>KVI02433 pep supercontig:CcrdV1:scaffold_0:952910:956412:1 gene:Ccrd_019191 transcript:KVI02433 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F Family MASLHDTETKNPSLYSRKEKSLGVLCSNFLRLYNRDGVESIGLDNAASQLGFRLKFMILETTGVERRRIYDIVNILESVGVRSLTLSAIHRCVVFEFPMNRCVLTRKAKNQYTWKGFNAIPYALEELRKQASNENSRGSQYCSFGTVLNENDCRGPSNSNNSNTDRHTKSSGSSKSENNRKEKSLGLLTQNFIKLFISSNVSKPVNKSVLLQHADLISLDTAATALLGDIHDPTAMRTKVRRLYDIANVFSSMNLLEKTKSQTLDTNNSKRRAFGTDITNHDDSKRHRIDSFSDWSSKEVSVAMHMNLDSVKVECDENLTMPPQCNSKEFVFGPFTPATAHKIGPSGNKKIKQAQDWENLADTYRPQYLLGDLFGHYAEAWKSWYAEAAEKKQVQPVS >KVI02495 pep supercontig:CcrdV1:scaffold_0:1113291:1114723:1 gene:Ccrd_019177 transcript:KVI02495 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MVNPTPGTLRHAVLLPEPVWIIFNSHMHIKLMEELIFASDKTIDGRGQEVHITGGAGFMLQFIHNVIILDIHMYDIVPGKGGNIRSAADHVGIRGASDGDAISIFGSTDIWVDHCSFAGTFDGLIDIVARSTNITISNCHFVRHDKALLFGASDVQPDDNMFVTLAYNHFGKGLTQRLPAVRWGFVHVVNNDYTQWKSYAIGGAKGATIISQGNRFVAEDGAAKEITYRKQAPEEEWSKWTWRSEGDLMQNGAFFVNSGDPNWAASYKGYPLLPAEPAENVALLTKVAGAALGCKVGQPC >KVI02546 pep supercontig:CcrdV1:scaffold_0:1378083:1383286:-1 gene:Ccrd_019155 transcript:KVI02546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective-in-cullin neddylation protein MDSSSFVSNRLDVFEMYRRYCEIISEAYAYGGDGYRLANGSKKAKFYRNALSQLLELVGSGVDERTPILEDVPALMSRLDLMVDSCEFSRFYNFVFFICRENGQRSITVSRAIMAWKLVLSGRFRLLNQWCSFIEKNQRHNISEDTWGQVLAFSRCVHENLEGYDPEGAWPVIIDEFVEHMYRINGSEDARSFGCSCGLKIFPGAKRKLVENFEDQEFDKSNTVMNSKKRQTDFGQNLVNREGYPPTYDCVEIVKHNNSPLGSSKCAVEGSLSKGFAELLSCLQFDHERRVSYAL >KVI02497 pep supercontig:CcrdV1:scaffold_0:1126014:1141030:1 gene:Ccrd_019175 transcript:KVI02497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MNTISGSHVTPSRSFDGSSSCVQEFWVLKGDHRTADITTAARMSTPARKRLMRDFKRLQQDPPAGISGAPYDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQREYNRKVREVVEQSWTAD >KVI02513 pep supercontig:CcrdV1:scaffold_0:810087:816460:1 gene:Ccrd_019204 transcript:KVI02513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFIEKALPFAAMVMVECGEVGMITLGKAAMNSGLSNLVYVVYYNALGTVLLLPNFVIHNCSSPTLAAALGNLIPAFTFLFAIIFSVIGAVIIAVGVYTVLWGQSTEQKLTRDDVSRLEAPTENTHLLQK >KVI02542 pep supercontig:CcrdV1:scaffold_0:8228:13268:1 gene:Ccrd_019279 transcript:KVI02542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAQSFSGNLKKAVAGIRRINLDGLRWRVFDAKGQVLGRLASQISTVVQGKDKPTYTPNRDDGDMCIVLNAKDICVTGRKLTDKYYRIEIVN >KVI02570 pep supercontig:CcrdV1:scaffold_0:728167:735683:-1 gene:Ccrd_019214 transcript:KVI02570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MAAIFSCSSLYTTSKSSLFNNPNQSTNYIRLSHSPTTTTSIRRFRPISSSINPNRLQFSDKQNANGTFVFLYGQKERFSGIKECVLSVALAIGLITGVPTLGFPSNADAITPALSDLAVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITESLKNLKQASRSLKQGKNMIIAGLAESKKDHAIELLGKLEVGMEELQKITEDRKREAIAPKQKELLQYVGGVEEDMVDGFPYDIPEEYQNMPLLKGRATVDMKVKVKDNPNLEECIFRIVLDADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVEGEKAPVYGVTLEEFENNSASSQIFWLLKESELTPSNANILDGRYSVFGYVTQNEDFLADLKVGDVIESIQVVAGLDNLVNPSYKIAG >KVI02456 pep supercontig:CcrdV1:scaffold_0:1542756:1552532:-1 gene:Ccrd_019141 transcript:KVI02456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein SCDSPILRDSPDEIRDDHGSSSTVSSDSLPIGQGTYSNVYRARELQTGRMMALKKVRFDNFQPESVRFMAREIKILRRLDHPNVMKLEGIITSRLSCSIYLLLKGIEHCHSRGVIHRDIKSSNILINNEGVLKIADFGLANFFNSRSKQPLTSRVVTLWYRPPELLLGSTNYGPYVDMWSVGCVFAELFVGRPILKGRTEVRTFRMPIVYLERMHSKRHLVEQLHKIFKLCGTPPDEYWTKSKLPLAAMFRPQFTYASTLRERCKELPRTVVDLIDTLISVEPEKRATAKSALQAEYFYTRPYACDPASMPKYSPNKEIDARVQASGTSRNPRRSRRTLQEQSSFSKLIPEDGNRNFTRDFVDSKASYDTVSEVSQMTETSQADSICTLPAQATTSNGSGPSGARRTHRRHVVQMHHNFIKFETFDASGVYEPEDISSGNPLALDANRSSKAAMDSQARTEQ >KVI02568 pep supercontig:CcrdV1:scaffold_0:1329571:1331681:-1 gene:Ccrd_019158 transcript:KVI02568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MIYAVGHISGAHMNPAVTLAFSVVGHFPWKQLTGSILASFALRILLHPIKHLGTTTPAGTDLQALIMEIIVTFTMMFVTSAVATDSKAVGELAGIAVGSAVCITSILAGNDIRGDMLQFYSSNR >KVI02561 pep supercontig:CcrdV1:scaffold_0:581899:588115:1 gene:Ccrd_019224 transcript:KVI02561 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MGRSFDYVILGGGVAAGYAALEFTKKGVSHGELCIISDEPALKLEEIGINGSNAENVCYLRDLDDATKLVNTMRTCNGGNAIVIGGGYIGMECAASLVINKINVSMVSGANLKDGRYLPVDMVVVGIGARPNTNLFENQLTFENGGIKVNSRLQSSNTSVYAVGDVASFPIKLFGDTHRLEHVDAARKSARHAVSAIMEPNNTTEFDYLPFFYSRVFSLSWQFYGDNAGEVVHFGDFSGTRFGAYWVNNGRLVGCFLEGGDKEDYDAIANVIRVKPAVADLGELERRGVEFAVGVSQKLPVATVVHGGGLYASFGIVVAASVALFAYWYVRKWS >KVI02529 pep supercontig:CcrdV1:scaffold_0:1018241:1022235:-1 gene:Ccrd_019185 transcript:KVI02529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metridin-like ShK toxin MGFRLLLLSYFICSLLFLPDFSIQSSNLRKIRGSVIRLPNGDSYGHPFDPTRVTQISWHPRAFLYRNFLTDEECDHLIQLAKDKLEKSMVADNESGKSIESEVRTSSGMFLNKAQDEVVAGVESRISAWTFLPVENGESMQILHYENGQKYEPHWDYFHDKANQAMGGHRIATVLMYLSNVQKVKPKKGDALLFFSLHPNATTDVLSLHGSCPVIEGEKWSATKWIHVRNFDKSDTTSDECTDLNVNCPTWAATGECQKNPVYMVGSEERGLGYCRKSCKAC >KVI02448 pep supercontig:CcrdV1:scaffold_0:405537:409901:1 gene:Ccrd_019240 transcript:KVI02448 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MASSSHPSAPCAACKFLRRKCIQGCVFSPYFPPEDPTKFANVHKIFGASNVSKLLNEIAPHQREDAVNSLAYEAEARLKDPVYGCVGAISVLQRQVLRLQKELDATNADLIRFSIQDIDF >KVI02525 pep supercontig:CcrdV1:scaffold_0:1040698:1046408:-1 gene:Ccrd_019182 transcript:KVI02525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cross-linking MEFFNKSKIVRLRSHLNKFLTADDDQQTVRQSRNASSTRSRWTVEMVEGKSHVIRLKSCFSGRYLTPSGEPFLLGMTGKKVVQDIQANKRDTSVEWEPIKEGGLVKLRAKGGKYLRANGGTPPWRNSVTYDMPHRTATQDWVLWDVEVVDAVGLESQESFMSNLSPATSFSSAPDVDRVITTNGSPRVSGRQSGMELFHRAKAVRLQGYHNKYLVADDDEVTVRQSHNGSSSKARWTIEYVEENPNAIRLKSCHGLYLSATNEAFLLGMTGKKVQQYPHQIKPDRTTIWEPIREGLFHVKLQTSDGKFLRANGGTPPWRNSVTHDIPHRTATQDWVLWGVDVVDISLSDDTESVMSSLSSFSSVVDDYCGSPDTGSPLDVVSQSFKDKETKLSGGMEFFWKAKSVRLKSHHDKYLLAEIHGENVLQDRQGTTKSAIWMVEFVDGFNNVVRLKSINGKYLTASEDQKILGVTGRKVVQSMPQKLDSSIEWEPIRDGFQVRMKTRYGNYLRANGGLPPWRNSITHDIPYKHHNWILWDVEIVEARPEEEAPPPPPSPPPIDDEVVVVSSETMNADLNSSSFRLTNPRSGRTETNAKTEGRMIYYTIADDDGHVADEERWFAFKGHGLDDLTRNLEEQTEFDDIIVCSRNPLNGKLFPLRLALPPNNSTMHLQKISCRYRHENQITYPCGIFHGSLTGRTRAEAWKQSKRSYIKWLANNLNNGSMIMTIVDDFLSPIDSFWWCFYYQLYKFR >KVI02492 pep supercontig:CcrdV1:scaffold_0:1265980:1266333:1 gene:Ccrd_019163 transcript:KVI02492 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox protein, Cys/His-rich dimerization domain-containing protein MTKRRVVLKREEPPPPPLPPPPPPSMDSANSSNTMRTHVRYGECQRNHAANIGGYAVDGCREFMAAAGDQEEEETEASLTCAACGCHRNFHRRVVETEVVCSSDDSWPSSSSSPYLS >KVI02509 pep supercontig:CcrdV1:scaffold_0:274502:277180:1 gene:Ccrd_019251 transcript:KVI02509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MPDGYSSSKKKDDYWDDVCGQEVSRMSRIRCMMQTLDLRMFVVLFLVIPFGIVGIYFHGQKVTYFLRPLWQSPPKPFIHIPHYYHENVSMASLCKLHGWELRDFPRKVYDAVLFNNEVDMLTIRWKELHPYITQFVLLETNSTFTSIPKPHYFAINREKFDFIEPRLTYGTIGGRFKTGENPFIEEAYQRVALDHLLRIAGIEDGDLLIMSDVDEIPSAHTIDLLRWCEGPPQIVHLNLNNYLYSFEFNVDHSSWRASVHQYQKGKTRYAHYRQTDHLLADSGWHCSFCFRKISDFIFKMKAYSHTDRVRFSHFLDPRRIQNVICNGDDLYDMLPEEYTFRDIIAKMGPIPHSYSAVHLPSYLLNHAEKYRYLLPGNCIREAG >KVI02490 pep supercontig:CcrdV1:scaffold_0:1217490:1219750:1 gene:Ccrd_019169 transcript:KVI02490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin MRECISVHIGQAGIQVGNSCWELYCLEHGLQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRKSLDIERPTYTNLNRLVSQVFDKIPFQTNLVPYPRIHFMLSSYAPVISSEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESVDNEDDDDGDEY >KVI02512 pep supercontig:CcrdV1:scaffold_0:820648:824719:1 gene:Ccrd_019203 transcript:KVI02512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRRYYCYKDILPFAAMVTMECTNVGLNTLYKAATLRGMSYHVFIVYSYAVAAVLLLPAPFFSNRSRVLPPVNFSIVSKIGLLGIIGCTSQVMGYTGIIYSSPTLASAISNLVPAFTFILAVIFRMETLSFSKKSTRAKFLGTVVSVTGAFVVTLYKGPKLIWSLSPPPSPSSVSVSLNSSQPNWTLGGLFLTSEYILTQIMKEYPSELTVVFFYNLIVSILAAIVGVFTEPDSSAWRIKPDIALASILCSGVLGSCLNNSVHTWALRLKGPLFVSMFKPLSIAIAVAMGVMFLGDDLYLGSVIGASIISIGFYTVMWGKAKEDVINDEVINSESSSTPRSPLLQYYKDEDMESK >KVI02553 pep supercontig:CcrdV1:scaffold_0:510597:520920:-1 gene:Ccrd_019228 transcript:KVI02553 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-5 cytosine methyltransferase MDGNASGEDYENIDWDTEDELEIQNIVPSTCSNLVTRNASIVGNGEASSSAGPSNPNLLQHFLGMGFSEQLIVKAIKENGEANTESILESLLTYAALEDSPDELNPCHLNSPQQQQCVDNGQLSSDYDESFLDDISESDSWSGSEVAKPKISIGANGGLKKRKLYELELWKRKKRKGLINEEDDVLRLPNPMIGFGVPTDTMVVTHRTLPEAAIGPPFFYYENVALAPKGVWDTISRFLYDVQPEFVDSKYFCATARKRGYVHNLPIHNRFPLLPLPPRTINDALPLTKRWWPEWDKRTKLNCLQTVIGSAKLTDRIRKALEKWGDDPPLHVQKYVIEECRKWNLVWVGKNKLAPLEPDEFEMLLGFPRNHTRGGGISRTDRYKSLGNSFQDMFPNGLNLLSLFSGIGGAEVALHRLGIPLKNVVSVEISEANRDIVRSWWEQTNQKGNLIHLADVQQLNGDRLEQFMGSFGGFDLIVGGSPCNNLAGSNRVSRDGLEGEQSSLFYDYFRILDLVKCIMNKQQ >KVI02478 pep supercontig:CcrdV1:scaffold_0:1568406:1568815:-1 gene:Ccrd_019138 transcript:KVI02478 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding domain, CaLB IPGQRYCPSTETCRNFCRNKVSFDRSDSFRIFNSFIENSFIEPDKEVKISEQEAQTDQKTCLDCCFNKTVQVTGDVCVTFYEKNIGGRLFYACFNTAFIENSSLQ >KVI02552 pep supercontig:CcrdV1:scaffold_0:722436:727089:-1 gene:Ccrd_019215 transcript:KVI02552 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE329 [Source:Projected from Arabidopsis thaliana (AT3G55250) UniProtKB/TrEMBL;Acc:A0A178VJJ9] MVVITSIATTPNHHHHLYTLTFNPSTTSLLYFRHFYKTPTKSNICRSSNGVVVVKSYMEDSNTISGFANRVIGALPVIGLVARILTDTGGVGGDFIDFAEFRRRVGKNSSVNDSRAFIDFQDRHGRAGDPLYVLMCCWLAALGAGLLKSEEILEGVSRLRLSNDIEFEEETFIAMMNEAREKRVKLNIPVTPIPMEARAEKALDAIYVCCFGRDPIEEEDERQLRIMLKVVFPSVAPAEIDRIVKAKAQRVAEGGEEDRYPEPKPLSKEAVQLQMKDLQFLQQNNDS >KVI02449 pep supercontig:CcrdV1:scaffold_0:389907:394490:1 gene:Ccrd_019241 transcript:KVI02449 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MPILLECSLETLVFFADKARICEWLAHDNAIFDVCWIKIKLWDSEERKDLAVLTGHTGSVKSISAHPSNYDMIVSGSRDGSFALWDLRSSKLVPGAHSSNNKARGRHGKAASMSITSVLYLKDEVSIATAGAADSVVMFWDTRNLTAPVTKVWSHDNRPRKWSKYQVRSHGISSLSQDLNGVYISASCMDSRIYLYNVLRLEDGPVKSFSGCDISTFFVKSSLSPDAAHILSGSSDGNAYVWQVDKPQADPIKLNGHGGEVTAVDWCSSEIGKIATTADDSVVRLWNINTSCYSNTRSTSSIRRRLFALPKTDRTMLFTNEPEPDSPLEPLVQISSPNPSSNPEMRTPESLGKQSSKSYIREPCDGSPDATLGSPSSVLNPPPSLKRKTILDYFLAPS >KVI02450 pep supercontig:CcrdV1:scaffold_0:383779:384102:-1 gene:Ccrd_019242 transcript:KVI02450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKKHQVDGESLEGKKWVIAGIAMRAPLRSIVTKKVKEDDENSNSNSGDTTPTSKDSMIPEMLPCPPPPRKRRPVTTCYSGNREFFTSPDLDSLFKLLSNAGKAN >KVI02562 pep supercontig:CcrdV1:scaffold_0:572191:573324:1 gene:Ccrd_019225 transcript:KVI02562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFLLYNHQRIDAPVNGSPCQRQNEFKLPNFSRRILKRSKTGRDPSFSFPKSFYEISSLYEIQRESESPLNIDGLNEKIQKHIKQAENFNGQTSSGQNDQATTSSTQACNVVNPMETYSPPYDNFQNFSGPFPTETNENMWSMEDLWSMQLLNSD >KVI02521 pep supercontig:CcrdV1:scaffold_0:1289242:1290330:1 gene:Ccrd_019162 transcript:KVI02521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDLPSPPPPTSATATTTVKTPDLETETPQSNTITKQLPPPLTNGLLKRHHKPLHHHQPPPLLVAYKECLKNHAATMGGHAIDGCGEFMPSPTSSPTYPTSLKCAACGCHRNFHRREPEESPYLNHPPIQHVIEYQPHHRHHPPPPQPLPPPATGANSNSPGNSPSPPPISSSYYPSAPHMLLALSAGLSSLPSETHLHNNHHPSIPTTPGSAAGGGGSNPNGKKRFRTKFTQDQKEKMHEIAERVGWKMQKRDEEMIIGFCNQIGVEKGVFKVWMHNNKTTFGNKKDFTNNGDGGSSGGGGGGIDFVISRNNHQHEEGGNVNEHHNVAGNNRHDHLHLHNNNNDSYSTGGNVICTKGSSSSS >KVI02494 pep supercontig:CcrdV1:scaffold_0:1237964:1243281:-1 gene:Ccrd_019166 transcript:KVI02494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) RYTIGLEVERTNSHSPHCRALVTRLEEIDVELKKVNEEASDKVLEIEQHYNGKRRPVYFMTHPALGDFLTDEDEKEFKPNPYFEDTKLVKTIAFCDEGTMKITGTNIKWKEGMSVDAGFSADIVTERV >KVI02488 pep supercontig:CcrdV1:scaffold_0:121810:123741:1 gene:Ccrd_019268 transcript:KVI02488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MINKIFNRLPRKPHKSSENRDGGNSNSSSNTSTSSRNNNDVANTRSSSSNAPSVHPSNALIHTNSKLLQSQNSKSNGRSLVSDTYELLPSFKDVPTSEKQNLFIRKLNLCCVVFDFTDPTKNLKEKEIKRQTLAELVDYVSAVNGKFSETIMQEIVKMVSVNIFRRLTPQPRDNKVLETFDGEEEEPSMDPSWPHLQLIYEFVLRFVASPETDPKLAKRYIDHGFVLKWLDLFDSEDPREREYLKFVLHRMYGKFMVHRPFIRKSINNIFFRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQISYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLSELEEVLEATQPPEFQRCMVPLFRQIARCLSSSHFQNLIRQNRKVILPIIFPALERNTKTHWNQAVQSLTLNVRKIFSDADPQLFEECSRKFKEDESRKEETKSKREITWKRLEEVAALGSGCSNEPVLSSQKSIANHVTSSG >KVI02484 pep supercontig:CcrdV1:scaffold_0:170105:170997:1 gene:Ccrd_019262 transcript:KVI02484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MMKFRSMKLSRSFSKLRCGRGNDKSSSNDNSVSFKRCNATIGGEVRWELRPGGMLVQKREIGDESVEEGVLVVRVATGSQWHDISIQATSTFGELKMILSMVTNLEPKEQRLLFKGKEREDDEYLHMVGVRDKDKVLMLQDPATKERKLAANAMIAPCRTIVV >KVI02535 pep supercontig:CcrdV1:scaffold_0:93515:101705:-1 gene:Ccrd_019270 transcript:KVI02535 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MGSGSGQWSVENRAPVRNDSVVSEYVPETGCLSIIVLGASGDLAKKKTFPALFHLYRQGFIQSQDVHIFGYARTKISDDDLRARISGYLTPCKGCERTHDEDVTQFLQLIKYVSGAYDTEEGFRSLDKAISEYELSKNSTEGSSRRLFYLALPPSVYPSVCKMIKSYCMNKSDLGGWTRIVVEKPFGRDLDSAEELSAQIGELFDEPQIYRIDHYLGKELVQNLIVFREDFGTDGRGGYFDQYGEVVLGQYEGYTDDPTVPDNSNTPTFATMVLRIHNERWEGKALNSRKAEIRVQFKDVPGDIYKCKKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMTTVQSELDLSYGQRIRGDQQHFVRRDELKAAWQIFTPLLHKIDRGEVKSLPYKPGSRGPTQADQLAEKAGYVQTHGYIWIPPTL >KVI02436 pep supercontig:CcrdV1:scaffold_0:892441:895171:-1 gene:Ccrd_019198 transcript:KVI02436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGPFYNVKLGRRDGLVSRASRVEGNLPRPTMAMDQLIDIFTSRGFSVQEMVALSGAHTIGLSHCKEFSSEIYNYSRTSEFDPSFNRRYAEGLRSACVDYHKNPSLSVFNDVMTPRNFDNAYYQNLPRGLGVLKSDRALVMDQRTKPYVELYAKDQKAFFDAFGRAMEKLSLYGVKSGRRGEIRRRRSKSATPARPMIPDCTKECVVGVFDGGGAGAQSAAATIDAARATQMRKTTAIFCTGHRPLSFRKARVEHSCIGDNMPCNLSYISPALNDQLEMLPCGGGGGGVTTGGALLQGGVGVGVGVGVGGGDVVVGELDNGGAVVGELAAGGVLTGGGAPAGGVEIGELAGGVDVGGVEIVGVVVGELGSGGATVGVIAFGGGAGCLEGGEIVGELATGGAVEGVMAGGGGLGECASGGGTVAGVVGTDGAAMVVQGGKPGGVGGG >KVI02489 pep supercontig:CcrdV1:scaffold_0:106795:110996:-1 gene:Ccrd_019269 transcript:KVI02489 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX-like protein MDSQFAKEKLDAAKQNYGRDIRVFETTTTSKTSNEPIIDEEAARRSRITKAVIRVRFPDNHTLEATFHPSETMQSLVDLLTKVVAQPNLPFYIYTTPPKKQIKDMSQDFYSAGFAPGDDHVGAASGPFLKEDIMLLKGLELVAEEEKPEVNQGATKLVAAGGSPAVQERKPAADKKMVKPKWLRM >KVI02467 pep supercontig:CcrdV1:scaffold_0:416984:417610:1 gene:Ccrd_019239 transcript:KVI02467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MATTYDRHTQHTAGAGPGPGPGRYDRTDDRLVGPYYYKQQQGPSGSKILAIITMLPVGAIFLGLSGLTFVGTLIGLAVATPLFVIFSPVIVPAILTIGLAVTGFLTSGTFGLTGLSSLSYMVNMLRQTAATVPENVDYLKGRISDVGMYAGQKTKEAGQNIQHKAHEMGTDRDRDREGRAGVQVQAGAGAGGGVAGGGKEGGKGGDRT >KVI02510 pep supercontig:CcrdV1:scaffold_0:280934:285051:-1 gene:Ccrd_019250 transcript:KVI02510 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein MFATKNLQKRCFQTLTSRFITNCSKDVKLLRGATTPNFTPTNDFHASFVIRDEKFLSSINVWNCFDGRRTFSTARGRSMRSKVEMRMKKESGKTLREIRRAKKIQKKLMTDEERLIYNLKRAKKKVALLLQKLKKYELPELPAPRHDPELLTPEQIQALKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGIVVNIHNVKTIIMFRGRNYRQPKNLIPINTLTKRKKIEQQLRRMNVNPDDPVAMASIQRVASTFFNAIDKKEGSPYVFRGDKPKETGIGGIKESESGEDSDQEELDKFIAEIEDAADREWEEEEAAEKEEVNRIRYLYREDYDGRYTKPDMGRRAPQRSSNSENDEVDEDDENDSDEAPYVYDVPKVERWKRGKNGNSGDGERFSRRDEGRFGRESVQEEPESEDMLDDLENVMFESDEEKPNIGNEDKFSNGHGKDDFRNPEILILESEEEEPESRSSAKI >KVI02541 pep supercontig:CcrdV1:scaffold_0:38427:39182:1 gene:Ccrd_019276 transcript:KVI02541 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM/LAG1/CLN8 homology domain-containing protein MDFTGLISSLPTLPFFFTMFLAIYLSAHFVIFRNWSPKLRPEAASCLISLAHGTPAVFLAIQAIIADTTHGFASINTRFQNSVLEYSIAYFLMDLCHYLTFYPTDILFIAHHLATLFVILTCRYLVFHGAYAVLILLALAEVTSLFQNVWTLSSARRADSKIAAQVFAFLSPPFYALYSVVRGLAGPLFVYRMVIFYSSGAADNVVPRWVWVSWISVVIMAISVSILWISNLWIELYKERIFKLEKEKKIR >KVI02469 pep supercontig:CcrdV1:scaffold_0:1008506:1015013:1 gene:Ccrd_019186 transcript:KVI02469 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MGVCGSKEQGCVRVGLGSGKKKQHGDRGDGEPISRVHGRRRRRLGRKKTSAGTNRYSSRSKVDPSADICNSMDRSIRNPTFHGSTEWFDTAAGIESDGDEDFYSIQDDVVSQSSSISASVTPRVSDHVNGASFSTSDSLTKPGELPTNETSVGYDNGTNIFGIQNNCLPCLNCTTSTDVKSKSSCSSPPSAKKKVASRLSFKWREGQSNLSILSPKAILQRPVAGSQVPYCPIEKKMANCWSPLDPSTFKVRGHNYLRDKKKECASNRAAFNPIGVDIPLYPPALFQHEYDGEGMSYVLYFKLSENYEELPLHFQENIRKMIDDEVERVRGFPVDTIAPCRERLKILGRLTNLEDLQLSSAERKLMNAYNEKPVLSRPQHEFFLGENYFEIDLNMHRFSYISRKGFEAFQDRLKHCILDFGLTIQGTKPEELPECILCCLQLKEIDYANYKLLGH >KVI02531 pep supercontig:CcrdV1:scaffold_0:1471438:1475152:1 gene:Ccrd_019147 transcript:KVI02531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MARVVTVAQDGGGDYRTVQEAIDDIPLGNTCRTVIRVSPGIYRQPLYIAKTKNLITIAGIGISPEVTVLTWNNTATKIDHHQASRLIGTGTFGCGTAIVEGEDFISENITFENSAPEGSGQAVAIRVTADRCAFYNCRFLGWQGSIDFIFGNSTALLEHCHIHCKSAGYITAQSRKSSQETTGYVFLRCFGPGSCQERRVTWARELMEEEAEQFLVHSFIDPNPERPWLAQRMALRIPFSA >KVI02481 pep supercontig:CcrdV1:scaffold_0:132465:136126:1 gene:Ccrd_019267 transcript:KVI02481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDNNNSTSKIKFMWNNTNNRQVLSSPITVVDHHDHRLHLQPQNVGFESKVKSDVLPEGSGKSRAAAGGGGNGNSSMQTHQYPVRSDAKDCLFFVHTGSCSFGSSCRFNHPSPGEKICSFYMRTGLCGFGAACKFHHPEPVFIKGPKNRNPYASREAMKYTNGSARITNGGYIIQFPDSPQQYIATPMLSSSAVQVANFSSVAPAVVDAKNTADFPPLSRPLVLSKPVVELPKTSKPLVLNEVGLPMRPVEGKGLSIRER >KVI02547 pep supercontig:CcrdV1:scaffold_0:1372275:1377457:1 gene:Ccrd_019156 transcript:KVI02547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1666 MAVLANCWLIIEVYLIPYGFKKWFYLSFYIHPFFLFFCQILLWIKTLKKWILFVVFFVNRYTFSHVFGVLRRFLIITRSFSGFRDGNNDAEVEDSDEDLWYEVEDSDTGSGILFLRYYEAGGSCEITKIAFCDVRVESVDQETVSACSSSDLDDERSSSCSSSGASSFSLGAHRDMEVISHAPSLSSSSSALPIEDQEAVKPKEEEEEEEEDSFYKSYTERMSWFDLLNQERTCGLNAFLTKKRCSNVEKRLVKSLESDMEMVYVAQSCLSWEALHDQYRKLESMVAADISCTSGDGALITCTLASKFQRFQILLDRFMEDERSENGKRFSNFIHKRSSLKTFLQVPHISENVDNEKDGRNEGLMIRATDVLKAIEKCMKTFQLFIEVDEKQPWWRASGRLSWSRSPLEDPRDSNLLHDLTRTLRQKELWMKDLKGKTRCWLRRRVQHLGDVQNKEMMFAMIELKLVARVLMMSVISTSQLHWCQQKLDNIEISHGRITRSYARSLLFPLS >KVI02451 pep supercontig:CcrdV1:scaffold_0:369000:372944:1 gene:Ccrd_019243 transcript:KVI02451 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEIILCDELLQEIFHRIPPPSSSAVSLVSKRWLRLFRSSLSALSLRLSPNHASFSTLSSFLSHHTYLSSLSLSSSSSAPAAAAAAATSFSDSLLISISATCPNITRLHLATGPVSLLPLLSLSTACPHLSSLSLILSRNRLSFHFLSSFRALKSLTVVLVGNVSPESSPDHYPSSAELQLQSLCLSGIRAGDYQLNWLWRNCSYKTLTKLVFENCDGVGDNYSFSCFMKELKNLHELELKTCRSIVSLILIKLAENHCNYLESLLIYDGGSKDGLLHFIRETRCNLQKLDLRLPLDLDDNHLFEIGAKFNRLRVLRLQSCSMVTGEGFKSLGLALSENLEELSLTNCDVINRHNGFLVELAQNLKNVKILDLSYNHMLLDKEFASMISSYCDLRKLKLRGCSKLTNSSLISLCKNCKHLESIDLLYCHGFQIEGVEFLILNSPELRKLQVISTYGSCYHSAENVHSGQFAFQAVEVGGYMACFFAIFTNHRLRSLWNLIEDLV >KVI02523 pep supercontig:CcrdV1:scaffold_0:1227620:1236452:1 gene:Ccrd_019167 transcript:KVI02523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSAKWRALQHRHRYTYSAIIFPQYYKQTLDNFINLALSQDHDASARLFYSHLNELVSLNSTYAQLNHAKKVASAFTELLKNSNEAVVSQASRFYLEILFLENSVPLHRTLVSVLAKTRDFQSVIGDCFRSLCEEYADVNGDVNGNGKRKRFCVSRVGLSMMSSPKLGYLVEIVEECAVLMGLDVVSGLNSVISETNNWSRPSPIVMEQCQEALSSIYYLLQRCPLKFTSLNGLHDSNIMEMILTTILSILKSEAFSRDCYVAAGVSFCAALQVCLCPEDLGLAIIEGIFIFHQDFVLSSNNRFQAVIMKIPYKGNLFSQIHGFSALSRLCFIRGILTAIPRTLLNTPYIVSKDGVCGYEACLEGGMSAQTILYDGILPELCKYCENPTDSHFNFHALTVMQICLQQIKTLILTTPDNDEAMNHDPIADDIGARILRIVWNNLEDPLSQTVKQVHLIFDIFLDIQSSLPSTDGGKERIQLFLRKIASNLLRMGARCKGRYVPLASLTKRIGAKTILAMSPDMMFETAWAYVDDDVCCAATSFLKCFLECLRDEFWSSNGVESGYTNYRKVCLPPFMHGLASGNSKLRSNLNTYAFPVILDVDVESIFPMLAFISVGPVGEDNVLAFQDVHGANMNLRVEQKVAVLISLLKVSRSLALIEGDVDRFEEMGQTEQSADYALLCVKGIRVKVLVKWLVFALTHVDESLRTDAVESLFLNPKTASLPSSLELSMMKEAMPLNMRCSSTSFQMKLTSLFRKFFSRVRTALERQIKQGGWQPSAVKNGGFLPCKETEKDANFKRAEDLFHFMKWLSSSLFFSCYPSAPYERKIMAMELILIMNNTWPIVPPLQDNQDSASQIVLMTPYDARFISPEATLLLVGSIVDSWDRLRENSFRILLHFPTPLPGISTTEMVEEVIIWAKKLVCSPRVRESDAGALTMRLIFSKYVLDLGWIVKPSCDAVSFCSQNPTLENGDYRTCSPVVEYVTSLIDWLHASVEMGEKDLSDACRNSFVHGVLLTLRYTFEEMDWNSDLVLSNISGMKLALEKLLELVMRITSMALSVVSADAWHLPEDMVDLVNDDLDIWDATDDLDVPVGSSEKETTGSKLVQDVGPSDQVVMVGCWLAMKEVSLLLGTIIRKIPLPTSGISREFDPLSDPHGDPSMNDDVVLDFKQLETIGNHFLEVLMKMKHNGAIDKTRAGFTALCNRLLCSDNPRLGKLTESWMEQLMERTIAKGQTVDDLLRRSAGIPAAFIAFFLSEPEGTPKRLLPRAIRWLIDVANRSMNDQNEPKTFGKDSSTYLSTNSRQEIFMKQEIEMDGSTVNSKIRDEGVIPTVHAFNVLRAAFNDTNLATDTSGFSAEAMIMSIRSFSSSYWEIRNSACLAYTALVRRMVGFLNIHKRESARRALTGIEFFHRYPLLHPFLYSELKIATELLTDGSPQHLGSNLANAVHPSLCPMLILLSRLKPSTIASEIGDGLDPFLFMPFIRRCSTQSNLRVRVLASRALTGLISNERLLVILLNIVDELPSNGDKIASSNMIHGLLLQVISLLDINCRDLADFSKKDQILDDLIQVLVTRSWIGSPKLCPCSTLNSSFLRVLDIMLGIARTCPTSKSFNPICKLLWELSIECLDAEVSYGLPYYDPTTAECRKQAATSYFNCVFQTSKTVDKEDIRVLRTHIPPASGLNHILETSSDISSFQDRLIRSLSDASYEVRLATLKWLLWFLKASDDDDDDDGEDPSSCKSSLIKWINPHLHSMMVNLLSLEKNHRCTCYILKILFTWNLLNFQKLCSKKKCLQEIRFVGNIDSDSLFLFWDKLVSLYKLTRHLKTREILLCCMGVCTKQFTNLFTSSFDKENQLDHMIILNDRISYYVELIKHHGDPSEPVNIRKAAAESVIASGLLQAVEFIGQYVTNNKTPSDNLDRKKAVNMYAIRTLDVWSACIKLLEDEDVSLRSKLAIDVQKCFHGISSEAGVIPSQVDKVIISSLHHLSTIFGHWDYYFDYLSNWILSASNNVISRGDLVRKVFDKEIDNHHEEKLLLCQICCFHLDNLPVSESLAAGGNGGMDFLHEWRRRFFQRLMSFAGGHGGKQAVDWIGGLGNHKDAFLPVYSNLLGVYTLSRCIFKQRSKNSSTLMSEIADLGDAIKPFLGNPLIRNLFLSVVRLHEESAGEPVDRLTSKLNDNVAIWDDFDPYFLLR >KVI02560 pep supercontig:CcrdV1:scaffold_0:532658:544545:-1 gene:Ccrd_019227 transcript:KVI02560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MASGGMAPSAGGEAVGKAMFVEKLPKEINDMKIRDDKVEKEMEATVVDGNGTETGHIITISYMAERVVGQGSFGIVFQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETAYRVTRHYSKANQRMPMIYVKLYTYQIFRALAYIHAIGVCHRDIKPQNLLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKRMPPEAVDLVSRLLQYSPNLRCNAVKLKGASLELLAKLIPEHARKQCPSLGL >KVI02511 pep supercontig:CcrdV1:scaffold_0:878643:883684:1 gene:Ccrd_019200 transcript:KVI02511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSVVVTIDKSTNISLVEVSDSDSSVFLEKQKTASTKQFSWMLLLKAHKILSFFPWLAIGFSKTLISVKKRIALADSGRDEVQYKERIIYRFIRAFLAISIVALIVEFVAYFQNWDLHFIHEFNPTEVLGLFHWSYMAWISFRVDYVAPSITMLSQFCVVLFMIQSLDRFILGIGCFWIKFKNIKPVIDGEDYDIEDASTFPMVLVQIPMCNERELDWPKDRILIQVLDDSSDELLQILIRNEVNSWREKGVNIIYRHRFIRTGYKAGNLHSAMSCDYGKPDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNSHFLSFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAILKSKLILPFYSFTLFCVILPLTMFIPEAELPIWVICYVPVTMSILNILPAPKAFPFLMPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLAFAERESKSFSEDKIQRRLSESGLEMLGKLKEQEAPIEPKKKNRIYRKELALAFLLLTAATRSLLSAHGIHFYFLLFQGLSFLVVGLDLIGEQVA >KVI02503 pep supercontig:CcrdV1:scaffold_0:217222:223660:-1 gene:Ccrd_019257 transcript:KVI02503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSREERARYRHSSSFQSSSSFSGNQYGGHPPQPSYSFPAQRDENYPAQEVTEALAHAGLESSNLIVGIDFTKSNEWTGSRSFHRKSLHHIGDSLNPYEQAISIIGKTLAAFDEDNLIPCYGFGDASTHDQDVFSFYPEDRFCNGFEDVMSRYREIVPRLKLSGPTSFAPVIEQAMTIVEQSGGQYHVLLIIADGQVTRSVDTEYGQLSPQEQNTVDAIVEASKLPLSIVLVGVGDGPWDMMKEFDDNIPDRDFDNFQNMPLIRKETEFALSALMEIPSQYKATIELNLLGSRRGISPQRVALPPPIRPPFSKPSYSNSFQKGSQSYYGHGSPVRSASLYGQISPDHTSASISKSTSDNQLCPICLNNTKNMAFGCGHQTCYECGETLQLCPICRSSIQTRIKLY >KVI02462 pep supercontig:CcrdV1:scaffold_0:431954:439160:-1 gene:Ccrd_019236 transcript:KVI02462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKRKPISPKLLIRHKWKTKIFALLLVGFCFSTFLLMEAQYSRIGASSIFPLFVQKPKIAFLFLARNRLPLDLVWDEFFQGENDNRFSIYVHSRPGFLLNKLTTRSGYFLDRQVNNSIQVYWGEASMIQAEIILLQHALMDPSNERFAFLSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMDPVIPVNHWRKGSQWAILTRKHAEIIVKDGIVFPMFQQHCQAMTSLSCLQRKSLPEFWRDRPVPADNSKEHNCIPDEHYVATLLAHKGLEGEITRRTLTHTSWDISSNKGRERQGWHPVTYKLADATPMLIQSIKSALGVSKEATSHSLMR >KVI02526 pep supercontig:CcrdV1:scaffold_0:1049852:1058304:1 gene:Ccrd_019181 transcript:KVI02526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKKLRSYYMHFRHPHTMERKWIYPLAVGSIVSLFLLFLATLTSPDGTPLLPLYRYYAGAPASVFVEHKLRAIPVSTVPPPPRFAYLISGSKRDGDMLKRTLLALYHPNNRYVVHLDAESSPEERLQLNQFVKNHPVFVKFGNVVMITKANLVTYRGPTMVANTLHAAAILLREGGHWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFLDHTSKIGWKESQRAKPVIVDPGLYMTKKADVFWITQRRSVPTAFKLFTGSAWMVLSRPFIDFCIWGWDNLPRTVLMYYANFISSPEGYFHTVICNTQEFRNTTVNSDLHFISWDNPPRQHPHYLTTDHMQKMIESNAPFARKFHRDDPVLDRIDSELLSRGQEMIVPGGWCIGSRENGSDPCSVAGNITLLRPTSGAKRLGNLVTSLLSSRSFRSKQCT >KVI02563 pep supercontig:CcrdV1:scaffold_0:1360589:1366367:1 gene:Ccrd_019157 transcript:KVI02563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MEGEKQQQQYYSLTIPLLFSDEKETKAEEQPIAALTNTATTATTTTTGTSSFFNTCFNGLNALSGVGILSVPYALASGGWLSLLLLFTIAISTFYTGLLIKKCMDADPTIRSYPDIGDRAFGKKGRIIVSVVMNVELYLVATGFLILEGDNLSNLLPEMDFDLYGIHVGGKKSFVVIVAAIILPTIWLNNLSILSYISASGVLASVIIVGSILWVGAFDGVGFQEKGKLVNWSGIPSAISLYAFCYCAHPVLLLCFVFCTITYSSMAVVGYLMFGSNVESQITLNLPTDKISSRVAICTTLVNPIAKYALMVTPIVDTIEARFLTFYNTRKFSILIRTVLVISTVLVALALPFFGYLMSLVGAFLSVTASITLPCLCYLKISGAYRRLGFESVFIGFIVFVGILVAVIGTYTSLVDISRQL >KVI02566 pep supercontig:CcrdV1:scaffold_0:1399082:1402009:-1 gene:Ccrd_019152 transcript:KVI02566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MGAIGVFVMCFLAAIALVDCYYVTPWRNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTSLFNNGLSCGACYELRCVNDPRWCLAGTIVVTATNFCPPGGWCDPPNAHFDLSQPVFLHIARYRAGIVPVSYRRVPCRKRGGIRFAVNGHSYFNLVLITNVGGAGDVRHAYIKGSKTRWQAMSRNWGQNWQSNSYLNGQSLSFKVTTSDGRSVVSYNVAPHGWAFGQTFSGGQFR >KVI02486 pep supercontig:CcrdV1:scaffold_0:186414:192920:-1 gene:Ccrd_019260 transcript:KVI02486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMTSSMAAKFAFFPPNPPSYKLVKDDLTGLLLLSPFPHRENVEVLKLTTRRGSEIVAIYVRHPMATSTVLYSHGNAADLGQMYELFIELSIRLRVNLMGYDYSGYGQSSGKVGEFGKFIAKIGRKCHHLQVHLFHVVQPSENNTYADIEAVYKCLEESYGTKQEDVVLYGQSVGSGPTLDLAVRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKGTADEVVDFCHGKQLWELSKEKYEPLWIKGGNHCDLELYPEYIRHLKKFISTVEKSPSHRHSSSRRSTDHHFEPPRRSTDVFEASRKSTDRREKPRKSTEKVKTQSVEKMRMSFDQIERSRRSVDVIEKSRKSVDLQQLERGRKSVDRMDRVRELGSSRFDVI >KVI02530 pep supercontig:CcrdV1:scaffold_0:1027555:1029327:1 gene:Ccrd_019184 transcript:KVI02530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MNPTNPFLLFSFFLFIFSACNFYISNKCSYPVWPATAANVGHPVLANGGFYLPPGAQRKVQAPADWVGRIWARTGCKFGSNTIPACETGDCGGRLQCNGLIGAPPVTLAQFALQADKNKPNFYDVSLVDGYNIPISVTSIPYSFKCRIGGCKKDLNHICPQELEVLNDDGEIVACKSACLAFNNDKFCCRNEYGTPSKCKPSIYSKIFKEACPSYYSYAYDNPSPLVNCVSDDYLITFCPYTKWTNGIEST >KVI02487 pep supercontig:CcrdV1:scaffold_0:176343:179568:-1 gene:Ccrd_019261 transcript:KVI02487 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MIAQESTLTLKPSSRRSRTKLRKPRFLRLHLISAAPPPMPTTTNHHKQLINLFPLHPENLVDDQQDKLDEEHNMACIFSSCDDDGAATTLTGILRSDEEEEYTNNPSPSSVNYADEEVELVRTAMRRNKTERDDDDLKWVCYSEVVKEKKKVMIRGLSASSSSSTSSYHHRHHDHDHRNKLVLKLDYEKIMNSWVNKGPLYIHNNNPDIQTCERENGGNEGSWTVPEIPGTENNDTDGGDDTMQAIGSNEMIHRREASVQRYKEKRRNRLFAKTIRYEVRKLNAEKRPRIKGRFVKSN >KVI02556 pep supercontig:CcrdV1:scaffold_0:590715:595512:-1 gene:Ccrd_019223 transcript:KVI02556 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MGVVRTDESRRAEIEEFRRMLLSCSGVVLKVRSEEFNRRRVSAGNGNEYAVDQKLVCVTSGVSFLGIAIVKQLLLRGYAVRIIVDNEEDIERLRESETSGEMEGTSRFASHNLIGVVVAKFNEQRSLMEAFDGCCTVFHTTAFIDPSGFSGYSKCMAEIEAKAAENIAEACAATASVRNYVFTSSLLTCIWRDTSNNTLPPVVDHNSWSDESFCNGKKLWYALGKLKAERASWRIAEEKGLKLATICSDMYEYGLLASVEVDKLAEAHVQVHEEMKKSSGGRYVCFDKVVRRPEEVERLEAETGVHINTDTVSTVVGFRFELSNRKLDRLMSQVHRCNIDV >KVI02444 pep supercontig:CcrdV1:scaffold_0:353467:361110:1 gene:Ccrd_019244 transcript:KVI02444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gaa1-like, GPI transamidase component MVSTAEIDGQKPKHRPIIRIGIFIIAHSFLVRYCFSNFTFVHSIIRFCTVVCCTAGVLALLLLPVLAKKTYVSENALMPGSATPMLSDQDVSGATRFMNELTSPKLKSWNMGIELPRLIALHMSNLGGEVNYHKFQTQPNNFHPLYFFTSPDAEANLVNKTCQSYATNTIGIIRAPRGDGKEAIVLVTPYNPVNLTQSEVLSLGIAYSVFSLLTQVSWLAKDIIWLAADSQYGQYNSVAAWLRDYHTPSFGGHGKLHADNCRGASGMHGSKLDMFVETEVSDTFRRAGTMAAAFVIKVANTGEEGEQDSLKIYAEASNGQMPNLDLINVVSYLAVHGQGLRVKVEKLVSLLDSKWLNFIGEMLESVGKIARSLNPQWKFGIPVQEYVEGSATLVSSLYNQAIGVPTGSHGAFRDYQVDAITLEISPKLSSSNKARQTEFLFRGGRLIEGVVRSVNNLLEKFHQSFFLYLLTSSNKFISVGVYMIAFLLLVAPLPVVAASLFSNATSTNPNSQKHTSSSSEPFQSWRWLYPTKTIFLVHLWGAIVSLLPYFIYQIPECQPTSRLLIWASLSIFILAVLRSFFGSSTHLQEWVLLKSVTVSSLFIGLCLMSVINFATAEIGALILVPMCLMIKPWKIAGKAHSAFNLLLLTVGFPGAAFFVVKGAFGVQNGSGLVEFWYWVESLWAWNSATYIYICMVHLPCWVLCICILLHCC >KVI02446 pep supercontig:CcrdV1:scaffold_0:328580:331883:-1 gene:Ccrd_019246 transcript:KVI02446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MDSLVDGVSSLSTSHSSATLLGFNPPPAGKSRPPHHPSKHPEIGHTSGSSSTRSYRSSYDHNHKDQFTPNKSKSEIIGPHTVLDQTAKEYFHKQILALEAKAAIKTPNDEPIGDSDPHGESKITFCPSPQSSLYSVTHFTEAKQSFTNTEISESASSIEKSIENEAGVSCDFVESNKTSVYRGSTGSDLSDESSSGSFTSATYKPHKQNDSRWEAIQVVRSTNESGMLEMKHFRHLKRLGCGDIGSVYLSELIGTRSYFAMKVMDKAALASRNKLLRAQTEREILQSLDHPFLPTLYTHFDTEKLSCLVMEYCPGGDLHALRQKQPGKYFSEHAARFYVAEVLLAMEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCVVNPKLVRATNSTVEQKSSGYCVQPSCIEPSCVVQPACIQPSCFVPRFLSKPKKEKKPKLKPKTEIYNQVSPLPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGAGNRATLFNVVGQPLRFPDSPAVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCATPPDVPKPSPEKVGGVDVKPAGSYLEIDFF >KVI02514 pep supercontig:CcrdV1:scaffold_0:807036:808469:1 gene:Ccrd_019205 transcript:KVI02514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIDVKSPSSRAKLLGTIIAISGAMVFTLYQGPEILTITRSPKTPDRLLLSQLSNSTTTRREYPNQVTFVFFYYLFGTIQCVALAVFLEPNPSSWALQLGIGMIAVVFGSGSACPHLKSCQLAFSEHGLHVVGRAHEFEPDDLACRVVLA >KVI02475 pep supercontig:CcrdV1:scaffold_0:923962:929610:-1 gene:Ccrd_019195 transcript:KVI02475 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MDLFMIRNGKSAVNLMCFVLVIFGGSVNGRRLLSQARPKPSNAAPFARWLVSESSWGVLSTIADDLGGAPFGDGLPKEGSGIPYFYLTSLDPTAQYAMKDHRSSFTISEYQLGTCGKVDPENPTCAKITLTGKLNLLDAKSEEAVTAKKSLFAKHAEMEGWPEDHSFQVYKLDIKDIFMINFYGGPKPLTVDQYLHSGLNELTALM >KVI02442 pep supercontig:CcrdV1:scaffold_0:303983:309253:-1 gene:Ccrd_019248 transcript:KVI02442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MREVAMLSKVQHKNLVKFMGACKEPVMVIVTELLTGGTLRKFLVSMRPNGLDTRVAIGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKSVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAINVRPSADELPKDLALIVTSCWKEDPNSRPNFTQIIQMLLHYLSNNTPSGPTAIPPRIFTSQNAIFSPESPGTSTLIRQRDESQETPKTPMENSPSGLFSCFYRCC >KVI02459 pep supercontig:CcrdV1:scaffold_0:448022:451757:1 gene:Ccrd_019235 transcript:KVI02459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYTIFVIDVSFILESWEQSLITNKTGRGGNSSCTVPGFARSRDCARNAQCLHIRSCLANGNGGVKQETHGIGKRDGVIIVDHGSRRKESNLMLNEFVAMFAEKTGHSIVEPAHMELAKPSIQDAFHSCVQQGANRVIISPFFLFPGRHWHQDIPSLSAEAAKDFPGVSYMITAPLGLHPLLVDVVDDRIKHCLSHVAGDADECAVCAGTGKCHLY >KVI02438 pep supercontig:CcrdV1:scaffold_0:1102620:1104958:1 gene:Ccrd_019178 transcript:KVI02438 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTCDNLELPPGFRFHPTDEELVMHYLCRKCASQPISVPIIAEIDLYKFDPWQLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKPVGIKKALVFYAGKAPRGVKTNWIMHEYRLANLDDWVLCRIYNKKGTLEKHHVVDNQFSEMEVETKPKITPYTPVPPLHPQSSVPHHVMNDVFHFDTSESVPTLHTDSSPEHEKEVQSDPQKKDFQFNYIDPFPDDSFTPPSQYYNDYQLSPLQDMFMYTLKPFPM >KVI02476 pep supercontig:CcrdV1:scaffold_0:898902:905633:-1 gene:Ccrd_019197 transcript:KVI02476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin thioredoxin reductase beta subunit, domain-containing protein QNKAPWKQFIGSEKLISQPVVLHTSTAGQNQEHLSDTSSPALLGLIDRNRKSGVMTMMRALPASTSYAVGIRVSSFTTSPTHLASRRLVIAKAEPSDKSVEIMRKFSEQYARKSGTYFCVDKSVTSVVIKVLLFPTGLADHKDSLGAPLCPCRYMHNSCSFIYYVILFVNRHYDDKAAEATQGFWNCPCVPMRERKECHCMLFLTPENDFAGKEQIISMEEIKESTANM >KVI02461 pep supercontig:CcrdV1:scaffold_0:426468:429573:-1 gene:Ccrd_019237 transcript:KVI02461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MAPTLFETESNGTKMVAGVSHTSTVTLAGSSFVADSHVILSDVPSNVNAYCSGVSSGCFVGFDASEASSRHVVPIGKLSGIRFMSIFRFKVWWTTHWVGSNGRDLEHETQMVMLEKSDSGRPYVLILPLLEGPFRAALQPGNNDFVDVCVESGSTKVSDTSFRSVVYMQAGPDPYVLMEKAMKVVQEHLGTFKLLEEKTPPGIIDKFGWCTWDAFYLTVHPHGVIEGVKGLVEGGCPPGLLLLDDGWQSISHDDDPIDSEGMNRTAAGEQMPCRLTKFVENYKFREYESPRPEAPNKGMGAFVRDLKEDFKSVKYVYVWHALCGYWGGVRPNIHGLPESSVVKPMLSPGLEKTMEDLAVDKIVNNGVGLVPPEKVDQLYEGLHSHLESAGIDGVKVDVIHLLEMLSEDYGGRVELAKAYYKALTLSMRKHFKGNGVIASMEHCNDFMFLGTEAIALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAEFHAASRAISGGPIYISDSVGKHNFDLLRSLVLPDGSILRCQWYALPTRDCLFKDPLHDGKTMLKIWNLNKYTGVVGAFNCQGGGWCRESRRNKCASEHSHVVTSTIGPTDVEWHKGKPSVSVDGVQAFALYLFHEKKLILAKPSDNIEIALEPFNFELVTISPITVMPNKSIQFAPIGLVNMLNSGGALQSVEILSNVDGSCTVRVEVKGAGEMRVFASEKPIKCHINGDNVEFKYEEHMVMVQVAWPITSLSIIEYVF >KVI02532 pep supercontig:CcrdV1:scaffold_0:1519416:1527814:1 gene:Ccrd_019143 transcript:KVI02532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASPPRHIHLHRPHGRRQIPSIWPIRPWDTHLPPRVLRDSNCILFMEGCARVPFCSHPWLLRIVTVFLVPAIVVALAVFLFGCTYQVVYNFEIVFFPFVITFRNQRLQIRRNKAFRAYGRFFEFSG >KVI02500 pep supercontig:CcrdV1:scaffold_0:1190035:1191360:1 gene:Ccrd_019171 transcript:KVI02500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCSPSKQSVCRNCQAQCSPARRSSSAHAHRSPPREENHHVVALTSTTLGYLLLDSSKQNQVRHDQAVKTQIRQEPVRRDVPMKNSKDYQEPVRRNAPEKNRKDFAVGVIEAKTWSKMIDEKVARISPKTPIETPPGEPETINAWELMQGLDDTSPLRPPSAIDHIRCFSFNVNSNSIAPHLDEPTFVSEEKHRDTERLSKPIWLQIVENRSDSNSNSNDTCIASDFDPDSIAALRNSFEILPPTNPYHPKPLIEEKHPSSETKKSLQNGKSEKLILYFTSLRGVRKTYEDCCHVRVILKNSGVRVDERDVSMHSGFREELKELLGDRYGCGGLPKVFVGKKYVGGADEIRRLHDEFQLERVLEGCEMANDGGDGCGGRGGCEACGDIKFFPCETCSGSCKIYYEVNSDDGEKGDQENNYGFQRCPDCNENGLIRCPICCD >KVI02496 pep supercontig:CcrdV1:scaffold_0:1147541:1153836:1 gene:Ccrd_019174 transcript:KVI02496 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKSPGKWIKTVLFGKKSSKSNLSKDAIVNKKTSINSKPPSKDFDDDSMVISSPVPPIMHISGEHTELEKTASANLTSDTTEVVRSTVGLNTAKEDELIKLEQAATKAQAAFRGYMARRAFCALKGIIRLQAQVRGRLVRKQAVATLRCMRAIVEFQALARGRRVRLSGDGSHMLRKYAPGELVDKKRADLLGTSLRSEKLSTNAFGTKYDATEPNSVPKWLQRWSSSRFWEPLPQQEKKPNSKPKRKQTKLQPEEPESGRPKRSVRRVPAANLESNLVKSTETEKPKLTSRKTSSHQPESVQEHSHNELEKVKRNLRKISILTSEKSEVPTEKLPIVLDHVSDSPNPDTSAQGSVQPFVELNDVITEPPEPEPEPLPVPPLEAKPQDGLQDDHQAVEPLAGETNGNVELNGSENNCSKENQKTRRRKSFPAKQEYGESGLPNTAALPSYMAATESAKAKLRAQAVAKAAEDGGENGFIRRHSLPSSTTGKPSLQSPRVQKPLQANGKGWTK >KVI02528 pep supercontig:CcrdV1:scaffold_0:996758:998220:-1 gene:Ccrd_019187 transcript:KVI02528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNTSVVFRSVLLVGFCWFLLFAVSTTIQATLAVPSTASFGGFKSRGAKRQEGSVFSYVSKRRVPNGPDPIHNRRTRNTRQPPDRA >KVI02536 pep supercontig:CcrdV1:scaffold_0:75641:78959:1 gene:Ccrd_019273 transcript:KVI02536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MATNPSAVTFLTNLARAAFGIGTAATVVNSALYTVDGGQRAVLFDRFRGVIDETVGEGTHFLIPWLQTPYIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPEVTRLPAIFKTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRDSLIRRARDFNIMLDDVAITHLSYGSEFSKAVEQKQVAQQEAERSKFVVAKAEQERRAAIIRAEGESESAKLISDATSAAGMGLIELRRIEASREISSTLARSNNVMYLPNTGSQMLLGLNPAR >KVI02537 pep supercontig:CcrdV1:scaffold_0:79597:85432:-1 gene:Ccrd_019272 transcript:KVI02537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde 3-phosphate dehydrogenase, catalytic domain-containing protein MVKIGINGFGRIGRLVARAALQTDDIELVAVNDPFITTDYMIYMFKYDSVHGQWKKHDIKVKDSNTLLFGDKPVRVFGMKNPEEIPWGEAGAEFVVESTGVFTDKDKAAAHLKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTARLEKGASYDDIKAAIKSSIFDAKAGIALNNNFVKLVSWYDNEWGYRMIQLSIVVEVF >KVI02445 pep supercontig:CcrdV1:scaffold_0:349672:352676:-1 gene:Ccrd_019245 transcript:KVI02445 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 51kDa subunit MQMLYAIALYSWRHSRLFTTAKPPSPPPGKTHFGGLKDEDRIFTNLYGVHDPYLKGARRRGDWHRTKDLVIKGTDWIVEEIKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRAIAAYIYIRGEYVNERISLEKARKEAYAAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANSGLYGCPSTVTNVETVAVAPTILRRGAEWFAGFGRKNNSGTKLYCISGHVNKPCTVEEEMSMPLKELIERHCGGVRGGWENLLAVIPGGSSVPLIPKSVCDDVLMDFDALKEAQSGLGTAGVIVMDKSTDIVDAIARLAYFYKHESCGQCTPCREGTTWLWMIMERLKIGNAKLEEVDMLQELTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIKAHADRELRQASK >KVI02482 pep supercontig:CcrdV1:scaffold_0:155730:158428:-1 gene:Ccrd_019264 transcript:KVI02482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase METLKSQPLWMLFLLFLGFLSLLKSFLKILNWVFIVFLRPPKNLKKYGSWALVTGPTDGIGKAFAFQLASKGLNLVLVGRNPDKLKDVSDTIRGKFNQTQIKTMVVDFSHDLDQGIDKIKETIDGLDVGILINNVGVSYPYARFFHEVDDKLLCDLIRVNVEGTTKVTGVVLGAMIKRKKGAIVNIGSGATVVLPSDPLYALYAATKAYIDQFSRCLYVEYKKSGIDVQCQLPLYVATKMSSLRRSSFFIASPDGYARAAIRYIGYEPRCTPYWTHSVLWAFASLLPESVIEAWWLRVCLAIRKKGHLKDSRKKL >KVI02540 pep supercontig:CcrdV1:scaffold_0:27975:36551:1 gene:Ccrd_019277 transcript:KVI02540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MAKKDMFPDAYSGGFPPNDDSEGSGCSGRDDMDISGYEDSSGHRKKWIKLSSALHDGFGVPVHVVPLSKLSSTEKKRWLVRLRTELDQLRTFQKKMDVDKALIVTMSSSNDILSSSNIQAARQANMKRSSGPGKRIDQQSQKARGFNRGLMGRFQSVNESTEAGTFSPLLKKQCENLLKKLMSHQHGWVFNKPVDVVALKIPDYFNVIKNPMDLGTIKEKLGSGKYSSPLEFLADVRLTFSNAMTYNPPGNHVHLMADVLSKFFELRWKPIEKKLPVNGSKQHSFHEEIDLVKPIPPSKKRKIASIQQELLPEPVKLVMTNEEKHNLSRELEAHLGDLPDNIIDFLREHSSNGNEAGEDEIEIDIDDLNDDTLFKLRKMLDDHMREQRNHAKAEPCVIELLHESGLSNSTMQLYRGNDPVDEDIDIGGNEPPVSSHTPVGKERETTKNNDIGTTSRTSSDTNSRNASENGQNDAKASSPLKASQNNITGADLEEKAGTSDLIDNQSVSELDQVEHMSQQKPNSVESDSQKDDGDSAPNSMLVSPGKLYRAALLKNRFADTIFKAREKTLNQLFYVQPEKARLQAAAEAAENARRQAEAEAALETKRKRDLEREAARQALLKMEKTVEIDETSRFIEDLEMLRTVNPEQLPMSVDETSPDHESLEALGSFKFGASNPLEQLGLYIKRDEHEEDDGELEPSSVVPSGINIDVEEGEID >KVI02434 pep supercontig:CcrdV1:scaffold_0:945674:948112:1 gene:Ccrd_019192 transcript:KVI02434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MASNHHNHRPHRLSLPPRTSTPTTPITPSRHYPPFTPTPTPSKHRLTSFSATKSKKISPSSLFLLLFSLRSLYSLLPFLQSSPSSFSVFPFSFLVSLLSFLLSLSFSLFKQTVKPKSIFAFHRSITKFQQRILFAKSVLLAIVFLLRFQALRYCGTAAMILAEMSGYVASHFVAGRNRSLIHLDRVGANKVRGFFALFIGVFLLSISWDRIECFPFSFVNLSKLGFSSVLIPNSEKCVRVWPMLLPFLSGFLGGYEQGSVNWGTLRDLGRKEVRLIALFYTTILLFIPAAISMLVFEAEGDSVSIGSLGWPLANTVVFGVLLSENYTDERLISSKDFRKEFLVTFVCTIILELLYYPELSLWGLLICGMLLGVGVMELDPIHSNSMELGLESPDTFLASITKIIRHIVSERKSRKIAVFLLINTGYMVVEFVAGFMNNSLGLISDACHMLFDCAALAIGLYASYIARLPANDKYTYGRGRFEVLSGYTNAVFLVLVGALIVLESLERILEPQEISTSTDTLGSVGVVVSTLLIQYKGWLIADPACSIFISVLIVSSVIPLLRNSAEVLLQRVPRAHEHDLKAAVSSIMKIKGISGIQNFHVWSLANNDIIGTLRMYISSGTDKISTKKQVSDILHDAGIKDLTLQVEYVVGS >KVI02498 pep supercontig:CcrdV1:scaffold_0:1157412:1161099:-1 gene:Ccrd_019173 transcript:KVI02498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGLDKKKEDEIEIRGCWIKFSFLGRCISTRSKVESSICGSSIPYAESKSTNDTSLDQPVVLAASSSNGESTPSTPNVTEELNIASQLRKFNFNELKSATRSFRPESLLGEGGFGCVFKGWINENGTMPVKPGTGSLPLPWETRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDVDYNVKLSDFGLAKDGPEGDNTHMITGRRSMDKNRPNGEHNLVEWARPHLGERRRFYHLIDPLLEGRFSVRGAQKAAQLATLCLNRDPKARPLMSDVYEALMPLVNLKDMACSSAYFQAMQAERSTPNQSSRNGRSMRSLSIPSYPYVSPHRNDGPYRSPKPVR >KVI02559 pep supercontig:CcrdV1:scaffold_0:550812:560017:1 gene:Ccrd_019226 transcript:KVI02559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWHKSTSGFGCRWRGDIVCRFSIYIFRTGGS >KVI02508 pep supercontig:CcrdV1:scaffold_0:262042:267139:-1 gene:Ccrd_019252 transcript:KVI02508 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase, C-terminal domain-containing protein MEGNGSPAVATAAEAEIIEHKAYARIGLLGNPSDVYYGRTISLNISNFWASVRLEPSSDLVIVPHPTHDLVKFSSLSHLVNRLENEGYYGGVRLLMATCKVFNKYCKEQGIDLHNNNFSLSYDTNIPRQTGLSGSSAIVCAAFSCLLDFYDVRDKIAVEMRPQLILNAEKELGIVAGLQDRVAQVYGGLVYMDFNKESMDKYGHGNYTQLDTSLLPPLHLIYAENPSDSGKVSSIQLLILYFYVPPCLLCIFRQRWLDGDEFIISSMEEVANLALEGKSALLEKDYAKLATLMNQNFDLRSDAALGALNIEMVEVARRVGAASKFTGSGGAVVVFCPDGPSQVECLENACKKAGFDIAPVKVVPSLLSKIDIKTLSSK >KVI02522 pep supercontig:CcrdV1:scaffold_0:1250707:1257167:-1 gene:Ccrd_019164 transcript:KVI02522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1666 MDSVLEFLYPKLILFVLGFWSSVSTYLLQLLRYFTRFIRNGSSSSKGVLNDSRVGLMEAIEGSSKVTESEMEFEENPCFFSEFLSMENDGVEEDETPEFSFTFKFPTFEEFSKTQKDFSHLLDLENTQEEGDGVHEQMMVETPNENKIGEGTEEIDTFIVEKLQKVHHESFQSSSDSDTDSVSSNNLHLDDGFLSDGDFELESIKKDQDECPKPDFLSEKDFGGKSRNIMEPEVSNVVDTDKKNLSNDTTNKLESLWEHQELIEQLKMEIKKVRATGLPTILEEPGSPPKIMEELKPWKIEEVYQSGGGTMGEVHKFYKSYRERMRKFDIFNYQKMYAIASSSKSSVSEITTLLSQSFATPKGKKHENDPTVKFIKELQSDLEVVYVGQMCLSWEILHWQYEKALDIWESDPRGVRRFNDIAGEFQQFQVLMQRFIEDEPFQDPRIQNYKEKKLKEQMRSGKCILKKMKRRCREEEEEEIEDEVVYFFCQVDMKLVSRVLQMSRLTSDQLMWCHNKLSKLSFANRKIHVEPSFLLFPC >KVI02439 pep supercontig:CcrdV1:scaffold_0:1091679:1092334:-1 gene:Ccrd_019179 transcript:KVI02439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MGSMLSTILGGEQPGNGDDSSSEESRVIQFHSSQRWQLHFNDSKQSPKLMVVDFSATWCGPCKMLEPFLRSLASKYEDVEFIKIDVDELKLPNINATGSMKLWITGMLNIIFGIN >KVI02543 pep supercontig:CcrdV1:scaffold_0:14561:18291:-1 gene:Ccrd_019278 transcript:KVI02543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosducin, thioredoxin-like domain-containing protein MADYHFVYKDVEGTSTEWEDLQRKYGNLPPKPPVFKPDPFAPAQDEDSKPKDKSWIDNKTEEELEDLEDDLDDDRFLEEYRRKRLSEMKQMVKIAKFGSVIPISGSDFVREVSQAPSDVWVVVILYKDGYPECGVLMQCLEELAKMYPATKFVRIISTDCIPKYPDCVALILCQSDPVLDDGQSEGEASREAVIEGVRKRFIERVVTQHENDDDDDGSTSD >KVI02567 pep supercontig:CcrdV1:scaffold_0:1395690:1396196:-1 gene:Ccrd_019153 transcript:KVI02567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFMIVEFIRYFLQMICFINSQLGFFSTDSKIQVHKKNQDSISSKRVSSFADRSIQKDEVELVMENLGIFCHFKGEKLVESLNSNDLFNMFEEERPRLDEVKGAFDVFDENEDGFIDEKELQRVLFALGMKDGASMDDCKKMIRMFDDDDDGRIDFDEFVKFMEDTFC >KVI02458 pep supercontig:CcrdV1:scaffold_0:1465507:1468548:1 gene:Ccrd_019148 transcript:KVI02458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFGIHLEQTLPEWRDKYLCYKLLKRFLKRIPNPTAVDSDHNPPPPDAAVDRDFPPLPPHLADLQEWFVRILDEEIEKFNDFYVDKEEEFVIRFKERIEQVKEKSWKDGNFTSESEFSDEMMAIRKDFVTIHGEMILKKYDKRTGGLLRVHFTQLTLRQPFFTIEPLTLLVHECEENLELLFPLEAEVVESSSKQPDAININRSSSSSSSEVGTSDVYRRTIDAMRTIKGLKKESSTFNPLSFASIFGNQDIDTGAITTQHSPSDSTISYHDKKRTV >KVI02454 pep supercontig:CcrdV1:scaffold_0:1498416:1505710:-1 gene:Ccrd_019144 transcript:KVI02454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated domain 1 (KA1) MEGTGHGGSSVDMFLRNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNLRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEGRNFFQQTNIGSLKQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGGGIYTLPSHLSPGARDLIPRMLVVDPMKRITILEIRMHPWFQAHLPRYLATDEDILLEVVKMGFDRDTLIESLRNRVQNEGTVAYYLLLDNRFRNSSGYLGAEFQKPMDGFSRMSSNEAATSVMAQRPTTYMDYQGINIRNQVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWVPGVAGHHQGMINDSMQSNNYFGDELTILENDGAMNPPNVVKFEVQLYKTREDKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >KVI02515 pep supercontig:CcrdV1:scaffold_0:803085:805520:1 gene:Ccrd_019206 transcript:KVI02515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MESVTELLLTFPFFSPIVTEEKIWQWQDLSVSGINYSSPTMASAIGNLSPAITFLIAVIFRMEKVDIRSSSSQAKLIGTIIAISGAMVFALYQGPEILKTILSPETPNQLLLSQPSNWVYGGLILVISGICGASWNVLQTATAREYPDRLTIAVYSVAIRGVAATWCLEKKGPVFVAMFSPLSIVIAVIMGVTFLGDSLYLGSAIGAAIVAAGFYTVMWGHAKENNKLPMEMEEDLNVADGPESSNQNTPLLSSWNESKC >KVI02550 pep supercontig:CcrdV1:scaffold_0:709716:713919:1 gene:Ccrd_019217 transcript:KVI02550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAEPKSPMRKPVFTKVDSLRPGTSGHNLIVKVVSSKLVLQKGRPDDRQVRIAECLVGDETGTILFTARNNQVDQMKADSTVILRNAKIDMFKGSMRLAVDKWGRVEVTDPATFIVKEYNNLSLVEYELVNVMEE >KVI02491 pep supercontig:CcrdV1:scaffold_0:1220855:1221970:-1 gene:Ccrd_019168 transcript:KVI02491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAITAVKQSGDFLEFNDRKTPMLKIFEESETDRESYGSSSEDMENYSPKSVVSKVRKWPVSTVKDIFGRRCVHSQIVRIRAEDSHLGEDIGECLIAKISGAGHDLVDDIVFSRPASPLSGKVSPRINMAWKYANRLRDSDS >KVI02551 pep supercontig:CcrdV1:scaffold_0:715728:719607:1 gene:Ccrd_019216 transcript:KVI02551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGRQVLQKARSFFSSTRKLQSPSNLNGLSPASTTPSSRLSPRRNPLFSSREPVELACAQSLMPLHSVTASSLLKSMLSSKVGQWSSLSEGFATPL >KVI02544 pep supercontig:CcrdV1:scaffold_0:767997:768332:-1 gene:Ccrd_019212 transcript:KVI02544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGDRFHTEEHHNLVKSRKVRDEVRENGGDPRNELGMNEPDPANPHDAKSRSQAEEPIDRDLVLNVKLILLYRPVVPHKHDNEKDARKGDRDPRTFTELYQRCREVKHFY >KVI02539 pep supercontig:CcrdV1:scaffold_0:66881:69679:-1 gene:Ccrd_019274 transcript:KVI02539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MPPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRLDGNHLIKLSSLRRIHRLEVVWDDEEQFDDVAKNRSLVAQKLLHEGDTKRGKNSLIGSGYGGWLLYTAASAGDLRFVQELLHKDALLVFGEGEYGVTDMLYAAARSKNMEVFRLIYDFAMSPRFMASNGRELEEHIGEIPSAYKQEMKNRAVHALARGGNLNILKELLGDCSNDNILDKQGNTALHVAASRGHISVVDILIRASPSSVQSKNDAGETFLHTAVTGFQIPTFRRLDRQIVLMKQLVCSKAFNIEEIINAADNEGRTALHLAINGNIDSDLVELLMTVCSINVNKRDNHGLTPLDLLKQRPSSASSEVLKRQLISAGAILSNQDYTVRKILASHLRKGSAGGSPGTTFNVADSEIFLHSGTENTPSTACGTPVLTMNAAEPSQLNSNSNSISNSNLESKSPNKKKHKGIKRFLRWPKTRKTGDEGGNLTMKPTELPVPLRQRYSKPSTPSNNKRSLAARSNLASPTAKKKVASGLVNGVMQAMPHMHRRSQSNSFSRSSISSHNSHTGIEIVGSSQMFDDGIVNKEEGVVNNRRSVNQYFCFGGSRLPLESNGSDRQQQHEIYDRYVLSTA >KVI02507 pep supercontig:CcrdV1:scaffold_0:258706:259630:1 gene:Ccrd_019253 transcript:KVI02507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APFFQISFHHFRFNPFVGFQKATRFCNPLSTVPANSMELDDEDWELINDDGFVYKRLRLHPTTSAAHPPAADPAVVERNRNERKKTILAKLKTRYQKEIHDWELLSNTLQALQNRTKTQPPPSMSPDLSISQSPEHSSDSTFRDLTETLLIQVEAQEASINEISNLCDVAEALCNAQEQLLKQPFVYLPIWESSPRKLITSLCEE >KVI02440 pep supercontig:CcrdV1:scaffold_0:1117602:1124629:-1 gene:Ccrd_019176 transcript:KVI02440 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, c/d subunit MYGFEAMTFNIHGGYLEAIVRGHRSGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPMSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLSLVIVVCICSIGTELTRDDRRKLYSSFGLLYPYGHEELAVCEDIDQVCLLHSYLYVRGVMEKYPPYQPIFSKLSYGESQMLDKAFYEEEVKRLCLSFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KVI02493 pep supercontig:CcrdV1:scaffold_0:1247777:1248491:-1 gene:Ccrd_019165 transcript:KVI02493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKGVAVVMVAMMAMALMVAPNEAITCSDLSSMLSPCLNYLKSGGSPPQACCAGARRVQAATRSQADRRTVCNCAKSAAAQMKIRPDAASRFLEMGGRRWIMAGGATAEVSPE >KVI02527 pep supercontig:CcrdV1:scaffold_0:1078444:1088750:1 gene:Ccrd_019180 transcript:KVI02527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGETEDIMEAVKKEAVDLETIPIEEVYENLRCSKDGLSSEDAEKRLEIFGYNKLEEKKESKFLKFLGFMWNPLSWVMEVAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPVTKHPGDGVYSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKARAGIQEVHFLPFNPTDKRTALTYMDGENKMHRVSKGAPEQILNLAHNKSDIERRVHIVIDKFAERGLRSLAVAYQEVPEGKKESPGGDQLAIGKETGRRLGMGTNMYPSSALLGQHRDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFATGIILGSYLAMMTVIFFWAAYDTNFFPRVFGVSSLQKTANIDEDEQDFRKLASAIYLQVSTISQALIFVTRSRSWSFYERPGALLFGAFLIAQLIATLIAVYANWSFCAIEGIGWGWAGVIWLYNIVFYIPLDFIKFFIRYALSGRAWDLVIDQRVAFTRKRNFGKEDRELKWAQAQRTLHGLDPPETQFAAGIAYSQRSRGIGCEIEEHRHRYDPTIVHRLRSTSYRMLHTSNRTYM >KVI02520 pep supercontig:CcrdV1:scaffold_0:1309890:1313643:1 gene:Ccrd_019160 transcript:KVI02520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEDYSFSKTTFPYRSLQHVTLSIQCNNSLLSIPFFRLFLHYSSKYLPTISSTSTSISSISTSIEISKNGMKVVRGNASKGFEFDQISAKLDDFNELHDENLASIMRKEKAHGFHRISLFFFLTVTTNFICIYPQHSAMPLKIKRLAPEPERFMWALNMAQSRQICFKMRWSEPLSMCNLTKLVDLQLVNNGLLSFLLSSLWNCSMLRTLSLANNQLIGTLPNSLYDLAKLATFDVHNNSLK >KVI02499 pep supercontig:CcrdV1:scaffold_0:1199701:1200841:-1 gene:Ccrd_019170 transcript:KVI02499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSSRRSRQSSSGGPRITDDQIIQLVSKLQQLLPGTHIQRSNKASASKVLQETCNYVRSLHREVDDLSDRLSQLLSTIDADSPEASIIRSLIM >KVI02447 pep supercontig:CcrdV1:scaffold_0:320459:325193:-1 gene:Ccrd_019247 transcript:KVI02447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVPETGATDISTEPPVVDDNKAVEPTLPNGNDLEVNEIDNRTNGIKEEKEKENVGGCCQGANGFSCCRDDEKTEIVTETEKEVKKPAGKLDFLTKKWEQHEVFTTAALVVAIVTVAVAYSFYKRSR >KVI02569 pep supercontig:CcrdV1:scaffold_0:1317658:1329186:1 gene:Ccrd_019159 transcript:KVI02569 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecF/RecN/SMC MEEVMDTAGEFISTDPTSTPGKKSASPPRLFIKEMVMKNFKSYAGEQRVGPFHKVRFFLLKAFYFGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLNSARVSVHFQEIIDLDDGTYEVVPGSDFIIAREAFRDNSSKYYINGRDSKFTEVTKKLKGKGVDLDNNRFLILQVWEFMQDKLESLNEKRSGVVQMVKLADKERESLESVKNEAEDYMLKELSLLKWQEKAVKFASEENDTKMEEIQKTRSSLQENLTAEREKIQESKKALKELETLHNKYMKRQEFSYKLGVYVLLNFCLHCLKELDTGLKRCKDEFKEFERQDVKHREDLKHVKQKIKKMDEKVEKDSTKITDITRQSEESANLIPKLEEEIPKLQKKLLDEDKILEEITESSKVETEAFRTEVAKVRTELKPWEKELIEHHGKLEVASTEKKLLTEKHEAGCAAYVDAQEQLNDLQKRIETKTSSIKDMQSKLEKNKLELSKARKVEQECLKEQETLMPLEQAARQKVTELKSVMETERNHGSVLRAILQAKASNAIEGIYGRMGDLGAIDGKYDVAISTACPGLDYIVVETTAAAQACVDMLRKNSLGVATFMILEKQADHMSRMKEKVSTPEGVPRLFDLIKVQDERMKLAFFAAMGNTVVAKDIDQATRIAYGVNKEFRRVVTLDGALFEKSGTMSGGGNKPRGGKMGTSIRATSVSGEAVAEAENELAQIADRLSNLRQQISEVVRHYKDLEKTATHIEMELAKSQKEVKIESLNSQHEYLGKQLDSLKAAAKPSKAEVSRLKELAKVISEEENEIKRLTLGSKQLKEKVLELQSKIENAGGERLKSQKAKVNKIQNDIDKNSTEMNRHKVQIETGKKLLKKLTDGIDESKNEKERLVAQKEKLLNTFKEIEQKAFKVQDDYKKTEELINQHKDVLGKAKSDYEKLKKSVDELRASEVDADYKLQDMMKMFKELEMKAKGYRKKLEDLNNALSKHMEQIKKDLVDPEKLQATLGDESFSKGCDLKRALETVALLEAQMKEMNPNLDSISDYRKKVSVYNERVEELNLVTNERDETKRQYDEWRKKRQVYLFPLDEFMAGFNTISMKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKVSPQQCYLLPFFTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVREKVA >KVI02443 pep supercontig:CcrdV1:scaffold_0:289205:296356:-1 gene:Ccrd_019249 transcript:KVI02443 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAKSWLVDGRAIARKVKTSGLPSALQIQDCGANWECPQCSCRIDNSHFDPSDVELLEHLAAKCGVGNEKPHPYVDEFIPTLDVEEGICYEHPENLPGARKDGNSVHFFYRTTNAYTRGRRKRRKICNGSSLTTDVRWHKTGKTKAIFKNVVHIGYKKIMVLYQTSVEGSKPSKINWVMHQYHLGTDEDEKDGEYVVSKIFYHTKKQSPDKGSRVVELDASTTVTSPATPATPKADAPDPPRPLKSVLYEDKGGQLARVAGVVAKLIAKEGKSATLKLCSGEVEVSLVSKNCPATDEQAGNIGVNRKKWFELKDGVKLPAREDDLQAIDLDAFHNSFFGQDNADAYHPIPGSSFVTDDAVGAARDTSFETIDLENLDVGTPPEFDLTELYFSSQDSVFGGLDCL >KVI02435 pep supercontig:CcrdV1:scaffold_0:906656:921480:-1 gene:Ccrd_019196 transcript:KVI02435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQQPSPSPKTLSNPFFSHLHLPKNLQFKSPFQFRRNSFLLHASASSAADTQSLPQSAIRRIADKLRSLGYVDDNGSNQQQSPPEQTLSTSPGEIFVPLPNRLPKYRVGHTLDPSWSTPENPVPEPGSGTAIRRYHELRREVWKEKKEEKIKRRIEGNSESDNVPTMAELKLPLKELRRLRGVGIAIKEKLKIGKAGITEGIVNGIHERWRRTELVKIRKTGGLVIWRAGSIIILYRGADYKYPYFFVNNVKPNDASDGDLIEEGGVQESYSQMKTTQPPLIQGVGSRTRVRFQLPGEAQLAEEADQLLEGLGPRFTDWYGYDPQPVDADHLPAVVSGYRKPFRLLPFGLWEKCEIAKIAVKRGVQNTNSDLMAEELKVRWLTGGTLLTRDKEYIVLYRGKDFLPATVSSAIEQRRNRGVNGFERKKIRSSSDAELNKDKRTESPFVAKLDGIRDQKLEVAIEQRKLRSREAAVKRTSSKLSQALESKERAEKLLEELEKQEIKQELEVDKEGITEEERYMLRKVGLKMRPFLLLGRRGVFDGTVENMHLHWKYRELVKILTGETSIDELYAIARTLEAESGGILVAVERVRKGHAIIVYRGKNYKRPASLRPQTLLNKREALKRSIEAQRRESLKLHVLKLGKNVEELKLKLVSIRMWSSVILVLEHEIVKSRSAEYMEPHTVLSAESMEPDSDPELYKSDPTDQDNRQGRELHEGVLVSLNENDGLKASQGSPETNCQDQASEFSMELDNDDQTRQQDLPEVSSIKHADEDDCGSTEANSNVSSLERSLSTEPCEPVIRSEMPFSPIHGPSVTESSGKRHRSALLSNKERLLLRKQALKMKKRPVLAVGRAKGTSVQEVVLMLELFCTGVGGQPRRRILTELMGNMNQGTMKAWSNQSSLPNSFRQSGLNADCRITKSPRSKEFPRGEKRFVTFTRYFLATNDVSDYYSRRFCPSLRSMVISTMHASRGCILVRDSTL >KVI02480 pep supercontig:CcrdV1:scaffold_0:141723:143900:1 gene:Ccrd_019266 transcript:KVI02480 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin, iron-sulfur binding site-containing protein MATGLLRRGIFKVPTSSSATARAKLLLFRSHASESHGQQSESTSRSTKNLKNFQIYRWNPDNPGKPELRNYEIDLKECGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNIDGCNGLACLTKIPTSEETTTTTMVTPLPHMYVIKDLVVDMTNFYNQYKSIEPWLKRKSPAPASWEGKEIRQSKKDRAKLDGMWIMDSRDEYTKERLDAVNDEFKLYRCHTILNCARACPKGLNPGKQIQNIKSLQS >KVI02518 pep supercontig:CcrdV1:scaffold_0:782606:785353:-1 gene:Ccrd_019209 transcript:KVI02518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKPIPIWVFLILLFFLFQSTKSAELDAIDALVSFFEDLSGYFSISSPVSGWIRTSDPCKNNWTGIVCYDQTPSIKSITFESFNLSGTFKPNTICSIASLASSIVVISLNNNNLRGENLEAISNCKKLTHLYISRNQISGILPDSLSHLNNLKKLDISNNAFSGTLSSLPRISGLIEFIAQNNQLSGSIPEFDFSNLATFNVSNNNLSGPIPPGGDLFNATSYLGNPQLCGDPLPNLCETQRATPPSAAQNSSDPKKSGPSSVEILMYSGYVLVGLGLFFVIMIKLCKRKGTKKEELVEEGDYPRSKEEEEDASKTLTFASNEFRSIAMSKSEVFAGNSVESGPVSSSLIVLTSPEVNGLKFEELLKAPAELLGRGKYGSVYKVNCEEQGMTLAVKRIKDWSLPSNDFKLRMKRLNHVKHRNVLPVVAFYCSKQEKLLVYEYQPNGRTPTGQTFDWSSRLGVASTIAEALAFMHDELHRDSIPHGNLKSSNILFNKNMEPCISEYGLMAVDNSDNSPAIDIVNNTSQLNPDQIESGFRLDIHALGVILLELLTGKPSMVQNNGMDLAKWVVSVVKEEWTVEVFDRALIREGASEERMVNLLQIAIKCVDRSPESRPSINQIALMINNIKEEDERSMDVSESPFEIRN >KVI02453 pep supercontig:CcrdV1:scaffold_0:1484473:1490883:-1 gene:Ccrd_019145 transcript:KVI02453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MGCPHQQSEVVAVSRDTKDCSCTPPRASSAFMVNSEIGAVLAVMRRNVRWGVHYMADEDQLEHPLIKSFKEIRKKVFSWQRQWHTINPIMYLQPFLDVIQSDETSAPITGVALSSIYKFLSLDVLDLETVNVADALHLIVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKNKASVKLSNQHVCNIVNTCFQIVHQASSKGELLQRTARHTMHELIRCVFMHLPDVGDNKEQEFTLEGRSDDGGEVDIPDKDHSSTSKPQDNGYTGSKIESVGGALDLSTEMPEVKMDETTGDIGETKNHLVTDPYGVPSMVEIFNFLCSLLNAVEHVGVGPRSNSLAYDEDVPLFALGLINSAIELGGAALSHHPEILALVQNDLFHSLIQFGLSPSPLILSTVCSIVLNLYHLMRVKLKLQLEAFFSSVLMKIALNKHGASYQQQEVAMETLVDLCRQPTFIHEMYANYDCDISCSNVFEDLANLLSKSAFPVSSPLSAIHVLALEGLIAMINGMAEKIGDEVPFLEPDASTIEDHESFWKVKCENYENSDFWVPFIRKMKHIKKKLMIGADHFNQDPKKGLQFLQGMYLLPESLDPVSVACFLRYTIGLDKNLIGDYLGNHDQFCVDVLQEFARTFDFQDMNLDIALRVFLETFRLPGESQKIQRVVEAFAERYYEQSPHILANKDAALLLSYSLIMLNTDQHNAQVKKKMTEEDFIRNNRRINGGNDLPREYLSELYHSICENEIRMTPELGVGFPVMTHDNWVGLIHKSRQTAPFIICSTGERINNEMFAILSGPTVAALSVVLDLVEQEDVLQTCIDGFLDVAKIAGCYHLDDVLDGLLVSLSKFTTLLLPMSIEESVLAFGDDTKARKATVAVFTIANTYGDYLRSGWRNILDCILSLHKLGLLPARMASDAADDLESVSEPDLGKSPLVSPAGYTKPLLAPARKSSGLMGRFSEFLYYDTEKPAPQPSEEQVEARNRATETVKDCHIDSVFTESKFLQSESLSNLAQALILAAGRSQKGNNSSIEDEDAAIFCLELLITVALNNRDRIMLLWQGVYEYIANIVQSAVMPSTLVEKAVFGLIRICRRLLPYKEDLTDELLKSLQLILKLDARVADAYCEHITQEVMRLVKANVGQIKSHMGWRTITSLLSITARHPEASEPGFETLEFIMLDGAHLLPANFVLCVNAARQFAESRVGDVARSQKSLDLMAGSAVCLVRWSRETREAMGEEAEAAIRLYQDIGEMWLRLVQALRKVCIDPREEIRNHAILMLQRCLTGLDGINLHDEMWVQCFDSVKFRGKRSEKIHELVPELLKNTLLVMKSTGILSPTNTAGSDGLWQETWLHLENVAPSLRSEVFPDNEPETMGASPVPGVNLLVP >KVI02466 pep supercontig:CcrdV1:scaffold_0:463911:475170:-1 gene:Ccrd_019232 transcript:KVI02466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease, phosphorolytic domain 1 TKVLETFKEEFEIGSRLIALETGKIARFANGAVVLGMEDTKVLSTVCSAKGDGVRDFLPLTVDYQEKHFAQGQIPGTYMRREGAPKERELLCGRLIDRPIRPLFPAGFYHEVQVMASVLSADGKQDPDVMAANATSAALMLSDIPWGGPIGMVRVGRIDGHLVVNPSMDEVVIFFSPLFFLCSTLSLSTRSGTFPLLCFPGFFTFISLCNLLFWLNFGTYVLEGVIYKVTLNISFCNFSEKIRYSFGTFCNIKSAAFHLNLSDLNLVYACTKDKTLMLDVQAHEISERDLEAAFRFAHPESVNEEALIVQAVKFIEPQLRLATKAGKQKKEYKLSLVSERTIDKIRSLSEAPIEAVFTDPAYGKFERGEALDKIAQDVRVVLEEEGDEESLKVLSKTVDTVRKQVVRKRIINEGFRLDGRRLDEVRPLYCEAGSLPGLHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPYCINEVGKRTGLNRREVGHGTLAEKALLAVLPPELDFPYAVRVNSEVMGSDGSTSMATVCGGSMALMDAGIPLREHVAGLSVGLVTEGLEDHLGDMDFKIAGTRNGITAIQLDIKPAGIPLDIVCESLGPAYKGRLQILNRMEQEINAARSQEGRNSPRLGARMSVSDGTLTIVAKNQSVMEKVQEKVDLIIGRAIEVGGVYKGIVSSIKEYGAFVEFNGGQQGLLHVSELSHEPVSKVSDVISVGQKLSLMCIGQDLRGNIKLSLKATLPQPKKRKTIDVVEGSLDLSKQNPDSCTPANDSLKQEENHVVKDLRADIDTSTGSNSKSSYSSISPILIRSAVECDEEEKTAGLSSKLKNNSKLPRAYEEKEDDLSSSLKNNSKPPRASKSNSSQKPKTLKTQKDELDFVSSVSGSLSSKKGRMTKPRLLTEKRMDIVSDYTSSDEDKEEDKRTTETIADAVDCLKVKKSRVDGPIDAKKLKLGMKVTAKVLQVRARGLVLDLGAGVNGMYRFEGNGKQDFEAGDEVQALCTSFNSKGIPVMSIIHDDF >KVI02477 pep supercontig:CcrdV1:scaffold_0:868:5851:1 gene:Ccrd_019280 transcript:KVI02477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MTAAVREGHLEIFEILIKAGASQPCCEEALLEASCHGRGGKFIELLMASDMIRPHIAVHALVTACCRGFIDVVDVLLKCGIDVNASARVLLCSSKPSLHTNINCTPLVAAVVSRQAGADVNIKVQLGAWSWDMGSGDEFRVGAGLAEPYAITWCAVEYFEATGAILQMLLQHISVDTCHNSRTLLHHAVLCGNTSAVKTLIKCGSDIEYPFKSTQKNETRPVHMAARLGFPSILQQLIESGCDINSRTKYNGETAQMICAKYKREECLRVLTEAGADLGSISLAGQSARSIAESNRWSLGFQQAVLGVIRAGTIPKSSNPSVFSALMFVADSGDVHALKALIVREEINLDEQDEKGFSAVMVTAMRGHIEAFRLLVYAGCDVKLTNKAGETAISLSKMNENSDMFEKVMIEFTLEKGNQNARGFYPLHYAARHGDLHAVKLLVSRGYDVNMPDGDEHTPLMLAAREGNSQMCEVLISYGSICDFENSKGETALSLARKHTEKEAECVILDELARMLVLSGGDVLKHTKGGRGCSHMKSLKMIAGGVLRWGNSKRRNVICEDAGVGPSASFQRCRRKKGDAERAGIFWVVTMKNKEVHFVCDGGFEMAELWVRGIKIVTGAARKQK >KVI02483 pep supercontig:CcrdV1:scaffold_0:150209:154519:1 gene:Ccrd_019265 transcript:KVI02483 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP12, ATPase F1F0-assembly protein HSTIYIVGSLPIGKRRSQIPWTLASSHSSAVVNLWIVESREGKHHKLLHPAEQRPFSRMATAILKKTFNSLNPNDIILRSLSSYAAAAATNAGQPQTDDTPSSFTFSPDQNDDSNDNSKGNSGGSDDIYIKSKKPPPSTSVTMPMSFMTGSIVGKRFYKQVTTRAADDGNGWSVMLDYRTLKTPSKRPLKCTTLALAKAIAAEWEYQLSDGIRPFTMPLMKLACTALERVPLTRSKIIDNLLQRFHQDLVFCRAPDDDVLTSGVHELQVKKIDPLLEWVESEFGFKPVVYSSFFGGKQEDGFVEKWGLVEGGHDLDVADLKVQVSSAAVFLGLTKRFANGV >KVI02538 pep supercontig:CcrdV1:scaffold_0:40414:53767:-1 gene:Ccrd_019275 transcript:KVI02538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGTQDQQYGDDKKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAVLVISWVVTLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGKKLGLYIVVPQQLIVEVGVNIVYMVTGGKSLKKFHDLVCDESCKDIKLTYFIMIFASVHFVLSHLPNFNSIAGVSLAAAVMSLSYSTIAWTASLKKGVQPDVQYGYKAKSTTGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVAMCYFPVALIGYWMYGNEVSDNILISLEKPTWLIAMANLFVVVHVIGSYQLPCVMWLSIYKPKKWSLSWITNWIYIVLKSFFDDRITYREVKTNHCASQNLRVFPLSSLAKQDTRTKEQKAIDDWLPITSSRKAKWWYAAFHNVTAMVGAGVLSLPYAMSNLGWGPGVTILILSWVITLYTLWQMVEMHEMIPGKRFDRYHELGQEAFGEKLGLYIVVPQQLICEVGVNVVYMVTGGTSLKKFHETVCEGCVKIKTTYFIMIFASVHFIFYSTIAWTASIHKGMQQNVEYGYKAKTPAQTTYNFLTALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYIVVALCYFPVALIGYYMFGNQVADNVLISLEKPKWLIAAANMFVVIHVIGSYQIYAMPVFDMLETLLVKKLKFKPSRLLRFVTRNVYICIFLGLCLMILSPIGGLQNIIKQAKEYKFYKD >KVI02472 pep supercontig:CcrdV1:scaffold_0:961597:965838:1 gene:Ccrd_019190 transcript:KVI02472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF760 MAAASSRAFLLSRFADLSLLQHHQPPPYSHHLLIRPLTPSISATSASKKRPASLAIVSCLISGVDGGGVSDDFVSTRRSVSFDREFSVIANMLKKIEPLDTSVISKGVSDSAKDSMKQTISTMLGLLPSDQFSVMVRVSKRPLDRLLSSSLITGYTLWNAEYRIMLMRNFEISPSDDSKSQNSGEDDEVSEEKVETSECLCDSVVMECCTEESERLNLQNCLGDLAPEAMNYIQRLESELSFAKKELHARKQENMQIENTRESDNDLLKYLRSLDPDMVNELSRPSSSEVEEVIQELVQCTSRKFFKEETTSDSTGDLDVGSQENYPNVNDDFCDTMGTSRDYLAKLLFWCMLLGHHLRGLENRVHLSCAVGLL >KVI02533 pep supercontig:CcrdV1:scaffold_0:1555439:1557949:-1 gene:Ccrd_019140 transcript:KVI02533 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAETGGALPPQRRVPPMTITLPPRSSADSFLNATEVSPGPLTFVSNFFSDHYADGDIQSFSQILAEIVPFPAVQTPTTTPVSRPENGPFLVAALKEEPQTTQISDADDSSWIESYSVGHFLDGQISEIIYNGHHNHEPPRTCKRANDGAAVDKPVDSYEQVDHLIANGVAGDDCDEKRRQGFIDFRSKTKVETEYGSWPSRITVSEPKIVVQTRSEVDILDDGFKWRKYGQKAVKGTTYPR >KVI02565 pep supercontig:CcrdV1:scaffold_0:1410185:1411656:1 gene:Ccrd_019151 transcript:KVI02565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MMKLGVAPPANRGIGILDFILRLIAITGTLAGAIAMATTNQTLPFFSRFVRFRAKFDDLPSFAFFVVASSIVSVYLILSLAFSVLHIVKANAVNSRVLLLFLDTLAHEGNNKVNWFAICVQYNSFCKRVSGSLIGSYAGVLMLILLILLSGVALSRR >KVI02463 pep supercontig:CcrdV1:scaffold_0:477197:485760:-1 gene:Ccrd_019231 transcript:KVI02463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MHTCTGAIMGSLQQPVWIKESTFLPKGHGVGGFLRQVNLGSLRPCRSSQIEGSLITGKPPSSYVSVPVPKGGGDGSGFVDHELSDVDPEVSAIIGNEKQRQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELETLCQKRALAAFHLDEKKWGVNVQPLSGSPANFEVYTAILSPHDRIMVSLVDYDMLEKTANLFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVGNPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGLELESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQNQGLDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFVYIADFIHEGVQLTREVKQAVSGSKLQDFMKFVAASDFGYTDQISDLQKRVEALTTQFPIPGL >KVI02465 pep supercontig:CcrdV1:scaffold_0:460249:462677:1 gene:Ccrd_019233 transcript:KVI02465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MATIFKTLSVIKNPVKSFVGFIESSVSVLKFCTKQRLIAEGGILHGHFYKLGIASNRHVSIKLLIMYLDFRKSAEVDQLLKDYDGFDMIVHNCLISANVDWGNVDDARKLFDEMPERNEVSWTALISGYLKCGRVDESMELFRRNPFQNVISWTAAISGLLLNGMHSKSAELFLEMLRSGVTPNVVTFVSIIRACAEMGKFEWGIAVFGLAVKVGFADDVSVCNSLITFSLRLRKMEMAANIFERMGEKDVISWTAILGMYVEMGDLQEARKVFDEMPERNEVSWSAMISRYSQQGYAEEAFELFRQMVEKGISPNSSCLSSTINGLANVKVLQVGRNVHAHVVKIGMANNVFVSSSLIDLYGSCGKTSDGRLVFDTVPDKNVVLWNTMISAYSLDGQLEEANKLFDQIPVKSIGSWNSMVSGYLRNEQHDKVFEVFNDMMLSGQKPDISTFSTAEALMMTMPCEPEVNAWAALLSGCKTYGEDEVAERTAVKIRDVAEKKSGGYVLLSNVYALAGKWPEVMETRKLMKERGLKKNGGCSWIEVKNELNLFYSQDGSRIDSNEIYGVLELLNST >KVI02460 pep supercontig:CcrdV1:scaffold_0:453379:458100:-1 gene:Ccrd_019234 transcript:KVI02460 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAAFAIFRLPEVLNPPTTTVHHRSKLDHPNHPISRWRSKCRCMQNPGEIRRTKSQNYYELLGISVDSNPPKIKESYRKLQKKYHPDIAGHQGHEHTLLLNEAYTVLMKDELRKNYDASIGHVRVGFGGDALNMGYSSWNGPLRPQALFVDEKACIDSSPIMPVELHPLGGEGRDSRARVP >KVI02558 pep supercontig:CcrdV1:scaffold_0:649863:660820:1 gene:Ccrd_019221 transcript:KVI02558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MAFGRHERVQFSKIYSLSCFRPSTSEEHGQIGQRGYSRVVYCNDFDNPEGFQVRYRSNYVSTTKYTAVNFIPKSLFEQFRRVANIYFLVVACVSFSPLAPFRASSILFPLLVVIGATMAKEGVEDWRRRKQDIKANNRKIHVYKDRSFSQSKWKDLRVGDLVKVYKDEYFPADLLLLSSSYPDGICYVETMNLDGETNLKLKHAFDVTTSFHDDDSFHKFKAVVKCEDPNEDLYSFVGSLCYNSQQHPLSLQQILLRDSKLRNTEYIYGAVIFTGHDTKVMQNATDPPSKRNQDMYHEETNRPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIAGVAYGRGMTEVELALARRKNIEQPDVGNTSSNSSGSHGGIGNSGKSIKGFNFRDERIMDGEWANEPHSDIIQKFFRVLAICHTAIPDVDKHTGELSYEAESPDEAAFVIAAREHEFSSARKRMSVIIRNAENQLLLLCKGADSVMFERLSKDSQPYEDQTKNHINKYAEAGLRTLVIAYRPLDENEYKAWEKEFLKAKNSVSVDRDDLVDAAAEKIERDLILLGATAVEDKLQKGASRESIEKQLREGRSQLDLAKSSSVLFALIIDGRSLTFALEKNLENSFLEVAIDCSSVICCRSSPKQKALTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAMMVAHASFSGQPAYNDWYMSFYNVFFTSLPVIALGVFDQDVSARLCLKYPLLYQEGVQNILFNWPRILGWMFNGLLSSMIIFFICKNSTIHQAFREDGHVVDYEILGVIMYTCVIWAVNCQMALSINYFTWIQHFFIWGSIFFWYVFLVLYGYLKPEWSKTAYRVFVDACAPSPFYWMTTFLVVASTLLPYFLYRAFQTRFHPMYHDEIQRRRSEGCESTGPGELPGRVKDKMDHLSERMKHSYRE >KVI02504 pep supercontig:CcrdV1:scaffold_0:231693:241367:1 gene:Ccrd_019256 transcript:KVI02504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase MDAKLPAKSKNSVEDQSSHQPNGVAGGTASGKTTVCNVIISRLRDQRVVLINQDSFYHSLSDEQSANAHDYNFDHPETYTILCDDSFSDSFNTELLLSCMETLKKGQPVNIPAYDYKIHKSTGSGRMVSQVDVIILEGILVLHDHRVRDLMNMKIFVDSDSDVRLGRRIKRDTVERGRNIQYVLDQYDKHVKPSFEEFILPSKKYADVIIPRGSDNDVAIDLIVQHIRTKLGQHDLCKIYQNLFVIPSTFQIRGMHTLIRDVKTRKHDFVFYADRLIRLDPSTQEWCSAKGCVESRGESMENALRACCKGIKIGKVLIQREGNGRQAPEGIHAVCRQYPRLKIVTSEIDSGLNEHSRVIPGMGEFGDRYFGTGGNGSMPFLPNNK >KVI02470 pep supercontig:CcrdV1:scaffold_0:969936:981909:-1 gene:Ccrd_019189 transcript:KVI02470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase interacting MASHMKRLRDDVFSNSQIKRPFGSSRGESYGQPPVPGGGEEIAGGVGAGGGGGGASSAQKLTTNDALTYLKEVKDMFQDQREKYDMFLDVMKDFKAQRYIIFGRKKRFQNDDHVYKSFLDILNMYRKEHKGINEVYHEVKFYKLLCRYFMTYRIMFHFMSQLCLVSHRLSSMQVATLFDDHPDLLDEFTRFLPDASAAASAHHASFLRQSYHRYDERSSVMAQLRHTQMDKQRGRRDRIIPPQGERDLNVESPDMDEDKSMVKLHKEQRKRAEKESRDRRTRDQDFKEPDIDANRDMHRLEKRKSARKVEDFGVHSGLAPYDDKDALKSMYSQEFTFCENVKNRLRNPDDYQAFLKCLHIYSTEIITRKELQSLVSDLLGKHPDLMEGFSAFLERCENIDGFLAGVMDKKAFGNEGHVSKSTRTEEKEREHRRETDAAKEDMYKEKYWGKSIQELDLSNCQRCTASYRLLPDDYPIPSVSQRSELGSQVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFELDMLLESVSSTAKRAEDLLNSINNKSINSEVPIRIEEHFTALDLRCIERLYGDHGLDVMDILRRNPALALPVILTRLKQKQEDWTKCRSDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSSLFLALVTEIKEIKEKGQKDDDVLLSIAAGSRHYIVPNLEFEFTDKDIHEDLLKLIKYSCEEICTTKEQLNKVLRLWTTFLEPILDVPSRPQNVDGAEDAELSSHGAPKTASLRPPEINGSPGADDATMNLKQSKPDCNGVQTTSPDKVDPSKNSLVNGDALAKEDRSRTERDFKSTVAGDKVSNSNGSWALAARATDSGPASQVLADLIMLRMMVMKPMANGDFAEVAKVKRHTEDSAEPSKIEKEEGELSPNGDFDEVNFGAYGDNGSRANTKHSVDPTHYRAGVGRIDADADDEDSVNVSEGMDVSGSESAADECSREDHEEDGDRDDLDGKAESEGEAEGIEDANFVGENGTSLPPSEHFLLTAKPLAKRVASPLCDGERKDSHLQIVAGDEMDNKLLQLYEYEKSRKPEKSKRQFSDLDEASAMEGADVINGLEYKMSCSSSKISYVLDTEDYFFRIRRKRRKLSGSGSSSSRSHAKVERFHSFLSGSV >KVI02545 pep supercontig:CcrdV1:scaffold_0:1386307:1389339:1 gene:Ccrd_019154 transcript:KVI02545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSSSPAPEFDQFLRDFITSFARTRTVSAASTPPPDHDGGGGGESLVFRVFSIGPGNPVNNGPLPASKSAIEAMPVVTATEEEDCAICLTEYGDAGEAKEMPCKHRYHSDCIQKWLNIHGSCPVCRYEMPVDEEEKRRRDGGMRWRVMITVTRQTPAGESNRNSLDSIENNGSLTEDMDIDVVFCFKLNIKFGWLLQATTIRLATFINWEQCNG >KVI02524 pep supercontig:CcrdV1:scaffold_0:1031919:1039275:-1 gene:Ccrd_019183 transcript:KVI02524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein, flavoprotein-like protein MLVVIWGDDGRRYTSSRAPPFNRQLYLHYTKQNTMKCTVSRSIFHNLLPPNSPSFSRIYVHFTSSTRRRRFGTVAFSQSPEVSDERMVVVGGGAAGIYGAIRAKTLAPNLNVVVIEKGKPLAKVKVSGGGRCNVTNGHCADNMILAEQYPRGSREFRGSFFNVHGPGDTMSWFSDHGVKLKIEEDGRVFPVSDNSSSIVDCLLNEARQRGVTLQTGKSVTSASTSAGGKFILKIEKRTIDYVDFIEADYLLIASGSSQQGYNLATQLGHSIIKPVPSLFTFKIDDTRITELSGVGPMLVTHWGLSGPVILRLSAWGARDLYRTLLVDFSPDLHSEDLKSLLSQHKKQFPKQKVVNSYPPELGLMKRFWRYLLNREVGIDEDILWASISNNSLMSVASLLKQCSFIVKGKISLKTMESRIQSHLFFAGEVLNVDGITGGFNFQNAWSGGYIAGTSIGKMAAASAPATLKELAM >KVI02574 pep supercontig:CcrdV1:scaffold_0:826641:827192:1 gene:Ccrd_019202 transcript:KVI02574 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA ligase/cyclic nucleotide phosphodiesterase MSEGYAIELYFDPALENQVLKAWNVVARRQISTHLIEIESRPHITLFSSPFIDPSKLENIVKGFASKQDPLPLSFGSIGSLPNDNNVLFLAPTPTLPLLQFHFQLCDAMKREGIEIGEEYRPDSWIPYCPVAEEVPKNRMAEAFTVLRDLKLPVTGYAMDIGLVEYSPVRELFSFVLGGSVES >KVI02572 pep supercontig:CcrdV1:scaffold_0:691818:695422:-1 gene:Ccrd_019218 transcript:KVI02572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMVTENPTSNPNSGALTNEVYVPHKELFGYSSPRSPLSTHSPESDSMDLGVDSPGGVDTSIEQLYHNVCEMQSSDQSPSRFSYLSYGQESRIDSELRFLAGGDFTRIDNGVTEEPMVMADEKEFKESSDNPVIVKPNQSSSPKVRTPSPKIKPPSGKKIGSRGTPKKHEKVLPNGNEDAAYVGTYLLKQARDLLSSGDNVKKAFDLAQRALKSFESSQSEKPNLEFVMCLHIVAALNCSLGRYNEAIPLLERSIEIPSMDEGQKHSLAKFAGCMQLASLEEAADRRLMGLICDSMGDYETALEHYVLASMAMAAGGHETDVAAIDVCIGDAYLSMVRYDEAVFSYQKALNLFKSTKGENHPSCASVFVRLADLYNKIGKFRESKSYCENALRIYVKPVPGFPKEEIASGLVEVSSIYESMNELDQALNLLKKALKAYGKAAGQLSTVAGIEAQIGVLYYMMGSYKQSYDCLKTAISKLRAVGEKKSALFGVALNQMGLAWNDAIEILEYVVGMREEKLGTANPDVDDEKRRLTELLDEAGRNRNKKSLSLEFLLEA >KVI02474 pep supercontig:CcrdV1:scaffold_0:935193:936525:1 gene:Ccrd_019194 transcript:KVI02474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF716, TMEM45 MAGLFTYAIGGGGLFLIGASESIISTSETLKQISRSSSLSSTPLPSSIARSKSVSFVTSLTFFAISLISFLFILNSLISIIDALKSKDQTGVVLQFEMGFSFHSNLISNGCFIREKSRGNFTIRCKGHPEFHRARAIATLQFNCHLALLVCFITGVYSFVSQKHGVSHESMQYKPLGAEMQHLDLDQTQFTLDSEDDEDDHGVVKDKENVFIDKIPAINGYGSDH >KVI02516 pep supercontig:CcrdV1:scaffold_0:798706:800758:1 gene:Ccrd_019207 transcript:KVI02516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MASNTWSWMEDVLPFVVMMTIICLDMSILTIVKAAMNEGVNYSSPTMASAISNLMPAITFLIAGPEIIKTILSPETPNQLLLSQPSNWVFGGLIIVIAVICGSSWNVLQTATAREYPDELTVVFFFCLFGTIQSIALSLVLEQNPSAWVLQPGIKIIAVVWGAVFSIVFRSNAVTWCLRKKGPVFVAMFSPLSIVIAVIMGVTFLGDSLYLGSAIGAAIVAAGFYTVMWGHAKEKNKLPTGREEDLDIADESGSSNQKTPLLSSMNESKC >KVI02564 pep supercontig:CcrdV1:scaffold_0:1430761:1440758:1 gene:Ccrd_019150 transcript:KVI02564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class I, conserved site-containing protein MVEALDLFLKIGLDKRTAENTIANNKVTSNLVAVINEESAEVSRLDGLSVALFFVHSYEIDHLAAVTDGCERSTGNLLYTVATKFPANALVHRPKLLEYIVSSKIKAPAQVEAAFAFLTVTGSEDLDISKFEEACGVGVEVSVEDMERTVNEIFEERKSAILEQRYRTNVGDIFAQVRKKQPWADPKIVKQLIDSKLYALLGEKTAADNEKPVKKKKEKPVKVEDKSTTEEAPQSIPSEEELNPYLIFPAPEENYKVHTEVFFSDRPVLRACNSKAILEKHLKITGGKVLTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGGCYLRYDDTNPEAEKKEYIDHIEEIVGWMGWKPFKITYTSDYFQELHDLAVELIRRGHAYVDHQTPDEIKEYREKKMNSPWRDRPISESLKLFDDMKRGMIEEGKATLRMKQDMQSDNFNISLHTHMLETSGVSIQVMIMHIALSILLKISHIRYVFCTMPLLLLPYLLCTLEFETRRASYYWLLDALSLYQPYVWEYSRLNITNTVMSKRKLNRLVTENYVDGWDDPRLMTLSGLRRRGVTSTAINTFVPFSSVVYIEQSDFRIKDSKDYYGLAPGKTVLLRYAFPIKCTEVVLSEDKKTVVEGVLHWVAEPSPGIDPLKVEVRLFEKLFLSENPGELDNWLDDLNPESKVVMTCAYAVPTLRDAAVDDKFQFERLGYFVADKDSTPEKLIFNRTVTLRDSYGKAWK >KVI02457 pep supercontig:CcrdV1:scaffold_0:1449572:1450756:1 gene:Ccrd_019149 transcript:KVI02457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein LQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPVSHKPKNDTLLSSDGQSKSVANLSHMAQWESARLEAEARLVKQSKLQCISPVAKQPGSVESSSTSFKLLAPASGPPTKCLDVLKAWNRVCGNKHNDVGGGNGGGDLESPTSTISTTGAGESSTNFFEFVGGNSSGSCDDGTTGEECEDEWEYKDENQLENSVPITSAFNGLNMPSIDNAWTIDSSKSDNSNNNNNEHVPGGNFLESFTDLLLSSSSTSNRGSDLNTSLEPNGVTNSYHEDNNKNYWNNILNLVNSSLQDPPLF >KVI02555 pep supercontig:CcrdV1:scaffold_0:610546:625168:-1 gene:Ccrd_019222 transcript:KVI02555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEVPKHKFPVNAEDYKLYEEVGEGVSASVYRALCVPLNKIVAIKVLDLEKCNNDLDGIRREVQIMSLINHPNLLRAYCSFTTGHNLWVVMPYMAGGSCLHIMKTSFQEGFEEPVIATVLREVLKALVYLHVHGHIHRDVKLADFGVSACMFDTGDRQRSRNTFVGTPC >KVI02485 pep supercontig:CcrdV1:scaffold_0:161651:162832:1 gene:Ccrd_019263 transcript:KVI02485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFADKDQEPEIFPPDPRKFNNLIDLYRRKRTTEPRKVGSCDVHDFFTKRNLKMEEELAKAKKKNSEAERPTWFEFLNDSSEEELREFALGLGSKIDHVKTKIESLKEIPMIDQNLDHCPFHPNTLNPMIPMDYNNLRFDPTDQCNLSENPPNLLTDLLMKEDDNDFYDFESLINIPPPPRPPLGCSFPPPMLPLPELAPPFVHDKPFSMSDFPSQMMEYNNFGFDSVELSCGDQCDLMIQPSPNSATELPMSEDWCNHDRDFNVQPLPVADSVLPPPQLQPELAPPFVHDEPFSMSDFPSRMMEYNNFGFDSVELSCGDQCDLMINQPSNPNSVTEMPMSEDLNDWCNYDRDFNVQPLPVADSVLPPPQPQPQPQPEAASVMHRNPFFVFD >KVI02505 pep supercontig:CcrdV1:scaffold_0:245000:247105:1 gene:Ccrd_019255 transcript:KVI02505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MASIVVFFLSLAAGVATGQPSLLPPSPPPSRACDIPAIYNFGDSNSDTGGIAAAFYPPGPPSGETFFKRPVGRASDGRLIIDFVAEKLGLSYLSAYLDSIKADYTHGANFATGGATIRRVNESWFVNDVSPFSLDIQVEQFNQFKDRTIYLPEDFAKAIYTIDIGQNDIAYCFRTLGVEASRAAIPDIVNQFATSIQQLYGKGARAFWIHNTGPIGCLPVTYAKIHDPPRGYLDKTGCVAGQNVVATEFNKQLKAKVIQLRSDLAGAVLTYVDMYSAKYQLIANAKDQGFNKWSTICCGYHDSDEDVYCGNQGKINGTEVFAGSCKDPTKVISWDGVHYTEAANNWIAIHITDGSFSDPPFSILETCPNHISVS >KVI02506 pep supercontig:CcrdV1:scaffold_0:248536:257577:1 gene:Ccrd_019254 transcript:KVI02506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF707 MGTITRRGMVRRPNETMRLIVTTFISVVFGLFLGVSFPTISLTKMNLPSTLLPSIDLGYIEDKYSGLSSQALLNIWSSIRRGEGILSHEPEDTKIWVPTNPRGAERLPPGVVASESDLYLRRLYGSPSEDLIIKPRYLVTFTVGYEQKDNIDNAVKKFSENFTILLFHYDGRTSEWNEFEWSKRAIHVSVLKQTKWYINLVKKHGLEISQPGLSANSGLTWQMTRKRDDSEVHKEAEERDGWCTDPHLPPCAAFVEIMAPVFSRNAWRCVWHMIQNDLVHGWGLDFALRRCVEGTAENGKAPWEGVRERCQKEWTTFQIRMTLAERAYLKMTNRLDQLNSTNS >KVI02517 pep supercontig:CcrdV1:scaffold_0:786302:792573:-1 gene:Ccrd_019208 transcript:KVI02517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MSSPSKRRDMDVMKLMMSDYAVEPINDGINELNVEFHGPKESLYEGGVWKVRVELPDAYPYKSPSIGFLNRIFHPNVDELSGSVCLDLLDLVGHVILPICEYCELYAKKGDISGTLTDDSDGDVSDDDISDGRSESSEDVARNADP >KVI02464 pep supercontig:CcrdV1:scaffold_0:488544:499158:-1 gene:Ccrd_019230 transcript:KVI02464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSFRYGISLKVSESPSSMELLLAGDTTSLSYWLNWKFLLCIIWVLTPMIIASYLIWKYEGLGDSNSYKEETQQEKEWSLYDHEAWTPCVKAIHPVWLLVFRIISFCLLLSASISDVFGSLLSAYGCFRQHKTSDVGEEQDFLLPLTHEENISRKSHFLQTAAFWGYIFQIVFQTVAGAAILTDIVYWTVFVPFLTIKGYEMGFLTVLAHSLNLVLLLGDTALNSLVAVPISRLIYGICSIVHLLFGCETQTLHIVSMVSSIVSMFEMTFSWERTRSTSRSWPSFYSLNSR >KVI02471 pep supercontig:CcrdV1:scaffold_0:990088:992019:1 gene:Ccrd_019188 transcript:KVI02471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTQKVNAFLPSYRPPTATTTIIAGKLVKFRSQNPTSMNCFRTNLVAVFLITFVVLFCTEPSTVVAEDDVECLRGVQRSIGGSGSELSTWNFANSTRGFICGFYGVTCWNDQENRLISLMLGDLGLPGSVPSSLRLCPSLQNLDLSGNNLTGSIPGEICSWLPYLVSLDLSNNELTGEIPANLGNCSFLNTVLLSGNKLSGNIPAEFSNLGRLKMFSVANNGLSGSIPSGLSNFDSSAFDGNDGLCGNPLTKCSGLSKKNLAIIIAAGVLGAVGSILLGFGMWWWCSMRSKRKRKNGIHRDDDSSSWADRLRAFKLVQVSLFQKPLVKVRLVDLMIATNNFSRENVIISTKTGTTYRAVLSDGSALAIKRLNTCKLHERQFRLEMNRLGQLRHPNLTPLLGFCIVEEEKLLVYKYMSNGTLSSVLHKNGSLLDWPTRFRIALSAARGLAWLHHGCRPPVLLQNVSSNTIFLDEDYNARIVDFGLARLMTSSSEQLDESSFADGDMGEFGYVAPEYSSTMVASVKGDTYGFGVVLMELVTGQKPLKVTAAEEGFKGNLVDWVNQLSRSGQIKDAIDKNLYGTGHDDEILQILRIAGNCVAPQPQVRWSMYRVSEALSSIAQELGLSQHYDEFPLLFDTHDMDEIV >KVI02557 pep supercontig:CcrdV1:scaffold_0:672320:673111:1 gene:Ccrd_019220 transcript:KVI02557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MQQEAKIEDSRSSPSFSCYSSHSLTSMAAAKVSNEEQAARFHEFHEFEGEDFEFLVLRDEEVSQEEIDSRSWTVFPVFNRDLLVKDGVDREIKAKNDETDVSASITGTLRKLFIDEHEESSSYSSSEADELESVPSGTYCVWRPKADGGSSPQITKCKKSNSTGSGSKRWRIRYLLRRSNSEGKEPMLFVTSKKIDSPKQKRNSGEVSRASHRLKSETPVHEQFYVQKRAENEIVKRRSYLPYRQDLVGLFANHNRMGKMLPF >KVI02534 pep supercontig:CcrdV1:scaffold_0:86823:89928:-1 gene:Ccrd_019271 transcript:KVI02534 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MADDFFCDPKVSMFSSMKIGDWYRMDDYGDTSYDDGCGDYLNLNWEWKEVSEIMSHSQDVVDVLPSDPFEMNINGGCTMIRGWIEEVDDDDDDDDDDGIGCNTFRNRKDESDGLFSGLNLVWTETMRPIGDEIDIESSNGLFLFDGSKESNHGKVLYSDSINNGCHDDDDGGDHDHDLGSPPDALFFALGYLGMRDLLSVERVCKSLRDGVRNDPLLWRSIEIDQPSDEMFSDESLLRMTNRANESLQSLSLVKCSKITDNGLKTVFQRNPGLTKLSVLGCNGLSVEGLLNNLKFLKSTGGTGIKRLRIGGLHTVTTEQYEELKKALSSSLDNEKQLGYPKPRFYHGGQLYLSLDDNRPIDIEACPKCHQIRQIYDCPAEICQLRRVSCRACTFCIPRCINCGCCFNERDYMETFCLDFLCLDCLAQILSFPDGEEEDMSIPPGFHPQASYHFCLYG >KVI02473 pep supercontig:CcrdV1:scaffold_0:938480:939937:-1 gene:Ccrd_019193 transcript:KVI02473 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1618 MADNTTSTNQLAGDGHRNPPPTHRHLITYSRKRKHFRFQVNPSTELNPISDSSVLGLVLLAHTFTYDLRNLPESLLLEILSRLPLQSIFRFKCVCKQWRSLISQPSFCRFYFTANAASSSIPFRILYRYIYVSKFKDILDRFRPDTYNSSKFSVLFLSSFEEQQQSDQFKILAVSNGLILCCLLGPLIYYICDPVTRQWITLPRGRDKYPNRHPIFFGEGLVSRVNEDNVLTSYTVVRVELLNSLSTYLNLEMFSSETGKWVFYRLPCTNPIALLKRGGGPISYNGILHWFVYNHGMVAFDPHKDPKSCRLIQFPVDRDVESEYKHDGLYRLCGECQGRLRFFEVAPEPFSFYVFSMWDLKDYEKGEWVSEFKVTRSDLSSSDPELGTWLTTAAFLPLSFHPFNLDIVYLRCVELACIVSYNIRDKRLDVACETIGVVEDLSWRVVVPFVIPRWPTPVPIPPGLKKAVKPKLAHRHRSYKIYQRF >KVI02452 pep supercontig:CcrdV1:scaffold_0:1479244:1483367:-1 gene:Ccrd_019146 transcript:KVI02452 gene_biotype:protein_coding transcript_biotype:protein_coding description:t-SNARE RPVVISYACLPLPFQLKRLQKPSGNHPTRLPHRIHRRSLPNFPTNGRLPIILSSDRRVIDYKMSETFEGYERKYCELSASLSKKCTSASLLDGGEDINASMFLQNSRVYGFTIAQDKYIYAIFILPASYVLKQKKQKISEVKAGIDEAESLIRKMDIEARSLPPNVKAVLLAKLREYKSDLNNLKNQRGRLLMSTERLNKSSDRVRDSRRTMLETEELGLHGVDDNISKSKKIMTNISRRMGRNKWIIGSMVVVLILAIILILYFKLK >KVI02571 pep supercontig:CcrdV1:scaffold_0:682865:689310:1 gene:Ccrd_019219 transcript:KVI02571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MSTASAFAVNAPCFLNASSIKKSSSLARSPSFSARFTCNSSSSSSSSSSSSSATPPSLIRNQPVFAAPAPIITPKWTEDMGNESYEDAIAALKKLLIEKGELEPVAAARIDQITAELQTPDAKAPFDPVERIKTGFVKFKREKYETNPALYGELAKGQSPKFMVFACSDSRVCPSVVLDFQPGEAFVVRNVANMTKYAGVGAAVEYAVLHLKVEQIIVIGHSRCGGIKGLIDFIEDWVKVCLPAKSKVLAEHGSTSVDDQCVQCEKVKDVATILHWKLF >KVI02437 pep supercontig:CcrdV1:scaffold_0:888901:893274:1 gene:Ccrd_019199 transcript:KVI02437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MYNRTLEYRWAHVMECWCVTAAAMAGLLEHDHPAAPPITPLCTTPPSSPNPPSAPPGITPSPPPATPPSSPNPPSTPPGITPSPPPATPPTRTPPPATPPTITPPPAAPPTITPPPATPPTITPPPATPPTITPPPSTPPIIAPPSPNPPTTPGTPPCNNITPPPHNPPTYPPPPPGSPPTYPPSIPKPPTPAPTPGNGNPPTYPPSIPPSIPTPPTYPPSIPKPPTPAPTPGNPPTYPPSIPTPPTAAPPPGNPPTHPPSIPNPPTYPPSIPTPPAPGNPPTHPPSIPKPPTPSNPPPGGPPTIPPSIPKPPTPAPTPGNPPTHPPSIPKPPTPGSPPAYPPSIPKPPTPTPTPGNPPTYPPSIPKPPTPTPGNPPTIPPSIPNPPGSPPTYPPSIPKPPTPAPTPGNGNPPTHPPSIPKPPTPTPSPGNPPTYPPSIPKPPTPASPPGSPPAIPPSIPKPPTPSPTPGNPPIIPPSIPTPPTVAPPFGYPPPTPPGLPPCTTIAAPSVPTTPATVPPPLAHSPNPPPPAMTPSTAPPVANSPTISPPSKQPAPPPNAMTPTVAPPLPNSPTTTPTISTPPTSTPPANSPISTPPAGAPPPVRTPPAANSPTTAPPLSNSPTTTSPPPAQLPSPTTPGTPPCTTPIQPPTIPPPTPTPTPTPTPPCNNAPPVVTPPPPPPQGCYPACVSRCELHSKQEICKRDCMACCHQCNCVPPGPYGKKEVCGQCYTDMKTQAGRPMCP >KVI02554 pep supercontig:CcrdV1:scaffold_0:502049:509571:-1 gene:Ccrd_019229 transcript:KVI02554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEKPLMYQPIWANHSQLSKSMKTFSLNLHLKVNRLLVQLVKQHGDRNWACIAEKFPLRAGKQCRDRWLNYLRPNIMKNAWSEEDDKLLIELHKQFGNKWAYIARMFPERSENSIKNHWNATKRRQLSMNNNKNIKYPSLLKDYITSLTSSSSDDQINIQQEPPVADTTTAPLEQPESSVFDSIHHQSETSFWSDSVIGYKPEMVFDQNDFEFDLVDQLQFDPNAEREFLDMFCW >KVI02573 pep supercontig:CcrdV1:scaffold_0:830814:852519:-1 gene:Ccrd_019201 transcript:KVI02573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein NNTFNCFNLVNFLQDGRKIHVGDCALFKPSHNSLPFVGIIRRLIVGKENNLSLSVNWLYRPADVKLEKGAPLEAAPNEVFYSFHKDEIPAASLLHPCKVTFLPKGVELPSGIFSFVCRRVYDIESKRLWWLTDQDYINERQEEVDQLLDKTRIEMYGAVQTGGRSPKPLNGQNGTAQLKPSSDNVQNSSSSISSHAKSKKREHSVQNSDSIKRERLSKVDDADSGQLRSEQIIKTEIAKITDNGGLVNFGGVEKLIQLMRPESAEKKVDLACRAMLVDVISGTERFDCLGRFVQLRGLSVLDEWLQEIHKGKIGDGSPKENNKSAEEFLFSLLRALDRLPVNLHALQTCNVGKSVNHLRSHKNSEIQKKARSLVSTWKSRVEAEMNIIETKSSTSRGGFWPSKSMMSEVSHMGSRRIGGSSEVAPKSSTSQPSALKVQQPKHNSGESISKSPTSPSSTKLSTLAAAGGGSSDMPSSAAKEGRSCSSSQSPNNSQSCSSDHGKTVASCREDARSSAAGSSSVNKISSSVSHSRNSSNGFHGSTIPGVQKEGGLGKIGSVNRNVASEKGSPTRYTSERVSDVSLVDNGNSHRLIVRLPNTGRSPARTVSGESPEDSSTVSGKASVVVPSEKQDHHDQKVSGKIDVLQGNNTPDMDANLPKGKDGLVGHDEVKEATVGLPFDDRGRMTEASLGSGSSSGVTPKPVRLYDASYSSINALVESSAKFSEASVSPSVGDDVGMNLLASVAAGEMSRSDVMNLLASVAAGEMSRSDVSPACSPESNSPLPEDSCSANVAKLRREDNISVANGHTMVEQISSVDSLSTKSRPPQQTPPFTTHISGDNADDKKAGVHAEQSERQNMDSGASVQRSIERTDEPLGRNPGVSVPTDKPPAIAIQELRSCEKPTDVADMAVKLDFDLNEVLPNDDGIQGEVERSSISGGLAAIHSPSPLPSNNGNRSSLITVAAAAKGPFCSSENLSRGKAELGWKGSAATSAFRPAEPRKXSDVPXXDNHSSKQARPLLDFDLNVGVVXDAGQNNRALSGGRLDLDLNAXEESXDFLPAVPNVRMNNMDIGNFSPWFPPNNAYPAITIPXILPGRGDPSYPVXPAAVXQRMLTPVTASTSLNPELFRGGPVLSSSPAVAFPSTMPFQYSAFPFETNFSLPSISNTFSAVXTAYVDSSSSGGTLCFPTIPSQTQLVGPNGVVSMPYRPYFMSMPGGGSSNVGPDGRKWGSQGLDLNXGPGGGADDKLGSGLRPXPLAGSQXMDDEQLKXFQQMAAGSGVSKRKEPDGGWDGDRINYKRHPSWQWRPPIGGRSGLRVDVGGGTGGTGDRQPRVRNCRHSWEREGGYRGCGCGCGGASSLEIEEGGRESRRLLPPIPSYSLTLTLSPNRVNDNFHCFNLVVFLQDGRKIHVGDCALFKPSHNSLPFVGIIRRLIVGKENNLSLTVNWLYRPADVKLEKGDSLEAAPNEVFYSFHKDEIPAASLLHPCKVTFLHKVVELPSGISSFVCRRVYDIESKRLWWLTDQDYINERQEEVDQLLDKTKIEMYGAVQSGGRSPKPLNGPNGTAQLKPSSDNVQNSSSSISSHAKSKKREHSVHNSDSVKRERLSKVDDADSGQLRSEHIIKTEIAKITDKGGLVDFGGVEKLIQLMRPESAEKKLDLACRAMLVDVISGTERFDCLGRFVQLRGLSVLDEWLQEIHKGKIGDGSPKENDKSVEEFLFSLLRALDRLPVNLHALQTCNVGKSVNHLRSHKNSEIQKKARSLVSTWKSRVEAEMNIIETKSSTSRGGFWPSKSMMSEVSHMGSRRIGGSSEVAPKSSTSQPSALKVQQPKHNSGESISKSPTSPSSTKLSTLAAAGGGSSDMPSSAAKEGRSCSSSQSPNNSQSCSSDHGKTVASCREDARSTVAGSSSVSKISGSISHSRNSSNGFHGSTIPGVQKEGSLGKIGSVNRNVASEKGSPTRYTSERVSDVCLVDNGNSQRLIVRLPNTGRSPARTVSGESPEDSSTISGKASVVVSSEKQDNHDQKVSGKIDVLQGNNVPNMDANLSQGKDGLVGCDEVKVMTAGLPYDERGRVTEASLGSGSSSGVTPKSGKLYEASYSSINALVESCAKFSEASVSPSLGDDIGMNLLASVAAGEMSRSDVSPACSPESNSPLPEDSCSANVAKLRREDNISVANGHTMVEQIMADDKKAVIQAGQIERQNMDSGASVQRSIERTDEPLGRNPGVSVPTDKPPAIAIQELRSCEKPTDVADMAVKLDFDLNEVLPNDDGIQGEVERSSISGGLAAIHSPSPLPSNNGNRSSLITVAAAAKGPFCSSENLSRGKAELGWKGSAATSAFRPAEPRKXSDVPXXDNHSSKQARPLLDFDLNVGVVXDAGQNNRALNGGGLDLDLNAXEESXDFLPAVPNVRMNNMDIGNFSPWFPPNNAYPAITIPXILPGRGDPSYPVXPAAVXQRMLTPVTAXTSLNPELFRGGPVLSSSPAVAFPSTXPFQYSAFPFETNFSLPSISNTFSAVXTAYVDSSSSGGTLCFPTIPSQTQLVGPNGVVSMPYRPYFMSLPGGGSSNVGPDGRKWGSQGLDLNTGPGGGADDKLGSGLRPMPLAGSQTMDDEQLKLFQQMAAGSGVSKRKEPDSGWDGDRINYKRHPSWHPVVGTIGNLTTLLKTELRFGSLTQKKMNHIKLHSSAAQSPVQGYSLVDDADYVGDVSETSVWWPKRFVGLEKKICPPSCLRDLSLCIVGVCYESIALLVEIVIDVLTC >KVI02455 pep supercontig:CcrdV1:scaffold_0:1534340:1540418:1 gene:Ccrd_019142 transcript:KVI02455 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MGSCASTQHKTVHEKKPRHIRSRRFSKSRKKRSDKGSCVAVSEIVQTTTCTQSNDTNSTVQVTQVEWHQMTGQEESWFDPTSKLEDSDSDDYGSVDGVPRDGFPFASDGEVIQYETSSCIVDNEGKYQESYLKIDGCKTESMLCKDGLGGHELSLLRSKGDPLEMRKMLDCHHGSLNGINNRTSVDGEEANEFQSSNKFFYHPRAGLLIPCCTDEKPTPGCWSAIDPSCFTLRGETFFQDKKKSPAPSYCPYTPFGVDLFVCPRKVNHIAQHIELPSLKGDGNLPPLLIVNIQLPTYPASMFHGDGDGEGLSLVLYFKLSETYEEDISPQFQGLIKSFVDDEMEIVKGIRKDTVVPFRERLKIMVGVVNPDDLVSNNTQKKLLHAYNEKPVLSRPQHEFYQAQKPEELPETVLCCLRLNKIDFVNHGQIPTIMVNSKDED >KVI02502 pep supercontig:CcrdV1:scaffold_0:214283:214684:-1 gene:Ccrd_019258 transcript:KVI02502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPATGFSYHRLQNEGDNDYEEEMKRAIDHVKSRIQRSSRLKRVHMRKRLKMKIPSLRKFVRRRARVVMVSMAKVLKRLKDSQSHFGDLFAGNYLFMQVNPAPLKSSSSPYAINHGSIKRIEDELRRPSPRVS >KVI02549 pep supercontig:CcrdV1:scaffold_0:772355:777135:1 gene:Ccrd_019210 transcript:KVI02549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMISRNWVFSLYIFLVFTGSFFSNVSATRPSKIVSGILSNAVSALFKWLWSLKPTTKIGFGLCNFEFFLLIAVIKVQEVKRICFCFCNFTVIPSRSMMKFEGGYTVETVFDGSKLGIEPYSVEVTPSGELLVLDSQNNRLPTLVAGSSEGYSGHVDGKPREARLNHPKGLTVDDRGNIYVADTKNMAIRKISDTGVVTIAGGNWVRGSHYIDGASDSVKFSNDFDVQYVASSCSILVVDRGNQAIREIQLHDDDCSFHHYDGNLHLGIAVLSAAVFCGFMLALLQRRISAMFSSPHPVKSVRPPLIPPEADEQEKEEDSFLDSLGKLFINTGSSLFEIFGGLFSSSKKNPINTRHTNYHQFPPYFNTWPMQETFIIPNADPPVSLETRNPNSRKSYPEKPRQSNQPRYIYGKHNGKHFNQQQQMQQLQQQHHQMHYSLGSQTYYQENNETTNEVVFGAVQEQDRNREDMLIKGVDYRNPSY >KVI02479 pep supercontig:CcrdV1:scaffold_0:1560192:1563631:-1 gene:Ccrd_019139 transcript:KVI02479 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSTATDLRHHQPPHIALFPSAGMGHLTPLLRLASMLASRNCRVTLITAQPPVSTAEASHITAFLAAYPAINRLDFQIVPYTPPNAAAAADPFALQFEAINRSVHLLTPTLSSTSPPISAIFSDIASAAGVCQVADDLRIPIYIVSTTSARFTALLPHIPSLIAPGSSITMAETSVRISGLTPFEISTLPPPFFIPNHVFTNTLVSNSLAMKKAKGILSNSFHAFEPETISAVNGGNFIPDFPPFLPIGPLEPHKLELGDRQPLPWLDQQPPESVVYVSFGSRTAMSRAQIRELRDGLELIGRNFLWVLKSRIVDKEDTEDIEELLGNSFVQRTKSKGMVVKGWVNQEEILSHPAIGCFVSHCGWNSVMEAAARGIPVVAWPQLGDQMVNAGVVETAGLGIWEKGWGWLGVKLVKGEEIAERG >KVI02501 pep supercontig:CcrdV1:scaffold_0:200859:211791:1 gene:Ccrd_019259 transcript:KVI02501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGISRDMNVSADYVRPDLFQFYIQGVAELLSGDDFSPFSCRVVGTTNGSFRDKELLPKKEEEEGGCDEKTDFVGFGSLFRNAAGDGLSGFKKAKLLAMLRQSVVALTREVDEMLDPVFSMHRLRGLMAPTKSSARYQDPNSKDENESRALKRLKVPSSSSSINEPLIVSPVSCNLTGEGSQNERKGVVAKPGKSLTGCSTIGCAKFSKTDMYPEKSNLDEPKVNDDLQVLLVNRGPKVEEKMEKHSAELSATLDRMQEKLEELLDIVISSCRPMTLAEKLQLRRLIENLPTKNLDRVVEIIQLGKR >KVI02441 pep supercontig:CcrdV1:scaffold_0:1172573:1174587:-1 gene:Ccrd_019172 transcript:KVI02441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MSFLPPEPMFFGYEDDHHPPHDFQGVQTLLMRRSMSYSGMERCEETRAGGGGGGADDDMSEDDGSQLGEKKRRLNLEQVKALEKSFELGNKLEPERKTQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQFESVKADNDALKNLNKKLHAELLAVKGGESNGIRPINLNKETEGSWSNGSENSCDLNTVTGTMTPSEESPIFYTQISNNIYPNMTSSIGPNSGLTQLLQNPSTTDLLNQRLNQTVGNESFCNMFNAIEDQPAFWPWPEQHPQHLH >KVI02519 pep supercontig:CcrdV1:scaffold_0:1303209:1307402:1 gene:Ccrd_019161 transcript:KVI02519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAKFFAILLLALLAISMLQSTVSKKGGHHHHGSGPGSLKSSQCPGQCIRRCSKTQYHKPCMFFCQKCCAKCLCVPPGYYGNKQVCPCYNNWKTKEGGPKCP >KVI02548 pep supercontig:CcrdV1:scaffold_0:738999:743293:1 gene:Ccrd_019213 transcript:KVI02548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MDCQKLMLTTFILMFFWFTGSIKNTVSQPSSADCPLDLRYFTRPQNFTCEEGTWNDFLHDKCCKGPFNMYLDALALRANQTLQIYLNSTEQTSCLMQMKDNITDVFSCGIDKLTNGINGCSDFSVQDVLTELHAEFEGLLAGCGLLGHEGDGDGWRRACGNCVQSWKEIKGITSRDGDADLCRFAVLTSLTGSRIDDFVWMQNIHKCLGEQVMDKGMKRDLPKKSQYLEVPHVAVKHIINDGFVETFLREVRNLALVRHPNLVALLGYCDNGKNKVLSWIQRLEIAIDCARGLWFLHTYSEGCIAKSLTKGGSIVGFADPKLESEYSADAFELTFKLALSCTGHKQERPSMEKTVEQLEKSHEISVSQMTSYLHKT >KVI02468 pep supercontig:CcrdV1:scaffold_0:419642:424664:-1 gene:Ccrd_019238 transcript:KVI02468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSQFMDKQIMDLSSSQNKNNADKDFMDLNSHPPQDDHHHHHDVGGGDDKKDEILPNYDFQPIRPIATLQPANFDSSNVGGTRVWTSAESKTNFGTRNYGSLDSSEPSKAAMEKDRTICDAALISEIDRTMKKHTDNLMHALEGVSARLSQLESRSRNLENSVDDLRISVGNNHGNTDGKLRQIENMIREVQSGVQVVKDKQEILETQLQLSQVQISKVEQRPEPQIAGHIESLQQQQQAASVPLQSHQQPSPPALPHQLPPTLPNAPPQNMTPPVHHPNQFPQNIIPISHHDPYYPPPQTAEQPPQQYQLPPPQLQPPKVSPPSPQPQYQPPPTHMQYSQPPPMSLQHPPHSNPNPSQNQLPPNHHSEEPPYLSSQSYPPSIRQPGGGPPTQQFQGNPSQMYEPPSGRSGPGFSSQYGPSPGFSEPYNFGGSQYGSGPGSPMKSQQLSSPGSGSGYPQLPTARILPQALPTAATVGGGGGASSSGSGNGGNRVPIDDVVDKVTNMGFPRDQVRATVRKLTENGQAVDLNVVLDKLMTDGVESQGPRAWFGR >KVI07341 pep supercontig:CcrdV1:scaffold_1:373935:377313:-1 gene:Ccrd_014359 transcript:KVI07341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTITVPAHAPSVTDDVEQLRKAFSGWGTNEGLLISILTHRNAEQRKQIREIYTSTYNEDLLKSLDKELSNDFERLVHLWTLDPAGRDAYLANEATKRWTRSNQVLMEIACTRSSHDLLLAKQAYHACYKKSMEEDVAYHTTGDFRKLLLLLVSSYRYEGSEVNMTLAKTEAKLLHEKICDKCYNDDDVIRILATRSKVQINATLNQYKNLFGNDIDKDLEGDSEDEFLALLRATIECLTYSEKYFEKVLRLAINKQGTDEGALTRVVATRAEVDLKIIKEEYERRNSVPLDRAIAKDTRGDYEDMLLSLLGCTDA >KVI07283 pep supercontig:CcrdV1:scaffold_1:1062914:1069023:1 gene:Ccrd_014296 transcript:KVI07283 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDT1 Geminin-binding domain-like protein MKSLISSRQVVRVKVPLASLHSIPVSHSTATPLNPIADRPCCSASVPTAELGHTSQTHNFNRLFFFCDSGLVRQLSYFVVMVTNSFVPKSKSVDRQAMGQKQLEGEQAAMGSSCQSILPVADKSCSEASTPVKKLHIHASQHLDANFVTPTPEKTEETLNIRCKKEPAKLPEKYGTLSEFFDRMTTSLRLLNLHKQLPTFQNICRQVETLTKRKFSYRHLAQIKFIFPEAVQADKILLHNKKTLSMEPELKVTLLFDVIEGHIEHSPYIALCHTFFHRLIKFVNTHPEGCDVPEAELPEPFNQRDITISANSLPVDSSVETLPNIDEAELLNPSHLQPSFSRHFSRKDEESIKTELSPTSVSPSSITSDTTNDRKIGYSSCSDPVKHDKALQVANEETPMKPPLVLAEVTTETPDLSTPKRSVPTENKIKSVISQKGMASNLFAKRSLDFSTADDEGEFLDEKTTCAKKVEDRTSKNDSIAQSGLKVHQDTSSCLSDLVETIHNIFCSAQWSSITKGELVHKVLVNNFDIIESGEIEEQIELLVNKVPDWISKKVDPCGDFLYNINKGSDLKSITEKLT >KVI07299 pep supercontig:CcrdV1:scaffold_1:1417859:1418362:-1 gene:Ccrd_014265 transcript:KVI07299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Blue (type 1) copper domain-containing protein MASVTSSAVSIPSFTGLKAIGATASRATTVKVAAAATPKLSVKASLKDAAVAAVVISASALLASNALAVEVLLGANDGGLVFEPSTFTVAPGEKIVFKNNRGFPHNVVFDEDEIPAGVDAAKISMSEEDLLNANGETYSVTLTEKGTYSFYCSPHQGAGMAGKVTVN >KVI07337 pep supercontig:CcrdV1:scaffold_1:1559912:1562751:1 gene:Ccrd_014252 transcript:KVI07337 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGKKKTVGGGEKGKQGKKEKLPVSTLLASMDQKPDKAKKESSSSSGGRKPKPKAAPKLQSYADIDLPPSDDDEDDVEHLSTEDEYQSEGGKKSTRRQRAEDTMLEISITDKELQKRKKKEMIAEQALEQAKQEALKDDRDAFTVVIGARAAVLDGQEEADANVKDITVDNFSVSARGKELLKNASVKISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDVLLVEQEIVGDDRTAIEAVVSANEELIRLRQEVALLMESSASVGENENEAEDDAVDNLEKLAELYDKLQIMGSDSAEAQAAKILAGLGFTRVMQGRTTQSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNEIIHLHDLKLHLYRGNFDDFESGYEQRRKEMNRKFETYDKQVKAAKRTGNQKQQEKVKDRAKFAAKEAKKKGKGKVDEDEETPVAPRKWRDYTVQFHFPEPTELTPPLLQLIEVSFSYPGREDFKLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLNPTDGEVRRSQKLRIGRYSQHFVDLLTMGETPVQYLLRLHPDQEGFSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSNPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEEKSEIWVVDNGSVETFPGTFEEYKEELQREIRAEVDD >KVI07294 pep supercontig:CcrdV1:scaffold_1:1378840:1383621:1 gene:Ccrd_014268 transcript:KVI07294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MADDLCFFIKDMLIIRAPVKNYLVLRICLVAFVMVCGVFMCSIGLKQISNFTKAGYIDTKMVARPCEMPNIEKWERSYVHFPKPATFNRAECACNPVRYFVISSTQRSGSGWFETLLNSHTNVSSNGEIFSVKPRRSNMSTIVDTLDKIYDLDWLTSASKNECTAAVGLKWMLNQGFLQNHEAITEYFKTKGVSVIFLFRRNLLRRRISILANAYDQSAKPLNGKHKSHAKILASYRPSINTTLLIPELKQADNMVKQALDYFNSTRHIILYYEDIIKNRSVLNDVQDFLRIPRMKLKSRQVKIHKGPLHEQVENWSDIQKVLNGTPYESFLHEDYRVS >KVI07266 pep supercontig:CcrdV1:scaffold_1:348025:355571:-1 gene:Ccrd_014362 transcript:KVI07266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MANKMATMAPGYLSLAPVASRLSCYSSSTSGFFKPLSSMTNSHFYRNFAAKIRASSVTATVETKPGTDVNENEAKAKKQVLACPICYDTLIWNGDPVFSVDTTPRSTLKCSTCKKAYGGNGTHLDLTVGSGSKKYGEYMPASSELFRFPLVSFLYERGWRQGFSLWGGFPGPEKESGLFSLVVALDFSETMLKQCYDYINQDQNISKENLILVRADIARLPFASSSVDAVHAGAALHCWPSPSAGVAEISRILRPGGVFVATTFIVDGPYSFIPFLSPIRQSIGQISGSRVYLSERELKDLCSTCGLVDYTCVRNRRFVMISARKPT >KVI07260 pep supercontig:CcrdV1:scaffold_1:299660:302355:-1 gene:Ccrd_014364 transcript:KVI07260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAETPKHIAGKDNGCGFIGAIFGNRPRKTPPVPRLEASKEHRRTQFSGNHTSKKPQRTHSRTTTAGAGEIVYTGFSKPVAKNPPPQPQLKTRPPTAVKKPATVRSKPVKPPYDSISKSALYSVSQVTNISYTKQLRKEPSFTSSELSLRISVRGKPNPNGSTYRASSNHVMVPGHLGNLMKKKPEKTQTYGKLGNIYGNLPKKWDPEAFKNLGNDKYKQGRFDEAIALYTEAIRMDSTIASYYSNRSAALIGLGKLVDAILDCKIAIRIDPSYHRAHYRLASLYLRFGEAEKALCHYKCSGEKAERLDFGKVQAAKAQLVTCLGARKIKDWKKLLKESQFAFSLGVDSSIQVYAMQAEALLNLHRHEEAYFGFQNAPVMDVGISAQLFGSTVTANFLTVQAQVYLASGRFEEAVSGVLRAYEIDPSEEVFVIARKTRALARARATGNKIFKGSQFSEACNVYSEGLEHEPYNSVLLCNRAACRYKLGQFERAVEDCTVALSLRPSYGKARLRRAECNAKLERWESAIQDYEVLDQETIGGEEVKQALLEARMQLEKQHVADAKFVKLIDGANREKFGGFLIAV >KVI07295 pep supercontig:CcrdV1:scaffold_1:1458089:1459443:1 gene:Ccrd_014261 transcript:KVI07295 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein LLLAYAYPAYECFKNVEKNKPDLEQLRFWCQYWILIAAMTVWDPFGDALISWLPMYSEAKLVFCIYLWYPKTKGTKYVYDSFFKPYISKHEAEIDRTLSELKTRAGDSASLYISRVVSYGQTRAFEILQLIMSQSIQRPQPAPQKQQPAAAAPQDNSGDSKPSGAGNNEDTFGARNRLRKTGSGVAR >KVI07383 pep supercontig:CcrdV1:scaffold_1:859107:860652:-1 gene:Ccrd_014315 transcript:KVI07383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin EHTISFRHPQNFPFQTKSPTFSHSYCVCVFFNPFAVIMADEVVYHHAPKTEDHCESTNVVKTENEDVEKSDRGLFDYFGKKDENDKCAEAEIASDCKDENKEEKSESLLEKLHISDGSSSSSSSEDECEDGEKKKKKKKKDKNLKEKAEKKLEEEKVKIAVKIEEGKEKVEEIKEKIHEKIEEHKEKKIEEHKDDDTCVVPVEKYEEAAVVPPSSHHHESPPAPPCEVVVHPPEPSCEPEEKKGFIEKIKEKLPGGHKKAEDDYAAPPPPPPVTAVPAEHYVDAESEHKEKKGILEKIKEKLPGYHSKSHEEEKKEKECD >KVI07352 pep supercontig:CcrdV1:scaffold_1:960676:977150:1 gene:Ccrd_014305 transcript:KVI07352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex, subunit 3 MKEAMVAVDEGSDGGRKRTVDWNSGEEKNADRNSHSMVADDGRRRWSPLMKEAMEAGSDGGSVLGLVKVCPPFFSNRRSWLSRFLQATLCSSRSAVGIASNPLFYHSLLLHQSPLSPSSQSPIDSQVVHINYIAKIICQKKNKLASVEKFNSRFRYAQVAGSTNGRSQSSIISVPTRTSTESEAGPSPVAKSSSQSSTRAFRISVGKRRTTALDGTLSSGRFPFFVLHRGSTRQQRIKKSVGRTCRNVKVNLSSSLAASHEAEVVGDQLDENLRMKNFHNAWVKIESTIKDVLHNINADVFNEIDGWVHRSFGAICSSGKPDTNKATCSYPIVTDVTAKQIFTALVVMNNMEFVDDLQTFADLGIHLQSHGCHVANLSSVDFSAKNGIGGCLKSLSRQILKGSIDAADISILASWYMEQENSKRPVVVIVEDMDRCSGPVLSDFILMLRYSQLILCLNSEWVIKIPVLLILGVATTLDAPKSILSSKAVQHLSPFKFFLGSPADRLDAIIEAVLVKPCSGFLLGHKVAAFIRNCFLRQDGTLTSLVRAVKMAIVQHFLMEPLSFIVKGLLDEEVTQSGEPTSLSEAWPKQALDLPSCMRILSEPKTEALLGGASKMKELLNLWSCVVLCLYDAGKNQKTTLLDLYYEALDPKLYNLRHTEYLESQPDSQRPSSTHGLHGQSFRNKGSLIGHTVRQVRDLPPAALFQLLEKWVKQTDGVKEMHEKVKELQLQEKFEDDNLKEPANRSRRQTIRNNGNLDKRTKELNQKAAALISCMARDHMQPIECIPFHEIVCFKNVDKLQAALLGDPRRRIQLDLLEYTLAQEHGDLINLHDWYQSFRSIIFQRPVKERHRSKVSPSPKKRKTTTEPQNITEASVQARFCRAVTELQITGLLRMPSKRRPDYVQRVAFGL >KVI07272 pep supercontig:CcrdV1:scaffold_1:718573:722571:-1 gene:Ccrd_014328 transcript:KVI07272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNALLLPPPLSTTNRFLRHRNRYQPLSQPLSSTAFYVNNSSLRLSSSSSLSEDDTITQADVVSLYPHRRYDFSPLLQFLSTYNSDSDSASSNSPASLDQAELRLAESYRVVPAPLWHALLKSLTSSPSSKSSFSIGYALVTWLQKHNLCFSYELLYSILIHALGRSEKLYEAFLLAQRQTLTPLTYNALIGACARNDELEKAINLMNRMRREGYQSDFVNYSLIIQSLVRSNKIDSGILEKLYDEMISDAIELDWQLLNDIVLGFAKSGDVDRAMYFLGVIQGKGMNPRTSTMVSLISELGNLGRAEEAEAVFEEIKEGELKPRTRAYNAVLKGYVKNGSLKDAEWIVSEMEKNGVLPDEHTYSLLIDAYGNAGRWESARIVLKEMESNDVKPNSFVFSRILASYRDRGEWQKSFKVLKEMQSCGVKPDRQFYNVMIDTFGKKNILDHVMATVERMKVEGIEPDTVTWNTLIDCHCKSGQHEKAEKLFDEMQQSGCLPCETTYNIMINLFGQQEKWEKVKSLLGKMQSDGLLPNIVTYTTLVDVYGKSGRYNDAIECLEVMKSAANTFKIMTGDGLKPSNLALNALINAFSEDKRDVEAFAVLQYMKENASVLQFSTSVSPLYHAVTNFSVILFRLQGLKADVVTYTTLMKALIRVEKFSEAFYKFKAFCTS >KVI07278 pep supercontig:CcrdV1:scaffold_1:1116178:1118246:-1 gene:Ccrd_014290 transcript:KVI07278 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-tubulin MREILNIQGGQCGNQIGAKFWEVICDEHGIDPTGQYTGSTADLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPIGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDALQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMMSSTFIGNSTSIQEMFKRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEDQEYGGGGGDEEGGEAEDE >KVI07328 pep supercontig:CcrdV1:scaffold_1:1160458:1169274:1 gene:Ccrd_014284 transcript:KVI07328 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MCGGRIYQKRQGLTESVLLYVMNLCIPLPHERDGDVCFSDFIPSSIFIVFLQWPFEVSQILWPATLLSSVSLSSSRCSRSTFAISDNMNIGAPFRASVPLQCNIPTRNNTVVGADNFAIESHSISYSINTGKGKLVPILKDCSLKIPSGQFWMLLGPNGCGKSTLLKILAGLLSPTDGRVHVRKPRSYVFQNPDHQVVMPTVEADVAFGLGRFNLTNDEKKLRVAKALTAVGMYDYLQRPVQTLSGGQKQRVAIAGALVEECKVLLLDELTTFLDESDQVGVIKAVKNTLSSSEDVSALWVTHRLEELEYADGAIYMEDGRVVMHGEPSTIMDSIEARKASYTNTINS >KVI07277 pep supercontig:CcrdV1:scaffold_1:724341:728646:1 gene:Ccrd_014327 transcript:KVI07277 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MDGHRRRHTHKHNWFRYLIPAISAISGAILLLYCFLSFLAPSPDHHLHHFGRRHSSFDHTAIDDDTPTVPSVFKVPTSGKMISDRNLWSTSMSKFYFGCSNASSQSSSSYTAQSILADCYQWSTFSEIFDVDWFISHLSRDVKIIRELPHKGGRKWSPYSTRVPRKCNERCYHIRVLPLFSKKRLSKFDYRLANKLETDFQKLRCRVNYHALKFTAPIIEMGQTLVNRMRNMGKHFVALHLRFEPDMLAFSGCYYGGGEKEIKELGRCPLTPEEVGLMLRALGYDKDVHIYVASGEVYGGNETLAPLRALFPNIHSKDTIATTQELEPFSPFSSRMAALDFMVCDQSDVFVTNNNGNMAKILAGRRVEAHQIGFMGEPNEVRPGRGEFHENPTSCICEQTDKTEPTARKINTGISEADEVVDPEMYEDDEDEDMVVSNLEYLFNGTNVDGVPEENELEELLSD >KVI07334 pep supercontig:CcrdV1:scaffold_1:1532441:1537008:1 gene:Ccrd_014255 transcript:KVI07334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELIRRHVKEESIDIPSIPPGFESFAIKSENDSRLASGSSVSTSASALKTTEGEKLKRSLRRRSGINYGRFDCSSEDDYDSIPLNQITQYCWCRVKLQDLGSLMGLSVAAKSVTAKWHPEEARVPNLPEAPVFYPTEEEFENTLEYIESIREKAAAYGICRIIPPSSWKPPCPLKEKNIWESCTFATRVQRVDKLQNRDSLRKMCRSNLHKKKRRRCTKAGVDHETQGSIPAEPMVQEADFGFEPGPRFTLDEFKKYADDFMAQYFRKNEDSSVLQDRWEPSIENIEGEYWRMVEKPTEEIEVLYGADLETGTFGSGFPNTASQVAGADEKYVRSGWNLNNFPKLLGSVLAYESSDISGVLVPWLYIGMCFSSFCWMWYGVPGKDAVKLEAAMRKHLPDLFAEQPDLLHKLVTQLSPSILTSEGVPIYRCIQNSGEFVLTFPRSYHSGFNCGFNCAEAVNVAPVDWFPHGHNAIELYREQGRKTSISHDKLLLGAAREAVKAQWEINLLRKNTPDNLRWKVVCGKDGILSKAFKERVEIERVRRDFLCKTSRAMKMEATFDATNERECSVCYFDLHLSAAGCQRCSPTKYSCLNHVKQFCSCPLSSKFFLFRYELTDLAILVEALEGKLSAIYRWAKLDLGLALTDHVSKDRSRSLESAPKEQNRIQDFLNPANVLRKDETLKSGYRCKKEFSANKRSCGGNDDVITLSNDEGEDSSTKDVSCKIDEPVVADVNIASGSVFPALGSLQKSVSCHRVPLERTDASEMSRDHSSIKETDGLKDNVEIASPARNNLERCHRHKGPRIAKVVRRLNCKVELLEFGVPQSGKLWCDNRAIYPKGFRSRVKYTSIFDPTNTCYYISEIVDAGSDRPLFMVSSENCPSEVFINLSAAKCWEMVRERINLEISNRRKLGRLKLPPLQPPGSLDGMEMFGFSSPFILQEYWKSRPFHTQSRDMCRDSSIDTQDPNNQDAGQNSLAIGADTIISSMLKKANLDELNMLMSVLTNNDSTCYQSRVTSVLKEEIARRRS >KVI07264 pep supercontig:CcrdV1:scaffold_1:368594:372428:1 gene:Ccrd_014360 transcript:KVI07264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MGVEKEVIQAGTGPKPVPGQNVTVHCTGYGKNGDLSQKFWSTKDPGQQPFTFKIGQGQVIKGWDEGVLGMQLGEVARLRCTPDYAYGAGGFPAWGIQPNSALVFEIEVLRAQ >KVI07287 pep supercontig:CcrdV1:scaffold_1:802680:804930:-1 gene:Ccrd_014319 transcript:KVI07287 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSIPVDWSDLPPELLEMIAKKLNIHKDYVRFRAVCLAWRNAAPKIPRHLPCQLPWLMLPRRTNQDPQSNHRSFISLSDDKIHLLNLPEDSDSHRRCGSSHGWLVILEETPSISILNPLTQSKHQLPPLSSFPNVTKFDPCEIGREYTLKTSDGDVYTCNSKEMRDSFIKKVIFSSSPSNEDLDYYAVAILNQTGDLAYCKKGDQCWKFIDDANSFCEDVIFHKGYFSAVSKYGTIAVCDVNGSSPVVSFIETPRQIGGDMQYLVPWKDELLLVTRYMEVEFNMDQNKLDILYKTTEFRVYKLVSNGPKWESMSELDGWALFVGENCSISFRAYEYPGCKGNCIYFTDDYSEWNYNGANGSHDLGIYDLENGCVEPLPCYPEKFYNGRRWPPPIWITPRVGGSTSVDYEKNV >KVI07384 pep supercontig:CcrdV1:scaffold_1:872176:874781:-1 gene:Ccrd_014314 transcript:KVI07384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQIESSHKSIDSISFLINHSPNSTSLAPPSSEPPYSFLLTMHPPANDTVFPLYDGGSITHLRTLCNAPSTPSGLDNAHKLNISTAVGPLTWKTMSKDYSKN >KVI07302 pep supercontig:CcrdV1:scaffold_1:1406624:1416623:1 gene:Ccrd_014266 transcript:KVI07302 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MMTVHDSDSTIYLHGEIDLTIIEARCLPNMDLPTERVRHCLKVLHLCKSSKKNKKAKRNHKVITSDPYVSVCLSGATVARTRVISNSQYPVWNEHFVTLLAHPVAQVEFQVKDNDVFGADMIGVATISAKWIKSGELIEDWFPILGPFGKPPKPKAAIRLKLRFTPCYVDNNRHEIGTDESFGLKRSYFPIRHGCNVTLYQDAHVRDGELPDIKLDGGGIEGGGECETFKHKGCWEDICHAILEARHLVYVIGWSIFDKVKLLREPTKPLPRDGNLTLGELLKRKSQEGVKVLLLVWDDKTSHDKFFIRTRGLHSKVHSEEILDGLRDSQVLGGTTCGWILQLRLILTKEGLMQTHDEETRKFFKHTSVNCVLAPRYASSKLSIFKQQACVVGTLYTHHQKCVIVDTQAFGNYRKISAFIGGLDLCNGRYDTPQHRLFRDRGTVFENDYHNPTFYFHVGLGNSVMLKEASLRTNKLGHSLSKPWTFPCRNIHWKAQAVFSDLLCIKGPRQPWHDLHCKIDGPAAHDVLKNFEQRWKKATKWSRVGVKKLSHWNDDSFFRLDRNQWILCPSSRVPNDDQELQVSKAEDPENWHVQLFRSIDSGSLEGFPKDVRAAESQQNLVGSKNLVIDTSIQRAYIQAIRSAKHFIYIENQYFFGSSYAWSSNSDAGADHLIPMELALKIASKIRANERFSVYVVIPMWPEGVPTSAAVQGILFWQGQTIQMMYEVIAQEIKNSELENAHPQDYLNFYCLGKRERCKEEGRNSLDGSSVSASQKNGRFMIYVHAKGMIVDDEYVILGSANINQRSMAGSRDTEIAMGAMSLWAEHLGKIEDYFNEPQSLACVKSINEFAEENWERFASADFTPLPGHLLKYPIKVEPDGKVCPLPDYEHFPDVGGKILGAVSNLPNALIT >KVI07385 pep supercontig:CcrdV1:scaffold_1:827948:839739:1 gene:Ccrd_014317 transcript:KVI07385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S9A/B/C, oligopeptidase, N-terminal beta-propeller MLAETRIPAMLSCAYHARAILPTATAKSSRLFLRRLKHSTLPPKRINVEHLNCKLHPRSFRLFSSMGSLSLFDEPLHYPSIRRDESLVDTYHGVPFYDYPKYGAPFREADKYFYFHNSGLQPQKVLYMQDSLDGEAEVLLDPNGLSDDGTVALSTYAVSEDAKYLAYALSSSGSDWVTVKVMRIEDKKVEPDTLSWVKFSGISWTNDSKGFFYSRYPAPKEGENLDAGTETNTNLDHQLYYHFLGTDQSEDILCWENPDNPQYTLGASVTEDGKFVLLYINEGCDPVNKFYYCDISTLPGGIQGCKEKKCLPFIKLIDNFEAMYQAIANDDTVFTFLTNKDAPKYKLVRVDLKEPNVWTEVIPQAESDVLESAVAVNGNQIIVSYLSDCKHDLQLRDLQSGSLLHHLPISIGSVDDVSARRKDSLLFIAFTSFLTPGVIYQCNLESGVPDLKIFREIVVPGFNQTEFHVSQVFVPSKDGTRIPMFIVARKDIVLDGSHPCLLYGYGGFNISLTPYFSVSRIVLMRHLGVAFCLANIRGGGEYGEEWHKDGSLAKKQNCFDDFISSAEYLVSSGYTQPRKLAIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRYSPLHNVRRPWEYSTDNSHQYPPTLLLTADHDDRVVPLHTLKLLAIDEWADSYSFMAKVVGATWID >KVI07369 pep supercontig:CcrdV1:scaffold_1:1246707:1249096:-1 gene:Ccrd_014277 transcript:KVI07369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANFRNKWENKMNEENGSAKKTQFPAHALSVPPFKVLCDGRHPIGDGKVTCKNEEAERSMRLVLVTSLLPVATMNSPLLKIVFAASSPAIDFTFSMYSLNNSICSSSDITDYGQIIARTASSDVRGSYPPPPEAKFTPVSRSSIPRLGSRYGRNTSLIPSSSTALSRVC >KVI07270 pep supercontig:CcrdV1:scaffold_1:1118958:1130028:-1 gene:Ccrd_014288 transcript:KVI07270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MIIEMSPTILHQNDRLLDQRQRALQKEQCGERLAAEDESSKKDTEVRYSDQAVLANLDWGMDALEEAINTSNLETKIARLDHAEKMLQVCAMLNSTEKTAGVPNFYLSAWAHLNLSYLWKLRNNATNAVLHVLEMFSVDPFFSRIDFAPEIWKTLFLPHMSSIVGWYSEERHRIMMDMIPDSADLSFTADFDQYFNESLVFSMRPDQAEKLQNLEKHYGESLDENTRNYANYFKDCMNYDQATSKKAIPMLPIAEPPMTPLHEVSQKIPDYVRFGPILPKSAGFSPKYKLIHFCNLECNSLSATRIASENLEDSAAWDIQEGIPEETDESDYEPDEDRVHNIESLPTVKMSKDYKTGPNGHILKEKNRTLSPVISRKTSPKVSSSKQDGNDKVTSTLTLRSTRAMDFKVNTSLPTSPPEQQESVKKKVNHERSSSNADAKSQASEKRYLEEYDSRSPNFGSPQSSERSTLSRPPKDFVCPITSHVFSDPVTLETGQTYERKAIEEWMKRGNTTCPITRQSLSANELPKTNYVLKRLITSWKEQHVDLSQELSYPVTPRSYHGSPSSRQIQSRTSNLSDHKDSNDDSSNYRPNRFMQATVATSPTSVISQAAIEAIISGIKPFITCLCTSEDLKECEAAVLTISRMWKESNADPGVHACLSTSKTVNGLIDVLSASLSREVLRTTVYVLSELLVAEERLIDILTNVDLECLAALLKNGLDEAAVLIYLLKPTFSQLSDFNLVPYLIQNICSKYEDSKDFELVMNPKDAAIELVAQVLTEGDESSRSSNAMNVMSANAVPSLVMCLDKVDTRQSVIYILLCCIHADRSCRNIIASRIDLCPVLELFHAGDEYVRGMRTFCNQILQIIKDEGAFSTMHTLLVYLQSAPMEQHPVTASLLLQLDLLVEPRKMSIYREEAIETLIESLQKKDFPNLQLTVLDALTSLSGHLSASGTSLTEAWLLKLAGFDQPYEDFGRIFNALEECLKSNSIDMTKKCLATATWLIYMLYNLPDTGIRGVAQGFELDTSMNGEVLSLLNIKGRVISSHSDGTIKVWDTGKKSLRLIQEVREHAKAVHDVKEVVHGLTADANFAYFFSQGAGVKVYGWSGVIKNIGIKQTVKSLAMIGNKLYCGCNGFSILEVDLRENTTTTFYSGARKFLGKQSINSLHIQDNLLFMGGTSIDGAAGKVVFSLSSRAVVGSLQTGFDIQLLAVNNDFIFGATKSGIIEVWLKERLTRVASIKNAKITSLASDADGEMLFAASSDGRIQGWDLD >KVI07265 pep supercontig:CcrdV1:scaffold_1:363677:367513:1 gene:Ccrd_014361 transcript:KVI07265 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4057 MERNTPVRKPHTSTADLLTWSENPAADSPATGSAASAARSSARSHQPSDGISKVVFGGQVTDEEVESLNKRKPVSGYKLKEITGSGIFAAGAENHVEEADAANPTPTSKTGLRMYQQTVAGISHISFGEEETVSPKKALSEAKQRELSGTLDSESEARLKKQISDAKNKELSGHNIFAPPPEIQPRPLAARALALRESISIGESSPHNVSSSQPVKTAKKIPSQKFTELSGNNIFKGDEAAAMAEKPLSSAKLREMSGSNIFADGKAESRDYLGGVRKPPGGESSIALV >KVI07261 pep supercontig:CcrdV1:scaffold_1:290114:291109:1 gene:Ccrd_014365 transcript:KVI07261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRREGKGPPSRDLLVCFPTRAHLTLMPKAVSSPRRHHQNHLRKSMRGGGGGHASPYLKQMSSENLSEPTSPKVTCAGQIKVRPRAGACKNWQTVMEEIERLHINRKTKKPNWVEALGFKKEVMQFLACLRRIKFDFRCMRSFSHVDVTSDDEEGDNDKEIDQNQDIQDHYDHEEVSSRTVFSKWFMVLQENNQDCGVFSKDEKSLTSEETDQDPRPCLPPANALLLMRCRSAPAKSWMEEKQEEEEQEKEDDDDDGHDEKVEEEEHADDDDGDDDEKMEKKEKKRKSLMELMKINDQSDFYKLSSDVVKETWVIGGIQKDPFSRSRSWKR >KVI07282 pep supercontig:CcrdV1:scaffold_1:1073982:1078610:-1 gene:Ccrd_014295 transcript:KVI07282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLLLRPPPLPPHSSPFLLRNLHQIPSNFNLRNQPFTFKPLLSFATNALTESSDSPKSLDQPDPRILLQELADSFNLPPDYFSQLPRDLRLDLNDAAFDLSNGPVMEQCGEELGTTLLNISRAWESADTSTSTALVNNLPSLVNSLTGNRKSGKTLGKRLASAGRRFQSMGQYGQGELQRISKAMISTGKLLSSTSTSEATNEQPKDETRMFKFGELQVELTREKAYIGAVIGFVYGIISWELSQGIQNTPESSFQFANENAAMIAQSLRGALLIVFYGSTVLSGFATLGLVLLAQQLKSGKK >KVI07276 pep supercontig:CcrdV1:scaffold_1:736590:741781:1 gene:Ccrd_014326 transcript:KVI07276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPAVPPTFGLRLRRSEADFCPPLLLRRRVMIRGSDCKISCCCSGKVVPIRRIAVGSGRKCGNKADEWPIELKKKTHKVRAQAPPALPFASSQSLMPSRQEKFFPRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLSEHVNESGVNVDGSSWYRESGEDFGENGLRCRWTKMGGQSDDGSSEWKETVSKNLEEILKGIHGGKHGENNLARIERSAQKQAKSGTENAGWYENWWEKYDAKGWTEKGAHKYGRLNDQSWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPAGERWSRTWGEEHFGNRAEPHYGWADVVGDSSQLLSIQPQEKPPGVYPYPDFGSSPTPKNDRLSPP >KVI07259 pep supercontig:CcrdV1:scaffold_1:421433:424989:-1 gene:Ccrd_014356 transcript:KVI07259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSHFGRAGPPDIRDTYSLLVLNITFRTTADDLFPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVEKLDGRVVDGREIMVQFAKYGPDAERIHKGRILEPAEKLKGRSRSRSPRPRHRDDYKDKDYRRRNRSRSRDRSDHERHRGRDRERHRSRSRSRSPDYRKERRRGRYDDEKGRSRSPNRSISPARRSGSPRRSPSPRRTPPSRSRSPVARIEKERSATPKSVSPRGRADSRSPSPQRSDADE >KVI07355 pep supercontig:CcrdV1:scaffold_1:553159:557702:-1 gene:Ccrd_014345 transcript:KVI07355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAGGGRGLDETATWSVAMYLKARHKIGLHEALEKIKTELMVLGFISLLLTFCQSYIAAICGYEPLITVEGLHQLHIFIFFLAVFHVIYSALTMIAGRAKFRLTKETSFVKGHTTNTGLSIVFYTVCFFRQFCSSVKKADYLTMRHGFISRSLEDDFKVIVGISPLLWSTAVLYLFANVEGEILLAVGTKLQAIIAQMAMDIQERHAVIQGIPLVQVTDKHFWFKDPTIILYFIQLTLFMNAFEITHFFWIWYEFGLDSCFHVKPVLQYGRVLVGIIVQVMCSYAILPLYALVTQRSIFDDQTSKALKHWKKHAVKKKETKGQSGHLPTKGLSSPSGNAQSHVAPNVDNPDSPSQSAHIVASVDIPQDKRN >KVI07354 pep supercontig:CcrdV1:scaffold_1:937388:942766:1 gene:Ccrd_014309 transcript:KVI07354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILTGILSSSPPSTLLHFNRFFISSFSSSYETQIQSSWRRRFWRSISMYSSSSSSSSSLYTCASSSRIDPIVSPSVVGEPETITENNKPPKQYPWLIVGLGNPGKLYNRTRHNVGFEMVDAIAEAEGISMSSVSFKAFFGKGCIGNTPLMLAKPQTFMNVSGESVGAIVSFYKIPPKQVLVIYDDLDLPFGKLRLLPKGGHGGHNGMKSVINHLKGSRDFPRLRIGIGRPPGKMEAATYVLRRFNKQESEELDFTFQNGVEALRILLLQGFDKSATFVNSPKPLKHLQ >KVI07285 pep supercontig:CcrdV1:scaffold_1:1052278:1058432:1 gene:Ccrd_014298 transcript:KVI07285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex component CSL4 VYPKERGTPYKLLPFVVVLSPAAIEVLETLFPVPNSQPQSRKKDRMQEKIAEEEMVTPGEVLGKGSEVKAGRGAYIAPYNNTVYASLTGRRCIVPSSPESPDQRPTVEVIGHKAHGPVPEPGCVVIARVTKVMARMASADIMCVGSKAVREKFSGIIRQQDVRATEIDKVDMHTSFRPGDIVKASLSLGDARAYYLSTAQNELGVVSAESMAGGTMVPISWTEMQCPLTGQIEQRKVAKVGA >KVI07377 pep supercontig:CcrdV1:scaffold_1:693165:699256:1 gene:Ccrd_014331 transcript:KVI07377 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/Kelch-associated MEKKQKKFLTVAPFECAWRDDLRFKEAGRGCVAFEAFAHNDVTVVFREQLGSQHYHYKRDNSPHYTVIIGSHRNRRLKIEVDGITAVDEAGLGLCCSSAFQSYWISICDGLISIGKGRYPFQNLVFQWLDTKPNCSVQYVGLSSWDKHVGYRNVNVLPLTHNHISLWKHVDCVEYKGDEDMEEDLRNEFGNFDNHQGLGSFLESWELSDVYFIVGKEERFVPAHKVILAATDNFDLSLLDQDVILIKDVSYPVLHAFLRFIYKGFTQIPESLLSSLRDLSVRFGVTSLVKQCAEVIERFKLNKKLFDSGKNVEISYPSSQPHCGGASFPLGLPVNVQRLEQFHITGAFSDVDVSIEGHGHISQSHKVILGLWSLPFMKSVSSDVCLKDVSPKAFKIMINYFYSGGFNLEDTVDSNILLLELVLLADQFGVSLLHQDCCKTLLERLSEGSVCLILQVIPSIPSCKLIEETCERIFTMHFDYCTTASIDFVLLHDSTFSNILQHPDLTVTSEERVLNAILMWGLQPRELSGWEVVDNMLSTMTPEDLFGARFPSVNVLLPLVRFSLFPLFLLKKMETSNLSMQIPTFHHLVKEAIGFLEVGLPTSGSHPNITASNPISRYTDPKVLASRTYQGSSDGKIWTNLRVHEKDQTLSKPGQFASWAVTGPNALLPFRYFRVALMGPTTDDINLWTLSICFLELYGYFH >KVI07281 pep supercontig:CcrdV1:scaffold_1:1079831:1081156:-1 gene:Ccrd_014294 transcript:KVI07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MATLPSCKPQLLSIFFLISTTHFAAGEIKNTHIRHDSRPMILFQKFGFTSDGHVSVSVNHLSWKSKYQNARLKPSSLGFFLVRDKSFSRILNESEYTQGFCMLSSHYVKIVLRLSNLGAPIDRIFDGSVIVDEPDEYNLVFGNCQPEFEVSMKVRTEMYNVDNNGRKDFLPAGQHPLPKLFFLFFVVYTTFFAIWVFVCVKQRSIIDKIHLIMCALLIFKSMKMLCAFEDYMYIKNTGTPHGWDVAFYFFGFFKGVTLFTVIVLIGTGWSFLKPYLQEREKSVLMFVIPLQVLENIAAVVIGETGPATKGWFTWNEMLLIMDVVCCCTVFFPIVWSIRTLREASTTDGKAARNLRKLTLFKKFYMVVIAYLYFTRVVVSVMGSIVNYRYEWVTTLASEGGSLVFYLFIFHNFQPVEKNPYLLVQDGEEGCQMAEEGSLLEK >KVI07311 pep supercontig:CcrdV1:scaffold_1:206764:210576:1 gene:Ccrd_014372 transcript:KVI07311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen Ole e 1, conserved site-containing protein MAKMMMMLALCVLLPAVINAGRPMSRPFRLEGRVYCDTCRAGFETSATTYIPRATVRVECKDKEQKLLYSMDGTTDSTGTYHILVNEEHGADEICDVVLVSSPMGGCMTADPSRNRARVVLTSYNGIVSDKRFANAMGFMRDEIMSGCTTLLQSLMEEEN >KVI07356 pep supercontig:CcrdV1:scaffold_1:593296:594981:1 gene:Ccrd_014340 transcript:KVI07356 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MISESLTGETSLHQELEVLSVSKRLVRSLSQKLKRKSHDEDGISLRCPTLYSRAGGCKVGATTGEDFGDMGCRRRSSSSSEDVKGYKPACGTDDTNVDCFSYGGKERFWKRSNRKITEIEERPVFLPDDIVEMCLVRLPLTSLMKARLVCKKWRILTTTPRFMQMRREGLYQSPWLFLFGTVKDGYCSREIHALDVSHNKWHKVESEVLKGRFLFSVVSINDDVYIVGGCSSLTNFGKMDRSSVKTHKGVLVFSPLTKAWHKVASMKHARSKPILGVYEVTSDCLIVKCRQDRRLLRTRIGGVSDVYEDPHRLSVRRQLRNSVDETEVSAKPSRLLVRQKNEQSSMKDVRRFFIIAVGGVGSWDEPLDSCEIYDSSSNKWTEIQRVPGDFGVVCSAVVCGGMFYVYSETDNLAAYDIERGYWVGIHTNTLPARVHEYYPKLISCDKRLFMVSVSWCEGDGEIGRRNKAVRKVWELDLRCLKWEEISRHPDAPMDWNATFVGQKNLILGVEMFKIFGQVLDFLTMFDVSDSTKNWIHISRNQVAHQLDASSCLTKSVAVLHL >KVI07280 pep supercontig:CcrdV1:scaffold_1:1110249:1112376:-1 gene:Ccrd_014292 transcript:KVI07280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRDSSGSNPPGDRQDSWKKIFKGLVDLIQDQQRQLESLVKERKSLERRIQSQHDRWVSDVKLLEDHISQVMRNSKIKDMVRFVDSAKANLIISMKQKEAIMHKLKFGRFFITFISLFSFSGNPSNLQPLMHWVHRATRSNTKDIDESALKAERDFAWNQFKKTDAKLQEHVKRTKSEVEAANERIQKLMSDLEQSQSANMEKNRTISTLQDDVAMLESDSRKKSEEISRLNKELELLRGDSVLRRCMIEPVSSGLKDKKSHSKGGRRSKRKAESEPRLFTSRFKVPKLKNASPS >KVI07305 pep supercontig:CcrdV1:scaffold_1:1332878:1338872:-1 gene:Ccrd_014271 transcript:KVI07305 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MDRYQRVEKPRAEQSIKENEIRITSQGRMRSYITYAMSLLEEKGLSEIVFKAMGRAINKTVTIVDLETTRHVSMIAITLSKKELNTSSIGGSGGRGRGRGRGRGRGRGRVMSGEEAGEEAAIIVDVEGEITVMALIWMLLKMHTIKKHQCKEEGVAVVVVQGVRDTDLMGGARPMQQVKVRKGSLLLILYVCFYSLPVVFYC >KVI07380 pep supercontig:CcrdV1:scaffold_1:649106:650324:-1 gene:Ccrd_014336 transcript:KVI07380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PYINSPLSCPIFPFISYEQTLILNLSIRSDSIMKGAKSKGDTKKADSKLTVKKVAPKGKAAKDPNKPKRPASAFFVFMEDFRKQFKEENPGNKSVAAEKAPFVAKAEKRKKEYEKTLASYNKKLAAGGDDDDDEDSDKSKSEVNDEEDEEGSEEDEDDD >KVI07351 pep supercontig:CcrdV1:scaffold_1:962756:979347:-1 gene:Ccrd_014304 transcript:KVI07351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKNPSQLETVQGNKRKEVPCIVSTYLTHNRFLFWSSNATIPQGTLVGGRCQETVDVFGRVQPQRSSPLPTIHPLHPNARPICHVHVELPQHFFSCIMTLCQHTKIHIHYLSPNEKLVILNFKYAFGSDFMQMKLKTALSYRNNRMNSIIAFEALRKADRFTSAEKEYHSLPLPLPLMFPFPADGLKSFPNRRKSLFEYGVTNLTSMLPFKICLDKLFKQPPIPFLAEKSTDESLEFRSASGFLIVFYFGRGASGIGCDPGGIIYRDIILEYVDYVVPIYEDDFGDIVDMNYLNVGVAEPDYQIFICNLTLCRSVLPVNRLVTEKKAIMVTDEEEENDRTEGCWRFLQPTEKNRASLGEIERARNDD >KVI07286 pep supercontig:CcrdV1:scaffold_1:1017760:1050415:1 gene:Ccrd_014299 transcript:KVI07286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSTESASQLVDLIFMTLYIYDDRGSRKAVDDLIVKELSEVIFMKTFAATLVQGMEKQLKFQSHVGCYRLMNWSCLLLCRSQFISVSKSAFSRVAASQASILQISMQGSSHERRACRRTFIHSFFESPDIFSLYMEELKGAKISYKNCPEMLCVMLDFSSSKPSLFERWKPVYLDMYVQAVLNTRDKPTKALSEAFRSLFFCLSHEDFKSVILPSSVKMLKRNPELVLESIGVLLRYVNLDLSKYGVEILSVVLAQARHADEGRRLAALDIVRCLCQKSSNPDAVEAMFSAVKSVIGGSEGRLAFPYQRVGMINALQELSRCPEGKYLGSLSLSVCDLLLTSYKDDGNEEVKHACLTALASWVARSTDAIRPEFVSFMALGLKEKESLRRGHLRCLRIICKNPDAVLLISSLLPSLVQLVKTGFTKAAQRLDGIYALLIVVKIAAADIKADETVSREKLWSLISQNEPSVVPVAMTSKLSLEDCETCIELLESLFVDHPQRVFETLHVRSLMQLILTFLCHSSWDIRKAAYGCTKKILSAAPKLFETLLIEYSDHLNVVGEKVLAKLSDTETSSDAQIAFVPSVEVLVKALMVISSGILAAAPSSCIRLIFISHHPCLVGTAKNDAVWKRLQKCLRALGFDVIGHIMADVSNLCQSLLGPMGLMSTSRMEQEAAIHTLSTLMTITPAEAYAEFEKVNSTSLFRKIFNNYLNEHLKSSPDRGAHDMLSENDIQIFHTPEGMVSTEQGVYIAESVASNNMKQAKGRFRTYENSDSMDNGNSTSGKRETAGKERAGAGKKDIGKQTRKPGKCFFIISFFHKFNRIIADPKSRDVLAGAWGSKKACCLFWYNAIVVSDVKFVNPLLQSRIVNEVAFETVVKLSRCTAPPLSNWGLDIATALRLITTTEPYVSWDRLSSLGEGDHNDRSSLSLFERVMHGLSVSCKSGPLPVDSFRFIFPVLYHVLGAIPAYQGSVVPALNELCLGLQPEEATPALSGVYAKDVHVRMACLNAVKCIPAVSSRSLPQDVNFATSIWIALHDPEKVLSNSYNASASYLLLITKEFACTSDMVFYPLYQFSEYDAILHAILTKDTDIIALPLESRISVYDIHSVAEVAEDIWDRYDHEFGTDYSGLFRSLSHVNYNVRMAAADALAAALDEYPDTIQEALATLFSLYIRDGGISEDNIDSGWLGRQGTALALHAAADVLRTKDLPVVMTFLISRALADTNADVRGRMINAGIMIIDKHGKDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHAVVEKLLEVLNTPSEAVQRAVSSCLSPLMKSKQEDGMSLVSRLLDQLMKSEKYGERRGAAFGLAGVIKGFGISSLKKYGVATVLREGLANRNSAKCREGALLAFECLCEKLGKLFEPYVIYLLPLLLVSFSDQVVAVREAAESHTQPPPNFHLFVFFQLKQLQNQTEENGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEIASLVPTLLLGLTDPNDHTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGAETKKKAAQIAGNMCSLVTDPTDMLPYIGLLLPEIKKVLVDPIPEVRSVAARAVGSLIRGMGEENFPDLVPWLLDTLKSDGSNVERSGAAQGLSEVVAALGTEYFEHLLPDIIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQNYLQQVLPSILDGLADENESVREAALGAGHILVEHYAVTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAQGRAIIEVLGRDKRNEVLAALYMVRTDVSLSVRQAALHVWKTIVVNTPKTLKEIMPVLMNTLISSLASSSSERRQVAGRALGELVRKLGERVLPLVIPILSQGLKDPDSSRRQGVCIGLSEVMASAEIYIFYSMVEVRESAGVAFSTLYKSAGMQAIDEIVPTLLHALEDDDMADTALDGLKQILSVRTAAVLPHILPKLVHLPLSEFNAHALGAVAEVACAGLNEVQDLAKKAAETVVLVIDDEGIENLISELLKGVGDNQASTRRSSAYLIGYFFKNSKLYLVDEAPNMISILIILLSDSDSATVSAAWEALSRVVSSVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPIVIPGFCLPKALQPLLPIYLQGLISGSAELREQAAQGLGELIEVTSEKALKEFVIPITGPLIRIIGDRFPWQVKSAILSTLTIIIAKGGMALKPFLPQLQTTFVKCLQDNTRTVRSSAASALGKLSALSTRVDPLVGDLLSNLQASEGGVREAILVALQGVVKYAGKSVSAPVKTRVFDLLKVMIYNDDDQIRSSSARILGILSEHLEDDQISELLEELPDKASSPNWSARHGSLLTISSMLRHIPTIVAASPSFTTDPSNTNAHKGTVASIASAMQDDSSEVRRRALFALKAVAKANPTLVMIHVTVYGPVLAECLKDGSMPVRLAAERCSLHALQLTKGIENVQAAQKYLTGLDARRIAKLSEYSDDSDDSEDDSASG >KVI07292 pep supercontig:CcrdV1:scaffold_1:912367:916899:1 gene:Ccrd_014312 transcript:KVI07292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLLRDLSEKKQNFRRNVVSLAAELKEVRTRLSFQEQSFAKESLTRQEAEARARNMELEISRLQKNLDEKNLQLQASSSDAEKYLRDLDDLMSQLSSTKATADASAASAESAQLQCKALLKELEQKNRSLKEHESRVNKLGEQLDLLQKDLQSRESSQKQLKDEVLRVEHDIMQALAKANHDSELRRILDEVSPRNLDNLAKLLSTKDEEIAKLRDEIRVMSAHWKLKTKDLESQLEKHRRADQDLKKRVLKLEFCLHEARAQTRKLQRIGERRDKALKEVRDELAAKNGGSGSGYS >KVI07308 pep supercontig:CcrdV1:scaffold_1:545113:550852:-1 gene:Ccrd_014346 transcript:KVI07308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I MGRFRFDLLILLLAIHWSTALSDLVISKLDRRIDLTSQIVRMSLSLKVENTGPDPVSEVSLTFPEYQAKNLALLMAISTEGKGKTKGFSSNLPIKVKQPDDSPPSLIWYAVSLLKGLGKGESLTLEVLAVFTHTLRPFPEKITQAEAQLFVFQDSAHFPVPYNVKIQSLTVKLPSENVESYTKLENTKLSGSEIKYGPYENLPALSYSPLAIHFPSNKPFAVSQELVREIEISHWGNVQVTEHYNLIHGGAQSTGEFSRLDYQARPNVRGASAFRNLIAMLPPRAHSIYYRDAIGNISTSNIYGDSSKTLLEIEPRYPMFGGWKTSFTIGYGLPLRDFLFQSGGSRFLNISFGSPMNDVLVENLTLKVVLPEGSKDIYVSIPFSAKQSRETKFSHLDIAGRPTVVIEKVNVVPEHNQYFQVYYKFSNLSLLTEPLMLIFGFFSLFVACIVYMHADFTISKTSASYLAKLQWDEVQATIQQLHDIINHCLAIHDKLEASLRELSRTGDVQACKAVRKSADSLLKDLSKDLKPLLAFLQSSPQAAHILPKVEELVVKERDLQEKIMLKHTTVVDSYEKKSGGRDIENRVASIQQKITALKQEVDDLLEFVEDI >KVI07378 pep supercontig:CcrdV1:scaffold_1:702500:707555:1 gene:Ccrd_014330 transcript:KVI07378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 2 MAEHQNKNKYSIIIPTYNERLNIALIVFLVFKHIPDVDFEIIIVDDGSPDGTQDIVKQLQQVYGDDRILLRARTRKLGLGTAYIHGLKHASGNFVIIMDADLSHHRWGRAWLDFDAQIDKSRGECPCTYASLAWCIRLDRLVSSLWKFEAWWIRNSGVSEGSRVSSRDH >KVI07344 pep supercontig:CcrdV1:scaffold_1:493448:498579:1 gene:Ccrd_014353 transcript:KVI07344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome C-terminal MAGGCSIVWFRRDLRVEDNPALAAGVRAGKVIAVFIWAPEEEGHYYPGRVSRWWLKQSLASLDSSLKNLGTSLITKRSSDSVSSLLDVTKSTGATQIFFNHLYDPLSLVRDHRAKEVLTENGIAVRSFNADLLYEPWEVLDDDGRPFITFTSFWDRCLSMPYDPEPPQLPPKRIISGDFSRCPSDTVAFENDSERGSNALLARAWSPGWSNANKALAAFVNGPLLDYSRNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRTKQILWANEGNNAGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLKFFPWVIDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDSREFDRIDNPQIEGYTFDPNGEYVRRWLPELARLPTEWIHQPWDAPEYVLRAAGIELGSNYPLPIVKIDAAKARLREALTQMWQHEATSRAVLENGMEEGLGDSSEDALIAFPQDMQMEMDQDTVRNHTSITIRHYEDQMVPSLTASLLRGGVEEEEESSSDHRSLANDSRAEVPDDVMDPEPARESLHQELAQFNIQIALRNAEDSPAESSNGTTSRRERDGVVVPVWSPATSSFSEQFVGEDSSYLQRHPQSHQMINWRELSQTG >KVI07296 pep supercontig:CcrdV1:scaffold_1:1462186:1463253:-1 gene:Ccrd_014260 transcript:KVI07296 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MERTTTTIPTPPASSTVTSASSPSLQAPPPAFQHLEINLISAQDLSLSAKSMKTYAMAYLHPNRKLSTLVDTKGNTNPTWNEKLMFKIDDQFLFSESSSLTIEIYNVSWFRDVLVGSVNVLIDDLITPEHKHYRAGSRFVTLQIRRPSGTPQGIMNMGYTLGNANEQGVPLYSEFSGDKKPENEAAIDLEDGKLHVHEKIHLWRSRSVDEIDDEDDYPGSVYNGSMVNGSEICSDVGPSASIVAAEIAQKSQPPPSPRPPLIARRPVMRNAEDGGSSILGELTMEEALAKGWLVDFPAHNRVARRRNHPRRHSDGGGLFSCFALGIEFHIVCGSANKQSSSNAKRNRARNRKGSG >KVI07263 pep supercontig:CcrdV1:scaffold_1:259530:260486:1 gene:Ccrd_014367 transcript:KVI07263 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MASATMEFWNINDFQQRASGGLFNGGELMEALEPFIKSSSDYQNTLPFSSPSTSYPYPSSSFSTSSSTHQESGLYPDGYTHGFSTQDPFGYEQPVSSFGLNQLTPSQIHQIQAQINLPTFNNYLAPKPVPMKQVGSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDIAAYKLRGDYARLNFPHLRHNGSLIGGEFGDYKPLHSSVDAKLQSICRSLAEGKSIDVGKKKGSRRSSAAKARTVVEQPEVVKVEGSESDGSGGSSPLSELSFPEFTEEESALCGSDNLILEKYPSYEIDWGSI >KVI07293 pep supercontig:CcrdV1:scaffold_1:1362555:1375503:1 gene:Ccrd_014269 transcript:KVI07293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MLSSIPTARTLTNSFASFFHRNPPILTPIHQRVSVTFCSGALDFDDEPQGEEDVFSGGRRRTNYTGVRLEESVEELKSGKIRLDTWISTRINGISRARVQSSIRSGLVSVNNRVTVKASHMVRHGDKVECTISELQPLKAEGEDIALDIVYEDEHVLVVNKPAHMVVHPAPGNTTGTLVNGILHHCSLPTPSFQDQELLSDSDDLDDIELDEPFINQTDSKDFSFGTNETSVRPGIVHRLDKGTSGLLVVAKDEHSHAHLSQQFKQHTIQRIYVSLTCGVPSPASGRVDIPIGRDLTNRIRMVADTGPTKCGKSRYAASRVVEILAGGGSALVEWKLETGRTHQIRAHAKYLGIPLMGDEVYGGTKNMALARLHPKNPSSLHGQLSQIVSKLDRPCLHALTLGFTHPCTGEKMQFSCLPPVDFAELLTGLRNIST >KVI07363 pep supercontig:CcrdV1:scaffold_1:1542622:1543992:1 gene:Ccrd_014254 transcript:KVI07363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILIFIETMNLDDASIRGIQSLDRHGVCKEHWKSRPFHTQSRDMCRDSSIDTQDPNNQDGVQNSLAIGADTIISSMFKKANLDELNMFMSVLTNNDSTCYQSRVTSVLKEEIARRRR >KVI07319 pep supercontig:CcrdV1:scaffold_1:981382:984186:-1 gene:Ccrd_014302 transcript:KVI07319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MESSSRNKLEKFTKTSNDSIRYKERGRTKGGGRREMLLGIGFLMQGFRCFPWMAVIFFLKDGLRVDPSTLQILQNSANLPMVAKPFYGLLSDSFYVFGQHRIPYIAFGALLQAVSWLTIASLPSSSMSFFTITVYLLLGNLGASIVEVANDAVVAECGKQSANDSGSSSSDLQSYAWVASSIGGVLGNLLGGISITQFSPSAMFSFFGILLTLQFFITISVSERSLDLPKSPSNHGIRKQLSELLLVLRKPEIYQPISWFAASYAIIPALTGTMFFYQTQHLKIESSVLGISKVFGQVAMLLWGVVYNQHLKSIPPRKLISMIQGTMAVLMVSDALFVNGFYRTMGIPDSLYIVVVSGLLEVLYFFKTLPFSVLMAKLCPAGCEGSLMAFVMSSIALAFIVSGYLGVALGSYVEITETDFSGFQKGLLIQAACTVLPLFWSSVIPEYPKLKTQKKEK >KVI07315 pep supercontig:CcrdV1:scaffold_1:109451:121534:-1 gene:Ccrd_014376 transcript:KVI07315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase, central domain-containing protein MSEAQRMRQNGSFNHGNGYLNGAISLRSPATRSAIDEFCNALGGKKPIHSILIANNGMAAVKFIRSVRTWSYETFGSEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVETAEITHVDAVWPGWGHASEIPELPDALEAKGIIFLGPPALSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPNSCLVTIPDDIYRKACVHTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDEEVKALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQHGNVAALHSRDCSVQRRHQKIIEEGPITIAPPETIKKLEQAARRLAKSVNYVGAATVEYLYSMETEDYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVNAGFYLRFSFKAIFYLHFSFLSALIFMPSWLEIRRFYGMENSGGYDAWRRTSELATPFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRTLAIANMVLGLKEIQIRGEIRTNVDYTIDLLHAPDYRENRIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKAAARSAAMVSDYVGYLEKGQIPPKHISLVNSQVSLNIEGSRYTIDMVKRGPGSYRLRMNQSEVEAEIHTLRDGGLLMQNDHDPSKLVAETPCKLLRYLVSDGSHVDADTPYAEVEVMKMCMPLLSPASGVIHFKLSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISDKVHQKCAATLSAARMILAGYDHDIDDVVQNLLRCLDSPELPFLQWQECFALESKFKEYEGISNQQTIDFPAKVLRSILETHLGSCSGKEKGAQERLIEPLMSLVKSYEGVKSTQGVRSKNKLILRLMEHLVYPNPAAYRDKLIRFSILNHLNYSELALKASQLLEQTRLSELRSSIARSLSELEMFTEEGENMDTPKRKSAINERMEDIVSAPLAVEDALVGLFDHIDHTLQRRVVETYVRRLYQPYLAKGSVRMQWHRSGLIASWQFMEGHIEEANTSDYEMTEQPLVDKKWGAMVIIKSLQFLPDVISAALKETTHNSHGTSQNGFADPSNHGYGVISCIIQRDEGRGPMRHSFHWSEENHYYVEEPLLRHLEPPLSIYLELDKLKEYEHIKYTPSRDRQWHMYTVEAKPLPIQRMFLRTLVRQPTKEWFSGYQGSDIAGPESQWTLSFTSRSILRSLVTAMEELELHVHNATVKSDHAHIIDVDGGKEEAAVETLLVKLACEIHTLVGVKMHRLGVFEWEVKLCMVSSGQANGAWRVVVTNVTGHTCIVHVYRELEDSGKHKVVYHATSTPGPLHGVPVNTPFQPLGLLDQKRLLARKSNTTYCYDFGLAFEAALEKVWSSRLPADSRPKGKLVNVTELVFADQRGSWGTPLVPVNREPAQNNVGMVAWTMELCTPEFPDGRVILVVANDVTFRNGSFGPLEDAFFEAVTDLACAKKLPLIYLAANSGARIGAAEEVRSCFKIGWSDESNTDSGFQYLYLTPEDYARIKSSVIAHEVCLSSGEARWIIDTIVGKEDGLGVENLSGSGAIAGAYSKAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSQMQLGGPKIMATNGVVHLTVSDDLEGISAILNWLSFVPPHVGGPLPVLAPVDPPERSVEYLPENSCDPRAAICGTPGGNGEWLGGIFDRNSFIETLEGWARTVVTGRAKLGGIPVGIVAVETQTMMQVIPADPGQLDSHERVVPQAGQVWFPDSASKTAQALMDFNREELPLFIMANWRGFSAGQRDLFEGILQAGSTIVENLRTYNQPVFVYIPKTGELRGGAWVVVDSRINSDHIEMYAETTAKGNVLEPEGMIEIKFRNKELLDCMSRLDPHLRNLKEKLQETKYDQTIVQQIKSREKQLLPIYTQIATKFAELHDTSFRMAAKGVVKEVVDWKISRFFFYKRLRRRLSEASLISSARDAAGDTLSYKSANDMIKKWFSDSKSEDLWLNDDAFFAWKDDPSNYNDKLAELCVQKVSNQLSKMGSSASALKALPQGLAALLQEMDPATKSKLIEELRRVIE >KVI07274 pep supercontig:CcrdV1:scaffold_1:747253:747555:-1 gene:Ccrd_014324 transcript:KVI07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTDKEEKRVYEEEGGGENRLSHPTISTMEPLTHEAYGGGMYGKDDRQPPPRNPREPPASETQSADGPSETAPVELKHKPPPSSGDRDIDITGQSYIQ >KVI07298 pep supercontig:CcrdV1:scaffold_1:1447555:1453080:1 gene:Ccrd_014262 transcript:KVI07298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAAFTNLIVKPLQNLSSTTKLHTFSSPYNPNKLLSFFLTKPKTLRPLSITRATAGAATSSVEKDSNDGGASAKKQDAADDDDDKVKHIHTVAEFEEALREAKQKLVVVEFAARHSKQSSNMYPFMVNLSRTCNDVVFLLVLGDESDETRELFRREKIEKVPHFSFYKGMEKIHDEEGIGPDMLMGDVLYYGDNHSGVLIADHKTDGKLLVLDVGLKHCGPCVKVYPTVLKLSKQMDNAVFARMNGDENDSCMQFLKDMEVVEVPTFLFIRDGEICGRYVGSGRGELIGEILRYQGVRVTY >KVI07316 pep supercontig:CcrdV1:scaffold_1:153393:154394:-1 gene:Ccrd_014375 transcript:KVI07316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF581 RNGRDSLLAFWLPLLSSTSPYSHSSSTTTTTTAAALLSLSLKTLLSLSLSLSLSNHTIMLLGKRQRPPMKRTTSMTEFTLDLNQKTVVDNSQTPHDHPSPPISTDATTLDHRFLSATVASKIHRRNSADFMETAHFLRACHLCKRRLIPGRDIFMYREKQMNQDEKKDVCSMASNKRNTHVATTSSNASETLAAM >KVI07320 pep supercontig:CcrdV1:scaffold_1:978194:979583:1 gene:Ccrd_014303 transcript:KVI07320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSADMKGFYKQKKKNAAISKPSSNKSKSKPKNAVSFGARNAQPTALVAHGSLDLQEGHDATEEVLRQFDMNMAYGPCVGMKRMDRWERAASLGLNPPKDVHRLLTSTSNEGSLWDGRV >KVI07321 pep supercontig:CcrdV1:scaffold_1:1182608:1184300:-1 gene:Ccrd_014283 transcript:KVI07321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYNEVHVNNISFSQSTEFTFPDIVKPKHRYSHDENEYPFGFSPPLWSKNVRSDYARPRSPAVAMAEGRKELMEMTDDLPESCYELSLRDIVAEDSDDFGLTMGEMVDTKPKAKAKKKGPISRSVSLDTGVFLLKMFIPMSSFGLKKHKQTSRSMSTDGSRKYPVDVKQYWKTWLFVENNNTVSSRLSDNNKDRSTTVKIRYGRYWFTSKSTNQKGCIFF >KVI07353 pep supercontig:CcrdV1:scaffold_1:944328:947442:1 gene:Ccrd_014308 transcript:KVI07353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, cysteine-rich domain-containing protein MIQTPSIVDGGQQILLDFSDTETSHNSPKMSSLRSPFLSPPSSPLNLSSSSQFHSLSFRCSRNQRSPASYPHLIKAELDPNTVVAVAVGLVSVAVGVGVPIFYESQIDNAAKRDNTQPCFPCSGTGAQSCRFCTGSGNVTVELGGGEKEVSRCINCDGAGSLTCTTCQGSGIQPRYLDRREFKDDD >KVI07345 pep supercontig:CcrdV1:scaffold_1:498324:500025:-1 gene:Ccrd_014352 transcript:KVI07345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MAESISTAYTFSPKQPPRRNTLPVTQEQPKIKHTQKRFFYNVAVLPSYCQKIIAELLGTYILIFAGCGSALVDRDRSLTILGIAMVWGLCLMALIYSLGHISGAHFNPAILGSALACLTLKILFDHQKDTLPTVTRPITGASMNPARSIGPAVVANEYENLWIFIVAPILGALTASLVYSLLRQPKQEKQDFENEDPRSIYNDLFSQSIP >KVI07389 pep supercontig:CcrdV1:scaffold_1:574520:577805:1 gene:Ccrd_014342 transcript:KVI07389 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function CP12 MASNAALASTRIPTITRLPSKTSPSLSFPSQCATKRLDIAEFSGLRSSGSVTYAKYVRDSSFFDAVSAQFTPKAAGAVTGPVKVETVAKLKVAINGFGRIGRNFLRCWHGREDSPLEVIVVNDSGGVKNVRYKFAKLHYEILLSQASHLLKYDSMLGTFKADVKIVDNETISVDGKLIKGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVIGVNEKDYDHDVANIVSNASCTTNCLAPFVKVMDEEFTLNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVAKKGMTAEDVNAAFRKAADGPLNGILAVCDEPLVSVDFRCSDVSSTIDSSLTMVMGDDMIKVVAWYDNEWGYSQRVVDLAHLVASKWPGAASTGSGDPLEDFCKTNPAEEECKVYEA >KVI07349 pep supercontig:CcrdV1:scaffold_1:955957:957333:1 gene:Ccrd_014306 transcript:KVI07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MTSFSTPPTTTTLMTVLLLLMITYLPSPTTTQPNPPLNPTIAECAPRILPLASCAPFVQAISKIPTQLCCDNLRHVNDLQPRCLCLLLNNTALSASFPINTTLAMQLPFICSVRFDIVSCSGATPLPSASPTPLVSLGSTTNSTVACNFTYGNTHSETWIYGIRVSSELRYEVRGTVAAADDDN >KVI07386 pep supercontig:CcrdV1:scaffold_1:928037:930020:1 gene:Ccrd_014311 transcript:KVI07386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIIEEKLRSSSSVSSEMKLLMEMQDHCGVKRAINSELWHACAGPLITLPQVGSLVYYFPQGHSEQVAATTNRTATTQVPNYPNLPSQLLCQVLNATLHADKDTDEIYAQMSLQPLNSVSLSNYRKLKNLIFDQDMIFRY >KVI07262 pep supercontig:CcrdV1:scaffold_1:269025:281627:-1 gene:Ccrd_014366 transcript:KVI07262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter, C-terminal MENIMNKMRNLDAYPKVNEDFYSRTISGGVITLVSSIFMLMLFFSELRLYLHSVTETKLIVDTSRGERLRINVVAFSFLYSFSIMFDIIFPALPCSILSLDAMDISGEQHLDIKHDIYKKRMDFNGNVIESRRDRIGAPKLDTPLQRHGGKLEHNETYCGSCYGAEASDEECCNSCEDVREAYKKKGWSMSDPDSIDQCKREGFLQRIKDEEGEGCNIYGFLDVNKVAGNFHFAPGKSFQQANMHVHDLLAFQKESFNVSHRINRLAYGDYFPGVVNPLDGVRWTQQTPSGMYQYFLKVVPTVYTDVSEHRIQSNQFSVTEHFKGAEVGRLQTLPGVFFFYELSPIKVTFREEHVSFFHFLTNVCAIVGGILDSFIYHGQKAIRKKMEIGKLN >KVI07318 pep supercontig:CcrdV1:scaffold_1:214223:218477:1 gene:Ccrd_014371 transcript:KVI07318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 VQTNGEKTCQNFQRLPRFANSSTISHPFEKPFRFLLSNQKPINLPHCLFFIFFSVNAPFFLVINPVSASTPSPNPQTNRFGIGLYIIMPATAFHQGVGTLQSHGRISNSAPNYECGNLSMRLIPKGFKVEIGISRREPSRKRTSILMQSSSSQKTAYNPVSSPLHNASKESPKKSNEAALILIRHGESLWNEKNLFTGCVDVPLTKRGVEEAANARSQIFSEETKKQCIPVVTAWQLNERMYGELQGLNKQETADKYGKEQVHEWRRSFDIPPPNGESLEMCAERAVAYFKEQIEPQLRAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIVKEEKYIRRGSPAAPSEAGVYAYTKDLARYRQKLDEMVH >KVI07269 pep supercontig:CcrdV1:scaffold_1:230105:235597:-1 gene:Ccrd_014369 transcript:KVI07269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 EPAKKLLPLSHSPSSLSPLLPHSLCRIRDFSAKFNPKNPIIMRPHTRIGLVLMIKCLAMMMMIVSAAESPPFSCDPSSPTPPYPFCNISLPISNRAHDVVSRLTLDEKVLQLVNGAPEIPRLGISAYEWWSEALHGVSRHGKGVRFNGTITTSTMFPQVILTAASFDSSLWYRIGQGLTFWAPNINVLRDPRWGRGQETPGEDPLVVGDYSVSYVRGIQGDSFEGGKIDPNSDHLQASACCKHFVANDLDNWNTANRYNFDANITQQDLADTYLVPFQRCVQEGKASGIMCAYNRVNGLPNCADYNLLTKTAREAWGFKGYQDFHINICRSGILVRTSGFHNHRYIASDCDPVAIMHDVQGYSKLPEDAVASVLKAVEQNKVTEAEIDRALENLFTIRMRLGLFNGNPKTGIYGNLGPDQVCSKEHQDLALEAARNGIVLLKNSANLLPLSKTETTSIAVIGPNANVTQTLLGNYEGEACKNITVLQALQNYVKNTQYHQGCVDLVNCTSIAINDAVRIAKAVDHVILVMGLDQSQEREKLDRLQLVLPGKQEALVSAVARAAKKPVSLVLLCGGPVDVSFAKDDAKIGSILWAGYPGEAGGVALAEIIFGDHNPGGRLPMTWYPKEFANVPMTDMRMRPDPSSGYPGRTYRFYNGKTVFKFGYGLSYSTHSYKFVSHIPNKIHLGSNQVSPSRNVLLSWMGMDLCEKAKFTVVVDVENHGQAGNHTVLLFAKWDDKESSGHFIKQLAGFRRVSLGDKERGEVDFVVKPCEHFGRANKDGLMVLEEGSRSLIVGDKEHNIEIVLYDE >KVI07310 pep supercontig:CcrdV1:scaffold_1:197444:199141:1 gene:Ccrd_014373 transcript:KVI07310 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNLEGELMIIFLVSWPLLFLFYRMITKPTSSKNLPLPPGPYPWPIIGNFFHFGKNLHHRLAEMAQVHGPLMSLHLGQQILIIGSSPIVASEILKTHDHALSGRAVSRLLQNREPTAHNMNLVFTSESTDVWRMLRNIYKSELFSRKALESYTEMRENKVMEMMKYLGSKEGEAIPIKQVAFATSVNILGNATLSIDLVDFEGNGIGANIIDSLRRLAVLAATPQLVDMYPILSRWDLQGWYKQVMHIIQADLGTELFAAGTETTSASTEWLMAELLRNKELMQKVRDEVTKNIDGNVVKESDLVGLPFLEACFKETLRLHPAGPLLLPHRAKEACEIMGYTIPKDSQIMVNVWAINRDPNIWDDPLSFKPERFVGSKLSYKGNDFEFLPFGSGRKMCPGEAMASKIVLLTVAALILNFDWILPDDKNPNEIDMEEEMDIAMHKKQPLRVILKYRKQVEN >KVI07343 pep supercontig:CcrdV1:scaffold_1:502156:505688:-1 gene:Ccrd_014351 transcript:KVI07343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESSKIMSSNRISRFGFVLLVIFGRLTCANESPRVCNSICPPKSIKDSIFSFQVPVCPLNRMDNSFGVIEGDETSLQKALSKVHRNAQDYVVVLFYASWCPFSITFRPSLSVMSSLYPSIAHFAIEESVIRPRKHASTRNVFGVSHHIRDSEVGLPELSVYRDMCSSDLEEKVRKHTVQEFVGPSFRVLETCDTVIQVAHRALQAKQSAWSDERQGCMGVKVVGFGFFWGGER >KVI07382 pep supercontig:CcrdV1:scaffold_1:667475:673035:1 gene:Ccrd_014334 transcript:KVI07382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVGGGGATTAKKRKGRPSLLDLQKRARENEQLQNQQKLQPRSTRRNPNSTTVASVDDDSANEDEYYDDDERKEKKVKLVVRLPQSNQLQQQHASSDLIRSYSVNSGSYGSDSNANVDNRKINSGSGNIIADNQGEKASKVTVNVHGSPLESGPTTPLPDKKLLVFILDRLQKKDTHGVFSEPVDPNELPDYHEIIEHPMDFGTVRSKLDEGLYSRLDELEADVFLICSNAMQYNSSDTIYFRQARTIQELAKRDFGNLRHEGDDGELQPKVVKRGRPPSKHLKRPPGRPPFDGAGPESTSGATLATTEDNTTESTPYNLRKGPMLYRHQADGLLGSNRDRNGEQHSELLSDWNEEFPARIRRADMKYGNKHVIIDETRRDTYKQYLSSFFADNPSPLSNFCWERKQLMAVGLHAEHGYTRSLARFAANLGPVVSKIASKKIEKKLPPEVKSSCFPSGNQRCMPGLVSHNVPKRSEIPNTSGADPDIEADTRVNSQNETTNSTVWSFGSKKWF >KVI07329 pep supercontig:CcrdV1:scaffold_1:1117919:1118254:1 gene:Ccrd_014289 transcript:KVI07329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSPVISGAGLTEDKVIRPENLADGTRSDAVHGPRLKIHEYSTWNIATTGGFIVVNIDTFKLEIGGGTSVLTGGIDAMFVADDFPEFGTDLVTTLTSLDVQDFSHDFGEN >KVI07312 pep supercontig:CcrdV1:scaffold_1:29010:48089:-1 gene:Ccrd_014379 transcript:KVI07312 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MESSTGLQQPVMRWWQVFNKSPILTPGFSQTLQRNKSMAASLPTAVSASAANDHVLPGEAHEHLQLSSEDRQRITERTKWVLDSPDPPGFRQEFLGSFRNTVFCSKNKKLSSSQPPLACFGSFLRSVFPILNWARDYKASMFKNDLLSGLTLASLCIPQYLTSDTSVVPPLIYSTMGTSRELAIGPVAVVSLLISAMVSKVVNPITDPVSYNKLVFTVTFFTGTFQALFGLLGFLVDFLSQAAIVGFMAGAAIVIGLQQLKGLLGISHFTTKTDVVSVLISVAKSLHTSWYPQNFILGWSFLIFILITRFIGKRNRKLFWLPAIAPLVSVILSTLIVYLTRADEHGIKIIKHFKGGLNPSSVNQLQFNSPHLREAVKIGLVCAIIALTEAVAVGRSFATIKGYNMDGNKEMIAMGFMNIAGSMSSCYVATGSFSRTAVNFSAGCQTAVSNIVMAITVLISLQLLTGLLYYTPIAILASIILSALPGLINYTEAYNIWKVDKLDFLACAGAFFGVLFASVEIGLMVAVAVSFAKIILNALRPRVEELGRLPGTDIFCEVDQYPVAHAVPGILIIRLNSGLLCFTNANPLRDRILNWVTEENGKEATKSPISGIILDMSSVTNIDYAGILALEETNKKLLSGGIKLAIASPRWQVIHKLKVAKFVDKVGRDCIFLTVCEAVDSFVGSKFTGPGNFSHLGFPKHFKETKAWLHHCKLLFLLLLQTIMSYLVKHMSICSLVLRTGNGSLNGPNGFLIRLTLLVFAKSFLVPSEILFFCRENKKLSSSQPPLACFGSFLRSAFPILNWARDYKASMFKNDLLSGLTLASLCIPQYLTSDTSVVPPLIYSTMGTSRELAIGPVAVLSLLISAMVSKVVNPITDPVSYNKLVFTVTFFTGTFQALFGLFRLGFLVDFLSQAAIVGFMAGAAIVIGLQQLKGLLGISHFTTKTDVVSVLISVAKSLHTSWYPQNFILGWSFLIFILITRFIGKRNRKLFWLPAIAPLVSVILSTLIVYLTRADEHGIKIIKHFKGGLNPSSVNQLQFNSPHLREAVKIGLVCTIIALTEAVAVGRSFATIKGYNMDGNKEMIAMGFMNIAGSMSSCYVATGSFSRTAVNFSAGCQTAVSNIVMAITVLISLQLLTGLLYYTPIAILASIILSALXGLINYTEAYNIWKVDKLDFLACAGAFFGVLFASVEIGLMVAVAVSFAKIILNTLRPCVEELGRLPGTDIFCEVDQYPVAHAVPGILIIRLNSGLLCFTNANPLRDRILNWVTEENGKEATKSPISGIILDMSSVTNIDYAGILALEETNKKLLSGGIKLAIASPRWQVIHKLKVAKFVDKVGRDCIFLTVCEAVDSFVGSKFTGPGNC >KVI07275 pep supercontig:CcrdV1:scaffold_1:746786:747471:1 gene:Ccrd_014325 transcript:KVI07275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSDRNFEGIARQPEAEGKIRAKVRLLDVRLAGDINISIAGRWWWFMLKFHRCRFRRSISTLCFTSRWFPWIPGWWLTVVFPIHSTAVSFVGERLHS >KVI07350 pep supercontig:CcrdV1:scaffold_1:950445:955335:1 gene:Ccrd_014307 transcript:KVI07350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MGKEKKSGGWFGWFIVLVILGVVALALGLTLRNKFHHGGGAAPVPGPPGAVTKKYGDALKIALQFFDIQKSGKLVDNEIPWRGDSALQDGKDADLDLSKGMYDAGDHMKFGFPMAYTATVLAWAILEYGDQMNAADQLEPAKDSLKWITDYLVNAHPEPNVLYIQVGDPDVDHKCWDRPEDMSEKRALIQVNASTPGTEVAAETAAAMASASLVFKSTDSTYSSLLLKHAKELFTFANEHRGSYSQNIPQVQTYYNSTGYGDELLWAASWLYHATRDRTYLDFVTGSNGETYANWGSPTWFSWDDKLPGIQVLLSRVSFFDSKVATNSESLKKYRKTAEAVMCGLLPKSPTATLSRTRNGLIWISDWNALQHPIASAFLAVIYSDYMLTSQTQKLHCDSDTFSPADLRKFAMSQMKMSYLVGYGDKYSQYVHHRGASIPSDSNTNCSTGWKWLESDEPNPNVATGALVGGPFLNESYVDSRNNSMQTEPSTYNSAVIVGLLSGLVTTSSVVTSFM >KVI07348 pep supercontig:CcrdV1:scaffold_1:988790:992899:-1 gene:Ccrd_014301 transcript:KVI07348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MDSSPSFTFLPPPPPPPPPPPPPGPNPNSRVFPHSHHHNSSTAPSDLHTTLSSLKHLINLSKTTIHSVSNLFPTTASTATATATCPYNSNHRIPPSSLFHHHLQCPSSPAPVDLALFDSIHYPNSLQSASSLSNDDMSDIIDGLFGSCYLVELLPSEHWNVRTEINQWNDYPHFYSYGVLRSVVCSYMAQKEYLLEWLLVNSPFYGVVIDEFMRDHILLLFRLCMKAIAREAIGHMVSISRGEPTGGKYCFECPVLVRVLTWLASQLAILYGEANGKLFAINMLKHSLLIASSRSLFLSGEERVNESPGLGDVYGKAVPLKGSKENDGRTMGMVFVSQVAAAVAALCERSSIETRIKAVRASQSVPVYQRVQEHQYISKKAEEERKKRTDYRPIIEHDGVLWQRGGNQDANKNKSREELLAEERDYKRRRMSYRGKKMKRSTTQVMRGIIDEYMEEIKHANMVGQPPVDATNLTLEHSSMSDKQVDNEVRIAVPISSNANKVVPQSHWKESVSDISMRSATSEDESKEKSNKQRHVRQHQEYYTIDESRHGREYISKNADGHRSSSRSHDHGQRDEQKRSRKYYPSSPDRGHSRSHDQASRRRDQVHENMDSSESCQRSKHKDKRSSPLVRNEFEDRYDPSKSHGMDEDDLYSDS >KVI07370 pep supercontig:CcrdV1:scaffold_1:1281748:1282553:1 gene:Ccrd_014275 transcript:KVI07370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAESTVDHLGFVMVSDRAVVDDNGCQMVTVYVKANEPEARREGFLASLAPFLRFIESDFPFTHNWGSAPTRQADKLSNYNVMYVKN >KVI07362 pep supercontig:CcrdV1:scaffold_1:467715:472964:1 gene:Ccrd_014354 transcript:KVI07362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MSRRMSGSIPLEAPTSTSGCSISNGNSAVIGGGLFLDNNTLPHYLINVVSPNNNTHHCSTHRTPGGGVFRQKNGVSGGGFLSVSLSVKGSSDGIDRNPTTQFMAAAVVGGGSVVEEKNKKKIHIRLRGKPAAMNTTKHLWAGAVAAMVSRTFVAPLERLKLEYMVRGEQKSLFELVKAIASSQGLKGFWKGNFVNILRTAPFKALNFCAYDSYRRQLLRLTGNEETTNFERLFAGAGAGMTASILCLPLDTIRTKLVAPGGEALGGVIGAFRHIIQTEGILSLYKGLVPSILSMAPSSAVFYGVYDILKSAYLNSAEGKQRIKYMKQQGNDLNILEQLELGPLRTLLHGAIAGACAEVATYPFEVVRRQLQLQVRANKLSALATCLRIVENGGVSALYAGLIPSLLQVLPSAAISYFVYEFMKIVLKVELI >KVI07327 pep supercontig:CcrdV1:scaffold_1:1147623:1160619:-1 gene:Ccrd_014285 transcript:KVI07327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAGAAYGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMARELKTGEIVALKKIRMDNEKEGFPITAIREIKILKKLQHENVIKLKEIVTSPGPEMDEHGKSGGHKYKGSIYMVFEYMDHDLTGLADRPGLRFTIPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSYSSDHKGNLTNRVITLWYRPPELLLGATKYGPSVDMWSVGCIFAELLHGKPILTGKNEPEQLNKIFELCGSPDEINWPGVSRIPWYNKFKPSRQMKRRVREVFRHFDRNALELLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKRYKRQQQRQNEEMAKRQKLQHPQQHARLPPVQQSGQAQAHSQHWAGHNNHPMGNTGQASLTTGGPSHHQYGKPRGPPGGPNRYPPGGNPSVGGYYPDRAGGQGGVGFSGGPYPPQPRAASASGPRGASGSYGVGPPNYSQNVQYGGGSGAAARGPNVAGNRNQPYSWQQQ >KVI07372 pep supercontig:CcrdV1:scaffold_1:1298993:1311563:-1 gene:Ccrd_014273 transcript:KVI07372 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MATNNLCSLIVGVLVLAAAIHVDGLNALSSNYYDQTCPMAESAVANAVKKAMLNDRTGCDGSVLLNSTAKNQAEKDGPPNISLHAFYVIDNAKKALEAMCPGGHTLGFAHCSSFQNRIHNFATTQDVDPSLHQSFAAGLRSVCPMHNTVRNAGANLDSTPTVFDNRYYRLLLEGKSVFTSDQSLLANPTTTTLVEKFANSRDEFEKAFVESMIKMSSLTGGQEVRLDCKLHYYNKEFSSPDLLTPSCMNTYPFPYKYPNFLSIFFISHHIQTSAKTTLLPTMAAKNTISSLLFGLALLGVARLNVINALSSNYYDQTCPQAESTVTRVVKKAMSNDRTVPAALLRMHFHDCFIRGCDASVLLNSTGNNKAEKDGPPNISLHAFYVIDNAKKAVEAACPKTVSCADILALAARDAVTLSGGPTWDVPKGRKDGRISKATETRQLPAPTFNISQLQQSFSQRGLSMEDLVALLGGHTLGFAHCSSFQNRIHNFASKQSIDPTLESSFAAGLKRVCPAQNTVKNAGANLDSTPTRFDNRYYKLLLQGKSIFSSDQSLVTMANTKALVSKFAKSRQEFEKAFVKSMMKMSSISGGGQEVSTLLPTMAAKNTIFSILFGLVLLGVARLNVINALSSNYYDQTCPQAESAITSVVKKAMLNDRTVPAALLRMHFHDCFIRGCDASVLLNSTGKNKAEKDGPPNISLHAFYVIDNAKKAVEAVCPKTVSCADILALAARDAVTLSGGPTWDVPKGRKDGRISKATETRQLPAPTFNISQLQQSFSRRGLSMKDLVALSGGHTLGFAHCSSFQNRIHNFASKQSVDPTLKSSFAASLKRVCPAQNTVKNAGANLDSTPTTFDNRYYKLLLQGKSIFSSDQSLVTMASTNAMVSKFASSRQEFEKAFVKSMIKMSSISGGGQEV >KVI07368 pep supercontig:CcrdV1:scaffold_1:1275063:1281620:-1 gene:Ccrd_014276 transcript:KVI07368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADSSTTMVTHSGEGGINSPSRRRNLPSAWAQVVRGGGESESVIPRSPRASEQHSVFSDQLMVFEPASVVVAAAAQPDGSEGSHCGNGGGEKKSAWNKPSANGVVEGTTTPVMGAASWPALSESTRPVLKSLSSESSSKPTSDGLVTVFEAPVISQTQQKPAKSNANNHSNTTNNHPFRQRSMKRGGSAGGASAGYNRPPPPPPPPLPPPFPLFDMTYCNYVPAVLDSPIRDQPPLKGNSWNPRPMGVVHDHSSNRTPSRRNNFGPRPRRDGGVHNNGYGGRNEHHDRDWHGTRSPAGRDVHVPQQIGPPPPRGYFRQPHPGPPYIPAQPIRPYGTPMGYEMAAPFLYVPTLPPEPYRSTPLLPQAAPPPMFIPVMDPPLHDFILNQIEYYFSDANLVKDNFLRSNMDEEGWVPIALIAGFRRVQNLTTDVQIILNSLRDSNSVEIQGDKVRRRTEWRKWVQSADFSADSTSQSPRETTNISVEEISIQKLKLEEFATEDAISDPIEDDDHKEAPQSTDSSKLANGEVSLIEAYSSPI >KVI07307 pep supercontig:CcrdV1:scaffold_1:520069:526803:-1 gene:Ccrd_014349 transcript:KVI07307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MSRPLHRGVLGGGRLPGNIQDYIEDSQMKVKIDKEDFDRSRTSSDHVSLSLRNPFQFLFSENSSSKQDSTENGFVVSDPFTPTTLRNRHMLTLLFLKFSLVLIVILGISVSFLWTISLMTASKGHMLHGYRRLQEQLVSDLWDIGELSLGSTRFKESEFCSLESENYVPCFNITENLELGFTEGKEFDRHCGPMSKQNCLILAPPKYKIPHRWPTGRDVIWIDNVKITAQEVLSSGSLTKRMMMLDEDQISFSFASSMVDDNIEDYSHQIAEMIGLRNESYLVQAGVRTMLDIGCGYGSLGAHLFPKQLLTMCIANYEASGSQVEIALERGLPAMVGSFASKQLPFPSLSFDMIHSAWDGVEWDKKDGIHLIEVDRVLRPGGYFVWTSPFANTPASVRDKESLKRWDFVRNFAKDLCWDLLSQQDKTVVWKKPSKKNCYASRKHGPGPLICKEGRDVESPYYHPLEACIGGTRVLPDDFTEDNINWKSAVRDYWSLLSPLIFSDHPKRPGEEDPIPPYNMVRNVLDMNAHFGSFNSALLDGRKSVWVMNVVPTIGVNHLPLILDRGFVGVLHDWCEAFPSYPRSYDMVHADGLLSLQTVKQSHCSMVDLFFEIDRLLRPEGWVILRDAASLIESARAITARLKWEARVVEIESNNDEKLLVCQKPFVRSSSNSL >KVI07279 pep supercontig:CcrdV1:scaffold_1:1112319:1117424:1 gene:Ccrd_014291 transcript:KVI07279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVDLQGDYCPKNLCVFPSCMDLLYDECTSIACGNASKKEAKISALLNFRTQPSLIFCFPALLITATTTVFLILLRRRILILLILRHQIIHITLRLRELHLVHSFSGVPMQESLAPKHGRELLANSLKHLLDRGRVSDESRRHLQPSWRDMHDSRWVLTTWSGELQSSETDVVKRLVIEHHTFIGVFDQLGSTTVSETFGDGKTENVSIIRSGYSSRIFEIKSVPIPDPVPPPSE >KVI07336 pep supercontig:CcrdV1:scaffold_1:1564524:1570370:1 gene:Ccrd_014251 transcript:KVI07336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MTENLKNDYQVGEELGRGQFGIIYRCFSVNSGRSFACKSINKLLLCDSTDRECIVKEPKILQILRGNASIVQIHRLYEDENSLHMVMDLCDEPNLFDHISKRGGAFSESEAGSIFIPLIQAVSHCHRLGIAHRDIKPDNVLFDSTGKLKLADFGSAECFGMNESGMMTGVVGTPYYVAPEVLLGREYNEKVDVWSAGVILYILLAGCPPFYGETPVETFQAVLRGNLRFPPRIFMSVSPEAKDLLRKMLCKDSSKRLSAEQVLRHPWIHRLYEDENSLHMVMDLCDEPNLFDRLSKRGGAFSESEAASIFIPLIQAVSHCHGLGIAHRDIKPDNVLFDSTGKLKLADFGSAECFGMNESGMMTGVVETPYYVAPEVLLGREYNGKVDVWSAGVFYTYYWPDVLRSTEKRRSRRFRQFCEGT >KVI07300 pep supercontig:CcrdV1:scaffold_1:1419777:1427351:-1 gene:Ccrd_014264 transcript:KVI07300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDPRQKMMAANSVFDPSVMMLVSSPAIKLSLRCCGCNVYHKLVDNL >KVI07339 pep supercontig:CcrdV1:scaffold_1:1501842:1508058:-1 gene:Ccrd_014258 transcript:KVI07339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKKWTYTALCVQRSGEKQLNNMGASGTMSSSIPVIPALEGKYQKLADSFPVISEKELSNTLLPDRNQMVSDSGLQNSPLVSRPSSDIVPIQVNQTSHFVNAQSASLVGYTGQNNAMSWSAESLQDILDFPENVTGGNSQIETHNSLMPSGDNGRGTDWQKWADQLMSVEDNMDSNWSEILADVDKGKDKLINHRPMLCSFSLVH >KVI07340 pep supercontig:CcrdV1:scaffold_1:1470733:1487362:1 gene:Ccrd_014259 transcript:KVI07340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDMDYNGDVGRLDPELLQLNEVSSLAIKSNAYVAEKLFEQWLSLPETTPLVRSLLSNAKAGVPLNVSGAVSSPKSATSSSVPSMFPAGSAPPLSPRSSSGSPRITKQRAGPSVLGSPLKVVSEPVKELIPQNGRPAPNELKERCLFRSNQFFYGHPNGLSLDEFKPVTKEICMLPSFFSTTLFKKIDVGSTGIVTRESFVDFWVNRNMLTKDTATQIYTLLKQPDLRYLTQEDFKPILRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYVNRTGNGRLTLRELKRGNLIDAMLHADEEEDINKVPRKFTSEVEGKMGYEDFVYFILSEEDKSSEPSLEFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIKPQDEAYFTLRDLKGSKLSGSAFNILFNLNKFMAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDADDASNGSADVWDESLEAPF >KVI07366 pep supercontig:CcrdV1:scaffold_1:1245171:1248534:1 gene:Ccrd_014278 transcript:KVI07366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MKPLFHHKSLSSLLLLTFLLPLHLQCNDNSASNQLSFPLEKRVKAGIVPAVIVFGDSIVDTGNNNYLETIFKVNYPPYGKDFFGGQPTGRFSNGKVPSDFIVEELGIKEVLRPYLDPSLGIEDLLTGVNFASGGGGYDPLTSELASVISLEEQIELFKEYIEKVKSMAGEEAANTILSKGLFIVATGSNDVTNTYFNNPLRKYHFDFDSYTSLMLRSASSFLQDLYNLGARRIGVFGVPPIGCLPSQRTLKGGTLRACAGNYNELAELFNTKLSMEINSLSHNLPFARMVYIDGYYLPLDIIQNPAKYGFDVSNIGCCGTGTIEVAFLCKYTCTNVSEYVFWDSFHFTENAYRIIVGDFLNKHMNYLLK >KVI07365 pep supercontig:CcrdV1:scaffold_1:1517449:1521330:1 gene:Ccrd_014257 transcript:KVI07365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MGDNAGGTQSMKAAADAMLTVAEKAPLTSHRKVRSDLDTKLPKPYLARAVAAPDTDNVNGTWGHKHHNMSVLQQHVAFFDQDDNGIIYPWESFKGKVSRSRIQYLRLFTWLPSPFFPIYIKNIHKAKHGSDSSTYDTEGRFVPANLENIFSKYAHTKPDKLNFKELWDMTDGCRNAFDFFGWISSKLEWGVLYMLAKDSEGFLSKESVRRCYDGSLFEHCAKMQKGVVGKTT >KVI07290 pep supercontig:CcrdV1:scaffold_1:930022:936510:1 gene:Ccrd_014310 transcript:KVI07290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MMDQCTFGSGKRCFPDNGFWNEAEQTSKSRLRINIKHHLFVLYPVSAGQPKRHLLTTGWSMLVGAKRLKAGDAVIFIRRANRQQTASPSSILSADSMHIGVLAAAAHAAANQSPFSIFYNPRYMGTITGISDVDPLRWPDSNLFIFPSLTSNLKRPFGHGYVGDQTEWGNMVTRPFMRAPEAINSLWSEQLIKMSRKPQTVNGMGSVSPVIQEACAANRAPLQEAKASILASSETVKSESSQLTSSDDDKLSVKPAMNPPNLINEQPIVNQLSPLDSSLLNDQQFVSPELDPSLSGLFPYSSIGEETWDPRDDIYGCLNFECSNGGSTVVDPSVSSTGLDGFCAPKAGAFQNPSEFIVNNNLCSSQDVQSQFTSSSLVDSQAYTVQELPDNSGGTSSSNGEFNGTGLVQNSSWHQIQKAGSVGRSIDVSSFKNYDELCCAIERMFGLEGLLNDSVGSGWKLVYVDFENDVLLVGDDPWEEFVGCVRCIRILSPCEVRQMGEEGMQLLNNSTAALQALMTVRHQTPETA >KVI07289 pep supercontig:CcrdV1:scaffold_1:841149:849674:-1 gene:Ccrd_014316 transcript:KVI07289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin RSGPHFKFFSIPLRFSPSISYFTNSFSTSIHRNIMPRNFAVCIAVGAFLVAIAGAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLTEPFLISWNGIQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDEPAGDYTVLIGDWYKANHTTLRRVLDNGHRIPFPAGILINGRGPNGASFPVEQGKTYRLRISNVGLQNSLNFRIQNHKMKLVEVEGTHTVQQTYSSLDVHCGQSYSVLFTADQPGQDYYIVVSSRFTTPILTTTGILHYSNSAGQVSGPIPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTSRTIIIQSSSGQVDGKQRYGLNSVSFKPADTPLKLADYFNIGGVFRVGSISERPTGGGLYQDTSVMGADYRAFVEIVFENPSDIVLSYHIDGYQFFVVGMDGGQWTEASRNGYNLRDGVARSTIQVYPKSWSALYVGLDNVGMWNVRTEYWARQYLGEQFYLRVYTNSGSIRDEFPIPKNARLCGQASGRHTRPL >KVI07342 pep supercontig:CcrdV1:scaffold_1:379331:379744:-1 gene:Ccrd_014358 transcript:KVI07342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEQDPANQINPELVHIIYSLSKDMGLPGFHVGILYSYNDGVVSSARKMSSFGLISTRTQHFLASILSDEVFVESFLSENSKRLAKRHKVFTQGLEQDGITCLASNASLYVWMDLRRPCGIWWSENWCLVVGERATTM >KVI07288 pep supercontig:CcrdV1:scaffold_1:816447:819375:1 gene:Ccrd_014318 transcript:KVI07288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAMDLARAQIIEDGTCLLEL >KVI07297 pep supercontig:CcrdV1:scaffold_1:1428444:1437095:-1 gene:Ccrd_014263 transcript:KVI07297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAEKTTSWGLNHLFLTVFLFNFANFMVVPAITDVSMAAICPGEDECSFAIYLTGVQQAIIGMGMILAYSRERNFFYAYYVLKTLTSMACEGTVLCLALAYADNVAEQRRASAFGILSGISSCSFVFGNLLTRFLPSAASVFQVSAAVAMVSVVYMRIFLPESNMEAAVIAVSSKEEATDECLLEKGSINNRIRRPLRTTPSLHDSISMLKSSWTFSQAAIVAFFSMLGELGLFSALLYYLKAEFHFDKDQFADLLIINGIAGIISQMILMPMLARVINEEKLLVIGLAFNCMHVVYLAATLQILAVFAGPSLRSIVSKQVGPTEQGKAQGCITGLCSFAGIISPLVFSPLTALFLSDHAPFQFPGFSLMCAGFTVMIAFIQSIMIRAPPSPSSLPVSDRKTDDCA >KVI07359 pep supercontig:CcrdV1:scaffold_1:12344:14964:-1 gene:Ccrd_014380 transcript:KVI07359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MDTVSQLQRQFVEYTASLYREGYLDDQFTQLQKLQDESNPDFVVEVVSLFFEDSEKLLNNLTTALHQENIDYKQVDAHVHQFKGSSSSIGAQRVKDMCVVFRNYCDEKNLDGCVVCLQQAKNEYILVRNKLEALFNLEQQILQAGGSVPMMV >KVI07360 pep supercontig:CcrdV1:scaffold_1:6254:8891:-1 gene:Ccrd_014381 transcript:KVI07360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQQHEEDDGNQKSGPNSSAPLTQAQFLLWKTQKDAEAATKKAEVSRKLEADVAAGLVQMNGRELFKHEPWVFDNNLY >KVI07376 pep supercontig:CcrdV1:scaffold_1:680057:684324:-1 gene:Ccrd_014332 transcript:KVI07376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENQCQVKDFSYDFWFLLPLIKPIRVFFSSTLAQSSPLKLLMISGRYIHSIHHEDESRHSGKPSSLYADIQVSEMVADKGKKPEIGEKIVEDNTEQIMSLLYPLQSCRRSKMNLKSDGSSIELPAKGEENKSRNCANNNKQDDWSWSKEAIGE >KVI07325 pep supercontig:CcrdV1:scaffold_1:1133023:1139353:1 gene:Ccrd_014287 transcript:KVI07325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-associated, YjgA MALLVRPLILSPVSKWPLRRCINSISDSKLSNFSTGIFKYRPFFTGTSPSILIHRKNLHLSRSRGLRPADAPLPSEVSQRDSESDSSDAAWKSRNEKKREARRGVRWAMQLADFNDSQIKRILRIASLEEEVFDALMLVKRLGRDVREGKRRQFSYIATRWFDGLVNKDVDIANEIYSLSTVEFDRQELRKLVRNFCSMQDRNATTSEEKVGTETDVGLSRAKRHLTRFLVALAKQLPTEENYTL >KVI07371 pep supercontig:CcrdV1:scaffold_1:1317151:1319822:-1 gene:Ccrd_014272 transcript:KVI07371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAKLAFLFVSLLSFLLLPLLRVHGFTASGWTRAHATFYGGSDASGTMGGACGYGNLYATKYGTRTAALSTALFNDGAACGQCYKIICDYKTDPRWCKKGVSVTITATNFCPPNFAQPSDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIIPIIYQRVPCKKRGGVRFTINGRDYFELVMISNIGGAGSIRSVQVKGSRTGWMAMSRNWGANWQSNSYLNGQSMSFKVTTTDGVIRTFNNIVPANWGFGQTFASRVQF >KVI07358 pep supercontig:CcrdV1:scaffold_1:1373:1757:1 gene:Ccrd_014382 transcript:KVI07358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLGIPWVIIGHSERRALFNESNEFVGDKVAYALSQGLKVIACVGETLEQREAXTTMQVVAAQTKAIAGISXKTILAAATSPIATCR >KVI07303 pep supercontig:CcrdV1:scaffold_1:1290510:1297000:1 gene:Ccrd_014274 transcript:KVI07303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTTPNHKLTVSGWAAHDATGVITPYVFKRRVNGDNDVTIKILYCGICHTDIHHIKNDWGNTMYPVVPGHEITGVITEVGINVKGFKIGDKVGVGCLAASCLKCEFCRNSEENYCDKIQFTYNGIFWDGSITYGGYSEMLVADHRYVLHIPENLPMDATAPLLCAGITVYSPMIDNNLHRMIERRVGIVGLGGLGHVAIKFAKAFGHRVTVISTSPSKEVEARKLLGADDFIISTDPKQMQDIGLHIGHGKRAVKGSMTGGIKETQEMLNFCGENNITCDIETVTSDKINEALTRLAKNDVKYRFVIDIAGKNSSL >KVI07373 pep supercontig:CcrdV1:scaffold_1:595747:601412:-1 gene:Ccrd_014339 transcript:KVI07373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGKGSRDLGSQSDDSFQYERQYIEQIYDAFICPLSKQIMRDPVTLENGQTFEREAIEKWFNDCKENGRKLVCPLTLRELKTMDMNPSIALRNTIEEWNARNDAVQLDMACKSLTPGSVESDILQALKFIQQLCTKNLSNKHIIRNAELIPMILDMLKSTSRKVRCRALETLRVVVEDDNDNKEIMAEGDKVRTIVKFLSNEQSQEREEAVSLLFELSKSEALCEKIGSVNGAILILVGMTSSKSENVLIVEKADKTLENLEKNENNVRQMAENGRLQPLLTLLLEAFGGKAVGAVRGAEKRRNLMEGKAEYDGVNGYFSGSPEIKLSMASYLGDLALSNDVKVFVARTVGSSLINLMKSIDIQSREAALKALNQVSSCEASAKVLVEEGILSPLVKDLFAGPNQLPMRLKEVSATILANIVSCDCDFDSIPVGPNHQTLVSEDIIHNLLHLISNTGPSIECKLLQVLVGLTNSPTTVIGVASAIKSSGATISLVQFIEAPQKDLRMASIKLLQNLSLHMGQELAGSLCGSAGQLSSLFKVIGENIASTEEQAAAIGILADLPERDAGLTKQMLDEGDFEIVFSRIKMIRQGETRRSRFVTPYLEGLVHVLSRITFVLADEPKAVSFCRDHDLADLFTQLLQTNGLDNVQMASALALENLSQESKNLTKLPELPTAGFCASIFPCLSNQPVMTGLCRIHRGACTQRDTFCLLEGQAMARLIALLDHTNEKVVEASLAALSSLLDDGVNIEEGVSILCEAEGIKPILDVLLEKQTENLRRRAVWIVERLLRTEDIAYEVSGDPNVSTALVDAFQHGDYRTRQIAERALKHIDRLPNFSGIFPNMG >KVI07304 pep supercontig:CcrdV1:scaffold_1:1345558:1359776:-1 gene:Ccrd_014270 transcript:KVI07304 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MHRVGSAGNTSNSVRARKEKRLTYVLNDADDTKHCAGVNSLALLKSSAPHNGSYLFTGSRDGTLRRWELAEDGATCSTTFESHVDWVNDAVLVGGNTLVSCSSDTTVKTWDCLSDGTCTGTFRQHTDYVTCLAAAERNSNIVASGGLGGEVFIWDLEAALAPLTKSNEADDDCSNDINGSGNSLPVTSMRTISSSNSISSHTNQPQGYVPIVAKGHKESVYALAMNDSGTLLVSGGTEKAVRVWDSRTGSKTMKLRGHTDNVRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHMDSVWALVSTPSFSHVYSGGRDLSLYLTDLATRESILLCTREHPIQKLALHDDGIWVATTDSSVHRWPVEDHNPQKVFHRGSSFLAGNLSFSRARASLEGSTPVPVYREPTLTIGGNPGIVQHEILNNRRHVLTKDNAGSVKLWEITRGVAIQDYGQVPFEKKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSIDLKITEKAEDDKINLARETLKGLLTHWLAKKKHRSGSQPSADGEVPSGRDISARSITLSRVEVDGSAENDPSVYPPFEFSTVSAPSIITEGSHSGPWRRKITELDGTEDEDFPWCSFYLQPYEGSALQILTQGKLSAPRILRIHKVVNYVVEKLVLDKPLDGLSNDGTSAPGLPGGQLQNSSVNGDGSFRSESKPGQKLKPAIEILCNNQAS >KVI07322 pep supercontig:CcrdV1:scaffold_1:1185726:1194300:-1 gene:Ccrd_014282 transcript:KVI07322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKTNGTLSLCLLMLIILGSFATSNAVESDISCLRSIKESLEDPLQRLFNWNFDNSTQGFICSFTGVGCWHDDENKVLNIRLSDMGLRGSFPMGLKNCTSMTGLDLSGNDISGPIPSNLTDVLPFITSLDLSNNNLSGPIPPSISNCSFINLLKLDSNHLTGQIPPEMAYLNRIKVFSVANNDLSGPVPLFRSISIVSAQSYANNLGLCGGPLDTCEHEDGDDLFLIGFVVGFPIFTILSMLFMFCSPALSIDNMLSYLLLIKNIERRLHHLIPRSPQILLEGESSSDEGTMKANGDSSLCLWILIILASFYTTINAVESDIRCLTSIKESLEDPENALSTWVFNHTTHGFICRFTGVDCWHPDEDKVLNIRLPDMGLRGSFPMGLQNCTSMTGLDLSGNDISGPIPSDIKYVLPLITSLDLSNNNLSGPIPLGIANCTYIHLLRLDNNHLTGQIPPEMAHLGRIREFSVANNDLSGPVPIFQDISIVSAQSYANNLGLCGGPLDACEHEDHDDLFLRGFVVGFPLFTTLTTLFLLLRSPGISNMLSYLLPIKKTERRLHHLIPRSPQILLEEESSSDEGKVKSSLSLSRSCSYVFDTQVMAMEKLIRRMSLVELEMATNEFNDKNVIGHGNIGVMYKGAFINGLLLAVKRLHRFESLEKEFLTEIKILGRLRQTNLVPLVGFCFEMEKKFLKQLMVLAEFTSKFSC >KVI07361 pep supercontig:CcrdV1:scaffold_1:454276:455771:1 gene:Ccrd_014355 transcript:KVI07361 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein MVSFHASLLPTSTMIQDSEDSLKKRKRDGSLQPEDFLDDHLPSWKTKYSKQSLLDTELHLESPMPSEWQRCLDIKSGQIYYYNTRTHKKTSRDPRSSPEPSKNCMSLDLELNLPCGSSDTTMKHHVADNFSKYYKTSSSSTTTTPSNKTSIGGGGGGAPSWLALEGGDQQQEMVTAVCKKCHMLVMMFKSSPSCPNCKFMHPPNQTPPSLFNRELSLLC >KVI07388 pep supercontig:CcrdV1:scaffold_1:569921:573800:1 gene:Ccrd_014343 transcript:KVI07388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIFDFIIVNNAAKALILSNRKIKNTNNRHHHLLIPHNSDLYCKSEAMIALSNPLVLPTNNPNQSSSGSSMKSLDQSTKLLFGQGHVGNVRLRTSKRMLSVQARYSDNGRSTNGSAFGFGFVLGGLIVGTLGCVYAPQISKALAEADKKELLRKLPTFIYDEEKALEKTRKKLTEKIAQLNDAIDDVSSQLKSEDEESNKNGAVVFEKSQSVA >KVI07379 pep supercontig:CcrdV1:scaffold_1:633388:643490:-1 gene:Ccrd_014337 transcript:KVI07379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEMEMEMEMEVKAVETMVIQKLTQFMNQESAANHKLITHQLQNSLKNLQNFSQQNDISEADHLQVVYEFENEIERFAFRVARHKKKRFGFLLKQTFSFNNLNSCRSLTMAIKKCQTKIRTPSEDDTISSSSAVWPIPKPPTPQCHFLTESFDSKLCLLYLTLFPKDFNIPVRRLLRLWLAEGIVQTSSTHKFFPEDLAQKHFQDLVDRGMIEITKFRSDNSPKQCRLVSVLHDNLSPKAQDISLFYIQRDLESEDVTGHFGIRRLVHHMVTEMGTSHSKSFNFDPSLLRSYVSFNPPRKDMPAKEVGILLGRIINSHFCLLRVLDLEGVNKPTLPDKLGHLRLLRYLGLRRTYLQRLPESVGDLTYLETLDVKHTCVDSLPNSIWKLKQLRYLNLNNIRLAMPPSSSSTLLTLWGLVLDEKISVNESLGKLLALRELGIKFNLNTSQDVLLEWIAKLVNLQSLRLISINDQNLPSELVLKPLVNLDKLSNLNLYGTLQRLPPPNEFPPTIKVLTLSISQLRVDPMETLEQLPFLIVLRLLASSFTGARMACHRGGFKKLEVLKLWRLMELEEWDVEEEAMESLKELDIRCCHELNNIPCRLLQKRRCLEKLTLTDMPDDFVARTKKRKSKDTALTIKPGEFPPYPKMEVKPVVAVVTQKLTDTLNDESVADNKVMMHQLKGVQKSLGKLQSFSKEDDVCDASQRTKDHLHVVYQIEDEVEKFTFTVARQRKIFGFLMKHTFFFNNLNSCSRLKRKIKKIQLSISGSAEDVITPSSASRGNRWEQRNSPTSSSDEEDCNDDDDGGFYDETHVLTQKSSSSVWPISEIPSTPSPTRGVLTRSFTMIPHLQENVQQRKKLTFSYSYNEEEMDIVGFREERLQHPKQMSNFSYQEEDLGLFGLNDDIKSLVNRLTEKSVCRLNYDFKNPVKQRRGMFIPIVGEVGSGKTTLARAVYRNRKIKDHFEFHDWISVMEEYTAERILLSMSKKVITEKDNGPMSPKDQELKSRVFNHLKGKRYLIVLDGVGNSGLVENLKDAFPDENNGSKVLFTSREVRRCPGQHIMDQLSEEKSWNMFLKEAGKEKKADKIELRLKYRILEICRGLPLNIVLVGALLSTKRVTRWSSAISRGNCSDEVLSLCYNDLTTHLKLCLLYLTLFPKDYDIPVRRLLRLWLAEGFVMRRSQQPFPEDLVQKYFEELVQRSMIQITKLRSDNSPRHCRLVSVLHDYLLPRAQDISLFYIHRNLEHSEDAASPFGVRRMVQHMSTTGALTTNTRGRAIISSASSLSCGCLSCREDTPTDMATSHSIPPDLQQSQVQNSTFDPSLVRSYVSFNFQRKDMPAREVGMFLGRIINSDFRLLRVLDLEGVNKPTLPDKLGHLRLLRYLGLRRTYLESLPESVGDLSYLETLDVKHTRVDSLPDSIWKLKQLRHLNLNNIRLAMPPSSSLTLLTLWGLVLDEKIKPNEGLGKLLDLRELGIKFNLSTSQGVLLDWVAKLENLQSLRLTSVDEMGRPSKLVLKPLVNLDKLSHLNLYGHLQRLPYPKEFPPTVKVLTLSISQLSMDPMETLEQLPCLIVLRLLAKSFTAERMACHRGGFKKLRVLKLWMLMELEEWDVEEEAMESLKELDIRCCHKLNNIPCRLLQKQKCLEELILTDMPDEF >KVI07367 pep supercontig:CcrdV1:scaffold_1:1227302:1240423:-1 gene:Ccrd_014279 transcript:KVI07367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MMISDAMEDEEKWLAEGIAGIQHNAFYMHRALDSNNLREALKYSAQLLSELRTSRLSPHKYYELCNLLFNFNMRAFDELRKLEIFFKEEERHGCSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGVQHPIRGLFLRSYLAQISRDKLPDIGSEYEGDDDTVMDAVEFVLQNFSEMNKLWVRMEHQGPARVRDKMEKERNELRDLVIQHLDLVRVDGLVVGKNLHVLSQIEGIDLDLYKETVLPRVLEQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSQLMERLSSYAASSPEVLPEFLQVEAFAKLSSAIGKVIETQGDMPIVGAITLYVSLLTFTLRVHPERLDYVDQVLGLCVKKLANKPKLEDSRATKQVVALLSAPLEKYSDIVTSLTLSNYPRVMDHLDNGTNKIMAVVIIQSIMTNHAYVSSADKVEVLFELIKGLIKDLEGDSEDELDEEDFNEEQNSVSRVIHVLYNDDPEEMLKIICTVRKHIMTGGPRRLPFTIPPLVFSALKLVRRLQNQDGDVSGEENPATPRKIFQLLNQIIEALSYVPSPELALRLYLQCAEPAPGVEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRTNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDHDGIKDGERVLLCLKRSLRIANAAQQMASVARGSSGPVTLFVEILNKYIQFQKQKGGAMGEKFDTIKV >KVI07375 pep supercontig:CcrdV1:scaffold_1:673509:675443:-1 gene:Ccrd_014333 transcript:KVI07375 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF23 MALNIRTVFLYVSASIFFLASLCHLLLPTASISPNLLRRSSPSNPQLYIHNNLITHEQEEDTTTTITTVTSQPPCDQDPRIIQEENMNSVGFNADGMIQFEPQLPNSRSISTEIEGTVLSNATNTITSQADDDDDDDDERFVSEAIDSKNYSMYVSPKADSSNTNVSVLFPSWETLVIVSSEDGDDNNYTSYFCLFDTNEISPARFSGTLPYPDRKTFTCELPRRVRRKQPFRQPVLTKTPDDDKMKYNRLLSQSPELFRWNFIVYDVIETENDVVLLSKGINKRQGINRSPTEFKCLFGDDTVDGVRTSVTSSLQEVFRCQRPDLTAFSQNPIKTSLLILQPNQLLPSIAYYTPQRKIATGGAKSLLCACTMVYNVAKFLKEWVIYHSKIGVDRFILYDNGSDDNLDKVVILLKSKGYDIETRFWIWPKTQEAGFSHAALLAKDSCHWMMYTDVDEFVYSPLWASSQPSKLLLPSLLPTYPHGQLIIKCYEFGPSNQEKHPPMGVTQGYNCRKETENRHKSIVLLDAIHESLLNVIHHFKLKDGYKSKKIGMETMVVNHYKFQAWPEFKAKFRRRVSAYVVDWTQSVNLQSNDRTPGLGHRAVEPEGWVEMFCEVYDDRLKDLASRWFTMVDERSEFRMAWQI >KVI07284 pep supercontig:CcrdV1:scaffold_1:1063320:1066869:-1 gene:Ccrd_014297 transcript:KVI07284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSPSSSAVEKSRDLFANKLLAIPFWLITLLILFSVGTDLFGVDRSGVSVVTSASTNGEEGETEVGESSVFMDSSSFLEKCLLKDGCRWEGLSSSASSIFGSVSTDESTGKEFAEIVISLWLNGSGSSASDSQTASQIQKSQT >KVI07314 pep supercontig:CcrdV1:scaffold_1:100678:108617:1 gene:Ccrd_014377 transcript:KVI07314 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MDTRRLTSSDSHGPNVPYIHKVGIPPKQSLLKEFKSTVKETFFSDDPLRPFKDQPKSRKIVLGLQAVFPILEWGRSYNLRKFRGDLIAGLTIASLCIPQDIGYSKLANLPPQYGLCKHFLLDWFYSPNRSISNLFEFCVDSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLRKEYDPDKEKSEYLRLVFTSTFFAGITQATLGVLRYPKNINFLLFDRKQTFLTIIFWSRLGFLIDFLSHAAVVGFMAGAAITIALQQLKGFLGIKHFTKETDIISVMKSVFGSVHHGWNWQTIVIGASFLTFLLVAKYIGKKNKKLFWVPAIAPLISVVLSTFFVYITHAKQDGVAIVGRIEKRINPPSLDKIYFSGENLLKGFRIGAVAGMIALTLVKQSFKNHIVFRYFIQDCSLIYQEAVAIGRTFASMKDYQVDGNKEMVALGTMNVIGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFVVFLTVKFLTPLFEYTPNAILSSIIISAVIGLIDFDAAILIWKIDKLDFMACMGAFLGVVFKSVETGLLIAVALSLAKILLQVTRPRTAILGKIPMTTVYRNIGQYPEATKVPAVTDIDTSGIHAFEELHRSLQKREIQLVLANPGQGVLDKLYASGFPDLIGENKIFLTVADAVHTCSPKMVHEV >KVI07271 pep supercontig:CcrdV1:scaffold_1:219469:229339:-1 gene:Ccrd_014370 transcript:KVI07271 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDYKFCLALDSWTPVFRHSESVDSQQASIRSYKCLRLKSGQVMEENCKKYGIPLYGASFVPNNALRSSDGEPQQEDEDSGNGSNYVIFAGGGGEGRSGIPNALIISLFDSTSNSLSDQPVDILGTANDLPYRMAVHPGGEGVICSLSKSCRWLEWDAIRNEDIINLSLKPSEKVLEQLEDVGQQLAVTFSHDGSLLAVGGEDGTLRVFKWPSMALVLDAPKAHTSVKNLDFSLDGKFIVSVGSGPGRDEVFGFCRFSQNSKNDQVLYVTAMRGSIVKWNTTTWKRISSKHIVRDPISAFSVSNDGKFLAMALASASFDSSARVTLIKETTKNGGVSVWIILLIVILLAAALYYAKTEGFLL >KVI07313 pep supercontig:CcrdV1:scaffold_1:60247:65194:-1 gene:Ccrd_014378 transcript:KVI07313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPTPVAHHVLSIERHDAQQQKSPELEFHVSNQRANWVLNSPDPPGFCQHIFGLIKKNVFPHRNSTPSSSSSQKKQPPSARLIAVLSNIFPVLSWGRNYKASKFKNDLIAGLTLASLSIPQAQISHLKYTLKHTKSPCRKSFSLLTSCRVLDGSVVPPLIYSVMGTSQELAIGPVAVVSLLISSMISKLVDPMADPVSYRKLVFTVTFFAGSFQALFGLLRLGFLIDFLSHAAIVGFMAGAAIVIGLQQLKSLLGISHFTTKTDIVSVLGAVAKSLHTSVRFHNWYPLNFVLGCSFLIFILITRFIGKRNKKLFWLPAISPVISVILSTLIVYLTRADDHGVKIIKHFKGGLNPSSVNQLEFNGPHLGQAAKIGFICAIIALTEAVAVGRSFASIKGYNLDGNKEMLAMGFMNIAGSMSSCYVATGSFSRTAVNFSAGCQSTVSNIVMAITVFISLQLLTKLLYYTPLAILASIILSALPGLIDYNEAYHIWKVDKLDFLACAGAFFGVLFASVEIGLLVAVSISFGRLILNSIKPGIEEMGRLPGTDIFCDRAQYPRVLDVSGVRIIRLNSGSFCFANANSIKERITRCVTKEDEKEETKKTINGIILDMSSVMSIDSSGIIALEEIHTKLVSRNIHLAIANPRWKVIHKLKVGGFVKKAGSACIFLTVNEAVDACLNPSSIGLGNC >KVI07347 pep supercontig:CcrdV1:scaffold_1:1004492:1012431:1 gene:Ccrd_014300 transcript:KVI07347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLRMPLQLNYFKEYQQRLSSVVGVKRAKELVNQALVLVSLGGNDFVNNYYLYPFSLRSQSSMLVDYVPYVISEYRNILVVQILIEKVKGWEKHMMVVDHPIPRRPVIEEGWWCNGEDGGDVVDDGVVSIFIFLYFGLVFGAEKSPTPKPKVETTKRAFFVFGDSLVDNGNNNFLATSARADSPPYGIDYPRQRIGIDLLLPYLDPTLTGQKLLNGANFASAGIGILNDTGVQFRLYELGARKVIVTGTGPLGCVPAILALRSKKGECAPDLQQAAELFNPQLVDMVNSLNKKLGNHVFVTVETNYLHMNFINNPKAF >KVI07323 pep supercontig:CcrdV1:scaffold_1:1200265:1201428:-1 gene:Ccrd_014281 transcript:KVI07323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPPPISFTHLTTLLTGLMAEHPPPPSSPPPAVSNNPDPIQYWCYQCDKRVPVETRPDHPDIICFECKNGFVESISVAAHTRQVSDEDTPAFGNEFLQVLRLIAQAAREDDEPPPPPSDHPAGDNDYLRIELDGWDNGNVNLNEDEDEDEDQEDPYQGDQDRARFVVDDDDDIDDDEHDEEEEIQNRNLEEDEEDFVRRERRDVLRLRLRDFASRAASRRNRILDWAEILMGLEDQSIEFRLQVPGDDDGYVGNPGDYVDAAGYEALLQNLAENDTSGRRGAPPAAKLAVEGLQTVELNSTNMEICAICKDRVFNNEEKIAKKLQCGHMYHGDCIVPWLGSRNTCPVCRYELPTDDPEYEEDRKKRSITVAASATDHGCSSSSGGGGD >KVI07374 pep supercontig:CcrdV1:scaffold_1:618151:629678:1 gene:Ccrd_014338 transcript:KVI07374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAPLLTRHPNVTDDNNFGIAGQPADTTAKARHEPRFIDIISKEIFYKLSDGPLHADVDVVEYGPWGTGGLSCTKSQHGTELVKGLSLDLSCXEVNICCQSFTKLKNLRLLHIYIGALSNFRDTNGIKCRTELGKETKVSTTGKLEFLSNELQLLCWHGYPFQYLPSMFFPESLLVLDMSYSCIKQIWSGSKVITLFFISLVLDVSHCNLSGASLHNLETLCSLEELDLNGNDFTSIDANFSSLSRLSCLRLIGCKKLQVLPKLPSSIRNLDAQHCTSLQELPKLSTMYNASSSVFDFKNCPKVVEKQTIESLVMVLLPQCTLSLVTSIRAVGTRYVRMQAKFANASKEVTTNRELIFIGQGWIDIFEEVHIFLPGSRIPRWFSNQSMGDCIMVDLPPHWCYKKLKGLVTCAVITPENTGSRSTYFEIFCTIKDSCGAVISDVSLLATDDPNIESDQIWLSYRKSDPKWKKIKNHIIVSLKCIGINCKVKQCGVILVWEEDEQETASGSKTMLWFPTFTSENGAAGHSNLDVRSAATEDEPPWKKFRIS >KVI07301 pep supercontig:CcrdV1:scaffold_1:1386673:1399721:-1 gene:Ccrd_014267 transcript:KVI07301 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MVMEGGDTESCCSSRASEASPAAAASRKRRPKVEVYQEVLRRLRESDCEEACQPGFEDQLWSHFSRLPVRYALDVNVERAQEVLMHKKLLHMAHDPTTRPAFKARLIQIRSTGLSPSSNLCNHCTHPLPAFGLSSSLAFEASKSSLQGGNKVMSANQHLLRPLHEITISTNDKPKLLCKLTSLLSEIGLNIQEAHAFSTTDGYSLDVFVVNGWPYEEIPWSKNSPVLETKNFRESVQMPVDGGDVWEIDVKRLKFEYRICGGSYGDLYKGTYCTQDVAVKALKDEKIRHKNIVQFIGACTRPPNLCIVTEFMAGGSVYNFLHNQRGRFDVPAILKIATHVSKGMNYLHENNIIHRDLKSANLLMDENGLPYANLTPLQAAIGVVQKVVEEKRSIKRRNIIEGVSRPDDA >KVI07338 pep supercontig:CcrdV1:scaffold_1:1540815:1556573:-1 gene:Ccrd_014253 transcript:KVI07338 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP Gly-rich domain-containing protein MKNVTENGEWRMEKRKSNTPLENSNRCLEPGSKLSFNIPKAMKRFARMQSEISEALFSEKRSAREQVTENRHCFFRSEIYHAARTLEAMASRLQLPVDESVLLRLTHSNLKTFSADARFSLESTIEAVKDKIWKKCGTAVTSMCLELYDESGAKVSDLSDNSRPLGFYSPLDGYRVHVIDLDPSSVTSGGWLEDTSLVEKYKISDEAYDKLDGTYRKFKEKLVPQSSSAQGSKINDDYMKEICANTKVGDRCQVEPGEKRGVVKFVGRAETLGPGFWVGVQYDEPLGKHDGMVKGTRFFKCPPLHGAMVRPDKVKIHRLYEDENSLHMVMDLCDEPNLFDDSRNAEVRFRNRKRLRFSSPVSHCHGLGIAHGDIKLDNVLFDSTGKLKLADFGSAECFGMNESGMMTGVVETPHYVAPEVLLGREYNEKVDVWSAGVILYILLAGCPPFYGETPVETFQAVLRGNLRFPPTIFMSVSPEAKDLLPKIFQGNNLNFFFSLWSCKMAAAWLNHLSLQFLIEQCACGAL >KVI07258 pep supercontig:CcrdV1:scaffold_1:508353:517261:-1 gene:Ccrd_014350 transcript:KVI07258 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type MATTTTTTTVDPDRPPPLRLDSIPTVDLRLLSQSELYSLSKCSNSSFDLNRCDDVVIPKIDRSVFNESAGSRKQTYSRLRLAPAESSTSAKTTMHRRTSHIRSPHAPTSNNINDPEQAENTQIVRMLKELFNSDPNFEDLVPIEVQNDTNVVVPELLKPEMKRKRGRPRKHENAAFIHPPAAKRMRDIMVKKVVVFDNDRDREIVNSRGVKVNIANLGRLEDPYGPEIRRRTEGMLTEGELLGFLGGLDGQWGTSRKKKRIVDASDFGDALPKGWRLSLSIKKKAGRVWLFCRRYISPSGRQFESCKEASMYLLSIVGEEKLDKPNQTHSNNCDDFALKGASGNAADLFVREDLKRISSVQNPSALPTSLHTDSGKQVMPGNLDPVVEQVEELFKCLKCFMIFEGKMGLLNHQVLFHKYERSDIGSAVSDCTVVKGGIFECQLCHKTFLERSQYNGHIGMHVTNESRTAEASEAPTAEKTNDPVLYPGVPDKELPASVSAEGNVVIETHDAHHGDKIISASPQSDHKLSSGTELKTNEHFRDLNILAHGNQEGHVNMNGVVLVEKSGDEIGKNCNVDDNEVPGTDKAPVVSVSKSDLCFDYEPSMSAYESSRKFESSGGIVDRVDDKTECDLDQGTSSGGCLLSPFSSKQLGSASAVVEKDGVSNGQDAVSGNGVTHVDKPECILKQGRSSGNSPPYINEPIGSSGGVGKIVIGEYASVEVLNHAVSETGVPTSSIGGKKGFRANINDTNICSSLDKLTPEKEKVVNSESSSGVFHGVGLNEDCVVEMEKLSGSESLSLVSPSSAVNNASLISSKKQESPREVGFAGSIIAASVDQVTHASDENRFSYGIASSKLDGQYEVRSSAFASYNVSSMYGGTCMEKERNFISRSSVSSWCKKECMNEDVGSKVLVSTMKEPQTQMSKENFHMENDVNNASSNKSGEANLDDFQIFRNDESKDNEVASLGSSQNGLNAGVMAFNTGKNLEFCSLAPPENEQAFGFQDDVSGLYDNAHGSSERGLLDHFCVAETSDDIFGNKMYSTPLDGLKFGEERNIGIHELSLAFGNPHALYADTISVEQKKGVNCSVVPSKIEDAFDVQTNLSMVNNSMVEDLKGVRESVGGSFSLSCNNEARAFQHNGNTVFSGRTWEDLGSDEFRSSERKKFMIGSSSNQRQLNEAEDGLFRLDERYKDGSSVGGLRSGRSEPLEFRFLTGQSEHNPHALQGDSRVFPYNTGMEQAFDSPFWMGKNSMMPNMARNLVTGLCSWCRNEFHFHPQTQAGIGSLCPACSAGISGQVNML >KVI07346 pep supercontig:CcrdV1:scaffold_1:384862:417239:-1 gene:Ccrd_014357 transcript:KVI07346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAMAPLIIINKPTFFPFITLLFATLFCIPCLAHPSSSHDQLNALLKWKSTLQTQNHTILLPSWTHQTTSSPCNWYGISCNSDGTIARLNLSSSSLNGTLQHFSFSSFPNLTHLELSLNYFSGIIPSEIGLLVNLVYLDFSSNQFSGIIPPEIGRLTNLVTLHLFDNQLIKGSIPRAICEMRFLSELALYNNTISGSIPTCLGQLSKLSYIYLNYNNISGSIPYELGNLSDLEELHMNNNYLTGSIPTTFVNLKKLTVLILFENHLNGSIPTDIGKLKSLRWMGGNRISGNIPPSFGNSPKLEVLNLSSNDLVGEIPKEFGRISGLVNLYLSNNQLSGVVPMNLGSLDELSSITQTRNRNHKLVLLISLSLLGAFLFCGLSGIFIFYWRKKRPSMDDEDKNGEDFFSISTFDGRKTYNQILKATGEFNGAYCIGKGGCGSVYKANMASGETVAVKRLHSSSEMVNRXDFLXEXRALTRIRHRNIVKLHGYCSHAQNSLLVYEYFEGGSLVESLNNDEVAQAFDWNKRVNVIKGVAHALSYMHHDCSPPIVHRDISSKNILLDSECEACVSDFGTSKILNLESSNWSNLAGTYGYLAPELGCSMKVTEKCDVYSFGVLTLEVMKGEHADVVRGFSDRVLGFLFEGSDSNISIQKLTMMMKKAFFPSTILLLTLFSTLSHDALGSVDALLRWKASLRSHNTTILLPSWTDQSTMSGCSWYGVSCDAHGSIRRLNLSSSGLIGTLDRFSFSSFPNLTHFELSLNYFSGIIPSEISYLSNLMRFLSVLALYNNTISGSIPTCLGQLSKLAYIYLNYNIISGSIPYELGNLSDLEELHMNNNYLTGSIPTTFVNLKKLTVLILFENQLNGSIPTDIGKLKSLQWVELQMNNLSGPIPRSLGELRSLRLLRLYSNKLSGPLPQELGNMISLSSLELGVNQLNGSIPSSFGNLQNLEIFSLRNNQLSGFIPQEMGKLKLTLIEITNNSFSGSLPDKICSRGTLKRLLVGNNNLTGQIPSSLYNCSSLIRVRFDGNQITGDISESFGVYVHLNYINLNDNKVYGELSNNWSKCRNLTTMQMGGNRISGNIPPSLGNSPQLQVLNLSSNDLVGEIPKEFGRMSGLVNLYLSHNQLSGVVPMNLGSLDELSYLDLSMNKFNGRVPSSLGNCSKLFHLNLSNNGFTHEIPVQIGRLFHLYDLDLSHNSLTGEIPSSLSSLTSLETLDLSHNQLSGYIPKTMELMNALWSIDLSYNQLEGPIPVSKGFMNVSVEGNRGLCGNVNGLPRCRSITQTRNRNHKLVLLISLSLLGAFLFCGLSGIFIFYWRKKRPSMDDEDKNGEDFFSISTFDGRKTYNQILKATGEFNGAYCIGKGGCGSVYKAKMASGETVAVKRLHSSSEMVNRVDFLNEVRALTRIRHRNIVKLHGYCSHAQNSLLVYEYLEGGSLVESLNNDEVAQAFDWNKRVNVIKGVAHALSYMHHDCSPPIVHRDISSKNILLDSECEACVSDFGTSKILNLESSNWSNLAGTYGYLAPELGCSMKVTEKCDVYSFGVLTLEVIKGEHPGDIITFLSSPSTKNMELRDLLDHRLVVPSLEMKKLITSILIIAIRCVNSNTEIRPTMYDVSQKITCFISDI >KVI07306 pep supercontig:CcrdV1:scaffold_1:537545:537832:-1 gene:Ccrd_014348 transcript:KVI07306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLVGLLCFIVLILNFLVIGAEKSTTITSQVHVALRSRKILHYPRYGPGRDFGLSSVPPPDFILYGH >KVI07357 pep supercontig:CcrdV1:scaffold_1:581809:585699:1 gene:Ccrd_014341 transcript:KVI07357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MAAGTEFSPAFAMLEGGFNVSVGEDEISQNSDHLKQMAIRKPPRHLSVVRQSMSTATLLQPMNTEFGVGIVAAKSGSDGKSEFLPIIRSGSCAEKGPKQYMEDEHICIDNLLEYLDGTEGFPPPGAFYGVFDGHGGTDAASYVRKNILKFIVEDSQFPVCLEKAIHNAFVKADHAFAENSSLDISSGTTALTALIFGRTMVVANAGDCRAVLGKRGRAIELSKDHKPNCASERLRIEQLGGAIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQEVVLTEDDEFVIIGCDGLWDVMSSQCAVTITRKELMIHNDPERCSRELVREALKRNTCDNLTVIVICFSPDPPPRIEIPQTRVRRSISAEGLNFLKGVLDSNS >KVI07335 pep supercontig:CcrdV1:scaffold_1:1525436:1526452:1 gene:Ccrd_014256 transcript:KVI07335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPRSKRLEITLISGHDLPPIARNLTTYAVAWLKPDHKLTTQVDHGGHTNPKWDHKFVFNLDRSFSISDTTTTVTFEIYNVGWLRDFLVGSAQVIVSNLVERRSKNHRPVTVQLRRPTGILQGVLNVGVNLIDRSNDNVGRNVVSRDPIGKIGVLENDEDRKMNDEKKLERSRSERTAKFGDFSVADEGGDGDGDRRVLSRSMSGCSVTQIGSVNSMMRPLPSEVVAALKNGMYSTGGDDIESYVFSAGTVEREPRNDKKDLNAMVAKWRHTVMNDKTPVVDNRRLISYDHEQRRKKGGRGRGGLFSCFGNIKGKEFSFICGNNEPAKRKKRKPKA >KVI07273 pep supercontig:CcrdV1:scaffold_1:714983:716347:1 gene:Ccrd_014329 transcript:KVI07273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MMVKVEIVSKENIKPSSPTPQTLKSFKLSILDQLIPAPYAPIILFYPNQDHGDGATDLDHQLHTRLETLKDSLSKTLTDFYPLAGTIKDDLYIDCDDVGAYFVVARVNTRLRDFLENPDLELVNHFFPCAPGFNGSVAGCCVTNVQVNVFECHGIAIALCISHKILDGGALSTFLRGWTGSSRGSKDVVIPNLGAPSLFPANDLWLQDSAMVMWGSLLKFGKCSTRRFVFDSSKLAVLKAEAAGNGVKDPTRVEVVSALLWKCAMAAAEEKVGFRKPSMLSHVVNLRKRLASTLSEDSIGNLIWITSSECGPESEIRINDLVERVRGSVSKINGEFVKNIRGDKGREVMEESLEKLKDCGTTKDYIGFTSWCKMGFYEADFGWGKPIWVCGSVSDGSPVFMNFVVLMDMRFGDGIEAWVNMDEQEMEILQHNQELMAFASLDPSPLQTNQLSVF >KVI07268 pep supercontig:CcrdV1:scaffold_1:244783:251945:1 gene:Ccrd_014368 transcript:KVI07268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold KDSGNESRRERRKAARLEKNKKKFDSWVQHHQLLKKEKTSNNKKAKNAQKSTQIAEEKESGKEDSTKLLETSSPHTLKETISAEDDLKLQRRLAKKLRVKDKNLSEGILSALESLETEGGEASRNTSTSRKKHKRRISSGDDIMAGDDQTNMSFEPKVLKKRKTKFEKYLELDTQNGVASAQEDLALERKLAKKLKLKEGKLRGDDDELNMLFEGISSVFDSLETEENADMEEASEVATKKKIPRKKRKKNSEQKEDAEIRSEDVVEGPESEITTGAELDSENNPAQASVPEVQAKYVPPQLRSMSRNESEEYSQVRKRVRGLLNRLSESNVEGIAGDMSTIFQSIARSVGAQIISEEILASCSGGPRGNEQYAAVFAALVSGLACLVGIDFGAKLLASLANDLIYDFMMMLSSRLTEVDVSTILTILNCSGMRLRSDDPATMKNFILSIQNKVTELKAADGDNQAKSRSKRMEFMLETVVDIKNNKKRAKEDTLQHTRIKKWLQKLRVESILIRGLKWSKLIDPNKKGQWWLSGDMVSTTDNIENVAKKIDRESSEAQKMLQLAAGQRMNTDARRAIFCIDREIMRVLVECCLQEKVFNKYYCVLVSKLCSHDKNHKFTLQLIRSMHLAKLVAEMVAAFTLSLAVLKKADLHDTTQLTSRKIMHFKIFFEAVFEYQDNVVWNIFKRIAGSEQYEPLRTGIKFFVERYVMGSEKPFAGKYKIAKKALKSVEEDIF >KVI07291 pep supercontig:CcrdV1:scaffold_1:895295:895996:-1 gene:Ccrd_014313 transcript:KVI07291 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MASSSTSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSYAKSELSKYQSHNLNGSATQGLIAAAAAAAAATHHHHHHQTLGNNLIGCCGGGVVSRDHLYHHHSPFFPTRDQQTAAIRAFDVNSSYDGTTGGGAGVLAMNASTGCIGQHPQFQQPRAAGNDDRPTPIDPS >KVI07326 pep supercontig:CcrdV1:scaffold_1:1139862:1145032:-1 gene:Ccrd_014286 transcript:KVI07326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAVNSTQQGCDSYSVVGLPDEVNTIPHCELPVALSDSLEDYVLLKSQISLDDQLINSQTYINVMVENPAAYSKCIEKVVDIEKGKSETPRINEETVDNLKNNEGQMKSLQKQISFEMGGKYMQLLMNHSLILPKFSTRDRTAAEKVVLEAPRLRKYKRTASFNSRKVVLLFSVLSSLGTIILIYLTLRVKQIGDASSTHSE >KVI07364 pep supercontig:CcrdV1:scaffold_1:1091052:1093665:1 gene:Ccrd_014293 transcript:KVI07364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFECCWSDRDGELYPLLIEEPKPFVLPAPLPQWPQGGGFATGRISLGELEVAMVKDFECISSYTPKKGKTGGVTFYKPVGIPDGFFSFGHYCQLTDQPLRGYVLVARDAGDAAVSETERSLLESPLGYDLIWSSGNVYVWQPSPPDGYRAIGFVVTTEPDEPDLGEVRCVRDDLTDNCEVDDVILSVVSSFKVWSTKACKTGMFCKGVTVGTFFCSTDLNDVDELNICCLRNTDLTLEAMPNLEQLRALIDHYGPTVYFHPDEVYLPSSVPWFFENGALLYRKGKSDGISIDIRGSNLPKGGKNDGEYWLDLPENVNDEICVKNGNLESAELYVHVKPALGGTFTDIAMWIFCPFNGPVTFTVELLNLNIEMNRVGEHVGDWEHFTLRLSNFNGELWSVYFSEHSGGEWVDASKLEFVEGNKPIVYSSKCGHASFPNAGTYIQGSTKLGIGVKNDVAKSDWFIDSSKRYQFMAAEYLGSHVVAEPDWLQYMREWGPTVLYDGRSELEKIISHLPFLIRLTVETLIDLFPMELYGEEGPTGPKEKDNWYGDERC >KVI07331 pep supercontig:CcrdV1:scaffold_1:777907:779959:-1 gene:Ccrd_014321 transcript:KVI07331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MATPVTVYGPPLSTAVSRVLVCLLEKDVPFQLVPISMAKGEHKKPDYLKIQPFGQVPAFQDDEITLFESRAICRYIAEKNPSKGTMGLFGTNPLVKASIDQWLEAESQSFNPPSSILAFQLVFAPRMKLKQDEALIKQNEAKLVKVLDVYENRLGESRYLAGDEFTLADLSHLPNTQYLVEKANRAELFTSRKNVGRWWDEISSRPSWKKVVEMQNAPPQ >KVI07387 pep supercontig:CcrdV1:scaffold_1:560427:567428:-1 gene:Ccrd_014344 transcript:KVI07387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRHGWELPAHTFQVVAITVFFLLSVAFYAFFSPFLGKAIYEHVAYGVYSFLALCVFVLYVRCTAIDPADPGILIEPDRASPYRSHNGIEVPGFCSKIGGLFCGCIVKEDCRKDEDMQQGGEEEALFCTLCNAEVRKYSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFVCLMAVSLVWLTFECGVGIAVLVRCFVDRRATENQIADRLGDGFTNNFVFPVIPFFQAICTLVSFLATIPLGELLFFHIILIRKGITTYEYVVAMRTQSEPPGPSIDGMDQQSLQSSPTSSAVTAISGRSSHGLSLQHKGAWCTPPRIFMDQQDEVIPHLEPGRLPSTVDPDAVYPPDKGKRLPQRPVRISAWKLVKLDSTEAIKAGAKARASSSVLRPLGAKYNQYDPDHLSSSNVSGKSSPNSTHHRFHERSHSKSSYPPSRASREDLETCGHSVSNLSSPVPGRDHFNPMYQSSANQSPWSGKASEGGNNEPMGVPPPARRNNLGVGESTRSSSVYWDQEAGRFVSGSTTRSIGGSSSQASGTELTYTGQSIFFGGPLVGGGRNGGGGGGGGDPQRGPTPLSYYQQGRSQRGGQLPVFVPSEQNQTPRLQ >KVI07381 pep supercontig:CcrdV1:scaffold_1:651671:654381:-1 gene:Ccrd_014335 transcript:KVI07381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF833 MCIAVFAWQVHPLYPFLLLLNRDEYHHRPTKEVGWWEGGEILGGRDEVAGGTWLACTREGKVSFLTNVLELHTLPEAKSRGDLPLRFLESKKSSEEFAKELVKEVHEYNGFNLITVDLSSKKMFYITNRPKGQTPTVQQVQPGIHVLSNAKLDSPWPKVQRLKLNFKRLLSTYDEDEDIPMKDMVDKLMRDTTKADKSQLPNICPFDWEYDLSSIFVEVDTPLGRYGTRSMIALSIRDNEEASFYETYIERELWWEKTVNYYITPKIKINDIVF >KVI07332 pep supercontig:CcrdV1:scaffold_1:770777:772711:-1 gene:Ccrd_014322 transcript:KVI07332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVITRSRFKTVCVFCGSSAGKRDCYRDAALDLAQELVKRKLDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMCKEITGETVGEVRAVSSMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLVFIDKAVDDGFIMPAQRHIIVSAPNAKELVQKLEDYVPMHDGVVAKARWEAEQVELNASLHSELAR >KVI07330 pep supercontig:CcrdV1:scaffold_1:780671:783788:-1 gene:Ccrd_014320 transcript:KVI07330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAERIGSEGESYPVALASHDDVVKDSSLFWETLRSFHRFMGTKLMVPVIGGKELNLHVLYIEVTKRGGFSKELPWFTERYYISYSFKIYTISLFVTGHSSFQATGMIDTKFEYGYIVTMQLDTEILHGVLYHPQHSVIVSNNLDSTRRRRKRRNEGDPTRPKTNRSGYNFFFAEKHAELKSKYPLREREFTKMIGESWTNLCPEDKMVYQNQGLKDKERYQRELAEYKERAKEWKPKVTVD >KVI07317 pep supercontig:CcrdV1:scaffold_1:180677:183674:-1 gene:Ccrd_014374 transcript:KVI07317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cross-linking MKTPNTKNQLLGIICVFAIFVISNGRSRTMNSNNQKIRAVNLGGWLVTEGWMKPSLFDSIPNKDFLDGAGLQFKSATIGKFLCAELGGGTIIVANRTSASGWETFRLWRIDETNFHLRVFNKEFLGLDSNGINLVAVSTNSETSGIFEIIKKSDDSSHVRIKAPNGLFLQAKTEDSVTADSNGDGQWRDDDPSVFEMTKVETMRGEYQVTNGYGPTKAPKIMKVILDLHAAPGSQNGYEHSSGRDGFIEWGLTDETIRQTVDVIEFFTARYAKNPSLYAVELINEPRAPGVPLSVLTKYYEAGYKVVRKHAPNAFVVLSNRLSGEPKELFPVASGMKHVVVDVHYYNLFSDIFNDMTVEQNIDFIQTNRSAELQAITTANGPRIFVGEWVAEWQVRGATKQDFQRFSEAQLEVWGRASFGWAYWSLKNVNNHWSMDWMIKNGYINL >KVI07324 pep supercontig:CcrdV1:scaffold_1:1215662:1221996:-1 gene:Ccrd_014280 transcript:KVI07324 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGVVSTILGAFGFGIGVSLGLVIGYYFFIYFQSSHVENPKIRPLVERDSKSLQQMLPEIPMWVKNPDHDRVDWLNKFVELMWPYLDKTIAEPIIKEQIPKYKIDAVEFDSLTLGDLPPTFQGMKVYTTDEKELIMEPSFKWAGNPNIHVAVKAFGAMKRPVGMLNVKVLRAMKLKKKDFMGASDPYVKLKLTEEKLPSKKTSVKHKNLNPEWNEEFNMVVKDPELQALEIVVYDWEQVGKHDKMGMNVIPLKEVTPEEPKVMTLELLKNMDPNDAQNEKSRGQIMIELVYKPFADDKLPAEAEDGNMIEKAPEGTPEGGGLLVPVKKNRDPRWDEEFAFTLEEPPTNDRMHFEVVSTSSRMGLIHPKESLGYVDIHLGDVVSNKRINEKYNLIDSKNGKLLVEMQWRTSS >KVI07309 pep supercontig:CcrdV1:scaffold_1:540372:543487:-1 gene:Ccrd_014347 transcript:KVI07309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLRYGGDSTALRVNTNKKPPTVRYAGDASALKIHAKQKFRIDSNTRLQLNGELDTRIGAPTFFSALLRYFPPELSATVGVGLQYDRREKLHYTMRGKKSFSLTPDRFASFVPRPSGAAELVWNILDFQKDQDIRLKVGYEIVDKIPYVQVRENNWTFTADANGRWNVRYNL >KVI07333 pep supercontig:CcrdV1:scaffold_1:753114:754376:-1 gene:Ccrd_014323 transcript:KVI07333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANLRRSLSFSNTPSPPPPPPPPSSSTSKTAGKRIHFRSISLPTRSHPILFQLKYHLNQLKSQWGSDPTPESLHSNRILDALIRLRTILECLDDLLDLAPSRDSLRRHPEWVEKVLEDFLVMVDVYGTFQMQISTLKQCNIAAQLATRRKDGLKISVCIKGLKKTGREIFKLMPFLHGIQEHNPSNYNITGSDPNPNPDVDLVGVIRGVVEVIVMISEAVFGGISGSFETRKTSWMMGLKKSKREKGIAELENGIWSLRSYEDEVMKKKKMMKEMEECIDGIESGCERVFRDEWCICVYLLDAHGKVVLLFV >KVI07267 pep supercontig:CcrdV1:scaffold_1:346559:348579:1 gene:Ccrd_014363 transcript:KVI07267 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g78130 [Source:Projected from Arabidopsis thaliana (AT1G78130) UniProtKB/TrEMBL;Acc:Q9C9R9] MTPETVTLILVNLAGIMERADESLLPGVYKEVGEELHADPTRLGSLTLFRSMVQASCFPLAAYLAVRHNRAHIIAYGAFLWAAATFLVAFSSSFFQVAISRALNGIGLAIVAPAIQSLVADATNDENRGTAFGWLQLTSNLGSIIGGLLALLIASTTFMGIAGWRIAFHLVGIISVVVGILVRLFADDPRFSRGIEPENVVKSRSLWSEIKDLMQEAKSVVKVQSFQIIVAQGVTGSFPWSALSFAPMWLELTGFSHKKTAFLISLFVLGNSLGGLFGGRVGDLLSKQFPSSGRILLAQISSASAIPLGALLLLALKDDPSALFAHAFVLFVTGFFISWNAPATNNPIFAEIVPEKSRTSIYALDRSFESILSSFAPPIVGILAQHVYGFVPVEDGSESIATDRGNARSLAKALYVSIGVPMALCCFIYSFLYRTYPRDRERARMEALIEAEMETMESEERERKPRYKFDDDFYDKDQMSMEMEYGGGEDSIGLDESDEKMLLYRQLTFANMVV >KVH99070 pep supercontig:CcrdV1:scaffold_10:170749:175664:1 gene:Ccrd_022646 transcript:KVH99070 gene_biotype:protein_coding transcript_biotype:protein_coding description:NO signaling/Golgi transport ligand-binding domain-containing protein MAPAVPRSGDAIFANVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVTRCVDFRETAEVIAKVGFKMFLGVTASVTNWDSEGTSCSLILEDNPLVDFVELPDTCQGLYYCNILSGVVRGALEMVSMKTEVTWVRDMLRGDDAFELQVKLLKQVPEEYPYKDDE >KVH99130 pep supercontig:CcrdV1:scaffold_10:493763:494686:1 gene:Ccrd_022666 transcript:KVH99130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function wound-induced MANRAAIKAWLVVASIGAVEALKDQGVARWNGPLKALHNHAKTNILASLNKSVADRSARSLIVASESSSASLAARKFRSKKTKAESMKKVMDMNCFGPNTVRF >KVH99113 pep supercontig:CcrdV1:scaffold_10:658049:662608:-1 gene:Ccrd_022681 transcript:KVH99113 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MESTDSSSASKQPQLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGAKTNWIMHEYRLADNKNNISKPPGCDPTNKKASLRLDDWVLCRIYKKNNIQRPVDSDGGGGDHTMTGMLASIPPSISLGITRPTSGFNAMLENHDHHNVVFDSTMLNSNENNNNNVNITTSNNLLPIKRSFPGLFWNEENHHSGNSNNNSVPYTKRFLAESNTTDGGVMAARTADENNGGSIAGFLNQLPQTPQMHHQQAMLVALRVVCRITGVLN >KVH99098 pep supercontig:CcrdV1:scaffold_10:331103:333447:-1 gene:Ccrd_022659 transcript:KVH99098 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MPEAPRNHHTTTEYSLTEKNKKSLQFIEDVTSNPDAIQQRILSEILTQNADVEYLHRHGLSGDTTDRETFKKLIPVVTYEDLHTDITRIANGDKSPILSSHPISEFLTSLLMPVMNQFVSGLDKGKGMYFLFIKSESKTPGGLPARPVLTSYYKSSHFKERPDDPYTNYTSPNPTILCPDSYQSMYSQMLCGLCLNKEVLRVGAVFASGFIRAIRFLEKHWVHLTHDIRTGSLNSIISDPSVREAVMKILKPDPNLADFIEFECSKNSWRAIITRLWPNTKYVDVIVTGSMAQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCNPSDVAYTLIPTMAYFEFLPVQRNNVNGGSEKKEKKKQKLVELADVKIGEEYELVVTTYAVVGFKNKAPQFSFICRKNVALSIDSDKTDEVELHKAVETAVNHLVPFGVTLTEYTSYADTTTVPGHYVIFWEVSVNGSISVPASAFEDCCLTIEESLNSVYRQGRTSDKSIGALEIKIVENGTFDKLMDYAISLGASINQYKTPRCVKYEPIVKLLNSRVVSSYFSPKCPKWFPGHKMWNNVN >KVH99081 pep supercontig:CcrdV1:scaffold_10:580040:583667:1 gene:Ccrd_022674 transcript:KVH99081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSEIKEILGLIQEQQNQKLPKAKSKVLIEFIRIKKQSYLAIDSGMEQFNLLEGSGSFNDPTIQSVHRTQEVKNGGKQTGCQDKMTAVHEEIKKVNQLPAHSTYATHRMRVLNKILQLLSVQGSRFEVLNVLVDFLTIGGHKGLFLLKNLVDGGLKSKAHW >KVH99112 pep supercontig:CcrdV1:scaffold_10:655445:655750:-1 gene:Ccrd_022680 transcript:KVH99112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKKAPTTAATLQHPSPAKGSSSLSVKKYQDLLKDSAASPRMILQPRRKNSRREMVVPRRQRGSKEMVRRALTPPARKLTWRWFDFRPTPSRLAVMSMAI >KVH99083 pep supercontig:CcrdV1:scaffold_10:555368:563700:1 gene:Ccrd_022672 transcript:KVH99083 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c assembly protein, transmembrane domain-containing protein MNLSINGSHGVPHRLITSYHRPQKTISGTYEVPIKMIKKSVNEDDSAKEISSSSRIDLNLSLRTTSLMTAISAANLVSAHSAHALNMEAIMERTASSVYTLADGSLGDWFGGLMYSAGQQANEAVQIQLGALSFTSLVVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAEIVGDSLAFALGLATTLALLGVAASIAGKAYGQVGQGLPLAASGLAVIMGLNLLEASNHIIFHIHLVDPIIGGSLLLTYTTGYSLLSFRKFSAWINPMSGALLLGGGVYTLLDKAFPPTSMVM >KVH99107 pep supercontig:CcrdV1:scaffold_10:293662:295717:1 gene:Ccrd_022656 transcript:KVH99107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVWFSLKKSFHCESDSADVHDPKPKTHLSAILTRKPSRWGCSRSIANLKDVINGGSKRHSENPVNCSPRSIGSNEFPNPITHEVILNNSKCEIKITGFGGGFHDGIGGSADGSPLVGTLLAPVSGGNSTMQYSKSTTPRRTISSMERGDGNGIGNSVHLGITGAGGKNTVVQKPRMSSETETNGSAAGGVTCHKKIVEIICRSSWSKSETSSGRIEKVLKVHNMQKTLARFEEYRELVKTKAGILPKKHPRCRADGNELLRFYGATISCSLGINGASSLCISDKCSVCRIIRDGFSAKREVKGGIGVFTTSTSIRAFESIEVLEESPDTRKALIVCRVIAGRVHRPLEDIQEMAGQSGFDSLAGKVGLYSNIEELYLLSPKALLPCFVVICKP >KVH99137 pep supercontig:CcrdV1:scaffold_10:504424:518980:-1 gene:Ccrd_022669 transcript:KVH99137 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MLVSPLSVTRSSLEEMLDSLRRRDEAENQKDLPPALPSRPTSKARLPKRLLPAKFDMEYVAPPDTTTSKKQDVKCISEGGSNFGRKKVKEAAAGGESPYTMTPVIEQRLAENGGASLATPSTSPEAEWDDSIGYFVKKKLRVWCRPRNDQWELAKIKSTVGEAATVMLLDGSAVKVSTGDLLPANTEILDGVDDLIELGYLNEPSVLHNLQYRYARDVIYSKAGPVLLAINPFKDVQIFGSDFITAYREKILDNPHVSILTFGNYHKSQWCMLYLIFYLHPLLYSGESGSGKTETAKIAMQYLASVGGENCEMACKVIQSSCILEAFGNAKTSRNCNSSRFVGSIPSEFCAVQGKLIDIRYSAEGTICGACIQTISNFDIVQARVSQICRGERSYHIFYQICAGAPSALKDRLNLKMASEYKLLNQSGCLKINGADDSHNFKMLMEAFDILGIPREDQENVFELLAAILWLGNISFEAIDKRDALAQFVYESLFSWLVGEINRSLEGGKQHTERTISIIDMYGFESLQLAVSSHMTGSFLYFQKNSFQQFFINYADERLQQHFIRHLCKLEQEEYELDGIHWKKVDFEDNQECLDLFEKGSKSSTATDVTFTNKIKRHLSSNLRFSCERGVFRVRHYAGEVQYDATGFLERSSDTLHFNTIQLLSSSRKKLLNIFASGVMNPSQTTGLAASDSHEQSVGAKFKDQLFKLIHKLENSKPHFIRCIRPNTKQLPGMYEKDIVLEQLRCSGVMEIVQISKSRFPIRLTHQEFATRFGCLLSENIICMDPLSTSVAILQQCRVSPQTYQVGYTKLFFRVGQVEVLENLRQRVLEGTCEVENIVLGGRVLLDFHELKFAIVTFQSFVRGENARREYERWKKSALDSSRSRRKSRCRNSESKDLSEENIQFLPQNVEELQRRVVKAESSLSEREQENTALREQIRQFEIRWLEYETKMKAMSLAEAKKTLGADISYEQLGRRDRSPSPHCYDSEDNVSGTQTPVQIAPLRIGNNRREINGVVSDTLDNLNKEFEQKKQIFDEDAKAVTDVKPGRPPSTKQIEEYRTLKRKFETWKKEYKHRLREAKSRLVKGINAEYGGDFDGRSNSGVGGSIGTGGDRRARNWWGKLSKRGKERPPV >KVH99119 pep supercontig:CcrdV1:scaffold_10:805382:814904:-1 gene:Ccrd_022692 transcript:KVH99119 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDNLYFDFHRRHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGVCILSISQYGYSVSRLFCDYFASLLCVKPFQPTPAPVPTPLAGWMSNPPAVSHPAVSGSAIGLGGPSIPAALKHPRTPNNPSLDFPSGDSDHLSKRTRSMGLTDEVCDTYSITYQLYAALVKDPGVSVNRVIWSQDGSLFVNDLAFSHPNKQLCVITCGDDKTIKVWDAATGAKQYTFEGHDAPFIFSTALDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLEWNESEGAVKRAYLGFRKRSLGVVQFDTTKNRFLAAGDDFCIKYWDMDNVQLLTSVEADGGLPASPRIRFNKDGTLLAVSANENGIKILANSDGLRLLRTFENLSYDGASRAPEAPKPTLNTISAAAAAASGAGLVERVASVGSISGLNGDTRSMVDVKPRITEEPNDKSKIWKLTEISNAILALASNAIHLLWKWQRSERNSSGKATAGVSPQLWQPSSGILMTNEFTETNPEESVACFALSKNDSYTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWSSDGWEKQKARYLQLPPGRTPTAQSDTRVQFHHDQIHFLVVHETQLAIYETTKLECVKQWLARESSAPISHAMFSCDSQLVYASFLDATVCVFTASHLHGIVHVFEPLESEGKWGVPPPTENGSTSNIAAASVGGSGAEQSQR >KVH99105 pep supercontig:CcrdV1:scaffold_10:270428:279403:1 gene:Ccrd_022654 transcript:KVH99105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MDLEETIDEEKYCGSEKPLLGKERILKAINGEDPHVKKTILDCLRDKHIVLSKSRKEKLTRKGYIEYLVSFLRKPNDGPGRRRLAEATVSQGTVIVAVIITAIVTLCLAGFAFYCYIRNYGLAGIQNDEKPLLSLSMVGINLSGASKKPSQEKAKDKDGNPDNQSNGQKNDLSMDARVSVDPGAPGSDPSSLDPSMHPPSGRVQSSLRHTLKPRAMRAESSLRPTAKTEFVLRPSAGKTESTQQASAGNTESPLQPSSKGTEPPTTGASPASPPPAAAPAPPPTVPLPPAATPPPPVQSTPSGPPAGPPPPPPPSLSIKGAPPPPPNGGAPPPPPPMGGEPPPPPLPGGKAGAPPPPPLLRGGGGIVAARLLSGGVKPPRRTDQQADVSKAKLKPFFWDKVMAKPDQQMNKDLDMKNSPGKEPAMHFIQIIDPKKAQNLSILLKALNVTTEEVSAALKEGNELPIEIVQTLLKMAPTSEEELRLRLYAGDLNRLGPAERFLKAACIELKKSRLFLKLLEAVLKTGNRMNVGTFRGSATAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIMRSEGLRAVRAAKEGKSVSSIKTEDLLLEPPPEEADEHYCKLGLEVVSGLSSELENVKEAAIIDADGLTSSVSKLGYALMKARESLNTDMKNLEEQSEDGEVDEFWLILSSFVETAEKEITWMLEEEKRIMALVKSTADYFHGNAGKDEGLRLFSVVRDFLIILEKVCKELQAAPIKPPKKKEDPSSAKTDDQSQKKGDQNQLTERRFF >KVH99069 pep supercontig:CcrdV1:scaffold_10:206133:206738:-1 gene:Ccrd_022649 transcript:KVH99069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLFHPILPYPFKPSLNPPPHKSSSIKCTTSNPPPDFPSPDSDTSTTTSSDTFPIEKRRRSEILRHRKSNSSLVKPEPPNFEVGWKRTVPIPLEKPVGYVIMDFLEKLEELMGKEYGSTDLLAKVAEIVAERSREVAEELRDEGKVEDRMVTELCRVLKLLEMDLAMVKAAVKEETLNERLQQAKARCRQAILVANSF >KVH99059 pep supercontig:CcrdV1:scaffold_10:1010107:1013528:-1 gene:Ccrd_022710 transcript:KVH99059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEAFEAAKVVYNRILETESETITRKIIGYIYLLDHADREMIRLAFGPDNLIQNLIQKAKIELNLVMKPIHSPPISPSLQFRPVLHSNRPFLSSQIPSPMPLPYPNMVSDDCRYQNQDFFIGLGAQFEPFSREISGFSEDCYSPDGNFGLRGRRDGIPSKICHYFSKGHCKHGNNCKFFHAQSHSVVLADDASRFMENRYERANMNPGPIVSGSKQIYLTFPAENCNVLRVVFSSTFGPVQDVRIPCQQKRMFGFVTFHNAETVQTILSKGNPHYVCGARVLVKPYREKLKLFDRKYFEKLEAPMCYHSHHMDMDHDLQARLEASRLFGRQLEDHELAMQLEMMRLSQLQLARGSSYLENTLDDQKPSEDEADDSKFQSPKLVSHQIDHVGTNYNVQDNENSRGLNLPDSPFCMQQGGI >KVH99123 pep supercontig:CcrdV1:scaffold_10:587:1360:1 gene:Ccrd_022633 transcript:KVH99123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kua-ubiquitin conjugating enzyme hybrid, localization MLKLNQTPLITPPTFHDPSLNPTWSHHVWVATGCTTVLFSLANAVVYGSTHSHTWLKTILAGFIGYLFVDLFSGVYHWVFDNYGDFSIPILGSHIDTFRRHHELPWATTKRQFSSNLHVAARIITHVVPPMNLIWHDQPAVMGFVGMFSGGVLFAVQIHAWAHESKSKLPAMVVALQDAGVVIAQSRHAAHHRSGDSSYCIVSGVWNRVLDEYKVFAGLEKVVFFVLGVQPRSWSEANSGGTAAMATPEAYFEPSPP >KVH99084 pep supercontig:CcrdV1:scaffold_10:546170:551633:1 gene:Ccrd_022671 transcript:KVH99084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANALSSVIVAPMFMRREGSVKHFIQMMMVGETVNLVGRHEIVAMLMNFKQIPQTCRILPREYRLNRITGMMTFFPEVFEPKGIMLNVLDLEGKEWEFSFRYWPNCGSRTYVLEGLREIMVSRKLQAGDTGNSMV >KVH99106 pep supercontig:CcrdV1:scaffold_10:299242:303811:-1 gene:Ccrd_022657 transcript:KVH99106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKAKSSGLIVGISIGVVIGALLAIVGLLCFRFHRKRSQIGNSSSRRAATVPIRANGADSCTILSDSSMGTESSKTSIQNGKSFWFGGPRKSHVVAASGILEYSYKDLQKATHNFTSTIGQGAFGPVYKAQMTTGETVAVKVLATDSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLSSHLYNSGENSEVLSWNLRVQIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDESMGARVADFGLSREDMINRHASNIQGTFGYLDPEYISTRAFTKKSDVYSFGVFLFELIAARNPQQALNTEGEVGWEEIADSRLDGNFDERELNDMAALAYKCVNRAPRKRPSMRDIVQVLSHILKTRHNKRHHKRSPSEVAISINISISQSDRQNSMNSEHCRVDSMDSAPDSIDL >KVH99095 pep supercontig:CcrdV1:scaffold_10:967097:970025:-1 gene:Ccrd_022706 transcript:KVH99095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAIQHGVMEKMESDFGKLFIGGISWETDEGRLKEYFASYGEVVEAVIMRDRITGRARGFGFVVFADPAVAERVVMEKHMIDGRTVRSPAAPGGRTKKIFVGGLASTVTENDFKKYFEQFGAITDVVVMYDHNTQRPRGFGFITFDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGSSRSPLVGYSYGLTRPNPFLATNYPQGYNMSPIGGYGGRTDSRFSPIAGGRTGFSPFGSSGYGMGMNLEPISPSGFGGTSNNMGYGRVLSPYFGGNSNRYITPIGYNQSNVRNESFIGSSNRNVWGNGGLTSPAGSGAYLGSGNGGFGVFGNGPNWGSSPISGVSSSGYNSGSIGGLGGAENSYGIGGGGIGRNNGGHEGSYGNLYRGGSMYGDPTWQASSNELDGSGSFGYGLDGSEDGAGRSSEGYVGNYNIANRQTNRGMHI >KVH99080 pep supercontig:CcrdV1:scaffold_10:586616:588329:1 gene:Ccrd_022675 transcript:KVH99080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWDDLRSVAFKFINRSTSHKSSDSTTISRIESMPDPDRRETITRVLTGFSKFAVDSAVNESLKGGLQLYKIAKEGMKDQEATNLNNKPRHTLMMEEMQARMEKMEEDLHIIRLDDEDSILCAKDLDPRKEESTEPTEKSETDIKKVFIRSRL >KVH99102 pep supercontig:CcrdV1:scaffold_10:260936:262701:1 gene:Ccrd_022653 transcript:KVH99102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbQ, oxygen evolving complex MAPTANLNQIFTTFPAIPKLSGEGKLRSDAAPPRLAGNKVEESQNQPLKPTRRLALGLGSIGLFANSNVAVSLAEDNVIDNVETGTRSFLKTGVYIADIGTKGRAFRLKKYAFDLLALGDLIGKDAWNYVRKYLRLKSTFMYFDFDKVISAATPDDKPPLLDLANRLFDSVEKVTNSNIWFHYRNSNFELSAREASKLEVAVKNQDLPQTELLYSNTTVILEEVMTKMA >KVH99077 pep supercontig:CcrdV1:scaffold_10:109919:118400:-1 gene:Ccrd_022641 transcript:KVH99077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein, alpha subunit, C-terminal MSISSKLLRAIITRRHLIAHASPSISSSRHLGTLVIAEHEGGSLTSTSLSSVEAASKFLNKDSSPIYAGNALCTVRYTGSDPCMLTIRTTSFPAASVSPDSKTSAAPIHQVDLSTFSEDGNSRFVKQTTQESEHPDLGSARVVITGGRALKSAENFKIIEKLAEKLGAAVGATRAAVGQTGKIVAPDLYMAFGVSGAIQHIAGMRDSKVIVAVNKDADAPIFQVADYGLVGDLFEVIPELLEKLPEKK >KVH99090 pep supercontig:CcrdV1:scaffold_10:922727:926956:-1 gene:Ccrd_022703 transcript:KVH99090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEIQARMEKMEGNLHIIRLDDGDSILCDVDLDPQLPEPTEKSETDINKLKSAAQKYRIPTLRSMAQELSLVLVPETIFTHEELRDYLSQIRVRAPHYIEIVCGCTSGKYGDSLGLLRISDDGTISAVCHLFDSPVEFVKHGSRTSNVPNWRKKVWILNQNGQKIKMIDTSFLKYYYGDNFRRPHNESGHRDQFLRCTACNKVRRFELRSREL >KVH99063 pep supercontig:CcrdV1:scaffold_10:862158:862460:-1 gene:Ccrd_022696 transcript:KVH99063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSHKNSSSSSRRICLCSPTNHPGSFRCSRHRGSSPKLGRKSISEQLNRLDSKKTNLVKEFLMKIIKPSSHDLQRRKVFEPKPSRFSVLNHCRNELAVS >KVH99100 pep supercontig:CcrdV1:scaffold_10:236466:245592:1 gene:Ccrd_022651 transcript:KVH99100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast carcinoma amplified sequence 3 MRKIDQKPRQGGGGRNGSNNGFIPTSFRSISSYLRIVSSGASTVASTVRSAASAASTIVDRDTDAVPDQVLWAGFDKLECEGDNSRRVLLLGYQFGFQVWDVEDANNVHNIVSRYDGAVSFMQILPKPTVLKHSQVEYANSRPLLVICADGSFSAGGNAQGGPTISSNGNIKNGYGQLNGGFVPTVVWFYSLKSQSYIKELKFRSVVYSVHCFDVATLEREYTILTNPIVTSSTRFENIGLGPLAVGPRWIAYSGSAVALPNTRVSPQHLTHSRTFAASGSNGSIVXHYAKQSSKQLAVGIVTLGDIGYKKLYPGLKEHGTPNSRLPDAENVGMVIVRDVISKSVIAQFKAHDSPIASLCFDPSGTLLVTASVYGHNFNVIQDISFSVDSRWIMISSSRGTSHLFSISPSGGPVNIQSAEESLTTSNGGFNSDAINKPPEFGFSGSGPPMRKQQNLCAFGPPVTLSAVSRIRSGNSGWRTVVSGAAVAASGWMNSSSGVIASTFHKCRSNNLGADLSSMMPKYHMLVFSSSGCVIQYALRLSSEVDSVAVMSGSNNGYEFSSDHDSRLIVEPIQKWNLCHKQNRREREENVDIYGDNGHTDSRKVFPERTENENKDFFDGTSKVKKENSLMMDHIIMDGVNKSNGEFEIEKIPAHTIEARSRYLVPAIDHLQGPKVQTTSNMGTPYHSSGTQDHDKISLESSCDPIYSTPDAVVTAVGLENGDEETGEDAREMPNEDTNGFVNTDISRIFPTRFETVDNRECSLSETQTELVNNNIGQKLENQYEDQGDEFD >KVH99104 pep supercontig:CcrdV1:scaffold_10:282943:283832:-1 gene:Ccrd_022655 transcript:KVH99104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAGRGKTLGSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTAGSSKPSADDE >KVH99134 pep supercontig:CcrdV1:scaffold_10:421909:424820:-1 gene:Ccrd_022662 transcript:KVH99134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin/nitric oxide synthase MDLWYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLHEEVLGKMSAPPKSDVPIITPNDLCEADGYVFGFPTRFGMMSAQFKAFFDSTGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTYAGDGSRQPSELELEQAFHQGKHIATITKKLKGVA >KVH99087 pep supercontig:CcrdV1:scaffold_10:641173:642042:1 gene:Ccrd_022678 transcript:KVH99087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MGKTGRDWTQIYAIYGMDDWQTPVFLLIHAIFFSASSVLFLIYFDWICSSFEAILPAVFSSGFARFAAGFTGSVTALSGVCLFYASGNIFYSSAALRWDMAQRMVGAVHDWSTVKTALDVGCGRGILLNTVAMQLKKEGSSGRVVGLDRKNTTLSTLRTASMEGVQEYVTCREGDARRLPFPDNYFDVVVSAGFVHTVGKEFGQKTAAAAAERMRVVGEVVRVLKEGGVGVVWDLVHVPEYVQRLQELKMEDVRVSEPVTAFMVCSHIVSFRKPSQHVVGPSEVRLDWR >KVH99066 pep supercontig:CcrdV1:scaffold_10:836218:837612:1 gene:Ccrd_022693 transcript:KVH99066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVGKDKAVRNDSIISHVNGRQEPATHSRSGRGSDDFDFQNIPPMSVSSEKGSGMSGAVFNLATTVIGAGIMALPATMKVLGLIAGVVFIFLMGVLSEISLELLVRFTHQCKATSYGEVVEHAMGKPARIVSEIFIILNNAGVLLVYLIIMGDVMSGSSRHSGVLEQWFGNGFWDDRRIVSLILLIIFLAPLCALSKIDSLSMTSAASVVLAILFVVIACVVTSIMLAEGKIGSPRLVPDFGSKSAILDLLVVIPIMSNAYVCHFNVQPIYNELEDRSPEKMNTVGRWTTVICVLIYWSTAIAGYLLFGKDTEPDVLTNFDKPLPVKGSEALSYIVRVGYIFHLILVFPVIHFSLRQTVDALAFKGKPPLSKSKTRCLGLTGAILIVVYLASVLIPSIWTAFKFTGATTAVSLGFVFPSLIALRLSRHGPEGLSSRERVLSWLMLILAIVVSVVGVVGNIYGMQS >KVH99118 pep supercontig:CcrdV1:scaffold_10:846565:847269:1 gene:Ccrd_022694 transcript:KVH99118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif/pointed domain-containing protein MQHKVMDWFSWLSKTRLEPPFIHEYAIAFSYNQLQQEDISYFNHEFLQSMGIAIAKHRLEILKLAKGSTGSHPMAKLIKAIKKTKTSLASYVRTWVDRRRDSAALVMVQKRRSYSSRWKGTMLKRNNRLVTSSTAKHGGSAATLLLTNGGHRRPMVGRSGGAKVNSFSSPLVYNLHRYHDDDEDKADDGGDHREINSFSDDDGGGSDGGGGGYWSSTGGEEIKWDAMFQNLKPT >KVH99129 pep supercontig:CcrdV1:scaffold_10:475751:491630:1 gene:Ccrd_022665 transcript:KVH99129 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MVLSKTYFGGDCGDAPIGITRTHVVEWNIKRVKAESRVPAATLNVAMGYRPHSAGSRKSIPAILVDVLRLMAKDLKDQSKSQGTVTSSFEADSLEDFWIPDYILLRDSEVKKPSHVPACPVIVFINSRSGGQLGGELLLSYQTLLNKNQVFDLNEEAPDKVLHQLFFNLEKHKHGGDSLASEIQKKLRIIVAGGDGTAGWILGVISDLSLAQPPPVATVPLGTGNNLPFAFGWGKKNPGTDHESVKIFLDQVRNAQEMKVDSWHVLLRMKVPKEGSGDPVEPLKLPHSLHAVHPVSQSDALDEEGYCTFRGGFWNYFSMGMDAQVSYAFHAERKLNPEKFKNQSSNQSAYAKLTCSQGWFWASLSHPSSWNIGQLTKVWIMRKPGHWEVLAIPPTIRSIICLNLPSFSGGLNPWGIPSRKRLRLKEWTPPYVDDGFLEVVGFRNAWHGAVLYTPSGHGTRLAQSTADHTFMRMDGEPWKQPLPTKDDTVTIEISSFGQVNMLATGIYPAKSINDPLTPRTLKGSEGDSDDEPEVVEISEERKKFGATSSFELPKDFNLSQVS >KVH99091 pep supercontig:CcrdV1:scaffold_10:921078:922977:1 gene:Ccrd_022702 transcript:KVH99091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MEGDGDRYRENGNHGFTRYREGSRVARWRWPPWNHLGLQISPRYQREKIVEFDESRHQIALEILEGGHLDHGFSSYTTGFMLTAVGEAETLIDIQVLYETKPEHIHVPGETIKATFHYIKCLENHLSIGGAPQELVTVTGFIVRPPEVISVVILKETGVNHLDLLTILIENPNLLSPVWHIGSSRAMLYKLNRRIKH >KVH99093 pep supercontig:CcrdV1:scaffold_10:889824:892738:1 gene:Ccrd_022700 transcript:KVH99093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSNLGNFFRAIDHHHRCSPDVKLLIGRCSSHPPNFSRNVLRAQLTDTISAVGSQKVSKDEVMKAEERVIVGTYGRSPLVLTNGKGCKLYDIEGREYIDLTSGIAVNALGHGDPDWIQAITDQANLLAHVSNIYYTLPQVNLAERLVASSFADRVFFSNSGTEANEAAIKFARKFQKISHPDKTDPPTEFISFTNSFHGRTIGSLALTSKEHYRTPFEPVMPGVTFLNYGDIDAAQELISSGKIAAVQCGLGRTGYLWAHEAYDVTPDIMTLAKPLAGGLPIGATLVTERVNAAIKHGDHGSTFAGGPLVCAAAIAVFDKISKPVFLASVTRKGEYLKEILMKKVGGNSHVKEIRGFGLIVGIELDVSASRLVDACRESGLLILTAGKGDIVRLVPPLIISEQELDQTVEILYKCFDVLDEKNLN >KVH99060 pep supercontig:CcrdV1:scaffold_10:1021359:1021985:1 gene:Ccrd_022711 transcript:KVH99060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGIGSWIYTNSTKNLRVKIVHPGGHAELHDRPVLVAEVIRRNPRCCVAHPNVFKQPWAIVQPDIMLMPGQKVYIVPISTIRKLQKLAIKRSLSSGQETREHQSFKQERKDGEEDDDGNSETSCCSMEDGNGVCVKSFKGGNGSSEGKSCFTCVFTGSKRRSETGSTSNSSNGDRGNRNKGESPKKLITSLDYWQPNLHSIVEERSIPE >KVH99096 pep supercontig:CcrdV1:scaffold_10:960047:961221:1 gene:Ccrd_022705 transcript:KVH99096 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MESPQSVVSPFETSAVYSHPRNPNLDLFTKTPGFLTKANELFIGVLEVCIHQARDIQNICIYHKQDVYAKICFTSNPEKAVTTQTVNGGGKNPIFNETLKINVPTVDSSLKCEIFMLSRVRNYLEDQLLGFALIPLSEILIKNGKLEKEFTLSSTDLFHSPAGFVDLSLSYAGASPDVIAIPPPPAANGTVTDSEPLKCDSSEFDRIEFPDPNLANENHMMVSEYFGLSSESLVSSDTDDQVDAPHFGFSNAIETPKPDSPPTSVSTNGSECASAPIVTTKSANQEYDSPLKEKPAEDGDAERRSLGGDPITKNVVVVNIKPEQEVVQQDFVDLYMKSMQQFTESLAKMKLPLDVEREQTDSGNSSSDQKIPTPNSTQSRVFYGSRAFF >KVH99126 pep supercontig:CcrdV1:scaffold_10:38059:46608:1 gene:Ccrd_022635 transcript:KVH99126 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MAFTTPTNTFKKPPSYLWFCHSCPRSTTSMVSSSSSYSPSSCRSVWSSSDSNKPLYRWQSQNPLSKKDRFCNISMVFSRYNSWSNISTMSFDESRRYVNSMNNQSCADFRLHVESRRGNSYAQMVPWGNKDGNTYITPVLDAHPRNPFREKDFRPQTWNQATQALRKRKEEVRKVGHAVPNVQYAGSVITEPREHEARDMTGYEKFPSQPPNGNLQQGVSRKMQISSPAHNGNTQKHGHDVMHNSKSLELKQEVSENTPVNGVHRMDSQSEKRSAGRTDKSSAAANADALKETYIVPLDSKNSTHLRKRLMSIYEKVLVVDNISLAKEVVRKLTTQYRHLVAAIDVKQETPVDHGEVICFSIYSGHEADFGNGKSCIWVDVLDGGGKSMLDIFSAFFENPSIKKVWHNYSFDNHVIENYDLKLSGFHADTMHMARLWDSSRRMSGGYSLEALTSDSKGIMSGADLGPNEELIGKVSMKNIFGRKKLKKDGSEGKVVVIPPVEVLQRVERVPWVCYSALDSISTLKLYERLKSKLSNREWKFNGVTKGTLFDFYEQYWRPFGELLVKMETEGMLVDRDYLMEIEEVAKAEQQIAADRFRNWASKQCADAKFMNEFKVPNVDNIIEEGKKTATKFRKIKLKTICSGLQPETYTASGWPSVCGDVLKSLAGKVSMDYDFVDEETAEVDENADDPTDIELSETKSVPFVNNSETAYGTAYAAFGGGSEGHEACHAIAALCEVCSIDSNGRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYPYIRDAVESKEVLLEWHPQPGEESPPVPLLKVKKIVSVTEARETVARWYGGREEVLRWQEARKREARKIGCVYTLLGRARTFPSTKDASPSHRGHIERAAINTPVQVHDEVILEGPSESADVAKAIVVECMSKPFDGKNILKVGLSVDAKFAKNWYSAK >KVH99103 pep supercontig:CcrdV1:scaffold_10:248559:252068:-1 gene:Ccrd_022652 transcript:KVH99103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MVSPENTNWIYDYGLIDDIAVPVGNLLTPACVSSWPMQAALNGSASNPWGRADPGSSTSSKACREKLRRDKLNDKFVELASILEPGRPLKTDKAAILVDAVRMRLKAEKEKLEQQVNSMNTQPSFMAPPPAAYPAAAGGQAMGNKLVPIISYPGMGMWQFMPPAAVDTSQDHMLHPPVA >KVH99085 pep supercontig:CcrdV1:scaffold_10:535287:541951:1 gene:Ccrd_022670 transcript:KVH99085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNKSKKKASTSMNIDEAAIVAPQVTAMDTSEVVASSRSQISSRVSKRKVCSKGREERKQDAKNSVGKKTLRLRYKAV >KVH99116 pep supercontig:CcrdV1:scaffold_10:725515:735646:1 gene:Ccrd_022684 transcript:KVH99116 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MSKPGHQDEEDSNDCFYESLDRLLSSTTCSSSSSTSDNEEEEDDDDAIILGDPNCSDVHDYDALARSIPIPKFPLGIANNYEVWISRPSSVDERRMRLLRQMGLSRDSSLSRHKPSLSSSATAVVKFGKYGCGGDHLGHQAASSSSISSNLGIIRPNSADHEHRNSSSNLDSCREILSIHSFSSPVADTLPTAAVSNNVDKLVAVESRNRSGPAVSANAASPNKPPTGKLIRRGEEIIKCDNSTHLNLDVSNNEGEVAETGLDCNKVGDDAVCTIKNLDNGKEFVVDEVREDGMWGKLKEVGTGRHLTMEEFEICVGHSPIVQELMRRQNVEAGNKDSPDTNSGVNGSKLKQKGGWLKSIRNVASSVTGHKERRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSCKDLTALYKSQEIQAHNGSIWTIKFSLDGKYLASAGEDCLIHVWQVVSSDRKGDLLFDKQEDGNLNVLLLSNGSPEAALASPNSQREKKRRGRLSISRKSTSLDHIVVPETMFALSEKPVCSFKGHLDDVLDLSWSKSQDLLSSSMDKTVRLWQLSSKSCLKIFSHNDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDMHEMVTAACYTPDGQFAPGTTSEVLITSADSRIRALLSDVYASIWIVTGFRSTNRQISASVTANGRYVVCASEDSQVYVWKHEGDSRPSRNKGVKVTQSYEHFHCQDVSVAIPWPGMTEDPFPEEPNRHSGDHLEVSAANHPPTPEEETNGSSFPLTTSNNNPFHGIISSASNGYFFDRFSATWPEEKLIPPTKNQSPHTSSDFMNGVGPIKSAWGMVIVTAGLHGEIRTFQNFGLPVRI >KVH99108 pep supercontig:CcrdV1:scaffold_10:772547:773842:1 gene:Ccrd_022688 transcript:KVH99108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MAARLLAHEVADLCLGKQPLKSLSISATVRQALIILKSTDDTHISIWNCDHHHSISDQTFIDDCRCVGKICMVDVICYLCKEDNIKSPSSALDSPVSVLISGVPAVVRHVEPAASLVEAIDLIINGAQNLVVPIKSRTTINAKRKQLQRELSIAPTTHAGGYEFCWLTQEDVIRFLLSSIALFSPTAAYSVESLGIISSDILTVNYHSPASTALGAIKTSLADQTSVAVVDDDGILIGEISPFTLAYSDETAAAAIATLSAGDLMAYIDCGGPPEDIIRVVEARLKERNLKGMLEEFSAYSSGIPLINGNSSFSSDEESPPSPATMKSGRFNRSSSYSARITRRAEAIVCYPGSSLVAVMIQAIAHRVSYVWVIEEDCSVVGIVRFSGMLEVFRSHLESMMN >KVH99072 pep supercontig:CcrdV1:scaffold_10:149844:154362:-1 gene:Ccrd_022644 transcript:KVH99072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiazole biosynthetic enzyme Thi4 family MATTFTTSISTKTNTTFFDQSSFYGVPISSPIRVQPPKSALNKTSITMSAASSSQYDLGSFTFQPIKESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKNPNVQVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELEIEYDEQDNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIIKEGRVAGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPMGATGVKRLRSVGMIDSVPGMKALDMNTAEDAIVRLTREGPTFGAMMISGQKAAHLALKALGLPNALDGTYVGSGQPELILAAADGGDTVDA >KVH99135 pep supercontig:CcrdV1:scaffold_10:451771:452710:1 gene:Ccrd_022663 transcript:KVH99135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNSSSIRPSPPNLSAINGTLRGDIYELEGDFVVRSSKGKEGAMETRETAWIQQRLVGLACSAEFDEGSVAARVNASFQSADIIVKPIMHNLG >KVH99086 pep supercontig:CcrdV1:scaffold_10:649269:654543:1 gene:Ccrd_022679 transcript:KVH99086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MAAAAASISTAAFLPLHKPTKDFKSFVPTSQTPKFLTKIKPAAVAIKAVGKEQDVIHVQSIDFSDHQGGGVVGEIEREVEGGKEVQLISGFGGSEGRLSFEGGFSSATTAGSSGGGVGNGNQVVEGDDFDKLIDRAINATIVLAAGTFGITKLLTIDYDYWHGWTIYEILRYAPQHNWSAYEEALKENPLLAKMMISGVVYSVGDWIAQCCEGKPLLEFDRTRMFRSGLVGFMLHGSLSHYYYYFCEVTAAIWLELFPFQDWWVVPVKVAFDQTAWAAVWNSIYYVVVGLLRFESPFTIFSELKTTFWPMLTAGWKLWPFAHIITYGVVPVEQRLLWVDCIELIWVTILSTSKYPQKRRINQKKPSSFLHFSSLKSPFGIAAMVEKCCNEGNAASRKAIVSVLRI >KVH99062 pep supercontig:CcrdV1:scaffold_10:866419:871175:-1 gene:Ccrd_022697 transcript:KVH99062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGVSKITDQQNQQQSAGFVGVVGGGSGVIPARAQRSVLEAGSNGEKSSLVLAEKRTRRKDPINDFKYYNGGWNISNDHYISSVSFTAVPLFGIAAIWFAGFGKFHKSTSDTLDFVVRQSKDTVHNLNNVLNILATAKGIGVDQVSLPSDMKNNIDRVDKMINDAARDLDFDTKKNEKHIHDVLNSMYTFTQVHNDHCLDLRKYSKWVYIGLAMVSAAVMHSLVLWVLYARERRHRKYTKLAVVGSGQSSFATK >KVH99061 pep supercontig:CcrdV1:scaffold_10:849114:852622:-1 gene:Ccrd_022695 transcript:KVH99061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MQAVKAGTRPPWVGFAAAVWVGISAGNSYCFPLYSHSLKTVLGFSQQQLTILGVANDFGENTGILPGIACNYFPPWVVLLVGVFSCFFGYGVIWLAISQTVVNMPYWVLWIALVLAANSSAWLGTAVIVTNLRNFPLSRGTVAGLLKGYIALSAAVFTEVCTMLLNGSAPAIVLVFTLGIPVICLTLMYYVRPCTPASEADPSENGHFLFTQGTSLLLAIFLLSTTILKNTLNLNDTISYTFIAIMVVLLLSPLAIPIKMTLFPARKKLLRPAESSDSLVSVEGDSKTDPLLTPSSSAANLTSFHESDSEVNMLLAVGEGAIKKKRRPRRGEDFSFHEAMMKADFWLLWMTYFLGVGSGVTVLNNLAQIGASLGFDDTNTLLTSAIFSAGLVAVPLNTIPRTFWTMVTQIIMVLTYLLYASALDGTLYAATALLGTCYGVQIAIMISTSSELFGLKNFGIIFNFMQLGNPLGALLFSGMLAGYIYDTEEAKQGTSSCMGPACFRFTFLVLACVCGVGTILSLILTLRIRPVYQMLYAGGSFRLPQSAGHR >KVH99136 pep supercontig:CcrdV1:scaffold_10:501627:503646:1 gene:Ccrd_022668 transcript:KVH99136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MASMAATTAASSTTVVRATPFLGQTRPSNVNSLRDSVSMVNAKFTMGNDLWYGPDRVKYLGPFSAQTPSYLSGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWLKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGDGNNLYPGGQYFDPLGLADDPLTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >KVH99133 pep supercontig:CcrdV1:scaffold_10:406167:407969:1 gene:Ccrd_022661 transcript:KVH99133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein RGDQRNDHHQSGYQTLIQEDHSLSSNSNFQDSQWKLSHKMYSDSPSEFKQVNVRSFRLDEPVNYSDENGLNPSFQSMDHSYGSSTVIQSLFGSDNTTNQQQDSGFDQNQAMSTYSYQSGYGGITLSGGGTGSGEYPPPPQEFSVNSSPPKVPSPNIASQLHFSNNARFWNASAASMNDTASEMVKKSSNKPSSTKRPRNENPPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVNICSEKAGEGSPIRQDLRSRGLCLVPISSTFPVTHETTVDFWTPSFGGTFR >KVH99075 pep supercontig:CcrdV1:scaffold_10:145494:147122:-1 gene:Ccrd_022643 transcript:KVH99075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MRMSSKSEVLATGASQVLIINGPKEVGFKSEIPLFKYQGDKDSVLEVSKDDYNNCNTATPIAKHDDGHTVIKLDKSGPHYFISGVAEHCKHNEKVMVVVMADRSHKSSSESPPSPAPAGEESPADKNPHSSPNGASSVVMSLLCFIGGFACFLSW >KVH99132 pep supercontig:CcrdV1:scaffold_10:367237:376873:-1 gene:Ccrd_022660 transcript:KVH99132 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDLLRNAYSTTKDEDEENKKYAPPPLKRAKPEFSHTSSKNFPTDWLKHTSTEAPIAGRYISKRERALMATVPQTPNADPPTSSGCFHVQGSISDSYIRKDILSSLRNRTEGYANSGHKSEGISTNLIGHSKAKKARVFNIHTAAVKDVKWSDQGLYLLSCGYDCSSRLIDVEKGVETREFKEDQVVGVVKFHPNNSNLFLSGGSKGIIRLWDIRTGNTVNQYLRGLGPVLDVEFTNDTRQFVSSSDESKSNISENSIIIYVEAYTCPCIRHHPSEPYFVAQSNGNYIAIFSSKPPFRLDKYKRYESHGVSGFPVKCNFSMDGKKLASGSSDGCIYVYNAKTCELIKKIKAYEQTCIDVAFHTVMSNVIASCSWNGEISVFE >KVH99073 pep supercontig:CcrdV1:scaffold_10:159127:166850:-1 gene:Ccrd_022645 transcript:KVH99073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDIQIPSAFDPFAEANAEDSGAGSKEYVHIRVQQRNGRKSLTTVQGLKKDFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSSFLTQAGIVKKDHIKIHGF >KVH99076 pep supercontig:CcrdV1:scaffold_10:100453:101951:1 gene:Ccrd_022640 transcript:KVH99076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPANRHHHHHHHLSSAAAPEESSWTSYFEEFLWQKNGDDQDVMMSCDETLNFLVSDAASSANIVKKAVCIQDDHHHHHDAKKLRLINKKKTKRSVMIDDDDSLEDTASSPVNSPKEKVGTSEEENKRRVLNPNMELKKRGLCLVPLSSLANYLS >KVH99101 pep supercontig:CcrdV1:scaffold_10:211474:228061:-1 gene:Ccrd_022650 transcript:KVH99101 gene_biotype:protein_coding transcript_biotype:protein_coding description:UHRF1-binding protein 1-like protein MAKALETTLKYWFKSFTRDQFKLQGHTVHLANLDINGDVLHASLGLPHALTVSMAKLGKLEIVLPYLSNVQLEPIVLQIDKLDVVLEENDDLEARRSTRRAQSASSSTKGSGYGFAEKIADGMMLQVQTVSLLLETHGGGRHLGGATWASPMASITIRNLVLYTTNENWQVINLKAARGFSSDKNFIYVFKKLEWECLCIDLLPHPDMFADPSGGARNRKDDYGAKRVFFGGERFIDGVSGEAYITIQRTDLNSPLGLELRWHITEAICPALSEPGLRALVRFFTGLYVCLNRSDANAQEPSAEAAGRTIVSFMVDHIFLCIKDADFKLELLMQSLLFSRTSVSDGASAKFLTQIMIGGLILRDGFSRPPCPLVQPSMQDAAEELLHIPDFGKNFCPPIYPLGDKQWRLNDRVPLISLHCLRFMPSLSPPSISSFLADGVMVNPGDILPDFSINSLQFSMKKLDITVTLEAGKPNHPTNYDHPKYNSFSRARLHLDNLYFSESPFLRLGLLNLDMDAACFCMWEGQPIDASQKRWTAGSSLLGMSLETCNNPPGVNCSRLPSSEFWRCVEMNGVCIQVAMVTADGSPLVNVPPPGGVVRVGIAFEQYVSNTSVEQLFFVLDLYAYINNVHDKMAMVRKRKGMKTVKNKSSDSDSFSNRVPADAAISLTIKNLKLTFLESSSTDLQGKPLVQFTGDDLLIQVTHRTLGAAMATSSTLRWERVQVDCAETDRSLTRANGLTPAFTEDCSLVENSYPELRAVFWVQNRRSFQSNGQAVSSPFLNLSIVHVIPYNAHDIKYHSLRVSACIAGVRLAGGMNYNEALLLHFGILGPGGGPGAGLSKGLEHLSSGPLSKLFKASPPFVDEVRESQNESIGDDKNGSYLNLGAPHDVNILLELKDWIFALEGAEIMAERHSFSDSSREERSWHTSFESFKVRANGSRDDTVNGKGNSIGAQNYPVEVVRRKKNAPSKGVTQTVEPHEGVDLEVEIVPSEDNGVNGTITWGVEDLKITSKQPIDVVIRKDELQHVARLCKLEIDSMGRIAVGILRFFKLDGPVAQPALDQLSHLGSSGDNSIGPGDPFGSADNNCSSIDSTLASLEATLLDSQSICSSLSSEVTTSNLHTVQQLSQKLESMHRFLMKLRNQV >KVH99064 pep supercontig:CcrdV1:scaffold_10:795637:805064:1 gene:Ccrd_022691 transcript:KVH99064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MGGACSRKRDQQTNEDNVHGGVSRRYSKSGSSKWLGTSFSRAYVDNKDGKAICPSLMELCIYKISEEIYQYGTFSMIPRDISQQIFDELGSSLLSADLSGSDVTDSGLFHMKDCKNVEALNFNFCDQISDVGLGRISELTNLKELQISCSKVTDHGVTFLKGLSGLHKLALLNMERCPITAACLGSLSGLIHLESLNLDSCRIGDEGLVHLAGLDHLKCLELSDTEVGNNGLRHLSGLVNLESLNLSFTIITDGGLRYLAKLSSLRSLNLDVRQITDAGLAALTNFKNLRSLEICGGGLTDAGVKNIKNLQSLMLLNLSQNNHLTDKSLELISELTQLVSLNVSSSRVTSAGLQHLTKLKNLKSLSLESTKVTANDIKRLHESHLPDLMTFRPE >KVH99128 pep supercontig:CcrdV1:scaffold_10:464729:472471:1 gene:Ccrd_022664 transcript:KVH99128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MGSMAELLDRKRFFLSIIYLLLSIPIVSSWSDTVVSTVPLKDGDTIISSGGFFEMGFFSPRNSKNRYIGIWYKNIPTRTVAWVANREAPVTDKSGMLKMINSGVLTIVNGKNTIVWSSNTSRTTQNPIAQLLDSGNLVVRDKSDGKPDNFLWQSFDYPGDTLLPGMKIGKDLLSGKENYITSWKSADDPSSGDFTFGCDLHGYPHQVIKKGQIVQYRSEPWNGIDFGGISVLPQNAIYTFDMVFNEKEVFYKYKLINSSMISRLTMNQSGVAQRWVWADQVNDWIVYFSVPTPDGCDLVCGAYGSCNTNSFPKCGCLDKFVPKYQNEWNGANWSKGCVRSKPLDCKTDGFMKHTNVKLPDPRYSLFYGNLTLVQCQKLCLKNCSCMAYANILKKGGNGCLLWTGSHKKKRKMIPLIIGVVVGMSITLGLIFVLWRKWKKNSVPKGEGTRCNLFKRTRKDRCEEIVFNPSPFTIIDEQVGNDTDQLYTNESRKEDLELPLFNLSEIAKATHNFSFNNKLGEGGYGPVYKGVLQDGKEVAVKRLSETSNQGLDEFKNEVICISKLQHRNLVRLLGCCIQGNEKMLIYEYMPNKSLDYFIFDESRKKLLDWPERFNIINGIARGLQYLHEDSRLRIIHRDLKASNILLDFDMNPKISDFGMARSFAGNETQANTMKIVTGKKNRGFIHHDHHHNLLGHAWILYHEGRSMELIDTNLSDSCHLYEVLRSIELALLCVQRSPEDRPSMSSVVVMLSSEGELPQPKQPGFFYTEHIPKDDMSSSIHAPTSTTAMTITLVDGR >KVH99082 pep supercontig:CcrdV1:scaffold_10:565443:575640:-1 gene:Ccrd_022673 transcript:KVH99082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MNEKANVSKELNARHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQCKLNFLNFRHFSFAFIVYSAMGNVKSNSYWEAELPPNYDRVGIENFIRAKYEEKRWVARDGIPNSPSRLQENKAPTQWQRPAERSGGTSYFESPSEEKKSFQAHGTKSNIHATKTGSTKSNIPATKTGLPVPPKGSEPVIRKAEAETTKEVAEAVSPPKVDVATDLFDMLSMEDGPAENAVGENSSSETTGPSEPVDNKAKSTSEIDDLFKDSPPISQPNASDKPQKDVKSDILSLFEKQQLAMLAQQQSLLMAAASTSGGLPNFSGNGQQPVPSGANLPNQNWLNADKKHGSSKFSFYTMGPQVGTNEMPLPGAALPGATTQNAYLNNAGSSGASKPQSATLPVSSGSSSSSSQSSAKDYDFSSLTQGMFSKP >KVH99067 pep supercontig:CcrdV1:scaffold_10:884405:887047:1 gene:Ccrd_022699 transcript:KVH99067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MIDHVESSEFVSSFYKRFHNLDNEQRKYLRVGCGLNPANEALTSLCHRFPNLNNVEITYSGWMSKLGKQLEDPGLCVLSETCPFLTDLTLSYCTFITDAGLSCLASCSKLSSLKLNFTPRITGCGIFSIVVGCKNLKVLHLIRCLNVSNLEWLECLGRLETLEDLCVKNCRGIGEGALIKLGPTWRNIKRLQFEVDANYRYMKLYDRLAVDRWQKQWVPCESIVELSLINCIISPGRGLACILDKCKNLEKIHLDMCIGARDCDIIGLAKNSRNLRSISIRVPSDFSLPLLMENPLRLTDESLKAVAQNCTLLESVSLSFSDGEFLSLSSFSLDGILSLIKMCPLKELSLDRVCSFNNIGMEALCSADHLQTLELSGCQEISDEGLELLAHYPRLRVLRLMKCLGVTDDGFKPFIGSGKLEVLVVNDCPQ >KVH99109 pep supercontig:CcrdV1:scaffold_10:785253:786603:-1 gene:Ccrd_022689 transcript:KVH99109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase, FGGY, conserved site-containing protein GRNHFVETCGLPISTYFSALKLLWLLENVQKVAEAVKKGDALFGTIDSWVIWNLTGGIHNGIHVTDVSNASRTMLMNLKTLDWDKPTLETLKIPSGILPKIVSNSEIVGHVGQGWPFSGVPISGCLGDQHSAMLGQSCKQGQAKCTYGTGAFILLNTGGEVIKSTHGLLTTLAFKLGKDAPANYALEGSIAIAGAAVQWLRDSLGIISSASQIEELAKQVDSTGGVYFVPAFNGLFAPWWREDARGVCIGITRFTNKCHIARAVLESMCFQVKDVLDSMHKDAGEKERHEKGQFLLRVDADIETTALGAAYAAGLGVGIWKENDLFSNEERMKQDTKFTPALSEEVRKKKVASWFKAVERSFDLADLSF >KVH99071 pep supercontig:CcrdV1:scaffold_10:179541:185835:1 gene:Ccrd_022647 transcript:KVH99071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYGDSDRGTGCYRCCFSFLLTLGLTSLFLWLSLRTSNPVCSIQDVYIPALNKTRNSTSYQSIYLDLKLDNENKDKGIYYDPVDITLHYYVNGSNSDFIRIANYTIRGFYQGHQKKTRRKNWTDTYGVPWDAAVRTMNGGGKVVFRVNLETAVRFKILFWKTKRHGLIVGADFDVNDVGMKVSKKGTRLKSGAPGFLSGETPAREPSSPPSIDRLIVEFLETRGDGNLLEGVGVGKDDEFEEVEGEGEGDKYLEETWLDLGLVGLLIPLSFSEGNNGGLVWFVVSEMAATIIKKITK >KVH99088 pep supercontig:CcrdV1:scaffold_10:999733:1006724:1 gene:Ccrd_022709 transcript:KVH99088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MAISSLYPSNSLLNSLFPNPSSTYFETLKVYLHDGLNSPRRRRRRTSGRFAVSSSHSNPKILKSNRRSRFGRRLSPYDSDNENEAIDDDDDDDWVDDDPGPGYDDDDKLKHKSKAATNGNGGSMYSRMGRKQKIINGNGKVMLDRNDYTGVYQTSNAKGKEKEAGKSSKNKYRHLLEEIDVDEKWFPLLDYLTTFGLKDSHFIQMYGRHMPSLQINVTAAQERLEFLMSVGVKNKDIKKILMRQPQILQYTVENNLKSHVAFLSSLGIQDARIGQIITATPSIFSYSVENSLKPTVRYLIEEVGIKTSDLSKVVQLSPQILVQRIDNSWNARYRFLTKELGAPRDNIVKMVTKHPQLLHYSIEDGLLPRINFLRSIGMHNSDILKVFSLSLEGNLKPKYLYLVNELKNEVKSLTKYPTYLSLSLDQRIRPRHRFLVALKKAPKGPFPLSSFVPTDESFCQQWAGTSVDKYLAFRQRLLLKDLAKKYERS >KVH99097 pep supercontig:CcrdV1:scaffold_10:933145:937113:-1 gene:Ccrd_022704 transcript:KVH99097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKISSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGLDIGRKKKPAAAATKKEGEEAEVATEETKKSNHVVRKLEKRQEERKLDQHVEEQFSSGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKSGGAA >KVH99099 pep supercontig:CcrdV1:scaffold_10:315054:318246:-1 gene:Ccrd_022658 transcript:KVH99099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVQAVADGGGTVELGNDGGRGEVVKQKRGRPPKAQVETVCQEKKRRRMSLDFGERNGYLKGVITEIIHDPGRGAPLARVIFRHPFRYKHQKELFVAAEGMYTGQFVFCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGSFARASGDYAIVISHNPDNGTTRVKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATASKADK >KVH99110 pep supercontig:CcrdV1:scaffold_10:692794:707447:-1 gene:Ccrd_022682 transcript:KVH99110 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAVDIEAQSSSPSSIFKEVHCPVTLKFQEVVYTIKVKKQGWMIKKKHDQSLMEKQILKGITGIVLPGEMLAMLGPSGCGKTTLLTALGGRLGGKLNGTITYNGKPFSSIMKRYTGFVTQDDVLYPHLTVTETLIFTALLRLPKTLTTQEKIVHAEAVINQLGLKRCKNIIIGGPSLRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIVSILWELARGGRSVVMTIHQPSSRLFYMFRKVLLLSEGNSLYFGKGSEVMDYFHIKQKLALAYKSNLAENLKARVAEVHDHVNDTLNNNNPERWSTTWLQQVAVLLRRGIKERRHEFFSALKIGQVIAVAFLCSLLWWQSDTTHLQDQSGLLFFYSGFWGFFPLFQAIFTFPQERQILGKERSSGMYRLSSYFISRTIGDLPMELVLPTLFCIITYWMAGLRPKFLSFLYALFTLLLSVLVSQGLGLALGALVMDQKSATILGSVIMLSFTLAGGYYVQHVPAFISWIKYISISQHTYKLLIGTQYEHGQTYECGNRTCLVEDFPAIKSVGLDGQVNSVVALAMMLVVYRVVAYLALMRIGFQEVVYTVKIKKQGWLKKKEVIEKQILKGVSGTVLPGEMLAMLGPSGCGKTTLLTALGGRLGGKLGGTIKYNGKPFSSIMKRNTGFVTQDDVLYPHLTVIETLVFTALLRLPNTLTTREKVMHAESVINQLGLTRCKTSIIGSSFLRGVSGGERKRVSIGQELLINPSLLFLDEPTSGLDSTTAQRIVSTLWELAKGGRTVVMTIHQPSSRLFYMFHKVLLLSEGNPLFFGKGSEVMEYFQSIGFSPSVAMNPSDFLLDLANGMLVSAYKSNLAMKAEALDADDHHLNYVSDYKMSERWNTTWFQQFMVLLRRGLKERRHESFGFLKISQVFVIAILCGLLWWHSDTAHLQDQSGLLFFYVGFWGFFPLFQAIFTFPQERDMLAKERASGMYRLSSYFISRTIGDLPMELVLPTFFCIITYWMAGLRPELGSFLYALSTLLLSVLVSQGLGLALGALVMDLKSATTLGSVIMLAFTLAGGYYIQNVPAFISWMKYISISQYTYKLLVGSQYEHGQTYQCGNRTCLVEDFPAIKSIGLDGQVMSFVALAMMLN >KVH99122 pep supercontig:CcrdV1:scaffold_10:71861:78058:1 gene:Ccrd_022638 transcript:KVH99122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho-like protein MRHQEGSLYLHGSRLREATGKMTQIYRRIVRLDVRWSVLLHKVSVFREILRFIWERFLACSMRKPVRYRRLSRRSSSPAEEMEPGFDLEDPTTSGNGYETDSDLVTLKISLLGDCRIGKTSFMIKYVGDEQENRSLEMAGLNLMDKTLSFGAARIAFSIWDVGGDKRSNDQVPIACKDAVAILFMFDLTSRCTLHSVLEWYMQARKYNKARAYAKIMKATLFFSSATHNINVNKIFKFITARLFNLPWSLQPNLNLGEPIIDLY >KVH99117 pep supercontig:CcrdV1:scaffold_10:737029:741223:1 gene:Ccrd_022685 transcript:KVH99117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MALQATSPSFSIHKEGKTSASLKDSSLFGASLSSHLKFDLKSSAASRNRASTDGKKTLRKGTVVVTGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSNGMLKENYTVMHLDLASFDSVRQFVANFKQSDQPLDVLVCNAAVYLPTAKEPTFTADGFELSVGTNHLGHFLLARLLLDDLKHSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDMRGLAGGLNGLNSSAMIDGGDFDGAKAYKDSKVCNMLMMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPAFRLLFPPFQSWNNNSASFENQLSEEASDVAKARKVWEISEKLVGLA >KVH99078 pep supercontig:CcrdV1:scaffold_10:612119:615382:-1 gene:Ccrd_022677 transcript:KVH99078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRYSDLQEMSFLKWVLLVLAVLQSAYIHPSTASFSPEDSYLIVCGSSRNVTYLGQTYVPDSDPSSMVALKTQKNSNIIESNSSVPLSVHQSARMFTTTASFEFEIKKQGRHWVRLYFYPIPGHNLTSASLSVATGDFVLLNNYSFARYNGSTHLFKEYLVNVTSDTLVLSFIPSNDSIAFVNAIEVVSIPDELIPDEAVSVFPSTPISGLSNRAFETVYRLNMGGPKLTPQNDTLGRMWENDKKYLHVNSSAANVSINPSIVKYSESVTPEIAPNWVYATAETMGDANVADLDFNITWVLPVDPNFTYFVRVHFCDIVSTSLNTLVFNLYVNGESAYASLDLSSLTGNLDVPVYKDFVCNSSSDPSLTVSVGPDHAAEEANGLLNGLEILKISNEARSLDGVDSVEDLVVFLAKKNKRNGIVIGAVVGGSIAILVLSFCCCFVLARRSKTSHPKPSWLPLPLYGNSLTMTKMSTTSQKSGTASCISLASCNLGRNFTFQEIMEATNKFDENLLLGVGGFGRVYKGTMEDGTRVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKAGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVICTRPALNPVLPREQVNIAEWAMSWQKKGMLDQIMDGNLVGKVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLEETSSALTEPDDNSTNHIQGIPLTPIEPFENSTSMIDAANSCTDEDATSAVFSQLVNPRGR >KVH99079 pep supercontig:CcrdV1:scaffold_10:608414:610038:1 gene:Ccrd_022676 transcript:KVH99079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSLVVVPETIFTHEELRDYLNQIRARAPHYLEIMCGCTSGRYGDCLGLLKIKDDGTISVVRIIDTSFLKYYTGDNFRRPHNESGHRDQFLRCTACDKVRRFELRLREIFRCYHDAAANGRVRTSRSESAQGAAPVAGKTFGAEDASIASVSDAAFVGLKIVPADHSDTENTTKDAEERFYKISS >KVH99115 pep supercontig:CcrdV1:scaffold_10:751484:752928:-1 gene:Ccrd_022687 transcript:KVH99115 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3700 MLAIFHKAFANPPEELKSPASNHSNKPKLPEETLEEFLSVHPTNTFSMTFGDAAVLAYVKPDRPYSVHQRMFTAFDDIYCVFMGSLNNLCAQIKQYGLSKNTDEAMFVIEAYRTLRDRGPYPADQVIKDLEGSFAFVVYDSKSGTVFTALGSDGGVKLYWGIAADGSVVISDDLEVIKAGCAKSFAPFPKGCMFHSEGGLMSFEHPMNKIRAMPRVDSEGVMCGANFKVDTYTRVNSIPRRGSEANWTQWDNHY >KVH99125 pep supercontig:CcrdV1:scaffold_10:47850:54846:-1 gene:Ccrd_022636 transcript:KVH99125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSTKSLKPTKSPVVTPSPPSSRSSSLSAHLAMVEIKQRILTSLSRLADRDTHQIAVEDLETIIKTLSPDGISMILNSLFDAINDTTTNKPAVKKESIRLLAFLAATHTDSAATHLPKIIGNILKRLKDSDSGVKDACREAIGQLSFLYLKGENGDNNIGSVVSLFVKPLFDAMNEQHKGVQGGAAMCMAKMVEMASDHPPLLAFQKLNGRICKFLNSPNFLANAALLPVVGAISGQVLEPLLQSIHECLKSSDWTTRKAAADTLNVLALYSSNLITEKPSSTIMVLEACRFDKALQVWKHISEGCEDQKPPDHGQDSKWRNGLPKSDGKRTELRPPKDGQTDGQNVSEKTVGTKKKAPPLSDKELNPEFFQRLERRVSGEVEVVVNRRFLKSSNSQNEEEPDINDTNVGSMSKSKINLQDCNPESGAGGPSSRQQEVDDKNDRTHREGLMSSNGN >KVH99124 pep supercontig:CcrdV1:scaffold_10:56014:57930:-1 gene:Ccrd_022637 transcript:KVH99124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MATISCSVQFIFANQDTKPMNNLAPILCKLESQFVGVRKRLGFCRPTSRIGPSSCGSRITCWFKFGKNGVDAEGAGIYGSQSRDDFDRDDVEQGTYDKMEALLCQNIHPVDILLMLAASEGDKPKIEELLKAGAMYNVKDVDGKTALDKVANEEIKNFILSYSTQKA >KVH99094 pep supercontig:CcrdV1:scaffold_10:979488:981609:-1 gene:Ccrd_022707 transcript:KVH99094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS IDTSTICTTILQLHRIDSPYPQLHIHIQIDPNPRKQESNSICVTEKRYSWNQKRGNRFSMQASKQNHYRNPAEKMDRNLYSAEIDQGGRFSVQTPEFGNYSSSTASFSSNGSPISQPDSHSYRPDIYHSPDYTYAAHVSRVGFADNTEDLEYRLRELESAMLGTDQDSMGSYDVPSSWCGTNQTASKTITEMISRGDFKELLLACAKSVAENESITANWLMSELRPMVSVSGDPSRRLGAYMLEGLVARLSSSGSSIYKGLRCKEPTGGDLLSYMRLLYDACPYFKFGFLAANGAIAEAMKNESRIHIIDFQIGHGSQWVTLIKALASRPGGPPKLRITGVDDSTSAHARGGGVSIIGQRLADLAESCNIPFEFHGVTVFGSEIEVEHLGIQPGEPLAVNFAFMLHHMPDESVDPRNHRDRLLRLVKSLSPKVVTLVEQESNVNTAPFFHRFQETLNYYTAIFESIDVTLPRDHQERINVEQHCLARDIVNIIACEEAERVERHELLGKWRSRFTMAGFKAYPLNSYVNGTIKALLANYSEKYRFEERDGALFLGWMDRDLVASCAWQ >KVH99127 pep supercontig:CcrdV1:scaffold_10:27241:30327:1 gene:Ccrd_022634 transcript:KVH99127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kua-ubiquitin conjugating enzyme hybrid, localization MSKLIKPTPVLFTPPKTDGPSLKSTLFHRAWVAIGCTTVLFSLAKAVYGSTDSHTRLKTIVAGFLGYLVADLISGIYHWLIDNYGDASTPFVGSHIEAFQGHHSLPWAITKRQFASNLHVGARIITYLTVPANLIWHDQPVVMGFVGMASGGMLFGSQIHAWAHVSKSKLPAIVVALQDAGVFVTQSQHAAHHLPPYNGGYCVVSGVWNRASRNTALKLTRNRILPMATLTTTSPSSSPTPAVESKQP >KVH99120 pep supercontig:CcrdV1:scaffold_10:875036:881962:1 gene:Ccrd_022698 transcript:KVH99120 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCA1-associated 2 MSEATSASAAAISAATVADDYFRPSSISKLPITDVGDSSTSSSSNAYVTQTFPFSSGNPRIEQTRGVMHLFLDDAVSSSSNLPVGRMPLICVLGVPNHMTYADFCQFCGSFIHHILEMRIVRNDGMENRYSILVRFDDQTSTDAFHKHFNGRRFSSLETESCRVLFTFDVQYTSLIEHAQTSPAKRLDQDMSGILITMCNHSFHCSCISKWTDSSCPVCRYCQQQPEKSKCLICQTSENVWLCLLCGFVGCGRYKDGHAIQHWKETQHCYSLELETQRAWDYVGDNYVHRLLQSKTDGKLVELNTTDNIDFGTDPGFSEALLNSRVESYFESLLQELEEQIERDISAAVKTSLEQNTKLQKMQAKLDKGLKEKKFLDDINENLLRNKEIWEGIIVKIQEREKEASRLKDQKIQDLEAQLQDLMASLEEINTVEPSPTSH >KVH99089 pep supercontig:CcrdV1:scaffold_10:989651:999654:-1 gene:Ccrd_022708 transcript:KVH99089 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase, 21kDa subunit, N-terminal MPEYPVIDRNPPFTKTVANFNTLDYLRLTTISGISVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDGEVARYKK >KVH99074 pep supercontig:CcrdV1:scaffold_10:127293:144595:1 gene:Ccrd_022642 transcript:KVH99074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGYKGAGYILPTESSKFIDSPEVGKRIPVPEFDEIHLAAMVLTSRIKSVDFFRRKKQLFLLASDLIMSGQTAMIDYRYGRHCHSISNKLCQLRVMKIPRDLTEASLSGAGLSIVAAISMMFLFGMELQNYMALTTTTSVIVDQSSDSEFLLIDFNMSFPALSCEFASLDVSDILGTNRLNITKTVRKYPIDKHFEATGSEYDPTPVPTIIKHDDKVDDQETGEGSVKLNAQDFDKISHQYPILVVNFFVPWCIWSNRLLSALFYLHPQTQSSRRWAYPSGKAITYKDIHLFASSVRGVMDVHGHHEHESYYGDRDTDSLVEAMEQLVRPIELDSQKSSSKDGDGKTIVDAKRPAPRGGGCRIEGFVRVKKVPGNLVISARSESHSFDASLMNMSHIISNFTFGKKVTPRMMNDLRRLHHYTQTTHDKLSGKVYINVEDRANVTIEHYLQVVKTEVMSSSHQLIEDYEYTAHSSLVHAHTIPVAKFHFEPSPMQVAGILDSLLHNTMRLVKKIELGKNL >KVH99068 pep supercontig:CcrdV1:scaffold_10:187318:204420:1 gene:Ccrd_022648 transcript:KVH99068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKARTLTKLLSSATTYSDPTAKNLTRSAITSAGQEVNLKNYIRFTEAPDSSSSSSSSSSHLKPSQSDKSLSSKPLSEIAVESIRIDNAASISGDVDDSPASDEHILDVPWLPTISQSSASLRRKENTRFRKQKWVYNCSQGNHFGRLLRLCTHKLGTKATINVFGRLGRETGVKEFNAMIEVCIEKARNTNDEDVTLEEFHRAYMVFETMKERGFQIGEETYGPLLMFIIDMGMVEEFHFFCDNIKKENPKSLSKLAYYEMLLYIKVGNEDNIHMLLADTHGSDASNFNESYLAALCEGDRQEEVLMLLETIDIKKVSLKESRERIFKSLGRLTLESHAKKFIMELKTVDNEGKELSNLIYSYAKSIPNIPIEEFLVKFKNLQDELKVASSSISYEKLIKASCESLEVHLAVDLIDSMFEDGLTVRINMFNTLLTSCYASCDYNLFDGAYGMIKDLGRLNMCPTVNMYNVIMGGYFRQKNFNKALMVLKQMEASGLNPDSHTFSYIIGNCSSEDDIIKYRKELDESGVTATKHIYMALINAYAACGLFEKAKQEHLQSEGELSRLLQLLKQLKDFDFWDDGCARIILYCVRHKLLGSAVDLLKQRMEKCTNETATEGLFDEVFCAIVETEPIDVQFGLDLLQAIKEDIGIRPSRRSLDFLLSACVNARDLDRSFIVWKEYQTAGLPYNVLTFVRMYQALLASGAHKAAKVLLKNIHDDDCHVLRVLNACRLTFGKSASTKPRRERRR >KVH99131 pep supercontig:CcrdV1:scaffold_10:497874:499543:-1 gene:Ccrd_022667 transcript:KVH99131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1223 MVRGLFSCFGHLSSSFDGGTKRRFPHYYATTATSYPTADELIKGGPVVVELFSSQGCATSREAEMLFTRLGRGDFELEVPVILMAYHVDYWDYNGWKDPFGSTQWTVRQKSYVESFNLDTTYTPQAKFRRPAPESLQISLTGSLRAKVDSKGADIMVSLFDSGLITDCRKGANQGRILPNDFVVRRLQKLCSVKDLSEKKTVTGTVDFKLWPGFSSSKCAMAVFIQQHGSRHIFGAQRFHLPENL >KVH99121 pep supercontig:CcrdV1:scaffold_10:81314:86207:-1 gene:Ccrd_022639 transcript:KVH99121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGPKPIFDLSVFDPKDPCITFNMIFSLKQSSNVTSIPECNYKIFDHRRNFPAGFLVLPPLSKPKLNKLSVVSSAKPLHVSSIQSFGSFQRLPTKVEKVVKAYEADRSEGESVVEKVEAARRVKIGVYFATWWSLNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLVSWATKVAEAPNTDLDFWKSLFPVALAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFVLGEMFPISVYLSLLPIIGGCGLAALTELNFNMTGMKGKSVSGMNYYACLSLLSLLILTPFAIAVEGPKMWAAGWQNAVSEIGPNFIWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPIQPINALGAAIAILGTFLYSQVLSCFSFYKF >KVH99111 pep supercontig:CcrdV1:scaffold_10:711786:714230:1 gene:Ccrd_022683 transcript:KVH99111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLVMIVVSVLDLIAFGLAVAAEQRRSTARVQQDPEKDYNYCVYDSDISTGYGLGAFFLLLASQILIMVVSRCFCCGKALNPTGSRASEACLLAGSVRNAYHTKYRTIFSEEGPSCETVRKGVFAAGAAFVFLCSIVSQFYYASYSKARGAFQPYGGEANVGMGAYK >KVH99065 pep supercontig:CcrdV1:scaffold_10:790358:791059:1 gene:Ccrd_022690 transcript:KVH99065 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTH-like domain-containing protein MVRSMKIGISRLSSQITHRSASISATTMEVEVKLRLPDSAAHQKLSDLLSPYHTKTHLQQNLFFDTPSLSLATTHLAALRLRFYDLDSHSVLSLKSKPTISAGISRIQEQEEILDPAVARACAAEPWRFSTIADDYSSGILERVTGEFGVDLKDLVCLGGFRNVRAVYNWNGLKLELDETQYEFGVNYEIECESDDPDEAKSMMEKLLNENGIRFCYSEVSKFAIFRSGKLPA >KVH99114 pep supercontig:CcrdV1:scaffold_10:742094:747122:-1 gene:Ccrd_022686 transcript:KVH99114 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein, eukaryotic VEQQKEKNGVLCSHRLILSKIVISTSKKLSIFQIHHNPQMADRFFPNELPEFIKEDDPPPPETTTNTLLKTLSLPHNTFSDKLKRTAFVLKETIVTETWGNIGKRLKDYTLYTGALGTAFLVFKAYQVSHNKKDLKLCKDILKACDSASHGSSCMTFMCGQAGVYALGAVVAKQANDDYLCDHYLTRFREIKLPVDLPDELLYGRAGYLWACLFLNKNLGENTISSTQMRTVVDEIIKNGRNLCTGESPLMYEWHGKRYWGAAHGLAGIMNVLMDMILTEDELEDVKGTLLYMIKNRYSSGNYPSSEGSQSDCLVFGGEEFHKAALDAGEVVWERGLLKRVGICHGISGNTYVFLSLYRLTGDVKFLYRAKAFAGFLHDKGQTLISEGAIHGGDHHFSLFEGIGGMAYLFLDMVDPSEARFPAYEL >KVH99092 pep supercontig:CcrdV1:scaffold_10:901752:902462:1 gene:Ccrd_022701 transcript:KVH99092 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNIYFQALQHYQTPSFSHPNQSPETYFSSENYHDNHPTTALEGIAAVVGEHVLFGKTAPSTTTTLIATENEDNKQSKSLRSDKNGGGAAEKSYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGAKARTNFEIPSVFPPSAAAAATVETKKKGGSSSNKCHVVTSVDQLFSNSSLMMMKSKMMNDQETVNHHNNAINQELDLNLGVGFNKKARTSSMLG >KVH89604 pep supercontig:CcrdV1:scaffold_100:131079:133524:1 gene:Ccrd_008423 transcript:KVH89604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGKNVGLGLVKRTNSFGRKRILILNDIDSIDILCGVDHEDLKRLFHVSKPIREAALIAKKWHFEYRTPKKISAFRCSLNLDEFPSSGFEEIEPPNAPKQLRVCRSRVTRKNSSAICVSLFADKVQERPTNNLLMEMES >KVH89598 pep supercontig:CcrdV1:scaffold_100:467678:470090:-1 gene:Ccrd_008405 transcript:KVH89598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MAEEFQAEVCGGSWWSSPRATFNQSSYIGNYGGCWQSDLMNMKTWSTEDSSDHDSTTVFQKSGGCANAISPDSAFPMMGGASPPSTTTNWNQALLVNGRTEEAGSYNQTLPEILNNLPSGGQDCAGFAMDQQQTSSFLTNSGDSTGNLVSTSYGYPSSLLQTLFDSTSPPPPPAAAPPQPPLYDFEANLNDFNPVSSMPRFSSLVKPKQQVLGGLHLANKTPFWNASVLDSNDNRAGFFASTQSRYLSSTTYEEKPNCPNLKSQNEEIRDMGSSVKKTTGDPTFKRPRLETPSPLPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHEAIDYIKHLHDQVNVLSAPYMKNGATIQRQQIHDHKVKDTTEGAKHHDLRSRGLCLVPVSSTFPVTTETIPDYWSSSFGNTFR >KVH89596 pep supercontig:CcrdV1:scaffold_100:491003:491772:-1 gene:Ccrd_008403 transcript:KVH89596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MNHELWTDSSMEFSNLFDLQIPPPPPPVVEETHTSFERVICRNSRRQQYHDDDDQDDDDDTNHEGKFKSKNLKAERRRREKLKSRMLELRSLLNKETIITDAIDYIKELQSSVTDLQNEIVEMEAEMAHEQPSEIIQVPSEEKMENWGIEIKLKPRVVSQVQPVTDSNLRVMIKIDHVMLTCSDVEVTRIDENKSWMKIVFGKKAGGFTKLIEAMS >KVH89592 pep supercontig:CcrdV1:scaffold_100:88224:97878:-1 gene:Ccrd_008426 transcript:KVH89592 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MASSSGSTKVCFNSTCKEVLEVPRQGWLCRTGDFADLCDRCSSAFKDGKFCDTYHMNASGWRCCESCGKQIHCGCIVSFHMFILLDAGGIECLNCAKTEFILTPNPTWPSASHFLQGPAERIRDISSKNWRSISGSGPVPWRQAPSLFNASKVQPEFQSVLGSIDNPLATEQLSSCSLGKSTANEPSEKLVNESWQVGASEMAAARARAIGIQYDGQCNLFGDVPRQSFFYTNELPTSLSSLPARMVAQDQKSEKGKVSGIHVQHLCPLPLVGKQFSNNNGSGPSLEAQAHNAKSRGETRGRNQLLPRYWPRITDQELQQISGGTNAKITPLFEKVLSASDAGRIGRLVLPKKCAEAYLPPISQPEGYPLVVQDLKGKDWVLQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGKLVMGFRKASLASPSDQGNETVSTRNEDPSKGTISFKSRNPDGTWSEVDKISIRAKRKKGAKTGSNCKQLKLNGEEILQLNVTLEQVQELLQPPLINAPTIVVVEGVEFEDYQVMDENPEPVKMMDGLDALADLAIQDGEAIPTLSQATTRHPRHRPGCTCIVCIQSPSATKHKPTCTCNVCLAVKRFTQTPMVLHGSKDSEKEAGNSVRVPSQVPYADILRHTQTGNSGHDHKTAAGGSSENNPDGEKPSTPFKSQNIDLNTQPEREEESSSPVLESIGITSLAPEPTQRCIRQMKLSINGITDGNHAL >KVH89595 pep supercontig:CcrdV1:scaffold_100:495347:496486:1 gene:Ccrd_008402 transcript:KVH89595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASISRIFRTFFSTSVSKSPAKSFSDDLYKEHNLKRVVQKFKKLSESHMFRKHANVYGNTVRRLASAKKFNWIEEILEDQKQYDEISKEGFAVRLISLYGKSGMFDHAFKVFDEMPERKCPQTVKSFNALLGACVNAKKFDKLDGFFRDLPEKLSIKSDIVSYNTAIKAFCEMGSFDSAVSLFDEVEKNGLKPDLITFNTLLIGLYGNRKFVDGERFWAKMRNTSISPDIRTYNARLVGLVAEKKLSEAVEFFGELGLKDLKPDEFSYNAIIQGFCNDGNLEQAKNWYANLMESDSAPNKATFAILIRFVCKTGDIDWAVELFKQAVQEKCLLDPNVMQLVIDGLVKESKTEEAKKLVDIGNSNKFRRYNLIIPAAEGE >KVH89608 pep supercontig:CcrdV1:scaffold_100:103171:113326:-1 gene:Ccrd_008425 transcript:KVH89608 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MQQNCVVHSSFLSICVVVSVRRRELRLPKFVNASLKSSARVLVLTKWMMNSVRKLVSCVILDLDGTLVNTDGIVCEVLKAYLVKYEKKWDGREAHKIIGKTPIEAAAAIVEDYGLPLSKEDLLSEISPMFSDQWCKLKALPGAYRLITHLRGHGVQMALASNSPRASIETKISYHPTWKESFSAIIAGDEVKSGKPSPEIFLEAAKRLSIDPSKCLVIEDSLPGITAAKAAEMEVVAVPSQPKQSHLYTAADEVINSLLDLRPENWEPWHIGGPVIKGYGRGSKVLGIPTANLSVEGYATVLAEHPAGVYFGWAKLSNRGVYKMVMSLGWNPYFNNTEKTIANFPSLESLVAKIHEDGKIAENALELPSYAKYKDEKYFTSIAIGEDGHL >KVH89584 pep supercontig:CcrdV1:scaffold_100:257357:259205:1 gene:Ccrd_008416 transcript:KVH89584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVQTMVNPELLRLAASLLSSQRDQNPSFLHQNDQKPQFGQTLIQENHHHHLDQLQNHDQTLIQELPSCVPFSSDQSSQLMEPNVDQLPSSFSHFNPQNCQLNEWQNNGIGLPSSNLDNLMGMAMENYGFQGSVQSVVDPPSNLTPNDFDFTSHLSNLSTPSSSPTPLNSNSNSTYINGSSTEDEREISYGSNLFKYEYQEILDSNVFM >KVH89594 pep supercontig:CcrdV1:scaffold_100:497850:502797:-1 gene:Ccrd_008401 transcript:KVH89594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLEKIGLPPKPSVRGNNWVIDASHCQGCSSQFTFINRKHHCRRCGGLFCGSCTQQRMYLRGQGESPVRICEPCKTLEEAARFEMRYGHKNKSKRVLGDGGNESVSSDKESVHSLQRATSASCSNNDMELDLESTTPEELRQRAVEEKKKYKVLKAEGKPEEALRAFKRGKELERQAGTLEIELRKNRRKALSSSSANESTKVGVGKQPKEKDDLVSELRELGWSDLDLHDADKKPVSMTLEGELSTLLKEVSQKTTNPEKGSFGIDKSEVVAIKKNALALKREGKLAEAKEELKRAKILEKQIEERELLGDDEDSDDEFSLLVRSMGIDKHDVLATGNDRDRNFDFDHLLRMDDVPNIDGNFEVTEEDMDDPEISAALQSLGWTEDHAVDNKEARLSEIRSLKLEALNKKRAGNTAEAMALLKKAKLLESDQENDGLHQGSAAAPLKLDSDRKTAPKSKLAIQRELLALKKKALALRREGKTDEADQELMNGKALEQQLEELENGPKVKPAATKGIDLSAPMDVQDEGEDVTDTDMNDPSYLSLLQNLGWKDEDDGKVEAAAEPEPPKRKSKTEIQRELLALKRKSLALRRQGNVDEAEEVLQKTKNLESQLAEMDVDRNAGGETVVSEVFMAEKDVDRSAGGETVVSEVFMVEKPQTSTVAAVFVDDKPRVDAHESGSVQQRILAHKRKAVSLKREGRIAEAKEELKQAKLLEKENTTMENKNLDSETGERTPVPSTSKTNGSDVVHDTRKEETDDSPPKLSSRDRFKLQQESLSHKRQALKLRREGRTEEADAEFELAKNLESQLEAANESTKPARNVDDVSVEDLLDPQLLSALREVGIESSPPEKAKPVVNKIPAEAATAKLVINKPAEAATAKPVINKPAEAATAKPVINKPETANEDRTELEERIKAEKVKAVKLKRAGKQAEALDALRRAKLLEKKLISLA >KVH89603 pep supercontig:CcrdV1:scaffold_100:147921:150981:1 gene:Ccrd_008422 transcript:KVH89603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MDPDLTKPWALPEEFLKRTFALINSDKDRNSASLVCKDWYNAERFSRRHVSIRNCYSVSPEIVAARFPGIRSVTLKGKPRFSDFNLVPEDWGADVQSWLSVFAAAYPFLEELRLKRMAVSDESLEYLAMNFHGFKALSLLSCDGFSTIGLEAINGIDDLGGDWLSYVPENLTSLEVLNFAALDSEVSFDALESLVSRCKSLRVLKVNRKISLDQLRRLIELAPKLTELGTGSFAEDLVSSSVTELESAFSKCRDLHTLSGFWDVTLLYLPVIFPVCGNLTFLNLSYATLGAIELECILTRCKSLRRLWVLDTVGDEGLEMVGSCCPLLEELRVFPADPFNQELGVTESGFLSVSNGCPNLHYVLYFCQRMTNAAVATVAQNCPNFTHFRLCIMSPGEPDYLTNEPMDEAFGAIVKTCPNLRRLSVSGRLTDLAFEYIGNHAKNLETFSVAFAGTSDLGMEYVMRGCPKLRRVEIRDCPFGDNALLSGLAQYESMRSLWMSACNVTTDGCKKLADEMPRLNVEVIKDDGGEDGSADKVYIYRTVAGPRTDAPPFVVTL >KVH89593 pep supercontig:CcrdV1:scaffold_100:513212:515572:-1 gene:Ccrd_008400 transcript:KVH89593 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MYRKYANEVGFDVRLSNKKINKIGRITSRFYVCSKERRPQSKYFDSLDVLPGGRTIRNSNIKRSGCEACLKIHLVKEPNQYEVYKFVEQHNHILFNKEEMRFSRSKRQLHYADHKNVFHGSSSKVGVTKSHRFMKAXKGGVDSSGGTVTTKSTIPRLVSPCKLEAHASELYTRTIFFEIQKELRKAVWLCGWDGFTDVGETRVYTITHKNKASKVTTKYTVIKNKMENSYDCSCNCFDRNGILCRHALKVMLNDEVDRIPDKYILRRWRRDLVLVEWLPARFRYGEVDAEKERLMSLAYSYFERILGRVRNEKDIFHTNEETTASIKEFLGVSQLETVHVLPPTGIRNKGCGTGKRLISAAEKAISNGKKQKRKCRLCGQMATHDTRNCPKRDYI >KVH89602 pep supercontig:CcrdV1:scaffold_100:376387:386561:1 gene:Ccrd_008409 transcript:KVH89602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MGMNMAVSIGMACLYILLNSLRHCKSTDILTKDGKISLQQTLISTNQVFELGFFNPGNSTTRYLGIWYKDVPARRVIWVANRENPLSVSDTGSSLTIGDDGNLRIEDGESNVIWSTNVRVQFNETIAKLTDTGDFALNDTVSGSILWESFDNPSNSLLPGMRLGARGETQGKHVLTSWKSDDDPTVGDFVAGLSEEQPPQAFIWRGSKPYWRSGPWDGGKFIGIPEQESGYSSHISLMPENPQGGAYLAINKYNSYDIHWLYLRPDGVLHLNYWDDDLNTWDFTWRAPENRCDVYGVCGAFAICTSKFPTCDCLRGFVPQSNDEWSKSNWTRGCVRRSELLCEKNASSLASGKTKPDTFKMLRGIKLPDHHQYFPYTDTDECKRLCLGNCSCKAYAYVEGILCMIWTKDLMDIEQFSDGGEDLYLRLSYVESGKLRILVKFYRRRNKRSKTSHQFHNHRWCTPLRETLQEEVLRKESIELPIYEFKQIIASTDNFSYRNKLGEGGFGAVYKGTLDHGQQIAVKRLSGDSGQGIEEFKNEIMLISKLQHRNLVKLLGCCIEGEERLLVYEYMTNKSLDTFLFDPKKRKQLDWETRFNIIQGIGRGLIYLHRDSCLRIIHRDLKCSNILLDEKMNPKISDFGLARTFQMTQELANTRRIVGTYGYMSPEYAMRGVISEKSDVFSYGVMLLEIISGKRNTEFLHHEHPLGHAWKSWKERRGIELMDQALVESPFLSQGLRCIHMGLLCVQDLAKDRPTMAEAVSMLCSDADLPEPKEPLFILQRGTSIGHDFKNMWSQKDLKKPTGMATGRATAANCLRMACLYILVISLQHCRATDTLTKDSRIYLEQTLVSANQVFELGFFNPGNSTKRYIGIWYKNIPARKVIWVANRENPLSVSDTTSSLTIGNDGNLIIQDGEHNIIWSTNVRVQFNETVAKLTDTGDLALNDTISGSILWESFDYPSNSLLPGMKLGTKGKTQGKNLLTSWKSDDDPTPGEFVLGLSAEQPPQAFIWHRSKQYWRSGPWDGGKFIGIPEQESGYSNVISLMPENPQGGAYLTINRYNSSHIRWLYLEPDGVLQLNYWDDYHNMWDITWGAPDNPCDVYGVCGASAICTNKSPICECLKGFVPQSKDEWSKSNWTTGCVRRSELLCEKNGSSLASGKTKPDKFWMLRGIKLPDHHQYFPYTDTNGCQRLCLGNCSCKAYAYVEGIDCMIWTEDFMDTKQFSSGGENLFLRLAYVGSETKGAEVLISLTTIGGALLLGGFMFCLYRWTTYKKEDEIDSRDTLQLHEKVLIQESIELPIYEFKQIITSTDNFSYRNKLGEGGFGAVYKGTLDHGQQIAVKRLSGDSGQGIEEFKNEIILISKLQHRNLVKLLGCCIEGEERLLIYEYMTNKSLDTFLFSKFDLTSFSCNLSNIFG >KVH89599 pep supercontig:CcrdV1:scaffold_100:453091:454236:1 gene:Ccrd_008406 transcript:KVH89599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MATTNTTTTQYPDDFKCPISLEIMSEPVILSSGHTFDRPSIQRWLDAGHRTCPITKLPLSDTPSLIPNHALRSLISTYTASSVANAALTLSKPHHIREHPETLISNLTSKSSSPEVKLVSIEHLCQLSKRDFELRKRLTESGAVAALLGCVSLKNCVNLQEKSLKLLLNLSLDDDNKVGLVAEGAICHIIAALCDGESADCRATAATVLTSLAVVEVNKATIGAYPFAIRGLVKLLNNGVGRERKEAATALYALCSFPDNRRRAVECGAVEILVNSLDSGLERAVEVLGLLAKCKRGREEMVRFNGCVKILIHVVRNGSSRGVQYALLTLTSLCLSSEVICMEALKEGVFELCLRFLEDDNEKVRRNASSLIKVFGGAGCI >KVH89585 pep supercontig:CcrdV1:scaffold_100:283822:289946:1 gene:Ccrd_008415 transcript:KVH89585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MSRLLAVRNIKRIAQSIRTNNRRIQSEDGSVDRIGLRSSQYGVYSQYTLYSRRSSSFSACNAEYGFGKTTFQRNYCVVPNYRITHNAQIGLKRVSWSSFHNGKCFSPLSRISQAFSLALSRSYVVIPGIFALMCGNMAFAQTGQNVEYFQPRNTLYMHAEDGHALLISSILSVFEGLVLLVRALYLAILFSPSIAMAPFAEYFGSSSRKRWLRIVRRTLEIAGPAFIKWGQWAATRPDLFPRDLCTELSKLHTKAPEHSFAYTKKTVEKAFGRKISEIFDDFEEVPVASGSIAQIHRASLKYRYRGKQIKPLIVAVKVRHPGVGESIRRDFEIINVVAKISRFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWRDVSFPKPVYPLVHPAVLVETFEQGESVAHYVDELEGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRSKSSRKGIFKSKPHVVFLDVGMTAELSKNDRVNLLEFFKAVARRDGETAAKCTLRLSKQQNCPNPQAFIKEVTESFDFWGTPEGDVVHPADCMHQLLEQVRRHRVNVDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKEDWAKSLTYTIEGLMAP >KVH89591 pep supercontig:CcrdV1:scaffold_100:18526:26604:1 gene:Ccrd_008429 transcript:KVH89591 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP-like protein RIEKREKVQQNQTRRRPLQTFSPSLTTTAARRQIQSLSTCFTQKSVLMAGRFDPNPFDEGDEVNPFAVGHLVDDVQEASTYSDTNGNSDQGAGGKGAGKTNYTGGSFYTTSVPSATNSRLSPLPHEPADFYDRSAPDLKKKERELQSREADLRKREEIVKRKEEAAARAGIVLEEKNWPPIFPIIHHDIANEIPIHLQKLQYVAFTTYLGLVLCLLWNIIATTTAWIKGEGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMRREVARGAVRAAV >KVH89606 pep supercontig:CcrdV1:scaffold_100:175964:185660:1 gene:Ccrd_008421 transcript:KVH89606 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter TQIIISRFIFKIYFKKPLYKQHSPFSYNPLFHLHFQTCNFLLYTPHHHLPHFQTKKVVAIMAGGGIPTAPGGDKAYPGNLTLYVTFTCVVAAMGGLIFGYDIGISGGVTAMDPFLMKFFPSVYRKQSADTSTNQYCKFDSQTLTMFTSSLYLAALLSSLVASTVTRKLGRKLSMLFGGVLFCAGAMINGFAQAVWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGSLNIGFQLSITIGILVANVLNYFFNKLDGNLGWRLSLGGAVVPALIITVGSLILPETPNSMIERGQSDEAKTKLRRIRGVHDVDEEFNDLVHASEESRKIEHPWRNLLQKKYRPQLTMAILIPFFQQLTGINVIMFYAPVLFKTIGFGSDASLMSAVITGSVNVLATCVSIYGVDKWGRRFLFLEGGTQMLICQVAVAVFIAIKFGVNGDPGDLPKWYAIVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMIFTFIIAQVFLMMLCHLKFGLFLFFAFWVVIMTIFVYFFLPETKNIPIEEMVIVWKNHWFWSRFMVDVEYPNGVELSKGGDVVKKLRLKRSTQKKAYPGNLTLYVTFTCVVAAMGGLIFGYDIGISGGVTAMDPFLKKFFPSVYRKQLVDTSTNQYCKFDSQTLTMFTSSLYLAALLSSLVASTVTRKLGRKLSMLFGGVLFCAGAMINGFAQAVWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGSLNIGFQLSITIGILVANVLNYLFNMLDGNLGWRLSLGGAVVPALIITVGSLILPETPNSMIERGQSDEAKTKLRRIRGVHDVDEEFNDLVHASEESRKIEHPWRNLLQKKYRPQLTMAILIPFFQQLTGINVIMFYAPVLFKTIGFGSDASLMSAVITGSVNVLATCVSIYGVDKWGRIFLFLEGGTQMLICQVVVAVFIAIKFGVNGDPGHLSKLYAIVVVLFICTYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMIFTFIIAQVFFMMLCHLKFGLFLFFAFWVVIMTIFVYFFLPETKNIPIEEMVIVWKNHWFWSRFMVDEEYPNEVELSEGGDVVKKV >KVH89580 pep supercontig:CcrdV1:scaffold_100:333899:336108:1 gene:Ccrd_008412 transcript:KVH89580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MDRKMLQHLFFLYKFVLKLLGYPQGQHCKNRSLKYMRYESMADKHRSEELLKTTTLVFEVEGGLLRSTSLFPYFMLVAFEGGGVLRGLVLFLLYPLVCLVSREMGLKIMVFICFFGVKKGSFVIGRTVLPKFFMEDLGFEGFEVVMRFGRKVGLSELPRVMVEGFLKDYLGVDCVFGRDLKVVCGYFVGLMEEEVPPRSRSRSRFSFLMNDVFGERKDKYPKPLIFHDGRIAFMPTFPRTLAMIMWVPFGFGLSLLRIIVAISFPYIMSIPVLSFTGLRGRPYIPSTTENNHKKEKKDRGTLYVCNHRTLLDPIYISLAIMKPLRAVTYSLSPLSEFLSPIKTSHLSRNKEKDSKMMDSLLSQGDLVVCPEGTTCREPYVLRFSPLFAEISNEIVPVALDAEVSMFYGTTASGLKFLDPVFFLMNPIGVYHIMILENLLNANARRESSIETANRVQKQIADALGFQCTNLTRRDKYMILAGNEGAI >KVH89587 pep supercontig:CcrdV1:scaffold_100:220660:224434:-1 gene:Ccrd_008419 transcript:KVH89587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALKSCKTIGYGSTFLSDRSLNTRIQNSSVNVRTCYRTRVTLRASIDGQEEKKNSERRSFLTLEEAGIVEMAGLSSHERFLCRLTISSLNLLRVIGEQEGCSIEELNAGKVCDWFLKDKLKREQNLDAVLQWDESEFPL >KVH89586 pep supercontig:CcrdV1:scaffold_100:290644:301025:-1 gene:Ccrd_008414 transcript:KVH89586 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRC-like protein MAEKGGGGGSLLDERRCKRSDGKKWRCQRVVMEGRTLCEAHFLQGRHRQHKKPVPDDLKLERQKRSRRTKKFEENPTEKSEIRVNGNVGCSNLPQKRGKRKNLIDEGNPNENLEIRTKGVSGSSNRQRKRGRRSQKEMKSSVDISEDLDDALKKMKLKKGDLQLDLIRGYLNRQIEKKKGKQPQKEDIVKELKYGRLEISQSSPLTTPTTSVNNGGLLNVKVGIPASTSVPTRFFRSKNIDRLPIATMQILPSIKANVKATTKKCHWCSLGSYRVLVKCLTCKKQFFCEDCIRERYLDKADIKKRCPVCHGNCSCRVCIRGRSKEVKTKDLIVFNGEEKFDKAQQLLYMIDLLLPLLEQINQEKIIELEIEAKIKDKRKSLCTILRNWSTNEDGSIKCPPKNLGGCGDGILGLFCHPPVNWTKDLEARAKEIVCASKITQYFEVDSSRCALCDEQDHREGKNESEILINNKCLYFSIRQDLGDKNLQHFTKHWVRGQPLILRDMINSESELSWDPISMFCMYLERSAKSRNDKEVKLKNCSDWCEVQIGRQQIFMGGKTHANVWHEKLKFKVWLSSGFFQEHFPSHYAAAMHALPIQQYINPLTGILNLAANMPPESQNPDLGPFVYISYGRTEDLMGADFLTKLCYHAYDMKKLNKVKVLLNKYSSQDQNEFSEKNKAKNEMNQPCGRSSFSSEVTQQSELEDNTKGELTQIPNGNPCAFSDDSSISESDDEDLCHDESGSSSCNKRKPVDTCGAQWDVFRRQDSCVNVVLEFISPESASMGTKLSDETRQLPVNHKAKGKMLGVKKMTIHSVNAAVEEFRAVSQAENESLLFTNLRFLLFESRLCFLIHMTEFQRSAATEVCSMLEIALQMEVSSQSPSRGLLNHDEAEIGDHQ >KVH89579 pep supercontig:CcrdV1:scaffold_100:323647:330466:1 gene:Ccrd_008413 transcript:KVH89579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MTTTHYTAEQQLSVTVDSVASSPRSDHPPSDGRIYDDTTAQARVRFMCSFGGKILPRPHDNQLRYVGGDTRIVTVLRHNTTFSALLNKLSKLSGTSDICVKYQLPNEDLDALITVTSDEDVENMLDEYDRLAHNHKTARLRLFLFPTDASLSRATSISSLLDGSIKREHWFLDALNGGASLERGRSEVSSIISEVPDYLFGLDHTDDTKPKTRPGVVNDNVSVSDPGSPAPRISSPYCSTSSSLGPTVAPPVPNLRPVRTKLDNPSSVVTEPKETGTEHFSEANDPIILKQTEYAGQQMYYMRRTSSPAPMQEMPVYYMQPPGSMPQENVPLQSLPIRAQYGQQFMTPQGQILHPQVSNMGQMYSGMRPVSGGEAYEMPGRGGGGPGQPVYYGPRYVGVPGYPGMVLPGGEEMKQPGSETKMGRAPQ >KVH89605 pep supercontig:CcrdV1:scaffold_100:202629:212109:-1 gene:Ccrd_008420 transcript:KVH89605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MPIVDDRPPSDGGGGGGGGSSSSKVWGLLKLPFRSSGNSTPHTTTLSSSYQIEGSNAQRKSENHSSSSSSSSSSSVLSVARSLIPTRRRLRLDPRNKLYFPYEPGKQVQSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEAPDNNEKPTDRKRKVKFKIMSLKVKGVMDYVPELALEKLKRQLAEAEAALETRKKPPEDTGPKIVGDGLVIDEWVSF >KVH89581 pep supercontig:CcrdV1:scaffold_100:348208:352852:-1 gene:Ccrd_008411 transcript:KVH89581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MKKMVENWGEVFLGCGKVIFLIVLMIVVCFFNHHCKCPWFRFGENMKLAGLGSCFLQGFIYTILSTLALCNLVAFAVTKKHCFLYIGVAFVLSLGTYLGFNRSKMRSKFNIKGSDSSLDDCVSHLICPCCTLAQESRTLKMNNIQDGTWHGRGDTMCMGTYVEGVKAFELIPPTIVSIESPKPCLPAEGSEPLASPRQW >KVH89609 pep supercontig:CcrdV1:scaffold_100:63318:64904:1 gene:Ccrd_008427 transcript:KVH89609 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4408 MGSSSSSLSQRILLISAGVVLVAMAMTFCFPAMLNLAVYHIPAIWSVILSWLRPPYLYIIINGIIITIAASSRFHHLHNHYHIENQSQPLVDETNTVLPLDLPSESPPMVILPSFDVVENPPVVYESEHTETEENPTVYESEPPLTDVKTVAVNGTDFVADFVTDESEDKFIISRSEWNPPHRMIKPPPPERKIKSEFISPAREKMLVSSRFSHQRKSAKFNPEGTTSYSTGVRSLRVAKSRKHETLENTWKTITDGRHIPLNRHLRKSDVFENNHHHHHHTPSLDGYSGEHGPAFAAADNNVMNKAETFNDRTAYDNENHQRILSSKNSMTSSGGKLRKEGSLSHDELNRRVEAFIKKFNDEMRLQRQESLQRYMDMINRGAQ >KVH89588 pep supercontig:CcrdV1:scaffold_100:232849:233202:1 gene:Ccrd_008418 transcript:KVH89588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPSLFWAAFGSDLGDRGSFFHLLLSVYCSRHGSIPVSICTANPNYYGSPSILCIVSYPAVYDVIDFCC >KVH89601 pep supercontig:CcrdV1:scaffold_100:398090:416599:1 gene:Ccrd_008408 transcript:KVH89601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MKTFHIHGGIIIFFFFILSFCNLNLSLATDIITPTQPLAMNQTLISSGEAFELGFFNLSNNNLYLGIWYRQIRPRTYVWLANRDAPINSSFVKLTIGDDGNIKLVDRSETAIWSSNQSVPVNNMVAQLLDSGNFVLRRENDDKSENYIWQSFDYPTDTLLPGMKIGWNRTTGINRFLKSWKSNNDPSSGDYSFKMNIDGFPELIVWHNETKVCRSGPWNGRRFSGIPEMKGVSIMHFDFHETSGDISYSFRMLNSSVYSRLTINSSGNLQRSVWLPATGSWRSYWNFPRDICDKYGEACGPFGVCDTSIFPVCRCLKGFRPRDEHGWFELQDGSSGCVRSSKMECGSDGFLPLKNMKLPEGSKAVIDSTMNLSRCEEICKTNCSCTAYANMDVTRGGSGCVIWMVDLIDMRQFSESESGGQDLYVRVAASDLEESPTTESAKNGSNNGNRAVKIVVIGAGICAGLITLLILLYLKRKNRRRLMKSIAHRIDNFSNAKELGEGGFGCVYKGTLPGGEVVAVKRLSRVSDQGIDELTNEVRLIAKLQHKNLVRLLGCCIEVEEKLLIYEFMENRSLDTLTRFTKSVTEKEKSTKLSWQLRLDIIHGIARGLMYLHQDSRFKIIHRDLKASNILLDEKMNPKISDFGMARIFGNEENEAKTKKVVGTYGYMSPEYAMNGHFSTKSDVFSFGVLVLEITWTLWKEGKALKLVDESIRDKFLEDEAIRCIQIGLLCVQEQKEDRPCMSKVLWMLNSEIAQLPQPKYPGFFIGKRQIKAESSSKQDDSVTINEVTITMIDEVRTRVRIRAPTTAMVVISVWWCRSRRLMETFDSHGSTFIFIFSLYIPFLSLATDIITPTQPLAMNETLVSSREVFELGFFHFGNNNLYLGIWYRQIQPRTIVWVANRDAPINSSFGKLIIGDDGNIVLVDRAEIAIWSSNQSVPVINTVAQLLDSGNFVLRRENDENTKNYIWQSFDHPTDTLLPRMKLGLSRTTGINRVLKSWKSNNNPASGDYSFGINIHGFPEFVLRDKETVTARTGPWNGIMFSGTPEREGVSIVNFNFQNTSDEIYFSFETVNSSVYSRYLVSTSGDLQRFTWTPAAGTWSLYWKFPWDRCDNYNRCGPFGICDVNSSPICKCLSGFRPGSNGCVRSFGLDCGSDGFQQLKNIKLPEGSKAFIDETLNLSRCAELCKRNCSCTAYANMYVPWGGSGCAIWVVDLMDMRQYAESESGHLPTGKKSKNDNRVVKIVAIVVGLITLLILVYLKRKNTGRLKKSSMIMINMFFYDLYLGPQERTDGETQIDELDLPLLSRVSHQGIEELKNEVELIAKLQHRNLVRLLGCCIDVEEKLLIYEFMENKSLDAFLFDQEKKPELNWQLRLDIIDGIARGLLYLHQDSRFKIIHRDLKASNILLDKKMNPKISDFGMARIFGSDQTEAKTKKVVGTYFGVLVLEIVSGKKNSGSLYTSNELNLLAHVSTKYQQCQIQEFFSIILFFLREATRYTFKMVAWTLWKEGKALELVDESVEAKFLEDEALRCIQIGLLCVQEQAKDRPSMSKVVLMLNSETAKLPQPKYPGFFIGKKYTETESSSKQNDSVTVNEVTITVLDVR >KVH89607 pep supercontig:CcrdV1:scaffold_100:116722:126435:1 gene:Ccrd_008424 transcript:KVH89607 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MEDDGDLEVGEIMEEQPMTDDQSNYYSWPPAIRFDVPPHKTYHFSTHFRASSNPNNFLKGVKWSPDGSCFLTSSDDNTLSLFTLPDYESCTDEKACSSAALEDSFPPNLVMTEGEAIYDYCWYPYMSASDPVSCVFATTTRDHPIHLWDASTGQLRCTYRAYDAMDEITAAFSVGFNPTGTKIFAGYNKSVRIFDIHRPGRDFEQHSTIQGNKEGQSGIISSIAFSPSHTGMLATGSYSQTTAIHREDNMELLYVLHGQEGGVTHVQFSKDGNYLYTGGRKDPYILCWDIRKTVEIVFKLYRSSETTNQRIQFDTDPYGRHLDTVSGFGFHPFLPMAATSSGHRRFGPLDESDEDLSSILRDDENCASVWSFSVYSPEDYAANVDPGDSNGYNRI >KVH89583 pep supercontig:CcrdV1:scaffold_100:247735:248231:1 gene:Ccrd_008417 transcript:KVH89583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LASASQKASDQKQSLKKRCGLLSFLCPLCECTEEDEEHLFIGCSISRKLLKDLCIWWKVDKDNSEVAGTSMCKKAFIGVVYGFFWIIWNLRNRKIFVAPSQNSATFLAGQLQTYSFFWFKNRVLKGVLVNSWIEWCNSPHVLFLACTLYLLASC >KVH89582 pep supercontig:CcrdV1:scaffold_100:368915:372067:1 gene:Ccrd_008410 transcript:KVH89582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MASPEKPPPAIIVQPASPRFPKSGTLTAGTQRKVAIAVDLSDESAYAVNWAVQNYLRPGDAVILLHVRPTSVLYGADWGVVEASDADADSATDEESQQKLEDDFDAFTTTKANDLAKPLVDSQINFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRTTKGQRLGSVSDYCVHHCVCPVVVVRFPDDKDGGIRVGSPLRLSDKNGGSELHPVPEEEPEFHDASDKQTG >KVH89590 pep supercontig:CcrdV1:scaffold_100:31558:31989:-1 gene:Ccrd_008428 transcript:KVH89590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLILPFFLIFFLFTISIETRSDPKTDKPHNKKGGHDDGSGGFFGPGSGPGFNIPGFGNNWPGNGGVGGGYGSGYGGPKGGHSKSGVIRPTVVCKDKGPCYKKKLTCPAKCFTSYSRSGKGYGGGGGGGGCTMDCKKCVAYC >KVH89597 pep supercontig:CcrdV1:scaffold_100:487609:487914:-1 gene:Ccrd_008404 transcript:KVH89597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIARFLLLFIATFVAFITETYAMRSLEGELILVVEPSQPPDSSVSGSLFPGIKRIPLHHKSNKSIAGADLILGGYVMACVVAVLLYIRVTRRTSDTHSQY >KVH89600 pep supercontig:CcrdV1:scaffold_100:429457:437926:1 gene:Ccrd_008407 transcript:KVH89600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MKNLHCCTFIIVFSLFFPFFSVAIDTISPTKPLAGNQTLVSNGEVFEMGFFNRGNGNLYLGIWYKNVEMKEYVWVANRDAPINSSAGKLTIEENGKLVVVDQSETAVWWTNQSAPAVENMVGQLLDNGNFVVRRENDDDEKNYIWQSFDFPTDTLLPEMKIGWSRRTGVNRFLQSWKTATDPGSGEYSFKMNTDGFPEVFLLSMETPTYRSGPWNGRRFSGVPEMVTANLIEFEFENNSEEITYSFEMRNTSVYSRLIMNSSGLLQRFTWVETSKTWSNYWFAPRDQCDYYGECGPFGICDSNLSPVCKCPKGFRPKNQQAWDLRDGSGGCVRSSELDCGSDGFLPLKNMKLPVSSKAFVDRSMNLSRCEEICKRNCACAAYANMDITSGGSGCVIWAVDLFDMRQYADTENGGQDLYVRVAASDLDKPSTAGSSKSGSDNNVAMIVGITVGACAVLIILLILVYLRRKKLRRLNNSKIDKRDYSGETTTDELELPLFEFTTLVMATNNFSDANKLGQGGFGCVYKVRLIAKLQHRNLVRLLGCCIEVEEKLLIYEYMENKSLNTFLFSEFQGFFFPIDSRVFRSRDLVMFLEFVADKEKSPLLNWQRRFDIISGIARGLLYLHQDSRFRIIHRDLKASNILLDREMNPKISDFGMARIFGSDQTEAETKKVVGTHGYMSPEYAMDGLFSIKSDVFSFGVLVLEIVSGKKNRGFYYADNQLNLLGHIGLLCIQEQADDRPNMSKVLLMLSSEVVQLAQPKYPGFCLGKRHFEAESSSKQDESMTVNEITVTILDGR >KVH89589 pep supercontig:CcrdV1:scaffold_100:4614:10744:-1 gene:Ccrd_008430 transcript:KVH89589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSVAYQQISAFKSYSSWEKDSAFQFVRSNPANTHNRSARWTTPSAAIIPNFHLPMRSFEVKNRTSVDDIKSLRLITAIKTPYLPDGRFDLEAYDALVNMQIEDGAEGVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSLEGMVAHFQCVLPMGPTIIYNVPSRTGQDIPQPVIHSIAASTNFAGVKECVGHDRVKTYTETGITVWSGNDDECHDSRWDHGATGVISVTSNLVPGLMRELLSKGKNPSLNKKLLPLIKWLFCEPNPIGVNTALAQLGVIRPIFRLPYVPLPLAKRMEFVNIVNEIGREKFVGEKDVQVLEDDDFLLIGRY >KVI01570 pep supercontig:CcrdV1:scaffold_1000:22532:25208:-1 gene:Ccrd_020153 transcript:KVI01570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTTSMESQGSVHLPMDELSLHFTRTHRKNTTIFEPQSSMDTAHSSNTTPFSGQQVVAGARAPEKKLTLFALRLAILEKAATGLGTLGFIWATVVLLGGFAITIDKIDFWLVTVILLIESTRIFSRSHELEWQHQATWSLADPGVSSFRAIRSSSHVVLKAVKTVAQPLSGTSVGSSGKINESPRMINCTTYWERKKAPSRTWTTSDVPILPYGKSVFVSSNISKLLYWLQLASATVCVFLSVTRLVNHNFGDVHKGDSEKLNRKSALTIFYGLALAEALLFLLEKTYWEWNVIYKKMLEKANDELELGCTGMVSVRRFFYDAYSKCVNRSIFDGLKMDMVSFAMELLNSDSSDEQLIGVRIFERFTTNPRFSEDTLQKIGITISVIERLVEMLNWKDPQEEEIRNSAAKILAKLVGKKQNALRVAGIPGAMESISSLLHVNRNSGAIICGEVCKKQIIVDQENYGFWAFNQLGLLILKKLARDHDNCGKIGNTRGLLTKIIDFTHADERLLTNERVTESQISTVKRSLQVVKMLVSSTGVTGEQLRTEISEVVFTISYIRDILRYGEKHPSLQKLGIDILTSLALENDATERIGGTGGVLKELFNIFFREEMLENHNHVRIAAGEALGMLAFESTQNCHRILKLNVIQKLNDALDNPLLRVNAARILRNLCIYSETGSFKQLRAIITAAPTVLKEIMTNDFKLQEVMVGLAAHMFKFMTSEETKAMFERSGILDGELAMVLVETLKKHPHPQTRTPRIRRYVIELAIWMMKDKRRNIQTFKNLGMMEELEGVTETTSELESFNIFCGAIGLSRYKIAIHSLVETAMNLMADEYIHSE >KVI01572 pep supercontig:CcrdV1:scaffold_1000:47332:48001:1 gene:Ccrd_020155 transcript:KVI01572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein GSAEVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHLGEKVAIKKINDIFEHVSDATRILREIKLRRLLCRPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLRLEQYLFFLYQLLRGLKYIHTGCASHCICVLIGLWVIVFMNVLVLTYLYGNKCLPRRCKTQKYLVCDFGLARVAFNNTPMQYSRQYVPNSYYCDLKSLL >KVI01569 pep supercontig:CcrdV1:scaffold_1000:8959:9342:1 gene:Ccrd_020152 transcript:KVI01569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3511 MGDFGSRSRSYSYSDGGDMQIERYYAPKKPNLEDHPPHNSRSYSVSYGPSSQTNMDLVVSGTTAKDFEFKKGKSTAKSWSFNDPEFQRKKRVANYKVYSVEGKVKGSFRKSFRWLKDKYTHVVYGWW >KVI01571 pep supercontig:CcrdV1:scaffold_1000:32587:33793:1 gene:Ccrd_020154 transcript:KVI01571 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MLWIYYALLKSNVMLLITINSVGCFIETVYICFFLFYAPKKARVKLTKSNLVMQMESLKLIVLLIVVGFGLIVVLTQFLASGVTRGVIVNSWMDLPPPLGVLRQVIRTKSVEYMPILLSVALTLSAVMWFFYGLLLGDFNIAIPNVLGFTFGIIQMILYLVYRNKKSVSNEKLSSFEAKINEMDEKKVPEINDHKVIDIVKLETLMCNDIHPTVGKSDKSKDVHDCKDVQSLAMPNRSI >KVI01573 pep supercontig:CcrdV1:scaffold_1000:53072:58479:-1 gene:Ccrd_020157 transcript:KVI01573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MQPDQRRKGSAEVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHLGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDTWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAIARIRNEKARRYLSSMRKKKPIPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALSDPYFKNLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPNMLKEFLDGAEPTGFMYPSAVDQFKKQFAHLEEHYGKGTSAAPLERQQSSSLPRACVLYPKETAQTTVDVTNGLSKCSIKEVGKQYMTSAMPMTRLPLQVPQSIQGGSAARPGKVVGSVMRYTNCGPAAGTGDAFEQRRTSNRSNPVAAPTQFPLPASSYPRRSNNSAACKNDKIEVTIEASGNGLQARPPYVPRKVAAAQGGPGSQWY >KVH88410 pep supercontig:CcrdV1:scaffold_1001:142200:143740:1 gene:Ccrd_023910 transcript:KVH88410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MASQNQQEEEAFLFAMQLASASVLPMVLKSAIDLDLLETIAKAGPGAYVSPADLAAQLPKADNPEAAVMLDRICRLLASYSVLSCSLKKVGDDVERLYGLAPVCKFLVKNEDGVSMAPLLLMNQDKVLMESWYHLKDAVLDGGIPFNKAYGMSAFEYHGKDPRFNKVFNSGMFNHSTMTMKKILDVYDGFNGVSSLVDVGGGTGASLSMILSKHPSIKGINFDLPHVIEDATTYPGIEHVGGDMFKSVPEGDAIFMKWICHDWSDAHCVKFLKNCYKALPENGKVIVAECILPETPDSSLATQNVVHIDVIMLAHNPGGKERTQKEFEALAKSAGFKGFRKACCALNTWVMEFTK >KVH88408 pep supercontig:CcrdV1:scaffold_1001:44550:46328:-1 gene:Ccrd_023897 transcript:KVH88408 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MRSPLEILRVDNPATILREKRWVWKKSKWCRWNQQRDMACRRIRKDSQNSMYGEYTTPIINFKIASKPPMATCPSALMIMALMIISSVVATPSGNKDISVAIEEMERANYFTFVMLINMVPPNLFQGNVTFLMPSDRSLSRTMVPAQNSVMDLLLRHSIPSPLLFDHLLHLPTNSMLPTSNPDLMLKVSNRGRRSLFLGNVKIVSPNICTHGYSVRCHGIDGVISIEKPGLASPITCPRVASAAAPLPLLAPPSPSPSPSSAPASDPPHGAAYETSGGVRPQMELALTTWMAFVWLLYSKAKLCV >KVH88396 pep supercontig:CcrdV1:scaffold_1001:136998:137680:1 gene:Ccrd_023909 transcript:KVH88396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQLVPVMAKDVEAQFVEIMVTVWGICNKKEVLSTIRAKRKGARFWNMPKDNDIQSHTAPSSPLLCPSPLTIIKRRPSLSLNWRAAWKKS >KVH88407 pep supercontig:CcrdV1:scaffold_1001:14248:17088:-1 gene:Ccrd_023896 transcript:KVH88407 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MLSIEAQKPETPPPPPLVTSSSSASTCNNNINHTHNHNVDDDSSRHVVFRSKLPDLPNIPNHIPLHTYCFENLSRFSDRPCLVVGSTGTTYSFSQTHFLSRKIAAGLSTLGITKGDVIMLLLQNCAEFALTFMAASMIGAITTAANPLYTAGEIFKQFNSSNSKMIITQAQYVDKLRDPGEHNPKMGQDFPVITVDEPPEKCLHFSVLWKTDENNIPSVTIDPDDAVALPFSSGTTGLPKGVILTHKSLITSVAQQVDGENPNLYLKQDDVVLCVLPLFHIYSLNSVLLCSLRAGAAVVLMPKFEIRSLLDLIQRYRVTVAAVVPPLVLALAKNPMVGSYDLSSIRVVLSGAAPLGKELEDGLRSRVPQAIFGQGYGMTEAGPVLSMSAAFAKQPLATKSGSCGSVVRNAELKVIDLDTHYSLGYNQPGEICIRGPQIMKGYLNDAKSTAATIDEQGWLHTGDIGYVDHDDEVFIVDRVKELIKFKGFQVPPAELEALLVSHPCIADAAVVPQKDDAAGEVPVAFVVLLNGMQELTEEAVKDYIAKQVVFYKKLHKVYFVTSIPKSPSGKILRKDLRAKLPST >KVH88406 pep supercontig:CcrdV1:scaffold_1001:59469:73934:1 gene:Ccrd_023899 transcript:KVH88406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-grasp domain-containing protein MYSCETTTMLFGRRSSLILVRNSNYHSLVPKRNYLLRSTTRFRCMLDQIVPKFAVSSSLSTVLTSGNVIAAAAAAGSGSLHGAVTSAITQVAVTAVAIASGACLSTKVDFLWPKVEEQPGSLILDGVDVTGYPVFDDPKVQKAIAFARKAHHGQLRKTGEAYLTHCINTAKILAVLVPSTGKKAVDTVISGILHDVVDDTCESLYSIEREFGEDIAKLVAGVSRLSYINQLLRRHRRVNVKQATLGYEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPSAKAKAVAQETLVIWCSLASRLGLWALKAELEDLCFAVLQPQIFRQMRSDLASMWTPSSRVGNLRRLSAKSILNAEPDRKSLTPEYEASIDDVIVSMKDLLQAVLPFDLLLDRRKRVKYIQDLGSCAEVQTKPKVVRDAGIALASLAVCEEELERELFISTSYVPGMEVTLSSRLKSLYSIYSKMNRKDVSIDKVYDARALRVIVGDKSGTLNGQAVRCCYSLLNIIHRLWTPIDGEFDDYIVNPKPSGYQSLHTAVHGPENTPLEVQIRTQSMHEYAEHGVAAHWLYKEVGNKLPAKSSVIGSEITSSSYLSNDLEDKTPLDDHVFQKYSSLKAGHPVLRVEGSHLLAAVIVRVDEDGRDLLVAASFVLAASEAVADRRSSSQRKRWEAYARLYKKVSDEWWFEPGHGDWCTCLEKYTLCRDGMYHKQDQFQRLLPTFIQVIDLSESEEIEYWNVLSAVSEGKQLDSVSLNSSSSERSALSSGWSTPMEAGINNKVLLLRTMLQWEEQLRSEAGFQQPKLANVVPLGEIAVVCWPDGEIVRLRSGSTAADAATRVGLEGKLVSVNGQVVLPNTELKDGDVIEVRVR >KVH88400 pep supercontig:CcrdV1:scaffold_1001:111113:111463:1 gene:Ccrd_023905 transcript:KVH88400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTPPPLIIIFTAVTLFATVRSNPHFLRLPSDDVYLCPDTLTPGSCPVKCFRTAPVCGVNNVTYWCGCAEALCAGTRVAKLGFCNGGSGPVSGQALLLVHILWLILLGFFVLFGLL >KVH88395 pep supercontig:CcrdV1:scaffold_1001:133700:135646:1 gene:Ccrd_023908 transcript:KVH88395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MEGKEERKKTIESEPKAVPSPMVLSVDLHCIGCAKKIEKSLLQIRGVAGVKVDMVKNQVTVKGVVEPEAVCNKIMEKIKTSAKVLSPVPVPDPDPDPDPEKVVDSDHGCSSHDVELNVNMHCEACAQQLRRKILKMKGVSKVETEVRCGKVRVMGTMDGKKLVEYVYRRTKKQAKIVPHPQPHKAKEEGEVVEKEDEKDGDEERMMPAVNYLMMPAPQLFSDENPNACCIS >KVH88401 pep supercontig:CcrdV1:scaffold_1001:87843:95510:-1 gene:Ccrd_023902 transcript:KVH88401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 MDLQSNNPNDHGRNEQIVSQFLLKSLHIVLDSRIPSIRPSGGRRGNLSLGSQVKKSDKWFNLALGERPTALDSLNFWHRNLMEPMIIDIILVQEMPATAALGRNECTTSGYGLLVETLVERWVVQYESARTSHQLTESSVPYKKTYKKSIILLRSLYTMMRLLPAYRAYRKLSISTKACDFDINYKVSSFSAPFTRTEEQAMKQYSFFPVEAQNGRLSISVKYRESLADFNLETSTSFPPEIITDYVGSPATDPFRAFPMMDKGVHATSFPAREMQSPTSAPPQRPHSWTSGLSRGAPFTHNQSFGSPPAHRSSTGRYDISSSPTDVYGQRTQNYRPPTHHRGTSFDDYQLSPPFSPSPSPSPPTYISGGNYMQSRLRSETAPVSIPNPMIIRSPRYLSPNLSDPNRHSLPPPSPRSTRYELSSHESPSGIRSLKKSEMSKVGDLSSGSGSANQYSSHKVSRDNKDDSGRFSGLLSSSGSPRIGFSRSSSRLSLQDELDDIDFSCPFIVDDVDTCESPVSRSVGGKQGRELSSHAKKSQDAAVGALVRMLRTAPPLRQDSSCYSLSDGLEGEFNTASGFFIARKTSDALEELKAYRDMKDLILSKSGTRLVSKQEL >KVH88402 pep supercontig:CcrdV1:scaffold_1001:96875:101469:1 gene:Ccrd_023903 transcript:KVH88402 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein BIN4 [Source:Projected from Arabidopsis thaliana (AT5G24630) UniProtKB/Swiss-Prot;Acc:Q9FLU1] MSSSSREYSPDWLRNLQAPPTTSILTLSSSSESIPGVSEDEKDDQLPISSVIKKTSQVKSPNKKLNTKRNSLSENEASKRNTRFAVGKAPEKATKPQESKSSVWTLSSDSEHELSEPETGQHPKEEDKDDDVLDNVEESSLKGVSTVKSPKKRLRLEDMVPTDTKGTSLTKQENDGNIKVLERETTEKQTGPYISSSRLPLVLADKVQRSKALVECEGESIDLSGDLGSVGRVVISDSPSGNQDIFLDLKGVHYFSCGTIYKTTIIPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQSNVYEAETMVEGQGSARKKGKTAVKKPAKKVKRKAPAAKKTKTKK >KVH88398 pep supercontig:CcrdV1:scaffold_1001:125059:127333:1 gene:Ccrd_023907 transcript:KVH88398 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MPWMDHSAFCLSVIESIDNEWFFFCPKDRKYQNGQRLNRATATGYWKATGKDRVLLCYVAYFESMHDVKDDDPGNSTGDDVDLGVASPPSIVKSTRFRARRGDTRFLQCYSTTFGFENLLLVFSQMHLEHGSPYLGNHAAGDSGNRHNVMQYGTGTQDLMFLNTILTGSDQSSFEHPGCKEDLVAQASMPEHLAIGYPNNGPYMEDNRRPLNTGYQEYIATYSSPVFDVGNAENLILRRDIP >KVH88405 pep supercontig:CcrdV1:scaffold_1001:46743:52038:-1 gene:Ccrd_023898 transcript:KVH88405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLLEALKSPFLNTTLLNFNLNTHSSKYHLQLNSTISCSVSPDPWSLSDGNKPKPKPKSKNPKNPLSDDNARRIIKAKARYLSVLRRNQGSRALTPRWIKRSPEQMVQYLEDDRNGHLYGRHVVAAIQRVRSLSGLPDGSYDMRQVMASFVTKLTFREMCTVLKEQRNWRQVRDFLAWMKLQLSYRPSVIVYTLVLRTYGQTGKIKLAEQTFLEMLEAGCEPDEVACGTMLCAYAKWGRHKPMLSFFSAVQERGIVLSVAVYNFMLSSLQKKTFHPSVIEIWRQMVASGIMPNQFTYTVVICSLLKTGLTDEAFKTFKEMKAMKFVPEEVTYSLLITENSKKGVEEEALRLYQDMRQQKIVPSNFTCASLLSLYYKSGNYSKALSLFSEMERYKVVADEVIYGLLIRIYGKLGLYEDAMTTFEEIEKLGLLSDDKTYITMAQVHLNARNCEKALDVMEQMRSRKVEFSRFAYNVLLQCYVMKGDTEAAELTLHALSETGFPDCSSCTSMLTLYIKLGLTDKAKDFITQIRKNQVKFDKVLLKMVIKVYCKENMSSDVEQMIHELSKNCLFEDDRFIQTVSMAIHGDFMRLKADSDPLDQTGAMAYELLLTLYMVAGTASKMEETLKLLLKTANGLLVASQLVNNFIKEGDILFQLKILTEFQYQNLVSSFVVAVGLTFAAESLFDLLLKLDCKPEISTCSSMIYLYGKQNQLERAKQVFAAVVDAPAARKYLYNSMIDVFAKCAKVDEAYLFYKEENKKGHDIGDVAISMLVKTLASCGKHREAGNIINDCFCKNMELDAVAYNTFIKAMLDAGRLNFAASIYERMLKNDVAPSIQTFNTMITVHGRSRDLDKAIEMFNTARVKSVALDEKAYTNLICYYGKAGRSGEASILFNQMQEEGIKPGQVSYNIMMNVYASGGSYKEAAQLFCSMKKDGCSPDSFTYLALVRTYAASHKYMEAEEAIRLMEKQGISPSCTHYNLLLSAFAKAGLVEEVERVYKLLIRAGLTPDVGCYQTMLRVYLDHGYVEKGISLFKSINVKPDRFILSAAVHLYRSAGLALEAEGVLSFMNSLGIPFLKNLGIGIGLKIKVAD >KVH88399 pep supercontig:CcrdV1:scaffold_1001:101941:110866:-1 gene:Ccrd_023904 transcript:KVH88399 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, core MISLALPTSSSYTLTTTTAAIKIRLRTRAFLKKSTPQTQTQTQTQLQLSPLSPLVQNTLRVLEWDKLCDSVASFAATSLGQQATKADLLSLNQSYQDSLRLLSETNAAVEMHKHGGCVMDFTCIDTALVKSAVQHAHRGFPVDGLEAMALVAMFQLADSLQINLRAAIKEDSDLLLRFMPIAEMIMDMSVSRPLVKFIQKLVDEDGCVKDTASPSLKRSREQVRLLERKLCQLMESLISSEMKETSSVEVSNTDGRWCIKSGTDLKRSFDGLLLSSGSGTGTLVEPLSAVPLNDELQQARASVAKAEADVLLEITQKMQPDLDDIENLFNTTIRLDVINARATYSISFGGTYPELYSSEDSSVVSQLAETKWKLYLPKAYHPLLLQQHRQKLQKAMKDVSDATAEIRRRRQQAGIATQREEETYSSLSSLETQVAKLKKSSPVPVDIFVAQRTRVLVITGPNTGGKTIFLKTVGLAAMMAKSGMGIYVLSSEPVKMPWFDSVFADIGDEQSLSQSLSTFSGHLKQTSVGAGTNPLEGAALGMSLLECFAEAGALLTMATTHHGELKTLKYSNDAFENACMEFDEVNLKPTYRILWGIPGRSNAINIAERLELPNVVVDNARELHGTASAEINEVIEDMEKFKQQLHEHIQEARRHLKLARELHRNLVVTERRISEHATNQRYRKIQEISNAGGGARSMLHKKVRQLRASPNLASKGDHMVISSIQSTQREEDAVSTGMLSKSNVPLEKRSKVHMVKAGDMVHVSKLNKKATVLKVDPSKEEILVQVGNLKLKLTPGDVF >KVH88403 pep supercontig:CcrdV1:scaffold_1001:74667:81585:1 gene:Ccrd_023900 transcript:KVH88403 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MESSDAETGMVDCGVGTIVWVRRRNGSWWPGKILGPDELSASHLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKPFRCGEFDDCIERAEASQGMPPKKREKYARREDAILHALELEKQLLEKKYGKLGVQSNGLSNKLLGNVGKDTTVTPEFSCDNGKHVNSKMDLSLEDKRKGLPLQAQRVDSGSQLNVDDKSPDLRPRVRGLQDLGVGPIPSKYKLSALVASNGSHKHVVDDGVSADLHGLTPENRIPVGSKSLLEKRKRSQEGNTEESIGKRRDRRRPLVQVLQSSAKLPRIHPLQLQDDGGSATPLVGEEQREAVDPPAIGGNDPGMTVKSGDSFDYREILPDHVEISTPKSEKRDDSFPVGLNEENTTGSNEDTETDSSGTESLISDTYDAMAALSEVQLFLFSICALDEAEHIEFIPKAFGRSEAQGEHESMSDDSGLPDDSGDSLQTSVTVSKWQLKGKRNSRSLTKRYPNVSERKASMSSSHWVSFKGEDMYGVDGADSFDRNLRNQAIGHRRRDLDCSHDIINWEELTRNDQPCLKGYWEQSGEYHDSVYVSRQFGGRMKSMLIDVDVEVQSGYQREHVPMISLMSKLNEKAIVGHPIPIETLEDGSSDLILSAADELFGTESLGYDTTLQPWRTARRTAKCRVPRLSTLEEEERHDYVDEEDGKASAHKGRAGKVPKKPQRRSSSSSCSQKIRTLSSIGGSQQQSMDLKGEGEGVMKPESLPTAVACIPVKLVFSRLHEELVARHQ >KVH88404 pep supercontig:CcrdV1:scaffold_1001:85777:87395:-1 gene:Ccrd_023901 transcript:KVH88404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKSILVCAVVGFLGLLSALLAFVAEAKRIKGSQVTFSSPSECVYPRSPALALGLTAAVSLMIAQVIINVATGCICCTRAGPHQSTSNWTIALVCFVVSCNLIKFTFVIAFLLLLTGAALNDQHGEENMYFGSYYCYVVKPGVFAGAAGLSLASVILGIVYYLSLTSTKVNRNETIWHAPAQTGIVMGQPQQPSQDPVFVHEDTYARRQFS >KVH88397 pep supercontig:CcrdV1:scaffold_1001:112347:121957:-1 gene:Ccrd_023906 transcript:KVH88397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MSRFLRSHGAINALRSLNHIQQSPIRASSILNQSLLLSSNRFKSPSSSPSYVRHFRASRDPSNSYDTPPPVNWGIRIVPEKKAYVIERFGKYAKTLEPGIHLLIPFVDKIAYVHSLKEEAIPVPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPLYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVVGFSFQFWHMKSVCIRLMMHLLHHGSSTEVQVLLIAINEAAKDWGLQCLRYEIRDISPPRGVKAAMEMQAEAERKKRAQILESEGERQAHINIADGKKSSMMLEAQGEAEAILARAQATNKGIALVSQALKENGGVEVSSAASLRIAEQYINAFGNVAKEGTTLLLPMNASNPASMMAQALNIYKSLIGQDPGNASLPESTADGKKESYISGAEHESHSVNSSLGSPVFSLQNKRKN >KVH88409 pep supercontig:CcrdV1:scaffold_1001:150666:151475:1 gene:Ccrd_023911 transcript:KVH88409 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-like protein MSLPPQPPPPSLHKTLPKSFSDFIFSLFTLYASSPKLTSTYNHHRRFSRFPVIPLTKTSIHHFATPQSLSDWLRPRLPSDSFAAWGTRPGTKNIHNLWLELYEGETSLADSTPPLRTVQVVVVRVRDDKNRILIESHQELSNGDVRNRSRPLSEKMKPGETVEEAVARAVKEELGSIITASCLHDDILKIIPDSYSSKVEEKVSVSYPGLPACYVLHTVDAMVDGLPDSGFCTIEDEKKQLLDDKEEAEGAVSCTKHYWKWVDSNTVSF >KVE71617 pep supercontig:CcrdV1:scaffold_10011:4935:6137:-1 gene:Ccrd_023912 transcript:KVE71617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLARLYARSSHFSSLCKTLNHTPVRSRCLLEVGQRIYNSVGASSSRHGQCCSEFRNFTSGSELSXKSFNKISHLGVGYLKRPYVLGSHHQYTTNVVREQKSRKILYYLTGLVFAMVGCTYASVLLYRRFCQATGYGGTVQRRETVEEKIARHAQDGTVTN >KVE71152 pep supercontig:CcrdV1:scaffold_10014:300:3726:-1 gene:Ccrd_023913 transcript:KVE71152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein-like protein MDFLGLVNPTFPSGKKNASTITMITPTTNLELHEDVLNRLRRRSHRLHQITDNKDSQIVLGCAFFTGGIVHYPKVQSFNQTAAIVSSGLLLMAVMGILFPXVLHFTHTKVHRGKLELALSRFSSCIMLVAYASYLFFQLKSHVNLYDSIDEMLLSLQLEGLHDTVVKQVSGKDHVLNHLSIPAACFTHPEIIMVGLTEVGIVMGLEEEFGISVEEESAQTIATVQDAADLIEKLIXKK >KVH96734 pep supercontig:CcrdV1:scaffold_1002:133650:136225:-1 gene:Ccrd_001176 transcript:KVH96734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MVSVQESHDSNPGRFQITRPYYTPPPSSAASSPSRFQKHLGRSMRTVRSNLFHSEYTDSCSFASTQQPPTSLLSENLTDSVIDVHLQQLATATAKSKTSSSTVSSDDFLGISQVFSDFSAYSSDISGELQRLACLPEDSNENDSNQEQKPEPEPCFGFLQRENFSTEIIESISPEDLQPTVKICVDSLSSSSVAVKRSAAAKLRLLAKNRSENRALIGESGAVPALIPLLRCADPWTQEHAVTALLNLSLLDENKPLIAGAGAVKSLIYVLKTGTEVSKQNAACALLSLAMIDENKVSIGACGAIPPLVSLLINGSNRGKKDALTTLYKLCSMKANKKRAVTAGVVKPLVELVVAAGEATEEQGAVGMAEKAMVVLSSLAAVEEGRTAIVEEGGIPALVEVIEDGASVKGKEFAVVTLLQLCEGSARNRGLLVGEGAIPPLVALSQTGTARAKHKAETLLGYLREPRQEASCSSPK >KVH96733 pep supercontig:CcrdV1:scaffold_1002:36169:36895:-1 gene:Ccrd_001173 transcript:KVH96733 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c assembly protein MVNLVDTLLLGSGARASRFMIFSNLEHILTHISFSMVSIVITIHLITLLGNEIINPYDSSHKVMIATFLFLTGLLITCWIYSGHFSLKSLIFLSWSFSLILIVSYLKIRKNYLTPITVSSTIFSQGFVTLGLLIEIHQPTILVPALQSECLVMHVSMMILSYAALFCGSLLSVAILVITFRNIFYSYK >KVH96735 pep supercontig:CcrdV1:scaffold_1002:75646:76781:-1 gene:Ccrd_001175 transcript:KVH96735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MAFYSQEDSADDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKIEIKGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLSDLKDGREVSTDEGKSLAESEGLFFMETSALDSSNVTAAFETVVKEIYNILSRKVMQSQELKKAEPSLSGGGKTLLLETDSNQESEPKKVGCCSY >KVH96736 pep supercontig:CcrdV1:scaffold_1002:63235:64869:1 gene:Ccrd_001174 transcript:KVH96736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MQTSQKSRSSGAAIHRLYHQPDQVEPFCLTGSYYQILNNNGYPDAATSRRQDTSVQSFPDQFFTLESSPAVDFSAYNSPFAASVSSSSRSPFSPQGSSQSCVSNLHNSSPENTYGSPISNGFELALREMKMELLGPGSDFEDGSITSSFDNVNRYHKMLQMGISNLDLKQMLIACAHAMDDGAKEDVQGLMEVLQSKVSVDGEPIQRLGAYMLEGLRARQLSSGSVIYKKLRCYEPSAKELMSYMSILYEICPYYKFAYTSANVVIKEAMQNERQIHVIDFLIAQGSQWIQLIEDLAKRPGGPPRLRVTGVDDFDSSYARGGGLSLVGERLTKFAQSQGVPFEFHAAALSGSDVEWGNLKVEPGEALAVNFPYMLHHMPDESVSTTNHRDRLIRLVKRLSPKVVTLLEQESNTNTSSFSKRFEEALEYYTAMFESIDAKLPRNMDLRRDDRRRISAEENCVARDMVNIVACEDTDRVERHEPLDKWRFRLESAGFTSCPVSHSVVKAVQEVLSEFSECYRLGQREGALVLGWKNRPMVTCSAWR >KVE70584 pep supercontig:CcrdV1:scaffold_10025:15:1916:1 gene:Ccrd_023914 transcript:KVE70584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLDGIPKYLGNLCSLTSLYFYKNSAVVNFTDFLNNLSGCTSVIPSKAHFSNLYYVEYIDLSACNLGPLFPKWIQAHKNLNHLDISNNRISGTIPIEFWKTWPSQLTYLDLSSNNFNGKISDLSSNFGPMAMIDLRGMPSSMSKMTLLSYLDVSYNKLSGRIPSSTQLQSFEPSRYTGNAGLCGXPLAKSCPGDEVPPIVGERKSGEEDIDELQRWFYIGGCTGFXIGFWIACGALLLNRHGRRVFFHFVDSLKDWVYVKGV >KVE70583 pep supercontig:CcrdV1:scaffold_10025:2689:6421:-1 gene:Ccrd_023915 transcript:KVE70583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKPFLVDEVKKNSIKGLKLELQAKIEPPMYLTPSSELATAARIASEHLFASVKPYTPKSPFDRLFLLIFAIRHQVNKFEKDPQELKDIFKSGESDQEQKREFVLEGEKEEEDEEDDELDDESNEEEDEDEDEIEEDESGDGERERKERGGVGVEDKLLNIQEMKESMEDDESREYGVNKKKQVVKKMARKFGEDDEEEDDDEDDDDELGVLELAGEEDMSDAEDAG >KVE70388 pep supercontig:CcrdV1:scaffold_10028:3905:6537:-1 gene:Ccrd_023918 transcript:KVE70388 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain, type 1 TVSVRSHFSSKQCFLPDEVSAISANPDERVVDLQGEAPKVLKALEAVVGHLRKFLVDRSVLPLFEKTNNVAATQEHQVETWADKPMMRSGSQHGIGGDYSLPVRRETLFLDREPQRESQFSSHGLSLYGRDPGLPTTRSPALGRTGGPIVTQIAQTMQIPLVYAEDIIGVGGTNIAYIRRTSGAILTVQESRGLPDEITVEIKGTSSQECVNGHKESIPSSYGKMDSGLRSSYSQMGGNSSYQSSSAYGGQSYGGGYGGSGLGGYSSYRM >KVE70390 pep supercontig:CcrdV1:scaffold_10028:7:3427:1 gene:Ccrd_023916 transcript:KVE70390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVYGMMGRYQEVSDVRHNMRQQNVKKTPGCSWIEFGDGMHVFGTGDRTHPDEELIYSMLSSLYMSMYMYSDEVTIVGVLSGCNYGDLSRYNIVSMVKHCTCVIDMLGRAGLLE >KVE70389 pep supercontig:CcrdV1:scaffold_10028:3449:6211:1 gene:Ccrd_023917 transcript:KVE70389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKTNSVVWQAFLAACHLRGDANLAKIAARKVIDLEWELRVDDHPYDQVQENELWVAQDHDHTMKVHGMKIVILVGVHGQEIEFPSSLEENNHLLFHAVSQNASW >KVI06681 pep supercontig:CcrdV1:scaffold_1003:99916:100784:1 gene:Ccrd_014967 transcript:KVI06681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFYLNKIFSLIITRMMKKIIGITMSSLDWFRLLSNYDELEHEYLIVVIGMVSLVTNFTNDVIALNLSCGMLRGTIDPNSTLFNLSHLQTLNLAFNNLTNSQLPREIRRLSNSLTHLNISYTGFIGRVPTDITILRKLVSLDLSRNHLKLEPHVFYYLLYNSTSLEELFLNKVNISSILPTYLNPSSMKSLHLSSTGLLRKLPNNIFHLPYLEELDLSYNYDLIGRFPKAYTNTSIPLKLLDLSYNNLSR >KVI06680 pep supercontig:CcrdV1:scaffold_1003:93736:98388:1 gene:Ccrd_014966 transcript:KVI06680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMNWNTSIDCCNWDGVTCHHFTNDVIALDLSCGMLRGTIHSNSTIFNLPHLQTLNLAFNNLINSQLPRENGRFSNSLIHLNLSDSGFTGQVPMDITILRKLVSLDLSWNDLKFEPHIFYNLLHNSSSLEELSLNDVNISSILPTYLNLSSMKLLHLFRTGLLGKLPDNIFNLSYLEELDLSGNDDLTGRFPKIYTNISIPLKLLDLSNTKLSGEIPDSIGHLKSLNYLLLLGTNLSGEILESISHLKSLTYLDLSYTNLSGQIPNSISNLKSLGDLDLSYTNLSGEIPDSIGHLKSLTRLYLSVTNLSGEIPESIGHLKSLIRLDLSHTNLSGEIPESIGYLKSLIRLDLSYTNLLGKIPDSIGHLKSLTDLYLSDTNLSGEIPNSIGHMKSLTHLYLSDTNLSGEIPESIGHLKSLEFLDLSNTKLAGEIPHSIDDLKSLYYLDMHSNLIQGSFPPSICNMNNLSYLDMSDNRFDGEIPQCLGNNSLNLEMVDLGNNNFYGTIPNTWVDCGVLEGLILNGNSLEGEVPSGLSKCESLRVLDLGNNYLNGTFPHWLANLQHLQVLVLKSNKLHGPVETSSIIKHPFSSLKVLDLSQNKFVGHLPRTYFQNFDAMKNGVKKSRELEYLNIGKFYSITIAVKGSELPFPKISVDYTIVDLSSNIFEGEIPDVIGGLYSLIVLNLSHNNLNDRIHEALGNLLEIESLDLSCNHLVGEIPQSLAFITDLEVLNLSQNHLVGPIPDGTQFKTFEATSFEGNPGLCGFPLPRCEHQSAPLLEVDRDEKTRFTWKVVMLGYGCGTLLGLGMGYMMLSMGRPKWFNAIADELEHIILWRQKKRRHVKILVKS >KVI06682 pep supercontig:CcrdV1:scaffold_1003:9334:14042:1 gene:Ccrd_014963 transcript:KVI06682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF760 METATALRSSFGTCIRPSNRNDLGRSRNGSIAFATVSNKRFPSFSHPRVGLRSVYFPSNKKRTAIKASLSSDSAGPTSPIAPLQLESPIGQLLSQILVSHPHLVPAAVEQQLEQLQTDRDAQEQNEEPAAPSTDLVLYRRIAEVKANERKKTLEEILYALVVQKFMDANVSLVPSVAPSPSASFKPVDAWPSQDEKLELLHSPEALEMIHNHLALILGNRVGDSTSVAEISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTTKILPEDVEKEDINSNIQQQGSGDERVPNASSPQTHPEVSSWSGGGFTQAVKPSRLRNYVMSFDGESLQRYATIRSKEAVSIIEKHTEALFGRPEIVITAEGTVDSSKDEVIKISFGGLRRLVLEAVTFGSFLWDVESYVDSRYHFVGN >KVE70009 pep supercontig:CcrdV1:scaffold_10033:1772:4595:-1 gene:Ccrd_023919 transcript:KVE70009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1446 MYLGAAPIVECLEKYNPNVVITSRVADAALFLAPMVYELGWNWDSFLLLAQGSLAGHLLEYAHSIYLLITGDKYRDIPYANLLDLSLPFAEITCHGEVCVAKADGNGGSLSTNKILCAGAKPAAAS >KVE69748 pep supercontig:CcrdV1:scaffold_10037:590:2269:-1 gene:Ccrd_023920 transcript:KVE69748 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MIFIESACEIGTTTSGKNETTCGISMTRARKRPKNLPPGPTPFPIIGNLHLLGDHPNQSLAKLAKIHGPIMFLKLGRINTLVISSAAAAKEVLQKQDLAFSTRHIPDALHAHDHSINSSAWMPVGAQWRTLRRILNNNIVSGDALNANQHLRNQKVQQLIAYCRKASQCNESVDIGRAAFRTSLNLLSNTVFSKDLIDPFEDSGKEFKEVFGNIMIEAAKPNLVDFFPVFKKMDPQGIRRRMSGHFGKVFEMIEEMMKERLVMKRWKQEDDVLDRCLKI >KVH88394 pep supercontig:CcrdV1:scaffold_1004:46960:51459:1 gene:Ccrd_023921 transcript:KVH88394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MVALKKSLGEEVYNMKFGGEVELIAGLDQFKAAVASNASVVLFKTTSDLQCKQISPFLDTLCTRYPSINFLKLERWTESVRDYEVLRRELPNNNDISESLFHAQVALKKSLGEEVGLRLLLVPFDSNIVVRTAWTFTIFGHTRQHLSLGPHIGEDLSNLIHQQGDEIEHYLQEEELRRKFVKKKQQHYFVLIRAAKESASQMIKGQGGKGGESRFAQVWQAKARVQEAVSAALQV >KVE69410 pep supercontig:CcrdV1:scaffold_10044:20:3380:1 gene:Ccrd_023922 transcript:KVE69410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQNVKKTPGCSWIEFGDGMHVFGTGDRTHPDEELIYSMLSSLYMSMYMYSDEVTIVGVLSGCNYGDLSRYNIVSMVKHCTCVIDMLGRAGLLE >KVE69409 pep supercontig:CcrdV1:scaffold_10044:3858:6490:-1 gene:Ccrd_023924 transcript:KVE69409 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain, type 1 TVSVRSHFSSKQCFLPDEVSAISANPDERVVDLQGEAPKVLKALEAVVGHLRKFLVDRSVLPLFEKTNNVAATQEHQVETWADKPMMRSGSQHGIGGDYSLPVRRETLFLDREPQRESQFSSHGLSLYGRDPGLPTTRSPALGRTGGPIVTQIAQTMQIPLVYAEDIIGVGGTNIAYIRRTSGAILTVQESRGLPDEITVEIKGTSSQECVNGHKESIPSSYGKMDSGLRSSYSQMGGNSSYQSSSAYGGQSYGGGYGGSGLGGYSSYRM >KVE69411 pep supercontig:CcrdV1:scaffold_10044:3402:6164:1 gene:Ccrd_023923 transcript:KVE69411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKTNSVVWQAFLAACHLRGDANLAKIAARKVIDLEWELRVDDHPYDQVQENELWVAQDHDHTMKVHGMKIVILVGVHGQEIEFPSSLEENNHLLFHAVSQNASW >KVE69140 pep supercontig:CcrdV1:scaffold_10055:1847:3073:-1 gene:Ccrd_023925 transcript:KVE69140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MFLGSGRTSTIITGSRSVVGGSTTFNSATVAAVGTGFLARDITFQNTAGPSGQQAVALRVGSDLSAFYRCDMIAYQDTLYVHSNRQFYINCYIAGTVDFIFGNAAAVLQDCDIQARRPNPNQRNMVTAQGRSDPNQNTGIVIQRSRIGGTSDLVAVQGSFPTYLGRPWREYSRTVVMQSTISNVINPAGWYPWNGEFALATLYYGEYENTGAGADTSNRVRWGGYRVITSATEAQGFTAENFIGGGNWLAGTGFPFSLGL >KVI04576 pep supercontig:CcrdV1:scaffold_1006:98405:102417:1 gene:Ccrd_017108 transcript:KVI04576 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MGEVLINSDGGEGIADMPELMMRSEDRTNDGGGGGDSPTTTTNNVVRWEKFLPKMVLRVLLVEADDSTRQIITALLRKCSYKVAAVSDGLKAWEVLKGRSHNIDLILTEVELPSISGFALLTLIMEHPVCKNIPVIMMSAHDSVSTVYKCMLRGAADFLVKPVRKNELKNLWQHVWRRQASTAGGNGHPEESDAQQKVEATAENNATSNRSSGSCTKPDMEADGPPVEQLKELTDLKWANQSGTQNNEAEGSAKDDTQKMVNTCSQEKNMAIDVRWENVVDANVAIGNTSREAIDLIGSFDSYPKPSYKSSLNCGANKIDHPSPILDLSLRRSHPSSSVNQFSDDRHRLKQSDVSAFSRYINRTQPPLTSGSTSISNQQKDCEINSDKPPSKNVVEHNYDTHSAPVGNSRHHMMSQHGQVESQRQFPSPKDRVFPVPVPARGLRSENPGATYGSVLPPPFSVQPSLNQMRSPCSSEHQEPSLFQAPELHCVMADRKATDQMETVEDRGIISSATDHSLSSSFCNGGTLNRLNSIGSGSNGSGNTQRSSHREAALNKFRMKRKDRCYDKKVRYESRKKLAEQRPRVKGQFVRQGQTSNLSPMEVDTE >KVI04575 pep supercontig:CcrdV1:scaffold_1006:119597:121486:-1 gene:Ccrd_017109 transcript:KVI04575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MNKMGSSSAHVNVPVEAKEDESFLFAMQLATASVLPMALKSAIELDLLETIAKAGPTASLSSSQLAAQLPKVNNPEAPVMLDRICSLLASHSVLTCTLKDLADGGVERLYGLAPVCKFLIKNQDGVSMAPLLLMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMSAFEYHGKDPRFNKVFNSGMFNHSTMTMKKIVDLYDGFNGLTTLVDVGGGTGASLNMIIAKHASLKGIEHVGGDMFESVPKGDAIFMKWILHDWSDAHCLKFLKNCYEALPANGKVIVAECILPEAPDSTMATQNVVHIDVIMLAHNPGGKERTEKEFEALAKGAGFKGFHKAACALNTWVMEFCK >KVI04572 pep supercontig:CcrdV1:scaffold_1006:25916:27396:-1 gene:Ccrd_017105 transcript:KVI04572 gene_biotype:protein_coding transcript_biotype:protein_coding description:START-like domain-containing protein MDIENAEEPSLLSRNNPNCSPSIVEKEENYVPTDEDLKHLWHLVERKDGGPPWKHMMDRSTNRMRYQAWQRDPESGPTQYCTKTVYKNATPELMRDFRLKWDDMLSHAATVDEFPTAGASVVHWIRNDREYTISRRIWELEGSSYCVTKTNAKLPPLCLNHRSLPSCSRECPAHQSQESRSHSVLIFITKFGVRQGMWGAAKNVDRGFRSYQKERANPKTISVHVVMAQMSTKIDENHLHSLESDEEDLNVDMAETQVAVRQEKWAADMVPKLLVIGGAIMWTVDKSRYTRELLGGLPPYHAPGDESLF >KVI04577 pep supercontig:CcrdV1:scaffold_1006:143179:148651:-1 gene:Ccrd_017110 transcript:KVI04577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFLGFDCTEEQMMGLGSFAASSSSSSSNLSPLAPPFTVERSNYNKLNLSSNRDSHFNGTSDGVPFTSPWPNFHSSTARQGLLADSIRTATVPSANSYMVPELINSPTTYNWDSLHSVIKSGLEPINAPASHLSAMNPNPQPLNAPAPQLSAINPNPQRVIDSSSYNRTEPFYYPCVSWSSDEDDAPLAPLNEDFSHNRGLFHGPGSSQVDYSQHLSGLKYNAPQVGTWGGLADGSRGNNLDAEQSFFSEEANVAGSLACRSYMNQGAYDVESLSKGKEDPAMFPGRHSNLVREKNIGPSIVGKSHGASFSAVNQGLNLNGFSKELTFTAFPEFSESHPLVPSPEPPKEPWNNHSSYTPYGTRSLFDTYTNLKPPSITKSLPSVVIKPPASLSTFSAQGAVSSKNVEISSTPAFNSNDVLVSHKPLKEKESHLPLGFEAKGSSLALNQLSFQIGRSDDHVLVDSSARRDASNMMSTDDQLDFKFKSIPNVQFPDINITKDGKSATNLSEHLDHHNPAEDSPCWKGAPTHFSPFGSPDAEPPQHPMKKRHEHSVSNERILASKPTEKDHKSGGAVVVGLDSVEASKGQAQSSCDMARHRKEYSFLSEPSSNANSQASKLKLPNVNEDVLLSGMIIRSTDGIVGQTLHASIENAADIASAENFDVNVIVKALNNLSELLLLHCSKDECALKEQDRNALDHIVGNLNGAFVGKSQVTNGSSGIFYDQAGHLSALHMERNNDHFAKNIEKLQGASLRDIDIQKDHNMVQTIKKVLDENLECKEDLPSHNLLYKNLWLEAEAELCALSYKARFHRVKREMAISEASDPDVSEVSSDIKKISNSNFSLISPKLIDEASGSKPSNIPPHNPSLPNFDGNIDDVESSVMARFNILKRREESKPVNTPEKEAVDVEVSGNDIQSSEVAEGPHLPHDLGKDDAVVIYSHGNQKMQHQLALDTKDVEHSIMARLNVLKGRGESDPVNVEWQVLADGAHFQHQAGKAEDKMGFVGTSVMQNRFESGLYSPSYNASSDWEHVLKDEFS >KVI04574 pep supercontig:CcrdV1:scaffold_1006:75487:78786:1 gene:Ccrd_017106 transcript:KVI04574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKSSKWLHRLRSSRGFPDSDHINLEHFLSNSLHKIDPQTSTDSAPLDKRPRLEKRDDGEAIQGRDIINNVLSELFQMGEFQDSSRIKRKKNCRKQQCPRICVLSTNSDAQDVPVQKDKDSSPLLPLTLTNRRKVKELNQELKVTERVEEEEEKGYWDLSAYSQTEVTVIDTSVPSWKFEKMLYRRKNVWKVGDKKGKGLMSGDRKKRKELMNENGDVEKKKLKHCSSSSKSGDVEEGGLKKKKKKRLKACNSSKYVENDEAIVRSKSTQGHEQCKTLVAVHDKKQDNCSQVQEKRHVMSYHT >KVI04573 pep supercontig:CcrdV1:scaffold_1006:84330:85014:-1 gene:Ccrd_017107 transcript:KVI04573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLARFTSSSHLVANNRPPNLETLPSITVVRRRRIYPPMAMDYTVELESVVTQLEEEHACYIVEGSGNG >KVH92730 pep supercontig:CcrdV1:scaffold_1007:122987:125733:1 gene:Ccrd_005227 transcript:KVH92730 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTGDSLELPPGFRFHPTDEELVMHYLCRKCASQTISVPIIAEIDLYKFDPWQLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKAVGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRSAGKRNNNLRLDDWVLCRIYNKKGVLEKHLTSDVKSTSFSEMEIETKPKITPYSPIENVSSQHRPSSIPHHVMDDIFNFDTSESVPTLHTDSSSEHEKEVQSEVKKDEYQFNYMDPFTDDAFTPQSQYYNDYQLSPLQDIFTFMPKSFQM >KVH92732 pep supercontig:CcrdV1:scaffold_1007:85990:91921:-1 gene:Ccrd_005225 transcript:KVH92732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MidA MLRRFIGQASVAQRCRHLSGRQELVDSVRRCSSSSAAGLTKVPLGTTVEQAVDAQYSDDYTSQPSAKISVDRSGLNNPPEHSHEPSTDSELVKHLKSIIKFRGGPISVAEYMEEVLINPKAGFYINRDVFGAEGDFITSPEVSQMFGEMVGVWAMCLWEQMGQPNRVNLVEFGPGRGTLMADLLRGAKKFEKFTEALHIHMIEVSPALKKIQKLTLKCNDDDGDENTVSALTGTRVSWHATLEEVPTGLPTIIIAHEFYDALPVHQFQKASRGWCEKMIDVAEDSKFHVVLSPQPTPATLYLLKRCKWARTEELSKLEHVEVCPKAMDLTQNIAKRISTDGGGALIIDYGLDGIVSDSLQAIRKHKFVNLLDDPGSADLSAYVDFPAIRHSAEEVSEDVSVYGPITQSQFLGNLGINFRVEALLQNCTEEQAESLRTGYWRLVGEGEAPFWEGPDELTPIGMGSRYLAMAIVNKKQGTPVPFQ >KVH92731 pep supercontig:CcrdV1:scaffold_1007:108689:118636:1 gene:Ccrd_005226 transcript:KVH92731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II Clp1 LCQVKSAPFRIFVEPATIRYPRPVCRPGPRGGHGFSSDLPQGRSVEVIASALQNHCCGIRSIMAFGGPPLGPAAAAGSSPAIKQVKLEKESELRIEIFTWYGATIEMQDNTETVYVADETPMVSYVNVHAVLEGRRNRAKASASDADASQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITLPGCIAASPIDMPIDPVEGIPLEMPLVYFYGHNTPTVNADLYRVLVKELAVILERQFAGNTESRAAGMLLLHAIDTFQATVVLVLGQEKLCSMLRDVLKRKPNVDVVKLQRSGGVVSRNSKFRASARSQRIREYFYGLSNDLSPHSSIASFSDLAIYKIGGGPQAPASALPIGAQPVADPMRLVPVNINRDLLNLVLAVSFAKEQDQILSRYCQPPSDILKTFYEENNVSRTIGWGTSEQILVDGKLNMDRNLKLLIPVANF >KVH92737 pep supercontig:CcrdV1:scaffold_1007:9897:11802:1 gene:Ccrd_005220 transcript:KVH92737 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MAVHFNNSDHPCEFPAISKCETFGRENQTVVSDLDGTLLSGRSSFPYFALVAFEVGGVLRLLFLLLASPIAGILYYFISESAGIRVLIFATFAGMKVSDIESVARAVLPKFYSVDLHPEAWRVFSAFGKRCVLTANPTVMVEPFLKEFLAADLVLGTEIGICKGRATGLVKSPGVLVGKNKADALLKAFKDAPLPDVGLGDRKTDYPYMKLCKPVTVDKLPKPVVFHDGRLVHKLTPLTALITILWIPVGFLLACLRIAAGALLPMPLVYYAFWALGVRVTIKGTPPPPAKKSTGQTGVLFICSHRTLLDPIFLSVALGRPIPAVTYSLSRLSEIISPIKTVRLTRNRTSDAEMIKKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDELVPVAMANKMTMFHGTTARGWKGMDPFYFFMNPSPAYEVNFLNKLPYELTCATGKSSHDVANYIQRMIASTLSYECTNFTRKDKYRALAGNDGTVAVAVAGKGEVASGKIMGC >KVH92734 pep supercontig:CcrdV1:scaffold_1007:60967:66433:-1 gene:Ccrd_005223 transcript:KVH92734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFPNQSTVRLKSYRDKYLIAENNQESVSQSRDGSTKNSLWMVEVIDEDCILLKSCYGKYLTASNKPSIPGMRARYLKVTQTRLGVSNPDYPVTVDDSSITGKWNSALQWVPLMTEVPSEVRLKTHYGNYLQSNKGPPPLGNMVTHDLPRKEGPMNKRISWQVELVDSPSDNWKEPETIKSRMTFYARAADLNAIHKSQPDNVHHLSPLFEPSIQSSCVCVFITLLSPFCQSETTIQSGGMEYFIRANAVRLRSHHRKYLLADDDGEHVSQDRQGTVKNARWTVEFDENYDGVIRLKSCYGRYLTASEDPHILGVTGQKVIQSIPPRIDSSVEWEPVLEGSKVRLKTRYGNYLRANGGVPPWRNSITHDIPHRHHNWILWEVEVVEIRLGPLPKKSNSSETDLDLEGSFHLTSIPISQESNSKNEGRMIYYKMVDDDGEIVDAEGSFLFKGNCIQELTQNLEEETELENITVCSRSPLNGKLYPLRLALPPNNTTMHVXVRQRISSRFYMTTTEYY >KVH92735 pep supercontig:CcrdV1:scaffold_1007:33707:55372:-1 gene:Ccrd_005222 transcript:KVH92735 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold WPICDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEQQHLLHDRRKVYEFNNPCLLMEILFSISNLFLRLKFQCLESYGIPVPRYALVNREEPYQELDYFVEEEDFVEVHGHRFWKPFVEKPIHGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGCYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQGVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLFLDAKAPHLSTAIPPILPWKVNEPAQPSEGLTRQGSGIIGSFGQAEELRCVKLKVTEEKLLNLMLKYNGGRPRVETKLKSAVQLQDVLDATRILVPHTRPGLGSDSEAEYIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKGDTEEERPIEALMILKYGGVLTHAGRKQKNLADIFATICIQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIKMQEAKARLKELITSGAKLVHANGSSKKPWMIDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDEKLTETTSYDVIPPYDQAKALDGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAINVAELKSQCQDTSSIAVVKEHRDHRQKNVIKNEESRRTCLTSEKSMDPDDDDDKEIKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDGSLKGEASLVCDSALERLLKTKELDYMSYIVKLEDPKRYRIEMTFSRGADLSPLEASSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPTIPQNFTGYFKSAGVLERLGDRNCSMVVGTSRSYLSIDGFEIPSLSVSLLFLILYQKL >KVH92738 pep supercontig:CcrdV1:scaffold_1007:144114:148816:-1 gene:Ccrd_005229 transcript:KVH92738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic porin/Tom40 MLRTDLLYRDYQGDHKFTITTYSPTGVAITSSGTKKGESFLADVNTQLKRNNITTDIKVDTNSNLSTTIVVDEPAPGLKAILSFKVPDQRSGKLELQYLHDYAGICTSIGLTANPIVNFSGVVGTNITAIGTDVSFDTKTGNFTKNDKGDSLNASYYHIVKPLTNTSVGAEVNHIFSTNENTITVGTQHALDPLTTVKARINNLGKANALIQHEWRPKSLFTISGEVDTKAIDKSAKFGLALALKP >KVH92736 pep supercontig:CcrdV1:scaffold_1007:10229:11084:-1 gene:Ccrd_005221 transcript:KVH92736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSAPAAIRKHARRKPTGIQRMVIRAVSGSVFRSPSPTSGSGASLNAFKRASALFFPTSTPGLFTSPVALPLQIPISVPNTKSAAKNSFRNGSTITVGFAVSTHRFPNAENTRHASGCKSTE >KVH92739 pep supercontig:CcrdV1:scaffold_1007:134533:139760:1 gene:Ccrd_005228 transcript:KVH92739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGQSLGCIQVQQSSVAVKEHFGKFDDVLQPGCHCLPWCLGYRLAGKLSLRVNQLDVHCETKTKDNVFVTMVASIQYRALSDKAADAFYKLSNTKQQIQAYVFDVIRASVPKLQLDDVFLQKDDIARGVEEELEKAMSGYGFEIVQTLIVDIEPDPHVKRSMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSNNVPGTSAKDVMDMVLLTQYFDTMKEIGASSKSSAVFLPHGPGAVKDIASQIREGLLQAESVHE >KVH92733 pep supercontig:CcrdV1:scaffold_1007:78481:82655:1 gene:Ccrd_005224 transcript:KVH92733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKKLRSFYMHLRHPHTIKRKWIYPLAVGSTVFLFLLFLITLTSLDGNRIYGYYATAGSSVFVEDKLSPIGISDVPHPPRFAYLISGSNHDGVMLRRTLLALYHPNNRYVVHLDAESSPEERLELHEFVKNHPVFVKFGNVVMITKANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHTFSSIPRDLNFIDHTSNIGWKEFQRAKPVIVDPGLYMTEKTDVFWITQRRSVPTAFKLFTGSAWMVLSRPFIDFCIWGWDNLPRTVLMYYANFISSPEGYFHTVLCNAKEFRNTTVNSDLHFITWDNPPKQHPHYLTSEDMPRMINSNAPFARKFHQDEPVLDMIDSELLLRGPDMIVPGGWCSGSRENGSDPCSMVGNLTLLRPTPGAKRLETLVTSLLSDENFRPRQCR >KVE67507 pep supercontig:CcrdV1:scaffold_10078:1441:1963:1 gene:Ccrd_023926 transcript:KVE67507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily ILARFSRNEFSSTIGVEFQTRTLVIKHKSVKAQIRDTAGQERIRGQVMALHAAYLSKPACTWMLITTACS >KVI10562 pep supercontig:CcrdV1:scaffold_1008:48193:56532:-1 gene:Ccrd_011033 transcript:KVI10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MAAGPEISGEESTTVAAPPPPPPAVVRQSSPKTDVTEVKINESENINVANPDDDDDDDVVNDVESKKGSDSDPKSEMKMQDIVDMLSTLKLNPMAKEFFPSSYSPIYRNDDQPELNLNFFTPAYYTNSTGDGIEGYPNNRRRRNTYSQGRRRLNGRAFRAQREDSIRRTVYVSDIDHNVTEERLAALFSAYGQVLDCRVCGDPHSRLRFAFVEFADESNKAYMLSLMLADSARAALNLCGIMLGFSQVRVLPSKTAILPVNPTFLPRSEDEKEMCARTVYCTNIDKKVSQAEVKNFFEARCGEVSRIRLLGDHVHSTRIAFVEFVMAESAIMALDCCGQTLGSQPIRVSPSKTPVRPRVARSLPTN >KVI10566 pep supercontig:CcrdV1:scaffold_1008:20368:22977:1 gene:Ccrd_011037 transcript:KVI10566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MAVSAKPPTVASCHSDLSNSLHRNCMSNTIKFSISLRTCKLSLKKNNEISVASTDSIDTRDPNSVICDLCLSGNLEQALTQLNTMKELQLTVDEETFISLVELCERKRAEPEGCQVYSLISNSTTHLSIRLGNSLLSMFVRLRNLVDAWYVFGKMSERDVFSWNVLIGGYAKAGYFDEALNLYHRMLWDGQRPDVYTFPTCEFIGDERLGKVVHGYAAKHDFGKDVSISNSLIQLYSSIGAWEEAEKVFTRVESKDVVSWTSMISGYENNGLPEKAVEIYKKMETEGVEPDEITIASVLSACASLGRLDMGIELHEFAKRTGLLSYVIVTNALIDLYSKCKLIDKALEVFQLIPNKNVISWTSIILGLRINNRSVEALSFFRRMKASLMPNSITLISVLSACGRIGALMTGKEIHAYALRIGLGFDGFLPNALLDMYVRCGRMDIACNQFNSHEKDIASWNTLLTGYAERGQGKDAIELFNKMDVKPDAVTFISLLCACGRSGMVANGLDYFNRMTEDYGLAPNVKHYACVVDLLGRAGKLEEAHRFIEKMPIKPDQAIWGALLNACRIHKQVELGEVAAQHILDMDEPESVGYYGLLCDFYADIGKWDEVGRVKKLMREKGVTVDPGCSWVEVKGSIHAFLTGDQNHPQVKEISAVLDGFYEKMEEVGFDEVDGPKAEILCGHSERLAIGFGLINTAPGMPIRVTKNLYMCENCHKMVKFISKVVRREIGVRDTESFHLFKDGSCSCGDAGYKPKTETTR >KVI10568 pep supercontig:CcrdV1:scaffold_1008:24648:30979:-1 gene:Ccrd_011035 transcript:KVI10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase DA2 [Source:Projected from Arabidopsis thaliana (AT1G78420) UniProtKB/Swiss-Prot;Acc:Q93YV5] MGNKLGRRRQLVDDKYTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGDDDCSCDLEECPICFLIKMLHQRHLYRVFPADEDPQFYSSHAVSLLVYDFQIFIVVDLVSVPNYVVRVFNFLTILRCPFCKTLNYAVEYRGMKTKEEKGQEQIEEQRVIEAKIRMRQQEIQDEEERMLKRQDMSSSSRIIEPSEAEYFSRAVTSSSEGEEIVSVPQQGATTVRHPQRLRENREDEFDLDPENIMLMEAIWLSYQEDGKHQHHSSNYGDAAQLAKYATEVRVLASMAPQAAESSSSTSSPSGGLACAIAALAERQQMGGESSTNYNSYGGNISTYNVQHPTGLSLDNHLGIRSEGEWVQDSSAMGENAYGYDNSNAIDDDGSRYGQQDDEEEMENGFGGTIVVPESFEEQMMLAMAVSLAEARARTSTPEVAWI >KVI10569 pep supercontig:CcrdV1:scaffold_1008:41954:42247:-1 gene:Ccrd_011034 transcript:KVI10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MARSGRGNSVKVVIINTEYIQTDAMSFKSVVQRLTGKDSPPPSSPTNDELSHGGGCDGGGGGGGKSPMLKKGMSFRDLDKLLLELPSMDDIYQFCAD >KVI10570 pep supercontig:CcrdV1:scaffold_1008:129749:133306:-1 gene:Ccrd_011029 transcript:KVI10570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MVYDYYASGAEDQPRILIDVSKIDMTTTILGFKVSMPILIAPTAMQKMAHPEGKQSNTLSSWATSSVEEVASTGPGIRFFQLYVYKNRNVVAQLVRRAEQAGFKAIALTANDSGLASYVAGQIDRTLSWKDVKWLQTITTMPILVKGVITAEDTRLAIQAGAAGIIVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFVSIACTTFALQLSRDTKQSGFIMVLNHPNTIGRPVVFSLAAEGEAGVKKVLQMLRDEFELTMALSGCTSLKQITRNHIVTEWDAPRARPAPRL >KVI10567 pep supercontig:CcrdV1:scaffold_1008:24488:26151:1 gene:Ccrd_011036 transcript:KVI10567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA IIELQVHVDCAGCENKIRKSLQKLKGVENVEIDMGLQKVTVTGYADEKKVLKTVRKTGRRAEIWNVPYNPDIRSHNYNVNQYAQQQQQQQNGNGGSGTGGPTATFYTRQPSSSSSYNYYKHGYDNHQSYNPIQSSGLIGHQTGAAFSDENTNAACNIM >KVI10563 pep supercontig:CcrdV1:scaffold_1008:96481:98280:1 gene:Ccrd_011032 transcript:KVI10563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MAAASSSTSTWNNPRTRFLSSSKQVFAKSSSNSISFSNKPIAPISQNPIRSALQTPSILQLPKQSIGKASTGAIQMPSSPAVQQNWNLLQKVAAMALDAVENGLIAREKQHPLPKTADPNVQIAGNFSPVPEQPVRHCLPVVGKIPESIQGVYLRNGANPLFEPTSGHHLFDGDGMIYAVKFDNGSASYACRFTETQRLVQERALGKPVFPKAIGELHGHSGIAKLLLFYARGLCGLVDHSQGIGVANAGLVYFNNRLLAMSEDDLPYHVSVTPSGDLKTAGRYNFDDQLDSTMIAHPKLDPVSGELFALSYDVIQKPYLKYFWFSPDGKKSNDVAIDLGKPTMVHDFAITENFVVVPDHQVVFKMSEMITGGSPVVYDKEKVSRFGVLDKYAADGSGIKWVEVPDCFCFHLWNAWEEPESDEVVVIGSCMTPADSIFNECBEEXKSVLSEIRXNXXTGXSXRRXIISPENDVNLEAGMVNKNLLGRKSKYAYLAIAEPWPKVSGFAKVDLATGDTNKFFYGDEKYGGEPLFLPSNPNSDAEDDGHILAFVHDEKTWESELQIVNAMTMELEATVKLPSRVPYGFHGTFITAKDLATQA >KVI10564 pep supercontig:CcrdV1:scaffold_1008:115298:127890:-1 gene:Ccrd_011031 transcript:KVI10564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bystin MAFHRTSSPFESFSFSNAATRLSYMTAASKRMPKSSSGVLKDKIIQQVFGAAKKTSIFLLNLIRRSSMGKKRERHQNPEPFLTDDTNKSVSKKRNKPAKQHQSEEKLISAGMSSKILKEALIQQKEIQEETDAQNPNNIVFPEEPVTQAQVDEDDDVDNFAGFSDNHSQFGGCEEEINEDEEKLLEAFFSKDARPQRTLADVIVEKIKEKDTASSGLSAVTQPLPKLDDSIIEIYKRCYVYMSILRYLVILFIMKLINVLTWVCSVGEIFKKYTSGKLPLAFKSIPAKQHWEELLYLTEPEKWSPNAMYQATRILASNMSSKKVERFYKFVLLPRIRQDIRKNKKLHFALYQALKKAVYKPAAFNKGILFPICESRTCNLREAVIIGSILQKVSIPPLHSSLALMKLAEMEYGGTTSYFIKLLVEKKYALPYRVVDAMVAHFMRFCEDSRDMPVIWHQSLLAFMQRYKHELTKDQKDELNYLVKKQRHKMVTPEILRELHNSRNRGEKEDDLMSISSPISVINKTIEEDRFDIPDVPMEED >KVI10565 pep supercontig:CcrdV1:scaffold_1008:123982:129172:1 gene:Ccrd_011030 transcript:KVI10565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine-linked glycosylation protein 1-like protein MEFGKRRRAAVVVLGDIGRSPRMQFHALSLARQANLEVDIVAYGGSDPYSALLEHQSIHIHTMRLWPSRDGVLPKIFRSVMLLLKPLIQFIMLLWFLCVKIKAPDVFIVQNPPSVPTLVAVKWASWLRQSSFIIDWHNFGYTLLALSLGRSSRFVAVYHWVELHFGKMAHGSLCVTKAMQHELEQVWGIKATVLYDQPPEFFCPTHLEEKHKVNQTAFQDILNMQYSRNFILIVDCS >KVE66157 pep supercontig:CcrdV1:scaffold_10093:7975:8160:-1 gene:Ccrd_023927 transcript:KVE66157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRMLRLGSGIRKECGSFSNHQSIQIRTKM >KVE66068 pep supercontig:CcrdV1:scaffold_10096:1558:5925:-1 gene:Ccrd_023929 transcript:KVE66068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MPVIVGRGICANENSDMTLEHIVEVTGLSPKATEQDVYTFFAFCGTIEHVDIVRHAAGSDRLFALASPKGLRSQKTMKISP >KVE66067 pep supercontig:CcrdV1:scaffold_10096:153:260:1 gene:Ccrd_023928 transcript:KVE66067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMEMEWLLKLLQHPK >KVI06258 pep supercontig:CcrdV1:scaffold_101:397029:400412:1 gene:Ccrd_015397 transcript:KVI06258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAVSLNHHSLSLTFLNLSSYSSRFHLHHHNHGTSLTKLRTCIPLSAISPPNRNPSSPTPLSSPSIFLPFLQEHEETISDLETPQIELPKDESITDPIHKFFKAQTSSQLPDPALEGKFTLQKNRRTSWHLSTTITNTEPEESGYETESNTEEQGTPVINIAEPETGSEEIGGSVIEVSSTSNGVTGVLEIARNLPENVTLGEVLGPYEGKLSARDCVEVLGLMGQEEGLTMCCLYFFEWMSLQEPSLVTPWAYSALFPVLGRARMGDKLMILFNNLPNSMEFKQVHVYNSAISALSYCGRYDDAWRLFEMMEEQNVEPDNVTCSIMITVMRKTGNSAKEAWEFFETLNVRGLKWSLEVMGSLIKSFCDEGLKKEALIIQLEMEKKGIASNAIVYNTIMNAYSKSDQIEEAEGLFAEMKAKGIEPTTASYNILMDAYSRRMQPEVIEKLMAEMEEIGLPTDVKSFTCLISAYGRQKKMSDMAADAFLKMKKSGIKPTSHSYTALIHAYSISGWHEKAELAFQNMKRDGVKPSIETYTALLDAFRRAGDTESLTRTWKTMMNDKVKGTRVTFNILVDGFAKQGQYSDARDVICEFGKIGLKPTVMTYNMLINAYARGGNESKLPQLLKEMAVLELKPDSVTYSTMIYAYVRVRDFKRAFYYHKEMVKSGQVPDARSYHKLRAILDVKAATKNKKDKSAIMGIISNKLGWVKIKKKGKKDEFWKNKKKWSGARSSDFVGRR >KVI06267 pep supercontig:CcrdV1:scaffold_101:277777:285510:1 gene:Ccrd_015407 transcript:KVI06267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSRPLCVQMWSSREVQLGNLSLTALIKLCRSVGVVSKLPFGRSFQLSFSCISGSSEVEALMINILGDSGRKLLNCMRFASFPPTFDVYQLKFNAKKVKITKRPTDSLEVCLLLSINNMIVQKTKKHKEVSNTAYSKCWLLHQTRVAVLVKGTDIIRFHQSS >KVI06245 pep supercontig:CcrdV1:scaffold_101:132517:135723:-1 gene:Ccrd_015421 transcript:KVI06245 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT-like protein MQQHLMQMQPMMAAYYPTNNVTTDHIQQYLDENKSLILKIVESQNSGKMAECAEHQAKLQRNLMYLAAIADSQPQAPALHSQYPQGGMMQQPGGHYMQQHQHQHQHQQVQQMSPQALMAARSSMLYSQQQYSSLQQQQALHSQLGMNSGGGTSGLHMLQSDNNNAAAVGGTHLGGGFPDFGRKQDIGVSGPTNEGRGGGSSSGGDGGETLYLKSPDKGN >KVI06270 pep supercontig:CcrdV1:scaffold_101:269210:271527:1 gene:Ccrd_015408 transcript:KVI06270 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVDFITFMYASPFFILLFFLGKSHYFTRLSTKKNLPPSPPRLPVIGNLHQIGPLLHRDLASLSQRHGGPLMLLHLGSVPTLVVSSADAAREILKTKDLVFANRPDVRMWRRLLYDNREVSVAPYGEYWRQVKSIMVLHLLSNKRVESCNEVREEEMAIVVEKIKKSREHGEAVDLSDLFLTFTNDVVCMVTFGRKYSEGERGRRFKKMLGEFFAVLGGFDLEDLLPRFAWVARLSGFDARVEKVARELDEFLEGVVEERLKKQAEGGGGGGGGGGGREDFVDILLKIQKDDSDAYTAGTDTTATVIEWAFTKLLKHPKVLKRTQDEVRTVLKGKQQISQDDINNMKYLKAVLKETLRLHPPIPTLVPRISSQDVNIMGYDVEKGTRVIINAWAIARDPKVWDEPDEFRPERFLDCSIDFKGFDFNLIPFGAGRRGCPGITFAMTTNENILANLLHKFDWELPNGGKAEDLDMNERHGLTIRKRTPLLAVATPFPS >KVI06262 pep supercontig:CcrdV1:scaffold_101:33342:36686:1 gene:Ccrd_015430 transcript:KVI06262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MMHLKVVLCYFSLLVVSIRFSSSEAARTTFSSTNQRRSLLENGLGRTPQMGWNSWNHFACNIEEKLIRETADAMVSTGLAAAGYQYVNIDDCWAELDRDSRGDFVPKASTFPSGIKALADYVHNKGLKLGIYSDAGYLRHNKPPLLKFNPSRKKGIQVIYNSKKLKILYFPKFVGDGFILFCEKRYPIMTKALQNSGRPIFYSLCEWGQDDPATWANEVGNSWRTTGDISDNWDRYGYDLTRTQPNILRFFVVTRNDYIFILISTSMTSRADENDKWASYAKPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLVGCDVRSMSKETHEILSNKEVIAVNQDSLGVQGKKVKKNGDLEVWAGPLQHNKVVVILWNRSGSTSQITAYWSDIGLDSTTIVNARDLWAVRLQKIHSTQKSIKGQISATIQSHACKMYVLTPQ >KVI06241 pep supercontig:CcrdV1:scaffold_101:36432:40798:-1 gene:Ccrd_015429 transcript:KVI06241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-like protein MMSSQETEYHSKDFEWQELRQQIENDPTLRYHFLPYIHQSILSSSSSSSLDSQSWNQFHARHVTGKFFKERRYLFKEFPELASCEEYSKVLEVGCGNASTALPILRGKENIIVFACDCSTEALERATETIHASNVVSAERRFRPFCWDVSTCHFPKWLICDSCHETAQLKQNIFLSDFGNNESDCTTDITSSKESDCCIGGVDYVTLIFTLSAVPLHRMSTAVAACFSVLKPGGLLFFRDYGLYDMTMLRFEPDQRVGFREYKRSDGTRSYFFSLDSARDLFLGAGFTELELEYCCVKSVNRRKQKMMRRVWVHGKFQKPM >KVI06240 pep supercontig:CcrdV1:scaffold_101:42683:46089:-1 gene:Ccrd_015428 transcript:KVI06240 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MEPDDLGLGSYYHHHHPQPQPQPPPQRHPHQQPPPPPTNGMLPNTNNDPRPSQILYPHNSAPSAVSSPLETGVRRKRGRPRKYGTPEQAAAAKRLSSSSSPSTSVPPLSPPRKKDLSLGVGGSSASTSFKKPSLGNTGQGFTPHVISVTAGEDIGQKIMSFMQQSKQEMCVLSASGSISNASLRQPATSGGNISYEGRFDILSLCGSYVRTDFGGSTGGLSVCLSSNDGQIIGGSIDGPLIAAGPVQVIVGTFATEGKKETTTIIKGDASTSKLASPNVGAPVPNLGFLSAPDSSGRNVVGGRDEQQNIDGYQFMIPNRSVAVSDWRNNNDSRGGGGYEFSGRVNHGVHQSPKNGDYDRFQD >KVI06257 pep supercontig:CcrdV1:scaffold_101:401441:408416:1 gene:Ccrd_015396 transcript:KVI06257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPPATNHPAGVHTKPQLSSATIVAPEDCSKAPSRLHPPKIVVLADLNVDPPTDTDALDTFPRLNNEENNQDKISLLGKDSDTVELEGKQSKKLGKSRSRIGKMEFPIDCGADAEGDHNSPGVPSSREEKVSSLKTGLVHVARKMPKNAHVHFILGLMYQRMGQPQKVGSYPCLAYTHAVSAYEKAEEILLRGDEDIDRPDLLSLVQTHHAQCILLGSSEDYGSGKELEGKELEEILCKLNESMKSDVRQASVWNTLGVLLLKAGRLQNAISVLSSLLVVAPENLDCIGNLGMAHLQNGNLELSAKCFQDLILKDQNHPSVFINYAALLLCKYGSVVAGAGANADDGACEDRVTAVNVAKECLLAAAKADPKAAHVWTNLANAYYMAGDHRNSGKCLEKAAKLESNCLATRYAVAVHRIREAERSQNPSEQLSWAGNEMASILKEGDSLAVELPIAWAGLAMVHKSQHEIAAGFKIEENELMEVEERAIYSLKQAISEDPDDPVQWHQLGLHSLCTQNFKTSQTYLKAAVARISLQLSETSSLQAEEVYKRALSLAKPQQAHTIFCNLGNFYRQQKQYEYAKAMFTKSLELQPGYAPAYNNLGLVFVTERRWEEAKFCFNKALQVDPLLDAAKSNMIKAAAMCRVCAPLLN >KVI06244 pep supercontig:CcrdV1:scaffold_101:156197:157963:-1 gene:Ccrd_015420 transcript:KVI06244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMMKHSFYGIGIHPSVFRLLSARKFFTSPLYFNRSYTFAASFHSSNRNCKEVTDLKTLEDAVYTFNHMSRRNPVPPIQEFTKVLACIVNMKHFDAAISLITQFDLLGVNPFLKPTIYVFNITINCFCHLKRVNCGFAVLGKATKLGYVPDCATFNTLIRGLCANDKLHQAIRLFNQLVESKFEPSVVTYGTLMNGLCKSGDTHAAILLLRKMETSISPPGIIQYSTIIDSLCKDKQFVEALDLFSEMNRKGISPNVVTYTCLIQGLCSIRSWEEAMRLLSEMLARNISPNVHTFTVLMDALCKEGRVIEAQNIFTYNTILDALCKNRKLDEGLNLFNMLDGDGPPPDIVTCNCLIDGLCKSGETDTAFGILSSLSARGLQPNVRTYNIMIDGFCKKGELDKANTLFLEMKRNGCPADDITYKIMVQGFLRANETTKAAEFLSGSLDASFSADANKLLNKLFSDGFNDSPN >KVI06227 pep supercontig:CcrdV1:scaffold_101:179384:187837:1 gene:Ccrd_015418 transcript:KVI06227 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase, C-terminal MVISLLLLFPPPSSFFVTVMSILSCVSLANGGFMEAKGKHMQYSKFFNVSNKPNDQLIKLPSRTGMLIVYTPALLAGLSYSAIFPYRDLRIVMLVSVLTIHFFKRVNEVLFVHKYSGSMALDAAISIGLSYTISAMTMIYAQYLSREFREPAIDLKYIGIALFLIGIVGSFYHHNILSNLRKKGDREYRLPKGGLFDLVICPHYLFEIVEFIGVSCIAQTTYTFSFTLGTMFYLTGRSYATQEWYRSKFGESYMEMNGKNKQYAKFFDSATSSHKPKDHHKLASRNGMLLFYTPSFIVSLASFAIFPFRDARFLMVARLLTLHFFKRILEVLFVHKFSGFMMLNAAITIGLSYAVSTATMIYAQSLSQGFAEPSVDLKYVGVGMFLVGLSGNFYHHYLLSNLRKKGEREYKIPKGGLFDVVICPHYLFEIIGFIGVACVSQTPFAFSFALGTTFLLMGRSHATRNWYISKFGQEFHKDIKAIIPYLF >KVI06231 pep supercontig:CcrdV1:scaffold_101:195248:218367:-1 gene:Ccrd_015416 transcript:KVI06231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRPTFSTDPPSKFPPPLTVNSLTNFGHQSPPMTLMTPPPMDIQFICLLNLILQAEEDEMLVPHSDFAATEGPQPMEVAPAEAANSVDAPTVDDPPSARFTWTIENFSRLNAKKLYSDVFFVGGYKWRVLIFPKGNNVDHLSMYLDVADSSTLPYGWSRYAQFSLAVVNQIHNKFTIRKAVAQTSAKLTPKKILVSTVPGNTQHQFNSRESDWGFTSFMPLSDLYDPSRGYLLNDTCIVEADVTVRKVVDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKVYGSFVIILEYCYFLMFPTYVTLHFTAQGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNRYHAEQLGLQTDYFKILWNGEIGFALPGIWLYCNLSHNFFIISSKTATLSYTQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPQADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFLEEYSTLNTFKFKFDDERVTKEDMKRALDEQYGGEEELPQANPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARDEDLREQIGKDIYFDLVDHDKVRSFRIQKQISFALFKEDVAKELGIPVQYQRFWLWAKRQNHTYRPNRPLTPQEEAQSDLRPISPPEKTKEEILLFFKLYDPLKEELRYPFSLFSSLALKYVGRLFVKGTGKPIEILARLNELAGFAPDEEIELFEEIKFEPNVMCEHIDKKLTFRGSQLEDGDIICFQKPLKAENTETCRYPDVPAFLEYVHNRQVVRFRALEKPKEDEFSLELSKLNNYDDVVERLAHHLHLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLAHYNQMNPLKRLLAFVSFLSFCFCFAQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVISDLKTKVELSHRDAELRLLEVFYHKIYKIFPLNEKIENINDQYWTLRAEEV >KVI06252 pep supercontig:CcrdV1:scaffold_101:383827:388914:1 gene:Ccrd_015398 transcript:KVI06252 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MDGRRHSVDIPISKTLIALRRVRSLRDPSTNSLSRLSAFVDNLNWETNSTNGIVLEQVNNCLHETGISELNDLGLFGQNEHNFFNCEMYHGESTHKRNPGLCKNPAWRASSPVRDMKVDESGLLVSNQVPFCENKLLSERYHSNNYKDLPCMMPTSDYMEGAGSCNESVEVFIEAERQDYNGYKRRSRRRKHIRSSKVVGGDILSCVSSPCLSMSDASFGGSSSRTPLYENDDIDHVDTDHGGCGIGTCWSSTPKFRGLNSNTSSDKGEQALLIREAGVSKHYGSETTPFSESPRNLSQRFRPKSFNELVGQNVVTESLLSTISSQIITSFYLFHGPRGTGKTSAARIFAAALNCLSLEDNKPCGQCQECTLFFAGRSRDVKEVDSVGINQAENLRYLIKNAMLPPVSSSFKVFIIDECQQMQASTWAALVNSLEEVSRHIVFVMITPDLSKLPRSAVTRSQRFHFPKISEADIVHRLEKICIEEGFDYDQVALDFIAARSNGSLRDSEMTLEQLSLLGKKITLPLTYELIGIVSDDELLELLAMALSSDTSNTVKRARELMRSRIDPMQLISQLANIIMDILAGKFQEAEADLQQLNNALKILSETEKQLRASKNQTTWLTVALLQLSSAPSFNANSSSLCVRTVDPRDSGGFCRFSSASESLKHLLPSQSDHNTGCKSEKLKDKVALESIWSRATEICKSISLGNFLRRHGSLASVCIHQGLAVVELEFQHPNYASKAEKSWKLIASALQAALGSNVEIRICHSNYFNPKVKKSSFSLFSCGRGNKLSHQNGGNLSENFNDQMRVNNRSLDTMSSDGGSCGSHTCRHKKEEPARTIRNSDGNALSIDFNGQDHGEQPCCFPRSVKPQTKIRSLDTSMTSEADNNLALPVSGSKTCCCTNDAYVICGFCKKFPCCNGVERQKKDSKVHCWKSPMLPLKKAWQLRVRQQGA >KVI06248 pep supercontig:CcrdV1:scaffold_101:60209:61893:-1 gene:Ccrd_015425 transcript:KVI06248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNGFGVLLVFLFACVLISSARKISSPQIHNPKVAEAQKGYHVDGTIGGWGPWFNHNPGDNNGVGVDGRGGGN >KVI06235 pep supercontig:CcrdV1:scaffold_101:239647:247748:1 gene:Ccrd_015412 transcript:KVI06235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGDGMAPYQGGGLGGKFRKRPIRRSAQGTPYDRPPTALRNNSPSLFAKLVDPASRLIYAGADRLFGVFRKRLPSIAARRPPDTVSCDLRAALVGWVIANPLFAQISTGVSEPSTDKGRNLSTISAAPQISELENMLKQKTFTRSEIERLTALLHSRTTESPSDDDEGDGSKRPSTTSQLLRLEASTSGPLNKHVEDRENFHASILTPRANSRAFEEDVASPAELAKAYMGTRPAKVSPLTLRLGSQAPRQESMSLNNTIVFPRTPITSLAPRTAGSSKGLENGLTTPRSRGRSAIYNMARTPYYRGTSTFNQKGMKSDYGHGVASPSLALSQPAMEHDGPSGSSKTALKRRSSVLDDIGSGGPVRRIRQKANLLLQGSSLAKHKSQFDSSALQQPGAASQKLILRNEPEPKVSKTVEENGETSKRILGYASVPTKSSQMASKILEQLERLSPKEKPSGSRLAGTNEKSPTKQTSNMIHGQALGSLEKVDSPKFLPSPPVNQKSESQNHTWLPEARASTSQSKDKVEENGPRKFAVPRNVLSSMNGSSTIVVRDNAPIATNTDSILKLPAEPPQKKRSFQMSAHEDSFEVDEDNHSNGHAFVPLGENKKLVTSVVAKKDVSADAPAQVNQTPALPEVTKTSGPEVGKIPAMPVLKKTDDAISPPKTDLGRPGGSVVNEQKMGFNFPTSPPSSSNTQSAVFSQSTSILDSAAAPKEPTVLPTFGTSTKNAENMPSFFFSADEPSGFKTNASPDAKPPGLTSLFNSVTKNDLVQVPASNKDDNGSSQKSVFMFGKSESLSSASPTTTSASGIFSFEGPAKNSSIANGTATSSPSIFASSSPFTASGTNTNKPFSSSSSHVLSLSSTTTTSASTIAFSTPQPAPKFGFGSATSTTSALAAPTKDKEPKSSISSSPFPSPSFATASTGNAFGFSSPAATATTDNHSQGSLFKGTSGSQTSTAVTKVAPFQFGSSSTSPASGTTAMPSSSSSSSGSSLFGSSAPAFSSAPSFGLSSAAAASASTEIKSGSSTSSSTNIFGSSFSSTPSFGPSSAVASSDTKFGSAWQPPKSSAFGSSFGSPSTGFSFGASSASSSPIVFGSTSNAGASTGSSMFSFTASGGTNSSFLAPSPGQSVFGSSTPVFGAATASPNNNDQMSMEDSMAEDSTTQTPTPSIPTFGQAPASTPGFMFGSATPTQSTVPFQFGGQTKQQLSQNPFQASGGQTDQQPSQNPFQASGSVEFNAGGSFSLGSGGGDKSGRRILRVKSKNRRK >KVI06269 pep supercontig:CcrdV1:scaffold_101:297357:299264:1 gene:Ccrd_015405 transcript:KVI06269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MAAAVCHLSFLSFFTLLLLVNARLDLHPTDHEALFQIYKDLGLSSGTHDICNAAGIFCERRSSDNSYVLRVTRIVFKTRRLRGSISPAIGKLSELKELSLPNNHLVDQIPTQITGCRKLEVLNLHSNRFSGKVPVGLSSLVRLRILDLSSNKFSGDLDFLNYFPNLESLSLANNMFTGKVPASLRSFRNLRFFNISGNSFLEGPMPKMNQLESSSTELRNGNEKLVPKRYIFAESNSNSSSAVRNQTRQGGSVSDNIQAPAPSPAGGRAPDKPKKKKRVIRWILGFLAGIVAGIICGIVFSILFKLIMNVVKGKKNDSGPAIFSQMIKAEELAFLEKEDGVASLQIIGKGGCGEVYKTELPEGKIKTIAIKKIVQPPKEATELAEEDTKLLNKKMRQIKSEIQTVGQIRHRNLLPLLAHVSRPTCHYLVYEFMKNGSLQDILQEVKEGRRELDWLARHRVALGVAAGLEYLHLSHTPRIVHRDLKPANVLLDDDMEARIADFGLAKSIPDADTHMTSSNVAGTLGYIAPEYHQTMKFTDKCDIYSFGILLAVLVMGKLPSDEFFQRTSEMSLVKWMRNVMTSDDPKQAIDPNLIGNGYEEQMLLVLKIACFCTLDNPKERPNSKDARTMLDQIKH >KVI06260 pep supercontig:CcrdV1:scaffold_101:401484:411424:-1 gene:Ccrd_015395 transcript:KVI06260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGSMESMNLVNSGQLFLTRRRKLLAFQVKNLVGSFFWVFSSSSSTGGALVSASLAEDGEEEEEEERVGFLKETSFCTGYKRLYVPVAVLPVTRRACILLRLVKMMSPIVAERYLAKDKQSLSHIQDMKIHLRHPIGLCHSQQETSTCYIEKTIQKENTDTTNLKEKEVTPTLFPLLAIPCNCHRLSASPPTPADNRILEYYMPKLKTTSCMVDEVVTELSSNLPVRRWWRRRVEV >KVI06255 pep supercontig:CcrdV1:scaffold_101:501789:503637:1 gene:Ccrd_015390 transcript:KVI06255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSTTTVAASFTAIFLLLTTSSASPASRPRPFKKIYAFGDSYTDTGNTASASGPNAFTYVSNLPYGRTFFHHPTNRYSDGRLVIDFVAESLSLPYVPPYHNRKADTSFGVNYAVAGSTAIPYGFFVKNNLTLDIQPQTLQTQLAWFSKTLEGHKCKNTKSTPNECKAVFGDALIWVGEIGANDYAYTVGSSALLNKGAKYVVVEGLPTTGCLTLSMALASESDRDDMGCVGSLNKQSYDHNTILQTKIQDLRKKYPQTVIVYADYWNAYRSVIKNAPRLGFKELYKVCCGSGGSPYNFDILATCGSQSSSSCQDPGQYINWDGVHLTEAMNKVVYESFLKGGFTHPPFGYVLSSKESSG >KVI06239 pep supercontig:CcrdV1:scaffold_101:513047:520252:1 gene:Ccrd_015388 transcript:KVI06239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPRLLLLLFPPHRCCFLFTAGSSCCCRPSSSPATAPPLPPHRRWLLPPFFLSPVAAVFPLPAAVAAVSSSPLLCFVFSLRHRKPCEFISLSGHLVLGFDDEDTLILQVNRQIGRQNPDDIF >KVI06271 pep supercontig:CcrdV1:scaffold_101:250227:255857:1 gene:Ccrd_015409 transcript:KVI06271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein MKFKPSKANQPVKKDPLQKKETLAKTNLKRRLTKCLGDENKRLPSEEVTNRKYSRIVGGFNLRILGGAYINDRKETMWRTAMARATSALRSPLHGITGTSFRRHYASSSSPSSSVVVNSMILRSLKEHYVEVSKMAPPPKVSPPPQFTVVKGALDSGGPVLKRMYGDEEISISVMRMVNILPGVDPAEIDGDDEINQLFLHADISKPGQDFVLHFLCGLYPDALGIHSVSLRHKLETSGLLEVPSKYNGPSFEALDDRMRDAFHGYIEERGINDGLFPFLQAWLYVKDHRSLMHWFKSVGTCVKTSK >KVI06234 pep supercontig:CcrdV1:scaffold_101:234699:238376:1 gene:Ccrd_015413 transcript:KVI06234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGLSNQFLLQCSEPDQLRNPHLPLTEKKLKKNDRLKPKQGHQVKRVSSVGLRTDSILQRKTGNLKEFFTLGKKLGQGQFGTTYLCIEKVIGELYACKSFANRKLLTDDDVEDVRRKIQIMHHLAEHPNVISIKGAYEDAIAVHLVMDLCAGGELFDRIVQRGRYTERKAAELTKTIVGVVEACHSLGIMHRDLKPGNLMQLFLCLRELFHDVVGSPYDVAPEILTKNYGPEADGIFEQILHGDLDFSSDPWPSIFYGAKDRVKIMLVRDPNRRLTAHEILCESSILHIQYHLRLQKMLPFSINKILLRTTFWESHPWVQVDGVAPDKPLDSAVITETLSEEEIVGMKQMFQMIDLGNNGQITFDELKVGLKRVGANLKHGTINYGEFVATTLHMNKIEKENHPFAAFSYFDKDESGYIIADEFQRAYVDQDNDGHIDYTEFVPMMQGHTDTGAKNSLDNSFSIKFKEALKL >KVI06249 pep supercontig:CcrdV1:scaffold_101:57804:58534:-1 gene:Ccrd_015426 transcript:KVI06249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNCFNLSLLFLFALVLISSARKIPSAQTHNSKVVEIQKGYHVDGTIGGWGPWINNGYPKHKVDELRVNGRGGGGGGN >KVI06246 pep supercontig:CcrdV1:scaffold_101:94991:101131:1 gene:Ccrd_015423 transcript:KVI06246 gene_biotype:protein_coding transcript_biotype:protein_coding description:YL1 nuclear MESDKEDVVFLDRASRATRGKRMSKLVDEEVEEDDEFWNQDALKEEEDDTNYVEEAEVADVFDSDFDEDEPEPEEEAENEPDDRKRPKKRLAFPGKQLPKKKKKKKVVSEGDSSNDEGTNPEQSTPQEDHDVPDDIEVEKTTRKSTRTSVIVRQAERDAIRASLQATMKVDQDLLKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIVHKAVYSGPQIRYLSKDGKYTTPFEYVKLMITFISGYSYLEFTNGMSFQSQIPTSATPYPKKAVCVVTGLPAKYRDPKTGLPYATKEAYKIIREQYLNDGSNIKEKKSMGMLYDLTSGQGFTKKKRRSTNPNSKENSYLRSLARFRQIPAFEYSDSE >KVI06242 pep supercontig:CcrdV1:scaffold_101:101376:111859:-1 gene:Ccrd_015422 transcript:KVI06242 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein MSNKRAPGKQQIPRKKCNERNIKVAANSTVSKKRKQPQHLNSESSLRLQDDLLSPDFILRKVFRKDGPPLGVEFDNLPSHAFRFCNVKGISHRPCQEKQRALKRQKTSKPTNVEDQIIAPDSAPVKHGRGKGLMTVWRLTNEESNAVKKHGIGKGLMTIRQLTNPGAGNLPTCDDNDHGACSQFPASTSQKPPAQGKKKSRRQQPVPRRLANKLLDKKSLARSKKVRCEQVGKEKQLQREICEIALVGGRSEEDLIQYSMLVDDEELELRELHEVSNSQACCAHCSANRLHGCSLCKDLLAKFPPDSVRMKQPLHMQPWDSSPQLVKKLFKIFHFISTYAVIIGIESFTLDELAQAFIDKDSVLLGKLNLSLLELLLTGVEKELGSGFVSHMSKNWKYRGLLQSVEHQDSVLKLWKRSLNSLTWVEILRQVLVAAGFGSKRDTTTKEPVDKEAALMGSYGLSPGTLKGELFTILLLQGNSGMKISELATCSSIVGLKLATTTVEVEQLISSMLSSDITLFEKLSPSSYRLRSNSIIKDSDDDQSDLEDSGSVDDDPNDISNYNNMDDLDDGSGPSIASKHGQKNLIESKDSMLTVNSEIDESHPGEMWLLGLMEGEFSDLNIEEKLNALLALVDLLRAGSSTRMKEPVRSAVQSIPNVCHIGSGAKIKRSTAKQQRMFMPLENNCSQMINSGESDTIQDIQTVDSAAIISVIQEKSCSSGQKNAREIEVVHDLHPMQSLFLGSDRRYNRYWLFLGPCNMCDPGHKRIYFESSEDGHWEVIETEEALANLLSALDRRGRRESRLLFSLEKRNALLCEAMSSMPNDARSGQPALSCESERSVSRDDSSSAVSDVDNLLGLNYVHHDNLASTSAVVTSIGNREQAKQKWCRLQQYDAWLWNSFHSTLNVVKHGKRSFFDSLTRCERCHDLFWRDEKHCRICHTTFELDFDLEERYAIHAATCRDDSAPDMFPKHKILSSQLQSLKAAAYTLESSMPEGAMVGAWTKSTHNVWVKRLRRTATLAELLQVLADFVGAINKGWSYQSDTALGFDYDLDDIVASFTSMPQTSSAVALWLVKLDCLIAPHIKKVGLQKTTGVSSRSTSKGKDALVQ >KVI06261 pep supercontig:CcrdV1:scaffold_101:21659:22996:1 gene:Ccrd_015431 transcript:KVI06261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLTVNSPKPTSNFLNSSFLSSPIPLPSHHINRPTKFLPKSISATIIPSSNPTPQQKLYQPFRPPPSQSPIPPQFRNLDTAGRLDVLTNRLGQWYEYAPLIPTLIREGFAPPTIEELTGITGVDQNRLVVAAQVRDTLVQSGVEAETLEFFDKGGAELLYEIRLLSINQRAAAARYMLENKFEPSDAQELARSIKDFPRRRGDRGWDCFDYTIPADCLAFMYYRQALENRESELRTSALEKALAVAVSERAREWVTKELDGNDETAEGEGRGGGVVDGVKVPVVRLHLGEIAEATVVAVLPVCGAEEREKGVEAAPWESRCKGDFGIVEAEKDWRRWVVLPAWEPVVRMKRGGVVVAFADARALPWRVNRWYKEEAILVVADRGSKEVVADDGFYLVVSEDELKVERGLALKEKGVKESLGNVVIVVRPPRDDNENELADEDWE >KVI06268 pep supercontig:CcrdV1:scaffold_101:320766:334953:1 gene:Ccrd_015404 transcript:KVI06268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISLVKLGISHGERSVTYCQPPSTGSNGALRSYSWWKNLWHLFVDCPFAKAGDEIAIKAIKHAMRALRKRHLEEEGAHAPAFTAISKPIASQFLCGGRDVGGRRRCRDGEGRDSVNEEGMVWKDKAETLESELQQCYKAQARLSEQLVVEVAESRASKALVQEKEALIPSLENKLSQVRDECSRLTAVLEEKTKALELLIGENQELRAQYEEMRARANNAEAENKTLVDRWNLQKMEDSKRLNEATALYEDLLSKIKASGLEQLARQQIDGVARRSEEGAEYYVESIIPKICKHRIVAHEGGCASIIFDNSSGKLISGGQDQTVKIWDTNTGLLTRTYHGCLGSVLDLAITDDNRCVIAASSSNNLYVWDANSGRVRHTLTGHLDKVCAVDVSRLSSRHVVSSSYDRTIKLWDLNKGYCINTIIFPSNCNALSFSADGETIFSGHVDGHLRLWDIQTGNLLSEIAAHSLAVTSICLSRNGNRILTSGRDNLHNLFDIRSLEVCGRLGASGNRVSSNWSRSCISPDDNYVAAGSADGCVHVWSISEANIVSTLKEHTASVLCCSWSGLGKPLATSGRNGIICMWSH >KVI06251 pep supercontig:CcrdV1:scaffold_101:335630:341118:-1 gene:Ccrd_015403 transcript:KVI06251 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEKFAQRMEKDNVSSSKPESSEKRRMPPGLLGTIAEHADWVDIVLMTLGTAGCIANGLSMSLIMLVLSRMTNSYASMASINPADINQYALTYMYVAIVVGSGAFIEGFCWGHTAERQSSRMRIKYLKAVLRQEIGYFDNTLEASRIPDFIANLWMFATAELTAMYLCWRLAIVALPAMFVLILPGVVYGTLLAKNEEKLQEAYAVAGGIAEQAFSSIKTVHSYAGEERMVNRFSTALGPTLTLGIKQGLLKGMAFGSIGIIFAIFALMSWYGSILVIEKGIKGGDILSAGVCIVYGGFGLGASLMNIKHFAEAKISAALVSKMIDRVPSIDSTDEQGETISAVKGELEFRDIDFAYPSRPESLVLKKFNLKLKAYQTVGLVGHSGSGKSTVINLLERFYDPTEGGILLDGISIKSLQLKWLRSQLGLVSQEPILFATSIKENIIFGKEGATDEEIVEAAKKSNAHNFITQLPNGYNTLVGELGTQMSGGQKQRISIARALLREPKILLLDEATSSLDSHSEKAVQEALTHASVGRTTIVIAHCLYAIRHADLIVVIKSGEVIESGSHDELTRNTCGSYSIMVSQLKTMVVDGKTQPPSEGIGVKILESPGRDATTMAEETINGQKLTGSHLKEVKNQQSDEEYIRPSWWHLMQMTAPEWKSTLMGSIGALISGSVQPLIALFQAAMLSIFFLKDHDEIRSQTRTLCYAFIAISASAIIASVIQHYFFGVMGETLTKKIRLAMFERIMSFEIEWFDQENNSTGALCSRLSTDTLMVRNLVADRLAFFAQSISASILAITWGLLLSWRLALVAISLQLFIVGSFYLKLVMTRNMSKKILHAQNKSSGIASEAVGNHRIITAYYSQEQVMRLYEDTQKGPKKESQKQPLYAGMALFTRQFLTTTNIAVLYWYGGKLLYQGDITYKHMFQTFYIVVTAGILIAEAGSMTEDLSVGTNALKSIFTILKRDGKMDPLKHDALNPTRINGQIQLREVDFVYLTRPTKMVLNGLSLKIEAGEVAALVGTSGSGKSTIIGMIQRFYDPTKGSVEVDGIDIKCYNLKALRSFIAWVGQEPTLFAGTVKENIAYGKENATEAEMIQAASLANIHEFIRFIFYYTLYLILVRDIACSKNLLPFAFYLTQTSVTVINYVCSSMKDGYDTVCGERGMQLSGGQKQRIAIARAILKNPAILLLDEATSALDVRSETIVQDAIEKTMVGRTCVIIAHRLSTIQRSNKIVVMDNGSVVEEGSHHDLLAKGEKGAYFSLFSLQQQSFASNKENPCS >KVI06230 pep supercontig:CcrdV1:scaffold_101:193596:195371:1 gene:Ccrd_015417 transcript:KVI06230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMKFGVSQSSLYWNLFVRCNKSRAFADTPIINSNKPSNFIKSRARFNKFVRNQCRIGFKSVDDALVLFDEMIQMRPMPSLSYFTQLVVAIVKMEHYEAAISLVEQMEFLEIYDPDVHILSILINCYCHLNRVDLGFSVLGRVLKLGYELDVALFTTLIKGLIGGNRMGLAVELFKEMVVRGIQPNSITCGTIINGLCKMGNTNAAIELVKKMEKRRMEVGDVAYSMIIDSLCKDGMVTEAFRLFEKLIRGGLKTNVVMYNSLIRGFCNTNRWKEGMELFNEMVNPDVKTYTTLIDALCKEGEKAMKLFRAMEDAKLDLDVVVYGILIDGLCKARKLESAKDLFHNLPSKGIHPNTRIYNILIAGLFKGGLYNEAIFLFQEMAKGSCGCMPDGCTYNIIIQGLVLNDDVSRAIEFLHKMVGKGFSADASTVEILLNDKNLDGSVLQMLCR >KVI06266 pep supercontig:CcrdV1:scaffold_101:277041:286511:-1 gene:Ccrd_015406 transcript:KVI06266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSSVVAYSFRYFPPLKQRALAYFSVQRRLRRRPLRSPCTALVTTSLNATSFTGNSLFRRMEVMKWISVIFNSILFYVCIQRFWAGSSIDKNKGMVAHLKGYGVIQSNKVAEVMETVDRALFVPDGSPAYEDSPMQIGFNATISAPHMHATCLQLLEKNLLPGMHALDVGSGTGYLTACFALMVGPQGHAIGVEHIPELVAVSIKNVEKSAAAPLLKDGSLALHVGDGREGWPEFAPYDAIHVGAASPEIPKPLVDQLKPGGRLVIPVGNIFQELKVVDKNEDGSVTVRTETSVRYVPLTSREAQLRGY >KVI06256 pep supercontig:CcrdV1:scaffold_101:459690:487502:-1 gene:Ccrd_015391 transcript:KVI06256 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MAKVYDNWKRLVRATLGREQLRVSGQSHERKASGLAGAVPDSLQRTTNINAILQAADEIQPEDAHVARILCEQAYSMAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKKEGERIDRNRDAQYLWDFYQRYKRVHRVDEIMREERRMLESGTFSADMGGYAFVHCCVVKTKSLTKTFYMVFSLGLRSQETRKVFTTLRALVEVMEVLSKEAAPDGVGRYIKEEAEAEAEAEAEAEAEAEAEAVVVMERRKWMIMEMNHWKIHTIFFLDMIGPSIGSCILIKFGLAVICAIAPESGNQSEKILDGVASPKICVRTYVLQANARCKYEVSIVIHNVLALKRLKKTDQGLSGDLMPYNIVPLEAPSLTNAIGFYPEVRGAISAIRYHEQFPRLPADFEVPAQRNLDMFDLLEFVFGFQKDNIKNQRENVVLTLANAQSRLGIPVEADPKIDEIAITEVFLKVLDNYIKWCKYLRRRVVWNRLQAINKDRKLFLVSLYFLIWGEAANVRFLPECICYIFHNVSHLSLLVDQFLDLVLCYIHHATFEKFLNIMETLFHKMARELDAILDRGEAGHAPSCVGENNTVSFLNQIIQPIYNTLAKEAGRNNNGKAAHSSWRNYDDFNEYFWSPACFELSWPMKDTSPFLRIPKPAKRTGKSTFVEHRTFLHLYRSFHRMWIFLVVMFQGLTIIAFNDGKLNLDTFKTLLSIGPTYAIMKFVECCLDVLLMFGAYSTARGMAISRLVIRLTAFIVNNEFSRKVLQERNDNTSNSVYFRIYLLVVGVYAGVRIVFALLLKLPFCHSLSEKSDQPFFQFFKWIYEERYFVGRGLYERPMDYIRYVLYWLVIFACKFTFAYFLQANNNNVLTLVSIWAPIVAIYIMDIHIWYTLLSAIVGGVMGARGRLGEIRSIEMVRKRFESFPKAFVKQLLSVQAN >KVI06238 pep supercontig:CcrdV1:scaffold_101:504230:508572:-1 gene:Ccrd_015389 transcript:KVI06238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MMDNYYYHQLASCPSYRDSLKVLEVDIQFANMLAASIPRDKGGACLRMELGYNDMAYILSFLLQWINFPCSCLLQTCLSFCYVIVHKVSIVLPDGRPKLTSLGRKASIREFYDVILPSLKRLRTNPLELDVSEGDIQAFGTIPKKKIEKRTNNSDVDMEREDECGICLEPCTKMVLPNCCHAMCINCYHDWNMRSVSCPFCRGSLKRVNSGDLWVLPCMGDVVDQKTVSKEDKKRFYNFINKLPKDIPDAVFLVYNDYLI >KVI06264 pep supercontig:CcrdV1:scaffold_101:350274:352203:-1 gene:Ccrd_015400 transcript:KVI06264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MsrA MGSVNCGSRNRTSAAQIPSSNRKMASDETKNPALNPDTDSPDNLGHELAEFAAGCFWGVELAFQRLEGVVKTEVGYTQGHVHNPDYKLVCTGTTNHAEVVRVQFDRSVCAYTNLLALFWSRHDPTTLNRQRGDVGTQYRSGIYYYSEDQARLAKESLDEVQKSYKDKIVTEILPAKKFYRAEEYHQQYLEKGELAGSKQSAAKGCNDPIRCYG >KVI06232 pep supercontig:CcrdV1:scaffold_101:248493:249065:-1 gene:Ccrd_015411 transcript:KVI06232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQKINYENEADNDPRLQSYEQDMEIGYEEDKPSEISFQGLEQKFQDEIMKLIKELSDVEDEESARHKERMVEINRRYQEKLSSLRVQHAAQVGELLRKESQARLHQYQQEVGAEITTHLTHPHDYGWPRPYMPHTDHHGAKRSQGPEPVRVPYPHGRVYNNSGSRY >KVI06243 pep supercontig:CcrdV1:scaffold_101:49293:53121:1 gene:Ccrd_015427 transcript:KVI06243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associate of Myc 1 MVDDVRSKYLRFRIEEKEAKKEAFRKYLESSGVLDALTKVLVALYEQNDKPSSAVEFVQQKLGGPSLSEYEKLQAEMSDLQTRYNELMAVHQETCNQLEALKNTEREASSKENVEGEDPTQNL >KVI06228 pep supercontig:CcrdV1:scaffold_101:222502:224695:1 gene:Ccrd_015415 transcript:KVI06228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MFQGKETIERSRVKEFTWVLEEESHARSRDCSTNAIERRRKGVCNQATISVRKNNHTRGLRIREVGSNTSICSAKMTTGFVHSARRVITASSSSYWRSFSSPPSNNKLFVAGLSWSVDEKSLKEAFSTFGEVSEVRIMYDKDSGRSRGFGFVNFSKEDEASSAKDSMDGKAFLGRPLRVCFALDKVHREPVSPRQNNHGTTFIRSR >KVI06229 pep supercontig:CcrdV1:scaffold_101:227965:230949:1 gene:Ccrd_015414 transcript:KVI06229 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MASATIYLTFFFLLPLFNQLTTAVDAALPAKPVTQINSNSVLVALLDSRYTELAELVEKALLLQTLEQAVALHNITIFAPRNEALERDLDPEFKRFLLEPRNLRSLQTLLLHHIVPTRLGVSRWPNETTRHDTLSDDPLHLSANLEFVKLVGSAEVVSVEDVVRTDGIIHGIGRLLIPKSVQQDFNTRRSLRAISAIKPEGAPEVDPRTNRLKKPAPPVPVGSAPVLPIYSAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTNLATEMGKLVSEGYVLTVLAPNDEAMAKLTTDQLAEPGAPEKIMYYHILPEYQTEESMYNAVRRFGKIQYDTLHVPHKVVGEEADGSVKFGDGEETAYLFDPDIYIDGRISVQGIDGVLFPSPTETNTKKSTESVTTVDPVKVVPKSRRGKLMEVACRMIGFSTCR >KVI06254 pep supercontig:CcrdV1:scaffold_101:419583:425013:1 gene:Ccrd_015393 transcript:KVI06254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MEAFQQKSLVDDQQQHLDLGLLSDPPIDNDKIVDILALELENLVIACKDEVIDRDLSGSSVVMDDDDEVKRAIDEELRQLVVKEAANEAFQAESLSGSGENNCRDVKQFVDEAIERHGLIKVIEEDPKECRVLEEPEVGEGGIGCGKEEEKVVDDDEKVFKHGEDLKEKHEIEIEDGGGSSEIVGYENNGEERRSEDGNDREFQIIEDLENGSENAGGESEDGGGLGMVPDDGRLKRYYYPLRPDAEDCSYYMRTGMCKFGSNCKFNHPLRRRNQPSKETKKQMEEPLERPGQIECKFYLSSGGCKYGKSCKFNHSRGKTAVTPVAEYNFLGLPIRPGERECPYYMRNGSCKYGPNCRFNHPDPTAVGGGDAPPTYGNDGPVPLQNASQANIPSWSVPRTPDGSAAFLPVIYSPTQSMPPPNPDWNGYQTPAPPPAHVYPNSERGLPIPPAFFLNNPPSDTSLYTHHQQQMLVSDYPERPGQPECSYFMKTGDCKYRTACKFHHPKSRITKTPPSVLSDKGLPLRPDQNICTHYSRYGICKYGPACKYDHPANYSNSIPPAGERYGSDGPLMQQSV >KVI06253 pep supercontig:CcrdV1:scaffold_101:432584:448244:-1 gene:Ccrd_015392 transcript:KVI06253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 DSEDNDKTYAAKFSPFWNEIIKSLREEDYISNRQVTILWEMDLLSMPSNTGSLGLVQWPLFLLISKIYLAIDLAIDCKDAQADLWSRISRDEYMAYAVQECYYSIEKILHSLVDGEGKLWVDRIFREINHSMSEGSLVMTLNLKKIPLVLSRFSALTGLLIGMLNCDIFASKLLYQIMNETPELAKGAAKAVYELYEVVTHELLSSDLRYDVRCPFLEQLDTWNILARARNEGRLFSNIGWPRDPEIKEQAKRLHLLLIVKDSAADIPKNLEARRRLEFFTNSLFMHMPPAKPVSEMMPFCVFTPYYSETVLYSSSELRQENEDGISTIFYLQKIFPDEWENFLERIGRVNTGDAELQESSTDALELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLESRSLGEGIPQASLSPQGFELSREARAQVDLKFTYVVSCQIYGQQKQRKDKEAADIALLLQRNEALRVAFIHVEESAGSDGSMVKSFYSKLVKADIHGKDQEIYSIKLPGDPKLGEGKPENQNHAIVFTRGEAVQTIDMNQDNYLEEAMKMRNLLEEFRGNHGLRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLAYPLKVTCKELKLVHSVSGVTILNLFCLRDDEVVAEARQFSSPRNSGGFCGVMGMLYVHRLPERCKASLKKQRIGLCDKVRMHYGHPDVFDRIFHISRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRIGQLFDFFRMLSFYFTTVGYYLCTMMTVLTVYVFLYGRAYLVTFCCTLCNCKFTSEAFSGLDQGISRRSMLLGNTAFNAVLNAQFLVQIGVFTAVPMIMGFILELGLLKAIFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVQHIKFADNYRLYSRSHFVKALEVALLLIVYIAYGYTQGGAVSYILLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWINWLLYKGGVGVKGDNSWESWWDEEQAHIQTIRGRILETILSLRFFLFQYGIVYKLHLTGKNTSFSIFTFNSKKSNFQLVLRFIQGVAALSLIAALCLVVIFTDLSVPDLFASCLAFVATGWAIICLAVTWKGVLRSLGLWDSVREFARMYDAGMGMIIFAPIAMLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVQA >KVI06236 pep supercontig:CcrdV1:scaffold_101:529257:530421:1 gene:Ccrd_015385 transcript:KVI06236 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 METIKEREKIMAVDPDTADFLGQLVKANHETDESYHVSIQDIIDECKTFYVSGDGTTSLVLSWAVLLLSIHTEWQERARQEVVELFGXRHPRSEDISKLKTIGMIINETLRLYPPGIAIIRKNEREVKLGNLVIPANVILHVPVLALHHDRTIWGEDAHLFNPERFSEGISKATKNNPSAYMPFGFGHRNCVGSNFATNTXKITLAMILQ >KVI06263 pep supercontig:CcrdV1:scaffold_101:335835:342909:1 gene:Ccrd_015402 transcript:KVI06263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1602 MVFSIASWTIVSDLTSNALVASSNSKMAGFFRIARAIAILCFCPPDNCMPLSPQTVSYPSFMELHTSTCSNKSCNFSSFDLQAQTVKDHLCWSRQKLPTMNSCKEIATRASLQDNNNPQVIAKIEAEIDWAKNANRSATRFLTISVSVESLEQRAPVLCDTMIEYDPQVLRVSSSCEPDSITSPDLITTIKSAWRIAYKQCAMTIRTSGFIQKQDFRFSKQCPCNGNPTKLSGLDGYAKSISLNSNSPLTADMVSPCSSVESMLGTLSIILLTRAAEILASAKCFIFINEAPRPLENDLVKTTPGRISTNIAGRATIANLQQRYIAVNSAVANIHRFAMKSGICLSIPSCFYDTKLCEWVPVALASGAWIFRAFIKAF >KVI06233 pep supercontig:CcrdV1:scaffold_101:249559:250462:-1 gene:Ccrd_015410 transcript:KVI06233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATKKEPVLRTVFQSSSSFFPHSFQNFTVYRSLGLLHLRKSTYFLYRQPSLQVISLFCDYKEKMNRPAPYADAGVNRYAASQLLHMSAQRMQPNAQISEFPGQTQCPSQADGRQQWDTTPQIELNPMSPPSYSQGKYL >KVI06250 pep supercontig:CcrdV1:scaffold_101:520307:524155:1 gene:Ccrd_015387 transcript:KVI06250 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MKALRRCRNNLEVDNVVLIDVDSYNFNNVIVIDVPESHSKKVGGSNGLTNDRSRPLRTYIYIDDDESPSNHHAGTHADSERNFEDDASSSRRYGPDTHFAQENISPVKLSKGKRTYSGISSNNRNSFSLQSDSDSSDDDDSPDCEFMEDFSGKLREQWERASLKRKAGVHNDHIGRRDNSSSFKSSRGAHNDSGVNKNKQKYAQASTSKGKSRQPAYMQGYQHEDRIRHAESSLSDCDARNEVDVDHGKADSRVGNETEDCSQCSPVHRDDKHEHMPSTSSDEENEKNDLDVAPTIGAVPVQSSIIDQREKLKETDEYKRALEEEWSARQIALKIQAEEAQQLRRLQKRKKAETLRLLDMERRQKQRVEEIRESQKKDEENMNLKEVYRTEVRHELNKLEKTCLDMASLLRGLGIQVRAAYKRALLSFHPDRAAGSDMRQQVEAEEKFKLISRMKEKLSL >KVI06247 pep supercontig:CcrdV1:scaffold_101:82146:93081:-1 gene:Ccrd_015424 transcript:KVI06247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNYLDPLTGLNLSSGGLLGSLSPSIGNLSFLREIWIFPVKFHLKLAVSLDYEYYVSITTLFRETFRLSYPIAPTSKTFTWELLRRWECGIPPFIRNLTLLNTLSLANWGLVPDFFHRLSSLRRIALPGNDLVGTIPPSLYGLSSLEELYLDYNKLTGRLPSNLGLILPRLRILSLNDNQLTGPLPPSVLSSSALEILDVTQNSLSVKVVITSREACNLSLFGEDDEKKFIDALSFCSKLEVLDIGNNQMRGFLPESLWNLSTNLSFLSLASNAFSGDVPSSVGNLSSLTSLDLSRNIPGSLGNISSLIELHLGLNGTIPSSLGKCTGLTLDQNNLTGEKPRQLFELSSLSISLNLGNNHFTGQLPPENLIMLFFVFYQQKRKVKLDLSEPICSESLIQVSYKMLYEATDGFSTRNFIGEGSFSSIYRGCLDKDGMVVAVKVLNLHHRGDSKSFIPECEALRNARHRNLAKVITCCSSFDFQGNKFKAIVPRLGLLQRVRIALDVAYALDYLHRHVGQTIIHWDIKPSNILLDKNLVAHVGDFGLSKILHSEYQFAYLPNEESVMTSWNNDSLSFCQWRGITCGPRHQRVTVLNLTSCGLFGTLSPSMGNLSFLREIHVQNNSFSGEIPPEVGRLFRLQVLRLNNNSFVGNIPASISNCSNLQVLHLGFNNLVGKIPDEIGSLSNLNLLITHRNRLAGGFPRFIGNLTSLQTLSFADCGLGGSIPDIFHQLTSLTRIAIPGNNFVGTVPPSLYNLSSLEQLFIDNNQLTGTLPTNLGSFQPGLRVLSLNDNRFTGPLPPSILSSSELAILDVARNNLSGKIVITSRQVCNLLIVSLSSNQFGSGDEDEMEFIDAMSICRELEVLDVGYNQLRGFMPESLGNLSTKLYFLSFASNAFSGRLPSSVAKLSNLTSLDLSSNQLTGTIPADIGNLQNLRRLDLKQNNFSGNIPGSLGNLSLLIDLHLGSNQLNGAIPSSLGKCKRLIGLTLDQNNLSGVIPRQLFELSSLSITLNLGSNQLSGLIPQEIGTLQNINEIIFANNRLSGELPSSLGSCSSLQNLDVSNNFFRGVLPSSLRSLRALENLDISHNNFTGHIPSYLEAMPLENLNISFNGFEGEVLKRGVFSNASAVSIVGNTRLCGGISELRLSKCSSKKKNRLSLAVILVISIISVLLCAAVVLFFVFYRRKREVQDDPLEQISGDSLLQVSYEMLHKATDGFSGKNFIGEGSFSSVYRGYLGKEGVVVAVKVLNLHRRGGSKSFISECEALRNARHRNLAKVVTCCSGIDFQGNEFKAIVYEFMSNGSLDQWLHNEQDEVPRLSLLQRVCIALDVAYALDYLHHRAGKTIVHCDLKPSNILLDEDMVAHVGDFGLSKILHSEYQNRQHSSSAGVRGTIGYAAPEYGVGSKVSTSGDLYSFGILLLEMMTSKRPTDAIFGDGLSLHNYAKKAMDDDGALEIINDDNGERNNEGESTAFMKNKACLRLLLEIGVSCSMDSPQHRMGMTRVIQELQLIKDAILGDFSISHSFQKPVVKTESAALLVG >KVI06226 pep supercontig:CcrdV1:scaffold_101:162735:164955:1 gene:Ccrd_015419 transcript:KVI06226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDRLPMQQLYIHQIQLNTSEPPVLHAASVMVYCSIIATRLFMATFSFYGLCLVLLIFVLGTVCPGAEARAFFVFGDSLVDNGNNNYLVTTARADSPPYGIDYPTHRPTGRFSNGLNMPDLISQRLGAEPTLPYLSPQLTGQRLLVGANFASAGIGILNDTGVQFVNIIRIPLQLEYFRQRVYKTPSSVSSTNHTQHSSSEYFYSQTN >KVI06237 pep supercontig:CcrdV1:scaffold_101:525700:530512:-1 gene:Ccrd_015386 transcript:KVI06237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 MEHHLNTILGDKHQKMNRRVDLVPKAVLEITSAVKIRNSAFISTSLPAIFFHLSSSISTLFTIAGIMFYVHAGFNRTTFIGVSAVICFPTCFTDSFIDPSDGKLYYGIDTFKGFYIFDYGTCPRCCGQEDEDEEGLKRFKINGIDIGHAFLLLIVFLVFAKSDTDVQSCLVPESGANMNVLLMNLPLGAGVLASFLFTIFPTTRRGLGYTF >KVI06265 pep supercontig:CcrdV1:scaffold_101:348683:351126:1 gene:Ccrd_015401 transcript:KVI06265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein KPLPLYNPPSTSPTFIIFSQTPKQNHQEFTTSMAITKFLLLSLSLSLVLGVSWSFDFHEKELETDDSLWEMYERWRSHHKVAASHQEKQRRFNVFKSNALHVHETNKMNKPYKLKLNKFADMTSHEFRITFAGSKIKHHRMLQGDRIGNKTFMYANVDTVPTSVDWRKKGAVTPVKDQGQCGSCWAFSTVVAIEGINQIKTKELVSLSEQELIDCDNRENQGCNGGLMDLAFDFIKKDVPKNDEKALMKAVAHQPVSVAIDAGDPDFQFYSEGVFTGKCGTELDHGVAAVGYGVTLDGTKYWIVKNSWGAEWGEKGYIRMQRGISDKRGLCGIAMEASYPIKNSALNPKPSPTSSPRDEL >KVI06259 pep supercontig:CcrdV1:scaffold_101:411053:416790:1 gene:Ccrd_015394 transcript:KVI06259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MKRFFQPVQKDVSFKKPTLSSSSSSSPSSANDAETKAPPVEDDDEKTQKKEPTKFLTWNANSFLLRVKNNWPEFTKFIDSIDPDVIAIQEVRMPAAGAKGASKNPRELKDDNNSSREEKLIITRALSGPTFRKYDVWWSLSDSKYAGTALFIKKCFPPKKHEPDGRVIIAEFESFRIMNTYVPNNGWKDEETSFQRRRKWDKRIKEFILRSMDKPLIWCGDLNVSHEEIDVSHPDFFQSAKLNGYIPPNKEDCGQPGFTLSERKRFGSILKEGSLIDAYRFLHKDKDMERGFSWSGHPIGKYRGKRMRIDYHLVSEKLKDKIVKCEMHGHGIELEGFYGSDHCPVSLELAEPSLDAEKLNTN >KVI10362 pep supercontig:CcrdV1:scaffold_1010:27255:32075:1 gene:Ccrd_011237 transcript:KVI10362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MHILRKDPGLPIDSFYEVRAGCTDVPETKFKIKAGKTLSVKRWRASFSPEGSLDIGKCLSRIYRGGIHPSIRGEVWEFLLGCYDPKSKFDEREQIRKRRREQYAELKDSCKRMFPVVGSGKFITARVITANGDPIKDPVVGTNPQKISTPQSQENVGSSPATVGPREQDKEIIQWKLALHQIGLDVVRTDRTLMFYEKQENLAKLWDVLAVYAWFDKDVGYGQGGFLVLNLIHQTGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTGNTVGVEAQLSNLAKVTQVVDPKLHQHLEHLGGGGYLFAFRMLMVLFRREFSFGDSLHLWEMMWALEYDPQLFSLYEDEGGERHNVEKVKRKSKRQYGKYERANRRNRGSGAAQQLPISVFLVASVLEDRSDKLMREARGLDDVVKILNDSSGNMDAKKACTGAMKLQKKYLK >KVI10360 pep supercontig:CcrdV1:scaffold_1010:16723:25467:1 gene:Ccrd_011235 transcript:KVI10360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter, C-terminal MISFSVQIHFTNMVSTAKIKSVDFYRKIPRDLTEASLSGAGLSIIAAVAMTFLFGMELHNYLAISTSTSVIVDRSSDGDYLRIDFNISLPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDKHLRTTGSEFDSGPVTNILKHDDEVDEEYGEGSVTLNARNFDRISHQHSILVVNFYAPWCYWSNRLKPSWEKAAKIMKERHDPEVDGRIIMGKVDCTEEVDLCRRHHIQGYPSIRIFRKGSDVRQVPVTFTYLLFLCIRDEHGHHEHESYYGDRDTETLVNTMENLVAPISLESPILSLEDKSGKTAESAKRPAPREAGCRIEGFVRVKKVPGNLVISARSGSHSFDASQMNMSHVISTFSFGKKISSRVMSDIKRILPYIGASHNKLNGQAYLTDPEDRANVTIEHYLQVVKTEVMRSSHQLIEDYEYTAHSSLMHALSVPVVKFHIEPSPMQVLITENRKSFSHFITNVCAIIGGVFTVAGILDSILHNTLRLVKKVELGKNF >KVI10357 pep supercontig:CcrdV1:scaffold_1010:75275:77716:-1 gene:Ccrd_011243 transcript:KVI10357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MKQLLEILNRTDIRRIAVWGKGGIGKTILVKNLSNLLYFSSPNSFDIVIWVQVSRELDLSTIQSQIAKRIHLKVEAGDTTHNIAIRILRRLKLRRKILLILDDVWEKIDLDAMGIPSRDPCCKVLLTTRSRDVCRDMAVDVSFPMNLMNEEDAWDLFNESAGPIIGPDGRESPARKIVADCHGLPLAIKTLGKFMRDRPQIELWRNTALWRQCSSPLFKNIEKEVFRPLEMSYHYLPSKILKQCFLYCSLYPASFSIDVAELIQCWVSDGLINENQSMAEAFNYGVALVEHLKDSCLLDQDGSRGTVKMHDIFHDSAIRLSEREELYGFHCQSGVPFHQMPKESSRRFSLMGCRIEKLQAFPVCSQLTVLLLQGNPIKRIPDDFFQNVKSLRVLNLSNTRITALPPSFLCLGELRALFLRGCNLMKLPSLKALGKLLVLDLSSTLIRELPRGLGSLSSLRELNLSCTHFLEKAIAGSILGLSSLETLDVSFSAYNWNMKTGADQRARFDELLSLDHLSVLRIRLDSVERLASTVASSWLKKLTRYDIHISPRSFDSNYHVAQHNEKRLVLRGVDLLQEDLRDVLYNTSSLDMLTCVGMTQRHWLSLSSLISLTISNCDDITCLINKESSSQVMFPNLQHLVLDHLKNLVTTVESILPRGKCLSNLKTIHVLDCPMLRGAISYAMLRHVGKLEEIKVSGCENMCRIIESGGHAKTLAVLRVINISNMVNLTSICDGTSVCPVLEQIEVSGCWGLKKLPLSISSSCSLKEIRGDIEWWNNLTWENDVVKRYFEQHFQACPREENCSRKRKYKNTS >KVI10364 pep supercontig:CcrdV1:scaffold_1010:4137:6687:-1 gene:Ccrd_011231 transcript:KVI10364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calycin MAYHHFTTATGKAPILLLPSHSPRKMPTNIRFKCSIELPLSISTTDAARHVVSGLAASLVLIVPTNQVLATQLSYNNLCQIASATNSMPTLPLDEGSNLMMMRGMTTRNFDPVRYSGRWYEVASLKRGFAGQDTFCVHGGPDGYITGIRGRVQCLPEEDVEKTETDLERQEMIKEKCYLRFPTLPFIPKEPYDVIDTDYDNFALVSGAKDRSFIQIYSRTPNPGPEFIAKYKLVLADYGYDPSKIKDTPQDCEVSDSRLAAMMSMNGMQQALTNQFPDLGLKSAVEFNPFTSVFDTLKKLVQLYFK >KVI10349 pep supercontig:CcrdV1:scaffold_1010:99338:104482:-1 gene:Ccrd_011249 transcript:KVI10349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVSSLCCDSLFHYSHYLCTSSNQQQMKTDTRVPMSLVANRLHVSWVPNPHLPAASHVVNRQLPVSCLVISFPVSHEELTSFYECLTKFGDCTSQPFSIKNGCFLDILQLTTQPGHHLKTKGAIIFICISLTRISSSFFFKKIIGFKAGGTLGLLGCFRSSNTFCPNDLQYYKMQKSQTALQFQGEKDPRYTALASTPPLQLVPSSAITSGDEVGVNNDSKELPSLSASQTSFVVTFRVAITLSQKNGFSLALLESSASGSPGPNAWRGVLRPPPGGRGGALPPLGGGGGRGPSFRGGGGGGAPPDGGGGGGGRLAEGARRGGGEGAAAGAGVGDGDGTAAGDRGGAEAVLADGGCTDRSVLPGGGFNVDSALPGGGFRGGILEALKLSGGSSDAKANDTLEFTEESVFCRSGND >KVI10368 pep supercontig:CcrdV1:scaffold_1010:139303:140999:1 gene:Ccrd_011255 transcript:KVI10368 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein RecA MQGRVVEIYGPEASGKTTLALHVIAEAQKQGDYNKVAAMSLKAVNENFGFGGESPVGGNWYGAKCQPNSFICRGASTGCRRMEI >KVI10371 pep supercontig:CcrdV1:scaffold_1010:133688:136544:1 gene:Ccrd_011252 transcript:KVI10371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MKPISVLFLLFATTCVFLRSESISRSDFPDGFTFGTASSAYQFEGAVDEGNKGDSIWDTFSRLPGRILDSSNADLAVDQYHRFKTDIELMKNLGMDAYRFSISWSRIFPNGTGKPNPQGIRYYNRFIDSLLEKGHSLLEFDFGQHKKKTVSNPAEGIISGIQPYVTLYHWDLPQMLEDRYDGWLNTQIIEDFEHYAFTCFEAFGDRVKHWITFNEPHGFAIQGYDYGIQAPGRCSFLFHLLCKKGKSSHEPYIVAHNILLSHAAVYHGYQRHFKEKQGGLIGISLDIKWYEPLSDSDEDRDAATRAMDFGLGWFLDPLFLGSYPLSMQNQVGDRLPEISPVVSEFIMGSLDFVGINHYTSLYAKNDRTGIRKFILRDASTDAAVITSSSRNGESIGEKAASSWLRIVPWGLRKLAVYIKEKYGNPPVIITENGMDDPNKAHIDLDEALQDDKRISYHTDYLSNLSAAIREDGCDVRGYFVWSLLDNWEWNYGYTVRFGLYYVDYKNNLTRIPKSSVNWFKGVLRSLPHPVV >KVI10370 pep supercontig:CcrdV1:scaffold_1010:136418:142248:-1 gene:Ccrd_011253 transcript:KVI10370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAAMRAAAKVAGLGVSNGGLRGGGNHVVSAARRSVASGVSSSDDVKLAIANKTTNSSVEKGYDDWEFADELLNDPMPRLVFGGAPTIQEAKQATSDLGDALDKTYLSSNDQNSSLLFDLEHVETKPCLVTDTCASKHAIQAFRLLHENTEAQNVVASIASDPNVWTAVLKNEALVDFLQTHKTSVIFPQVNDERSPEASESKSASGKGFRDYVEDIKQKISVTVVDMMTSFSDTFLSLFAGGSAKGQEYTMNPDGTAGISVEKTTIAATLMGLAIMVITVVVLKRA >KVI10359 pep supercontig:CcrdV1:scaffold_1010:32527:35126:-1 gene:Ccrd_011238 transcript:KVI10359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MRWKKAWVLLLVFQIFTRAQDDGKISLPKEVDTCNGIFLLYTFDSRQKEYPRLKNATAQSWAFKSQLSVVNAGTTELKSWQAFIGFQHDEILVSIDGAMPLNAQDFPMKVGNNGTHLTGYPHADLKTAIETAGDLTQMSAIVKIKGTMFGVRLGGNLMPTKIKLENQGFKCPAAKTKGQRSMHVCCTKDPKYKEKKKKPLKFFPRRKADLSFTYDITQAYKANYMAEVTIENNHPLGRLDHWNLSFEWMRNEFIYDMRGAFPRKKDPSECLYSAAGQYYQDMDFSKVINCQKRPIISDLPPTLKNDEKVGKLPFCCRDGIILPKIMNATKSRSVFQMNVFKLPPDLNRTTVNPPQNWNITGMVNPHYRCSQPVRVDPTETPDPSGVEATISAIASFQVTCNITKPKPKMARCCVSFSAYYAESVVPCNTCACGCEDENPRKCDEDAPALPLPSEALLVPFANRTLKAKAWAKIKHMDLPRKLPCPDNCPVSLNWHVDSDYKTGWSARMTIFNWDTRSFEDWFLALQFKKALVGFENVYSFNGTKLPKTIFMQGLPGLNYLVGLTNGTKAGEPPVPGKQQSVISFLKKNTPRIRVAEGDGFPSKVVFNGGECALPKRLPKRSAGSNNSSPMGLFSAVFVSFSTFIIRTN >KVI10351 pep supercontig:CcrdV1:scaffold_1010:83007:85249:-1 gene:Ccrd_011245 transcript:KVI10351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENTNWIYEYGLIEDIQVPDANFTLPASGFTWPVQPTFNGSSSNPSAELDGSIVDSDGHNDSRSKKRCATFLSVFEPDVAGWLVYNRGRPDSCSGTSSKACREKLRRDKLNDKFVELASILEPGRPPKIDKAAILVDAVRMVTQLRREAQKLKDSSLDLQEKIKELKAEKNELRDEKQRLKMEKDKLEQQANTMNAQPSFMAPPPAAAFARSQAVGNKLVPVISYPGMAMWQFMPPAAVDTSQDHVLHPPVA >KVI10367 pep supercontig:CcrdV1:scaffold_1010:6994:12757:1 gene:Ccrd_011232 transcript:KVI10367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MATRFTLLNLFTANFTTTTICSTGGITRMSTKSLKSKKPPSPPQNASRSSSLSSHLAMIELKHRILTSLSKLSDRDTHQIAVEDLEKIIQTLTPDGITMLLNSLYEATNDNNKPAVKKEAIRLLFFLCATHTESAASHLTKIIAHIVKRLKDSDSGVKDACRESIGQLSSLYLKGEGAENIGSVVSLFVKPLFEALNDQNKGVQAGSAMCMAKMVEMASDPPISAFQKLCTRICKYLNNPNFLAKAALLQVVSSLSQVGAISPQGLEPLLQSIHDCLSNSDWATRKAAADALNALALHSSNLITGKTGSTITALEACRFDKIKPARDSIIEALQQWQGLAGGSEDQKTSGHGAHKKISLSLLVAPPPPSLLFVTCSCLSIFSDSEPAKSDDRKTEARAKDDEAEGSNVPEKAVGILKKKAPALSDKELNPEFFQRLERRVSGEVEVVVPRRFVKSSNEQIEEESGINDTEAGSQSKESYQKVDRGVGAPRRREFDDMNDPSQREGLRSNKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHDGMVTLENRVRGLERVVEDMARDLSIAANNRRGGNYMMGFEESGRQLGKYNGXSDYPNAKLGRNDDGVSYMGSSMRGRGSSWRSDVPESWDYHAYGRNSQMGLRRAAMDGRSPKSDNGSEQVNRRGWGDKGGGPARFGEGPSARSVWQASKDEATLEAIRVAGEDNGPIRTARVAVPEMTAEAMGDDSLVQDRDPVWTAWTNAMDALHVGDIDTAFAEVLSTGDDLLLVKLMDRTGPVVDQLSSEVGTEVLHAVAQFLPDPNLFDICLSWLQQLLDMIVENGTDMVGIPMEVKREILVNLNEASSTMEPPEDWEGMVPDQLLLQLASAWDIDLQQLEK >KVI10358 pep supercontig:CcrdV1:scaffold_1010:35695:39261:-1 gene:Ccrd_011239 transcript:KVI10358 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDRGK domain containing protein MDGYGNGIVFNGSGRIIQPKRIPGTGSRILISIDRSGLLFALNIFFPEFKPLPLNFLVCGAVRCGDRVKVLSWENFADKMEDMFVAILSMLLVVALIPLYLWRRRQVPQSHEQEAQVQHRETVVRPTGTRSRMRRRHTSAASTSSAPPAAEETDDGSDEEALEGEYYNAKASKKKGRKKEERQAQRQAEEAARESRHTKQDRYAEIRRRKDEEHEAKERLLEEEAEARKAKEEEAAALEFEKWKGEFSVDAEGTTENEVQDGSQGLLYDFVEYIKKQKCIPLEDLAAEFKLRTQDCINRITSLEDMGRLSGVMDDRGKYIHISYEEMQAVADYIKREGRVSIAHLASKSNQFIDLEPKAAMITEEELGAQDEIAVA >KVI10372 pep supercontig:CcrdV1:scaffold_1010:110815:111661:-1 gene:Ccrd_011251 transcript:KVI10372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 MPPVSGSSQNATSRNAKAFPLQLQAILLATDCNPRWLTKHLPSLASSREVPVIFVKDKKGGSFKLGEMVNLKTAMAIGVKARGNAINQLIAEILGDNPVTNGALESER >KVI10366 pep supercontig:CcrdV1:scaffold_1010:12951:14642:-1 gene:Ccrd_011233 transcript:KVI10366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase-like, plant mobile domain-containing protein MAEDTLMEERTEFMVSPKHPHPKRRLAQFLKPSVPTSLDVQPFLYKPPQFYSPNLSFNFNGWRTPQKDWKPWVDRMHSLHQFTWKKAGIYHAVLNSSYEIIKNDDSIIGLAEKWCHETNSFVFAWGEATITLEDMMVVGGYSVLGESVLHPSEIRESFNFLDEARVELQRSKTNKACQFGWLKKFKDTGTQFEHEAFLVLWLSRFVFPSSYSTVVKHVFPIAIHLARGIRIALAPAVLASLYRDLSFLKAKIDDSINGDYHDEISITVWAPLQLVQIWIWERLPKLMRPVTDGCKPRFSTWDKKKLHIYNAGSLFDCALQNFSWRPYATSTSGIDHLFSSKVYQEKGRWVGVGDGLDEELESWVRCLRASELVGIDGSCIEQYLPHRVAMQFGMDQDIPGEVPRTNASPETAWRFYTRPIRNVLVYLPSKFCEPYVTARYLEWWNKSVGVQARSSPMGDNEKLENFVENESRIEGIKESVDGNKASDESRSEGSKEFVDGTNTLDESITEGSKESADGTKTSGVASLGIHEANTLRLELEARIRKLEEVFAYLKAKKLGQRLM >KVI10373 pep supercontig:CcrdV1:scaffold_1010:108766:110469:1 gene:Ccrd_011250 transcript:KVI10373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MGSEQSFGYRVTGEGSSYSSSHPHIPLRLFESLKFEARDSPNSPFLTHFDSEIFTTLSDSQEKYSSTSGASPSLEDSSSFNQSGSSAFVQSLSGSVHYMKNALQDIETTLMAPDEEVSMPKNPPSGQNRWQQASSQGPRQWTPEPTSSNMVQPQAVYSPRYGPSGDGLHAEKRHKSMEEAGLQAVQFPPGNNSNNLKQLLIACASALSRNKIDEFEELVERARGYVSISGDPIQRLGAYMVEGLVARRELSGNNIYQALRCREPEGKDLLSYMHILYEICPYLKFGYMAANGAVAEACGKEERIHIIDFQIAQGTQWITLLQALAARPGGAPHVRITGIDDPVSKYARGDSLELVGKRLEAVSQKFNIPVEFQPLAVYAPYVTKEMLDVRDGEALAVNFPLQLHHMADESVDVNNPRDERLRMVKSLSPKVVTLVEQESNTNTAAFFPRFVEALDYYSAMFESMDGSLGRDRKERINVEQHCLARDIVNIIACEGKERVERHELLGKWKSRLTMAGFRQYPLSSYVNSVIRNLLKCYSDHYTLVEKDGGGMLLGWKHRNLISASAWH >KVI10352 pep supercontig:CcrdV1:scaffold_1010:85658:89615:-1 gene:Ccrd_011246 transcript:KVI10352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MVGTANGSRTPVTPRQAFSVVNGGQDPVLRSGPGSIAGSDCGGIEFTREEVEALLNVKIRTKDKFNLKVVLEKCEMMMDYIKKLRMCVRWFQEFEGELSFEHEKLKKLFESAEKKSNDMEMLMNAKEEELNSIIVELRKNYSLLQEKFMKEETDKLAAIETLMKEKDARMSAERSLASLKEDLEKAVRESSSANQKVDLNPTNSDIIFLSVLCVIEESNMDLFQILSINDMYKRLQEYNTSLQQYNSKLQTELNQTSDTLKTVEREKAALTENLSKLRGYHASQQDQLASTKVSLSILASLEESMKLKEALSSEVGCLRGDLHQVREDRDRQLLLVQDLTAEVLQYKECTGKSAAELGDLTSKSIQLEATCTLQSDTIRRLQEQLAIAEKKLEISDLSSLETRTGYEEQKRLNSELQIRVDEAELKVVEGEALRKKLHNTILELKGNIRVFCRVRPLLLDEGVDNETKIVSFPTTTETVGRGIELVQHGQSHPFMFDKVFTPQSSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGTPGSYDEKGLIPRSLEQIFESRQKLLDQGWKYEMQVSMLEIYNENIRDLLASNRSCSTDSGSKQQYAIKHDASGNTHVSDLTIVDVRSSREVSFLLNRAAQSRSVGKTQMNEQSSRSHFVFTLRISGVNESMEQHVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEEHIPFRNSKLTYLLQPCLGGDSKTLMVVNVSPAPSSVNESLCSLRFAARVNACEISIPRRQTSMRYLEPRLSYG >KVI10361 pep supercontig:CcrdV1:scaffold_1010:15386:25031:-1 gene:Ccrd_011234 transcript:KVI10361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase-like, plant mobile domain-containing protein MAQYGTLQQGRKEHASSYYVLCTPCTVTFARSSGSVRFTFLTRTNPSILHPASRGAGLFALSAVLPDLSSKDRIGDSNEIGATKFSIVCRKEALAKRRCTKDRILKHYSLQNHILNDDSFTDSVILPTHSDQLRLRRINREQKQKKELERYTERKLDLMPCSIPNIKVNPDFKNKKNLTAIQGLSRKGKVGDGLDEELERWVRCLRVSELVGIDGKCIELYRPHRVAMQFGMDQDMHDDVPEMNDSSEIAWRFYDGQLRMLKCIFHPKFVSQM >KVI10354 pep supercontig:CcrdV1:scaffold_1010:42362:44226:-1 gene:Ccrd_011240 transcript:KVI10354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFASSLSTKPNTCFFDQSSFHGVPVASPIRIQPIKSHHNKTMPITMSYDLGSFTFRPIKEAIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKNPNVQVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELNIEYDEQEDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIIKEGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPMGATGVKRLRSVGLIESVPGMKALDMNAAEDAIVRLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALKALGLPNALDGTLTGSIHPELILAAADSGESVDA >KVI10348 pep supercontig:CcrdV1:scaffold_1010:98645:103184:1 gene:Ccrd_011248 transcript:KVI10348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFIAFVLLLFPLAASIVSDSHTDAQQLPLANLTNSEGMMGQDPDVKTSLVDCNNIHIPVSVQDTRHIQRWNAAPPIRRRLAQVNAPHSEANYNNNKDEEEEEEEEEEQQQQQEQHGGSSSLEEDIEPIPFTVSKADTGGGGIDNTTIIIVVVSTSTVTFLLATLLFCWYTRTYGGGQNDEKPLLSLSRSASQKSSYSIKDSVDQSFPDLQNTDSSVNSSVSLAFASELPPESLSASRIPPLKPPPGRAESTLKPPPGRTDLSVHPPSANTASAPPRSPAAVPSPSPTPAPAAAPSPPPLRAPSARRPPPPPPPSGGAPPPPPPLKEGPRPPPPPSGGRAPPRPPGGGLKTPRHAFGPGDPDADDSNKAKLKPFFWDKVMATPDQAMVWHQIRAGSFQFNEEMIENLFGYNAADKNKTNNKKSSAAQDPSSHFVQIIDSKKAQNLSILLKALNVTTNEVCDALKEGNELPVELIQTLLKMAPTGEEELKLRLYNGDLSRLGTAERFLKRLVEIPFAFKRLESLLFMCTLQEEEEIIKESFQTLEAACVQLRKSRLFLKLLEAVLKTGNRMNDGTFRGSAQAFKLDTLLKLSDVKGVDGKTTLLHFVVLEIIRAEGVRAAKTAQETKSSSSFKTDDFLEEEAAANSCQGDTDEYYRTLGLQVVSRLSSELEDVKKAAILDAEGLTGTVSKFGQALVKAREFLNTDLKSLEKEDEDEDDDDEDEFSTTLSNFVQNAEKDVMWMLEEEKRIMALVKNTADYFHGQAGKDEGLRLFIIVRDFLIILDKVCKEIKATPLRPPPKTTLTKDVSSSKTTEKKDGSPSRTMETNNYVSSPQTNDVSTPRTQETHETPRTHSLGAENSPHKIWETENPRQEPKGSRHETREAQDLQHETHKAEDMQHEIQETVGSRLETQEIDDLQETESSYKIKKTDDRQHETQEINYLPHEIQERRSPDKTQEADDSLHEKQQEDEDLEPKTHEDDLRQETLEPDDSQSKMQEDDVSQPKTWESDSLQHEMRKTDSTDDSGPETQVTDDLGPKTQETDNSGTKTLEDDDPLPKTHEQLLSAIAIRRVDSISSNSSSGHD >KVI10355 pep supercontig:CcrdV1:scaffold_1010:57997:59999:1 gene:Ccrd_011241 transcript:KVI10355 gene_biotype:protein_coding transcript_biotype:protein_coding description:NO signaling/Golgi transport ligand-binding domain-containing protein MAPVVPRSGDAIFANVERVNSELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVTRCVDFRETAEVIAKVGFKMFLGVTASVTNWDAEGTCCSLILDDNPLVDFVELPDTCQGLYYCNILSGVVRGALEMVSMKTEITWIRDMLRGDDAFELQVKLLKQVPEEYPYKDDE >KVI10350 pep supercontig:CcrdV1:scaffold_1010:80349:82431:1 gene:Ccrd_011244 transcript:KVI10350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MQLHISPSLRHVTLLPGKGVRELIQLKVGSRRLSYKMLFYSLLLFTFLLRFIYVLTTVDTVHDHTKCSIVGCLGEKLSPKLLGGRNLQSASAVPQVIYQILEDPSSQDEIIDIPQTLEEFVTSMKGSNRPDAKTFATKLKSMVTLLEQRSRTAKIKEYLYRHVASTGIPKQLHCLALRLAHEHATNADARLQLPLPELVPALVDNCYFHFVLASDNILAASVVATSLVYNALRPQMVVIHIITDRKTYSPMQAWFSLHPLAPAVIDLKALHHFDWFANGKVPVLEAMEKDQRVRAGFRGGSSAIVANNTEKPNVIAAKLQAMSPKYNSLMNHVRIYLPQMFPSLKKVVFLDDDLVVQSDLSPLWEIDMKGKVNGAVETCRGGDKFVMSKRFKSYLNFSHPLISKNFDANECAWAYGMNIFDLQAWRKTNITQNYHYWVQENLKSDLSLWQLGTLPPGLIAFHGEVHVIDPFWHMLGLGYQENTSVADIERAAVLHFNGRAKPWLDIAFPKLRRLWTKYINFSDKFIKSCHITAS >KVI10353 pep supercontig:CcrdV1:scaffold_1010:94237:95226:-1 gene:Ccrd_011247 transcript:KVI10353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MTNIQLEVLTSEDHQSPHKWCVPLKEDVFAAFMAKGGPIVHRVFGHKSLFGPLLFTKFFDPSDAFPLWEFEPAVLLSHLHNPCVDWFQTDASCILISQLPKIEKSSLGICVENGKVIEISGLWKKHGESRTTDWRSGKWWEDGFVRRLELPDNTDWKKMEVYVKNDTTVLDIRLPKLLQRNVEETRMSQHNA >KVI10356 pep supercontig:CcrdV1:scaffold_1010:60482:74379:-1 gene:Ccrd_011242 transcript:KVI10356 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MESILARALEYTLKYWLKSFTRDQFKLQGRTVQLSNLDINGDALHASLGLPPALNVTTAKVGKLEIILPYLSNVQVEPIVVQIDKLDLVLEENDDLDAYKATDSAQTPSSPAKSSGYGFADKIADGMTLEVRTVNLLLETHGGARRCGGATWASPMASITIRNLLLYTTNENWQVVNLKEARDFSNDKKFIYVFKKLEWEHLSIDLLPHPDMFAAFSEGAFKDDDGAKRVFFGGERFLEGISGEAYITIQRTELNSPLGLELRLHITEAVCPALSEPGLRALLRFFTGLYVCLNRGDVNPNSQEVGFLFHCCYLVCKLFRALLYEMAIRNSLFWQRSAEAAGRTLVSIMVDHIFFCIKDTDFQLELLMQSLVFSRASLSDGEIAKCLTQVMIGGLFLRDTSSRPPCALVQPSMQDAAEELLHFPDFGKNFCPPIYPLGDQQWKSSDHAPLMSLHCLQFMPSPTPPSFCSQTVINCQPLMIHLQEESCLRISSLLADGIVINPGDISLDFSVNSLEFNVKGLDITIPLENRRSNSPCDNYPIYETSFTGARLHIDNLFFYESPSLRLKMLNLEKDPACFCLWKGQPIDASQKKWTSGASLLRLSLETCNNSKGAGGSLSHSSELWSCVEIKGACIQVAMVTADGSPLIEVPPPGGVVRVGVACEQYLSNTSVEQLFFVLDLYAYFGMVSEKMATVGRSKYKKVVKHEPCDGSLIEKVPGDTAVSLAVKDLKLRFLESSSMDIQGMPLVQFVGEDLFMEVTHRTLGGAMAISSTLRWDKVQVECAETERSSINANGVMPDATTDFLPFPNGNPKLRTVFWVQNRRNYQANDSAVSTPFLNLRVVHVIPYNAQDTECHSLSVSACIAGVRLAGGMNYAEALLHRFGILGPDGGPGVGLSKGLEHLSAGPLSKLFKASPLLVKDFRENCKSESMEEKHSGYLHLGAPDDVDISLELKDWLFALEGAEMAERWWFYNSDDSYREERCWHTTFQSFKVKANSSINNSVNGKKNLPGAQKYPVESVTVGVEGLKTLKPQQQKGIVATNGHKHDSHGGVDLEADIVLSEDDGANKWVVESLKFSAKHPVEAIVSRDELQHVAQLCKSEVDSMGRITAGVLRVLKLEGSVGQTAMDQLSNLGFYKIFSQKNLHRDSNASSPSSVATADNQCSSSSFNSTLTLLEAALLDSQTNCATLADELSSSESSKRHLDRVEQLAQKLESMQKLLSKLQTQKQAVIRSKTGFGRKLWFVINIMGGNKNGIFRYADGLDKFLMFWGTLGSIGDGLQVPLMMYVLSGVINQYGDPKAIVTCSTVDKYSLRLLYVAIAVGLSAFVEGLCWSRTAERQTSRMRLHYLKSVLKQDVAFFDTQQPAASSTTYQVVSTITADSNAIQVTIGEKIPDTLAYLSSSFFCHIFAFTLSWRLTLAALPFSIMFLVPALGFGKLMMDVGMLMIASYATAGTIAEQAISSIRTVYSYVGEHQTIINFGNALQTTMELGIKQGFARGLMLGSMGIIYVSWAFQAWVGSLLVTKHDEKGGDVFVAGFNVLMGGLNILTALPNLTAIAESKGAATRINEMIDRNPTINSEDKKGKALSYVRGKIEFKGIYFSYPSRPDSPILQGLNLMIPAGKTVGLVGGSGSGKSTTMSLILRFYDPIEGEILLDGHKINKLHLRWLRSQMGLVNQEPILFATSIKENILFGKEGASFDDVVAAAKDANAHDFIVKLPDGYETNVGQFGIQLSGGQKQRVAIARALIRDPKILLLDEATSALDTESERVVQKAIDQASVGRTTIVIAHRMSTVRRADMIYVIQAGKVVESGSHAELMQMNGRKDGGGEYLKMVQLQQSAPGNEVMDISNSFQSPYRMSVAASPTSVRSSAPSTPSLNPFSPAFSITTPYSVQFNTSYDSDEEDNLRKLSHSAPSQFRLLKMNAPEWVATLWGCLGAIGSGAVQPINAYCVGGVIHVYFESDKSSIVDHARTYSFVFLALGVFNFISSVIQHYSFAVMGEKLTTRVRKKLLENLLTFEIGWYDEDENTSAAICARLSSEANMVRSLVGDRLSLLTQAFFGAVFAYTLGLVLSWRLALVLMAAQPFLIGSFYARSVLMKSLSEKSHKAQKEGSQLASEAVINHRTITAFSSQRRVVSLFKDTLEGPKKESIRQSYYSGVGLFSSQFMATASTALAYWYGGRLLTQGLIAPEKLFQAFLVLLFTAYTIADAGSMTKDISRGSNAVSSVFAILDKKSEINPDTSHGHDSIKGNIRGRVELRNVLFAYPSRPDHMVFKGLNLKIKQGTSVALVGPSGSGKSTIIGLIERFYDPLKGVICIDERDIKEYNLRALRSHIGLVSQEPTLFAGTIRENIAYGKPSAKESEIRNAAMLANAHEFISGMKDGYETYCGERGVQLSGGQKQRIALSRAILKNPAILLLDEATSALDTISESLVQEALEKMMKGRTCIVVAHRLSTIQNSVSIAVIQDGKVAEQGSHSDLLSLHGGAYSNLVKMQGGNSPFRH >KVI10365 pep supercontig:CcrdV1:scaffold_1010:348:4021:1 gene:Ccrd_011230 transcript:KVI10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPMLKAAIEISTLLARSFFMGFSMTVLALLARLRVLTQQILLDVVSVFNMVSALSRKQQSIKLNQEGIEAFHSSIYNKSVDITLDKAPEEDTGTIRMDDLSSMEGLSNDCKQVDGSGFSEPASKNLEHEETTNSSCILVPGIQRILTSDFRTFMEVNVDQDNQQHMVQTLEAIKGGGGSIKVGSTGTINALMTRELQSIKVASPKTALEPTDAATDTKPKADEASTSSSNTMNEKHTSIPQKTKHHHTRKGSRNPILHHSDSVSVDGSGSPNRRKTDKKGSCMVEIVDIKCGVPDKNWANPITNRFKKLSFSKLSETNGVK >KVI10363 pep supercontig:CcrdV1:scaffold_1010:25550:26412:-1 gene:Ccrd_011236 transcript:KVI10363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MLCTSTQDIFLLHPTNTHPHHLSHPYLPIFMAKPVVVVVFLGILSLLLLIQDSGAYEFMVGGTGDWSLTSTFYNQWAQQSRFQIGDTVLFNYEAGKDSVVQVTKDDYNNCNPASPIAMYSDGHSLVKFRQSGPHYFISGLVENCKNNQKIVMVVMADRTNRSSPPAPAPEGQESPSPPLAPPTEDYNPNAAISTVTSFIYSVVTLVGSSLVFVF >KVI10369 pep supercontig:CcrdV1:scaffold_1010:137945:139287:1 gene:Ccrd_011254 transcript:KVI10369 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein RecA MAPVRQRFRRLRARFQFNPGVGCHFILFSLAMSLSVYGIQLLGKKKSKSDGSDSALKQAIDQINTSHGKGSIMFLCQCASPRQVPVVSTGPFALDIALGVGGFPKAFTLYVCDSEVLIDAHNEVFLPEHLAIRSLAYLCCLHCISFTSFELHLNRLLNDTMLKIMFLF >KVH96813 pep supercontig:CcrdV1:scaffold_10105:2494:2931:-1 gene:Ccrd_001095 transcript:KVH96813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase, C-terminal MAGISTAEATFPMSLEKPVTVVVARPAKKERDQEEEEEEVLVIEGIEIKRDEFVKFDVFINDEDEMPASGGGPEKAEFAGSFVNVPHKQRDGGGGMVIKTRLRLGISELLEGLEVGDDDEHVLVKLVPRCDDVHVTITGIKIEIE >KVI06542 pep supercontig:CcrdV1:scaffold_10108:1954:4589:1 gene:Ccrd_015109 transcript:KVI06542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGKSTKHWRPTLAAITEDGVAREKVHMILMVWRMIRFLITLLITSFETLIKIVTVISLSKSYNLSLPISVPPLVYLLKELPPIPIIFTLRF >KVI04012 pep supercontig:CcrdV1:scaffold_1011:87523:88197:1 gene:Ccrd_017686 transcript:KVI04012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRLILVSKLNRIFFPTSKYQTAFSSAASHGGDPQMEDAMTQGVDTEGETNPHHHHHHHHHHHHDQEFPTKDENLYMHPKTVPPNLSSSKLETPGVNKPFDPHHQQKRTKEELSCAGLDGSPWPADHDQTEDDDDKHYFEHHKASPLSEIEILDSRKPLARVTDGTAGGYFGDQQVITWRPEQLDTAEESLXRATEMFRDAARRGVPEWPHSRRLRQLRGEDW >KVI04005 pep supercontig:CcrdV1:scaffold_1011:125523:128651:1 gene:Ccrd_017692 transcript:KVI04005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MEAVTTKSYVWVALLFWVIAMLASVSAHVGYGVEGYLPPKEKTEHGKPMIPNQEGYEHPNNLAVQGLIYCKSGATARVSCVAVHKNGQESAPFSFLSYPTDKNGYFLAKISPSKLXLWKLTECKAFLENSPWSKCRLPQDTNGGITGALLTSSRLLKGYHLSSVGPFIYTSGPPQEDSAHTHKPWMGMGMGMGDDNNKKKNGKSMLLGINIGCLGLGGIVLLGGALVTATLVSITTRRQRRSSXDAINHLELMMKTNKDRESLDLQNSSSDGANGMKEMQAKPNQILTPDETQNSGVGEDQKSTWIYIDNVFETKVVDBGYEKIVLELDYDQQSVVATQTELGFPSSEEDSSSEILAITAPRDGGGEEQKYPMLIDDEETDAAGTEKSLVSIQGVTLIKEDEAVIVEESMQYENSRDITSQGQVPLKDDAAEETPTGHVVEDQETVFMNAGAASVNRQFLEKKEVYGHDEMIPENYGDLVDKTGYPDSIVNELVMKEXAKIKVEDSLQVRFLEEEKEIDVVEDCEGDLSYKTGEVMRGEKQQTGNNSEQATGEEEEEEAVKAASVEPVNAEDVLGMAAAQQMQFHEQEKDKEGELPHVQLIEAAKVDDQPVVGEVNPPRQLIETEDELVKKEGKSKEEAIRHVRLIEEAKDGEEDGSEASSDGTRYSSMQELVIPEAKIDNQKTEENMTIVGKXQNDSDHNGIMKEGKSASNYKQRFAKQAAASEPWNLKLWGWFLLASIWCICHCYSELPLPEVSLVGSLFFIFIFLGHRKRTK >KVI04002 pep supercontig:CcrdV1:scaffold_1011:122961:125147:-1 gene:Ccrd_017691 transcript:KVI04002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19/L19e MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKLARREERLAQGPQERTPAAPAAXPASQPAAQAPKKSRK >KVI04007 pep supercontig:CcrdV1:scaffold_1011:13:21447:1 gene:Ccrd_017678 transcript:KVI04007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold VTGFSVGGRVVDDNGKGVDGVKIVVDGLERSITDKEGYYKLDQVTSKQYLIEATKEHYKFDKLINLMATSLTFNVTEFQVLPNMASVVDIKAVSYDVCGLVQTVDSGYKAKVALTHGPQNVKPQMKKTDDSGYFCFEVQNLQLRANIIVFIYDNHNYIFHEYTLKFNSVSWACSFFDVLLQKERSITDKEGYYKLDQVLPNMASVVDIKAVSYDVCGLVQTVDSGYKAKVALTHGPQNVKPQMKKTDDSGYFCFEVPPGDYRLSAIAATPETTPDLLFSPPHIDVNVNGPLLSLKFYQAQVNVRGSVTCKENCDSSVSLALVRLDTKSNEERQTVGLTDQSSEFLFQNVLPGKYGLEVKHLSKGAISGEDKWCWEQSYVHINVGTEDVEGINFVQKGYWINLVSTHDVDAYLQQSDGSHVNLKIKKGPQRICIETPGVHEVHFVNSCILFGSLPVKIDTANTLPIYLXGEKYLLQGQIRVQLSSQNGVGQLPENXPIDILNDKGTVIDGSTARFVVIGNEESNTALYEFEVWANPGERLTFVPRDTWSKREKKILFYPXQHQVKVAHDGCQASVPPFSGRLGLYIEGSVSPPLPDVIIRIRAAGDSHNAQIKKNELAFETTTSKDGLFIGGPLYDDIPYHIEASKSGYHVKETGPNSFSCQKLGQISVHIHSKEDANELFPSVLLSLSGEDGYRNNSISAAGGTFVFDNLFPGSFYLRPLLKEYAFSPSARAIELGSGESREVVFQATRVAYSAMGVVTLLSGQPKEGVSVEARSDLKGFYEESVTDSSGSYRLRGLQPDTTYTVRVARRGRFDSSQIERASPESVVVKVGYEDIRRLDFLVFEQPDMTLLTGIVDGNRIKELHEHLXLEVRSASDPSNIESLLPLPLSNFFQLKGLPRGKHLLQLRSALSSSNLRFESEVIEVDLEKNTQIHVDRLRYKVEDDHHKQQELTPAPVYPLIVGISVIALLISMPRLKDIYQTSVGGVLVPGPSASAKKEVRKTAVRKRTY >KVI04001 pep supercontig:CcrdV1:scaffold_1011:157223:158428:1 gene:Ccrd_017696 transcript:KVI04001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MENIQHTNIKVNGINMHVAQIGQGPPVLLVHGFPELWYTWRHQMMYLSSKGYHAIAPDLRGFGDTEAPPSSTSYTAFHIVGDLVCLLDALGLDKVFVVGHDWGAIISWYLSLFRPDRIKALVNMSVVYNPRNPSVKPLDFMRHTFGDDFYICRFQETGWEEEFAKVDTKRLLASFYFKRIPTPPKMPRDFANLFSPPPYALPSWFTQQDLDYFASKFRATGFAGPFNYYRCFDLNWELCAAWTGKEITVPVKFIVGELDMTYNVPGIKEYIHGGGFKEAVRGLEQVVVMEDVGHFINQEKPQQINHHIYDFISMF >KVI03996 pep supercontig:CcrdV1:scaffold_1011:169303:174499:1 gene:Ccrd_017699 transcript:KVI03996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small-subunit processome, Utp14 MADTNRKKANRSKGAKEKRWKKGPHLPNSLKKELHSLNPTHDQPIQSDDDIDVYEYEETIPDEDSKKNRRYDPVDNFEYQLPKDFEDEDVASDDEEEEEEEEEEGPQKGDSDEDDDGKHVRMLQGITGLPGDAFEGKKKKKNDVVIFEAYPESEYNPSRDVLDGDGRITVDDLLNPLHGKPGFSKXRKDVQRMDRKSVSIQPPLPKPQQEKVDRRSTFVYSKKKLSEYEALVKKNREAPTIYFDEDIDVGYSTVGAIASEFRPRTEFEKKMDSLINAKELSEAHKGDGARLLELNKISXEDVKERQDRLAKMRNLLFRHELKSKRIKHIKSKTYRRLLKKDKSKAAATEIEMNPEAAKELAEKQEFKRAEERLTLKHKNTSKWAKRIKKRGFDVQDDGTRAAISEQLQQHALLTRKRDSMHDNSSSSSDDSTEEEDDDISGDEDDASKLKLLDIGKKRTLEVLDEDDEMPKSGVLSLPFMVRGQKKKKEAADEEARLALQDFDSSLKQLEGDDDDVKGNVGFLSGRKVFGAQKKQVPKSKAKVNNTDNYFDNTDSEDDADIIEDVNDKHGRMNYTQKDVNIDPNILREESEIEHDSLFKNFDYISKDSGPKITYEVSLSATNSTKKKRNMKDSNQAKSEKKAKIKKSQVAELASDKDTAIEHGEDDIDSDGETRMVDGIITSGTDYELPSQDDLIRRAFAGDDVEDDFEXSKQEIMNEENPEPEKPVVLPGWGQWTHIQKKRGLPSWMLGEHERAKKKRDEDLKKRPDAHLKHVIISEKVDKKAEKLQTRTLPYPFKDKEHFERSNRMPLGPEFNPATTIGALNRPEVVKKPGVRIKPIKLRK >KVI04017 pep supercontig:CcrdV1:scaffold_1011:113478:119597:1 gene:Ccrd_017689 transcript:KVI04017 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, ATP-dependent, DEAH-box type, conserved site-containing protein GSLKSKKRERPKPSSSQKXKLKKLDEEKEKSVLLSKSLETLEKYKIQEDAYSLMWSSRNLGQVETAREKRRREMQFAKTGLVLQHSDRPLKRRASNSYSCXIELASGEIYSNQVDTEDVFIQPMNRDIKIANVKPSPRSSVELPCSKGAEAIGALDPSSSAKKVDNEHNDTPMLDDPKASLPTSSCSRSIAKSVEVSCFANSTVSCPSPKPLAXPTVVHVSRPKEVESNRMDLPIVMMEQEIMEAIYENTNVIICGETGCGKTTQVPQFLYEAGFGSKQCSTRSGIIGVTQPRRVAVLATARRVAFELGLRLGKEVGFQVRHDKKIGENCSIKFMTDGILLRELQNDFLLKRYSAIILDEAHERSLNTDILTGMLSRIIVERQKIHEQQKSYELSTGKMISPEKKIFPLRLILMSATLRVEDFVSDGKIFANPPPVIEVPTRQYPVTTHFSKRTDIVDYLGQAYKKILSIHKRLPSGGILVFVTGQREVEYLCRKLRNASLEMTKRKTNVNSXAPVVTDTLIEYDMKEIDEAFKIEGQSSHQQTDRFSSFDEDDCDLSEDESFASFESDEESDVETVVDKVNVLKPDNVLGENGNFASLKAAFEALSGKRTLDSKSDSSQDNPTTPGDSKQPDSNSVARQEANDVSPGALCVLPLYAMLPASAQLRVFEEIKEGERLIVVATNVAETSLTIPGIKYVVDTGREKVKNYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFNNIFADFSTAEILKIPVDGVITKFPFPTPPEAKALFEAEVCLKSLQALDCDGRLTPLGKAMSHYPMSPRHSRMLLTVIQILNKGKGSARRNLILGYALAAAAALSLSNPFVMQFEGGXADIDTLKQDQNSNVISKDEKVKKRKLKENAKTSRAKFSNPTSDALTVAYALQCFELSENQVEFCDENALHLKTMEEMSKLRKQLLHLVFNQTLCDTQQELVWTYGNMEDVERAWMVYSNKHPLMLNEEELLGQAICAGWADRVARRVRGISGLSEEDRKVNAARYEAHKVSETVFLHRWSSVSRTAPEFLVYSELVDRKRPYIHGATRVKPEWLARYSEYLCSFSAPLMDPRPYYEPQSDQVYNWVSVTFGDHLWQLPLHSLAVKDEKVGVTVFCYSLLEGMVLPCVRRVRKFMAAQPGIILKAETLGHKRFGKLVYELRKRHIQSCRMLRKVWDENRATFYREVLDWFDEGFQNDFDDLWENMQGEVRLDPEQRFVKKEKSRS >KVI04006 pep supercontig:CcrdV1:scaffold_1011:22062:23684:-1 gene:Ccrd_017679 transcript:KVI04006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRYQVRRGVFFLLQSTRIISPSPISTLSTTTDLIQDCIREXNINDARRLFDQNPASRDTVAWNSIITGHIRHNQMHRAHQLFDQIPVRNAVSWNTMMSGLSDPYQLHQLFLQMNRAGERPNQFTFSTLISGFLNRFHVFLVPQLHGLILHLGLNSNVFVASALMRGYTHLGDREGLCRVFDDVLVKDVSTWNALVVGYMDLGFTVEAQITFDMMPEVNIISWTTLVNGYIKNRKINQARSIFNEMTQKNVVSWTAMIKGYVQYXKYVDAIQLFISMLKSGTHPNHFTFSTLLDACAGCSMFLFGKQLHSCIFKSGMCCEVVLLTSLIDMYTKCGDIEAALCIFESMEKKNTVSWNSIIGGCARHGLAKRALCEFEKMTESGVKPDHVTYINLLSACVHGGLVEEGERHFHSMGITYGIQAAMKHYTCMVDLYGKAGALDKAEKLVKEMPFEPDVGVWGALLAASGLHSCYELCEFAANGLENLARQNXGIYSMLMKLHREKGAWSRVVEMRNKMMETSAKKQKAGSRIEFVLKNKIQNHK >KVI04010 pep supercontig:CcrdV1:scaffold_1011:25884:28253:1 gene:Ccrd_017680 transcript:KVI04010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MDNHILLVICVASLLLSISVVNASEENGKDEVKNAFXSFLDEAKEALGPVSSWDLRKVKDTFSPSLEEAKEALGPISSLDQVRKALSPLSSSIIHEAKDAISPSAKSEASKHIDSITSWLSQKELGPTPFSSLDKEEDEQNEEESSSDSFEEAQKELGPISSSDLDKEDEGASTPVSSPSTVNQAFDVAKQKLGSLLSQSKEEIFSDSPSNLDEAYKELEKLGNEEEISSDSPSNLNEAQEELSPISSSDDVDKDEDASSPISSLDEPEEASAPISPSTSKEAFDDAKEIFSQNKEEIFSDSLSVLDEAQKELGPISSSDLDKDEDASGPISSPVPPSNFNEAKEALGSISSQALNVAKEKIGKIRSLLTQNKEEMSSDPPSNLDKAQEELSAISSSGDLDKDEDASSPVSSLDEAEEAVAPVLSSISNEAKEALGSISPEAVEGSFGPIASLISQNKEEISSDSSSILEEAQKELGPISSFDFNKDEXEAFSPISPSSSDEXKGSISSEALDENFAPIPAQMKVQIPSKSSISPIYFDHDVALAIESLIKQGQSNSQLAIEEADKLLSDANFSQSKTGKCVKKCKNNYASCLDKLHKAMEDLRARNAELLTDDVSAVEGDISACQKCFLENQSPLKDLEEATIKATRECLNVLHHSS >KVI04004 pep supercontig:CcrdV1:scaffold_1011:129371:139265:-1 gene:Ccrd_017693 transcript:KVI04004 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD/PDEase domain-containing protein MASAPSLSVPLECVNISKFPKGGASARYDCNALSCAWKAPRAFTGFLASTAHSSALLHGQNDRNKNYTRDHHRCEVAGKRSWLSSEASDFFPPRKLLRSSLLHIGCRKWVVGCSSSFPPGYDELSPERLWEVLKPAIAYLTSDELELVHNALKLAFEAHDGQKRRSGEPFIVHPVEVAXILGELELDWESIAAGLLHDTVEDTNVVTFERIEKEFGVTVRHIVEGETKVSKLGKLKCKNEIVSVQDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPLHKQCSIAMETLQVFAPLAKLLGIYQIKSELENLSFMYTNPQDYGKIKRRVAELYKNHEKEIEEANKLLIKKIEDDQFLNLMTLKTEVQSVCKEPYSIYKSVLKSSXSINEVNQIAQLRIIVKPKPCVGVGPLCNAQQICYHVLGLVHGIWTPIPRAVCILMKDYXATPKPNGYQSLHTTVIPFLYESTFRLEVQIRTEEMNLIADRGIAAHYSGKVFVNDLVRYMSNDDRNLRRKTASLNNANVALRLLYLPDPVAKHIVKVFCFLQIGWLNAIREWQQEFVGNMSSREFVDTVTKDLLGSRVFVFTPRGEVNGNLVPPLHVLANAEVVEIVTYNGLSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSASEITADSVNEFIADTEDDSEVEDILDYSKGTQHTWEKILMNVMEMSSLKMVDEDFFQFKNGNFKVPKXNGKHNKHVQHVSLNTKGETTSQGNGFAKMMLAKVPMYKEVLPSLESWLAGKVASWSNLEGHSIQWLCIACIDRKGKLFFPKTSNTTIIHCAVCMMADITIALADAGIAICSCAAEVDRGRGMAVILFHVEASLDSLVSGCSRVDLVVGVLGWSTGCSWPSLAENEQVREC >KVI03999 pep supercontig:CcrdV1:scaffold_1011:143918:150692:1 gene:Ccrd_017694 transcript:KVI03999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPSNTHFFFIYNNFTINQSSQILHHLPQKVLLMATPKFTRVPSMRERVEDTLSAHRNDIVSLLSRYVDQGKGILQPHHLLDELDNIIVDQTSRQKLADSPFGQILNSAQEGIILPPYVALAVRPRPGVWEFLRLNVNELSVEQLTVTEYLIFKEELVDGRSRNPFVLELDLEPFNATFPRMSRSSSIGNGVQFLNRHLSSIMFRNKDCMQPLLDFFRAYKHKGYAMMLNDRIQSMSRLESSLAKAEDYLSKLPPDTSYSEFEYASVYLPLSKLQGMGFERGWGNNAERVLSMMRLLSDILQAPDPSILENFLGKIPMVFNVVILSVHGYFGQANVLGLPDTGGQVVYILDQVRSLENEMLLKLRQQGLDINPKILIVSRLIPDAKGTSCNQRLERVVGTEFAYILRVPFRNEKGILRKWISRFDVWPYLEAFTEDAANEIAAELQGVPDLIIGNYSDGNLVASLLSYKMGVTQCNIAHALEKTKYPDSDLYWKKFEEKYHFSCQFTADLLAMNNADFIITSTYQEIAGTKNTVGQYENHSAFTLPGLYRVVHGIDVFDPKFNIVSPGADMTIYFSYSEKERRLTSLHSTIEKLLYDPEQNDDHVGNISDPSKPMIFSMARLDHVKNITGLVECYAKNTKLRELANLVLVAGYNDVNKSSDREEIAEIEKMHKLIKQYKLGGQMRWISAQTNRARNGELYRYIADGRGIFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEDGVSGFHIDPYHPDKTSATMADFFVKCKEEPSYWVKISEGGLKRICERYTWKIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRELVRFSIKIYICILGMR >KVI04009 pep supercontig:CcrdV1:scaffold_1011:42059:45420:1 gene:Ccrd_017683 transcript:KVI04009 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL-like protein MHKIPTTGSCLPKRSLPSYVPSPKTSIRFSLRRRFNLQGEIHRSKHNVIRLGFHSILDSISTSDSILDLQVTYHFFHWKKGTPFADDQGIYNRLTWWEQIDSGKQLTCNRKFLTVVPVVLKINYGVLSEIKGLQAQVLLFWLNIDEIRVDVSAASNVYFTLGSISQTLDIKQFQRIHP >KVI04016 pep supercontig:CcrdV1:scaffold_1011:103805:108423:1 gene:Ccrd_017688 transcript:KVI04016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MRRYEGAAWLRKTVGVVGAKDXPAEPSEEEFRLGLRSGLILCNVINKVHPGAVPKVVESSCDSAAVPDGAALSAYQYFENVRNFLVAVEQMGLPTFEASDLEQGGKSSRIVNCILALKSYSEWKQTGGNGTWKFGGTLKPTTPSNSKHIVRKNSDPFTNSLSRSMSMKVQSPETDDSRTHNSSLSTLVRAVLLDKKPDEVPILLESLLSKVMEEFEYRIANQVELVEDEHSAMVMEDKSSHKNSIFDEETRRKHLKHQMAFDGQQEDIKELKQTLSITKAGMQFMQMKFHEEIQNLGQHVLGLAHAASGYHRVLEENRKLYNQVQDLKGDYQFPHKVFSSSRNIRVYCRVRPFLGRQSNFTNTVDYIEEGTIAINTPPKYGKGRRSFNFNKVFGPSATQEIRNNSQNGFNVPDASLVHVSSTYDVIDLMNLGQRNRVVGATALNDRSSRSHSCLTVHIHGRDLTSGAVLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISSLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDAVGETLSTLKFAERVATVELGAARVNKDXSDVKDLKEQLLSQIANLKAALARKEGEHEGMPSSPIPPNLQDGDLFTEPKGRRKPTGDAGNIEVSCKKYGLRQRTQSFDLDELIGNSPPWPPVSSPGEDDREIGCGDWIDKVMVNKQDGGRWEAENSPFYQKYHLPESRRLQSEESFGNGFEGATSDDVDAVTSDSSEPDLLWQFSHSKLASLTTKGTKLNNLNAKATGRPER >KVI03998 pep supercontig:CcrdV1:scaffold_1011:153895:155728:1 gene:Ccrd_017695 transcript:KVI03998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MAMLTPLLLLLSLLSSATATPTPTPTVCQYTLYPSFCRSSLPITNSSANVFDYGRFSLRKSISAATKFSTFITKSLTRSSTLTTPAIRALQDCHYLAQLNIDFLQNTLQTLAKPQTALSPLNSQDIQTMLSAILTNTQTCIDGVQATSSSWTSKNGILAPLANDNKLFSVSLALFNRGWGHPNNKTKSFLPRKKHIGFHNGRLPLKMSEKTKAIFETVGKRKLLQADDQVVVSDIVVVSQDGSGNFTTITEAIHIAPNKSEAADGYFMIYVTAGVYEEYVNIPKNKLYLMIIGDGINQTVITGNHSVVDGWTTFNSATFIVTAPYFVAVNITIRNTAGAIKHQAVALRNGADLSTFYSCSFEGYQDTLYAHSLRQFYRECDVYGTVDFIFGNAAVVFQKCNLYPRQPMSGQFNAITAQGRTDPGQNTGTCIQNCNIQAAEDLGSTKTYLGRPWKEYSRTVYMKTFMDSSIDGEGWRAWSGEFALNTSYYAEFENSGPGSDTRERVDWEGFHIINATEASNFTASMFIPGDEFIPQTGVPYDGGL >KVI03997 pep supercontig:CcrdV1:scaffold_1011:163099:168404:1 gene:Ccrd_017698 transcript:KVI03997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase, catalytic domain-containing protein MASQLKVDELRDALSKRGLSTTGIKPTLVKRLESAIRKETLTIPSGDSSNRLEKRERENSEDGDFDCKIRAIDDLRNLSIKQLRQQASLRGVLTSGSKKQLLERLCQDSQTNIVEGIEEEEDTNGSKQEQLVTATXKCLAVLDPWISDHVKSNYHVLQQGNEIYDATLNQTNVGENNNKFFIIQALESDDGSTFMVYNRWGRVGVKGQNKLHGPYTCAQSALDEFEQKFYAKTKNHWLARKEFVSHPGSYTWLEMDYNEAEKETAACNCEQVQEKPSSKMEIQPRETKLEARVAKFISLICNVSMMKQQMMEIGYNAEKLPLGKLSRATISKGYEVLKKVADVIGQYDRKKLEQLSGEFYTVIPHDFGFKKMPLGEIEVATKLLEDIAGMQEDPLLSHYKRLNCELTPVXVDSKEFHMITKYMKNTHAKTHSNYSVEIVQIFRTSRHGEDDRFRKFSDTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCCATXQTSTGVLLLCEVALGEVAELLTADYNADKLPQGKLSTKGVGASAPDMSESETLEDGVVVPLGHPKQQLKSKESSLXYNEYIAYNVDQIRMRYVVHVSFNFBR >KVI04014 pep supercontig:CcrdV1:scaffold_1011:62046:79196:1 gene:Ccrd_017684 transcript:KVI04014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIIRLIPYVGLKSSPDERGFKPAPCFLRSWTTTEVSLTPQPPPAPALSVSLNMKYVLVTGGVVSGLGKGVTASSVGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVRLTRDNNITTGKIYQSVLEKERKGDYLGKTVVPHITDAIKDWIESVSAIPVDGKVGPADVCVIELGGTVGDIESMPFIEALRQLFFSTGPDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAQAANILNIHDVPNIWHVPLLLRNQNAHEAILKQLALLRVAIPPNLQEWTNRAETFDNLTSSVKIAMVGKYVGLTDSYLSVVKALLHACIACLLKPSIEWIAASDLEDESAKLTPEVHTKAWETLRNAACVLIPGGFGDRGVKGMILAAKYARENKVLGWKGANSTEFDEHAPNPVGSRTHMGSTMRLGSRRTLLQSSDCITAKLYHNPEYVDERHRHRYEVNPEVVEHLEKTGLRFVGKDESGQRMEILELASHPFYVGVQFHPEYKSRPGKPSALFLGLILAATGQLEAYVKTHQNGSI >KVI04015 pep supercontig:CcrdV1:scaffold_1011:80499:80990:-1 gene:Ccrd_017685 transcript:KVI04015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MQRSKSGRAWNILRLALLWTRKGGVFLDLKKRLDLHFLNHSHNGSKGNGALQYGDRQLSFDATPMIHIRMHRPNSMRFRLPHIPCINPHSNDHMFDFDDDDDDDDYQPRNSFLIGNGQDEAEEDQIEDGIGIDLKAEQFIANFYEQLKLQRQFNPTRRRTSXD >KVI04003 pep supercontig:CcrdV1:scaffold_1011:120353:122724:1 gene:Ccrd_017690 transcript:KVI04003 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAF domain-containing protein MLRINLPCSSYIEAVVTRKRMDSCDCFETHWPADELLVKYQYISDFFIAFAYFSIPLELIYFVHKSAFFPYRWVIMQFGAFILLCGATHFIXLWTFSSHSKTVAVIMTVAKLSTAFVSCVTALMLVHIIPDLLGVKTREIFLRKRVQDLDREMGLIIKQEETARHVRTLTHEIRSTLERXTILKTTLIELGRTLDLEECALWMPSTSGMVLQLSHSLHNLIPFGSTVPMNLAEIMQVFNRAEAVRIEATCPLARIRPPAGAXMPPEVVAVRVPLLHLSBFQIDDWPAKSYAIMVLILPLNGVRKWRDHELELVEVVADQVAVALSHAAILEESMRAHEQLMEQNVALDLARQEAEMAIHARNDFLAVMNHEMGTPMHAVIALSSLVLETQLTPDQRGMMETILKSSNLLATLINDVLDLSRLQDGTLPLENQVFNLHGLFSEVIRLIHPVACVKNISMTLNCDLNLPAYGIGDEKRLMQTILNVAGNAIKFTKEGYVSVQASILSSEYAREWETPEFCPTLSNGLFYLLVQVKDSGSGITQQDIPHLFTKFSESCSASISSDGAGLGLAISKRFVNLMGGHIWIESEGLGKGTTVTLVVRLGQCNDPSMQVQQLGVRTHQRSCGGGDLNRNLSSEDYKATXSFPAYQR >KVI04013 pep supercontig:CcrdV1:scaffold_1011:96238:100344:-1 gene:Ccrd_017687 transcript:KVI04013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWSSPVPKPCAVQSMMQSVYFNPNKFLIGLHDAYVRMMMRMANSRVVLTSGAMSTGYGLNAMSPFGMRPTKEYDDKMIIEMYKALVGHTTQQIS >KVI04000 pep supercontig:CcrdV1:scaffold_1011:158475:160995:-1 gene:Ccrd_017697 transcript:KVI04000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e MGIDLVAGGKSKKTKRTAPRSDDIYLKLLVKLYRFLVRRTGSSFNAVILKRLFMSKINKPPISLSRLIRYMAGKDDKIAVIVGTVTDDVRVHEIPCLKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNCREAVRHFGKAPGVPHSHTKPYVRSKGRKYEKARGRRNSRGFRN >KVI04008 pep supercontig:CcrdV1:scaffold_1011:34876:40705:-1 gene:Ccrd_017682 transcript:KVI04008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal MAHCRSRFIFLTYLSILLIATAAKSYYDILQVPKGASDDQIKRSYRKLALKYHPDKNQGNEDANKKFAEINNAYEVLSDSEKRSIYDRYGEEGLKQHAAGGGGGGGMDIQDIFKSEKNILKPAPGKRRCNCRNEVYHRQIGPGMFQQMTEQVCEQCPNVRYEREGNFITVDIEKGMQDGQEVVFYEEGEPIIDGEAGDLKFRIRTATHDRFRREGSNLYTTATITLAQALVGFEKTVTHLDEHLVDIGTKGITKPKEVRKFKGEGMPLHFSNKKGDLYVTFEVLFPTSLTDEQKTKLKAILG >KVI04011 pep supercontig:CcrdV1:scaffold_1011:28293:30628:1 gene:Ccrd_017681 transcript:KVI04011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKSLFDIIPEILVRRRKEQDTSQSVGANISSCSSSIAVVPFGVDSLSCSMKIEDGGVLVVKARLNLGSEKHCVEGCKGIVSEQLVFVKGESMCILKEFITRHNISNDVPDEISSEDDGERPPVKSKKIRRENI >KVI06506 pep supercontig:CcrdV1:scaffold_1012:84719:87065:1 gene:Ccrd_015142 transcript:KVI06506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLLQYLLLSLPLLSLLYLLPKIIKNKSKFSPPGPIRLPFIGNLHQINHSNLHTSLWQLSKSYGPILFLKLGFIPAIVVSSASLAEEVLKXQDLIFCSRPSLLGQRRLSYNGLDVVLSPYNKSWKEMRKIITTHLLSTKRVQSSRYIREDEVSRSMKKIHELALSSKQVNLSEISKNVMLAIILRVGFGKRYEDALEWKRVSRLIGELQATITTFFISDLWPSLPCVGLIDKLMGKRELLEKCFRELDSFYQELIDEHLEPQNSKPHDEEQDIIDILIQLKKDQVLNPFELTSDHIKAMLADVLVAGTDASAATVIWAMTALMKNPKVMKKAQEEVRIVVGKKGQIDEDDLPKLTYLKAVIKEIMRLYPPAPLLVPRETRKDAILHGYQIKQKTLVYVNAFAVGRDPEAWENPEEFLPERFLGSDVDFRGSDFKLIPFGAGRRICPGISMGAITTELLLANLVYLFDWGLPNGMKKEDIDFEFTPGITIHKKNELCLSPQVYS >KVI06510 pep supercontig:CcrdV1:scaffold_1012:124643:126450:1 gene:Ccrd_015145 transcript:KVI06510 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKKIHELALSSKQVNLSEIIKNVMLAIILRVGFGKRYEDALEWKRVSRLIGELQAAITTFFISDLWPGLPFVGLIDRLMGKMEQLEKCFHDLDSFYQELIXEHLEPQNSKPHDEELDIIDILIQLKKDQVLSPFELTSDHIKAMLADVLVAGTDASAATVIWAMTALMKNPKVMKKAQEEVRIVVGKKGQIDEDDLPKLTYLKAVVKEIMRLYPPAPLLVPRETRKDAILXGYQIKQKTLVYVNAFAIGXDPESWENPEEFLPERFLGSDVDFRGSDFKLIPFGSGRRICPGISMGAISTELLLANLVYLFDWELPNGMKKEDIDFEFTPGITIHKKNELCLSPQVYS >KVI06507 pep supercontig:CcrdV1:scaffold_1012:65027:66758:1 gene:Ccrd_015141 transcript:KVI06507 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDLLLQFPLLCLPLPPLLYLLPKIIKNNSRFSRPSPLGLPFIGNLHLINPSSLHTSLWQLSKSYGPIVFINFGFIPAIVVSSANLAKEVLKPQDLIFCSRPSLLGVSKVTYNAHNVIFSAYNKNWREMRKIFVLHLLGPKRVPSFRHIREDEVSSAMKNIHGLALSSKHVNLSELMKSVTSNMMLRVGCGKRYQDGHERKVVLRLITEVQTVSVDFIVSNLWPGLPFVALVDRLLGKVDRVEKYFQYFDSFYQQLIDEHLNPQKLKLHEEEEDFKEQLFDLTYDHIKAILMNVLIAGTDTTATIVVGQ >KVI06511 pep supercontig:CcrdV1:scaffold_1012:105543:109423:1 gene:Ccrd_015144 transcript:KVI06511 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MALLFLFLFLSVPLLYLLSRTFISKSRFNPPGPPRLPFIGNLHQIDLSNLHTSLWHISKSYGPIISLRFGFIPAIVVSSARLAKEVLKTQDIIFCSRPPLRGQLKVSYDGLDVAFSPYNKNWREMRKILMLHLLGPKRIQSFRHIREDDVSTTMKNIHELALSFKHVNLSEIMKSMTSNMMLRVGFGMRYQDGNERKEVLQLLTEFQAVLAKLFFSDLWPGLPFVGLVDRLLGKVDQVEKCFKYFDSFYQQLIDEHLNLQNPNKSHDREEEDFIDILLRLKKDQLFNLTYDHIKAMLMNVLVAGTDTIAVTVVWAMTALIKNPKVMKKAQEEVRNVVGKKGKVDEDDLPKLTYLKAVVKETLRLYPPAPLLIPRETTKDAILHGYKIKQKTLVFVNALAIGRDPECWESPELFLPERFMGSNIDFRGNDFEFIPFGGGRRICPGISMGDVMVDLLLANLIYLFDWNLPDGMRKEDVDFEVNSYFEYL >KVI06509 pep supercontig:CcrdV1:scaffold_1012:146837:153805:1 gene:Ccrd_015146 transcript:KVI06509 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MALHFLFLLLSLPLVCLLSKTFISKSRFNPPGPPTLPFIGNLHQIHLSNLHTSLWHLSKSYGPIISLRFGFIPAIVVSSASLAKEVMKTQDIIFCSRPPLRGQLKVSYDGLDVAFSPYNKNWREMRKILMLHLLGPKRVQSFRHIREDDVSTTMKNIHELALSFKHVNLSEIMKSMTSNMMLRVGFGMRYQDGHEIKEVLQLLTEFQVVLAELFLSDLWPGLPFMGLVDRLLGKVDRVEKCFKYFDSFYQQLIDEHLNLQNPNKSHDQEEEDFIDILLRLKKDQLFNLTYDHIKAVLMNVLVAGTDTIAVTVVWAMTALIKNPKVMKKAQEEVRNVVGKKGKVDEDDLPKLTYLKAVVKETLRLYPPAPLLIPRETTKDAILHGYKIKQKTLVFVNALAIGRDPKCWETPELFLPERFIGSDIDFRGNDFEFIPFGAGRRICPGISMGDVMVDLLLANLIYLFDWDLPDGMRKEDVDFEVFALDV >KVI06508 pep supercontig:CcrdV1:scaffold_1012:45571:67623:-1 gene:Ccrd_015140 transcript:KVI06508 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase, subunit H/Rpb5, conserved site-containing protein MDLSDGNVCDGGERQDGKSTITSPIEIPRQILRPPPNGMNLKSFPLKSIALPTNLSGKNSSGLSQHSGSLSIANALTKTRIEERREGKTKKRKLKKQEAELLVNVKDHILVPEHQLLTAEEKKSLLAXYTVKETQV >KVI06505 pep supercontig:CcrdV1:scaffold_1012:93268:96192:-1 gene:Ccrd_015143 transcript:KVI06505 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1/V1/A1 complex, alpha/beta subunit, nucleotide-binding domain-containing protein MNLNIMFEHLDFTELMENKVLIMERASLSVKNDRQFFIYQIIGISLVNKNIHQSDQIRRRELRILISFNLNLKTRKGWIPLLHYKTLLLIEEQTGYFMYHEQHTSIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDFFYLHSCLLERAAKLSSSLGEGSMTALPIVETQSGDVSAYIPTNAFSLMVHIPDWVIPV >KVH88393 pep supercontig:CcrdV1:scaffold_1013:17608:26796:1 gene:Ccrd_023930 transcript:KVH88393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MQSRSYTNLLELASGNFPVMGREREKRRLPRIMTVPGSITELDDEQASSVASDNPSSLSMDRMIIVANQLPLKAKRRPDNKGWSFTWDDDSLLLRLKDGFPDDMEVLYVGSLSVDVDPIEQDDVAQVLLDRFGCVPTFLPPNLMEKYYDGFCKKQLWPLFHYMLPFSADHGGRFDRSMWEAYVAANKLFSQKVIEVINPEDDFVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMFGLEYQSKRGYIGLDYYGRTVGIKIMPVGIHMGQIESVMKLADKEWRVEELKQQFEGKTVLLGVDDMDVFKGINLKLLAMEQMLKLHPSWQGRAVLVQIANPARGKGGIDLDEIQVEIQESCKRINDEFGKPGYQPIVYIDTPLGIGERVAYYSVAECVVVTAVRDGMNLTPYEYIVCRHGVSGSEANSDLGGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEAMNEAVSMSDPEKQLRHEKHYRYVSTHDVAYWSRSFLQDMERTCADHFRKRCWGIGLGFGFRVVSLDPNFRKLSIDDIVSAYIKAKNRAILLDYDGTVMPQNSIIKTPSREVISIINRLSGDAKNTVFIVSGRGRESLSRAFSPCRKLGIAAEHGYFMSHDKCVFFRWSQDAAWETCGQSTDFGWMQMAEPVMKLYTESTDGSSIETKESALVWQYRDADPGFGFAQAKEMLDHLESVLANEPVAVKSGQYIVEVKPQEASKGLVAEKIFTSMAEKGKQADFVLCIGDDRSDEDMFEIIGNALSRNMLSVNTTVFACTVGQKPSKAKYYLDDTSEVILMLENLAEATDTPVTSDDENDGSP >KVH88389 pep supercontig:CcrdV1:scaffold_1013:113012:117588:1 gene:Ccrd_023934 transcript:KVH88389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MASRETEEDNVNESEAAAAMERVFSNKEVPSWRNQITIRAIVTSFILSIIFSFIVCKLNLTTGVIPSLNVAAGLLGFAMIKAWTELLNKCGLLKQPFTRQENTVIQTCVVASSGIAFSSGTANYLLGMSPLIAGQLDTGNTPHNVKKLALGWMIAYLFVVSFVGLFSIVPLRRMMIMKLKLTYPSGTATAYLINSFHTPKGAKLANLFPKLLNLNFESYRRFYFDFSSTYVGVGMICPYMVNISLLVGAIMSWGIMWPIIESKKGIWYAADLSASSLHGIQGYRVFLAVATMLGDGLFHVIYIAIVMLFSFISHKSKIQSSSPHEDKPVSFDEQKRNEYFDKDQISNLTALGGYVILAIISIIVVPHFIFRQIKWYHMLVAYIIAPILAFCNAYGCGLTDWSLASNYGKLAILIFSGWVGLQNGGIIAGLAACGVMMNIVSTASDLMQDFKTGYLTLSSPRSMFFSQVLGTAMGCVMSPLVFWFFYRAYSVGDPTGSYPAPYGALYRGIALLGVEGFGSLPHNCVKLSIGFFLGAFLVNLLTVILQRYEKRYRLYRFIPSPMCMAIPFYLGSYFAIDMCVGSLILFVWEKMNKKGAKELAPAVASGLICGDSLWGVPGAILSMAGVKAPICMKFLSASTNKKVDSFLGG >KVH88391 pep supercontig:CcrdV1:scaffold_1013:46370:52944:-1 gene:Ccrd_023932 transcript:KVH88391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 5 MASPAVQRSSVQRLPTFKDRTTATPPSSATPPSSSLLDSFASDPIFSKFLSPDFDSTRFSSEALSSGTAAARAEKIQDGIRLLEKQLRSEVLSRHNDLLSQLSSVKDADSSLFAIRSAVSTLQSSVRRVRSEIADPNRQIRSKTLQLSNLHFTVDLLQSTVRVLRLSKKLRDVMAEPETEKLDLSKAAQLHSEILRLCNENDLSGIVPIDEELKWVFEAGQRLRSEGMKVLERGLEGFNQAEVGAGLQVFYNLGELRATVDGLINKYKTQGVKSVSVALDMKTISSSGGGGGFGGPGGIQRSGTPQFGSGAKAKEALWQRMATCMDQLHSVVVAIWHLQRVLSKKRDPFTHALLLDEVMQEGDPILTARVWEAIVKSFANQMKSTFTASSFVKEIFTVGYPKLFAMIENLLERISRDTDVKGVLPAITVEGRDQMVAAIEVFQTAFLALCLSRLSDLVNTVFPMSNRGSVPSKEHISRIISRIQEEIEAVQLDARLTLLVLREISKVLLLLAQRAEYQITGPATAAQVKNFTLCQHLQDIHASVSSMTKGFPTIASDVLSPSLNTIYEVACDSVTSLFQTMLDRLESCILQIHDQNFGAQGADAAMDNNASSYMEELQKYITHFRSEFLLRLLPSSAKVISVGTETICTRLVRSMASRVLIFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRALRPVIFLETSQLSASPLVQDLPPSVLLHHLYSRGPDELQSPMQRNKLTPIQYSLWLDSQGEEQIWKGIKATLDDYAVRVRARGDKEFSPVYPLMLSLGSSISENNAISRKPNTPK >KVH88390 pep supercontig:CcrdV1:scaffold_1013:65348:67011:-1 gene:Ccrd_023933 transcript:KVH88390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNSRTNNTLNMGYWQQHTYEVYDDVPYDRIHVSSISLVPRSMPAVGSPMGPFGSIQDRAYMMPSFTRAMSQPPMQNLPRDTNMPRDVHPRPRFTHQSPRAINPISTGNDHNNGVEKLRREVYNPGVQRLSQYNNDDYKSRAKSQESRNNEDGKRCAVCLDDFEPRETVTLTPCHHMFHDNCIVPWVKSRGQCPVCRFVIGDPTNEREGGRTSNNGGLRNEAFEREFMAFIRTMEARG >KVH88392 pep supercontig:CcrdV1:scaffold_1013:29149:33452:-1 gene:Ccrd_023931 transcript:KVH88392 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAASSACIVGNGLSAKRDICKELHGKQFYLSPSLPSLHKVPKLGVVTASLDKKQHEGRRGFLKLLLGNAGLAAPALLGSGKAYADEQGVSNSRMSYSRFLEYLDKDRVNKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSFLFNLIGNLAFPMILIGGLFLLSRRSNGGMGGPGGPGNPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTDVRGRTEILKVHAGNKKFEKDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRARTAISPKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGGLTWFIPADDPTLISRQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDGSGQSQDVIMRMMARNSMSEKLAEDIDTAIKKLSDSAYEIALSQIRSNREAMDKIVEVLLEKETMSGDEFRAILSEFTEIPVENRVVPAAPSPVSV >KVH88388 pep supercontig:CcrdV1:scaffold_1013:119933:143272:-1 gene:Ccrd_023935 transcript:KVH88388 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MASAAPVQMIDDDDDFDWEAAVRAIDVAYEEAANKFSSTAAATTTTSATPFGSSNLHMDSCPKTENNKPTSSRQSTLDRYIGFTGLKSVNQDARHDAQDKAECDDERVSSVSIDHEAAKTWIYPENVPVREYQVSITRTALFSNTLVSLPTGLGKTLIAAVVMYNYFRWFPDGDDMSSCLCTTTNLAEGLNGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTVDMTGQTTPAKRADLWKAKRIFFVTPQVLEKDIQSGSCVVKQLVCLVIDEAHRASGNYSYCVAVRELMAVPVQLRILALTATPGSNHQTVQQVIDNLQISSLEHRSESDPDVTPYVHDRKIELIQVAMGKDALEANSLLMDVIRPSVARLSAMGVLPKRDIQTLSPCDFLNSRDKFRQAPPHDLPQFKYREVEGIFGALITLYHIRKLLSVHGVAPAYEMLKEKLEQGSLRLLSSHEALQKVKGIMEKNVSNGVLSPKFTKMIEVLIEHFSMYSVVECGPGLSQFVSQLPLFLTSNLGMEAIYQGPYFDYFGCEILDSTLLKLSLGLGIEIIHYATYMGFPALAKETTVAPETHVNTRFQSRIDAQDGKIEALSNQNYKEGEGSVFKRTSENDGYDSSEKNLDHGLGSFIRNNHFETPIFSMPKVKVPVFEGVNPRDANVGRVSVGGGRGEQYSPQHKCTEGQLRVILLANGEELGEGGEVRCFEEKGNNDPVLDETQDPQKSRVIIFSNFRGSKFRAGQFNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKNEGRVPHVVRPEKRLVKLLIEEFVPRGKKVKDDNDIQTPKYKAKLTDAETDLIAKYFHPSRENNWRPSLIAFPHFQAFPSRVHEDEETLADSFRVESVEHHNSNTGEDDTIREELESDILLDTSRTEQKHNCPPVRSQDPPIHSFLFGSDFMSVDSLGTVLILSVPSFPLQQVSPPKSYSPRNTLFLNCSKSDINPVKVPCGEYPGTTLDVYGVSTTLVRSQGNDDLVTSSQNTNDLREDKLAGEGRVLQTEISNIELFNTRENPCAVVDSTHSADESSTDSRAADLSPRLTNLLMCGVVPESPIDNGTSSKLKGNCTTPDVDMLPNAPISLVQSKNDEGAEESTPNRRNVAAQCMQGEIQTPRIDLVNGSSEKGISASKSKGEIRTPVTNLYDNSCSKDWILTSGEKSVSGPKHRLKRLRKYGDTKSRNLSDREEIAGHTSVSGRSCARLDHASHMHRRASGDEDVDNGQDSYDGSFIDDRINPTVASTQAEAAECDMMALYRRSLLSQTPIQRSSHLSVDLSPDNAVPIDQMHDSGSAVGITNPSLHTSHMSTIGDSSSVPLNTVGFSSTTLNGIRKRKLSFSQGGSPPIRNLEKETFFKPETGGEESTWQGENMDAFEDDEFYQGIDLDALEEEATKQLRSKSELMNEKPNNQNLDLLDCPSFDLGI >KVE63718 pep supercontig:CcrdV1:scaffold_10135:645:2521:1 gene:Ccrd_023936 transcript:KVE63718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNPGWFPMNIPHSPAQIWHEPRRAPASQRAGNICNLSTIIGTLPLRHNCISKYTNSVSRPQLAEECPNSDRIFYNRDSFNCSNFLDVDWLSSSGNSCEDETYERSTLVGSPIGGQSSDNVINEMCTFASDSEISLTVKDGRGGFSDRFAEWVTNGDMFL >KVE63717 pep supercontig:CcrdV1:scaffold_10135:3677:5676:-1 gene:Ccrd_023937 transcript:KVE63717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MAMAMAQPKVLTPKEADIQMMLSAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHEALLDAILPPIKEAALGNIPTIAFCDTDSPMRYVDIGTPANNKGKHSIGCLFWLLARMVLQMRGVINQGHKWDVMEPELTKEQEDEIAVAADYKDYAAGALGMDDQWSAQIPDAQWAGDMAPPPIAGAPVVAAATGWTGADAPIAGATDATGWEAVAAPVPTVESGAA >KVH99443 pep supercontig:CcrdV1:scaffold_1014:94811:96399:1 gene:Ccrd_022327 transcript:KVH99443 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MVDNFERAKQQLKLETEQEKKIDASYQGIYKQFVEIMRSLHVAAVPTVGKAFDPSVHEAIAREESKEFNEGTVIEEFRRGFVLGERLLRPAMVKVSAGPGPAPGRAPKSSDEITPQGQEEQPQTADLGQR >KVH99440 pep supercontig:CcrdV1:scaffold_1014:12298:19215:-1 gene:Ccrd_022322 transcript:KVH99440 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYAGHNGAVWCCDVSRDSTRLITGSADQSAKLWDVQSGTQLFTFNFDSPARAVDFAVGDKLAVITTDPFMGLTSAIHVKRIAADPDDQVGDSVLVLKGPQGRINRAVWGPLNKTIISAGEDAVVRIWDTETGKLLQENDKEVGHKKTVTSLAKSTDGSHFLTGSLDKSAKLWDIRSLTLIKTYFTERPVNAVAMSPLLNHILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFKIPSI >KVH99442 pep supercontig:CcrdV1:scaffold_1014:56545:58604:1 gene:Ccrd_022324 transcript:KVH99442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPKRTLPLIGSPPPKAPIHSRRWFPLRVLHRPSQNRLQSSLSNRSSIAFRYETWIRRLTPNLSVCVLFLVTQHPRTGESSFPPLPNRSIAFTIAFNRRCPIVPQSLSVKLGSGCRLEAAKVKVFTQPHYLHRELSVSISAYGDTNRIPASVQQGLNSTGIALNHV >KVH99444 pep supercontig:CcrdV1:scaffold_1014:70414:74009:1 gene:Ccrd_022326 transcript:KVH99444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MKGTIEASRHPAVEDKNLLAGALESNNXSCRIKKRADANMECACNTNGQPFPEKDLHTVNDNFHGQNDGQELIRPASNGYIDGNNQFELDHSTACSFGERREVETVVEKLCTMISSFPSKRPTGRVVAIIERSPRRKAIVGFLRIKQWLFSRESCRKDIKKAKLLLLSSKREYIQLIPTDPKYPKMMVPVKSLPNSITKRLEDCDLTLEMELVAAQIIEWDEEYDIPCAHVVHIFGRGGDVESQIAAILFQNAVDSSDFLPEAMACIPHVPWRIPEEEFQHRRDLRNLCTFTIDPATASDLDDALSVERLSNGIYRVGVHIADVSYFVLPHTALDVEAQIRSTSVYLLQHKLPMLPPLFSDNLASLSPGVERLAFSIIWDINLGGEVLDRWIGRTVVQSCCKLSYEHAQDIIDGTLNVECLNDACPQXHGHFTWSDVITSVQNLHEISGVLKDKRFKDGALSLETPKTVFLFDEDGIPYDSXLSGRTKSNFLVEEFMLLANRTAAEVITRAYPSNALLRRHPEPKLSKLREFEAFCSKQGLQLDTSTSGQLHQSLECIRHDLKNDSVLFHVLMSYATRPMQLATYFCSGDLTDAGDDWGHYALAVPLYTHFTSPLRRYPDIIVHRTLAATLEAEDMYMHHRRMLNLNGEKLFERCFTGLSFNKEEVEAFDVQKALSDAAMKHAVPCTELLGGVAAHCNERTLASRHVKDATDKLYMWLLLRNREVFLSEARVLGLGPKFMSIYVAKLAVEGLTAEWLDVTSTLVLNFCPNNRSHKRGTASRFKPIEEVAMVTFPYNMVSEPDVSGDSSNLDSVTESGSSRKADIEPAVFPLVLRLLSTVPVALHPIGGDDGPLDIGARLYMTSYFR >KVH99439 pep supercontig:CcrdV1:scaffold_1014:50044:55433:-1 gene:Ccrd_022323 transcript:KVH99439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSSNPSCTVYIGNLDERVSDRVLYDILIQAGRVVDLHIPRDRETDRPKGFAFAEYETKEIADYAVKLFSGLVTLYNRTLRFGISGQDKSAPNTQASTLASNSPFKSRLNVEVSPSSLSQYTQVSPGAVQLSNVNRSQMDHNNYDHRRGHGATWDGTNLSTSNRYDTSNPKHHDRY >KVH99441 pep supercontig:CcrdV1:scaffold_1014:66400:70391:1 gene:Ccrd_022325 transcript:KVH99441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNQVEGYAQRKLFAAHWSMEAVAVALEKGDVFKSIFRVNAHNRVEQLQYVNYTINDMCALPRPTAKLMECRRRHCCSQS >KVE63369 pep supercontig:CcrdV1:scaffold_10140:7709:10676:1 gene:Ccrd_023938 transcript:KVE63369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLENYWSCVIADDQGSLLYWAANSSLTLVQQLAFKHPLVREKLGLPDKSAPVDPRNSREMDGLEATFTVPLVKKPIQGLSVLELFNLSIKHLSKGEQDRAVRLLRLALEKDPEYARVLIVMGQLLMKDEKLAEATEYLERAISKLLLTGHPTEVEEIDHLILASLWAGVALAKQISGLGQGKVAEGIVHLERIANMKEPEDTKSKTHYFADNTDIFNIISSALASIGRDAEAAKYLQILTTYDPAYATYLKDLESKDNNFAEDLANSKRKDY >KVH93552 pep supercontig:CcrdV1:scaffold_1015:2465:9894:1 gene:Ccrd_004393 transcript:KVH93552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETREGEKHLSAWGNDAANEVITEVRQLLVENYFKTPSSALGISLGRREFLEGFLCGNNPTTFLRHSKNETCPKTGITNTRYSLVKDERQGREKKHLCAWGNDIANEVITETRQLLVENYFQTPSSALGIPLGRREFMEGFSCRNNPIIFLVYKLVLKRVLEYCGFIVSVNVLQRISVDIILHCKCWKRSSMRCRKGYGSR >KVH93551 pep supercontig:CcrdV1:scaffold_1015:1968:20423:-1 gene:Ccrd_004392 transcript:KVH93551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIEKGLNSLSEEMGKLVSVVGTPGLQTMPDELTNMGFDDDQVIAISMYFVDSPIQVRLWNSINATLKPKFVTTILKKVIPAREPFHKFSTAKGNTQGRGGGLEIVFNQQLTRLGLFPQRNPSRNSRRPREIPKAEEGKFHVLQSRAPNGASELVQQVRANHHA >KVH93553 pep supercontig:CcrdV1:scaffold_1015:37978:42078:-1 gene:Ccrd_004394 transcript:KVH93553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWACLSTIFLGWVHGCYWLCRMLRKVKRERXRHLRDIEMLDMSIRSDQDTDMEISSTGRWRRSSYRLRDHKRNHLRRSLRPRSHRVRLGVDGDSIYATKRKHIRHGDIRVIQTSSFARRGRNHKRSRHLQKRT >KVH93554 pep supercontig:CcrdV1:scaffold_1015:69974:74288:-1 gene:Ccrd_004395 transcript:KVH93554 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated interaction domain-containing protein MSDVPMPPDVLAQILSWLPVSSLLRFRSVSKSFRALIDSDYVIKLHVNHSVQTNTNLNLLFGGDRTLCYLSLHESSKAVTKIDNPLYFAIFETVILGSCNGVVCLCTTEPDNEIAFWNPLVRKFKKIRLAPAKCIEGLGRGICIKGFGYDHVNDDHKVVRLVQYCSLYNDIVHSTIEVFSSKTDAWREVRDFPYYLCYRRNFNTFAVGALHWLVSLKPEAKFDFRIAAFDLTTEELCLLPQPDFANEMVRLNLCVLGGCLSMVCNYPRKHVDIWVMKSYGVKDSWTKLISTADVKLIKESDFLRPIVYSRSGEEVLLEKNFERLYWYHLENKTVKRLKVPGMPRLFVTETFTGSLVQVNIGSASGSGTKKEKTEDKNDRKRDDFLSKGFRLVL >KVH93556 pep supercontig:CcrdV1:scaffold_1015:139128:144030:-1 gene:Ccrd_004397 transcript:KVH93556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acireductone dioxygenase ARD family MGVTLISDFNADSREIIARLKDMKQKTLLEAWFMDDSREDPQLPHHRNPCEFMSPDQSRRFALEVESRQDLLDLCPEKVENYEQKLKNFYTEHIHADEEIRYCLEGSGYFDIRDKDDRWVRIWIKAGDLIILPAGIYHRFTLDTSNYIKLMRLFVGEPVWTAFNRPQEDHPARRNYISNFVQKTRMPLEAY >KVH93555 pep supercontig:CcrdV1:scaffold_1015:127960:138608:1 gene:Ccrd_004396 transcript:KVH93555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MMQILNSDETVAVPSDNQDCSTAPTENIEEELEEENSNIESAIDASGKTLDLQLFDEKRISDGDNASIEGLYVYKNMFNLIPRSVGGLVKLKTLKFFGNEVNLFPSEFGNLVNLERLQVKISLPGLTGLPLQKLKALKELELSKAPPRPSAFPLLADIMGLECLTKLSVCHFSIRYLPPEIGCLTCLEYLDLSHNKMRNLPTEITNLSSLITLKVANNKLIQVPSALSSLQRLESLDLSNNRLTSLGSLELDTMHNLRRLNLQCNKLLSFPQIPLWISCVLEGNDEDMTNGEFMSSSVEMDVFETAVTDLHGDIINGSPASLSSHVTGCSSNRCFAARKPRKGWKRRYYLQQRARQERLNCSRKWKGEDSAHITTQNATANCESCNAIVPSESLVEGSSVNVGDDEDNKELLAADGESGNSLGAFRKDISSEQVDAHSCGCVALQSVDSKEIEDDCSKDDDALGSPTEIYGSTKDAGDILLDKLQIASLLALFVSDHFGGSDRSTMVDRARKSVSGSNYNKPFVCTCPTGNNDNIMKSAKQSLNSAEDAVLLSLCEKSLRLVKARRNSIVVPIGTLQFGVCRHRALLMKYLCDRMEPQVPCELVRGYLDFAPHAWNVVVVKRGDSEVRMVVDACRPHDIREEMDPEYFYRYIPLSRINGPPITDASPDVHNSFPSLSACEEIEEGGLTTLIQCNLGSVEAVAKVRTLEVSESSADEIKNFEFNCLGEVRLLSVLKHPCIVKILGHQISTKWLPSQDGIPKHRILQSAIFMEHVKGGSLKLYIEKLARSGEKHVPVELALQIARDVAWALSELHSKDIMHRDLKSGNIVIDLDEKSDGAPVVKLCDFDRAVPLRSSLHTCCIGHVGIPPPDVCVGTPRWMAPEVYRTIHDRRLYGLEVDLWSFGCLLLELLTLQVPYVGLPESQIHDLLLMGKRPVLTDELEGLGPAEDSEEVQQNAEYKKNKKLRFLIDIYRQCTKEDPSDRPTANEVYQMLVDFDK >KVE62669 pep supercontig:CcrdV1:scaffold_10157:645:2521:1 gene:Ccrd_023939 transcript:KVE62669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNPGWFPMNIPHSPAQIWHEPRRAPASQRAGNICNLSTIIGTLPLRHNCISKYTNSVSRPQLAEECPNSDRIFYNRDSFNCSNFLDVDWLSSSGNSCEDETYERSTLVGSPIGGQSSDNVINEMCTFASDSEISLTVKDGRGGFSDRFAEWVTNGDMFL >KVE62670 pep supercontig:CcrdV1:scaffold_10157:3677:5676:-1 gene:Ccrd_023940 transcript:KVE62670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MAMAMAQPKVLTPKEADIQMMLSAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHEALLDAILPPIKEAALGNIPTIAFCDTDSPMRYVDIGTPANNKGKHSIGCLFWLLARMVLQMRGVINQGHKWDVMEPELTKEQEDEIAVAADYKDYAAGALGMDDQWSAQIPDAQWAGDMAPPPIAGAPVVAAATGWTGADAPIAGATDATGWEAVAAPVPTVESGAA >KVI02910 pep supercontig:CcrdV1:scaffold_1016:84930:86699:1 gene:Ccrd_018803 transcript:KVI02910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MMQDMWNAPPGFRPTKSAPSSPAKPIGGGVLRTRSESFNLNSSRSESNSTRAESFHVTHKVPVGDSPYVRAKNVQLVEKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRRRCSDQAQESLDNILLDLYKVSLGNSKMLRCGRLDDQIALLRHKLFLIQQGMAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQHNNYIEAEDAYRRALVIAPDNNKMCNLGICLMKQGRLGEAKDTLRLVKPAVVDGPRGVDSHLKAYERAQQMLRDLESETMNSGGDRVEQRKLFDAFLGSSALWQPQPCKEHNVSLPVSNATKTQDGYADENANSNILTNPKLLMVKKPVTVMGPVGNSNSLNIDAKPFYVSNLVKGSVGGENVGEGLKRTRSGNAATMAREKETGELEVVNKSRRKSGSPEKNCDWNEFLPDSKDFEEAIIAAVLGSSSEAEKVKLVEKMETGKVVERKIEKRLKVFQDITPSLSPRA >KVI02902 pep supercontig:CcrdV1:scaffold_1016:37315:37725:1 gene:Ccrd_018799 transcript:KVI02902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >KVI02904 pep supercontig:CcrdV1:scaffold_1016:94629:102672:-1 gene:Ccrd_018805 transcript:KVI02904 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGKRAAIVLSSSDDDGDCNDIDFSMKLFCENFGEGFTGFKVSAGADLMIYCDLGYRRDNKELWLDKYRPCSLVELSVHKKKVEEVKVWFEERINVRKDEYCNHVLLITGQPGVGKSATVHAIASHLGATIYEWNTPTPTIWQEHVHTTNAGLRYLSKLDEFENFVERIRKYGLISSSLTGEVQKPFIILIDDLPVINGKLSYGRLQRCLHLLVQSVRIPTVILITDYGRADTADYASRCWEELQTSLQRAGACKVAFNPITGNSIVKTLSKICREEKLKITADQIDVIAKASGGDIRHAITSLQYFNLKSDLKSTSKDTTYSGKGFHKTDHLDDGYSLPFGRDETLSLFHALGKFLHNKRDSEHLTASDMDSVFLKEDFTRLPMKMDAPERVLGQAHGQARPIADFLQENVLDFLHEEAMDDAWIVASYLSDADTLLTSLTGVLSRNYEAENIIQSTAASVAKEMVGERSVAAYNCVNLSELSTIATEWRPALKWLGSRASEHNSPDESSIGGEMVDNDWNFDDEDDEIEDW >KVI02913 pep supercontig:CcrdV1:scaffold_1016:2984:3842:1 gene:Ccrd_018796 transcript:KVI02913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MGHYNLVLTSLLFFILQGSVSGATFTFVNKCSYTVWPGILGKPALDSTGFELANGNSRSFQAPAGWSGRFWGRTGCTVDGSGHWSCATADCGTGEMECHDAGATPPATLAEFTLGSGSTQDFYDVSLVDGYNLQMIVEVNGGSGNCVTTGCVDDLNRRCPTELRVDGGGGCRSACDAFGSPEYCCKGAFGTPETCRPTAYXQVFKAXCPRSYSYAYDDATSTFTCAGADYMITFCPSIPR >KVI02907 pep supercontig:CcrdV1:scaffold_1016:108510:112917:1 gene:Ccrd_018806 transcript:KVI02907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNSFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADWIPELKHYAPGVPIVLVGTKMDLRDDKQFFADHPSAVPITTAQGEELRKTIGAPTYIECSAKTQENVKGVFDAAIKVVLQPPSSKKKKGKGQKGCSIL >KVI02911 pep supercontig:CcrdV1:scaffold_1016:73207:76794:1 gene:Ccrd_018802 transcript:KVI02911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, alpha-subunit, N-terminal domain-containing protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKSTVKLQDSRSVRKIVSLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGVPSLYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGQETIKLAIRALLEVVESGGKNIEVAVMTKEGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPASKQT >KVI02906 pep supercontig:CcrdV1:scaffold_1016:126153:138459:-1 gene:Ccrd_018807 transcript:KVI02906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MISDGVEDEEKWLTAGIAGLQQNAFYMHRALDSNNIKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDELRKLEIFFKEESNRSCSIIELYELVQHAGNILPRLYLLCTVGSVYIRSKEAPAKDILKDLVEMCRGIQHPLRGLFLRSYLSQVSRDKLPDIGSEYEGDENTVMDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERNELRDLVGKNLHVLSQIEGIDLELYRDVVLPRVLEQVVNCKDDLAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPSVDIKTVLSGLMERLSNYAASSTEVLPEFVQVEAFAKLNNAIGQVIEAQADMPVFGAVALYSSLLTFTLHVHPDRLDYVDQILGACVSKIAGKGKLEAKATKQIVALLSAPLEKYNDIDTALKLSNYPLVMEYLDDRTNKVMSNVIIQSIMKNKTHISTADKVGALFELIKGLIKDLDANNDDEMDEDDFKEEQNSVARLIQMLYNNDPEEMLKLVRRVQSQDDNVTEEETASATPKKIFQILNQTIETLSTVPVPDLALRLYLECAEDSKAQVTALYLIIGTLQRMHTFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVEDQDGVKDVLLCLKRALRIANAAQQMANVAKGSSGSVMLFIEILNKYLYFFEKGNTQITVASIQGLIELVTTEMQSDTSTSDPAADAFLASTIRYIQSQKDKGGATGEKFEAIKV >KVI02903 pep supercontig:CcrdV1:scaffold_1016:25533:30662:1 gene:Ccrd_018798 transcript:KVI02903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MKMEVEEGKSLVETPTWAVASVVSVMVLVGFFINAALRHTEKWLYRTKRKALIAALDKIKEELMLFAVLSLLMGHWIIYAAKICVKSSAISSRFYPCSVEKSYIDPLHHQIPFHTYSNSSVSRQLLGHKNSEICPELCFSRQIWSSINRADYRALRLGFITHPSLDFRHFMHFSWFSWYIHLLLAVVPTNNLIKLAVETKNTSQSQSQRSEIQQINLRDELFWFGRPRLLLRLIQFISFQMGSRFKKTIVSENVRESLHTWRRRVKAKHQHDSTFTLLPTTSSTMSLDSMVDDDQDEIVPFSSPHAITEETSGRVLEVSTPDEKGRFVPIA >KVI02908 pep supercontig:CcrdV1:scaffold_1016:61935:67903:1 gene:Ccrd_018801 transcript:KVI02908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLRKLFDKISATVNSSRRNHSPCRRSLSRDVNSEEFRCYSVCVARLAIMVMLAILIGLLTLLTWHFTKVYTRTSLNSLAYGLRHQLLQRPILRMWKILNSTVEITTSQVKLSEYVIKKYSKAENQAQQVELYEVMRDVTWALFASHKALNSMSIKYRNGFVQAFHRDLRDKSTYYIYSNLVNYTMVEPYDMNQTLPHKGWNDQTIHGNVSAIWYREPLDPDTGRKMGKQKAIPPDELMNIAGISQVPDGAASWHVAVSKFTNSPLLSAALPVWDQDRGSIVAVVGVTTALYSVGQLMKELVELHGGHIYLTSQEGWLLATSSSTPLLRNSSTGPTLVMAVDSQDEIIQSGAKWLHKAYGEKPPLHVTATARLGHQRYYVDSFFLNLTRLPMVGVLCIPRKYIMGKVDERAFKTLVILISASVCILVVGCICIFILTNGVSKEMNLRAELISHLDARRKAEASSNFKSQFLANMSHELRTPMAAVIGLLDILLCDDCITNEQYATITQIRRCSTALLRLLNNILDISKVESGKLVLEEAEFDLGRELEGLVDMFSVQCKNHNVETIIDLSDDMPKVVRGDSGRVVQIFANLISNSIKFTT >KVI02909 pep supercontig:CcrdV1:scaffold_1016:48598:59032:1 gene:Ccrd_018800 transcript:KVI02909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein MMSVLLKFPKFSWVFVVCVAVSCGLICGYETGVGIVEGKLNVHLVPHSHDDVGWLKTVDQYFVGSNNSIQGACVENVLDSVVMSLLRDPNRKNGGWCMHDEAATHYVDMIDQTTLGHDLIKSNFNITPSAGWQIDPFGHSAVQAYLLGAELGFDSLHFARIDYQDRAKRKGDKSLEVVWRGSKTFGSSSQIFTNVFPRHYSAPDGFNFEVRGDFEPVQDDPLLFDMNVKKRVNDFIDAALTQANVTRTNHIMWTMGDDFQYQYAESFLKLSQLPLKLLPFVKMLFFLCVDMQTMEMLTGQAARQLEYLAGRRTRGPNTFSLGDALGIAQHHDAVTGTAKQHTTRDYEKRLAIGAVECPLLNISFCPPTENIPSGKSLVKLPVVVAYNPLGWSRTDVVRIPVKDANLVVQDDKGNTIKAQFIEFDNITSNLRSFYAEAYLGISPTEVPKYWLLFQASVPPLGFISVVDTPQNDSIEIGPGSLKMSFSLQSGQLKRIINSRTGIDLPIQQSYLWYGSGSGNQPSGAYIFHPDGSAPVIVSRSVPIKVIRGPLVDEVTRLYKDKEHAEFEFIIGPIPTDDGVGKEVITRITADMATNKVLYTDSNGRDFLKRLNLGMFTTDNKTELSVLVDRATGGASINDGQMEIMFHRRMLFDDGRGVGEALDETVCTKTTCQGLAVRGTYYMSANHVGSGSRWRRTTGQEIYSSLLLAFTHEKQDNWKASHSMRSTTMDPNYTLPPNIALITLQELEGGSALLRLAHLYEAGEDADFSTLVQVELKKMFGAKTIKTITETSLSANQDKSVIKRMPWKVEGGSGSESAPIRGGRLDPSALVVELGPMEIRTFILQI >KVI02912 pep supercontig:CcrdV1:scaffold_1016:10102:17969:-1 gene:Ccrd_018797 transcript:KVI02912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MEDFEEHDDEISSPLLQKKTQFDGSTSHAPEENSPIEQVALTVPVTDDPSLPVVTFRMWILGTVACVFLSFLNQFFWYRREPLSITSISAQIAVVPLGHLMAATITDRVFFRGRNYEFTLNPGPFNVKEHVLITIFANSGAGNPYAIHIVSAVKIFYGKTLTFWVALIVVVTTQVLGFGWAGIFRRYLVEPAAMWWPQNLVQVSLFRVLHEKEERPKGGLTRNEFFLIAFICSFAYYVLPGYLFPMLTSLSWLCWIFPKSVLAQQIGSGLHGLGVGAIGFDWSSISSYLGSPLASPWFATVNIAVGYALVTYIITPLAYWFNVYEAKRFPIFSDDLFTANGQSYNTSAIVDSNFHFDSDAYDQEGQIYLSIFFAMTYAVSFACLTATVVHVFVFHGRDLWQLSKSAFQEKKMDVHSKLMRRYKQVPEWWFTCILLTNIVATLFVCEYYKSQLQLPWWAFLLACGLAFFFMLPIGVITATTNQTPGLNVITEYIIGYLYPGYPVANMCFKVYGYISVKQGIAFLQDFKLGHYMKIPPRSMFMAQVCGTLISALVHLGTAWWLMDTVPNLCDRAMLPPGSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGYYNSINWFFLAGALAPILVWLLHKAFPSQNWITLITTPVLLGATINMPPATSVNYNSWIIIGFLSGFVAYRYHHNWWGRHNYVLSGALDAGLAFMGVLLYVSLDMEQVSLDWWGSKPDGCSLAS >KVI02905 pep supercontig:CcrdV1:scaffold_1016:86868:90954:-1 gene:Ccrd_018804 transcript:KVI02905 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase 5, peroxisomal [Source:Projected from Arabidopsis thaliana (AT4G35970) UniProtKB/Swiss-Prot;Acc:Q7XZP5] MAAAAPVVDEQYLKEIEKARRDLRALISSKNCAPIMLRLAWHDAGTYDAKTKTGGPNGSIRNEEEYKHGANSGLKIAIDLCEEVKAKHPRVTYADLYQLAGVVAVEVTGGPTIDFAPGRKDSNVSPDEGRLPDAKQGPSHLRDVFYRMGLSDKDIVALSGGHTLGKAHPERSGFDAKPWTRDPLKFDNSYFVEVLKGDSDEMLLKLPTDTALLDDPSFRFYVELYAEDEEAFFKDYVESHKKLSELGFTPPSSLKSKNAAALLAQSAVGVAVAASVVILSYFYEINRK >KVE62254 pep supercontig:CcrdV1:scaffold_10162:4220:6032:1 gene:Ccrd_023941 transcript:KVE62254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like protein MEKKQVVIIGAGISGLLACKYCLSKGFNPMVFESQSDIGGVWAKTSRTTRLQSFKGSFQFSDFPWPSSVTQDYPTQHQLLNYLRSYATRFDLMNHIQFNSVVKGIEYDGPPTPSRETFPQGKWKVTVENSQSTKVHDADFVILCVGRFKDFPNIPEFAPGAGPEVFRGKMIHSMEYAAMDHQEAEEFIKGKRVVVVGFQKQGLDIAMECSSLNSFVMISGKENPCTILYRNDRWKLPDFSPWGIPLSYLYFNRFSELLVHKPGEGFLLGLLATILSPLRWGISKLVETHVKKKSPLAKFDMVPKCSFSKDLRSGLVSTMPEDFFNRVETGSILLKRSPGFSFYKEGILNDGENKPTRADIVILATGFKGIQKLQSIFMSQDLRDFIAPSPDSRVPLYRECIPPRIPQVAIIGFSESISNLFTSEMRCRWVVELLDGTFKLPSIKEMEKDISNWDEYMKQSAGEYHQR >KVH99702 pep supercontig:CcrdV1:scaffold_1017:131840:137687:1 gene:Ccrd_022068 transcript:KVH99702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MHGRPRKSATPVDENASALKAAKIRSLQSQFLQNHHNKMYKSYTKEALEINAKLIEVNPEFMTAWNYRKLAFEHSLSSQSEAEKGDSDHIKSIIDEELRVVENALRKNFKSYGAWHHRKWVVSKGNSSTERELRLLNLFQKQDTRNFHAWNYRRFIAGMKSISEEKELQFTTDMINDNFSNYSAWHNRSVLLSHLLEKKVEGYFPKETFLTEEYEFVRNAVFTDPDDQSGWFYHLWLLDQTIKLDMPILASFWPPPGSDLNVPIDLDANKATFPVILYFNEPVEGVSSQTITVQTEYDIHDNITWSPLSTNKFGCALAWVTHMRIPHEELDSSKTYQVKISSEHSQGITSLSGVSLNRPWSFAFTVSVCQHLQPAEGQSLGRLSLTDENFCTIDTNLNMTALLKSAFQFTNDDEQPTSSKWKSEIIASEISQYRELLSWAECKIGKLTLARLLVAYDAMITGTQFTPSIAEEVLQLLTELMKLDPPHYQYYKDEYSLILLKQIAVILQVTSSKESLLKYCWQYKESTSLNITGPTCLRLNSLSLSRIGSIEQLLWVQSLDLSHNQLHSIEGLEALQLLSCLNLSHNKLGSFMALDPLRFLKSLRILDISHNEIGAHTVDTKRYLCSSPLSHKVAFDKNFEEFANGDAKVMDFWEPFSIFGGLNLIQLDIMGNAAVDERFVLFLVKLLPALKWLNGEELH >KVH99695 pep supercontig:CcrdV1:scaffold_1017:11512:18688:1 gene:Ccrd_022062 transcript:KVH99695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MPENLGCVLVAVDGSEESMNALNWALDNVKLRPEGTLVIIHVQSPPSIAVGLNPGAIPFGGPSDVEVPAFTAAIEAHQRRITDAIITHAMKICADKNVGFSILLAEVKTQIVVGDPKDKICEAVEELHADLLVMGCRSFGPIKRMFLGSVSNYCSNNVPCPVMIVKGTA >KVH99699 pep supercontig:CcrdV1:scaffold_1017:115894:120309:-1 gene:Ccrd_022067 transcript:KVH99699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MEAKLSNIRLSTVVPGQMTVENTVHHLTNTDLAMKHHYIKAVYFFNNEFAELGLIISDLKTAMSHLLSRYFTVAGRIRRRPEDNRPFIKCNDGGVRVVEAESNTSIDEWVEMKHSDVSELAYRDHVLGPDLQFSPLLFLQFTKFKCGGFSVGLNWSHVIGDAFSTSTFVNMWAQIVNCKTLSHISISTKLQISERPSTFQNIDLVGNHWFNVNNTKMVTFSLHISSKNLDQITSKANAKPFQALCATIWKSLAKIRAQLEPKTVTICTYGSQKNEIKSQGNNQRVSKVVVDFTVSEASVIDLAELITVKQNNSDAMFYGETLTFVNLEEAKLYGFELKGHKPVLANYAMTGVGDEGVALVLQGPENYRGRLLTMTLPSDQVVALKNELIKEWNIGRD >KVH99698 pep supercontig:CcrdV1:scaffold_1017:86109:87083:-1 gene:Ccrd_022066 transcript:KVH99698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESAPVANPNPNPALRPAFAYNGGGATTVAMPHPPPPAVVRSGPVSVGVKIFQTEGVAALFSGISATVLRQTLYSTTRMGLYEIFREKWTDRNTGKFSLTRKITAGLLAGGIGAAVGNPADVAMVRMQADGRLPVAQRRNYKGVADAIARMVKQEGVTSLWRGSSLTVNRAMIVTASQLASYDQIKETILKNGVMADGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMRVEEGVAPPYKGAVDCAVKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKILKDF >KVH99700 pep supercontig:CcrdV1:scaffold_1017:32340:32981:-1 gene:Ccrd_022064 transcript:KVH99700 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAKKGQKFRSQPLKTVLSSPLPSTPSLSKEKYKGVRMRSWGSWVSEIRAPNQKSRIWLGSYSTPEAAARAYDAALLCVRGPIANLNFSQHQYDHTTTTTTTMMSPKSIKRVAALAAATIPSPSPSPPPSPILSSPSYSSQLSTVSPSKSSSYNKEKNQRFYLENDPLDGTLMSMVAPWCNFDSPTYNDMMCNESAYDPSDDEEGGEIRLWSFY >KVH99697 pep supercontig:CcrdV1:scaffold_1017:548:1390:1 gene:Ccrd_022061 transcript:KVH99697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEFGDKDSLPAIFSTHHHHHRPPPLLAVSHHLTTTSDEADNKKEFSTTNDGASIEVVRRPRGRPPGSRNKPKPQPLVAFITQEPDSLMSPYVLEVPGGLDIIAAVTRFCNHRNTGLCVLSGCGTVSSVSFRQPTTTITFHGCFELLSISATVLSSTSPPSAVMTPFANRFAISLAGPQGQTVGGAVTGPLIAVGTVYLVAASFNNPLYHRLPMEEEKDEHIRSSNGGSVSVSGGVDGGRRHAAPSTAADSVSMYNCQPPSDVIWAPTPRQALPRSPLY >KVH99701 pep supercontig:CcrdV1:scaffold_1017:45563:75987:1 gene:Ccrd_022065 transcript:KVH99701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology domain-containing protein MPNAKSNSSDPKNSADSKNNNSASKNNNADQKNNNAATKNNADLTKSNSTSKKDNCEWKGNLDAKTNADAHPPQGDAGGGNLPPSSGANQYFERQSSRNGNKVIAQAKLHASTIDDLQVYKSGPLFLSSKGIGWTSWKKRWFILTDTSLVFFRSDPNASSEKGGEANLTLGGIDLNSSGSVVVKADKKLLTVLFPDGRDGRSFTLKAETSEDLFEWKAALEEALANAPSAGTGMGQTGISKNEKSENPDGSHEQPKDRSPPKSLVLGRPVLFALEDIDGTPSFLEKALRYVEEHGIKVEGILRQAADVELVERRIREYEQGKTEFTAEEDGHVIGDCIKYVLRELPSSPVPASCCKALLQAFRTDRSTRVSCMRAAICDTFPEPNRRLLQRILMTMQAVAENKDVNRMSVPAVAACMSPLLLRPLLAGEVELENEFNIGDGSAQLMQAAAAANHAQAIIITLLEEYDNIFGAKKKTSIENVDVIAEERDEIFRLEALKADLVKKIEAERERELRKILEAGLTMSKKILPLPGSIDEKTKADLEDIAQAEAEVISLQQKLDDLEIHLSQHDQGKVKDKEKDRKSKIFSKFEIDDDKNSGKEQHDKHPFHSFSSKLPPNQQPDSTRKGEPSMSKSSSSTAANTSSALSKITNRLNFLKERRNQITNELDTVDKEPNKGQSSTLDESDDQSSLINHSTDNKASSLEQDQNPRKDDKKHPT >KVH99696 pep supercontig:CcrdV1:scaffold_1017:22937:24178:-1 gene:Ccrd_022063 transcript:KVH99696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYVHRHLRSKFHDLVHLIKLLRVYGLRFRVRAFLMALFVVSSPWIGSTIRGEYNFVVFNQGDDHRVEFINDNSIELEVFLMLFQNLTNEGVLGMHDKGLIVNNDDEDEKLVDYYPSIDFISYVDLEHKHASHDYDFVFTHESVESVDKFLKLDGIMVVQKKGAIKDSESLQKPSNYETLFVKQLELPTTTEMITIIAMKKTRTVGDHDKNKNEVGSNTNIPHRRLMFEQKKAEAIKNIENVVLEPPRTISGKSSIYFKKTRYLPDLMDDSFLEEYPRRVFIDVNGDSTVWFTKNYPTKNKNFEIFKLETTIPETGTKEDVEDDVEGAFVETEITDWLRENVKKDEYVVMRGEAEVVEELIKSKAIGLVDELFLECKYQGIKCQKCRRPYWKCLALYGLLKDAGVAVHQWWG >KVH93746 pep supercontig:CcrdV1:scaffold_1018:87212:87933:1 gene:Ccrd_004196 transcript:KVH93746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLVRFSLSILAPFSPFSPSRSLSAHPPPFSSVLIHHGKPISESNIIVQYIDEAWQNKHPPLLPYDPYLKAQARFWXDFVDKKINDGARRIWSTKGEELEKAKRELIEWLKVLEWQLGDKAYLMGERFGYADIAMVPFY >KVH93753 pep supercontig:CcrdV1:scaffold_1018:7605:11007:-1 gene:Ccrd_004191 transcript:KVH93753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSPPNTLTEPVWDTMKRDLSRIVSNLKLVVFPNPFREDPGKLCDIGIFGGRFSSLARSILVRLGKEGVFSFYCIMKRVLICYANFIIFFMDANLKDLHDHMESILSKSLSLNEKDVTRVLRSLSFDDHRGSCKLAKMRSFGSKYYTPAASPEAKRIVSPKPLMNPDMAAVKLQKKYKSFRTRRQLANCAVLVEKRWLVFFDFFVSFFEVEKPETAVSHFVMLKCSSVSFFEVEKTETVVSRWSRARTRAVKVGKGLSKNEKARNFLYNIGSRPLDIWEGKEVNLEQCPRLKLQQQCIKYLGP >KVH93749 pep supercontig:CcrdV1:scaffold_1018:73797:75269:-1 gene:Ccrd_004195 transcript:KVH93749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSRTPSSFNILLRRRSPKIIQSPNQQFRNSGNDGRHDDIWDKSRYSSEIVKEICGSIRSKPRWEDTLASDFPGVSLLDSSFLNQVILYQNNAFLSIRLLYWLISTYGYSPAQSTYALVFNALVEDKAVKAVKSFLDYTKFVPEPAGLESYVRCLCESGMIKDALEAFDELKKMGVCPSLETWNCILLASMKDGHTHIVWELYGEMVELGVVADVDTVGYLIQTFCIEKNVLKGYELLRQVLDDGFVPHKVAFDKLIFELVLDKKYDRVSTLLHIMIAKGVDPDLYTYQQIIHGMCIMGMHDEGLHIFNDLKDRGYAPDRIMYTTMIDGLCKVGRLGEARKLWFEMIQKGIEPNQYTYNALLNGFFKIGDMKEAQNLYEEMRVRDYAETLVTYNTMINGLCSHGRASEAFLLFKQMAQKDVPQDVITYNSLIKGFCKEGNLDKALNLLHELVQQGLQPSSASYGFLINKFYKLGQMVEVKTLWNEMHDRGL >KVH93752 pep supercontig:CcrdV1:scaffold_1018:5148:6703:-1 gene:Ccrd_004190 transcript:KVH93752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MEFTHLKNLKNDNNNIIIRIRICRMWESLKSKKGGELISLDMILIDEEENLIHASIWSGLVPKFRTLLHEGVLYEIKNFKVVPSVENFRPLANDIKIIFQKFTSLKKLEEDTVSIPENGFQFISAGLVHSHVNDDTFLLDVIGCIQAVGHIETVGVGWKKRDVEIITARLQQELLCGVNWPRIFDATAVKMKAATGPVILIVTCTRVKTFQGVVYFATTSASKIFINLRINYVSSLIERFTTVANGVHFIENANEKKRRDEDMHLERMMINDLLCATWDKDMKVPFIIVRGTITCIVPSLGWFYKGCKVCYKQLTTIDGGYFCGNCKAEYEFPLVL >KVH93754 pep supercontig:CcrdV1:scaffold_1018:114742:115258:1 gene:Ccrd_004198 transcript:KVH93754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTSANWEGKKVRKKKLKLKKNKNCCASSSGNEVGGDGIGLVISTSNTPSPTPVPVPVPAMASSSSCLVVPDVWCGPGIGFSTDAASVDCVVSRRPVSGRGKVDGEKINLRELDFRWC >KVH93750 pep supercontig:CcrdV1:scaffold_1018:14567:16169:-1 gene:Ccrd_004192 transcript:KVH93750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MATKDSLPVKTAQQMAIDGDQPPSKYIFNNNTIYANFGPLETSPPFAPVPIIDVTRLSSTSDQNDRKTELAKLRSALTTWGCFQAVNHGLADSYLDNIRQVINQFFQLPLEKKRKCLRETGSVEGYGNDMTYDENQVQDWCDRLFLRILPEDERQLRFWPENPSKFRETIDDYTNKIKSLSVILFEAMAKSLDLEENCFAKYFTEERDVLQGRFILYPPCPTPDKVLGLKAHSDRSGITLLLQDPGVEGLQVLNDGKWYNIPVIRDALFVNLGDQMQIMSNGIFKSPVHRVVTNTKKGRISVAMFTEPEPNKEIGPVDALVDEKRPRVYKTVKNYAVFNHECFQKGVVALDAVKI >KVH93747 pep supercontig:CcrdV1:scaffold_1018:106948:108135:-1 gene:Ccrd_004197 transcript:KVH93747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEVEVEAEGGLFCFNPKDDTQQVLKILEALKQASHDLQKNPDSKKTDGKISFSHSPAIKALLELENESESILSNDPHLTTLSTHLSALKTLIHNSYNARQRDHGLRSFLIRRANSYEISRVAGSIESEIQAWIDREFIETLTQTLRRAGETKEEVLIDLMEQFEERIARGFDRELQDAILRSKVFVELETILCDFKFSKKVRETSAFAIAELIKFNKDVFVGQVLMGKTVRALISLSSVRSIQVLCILIRSIKSPIVDEIESNGEIPKIITFLSSDDFSIRTMAMDCVLEIGYFGRKEAIDAMLEEDLVKKLVELQKSETRGVLMDVRGESKNVERHPFSSCVARFAVQLEVGEGLRQREKRALKQVVLKRVKEAHVCDAEAATIIAEVLWGASP >KVH93751 pep supercontig:CcrdV1:scaffold_1018:16967:22757:-1 gene:Ccrd_004193 transcript:KVH93751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MLSLFIQISSIYHFEVEAAFATHKIRERFSTKISLQFLLLSFDLRFLANRRRPPPTQKVMGTTILSDLGTEIVIPICALVGIVFSLFQWYLVSQVKLSLEKPAAGDKNGFTEALIEEEEGINDHTVVQKCAEIQTAISEGATSFLFTEYQYVGIFMVIFAGLIFVFLGSVEGFSTSSQVCTYDATKMCKPALMTAVFSTVSFVLGAITSVISGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGSTHDFTAMMYPLIVSSVGIIVCLITTLFATDFFEVKTVKQIEPALKNQLIISTVLMTIGIALVSWVALPSSFTIFNFGTQKVVKNWQLFLCVCVGLWAGLIIGFITEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFTFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGAALVSLALFGAFVSRAEITTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGKAALKMVEEVRRQFNEIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQAGVSEHAKTLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKLF >KVH93748 pep supercontig:CcrdV1:scaffold_1018:53387:56860:-1 gene:Ccrd_004194 transcript:KVH93748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MAKGKLILICQSGGEFVTNDDGTMSYNGGEANAANVTSETPFSDLKLHLAETCNIDQETATVKYFLPGNKRNLITVKNDKDVKRMIDFHGDAITAEVFVTGTPGFNRENHTKNDETENDDNMSTKKHESVKRGKAGTKKDKQVKKDYASPVKRTRRALAAAAVAAAASAEASSDEKAEGNTNALVSDDAKSESSTTDTSTSSDSERANANVDSESSSDYVPSRYPKRKKDSVKKRRRTPSWKFGANGRPTIVSDDSVGSKSRGKRRKTIDGSSGGRKSQRKSGRLAAKGDGSRETKLGRKKRGKRAIMPVADSSDEEYDDHGEPSALAMCDDDVTPETLVAVWKSAITGVGQEFTSFYAFREALQKYAMANAFAYKFTKNDTSRAIGECATEGCSWKFSTVWVPTTQSFKIKTLNDVHTCDEESRKSAYPTKNWLIDTIKEKLQKSPHLKPKAIANRILRDSGFELNRTPIRRGSGIRRKQLHGSDKDAYNKLPWFCEKIIETNPGSISKLVVGENKRFKALFMSVLEVFGDAHVGYSIYHLLQSFKRNVRGPFNGDGKGFLLVHFLAAAHAVRLVGFRKATEQIKQISSQAYDWVMQIEAQHWTTSSFKGERYNHITDDIGRSLAKLLDDYRELPILHKIEALICTMIDAINDAKLDASMWSTHLTPSKEKKLQDETVKSCGLKVLISSDILFEVREDSTHVVNLSNWSCTCFGWKETGLPCRHALAVFTLTGKSPYDFCSGYFTVAAYALTYTESITPVPIEEEKGEKIKDLEEKGEKIEDLEEKGEKIEGLEEKGEKVEGLEEKSEKVEGLEENGEKVEGLEENGEKVEGLEENGEKVEGLEEKGETVEGLDEKGENIGDLEEKGKKIEDLEEKGEKINDSGENGEKMEIKYAESEKEEAGGKEDHNDKTEKHELEGEEDEHSNVAEKEKSEIAEEKSEKIEMENAGGDNEETEKAEDQKEEIEKDEDDPDVFVLPPIPTKPADSGKEKMEWDEIEGETKRTVTCTKCKQQGHNKKSCNFYQMQQAF >KVH93755 pep supercontig:CcrdV1:scaffold_1018:138373:146664:1 gene:Ccrd_004199 transcript:KVH93755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MIHLKEKKMGSMISQFLLIGYVLFIFLDNVYAGVTSNFIRSEWPSVDIPLDNEVFAIPSGYNAPEQVHITQGDYDGKGVIIIWVTPEKPGSNQVRYGTSEKKYDFTAEGTVKNYTFYNYTSGYIHQCLVNDLEYDTKYFYEIGEGDSARSFWFQTPPKIDPNASYKFGIIGDLGQTYNSLSTLEHYIQSGAQTVLHVGDLSYADRYQYHDMGVRWDSYGRFVEKSAAYQPWLWTVGNHEIEYMPYMEEVVPFKQYLYRYTTPYAASGSSNPLWYAVRRASAHIIVLSSYSPFVKYTPQWEWLQAEFKKVDRKKTPWLIVVMHAPIYNSNSAHYMEGESMRVAFESWFVQYKVDVVFAGHVHAYERSYRISNIQYNVTGGAMYPVPDKSAPVYITVGDGGNQEGLASRFNDPQPDYSAFREASYGHSTLEIMNKTHAFYHWNRNDDGKKVPTDSFVLHNQYWNKSLRRRKLKKKYLHAIVPTLELNRL >KVH93756 pep supercontig:CcrdV1:scaffold_1018:148264:152471:-1 gene:Ccrd_004200 transcript:KVH93756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILKGGVSVYNSLLLSALWVSKKQLSSFSSLESNSIQELDEFSNGLQKRWNSHVKMLAVLSFLLLMQLSVISTSGLLHTEACGSHHIRHSSDSGHELFFYDGELVDKDFFCKFIKLYIANHCFISRFIVNQCFEGDLFSDGLPAKVERRFLRYLVIKETRGSVFSAKYTAMGAPGLLLLCCTSFFCCFRSKKKDTDDVVLVKESNSMDSAPSVEMNSVSGKTPASPLRVPQSPGRFSMSPKLDRIGSVHLTMNQVARATQNFSPSLKLGEGGFGTVYKAQLQDGRMVAIKRASKERSDALRSEFRSEIELLAKIEHRNLVKLLGYVDKGNERLIITEFVPNEKQIIHRDVKSSNILLTDTMRAKVADFGFARLGGTETGKTHVVTKVKGTVGYLDPEYMRTFQLTPKSDVYSFGVLLVEILTGRRPVESRRFPDEKITIRWAFGKHTKGETMDLVDPQMMEGVDGEIFEKMLSLAFQCVAPTRADRPDMKSVGEQLWAIRMDYLKHGRRE >KVH88387 pep supercontig:CcrdV1:scaffold_1019:101450:102757:-1 gene:Ccrd_023942 transcript:KVH88387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKKPMPIPSTRKDFMIVNMGPHHPSMHGVLRLIVTLDVVDDGKAVVVDDGKAVVVGDRKAVVVGNRVISGGVG >KVI01200 pep supercontig:CcrdV1:scaffold_102:255440:259235:1 gene:Ccrd_020519 transcript:KVI01200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRRRHNPMVSLSRWKRWLPQTMLVVRRLVHRFLKMAVMRWMLPWRWLYAAGLSIRWLAVLEEGGLWSSDRQIHHKLKHSISGKRLLQLLHRSLCL >KVI01228 pep supercontig:CcrdV1:scaffold_102:154659:162295:-1 gene:Ccrd_020528 transcript:KVI01228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MDPGRKTDAQNSTMTDAMPASKTRFEFEDADETIEEAATGSSNLEEDSAMCEPEDSSIVGADKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYKNKFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAVECSQMADMAQEIVKANGFSSVITVLKGKIEEINLPVPQVDIIISEWMGYFLLYENMLNTVLYARDKWLVSNGIVLPDNASLYLTAIEDAEYKEDKIECPKSRNTHWKQTVLYLEDVLTICQGEFVAGSMTVTQNKKNPRDVDITLKYTLNGRRTTISRTQQYKMR >KVI01209 pep supercontig:CcrdV1:scaffold_102:99065:101747:-1 gene:Ccrd_020534 transcript:KVI01209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MSIPMIDFSKLDGEERSKTMALLHHACEKWGFFQIENHGVDKELMDKVKQLVNQHYQENMEKNFYNSEIAKKFEDKSNTTDIDWESTFFIWHRPENKINVYTNLSEELRKAMDEYIDQLIKVAEKLSELMCENLGLNKEYIKEAFSGGKGPSVGTKVAKYPKCPRPELVRGLREHTDAGGIILLLQDDQVPGLEFFKDGKWVNIPPSKNNTIFVNTGDQVEVLSNGNYKSTVHRVMTDKDGSRLSIATFYNPAGDAVISPARELLYPNRYTFQDYLKLYSSTKFADKGPRFESMKKMVNGQ >KVI01223 pep supercontig:CcrdV1:scaffold_102:232159:237528:1 gene:Ccrd_020521 transcript:KVI01223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLARKWKNGSDDDEEDDDGKSCLPTSSDFHPMDTEEQEELVRSLERSLAQQSRFWRRVFAGLHCCFVAFLIFSIHGQTTMPWELRYHAYFMDEIESWTVIAAEWAAVFVSFMAVAGLLRSSRSQRRWLWFSCCFGALLAAADISMGCYLASFRSLEWSRVFALRRSSYERIIGGS >KVI01192 pep supercontig:CcrdV1:scaffold_102:356542:358747:1 gene:Ccrd_020511 transcript:KVI01192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTGKSIRSGGKYGQEARKDRKSATGVNGSPKKGGHGGKFTWSGDTNFSSAELEGFDRIVVVDAKVAISDDLESIDNIA >KVI01215 pep supercontig:CcrdV1:scaffold_102:470076:477205:1 gene:Ccrd_020505 transcript:KVI01215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiator tRNA phosphoribosyl transferase MADETASMSIYRASRIIKRRQSSLYNSLLSIHEDSIFVSEIAQLWPELPLVANLRCGLWYAKKFHSNCYFKSTDGHTNNLSFNTSRLNLHLAILAGQRGGCMIVDSTRKGKRFPDSMSKTIPIWTCVLNRAILNYRNKMDGINKPMEEGVNSSAQNSDTTVHESPKWDSSLHLPLWVPDTERVRIECNLDGWIKQLETSGADIASISSLLKKPLRPLWISQKTVIWLNEVPDYDSWDFTPIILISASAARDVYRQKRSSEFSWNYIAGAGDDEESWARGLTPTLFWNNVYDLINSGPDVCNQKVAAIVERDRVYRAQRGQNAPQVSVKPTKLASTNSTHIQDQPLDVIMEDGTGGHSLGDEHPIYWLGSTNLAVYFKASNVDGILNCDQNQILCREDISVCVCLAILISLFNVEGVYDDGKSFKETQITKLDMRQRLIFICKFAVNARPSREYLNV >KVI01224 pep supercontig:CcrdV1:scaffold_102:238623:241079:-1 gene:Ccrd_020520 transcript:KVI01224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31e MVEKTKGRKEEVVTREYTINLHKRLHGCCVVMAVLSLSNTWSGKCHCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDED >KVI01218 pep supercontig:CcrdV1:scaffold_102:397525:399147:-1 gene:Ccrd_020508 transcript:KVI01218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MCNPTTNPPPPPPPTAVSDHLLPPPPPPHPHFYLDLFSLSIPKTHSKNPQYHPNNILLIPSPSEIIHETKALFKLSSPIALTALILYTRSIISMLFLGRLGDTELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGARRPKLLSITLHRTVIFLLLVSIPIAFLWLNTSRILIYLHQDHDIARLARTYLIFTLPDLVSNSFIHPTRIYLRAQGITLPLTLASLIGTLLQFPMTYLLVFRLNSGVVGVAAASSVSNFVVLVALVFYVWWTGLYLPTWNNPTRKCLTGWGPLVRLAAPSCVSVCLEWWWYEIMIVLCGLLVDPKATVASMGVLIQTTALLYVFPSSLSFAVSTRVGNELGANRPDKARVSAMVSVFFAGLMGLSAMLFATSMRQNWGRMFTNDSNILRLTSMALPIIGLCELGNCPQTVGCGVVRGTARPTTAANVNLGAFYLVGMPIAVGLGFGLRVGFIGLWVGLLSAQVCCAGLMLYVVGTTDWENQAKKAEMLTCNGGAGGDASLASPDRDIEKQPLICIMMTSS >KVI01231 pep supercontig:CcrdV1:scaffold_102:35496:37644:1 gene:Ccrd_020540 transcript:KVI01231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MTPIYLNSSSNDQYNEHQFLSPTDHRNEHQFLSPHSQASSSSNSLTCHLFFNPTIISDQDGGFHSEPQPSQLESDTFGSQAYDDHCAANQDEISKNNGGSGLKFSLWKRESSYDTNSNDENRVKWMSSKMRVMLKMKKSDHPKKLNTLQSTAATEMKLAEDHHDHKEPTSSPMEETANSNSNSINSTSSNNTNPIRVCSDCNTTKTPLWRSGPQGPKSLCNACGIRQRKARKAMAAAAETNKNTLINDKPTSLKATKILHKDHKKPNNGHVKKRQYSKQTNTMNPASPSSSSPATKNCVEEFLVSLSKNLAFHRVFPQDEKEAAILLMALSCGYAHHE >KVI01230 pep supercontig:CcrdV1:scaffold_102:5227:6849:1 gene:Ccrd_020541 transcript:KVI01230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MVVKKPRIVIIGAGMAGLTAANKLYKSSGSKGAFEVCVVEGGDRIGGRIKTSEFGGDRIEMGATWIHGIGGSPVHRIAQEINSLESDKPWECMDGFLDDPITIAENGYVLNPSLVDPISNLFKHLMDFAQGKRKSTPFGIVNGGNGIEHGKMSVGSFLRKGLEDYWELESKKEREEVNGNGNGNWNWNRKLLEEAVFAMQENTQRTYTAANDLLNLDYNAESEYIMCPGEEITIAKGYSSVIESLASVLPSGIIQLGKKVRKIEWQPEYEVGITKNGHDGTRPVKLHFLDGTTLSADHIIITVSLGVLKAGIREFNDSGILKFHPPLPDYKIEAISRLGYGVVNKLFLQLSPDSWADFDRFPFLQLVFHQSDSEFKNPKIPDWIRRTASVSPIYKKSRVLLSWFAGEEALKLETLPDEVILDEVSTTLSTFLSNSHDSHQQSNGNMIKNSSKLKLSKVLKSQWGNDPLFMGSYSYIAIGSSGSDIDTLAEPLPKSSDSPQLQILFAGEATHRTHYSTTHGAYFSGLREANRLLEHYHCMD >KVI01211 pep supercontig:CcrdV1:scaffold_102:512332:518265:-1 gene:Ccrd_020502 transcript:KVI01211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MESEDGDSNRVDSSDLSSTSSTVSSIFGSSDVDFSSSFSITSSSSGEILAAAVVPLKLMAEVQPAEGGGGGTRRLKKCVGRSKGVTWGFKSVIGRRKEMEDAVAVVPGFMSGTCDHVGGCTTPGSRTSLEISPIHFFGVYDGHGGSQVAKFCAERMHEVIAEEWDQEAADGFKWQQRWEAVMSSSFERADNEVLSEAAAPEIVGSTAVAVVLSGCQIIVSNCGDSRAVLCRGMETIPLTVDQKPDRADELLRIEEQDGKVINWNGARDECLILASDGLWDVMSNNEVGEVARRVLRRRRRYAIDNESPAAQILADSLIEIAVGRNSSDNISVIVVDLKSKKKQQRT >KVI01229 pep supercontig:CcrdV1:scaffold_102:251:1081:-1 gene:Ccrd_020542 transcript:KVI01229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGGPRTGNLPPDYNPNSIANNMQNLQINRPNQPPNAGGGPRGPPPPFGQQPQSQPFPSSSPFSGPSRPGPPPPGVIPRGAVPPFTGSPQGTLPPFMASNRPSSGGGPPGGNVPPPSASRPMPSGPSPFSTSTTVAPPGPRPGPFASSPTTGPSVPPPGGSMSNGPPAFGPGGMQGPNNPPANVRSRPSTGPPPPWQTMSPAAPPFSGQSVNSAGESQSNNAPPRPAQPAPPFSAPLSGMQPSSGPPLFSTQGQGIPQPQASPFGAQQWQMQSRQ >KVI01191 pep supercontig:CcrdV1:scaffold_102:358864:369425:1 gene:Ccrd_020510 transcript:KVI01191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGNKIARTTQASATEYYLHDLPSSYNLVLKEVLGRARFLKSIQCKHDEGLVLVKVYFKRGDSIDLRDYERRLTQIREIFSRIENPHVWSFQFWLETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLYAVKQSHDNGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRRCYLAPEVVVLVGLCCVGIGDNCVLFLERFYEHGGEIQIAQDAPLRPSMDIFSVGCVIAELFLEGQPLFELSQLLAYRRGQFDPSQHLEKIPDSGIRKMILHMVKLDPNSRCSAENYLQSYAGVVLPCYFSPFLHNLYSNLNQISPDSRVAMCQLSFPEILKQMLSNRAGEETVVGLNSPINVIDRQLSQVMDSRENLGLTKKTSQMEEEKDLTPGHYELYGEVDNHYTDIKPKAEDVIFSENTHNHKRFAVQSAGKLLQTISNVFKRNHHPCLKEIMISDLNSLMSDYDNQSDTFGMPFFPLPQDSMSCEGMVLIASLLCSCIRNVKMPQLRRSAVLLLKSCSLYIDDEDRLQRVLPYVIAMLSDSAAIVRSAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNISKLALTAYGFLIHSISLTEAGVLNDLSSGQKSEVSHEIPGRLQNQKNDAQLAQLRKSIAEVIQELVMGPKQTPNIRRALLQDIGNLCWFFGQRQSNDFLLPILPAFLNDQDELLRSVFYRQIIYVCFFVGQRSVEEYLLPYLEQALGDSTEAVIVNALYCLAILCTSGFLRKRILLEMIEHAFPLLCYPSQWVRRSVVTFIAASSESLGAIDSYVFLVPIIRPFLRRQPASLTSERALFSCLKPPVLRQVFYQILENNRSSDMLERQRKIWYNSPAQSKHLENDDLYQKGVRELDQMKMWSDRRPGLQDHKSVSSTMQSLSLGENDVEAKLRSIGSFAHSASGTVDILDPLFSDKLKFSGFMSPQISGATSLVGDKSSEGIPLYYFKYDNKRAVGKAPSAPESSLTLDALGSGSLSIPQKDRVNKSFTLASSVPTPKLVSSSIGIANSSPQLHRVVHELEDRESDQTSHLSNKLQDTGVCSSLKGSSIVDDNSSPTEIAGLPSFARTPLVPDSGWRPSGVLVAHLQEHRSAVNDIAVSTDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYCLEGSRALCATMLHGSAQVVVGSSDGTIHMFSVDYVSRGLGSVVEKYSGIADVKKNSIGEGAILTLLNYSSGCDDGKMILYSTQNCGIHLCDTRENSNSWTTKVIPEEGYVSALVTSPCGNWFVSGSSRGVLTLWDLRFGIPVNSWQYSVACPIEDLCLFVPPQSTSLSTTVRPLVYVAAGCNEVSLWNAENGSCHQVLRVANNDGDAEISNLPWALARPSSKTNSKADSRRSVNFKYRIDELNEPSPQSPGIRSLLALPGGDLLTGGTDLKIRRWDHCSPERSYCICGPSIKGVGNEEFYETKSSFGVQIVQEAKRRSLATRLTGKAVLAAAATDSGGCHRDAILSLASVKLNQRLLLSSSRDGTIKVWK >KVI01213 pep supercontig:CcrdV1:scaffold_102:447328:458915:1 gene:Ccrd_020507 transcript:KVI01213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide glucosyltransferase MTEIIWSFDSIHALFFSASRALCSPFAVFIQIQGCVICLLLAAGWAGAAYVRNREIRQMKKCMKEGNDFPFLSHDIHDLEHSKQIQLPGVTVVMPLKGFGEHNLHNWRTQLTSLYGGPLEFLFVVDSTDDPAYHAVSRLLLDFKDDVDARIVVAGPSTTCSQKIHNQLVGVEKMHKGSKYVLFLDDDVRLHPGSVGALTAEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMMHADDFRTDKHGVVSELRDGGYSDDMTLAAIAGAHKRLITSPPVAVLELFEKTNVRLGVIHNKYKLDNESGIIFCALLPILGIRLILVGCLVICTGIELLSMWNLTRIEVRLCNMLSPEAPPLSLSAYNWCLVFVAMVVDNFLYPISAIRSHFSQSINWSGIRYHLRNGKIHKIERTMEKGKKFSDLAAKRLYGRKGAQSKISILGSLSRSLAHWRQPKKYDV >KVI01216 pep supercontig:CcrdV1:scaffold_102:496827:501657:1 gene:Ccrd_020504 transcript:KVI01216 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSLVAFPTLIFLIIPGLIYGKYLLYLSKKSFHEYSKANSTVEQALASIKTVYSFTAEKTIVQKYSTILDRTTEMGLKQGIAKGLAVGSTGLSFAIWALIAWYGSRLVMYKGESGGRVYVAGLAFILGGLIDRIPEIDGQDSNGLVPDNIHGQIEFENIEFTYPSRPNCVILKDFNLKIEAGNTIALVGASGSGKSTAIALVQRFYNADRGIIRVDGLDIKKLQLRWLRAQMGLVSQEHALFGTSIKENIMFGKIDATMEERIAIARAIIKNPVILLLDEATIMSEGRVIEQGSHNDLIDDNTGHYARLVKLQRQFSSFNEHEQNTPVAARSSASRLSTSKSSPAMFDSPILLNDPQSLKSSNRPPPSFSRLLALNSPEWKQGLIGSLAAIIFGAVQPVYALTIGGMISAFFVTNHEEMNTRIKNYSIIFCSLSVISILVNLLQHYNFAYMGEQLTKRIRLKMLEKILTFETAWFDDEHNASGALCSRLSHEASMVKSLVADRMSLLIQTGSGVLIAMVMGLVVAWKLALIMIAVQPLTILCFYARKVMLSMMSANFIKCQNQSTQIAVEAVYNHRIVTSFGSLGIVLQIFDKAQDGPRREARKKAWLAGIGIDLAQGLTFICWALDFWYGGKLVDGGEISAGDVFKTFFILISTGKVIADAGSMTSDIAKGSTAVASDENGTNGVKLEKLSGGIEIKKVDFAYPGRPETLILQEFCLEVKAGTSIGLVGKSGCGKSTVIALVQRFYDTDRGTVKVDRVDIRMLNIEWYRKHMALVSQEPVLYSGTIRDNIIFGKLDASENELIEAAKAANAHTFISSLKDGYDTECGERGVQLSGGQKQRIAIARAIIRDPTILLLDEATSALDVQSEQVVQEALDRIMVGRTTVVVAHRLNTIRNLDSIAFVSEGKVVEQGTYNQLKNKKGAFFQLANLQKA >KVI01208 pep supercontig:CcrdV1:scaffold_102:67253:68716:1 gene:Ccrd_020537 transcript:KVI01208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase-like, alpha/beta/alpha MGLDSLKPSPVPIADELPPNQSTSLLSSATTTAAAATKPSATTVLIFSLLLTTCIALSAAFAFAFLFFSSAAVKPSNLHHSSTALQIARPLAKLTHPVVILISSDGFRFGYQFKTPTPNIHRLINNGTEAETGLISVFPTLTFPNHYSIVTGLYPAYHGIINNKFVDPITGDAFTMSSHEPKWWLGEPIWETIANHGLKAATYFWPGSEVKKGSWDCPEHFCAHYNESVPFEERVDTVLNYFDLPNDEIPVFMTLYFEDPDHQGHIVGPDDPQITEAVGNIDGLIGRLIKGLENRGVFEDVTIIMVGDHGMVGTCDQKLIILDDLASWIKIPAEWVQSLTPVLSIRPPSDQSVSEIVAKMNEGLRSGKVKNGDKLKVYRKEDLPERLHYSGSDRIPPIIGLLEEGFKIEQTVSNKAECGGAHGYDNAFFSMRTIFIGHGPQFARGRKVPSFENVQIYNLITSILDINGATNNGSSSFAKTMLLPHHH >KVI01219 pep supercontig:CcrdV1:scaffold_102:186536:190674:1 gene:Ccrd_020525 transcript:KVI01219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLPQALILEILSRLNDSADVARCRLAWKTFDALSSDLRSINLQCSLERYIRSRSRASDSSSSAQITIPFKRIFLNLVSNLRVVDSVRIGTEKPLRDVSYDDVEDEADDLYLTDGNFVKEWLPRVSGELKSLSVSDFWVQSCWRRSNLLPLVSAYCHNLLKLEVKNAWLSVDNLNPMPNLTSLTLEFIRLDDDNLNELNRCFPSLQVLNLIGVGGLKLPTIHLPNLKTCHWAVSNAPSSLSLIAPNLITLRLECVRPTALYVEAPMLAHFHLALDHADTFVVRRFENLKTLWLECLYIGSLCFKFPLTISVEHLTVDSRKWAKGAAGYSNFTLDKLFTVFPTVSSLCINPSAWSELEACYDPDGWEIRRGRRGLKTFRAYLLLVDPLLTFSSVASVVNQCKVLSEVSLMIHRDVVANVSKGFISRCMNCWPGLEWKWGLWKEGTEDSWITDHISDSTPQIMI >KVI01198 pep supercontig:CcrdV1:scaffold_102:265651:267948:-1 gene:Ccrd_020517 transcript:KVI01198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLA >KVI01203 pep supercontig:CcrdV1:scaffold_102:122043:124964:-1 gene:Ccrd_020532 transcript:KVI01203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MQSNKDEKTYRVRKLEITDKNKGFMELLQQLTVCGSVSDDEFQKRFEELRSYGDDHVICVIEDTSSSKIVATGSVFLEKKFIRSCGKVGHIEDVVVDSSARGMQLGKKVVSFLADHACLMGCYKVILDCSVDNKAFYEKCGFKEKEIQMVKYFI >KVI01193 pep supercontig:CcrdV1:scaffold_102:348564:354029:1 gene:Ccrd_020512 transcript:KVI01193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKGMKPLKQLKLSVPAQEAPITSFLTASGTFHDGDLLLNLKGLRLKSEEKGSSLGKLILVCFGQPSDTKEIDLQFSLEDLEAIKVIGKGSGGVVQLVRHKWVGTLFALKVIQMNIQEDIRKQIVQELKINQASQCPHVVPERISGGAYDYKSDIWSLGLVILECAIGRFPYLQSEDHQVWPSFYELLEAIVAKPPPSAPPDQFSPEFCSFVSTCIQKDPKDRSSALDLLSHPFIKKFEDKDIDLDILIGCLEPPMNFTR >KVI01195 pep supercontig:CcrdV1:scaffold_102:324886:333911:1 gene:Ccrd_020514 transcript:KVI01195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin MSWQTYVDEHLMCDIDGSGQHLTSAAILGLDGTVWAQSSKFPQFKPEEMKSIIAEFNDAGTLAPTGLFFAGAKYMVIQGEPGAVIRGKKGAGGICIKKTGQAMVFGIYDEPVAPGNHLSSAAILGHDGSIWAQSANFPQVKPEEVTGIINDFNEPGSLAPTGLHLGGTKYMVIQGEAGAVIRGKKGPGGVTIKKTGMALIVGIYDEPMAPSQCNTIVERLGDYLVDQGF >KVI01207 pep supercontig:CcrdV1:scaffold_102:83226:84146:-1 gene:Ccrd_020536 transcript:KVI01207 gene_biotype:protein_coding transcript_biotype:protein_coding description:APOBEC/CMP deaminase, zinc-binding MNQPPSKYIITASEAESMARSKGLSLPQLLPSLVKSAQDLARTPISNFAVGAVGLGSDGRIFFGCNIEFPGLPLHHSIHAEQFLITNLAAHGGGPKLLHIAVSAAPCGHCRQFLQELRNISETQIVITDQPEENPDYKPISSILPNPFGPFDLLDQETPLILEKHDNQLSLKHDSSIIQNGITPNLSNGNCELIDTNEEALKTEALEAARRSHAPYSNCPSGVALMDCEGKVYKGSYMESAAYNPSMMPVQAALVAYMVAGGGGYERIVAAVMVEKGGAPVRQEDTARLMMKYISPKCDFRVFHCV >KVI01225 pep supercontig:CcrdV1:scaffold_102:225934:227219:1 gene:Ccrd_020523 transcript:KVI01225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide, AGP MKGVGKVFTVTVFVTLLCLIFPIIDAQGPASAPSPSSDGATIDQGVAYVLMVVALALTYIIH >KVI01214 pep supercontig:CcrdV1:scaffold_102:462266:465680:1 gene:Ccrd_020506 transcript:KVI01214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/spartin-associated MSCCGTKKPRTLSPPRTPPPPPPLPPQSPTAMNHHPQPKNVKHEILLSIPSSKVHLMDEGEAVELAAGDFTIIQISDEETLLANIIKVGDDLQWPLTKDEPVVKLDHLHYLFSLPVIKNDDPLSYGVSFSGENNLRLLDKFLKEHSCFSSSSSRKTDIDWKEFAPKVDAYNNFLAKAIAGGTGQIVKGIFICSNAYTNQVQKGGKTILSQPADEINGVSRNNTESKKIDGSRNENGINKSLKSVRKLSKMTESMSKAMLNGVGIATGSVMGPMVRSQAGKAFGESAGEATGNVLATAGHVAGTAWNVVKIRKAINPATSVSNGIRRNAAKTR >KVI01205 pep supercontig:CcrdV1:scaffold_102:131155:141952:1 gene:Ccrd_020530 transcript:KVI01205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MASLLSHTIKTTLNSQLFHHNSIDLFSNPFGLSMARVFGSIMLLALGFSMAMAADHSPLQDFCVADTNGQVLVNGMTCKNPXMVQASDFYFGGLHMMGNTSNPVGSRVTPVSVTQLPGLNTLGISXARIDYAPWGINPPHTHPRASEILVVLEGSLEVGFVTSNPENRHISKVLYKGDVFVFPVNLVHYQKNNGKTNAVAIAALSSQNPGVIPIANVVFGSKPDISTDILAKAFQTSDDVIIFVNGFTCKNPSLVEANDFYFGGLHMKGNTSNPVGSRVTPVFVEQLPGLNTLGIAMARVDYEPWGLNPPHLHPRATEVLTVVKGTLEVGFVTSNPENRFISKVLHEGDVFVFPMKLVHYQRNIGNTNAFAISTLSNQNPGIVTIANVVFGSKPKISTDILVKTFQTSSDIISNLQSKF >KVI01221 pep supercontig:CcrdV1:scaffold_102:166525:169399:1 gene:Ccrd_020527 transcript:KVI01221 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit G, mitochondrial MASKLKLLQSKASQASSFLSKHGTTYYKQVMEQNKQYIQEPATVEKCNELSKQLFYTRLARFPSNSGLRVAFVPLYVK >KVI01220 pep supercontig:CcrdV1:scaffold_102:209659:222009:-1 gene:Ccrd_020524 transcript:KVI01220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin-like carbohydrate-binding domain-containing protein MIEEAKVAIKLLNIDCGSRENFNYVDDTGISYSSDESFVSTGVNKNISSEYAYPINPILPLPLSDLRSFPQGNKNCYILRPNGGKRSLNLIRATFMYGNYDGENKLPEFDLYLGVNLWLSVKFINASEVVTTEIIDVASADTLSVCLVNSGHGIPFISALELRPLDGSIYKIDSSIWGSLVLFQRLDTGYSNGSGRYADDIYDRIWSSYTLPSWDSLHTSSEIDISGNGYRAPSEVMQTAATPKIGTGSLEFSWNTSDSKAQFYIYMYFADLFVSGRNQSREFNVSWNGTPLFGNVKPRAYYASTLNNLRPLVGKEHKISIQRSGSANLPPILNAIEIYRVQEFTDIRTTYKVNKNWVGDPCGPKNYSWEVMVACCVIRDLSNNSLTGTIPEFLEKLSLLKDLRGNLLSGPVPRSDSCITIIVFGPLTSIDHHLESKTQRKNWQFTYAEVVNMTDNFQTIIGKGGFGTVYLGRLENGSQAELLMRVHHRNLASFVGYCHDENKMALVYEPAIIHRDVKSANILLNENLNAKIADFGLSMGLPDDQTTHILTDVFGTTGYLDPEYRRSHNLNEKSDVYSFGIVLLELITGQPVIIKCMDYVHIMQYVGPYLEKGEITTIVDEQMGGDFNLDSVWKAIEVAVACTRAKSTERVTMSEVLIRLKVCLEMELARGGRNPTNHMNVKTASLRIDYSPDVCSMDLDLMTGPSARW >KVI01201 pep supercontig:CcrdV1:scaffold_102:48507:63211:-1 gene:Ccrd_020538 transcript:KVI01201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MASYMSMGEAHRRITEYLNRFADVVSYQDGTSLKHLLSLSSESSSFLALADALNLFQDANRLIRQADKYSQYAEIVAPLFRAMQSYRVGHLAESYQAFEKSANAFIQEFRNWESAWALEALYVVVYEIRVLAERADRELASNGKTPEKLKAAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKVYFKLGTVHLCRSVIRSIETARIFDFEEFPVRDKVTYMYYTGRLEVLNENFSAADHKLSYALTHCDPQSEANIRMILKYLVPVKLSIGILPKRSLLEKFLRSGVYLVLEKLELQVYQRLVKKIYIIQKQKDPSKAHQIKLEVIVKALKWLEMEMDLDEKQAVIASKERVKEG >KVI01199 pep supercontig:CcrdV1:scaffold_102:260215:265559:1 gene:Ccrd_020518 transcript:KVI01199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyltranspeptidase MGVPGEIAGLYEAWLKYGRRPWKELFQPAIRLVKDGFLVAPYLANSLSGNADTIMKDPGLRHVYAPNGKVLQAGETCYNHQLGLSLEAIAEGGPEALYNGAIGEKLISDVQSGGGILTMDDMRNYKVKVTDAVEVNTMGFTILGMPPPWSQIQDHGTSHFCIVDADRNALSMTTTVNYPFGGGVLSQATGILLNNEMADFSVPTEVSPDSLPPSPTNFIRPNKRPLSSMTPIIIVKDDQLAGVLGGSGGLYIIPAVIQVFLNHFVLGMEPLDAIQSPRVYHKLMPNVVYYENWTMIDGDHIELSNYRKKFLKERGHQLESKAGGGVSIVERKDLCRVR >KVI01194 pep supercontig:CcrdV1:scaffold_102:335938:341810:-1 gene:Ccrd_020513 transcript:KVI01194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MARGFRLIFATSLLLMLLGICNGSTIGICYGRNADDLPTPEKAVELIKNLNINSVRIYDSNIQVLKAFANTGIELMIGIPNSDLLPFSQFQTNADTWLKNNILPYYPATKITYITVGAEVTEAPTNVSAMVVPAMTNVYTALRKAGLHRKIKVSTTHSLGVLSRSYPPSAGAFNSRHAGFLKPLLEFLAEKQSPFLVNTYPYYAYRDSSSNVSLDYALFESSSEVIDPNTGLLYTNMLDAQIDSIYFALMGLNFKTIKIMVTETGWPSKGSPKETAATPENAQTYNTNLIRHVINNTGTPAKPGEELDVYIFSLFNENRKPGLDSERNWGLYYPDQTSVYNIDFSGKGTVDVNTGGNLTTVNGTSWCIASPTASEKDLRNGLDWACGSGNVDCSPIQPSQPCFQPDSLVSHASYAFNSYYQQNGATDIACSFGGTGVNERFQPQVLIVYS >KVI01217 pep supercontig:CcrdV1:scaffold_102:370268:380034:-1 gene:Ccrd_020509 transcript:KVI01217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 MMVGKIITTTIAIATTLSFFFISTPPKSYYHSQFISPSLSDNASISHHLFTLTRRPHLAGSPANAEAASYVLSTLSSNNIKSHLSEYAVLLTYPDSRSLTLIRPFPESPTTFNLQQEVYKGDPYADVADQVEPTFHAYAKSGTVKGLVAYVNYGRVEDYAMLKEMGINVSDAIVLARYGKIYRGDIIENAYDAGAIGVLIYTDRKDYGGGGGNTKWFPDDKWMPPSGVQVGTVFNGAGDPTTPGWPSTIEGCERLSVDEVEKGGDVPLIPSLPISGADGEEIIRSIGGVVADDDWQGDKDAPLYRIGPGPGIVDLSYKAKEVISTIQNVIGIIEGAEEPDSGTAALLEVAERLGKLQKQGWKPRRTIIFCNWDAEEYGLWVEENREMLASKVVAYLNVDIAVAGPGFQASATPQLDQLIIEATKQVQDPDNSSQTVYESWAQASNYPEVSVHQRIGRLGGGGSDYAAFVQHIGVPAIDISFGNGRIGCFLAGYPVYHSMYDDFVWMSNFGDPMFRRHAAVALRLADEVILSFNYDSYVNELQKSAEDLDTQLLDKSITLLPLFKSIEKMKTAAIQINGELEEIKENKGWAFMWKNQPWKLRKMNDRLMMAERALTDREGLSGRPWYKHLHNDYGSKSFPGIDDAVEIAKSLNTEDSWHSVQHEIWRVSRAITQASLVLRGELT >KVI01210 pep supercontig:CcrdV1:scaffold_102:506546:512146:-1 gene:Ccrd_020503 transcript:KVI01210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MLFYSSFEYFPDRIATIIVARVMNWIIHIPEIIFTTRTDEDECLKPASDGSWDVMSNGEVGEVACRVLRQRRRQSAVGNELPAPQTLADNLIEIAVRRNGSDNMSVFIVNLKSKKKKQHARSRRTSRYLDEESE >KVI01206 pep supercontig:CcrdV1:scaffold_102:126959:129275:-1 gene:Ccrd_020531 transcript:KVI01206 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MMILWDFDRTIMDDDSDRWVVVEMGLTQIFNQLRETLPWNSLMDRMMAELHPQGKTIEDIANCLNRVRLHPQIVSAIRSAHGSVLNQLQDSRSENGKKHVIIYIGDGGGDFCPTLKLGEEDHVMPRKNFPLHHLISKSSVPIKPQVHEWMDGEELNKILLRLTDSDSAEKQTVL >KVI01222 pep supercontig:CcrdV1:scaffold_102:179067:184108:1 gene:Ccrd_020526 transcript:KVI01222 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic FNLQFHIYDSFLQLILHSSYVFDFVTTCVNKTLILLTILVHHQSNSEFHKELATRFSIFTMLNKRFKIAKCKTSLKLATSRIKLMKNKKGIQINQMKKELAQLLESGQDRTARIRVEHVIREEKMVAAYDLVEIYCELIVARLPIIESQKTCPIDLKEAITSVIFSAPRCSDIPELLDVRKQFTAKYGKEFVSAAIELRPDCGVSRMLVEKLSAIAPDVQTKMKVLTAVAKEHNINWDPTSFEEKESKPPDDLLNGPANFEKISTINVDPPKIQTPNVQNVPIHEENPNAPFNFSEQNRRYTLDTQKSSASTTREDMRSSGMTSETMNMRQSFNRNHYDSSSGRENWNMEFKDATSAAQAAAESAERASMAARAAAHLSSQGKITRQYSTESYDSHIRHERTQVSSTSEFPDQHHFKDSYNRSFNDRNPKLQTQEMSPKATERFSRPTSLRSRNDSVKGSLVNNFHQPDGYFENSLNEDQGPSSPEMSRKKESKAGFMSGDNGGFKSANVDHFTEKVIRKQPSITSSRSHSSAVSDDLDVLTSDQKRVGKKTVVDQGNLFRESKKTSSYGDGRKDDETYSDDDDDDDDGGPRFDTGFEYNVGEVKSKFSSSENTHFWNPRRNTTDVMDSAKSVDPSVNFDLSDGPDSESEMAKKFTSPPKQGHKKPPIELYDLIESGSSVSKAEDDDKSLQSRHSSRISRRQDSKKKNQESQLVEDNSFLNQSDDLDTGNGLKFGTLTGGLRNKGGLKLPPYTKTATTPSSPSSNKLVKEIPMKTDQNSFNSRTSRSENKKPKVYISSYESDSDDYSDKFPVQSSKTRSQFTPPDAFFNDDSEEAAPPVPKLTSSSKIHLGSALSRRTKGYVEPVARTVISSSTESYAENSKKDPDRTPKLSEKSSNESERKSSRLSYRNKQETFKKIPEPKISEPPPNPPRKIAESGKTEASKSSNPGCETSVEATNNVKKPSHVHPKLPEYDSLAARIQALRMDHQ >KVI01196 pep supercontig:CcrdV1:scaffold_102:313461:319413:-1 gene:Ccrd_020515 transcript:KVI01196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MKIVDLDDSCEVKNSKQIVDYNYSRQIVTVDAKRVLVGAGARILFYPTLLYNVCRNKIQAEFRWWDQIDQYLLLGAVPFPKDVPRLKQLGVGGVITLNEPYETLVPTSLYRAHGIDHLVIPTRDYLFAPSFVDIDRAVNFIHKNATSCRTTYVHCKAGRGRSTTIVEYKHMTPASALEYVRSRRPRVLLAPSQWKAVQEYRQWRIASTATPSPSGDAVLITKADLEGYHSPSQGKELALVPRGARTRPMIAKLSCLFASLKVSGGCAPINMKLTEAPAC >KVI01204 pep supercontig:CcrdV1:scaffold_102:107524:119680:1 gene:Ccrd_020533 transcript:KVI01204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MLRKSLLPLFLLDLLCFICLLAAEDSLIFNEDVGVLNVTTTGSNRSKEDSFAGMLDRALEKEFPENDEQSDGTDPGSFNNSVAGQQAVLETVARVKSKRNESKEEKSFQLHDVFNLDNENRPEDTPRLIDSKDNVFIMSNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVIGPGGLSFVNELVQVETVAQFGVIFLLFALGLEFSSAKLRVVRAVAVLGGLLQIFLFMCLSGVIAMLCGGEASEGVFVGAFLSMSSTAVVLKFLMEKNSISTLYGQVTVGTLILQDCAVGLLFALLPILGGTSGVLEGMLSMTKTLVTLITFLAVLSILSRTCIPWFLNLMISLSSQSQTNELYQLASVAFCLIVASCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVQFLWNHIDILLASVIMVVVIKTLVVATVVKGFGYTNKTALLGKLYLLLLGTTALSLVTTPFLFKLIPAVVHLGVLLRWFSPDTEMLFKGELMRSDTAKRISLMVDVSHDL >KVI01202 pep supercontig:CcrdV1:scaffold_102:48353:51762:1 gene:Ccrd_020539 transcript:KVI01202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSPTPPPPPPQPPKESLARGYKFVWRILLISNLALGAYIFTRPRKKEAVKKDKKPTEIQSAPEIKIIPDSEGVVATPAYDPENKPIPIPENQWSKPFKW >KVI01212 pep supercontig:CcrdV1:scaffold_102:530682:534790:-1 gene:Ccrd_020501 transcript:KVI01212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MWFPGDIGIGLVRLNNTYTIDDNSIDNPPTRVMNGAIATMDTNYSITLNYPIVKYSTRYPMYINWYFTEVQGLGLGEYRSFEIYKDDDNFSLPIVPRIGYVSQLLVSDLSVNGTINFSIIATDDSTLPPLINAIEIFSISDALTNGTDDNDAEGLDSLKSAFDVLNDWGGDPCLPAPYSWDWIECNDDPRPRVTSLNLNGYSLSGPLPDFRSMDALEIIDLHNNSLTGSVPDFXGDMLNLKQLNLADNQFKGSIPRSLLRNSQLNLSYTGNPLLTSSSNKKKTCKLSVILAIAIPVFFVV >KVI01227 pep supercontig:CcrdV1:scaffold_102:142725:153076:-1 gene:Ccrd_020529 transcript:KVI01227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr MAMAHSGDSIAVDMPNHLIEKTLLVSGAYSSVLNQLHCYSTISAFITLLHLLGAASISIDDSVPTVEDFSDQILEVLNHFRLGSVMCMGAMAGAYVLTLFALKYSERVTGLILVSPLCRAPTWNEWFYNKFMSNLLYYYGMSDLLKEFLLHRYFSKEACGSLQVPESDIVRSCRKLLDERNSINVWRRPDLTEELENLECRTIIFVGDSSPFHAEALHMTAKLGRKCCALVEGFGLYRSCWFNNGHRSCLGPSCIKPELLSPQSMGVKLKRIKTRVSPPPPRTQQS >KVI01226 pep supercontig:CcrdV1:scaffold_102:228525:230818:-1 gene:Ccrd_020522 transcript:KVI01226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30 MKNNSKTGAVWVRASPAQPSPRNPCIRGLEPIVAEYTRNQSFKFDMGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK >KVI01197 pep supercontig:CcrdV1:scaffold_102:294838:303254:1 gene:Ccrd_020516 transcript:KVI01197 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNISSCDLIDAKLEEHQLCGSKHCPGCGHKLEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKNLKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEREGELVVSKIFYQTQPRQCNWSERAMNNNILLNNIRSTEGVNIQMNEMNNGSSRRESRSGSGSCSSSKEVNVTPTTTITTATAATVTAHNNIGDELVGTVLSSYNPMDQMQHFKGVDQYSFMPYRKSFGEQQQQHMNLEDDQHQNPHHHQNYPHQHQVATTAFHVSRPSHAISAIISPSPLHHTSIILDDDSFHVARIMENFQECSITNPQEADWLKYSTFWPDPDHHV >KVI01598 pep supercontig:CcrdV1:scaffold_1021:149984:150718:-1 gene:Ccrd_020127 transcript:KVI01598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3049 MSTIVYRQASQPSMDSSHVVEATTMRLKLVSPKSCNQKTLFGGWSFLQSPSSQKPVEDSYIDATYLHNQSLKLSQKSLELCTERLGSESGSDTSEDDAIFTSPASSLSVKRGRREPVESKKVSSRSFPPPLTTMSGSKPFQVRPHREGGRLIIEAMETSLGTSCLRAERSHGRLRLTCWKSEEGDCDTKGQENDMNDVEKSEGEMERNVVVENFQRLRRCNEDEHGDKGICCHWEPASCWVATS >KVI01599 pep supercontig:CcrdV1:scaffold_1021:15009:26722:-1 gene:Ccrd_020126 transcript:KVI01599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MSAQVLERQRSSSSLPEDHVDNTKTLICALSFLSRNLPLPPDVFDAVSSIYTAAAAEDGDDGVDSAAHGGSDGDNQNNLQFSNGGDLMADLEDALATRQSNHITGAEMAEARKNRIESHIQSRLTQLAGGFANRLLFNNLMIYSYFVIMNSELSTHRGEDLQSKCLLELYGLKLAELQRKVRSNVSSEYMLCLNCVYPEKKLFDWGMMRLSRSSYGVGDAFAVDSDNHMKKKRDAERLTKLEEEEKNRVETRKRKFFAEILNTIRELQLQVQAAQKRRKQRNDGVQAWHGRQRQRATRQEKLRFQALKSDDQEAYMRMVEESKNERLTMLLGKTNDLLVRLGAAVRRQKDAEHDGIEPLNAPEAGLHDSSAKKTETPNESLPDEDDDLVETKLDNGVKTSDLLEGQRQYNSVIHSIQEKVTEQPSILQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLIENKGVTGPHLIVAPKAVLPNWINEFSTWAPSISAYLYDGRLDERKAMREELSDGKFNVLITHYDLIMRDKAFLKKIPWYYMVVDEGHRLKNSESVLAKTLVSGYQIRRRLLLTGTPIQNSLQELWALLNFLLPTIFNSVENFEEWFNAPFADRCDVSLTDEEELLIIRRLHQVIRPFILRRKKDEVEKYLPQKTQVILKCDMSAWQKVYYQQVTDVGRVGMDTGTGKSKSLQNLSMQLRKCCNHPYLFVGEYNIWRKEEIVRASGKFELLDRLLPKLHRAGHRVLLFSQMTRLMDILEVYLQLHDFKYLRLDGSTKTEERGTLLKQFNAPDSPFFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLKEVMRRGTSSLGTDVPSEREINRLTARSDEEFWLFEKMDDERRQKERYRSRLIEDHEVPDWAYTKPDNPKDMRGKGFDYETANLSGKRRRKEVVYADTLSELQWMKAVEHGDQYLKHHPAKGRKEQIPESSNTRNNVEDDVMELKNVSERGSGLTLKRMKSPRNEHLNVDDGSSSGVGDLPTWKTHKKRSILRYV >KVH98749 pep supercontig:CcrdV1:scaffold_1022:101737:109738:-1 gene:Ccrd_023024 transcript:KVH98749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNNNAAACAERATSDMLIGPDWAINIELCDLINMDPGQAKDALKILKKRLGNKNPKIQLLSLFVLETLSKNCGENVFQQIVERDILHDMVKIVKKKPDLNVREKILILIDTWQEALGGRGGRYPQYYEAYNELKVIGKYMLYSGDSMICNCSFSSSSSAGVDFPPREENSVPLFTPPQTHPVVHPTSPYEEAAIQASLQTDASGLRDEELLGKGLALNDTLMRVLRCHDDIATGVPSHPIVTTTRETSVAPLVNVTHEDDESSRDTLQGQGRNQNSARTEPLRVAPLLPPPPSSRKPISADSGTMVDYLSGDAYTSQRSSGSRPSTTPPVPSSDYINPTASLPESDYINPTASLFADQPTYDEPKSADHAPLAPYSAPTTAPPVSIPPPPAKQTQRQQFFNQNHHGSHSSSGSGSSYDGLVGQTQNLSLNSSTPTKKEKPEDALFKDLVDFAKAKSSPSSSSSSSYPNRSF >KVE59102 pep supercontig:CcrdV1:scaffold_10223:346:1840:1 gene:Ccrd_023943 transcript:KVE59102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MGEVTVVPTRKLGSQGFEVSAIGLGCMGMSPLHGPTKSEEDMIQVIQTAIKLGITFLDTSDLYGPHTNSTIIGKALKGEMRHKVQLATKFGIKSLSGETGMVVCGDPAYVKESCYASLKQLGIACIDLYYVHRVDTSIPIELTMEALKELVEEGKIKYVGLSEASPQTIRRAHAVHPITAVQLEWSLWTRDAEEEVIPTCRELGIGIVPFSPLGKGFFSSGPKVVENFASNDFRRGENLEHNKIVFERVNEMARKKGCSPAQLALSWLLHQGGDVCPIPGTTKIENLKQNVGSVVVKLTREDMLELESMAAGVKGDRYSPAVMQDTWRYANTPPLSSWQPA >KVI04667 pep supercontig:CcrdV1:scaffold_1024:118426:121462:1 gene:Ccrd_017014 transcript:KVI04667 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MYAETGLMFPYYYQSFSPDIQQFDDFYSSQKSFACLGSVVQSSSISDYDLGGEGDLFKAPVPIIEQPLVTLNPMTAAMSMISCGEDNISPQELSVTVIESLQNEEFLTDVFHEYKDIMAKEAATETSPLSEVVNYKFSFKTDDNSIDKENGQIFKSMSSDCLSSMECSHGGQVKPSFPTITEVDFGNACGMRRAFSEGDIKTLGNCNGSFRHSSGGHPQLINEHTTSNEDRWQKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEESEILRK >KVI04666 pep supercontig:CcrdV1:scaffold_1024:136915:141162:1 gene:Ccrd_017015 transcript:KVI04666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthase family MEGDAVRDLRCQKTMDGKVNEDCGRTDIPPCCLKARAFAPDPELEANCHATVVSGWFSESRSSDDVAKRMYFNNPMWPGEAHSIAVEKILFKEKSEYQEVLVFESATYGKVLVLDGILQLTEKDECAYQEMIAHLPLCSIKSPKNASIFLFLAVLVVGGGDGGVLREISRHSSVELIDICEIDKMVIDVSKKFFPELAVGFEDPRVHLHVGDAVEFIRNVPEGKYDAIIVDSSDPVGPAQELVERPFFEMIARALRAGGVLCNMAESMWLHTHLIEDMISVCRKIFKGSVHYAWTSVPTYPSGVIGFILCSTEGAAVDFRNPVNPIEKLEGALEHQREVRFYNSQMHRAAFALPPFVRKGVKCL >KVI03062 pep supercontig:CcrdV1:scaffold_1025:65593:66288:-1 gene:Ccrd_018644 transcript:KVI03062 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MELPSPMSSYTSIEFSPLSLCSWDELLFSHHMISKFQIKEEEYIFSHDRNEDQYEHSFKTGPNKTLHNDNETLEVIAKPKEEPEVQKKRFIGVRERPWGKFAAEIRDSTRNGIRVWLGTFDSAEEAALVYDQAAFSMRGSLAQLNFPMERVKESLKGKYYSCFKDGSSPAAAIKETHRVRRISKSKRNSKLQVCSKTPVVFEDLGSDLLEQLLFTSESFSSSSSSSSSTCS >KVI03061 pep supercontig:CcrdV1:scaffold_1025:100135:111635:-1 gene:Ccrd_018645 transcript:KVI03061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical HSFLPPTRSDLSRFLDPSPPPDLVKLLCSDRPKKTCSLLIHFDKGTPALANEIKEALEGNDDAAKIDAMKKAVMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKTDSKGKILPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSILANLEHRHPFIRRNAILAVMAIYKLPQGEQLLVDAPEMIEKVLSTEADQSAKRNAFLMLFTCAQDRAVNYLLTHVDRVSEWGELLQMVVLELIRKVCRANKGEKGKYIKIIISLLNVPSAAVIYECAGTLVALSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSAHREIMVDMIMDVLRALSSPNHDIRRKTLDIVLDLITPRNINEVVLTLKKEVVKTQSGELEKDGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAMDVAVFVREIIETNPKLRVSIITRLLDTFYQIRSARVCSCALWIISEYCLSLSEVESGIAAIKQCLGDLPFYLASEEGDTNDSSKKSQQVNSITVSSKRPAILADGTYATQSAASETAFSPPTVVQGTLTSGNLRSLLLTGDFFLGAVVACTLTKLVLRLAEVQPSKSEVNKASTQVLLIIVSMLQLGQSSFLPHPIDNDSYDRIVLCIRLLCNPGEEIKKIWLQSCRESFVQMLADKQMRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDQVQDDLKRATGEFIKEGDAANKLNRILQLTGFSDPVYAEAYVTVNHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVIVLNDIHIDIMDYISPAVCSDAAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTAPSALEGDCGFLAANLYAKSVFGEDALVNLSIEKQGDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGGAA >KVE57845 pep supercontig:CcrdV1:scaffold_10255:3156:4778:1 gene:Ccrd_023944 transcript:KVE57845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCGVNVDSDYSPSNVRLLIGIADEMLKQKNVESVLFGGKRIGQQSNFEKLDWFAGELLLELQRRSCRIAPTVAFKQATPKPI >KVH96765 pep supercontig:CcrdV1:scaffold_1027:76410:78434:-1 gene:Ccrd_001143 transcript:KVH96765 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MFDSGSRFNEFGNDGGSAAKTLVPKFSVFSKHVTAHTGFQIKIXVAGAIALKGIXSLLFIFGSTIGAFLLILHQLIATPILYDFYNYDVDKKEFSQLFIKFTQ >KVH96766 pep supercontig:CcrdV1:scaffold_1027:84808:91795:1 gene:Ccrd_001144 transcript:KVH96766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MPAMKLVVGLFILTVVAAGKLAGAQVVHHVVSGDRPSDTSTDVGPWSSGRIFRVGDSLLFRYFSPHEIIVELASMEEYYSCDLTNPIKMYTDHVNEVPLEKEGIRYFASGSYDKCKNGLKLPVQVKPREVPAMGPSPSSATHLNGLSALIFIGLFIYGLYYSL >KVI12154 pep supercontig:CcrdV1:scaffold_1028:17420:28485:-1 gene:Ccrd_009423 transcript:KVI12154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MDWGTVTTEDLIDALREVDWSSPPRPLNEFFSKFTVPRSSNKWNSRLKCNLYYYRTNYFLMILFILGLGFLRRPLTIVAATSTALTIAFLNDSFAGTFSEKATRTVRQFSPHLAAKMRPPLTPVLRGRPSSKRAIYICGRPRWAFVFAFSAVSFILWFASCGLFTLLWAIALGVLATILHASFRTPNLKARLNTFREEFRAVWRNYSELDTYEETCCSIFEAGLPIDAAVAE >KVI12151 pep supercontig:CcrdV1:scaffold_1028:35377:51547:1 gene:Ccrd_009424 transcript:KVI12151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MMAQSSYRVRSVGDYVVGKQLGSGSFSVVWHARHKIHGTEVAIKEILTGKLNKKLEESLMSEIDILRNVNHPNIIRLHEMIKEPGKIHLVLEYCKGGDLSMFIQRRQGRIPKSTAVHFMQQLAAGLKVLRENQIIHRDLKPQNLLLSTNDDNSTLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLHKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNIMKSTELQFPPDVKDLSYECIDLCRKLLRQNPVERLTFEEFFTHPYLTQTQPDELSSRDRRPRRTMDGFSYPKIPAFRNKQENCQEDNLPFSLDDDSNDPDGSPSYVRRSPLRSTYGFSLDTEVDRRDLSKDMEISSRYSSIRQKPDNNGFVPGNRRPSEGNLKESLRSIEHRPVNMHSKVDALELIDQDYVIVSGPLGDASSLASVSKVIQSASKTGSPPLPLRDIHSTSSAPLPIIGGAASKMRCTGSFESQCSAPSGTSHGSVDIIDVSEQPPTDCMARIKSLHDCASAISELVNDKIEAGRRLEAFSIQLVILAIWKQALDICHTQAASAIKGSPCQETTTFNMTSNRERDGPDIQECLETAKSPQDVCSHIERIFLGEVENAEELAKVIEPGNDGMPDAMEIIFQAALNFGKHGAVDEYMGFVENAAGFYSKAVRLLSFLLVEAPSLILNPPFSITNSDRYRLRTYIDLLNNRQSHSRSQRIALLKGVGYQHSPA >KVI12155 pep supercontig:CcrdV1:scaffold_1028:85992:88354:-1 gene:Ccrd_009430 transcript:KVI12155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter IEFAERLSYFGIATSLIIYLTVVIHLDVKTSAKTANQWAGVTTLMPLVGGFLADAYLGRFPTVLLSSIIYLMGLILLTLSSMVPSLKPCASHDHCLEPRKIHVIVFFIAIYLVSVGTGGHKPSLESFGADQFDDDHPDERKKKMSFFNWWNAGLCAGLLLGVTLIVYMQVHIGWGQADIVLTAVMACSVVIFVIGRPIYRYRKPGSPLKPMIQVCVAAFSKRNLSYPSNPSELYEVPKSVKTQERLLCHTESFKFLDKAAVIEEKDFSDTKQQDPWRLATVTRVEEMKLLLNMIPIWLTTLPFGMCVAQSTTFFIKQGTQLDREITRSFTLPPASIYSLAACGMMLSVAVYDRILIPLLRRVTGTERGINILQRIGIGQAFSVTAMIVSAVVERKRLTIVQNDPLHSSITMSVFWLAPQFVIIGIADGFTLVGLQEYFYDQVPDSMRSLGIALYLSVIGAGNFLSSFLITIVDHVTEKVSGKSWFGKDLNGSRLDHFYWFLAAITSANLCVYVFVASRYSYKNVQRKTTGGTVAVADCYQGDGQGARA >KVI12157 pep supercontig:CcrdV1:scaffold_1028:80277:85229:1 gene:Ccrd_009429 transcript:KVI12157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MEAQVGVSSHGGVVDGSTAVKFLQKQQVVAPATASASSPRHHQQSAEIGTVPQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSEVALLSRPCIWNEASRIANEEGFRAFWKGNLVTIAHRLPYTAVNFYAYEQYKKLLKSIPSIGNNSESAAADACVHFVGGGMSGITAAMATYPLDLVRTRLAAQRSTIYYQGIGHALSTICREEGFFGLPNDSTVMVSLTCGSLSGIASSTATFPLDLVRRRKQLEGVGGRARVYNTGLVGTFGHIVRSEGFRGLYRGILPEFYKVVPGVGIVFMTYETLKKLLSEGTF >KVI12149 pep supercontig:CcrdV1:scaffold_1028:74445:75215:-1 gene:Ccrd_009428 transcript:KVI12149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MTKIDALRRVFLPCFTPTATISDHRTTNPTTKKRLSTSLRDDIINPSTQTHEKHTLSDHQQDHDDDSDSSSPFVLSSYNPIAPPRSSKTMVIGTIFGHRRGHVWFAVQHDRLKTRPYLLLELSIPTQSLVQEMRFGLVRLALECHSSPESDLGSIPLRSIPLWTMFSNGRKVGFAVRKKATEPIRLMLKSIQSTTVGAGVIPSFGFDSDADKCGELIYMRASYECIVGGPDSESFHLINPDGCLGQELSIFLMRSR >KVI12158 pep supercontig:CcrdV1:scaffold_1028:12471:16711:1 gene:Ccrd_009422 transcript:KVI12158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSISSAFLIPSFSSPAPPFLPNPLHFFSLSLSNNFSCSTRRTSFMPLKAQATAEKKPLLDSRMLVFVPPHPLIKHWVSVLRNEQTPCPIFKNAMAELGRLLIYEASRDWLPTISGEIQSPLGVASVEFIDPREPVAVVPILRAGLALAEHASSILPATKIYHLGVSRDEETLQPTVYLNKLPEKFPEGTRVLVVDPMLATGGTIVAAIDLVKERGVDNQHIKVVCAVTCPSALQKLSEKYPGLHVYAGIIDPTITDKGMIIPGLGDAGDRSYGT >KVI12153 pep supercontig:CcrdV1:scaffold_1028:67383:68031:-1 gene:Ccrd_009426 transcript:KVI12153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEVHLQDEPEGKVHASLALFFQSTAFFLISFPLSLLINSITNFSTSSLFTSFSISMATPHLRQLNQQFVNWSEKNGQQIIGTPLEILSIVEFHPQCNLFLRAPPNQHPSTTSFFQELWWKLS >KVI12152 pep supercontig:CcrdV1:scaffold_1028:70307:71047:1 gene:Ccrd_009427 transcript:KVI12152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MTKIDVLRRLFLPCFTATATATISHHPTTTKKRLSTSLRDDIRTQVSDQQQQDHDDSDSSSPLVVSSYNPNAPPRSSKTMVIGTIFGHRRGHVWFAVQHDRLNTRPYLLLELSIPTQSLVQEMRFGLVRLSLECHSSPESDLGPISLRSIPIWTMFCNGRKVGFAVRKRTTEPIRLMLKSLQSTTVGAGVIPSSGFDSGGNNGAELIYMRASYECIVGGPDSESFHLINPDGCLGQELSIFLMRSR >KVI12156 pep supercontig:CcrdV1:scaffold_1028:121238:122104:-1 gene:Ccrd_009431 transcript:KVI12156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion transmembrane transporter [Source:Projected from Arabidopsis thaliana (AT2G37920) UniProtKB/TrEMBL;Acc:Q8LG21] MGGGPRTYPGGVSKWQWKRMQAKKAKQLLRARLARERQIYEMRKRAELSAAVSELERPWEIXETAPKLFSASADEQLKVLADRFQKPGGFDLWSEKDGPQLFETVDGVPSARFFPKGVVHSIKPYGRITDGYSHEESDLVQSDLLNENSREIKNNDSGIGYRFWDKDSSNSGLVQRKGNDKNSRNANGRRIKGLGYQVSDQDLDPESGMIQRHRHEENPRNANGKFMKTDGSPNSGGAELNQRGNGRKEQSSASNSGVFDMSLQDDGSYGISKKGTEMAKRRHRTERN >KVI12159 pep supercontig:CcrdV1:scaffold_1028:133978:151622:1 gene:Ccrd_009432 transcript:KVI12159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome Inhibitor PI31 MATEQSVLAVIRASRPNFRNSADKIAFAIHSIFLATGFNLNATGAPAFTDDALAAPFSDEASIEGWNDIEDNYAFVYSSPEEGSKKVLVKCLVMNNQFINDFVGESDDTKYSSQFKNLGKLVDCINKDVLTKLNGSSXASTSTTTTSSKPKLQDDDRDQPRGGPFGLEDPHAPYHPSGVVVPPVYPMVGGGDLYPQPGAGMYPTRGGFGDGGMLVGMHEPTQTAGRNASGSRAFREWFGLHIKWSQLVLRAVIILEACMNSADKIAFAIHSIFLTTGFNLNATGPPAFTDDALAAPFSDEAGIEGWNDIEDNYAFVYSSPEEGSKKVLVKCLVMNNQLNPRRPPGGTHPDLEHFGSGSDYI >KVI12150 pep supercontig:CcrdV1:scaffold_1028:61011:67817:1 gene:Ccrd_009425 transcript:KVI12150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKQEAKPHVVCVPIPAQGHINPMLKLAKILHSKGFLVTFVNTEFNHQRLLNARGSSALDGLPSFRFETIPDGMPPPENPDATQNVYSICKSTQETCLEPFKRLIAKLNGSFSPVTCIVSDLLMGFTLDAAKELDIPEFVFWTGGAGSLVCYDQYPNLVEKGFMPLKDPTYVTNGYLDTVVDCIPNMDGIRLRDIPPFIRTVNPGDEFMVEFTNSQVQKAKTASGIIFNSFHELENDILSTLASTFPPCYGVGPLHLLENKIDDKSLASIGSNLWKEEAECLTWLDSKPPSSVIYVNFGSIAVMTSQQLVEFSWGLANSNHPFLWIMRPGMVSGESTQLPPEFLKETSGRGMLVGWCPQEQVLNHQSIRGFLTHCGWNSTIESISSGVPMICWPFFSDQLTNCWLSCRKWGVAMEIENDVKRDEVEKLVIELMSNDKGKEMRKNAVDWKNKANEACTFPSGSSVVNLEKMIHQLQVGKY >KVE55586 pep supercontig:CcrdV1:scaffold_10284:1362:3872:-1 gene:Ccrd_023945 transcript:KVE55586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MASFSFLLLVLLHALMAFTTAFAYSEGVSMEDGELLGLFEVMGSLLADPTWAQVHPQPCTETPWPGVQCELMVQEDGGGGDDQQDYNPTIFHVTKIHIGTDVLTPPCKPTATLSPKALLKLPYLKTLSLFNCFTESPFFLSSSLFENNSLSSLEHLALVSNPSLHGSIPSSLGHIQGLKILSLSQNNLTGEIPDEICGLVNLQELDLSYNQITGSVPQEIGSLTSLTIFDLSYNMLQAQLPSSFGQLQSLQKIDLGSNDLTGRIPQELGNLSKLVLLDLSHNSLSGPLPESLAGLKMVEYLVIQDNPINTGMPLFIGSLGTLKVLSFSRCGLMGPILTSLSKLKNLTALSLDNNRLNGTVPSNIGSLQSLEQLNLSQNQLSGDLVVSDEFISRVGKRLDIIGNSGLCIKNTTSSSTEVKSPNSCVNARSRTGGNKSSWDEEEEEEDEDDSEECGDLNTSLHQRNRCSRNHDHHGYGLNQMRVNRKLWKVKRQNRKRSKLEGPVLQEIKKICSIGSNVEA >KVI11204 pep supercontig:CcrdV1:scaffold_1029:71609:72930:-1 gene:Ccrd_010386 transcript:KVI11204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MSSFLSSPPPAKMPTPTAPPKSVEVEVENQNVDQTQTPLPPPPGYGVTGILRRWRHEDMLKRGSLGLRVLGFLFSLLAFIIMASNKHGRGRNFDEYEEYRYALAIAILSTLYTGLQSWRQIHEMSTGKEIISGRNSAVIDFFGDQVWLQLYHSDKMVTTVFWTDFYLASIHPSGRNQKDREPRELMVVLVVGGTRERRDFVGQESGNEGEGDDGLAGK >KVI11206 pep supercontig:CcrdV1:scaffold_1029:57422:59407:1 gene:Ccrd_010384 transcript:KVI11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MASSGGSLNPSTTTSQYPSFCFSTSFTDLMSSSHEDSNWASLGGGNNGIESPKQPPTPFSPSSFLSTPTAAGFSSNQFLDSPFFPFNSNIVPSPTTGNFPEETKYSDFSFLPQTRPLSSKMEEQVKSQPQDWSTSEIQKTKSESTHQYLSDQTRLEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERNLEGHITEIVYKGNHSHSKPQNAKKSSSNSYNDVPIDNNHFDSSASFGEDDFEQASSLSKSGDDHENEPEAKR >KVI11207 pep supercontig:CcrdV1:scaffold_1029:57649:59911:-1 gene:Ccrd_010385 transcript:KVI11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGADNSFTDSSAACISMEKELKNKSSSGSSFALDTDLSVPRPYSHESVVVVSIVIVVGRRRSINGVTPATTWSSFGLVFMVVSGFTQRGGLLKVVLPERSRRIEMIVVDWDIVVRVRRRFLGILRLGMTVVSFVHDLRDMSLQVPFDFLLRGTIGRDIGVLILIWFSGFLMCSSLVVDFSLVLPVNKTKIESEHFRHIQMNQRHENQQEEMNIPSWKKEALFVVETRNQSTWSLQESFQLLEMEQSATKNYNQPNISHKQKNQHLVIQKKSKLTLELKGKKGESKN >KVI11208 pep supercontig:CcrdV1:scaffold_1029:143907:144351:-1 gene:Ccrd_010390 transcript:KVI11208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller FEDIEGREISLDGLADELIFLVGGYNGISWLPSLDCYSAPQILTKALEPMNTERCYATASRLHGEIFVFGGGTIVANQWKTCPLLNWKNGGLAGATVNNKIYAIDGINGVE >KVI11205 pep supercontig:CcrdV1:scaffold_1029:77263:83515:-1 gene:Ccrd_010387 transcript:KVI11205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II APTTLHTDSLRLIFFPRSRIAATPAVDRKSSNKNSNGGELKVKDMAGGKGDKPDNAKKSAAVVTNGEMIEYYEISGCYILRPWTMSIWEIMQTFFDAEIKKMKIKTCYFPLFVSNNVLQKEKDHIEGFAPEVAWVTRSGDSDLEVPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADTEVLEVLELYRRLYEEYLAVPVVKGKKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFEINFEDEKGEKAMVWQNSWAYSTRTIGVMVMTHGDDRGLVLPPKVAAIQVIVIHVPYKDADIKEILNACSATVKALCDSGIRAEADLRENYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNAAKIDIPMDGLVDKVKGMLDDIQQSMFDVAKQKRDACVQVAKTWDEFIEALSQKKLILAPWCDEEEVEKDVKTKTKGETGAAKTLCSPFDQPELPEGTLCFASGKPAKKWTYWGRSY >KVI11203 pep supercontig:CcrdV1:scaffold_1029:143249:143891:-1 gene:Ccrd_010389 transcript:KVI11203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYWILTLDPRFQHARCLKRFKPQEKPRTTERFDPREHSWKKIETMYTMRCPSMVVLNEKL >KVI11202 pep supercontig:CcrdV1:scaffold_1029:138793:140760:1 gene:Ccrd_010388 transcript:KVI11202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGACCSCQMGVRYRGDLSDDHGVKPKDSEAEDNDQIITIGDCGARVRLQGSTKFVSMFTRQGRKGTNQDAMTVWENFGGERDVVYCGVFDGHGPSGHKVARYVRDALPSKLSWLFRDSHVHGKSWSNQDHGSDQKVINLNDSNDPILRSWQASITESFKEVDEDLEADSSIDSYGSGTTSVSVLRQVLVFIEKLNLNYEHHHLVSHIMLFVGDNLLIMNLGDSRALLCTRSNDDKLHPVQLTNSGGRVQAMEHEPSVFRVWMPDQDCPGLAMSRAFGDFCLKDYGLICVPNIFYRKLTDEDEFVVLATDGVRSISKFYIIRLVWDVLTNNEVVKIVGSVKKRSMAARFLIDHAVRAWRYKYPASKIDDCAVVILFFKKQRLLLSKSSSEDLDLINSDHPDLDAIKNPKKTGDDGLDTVLNYHIKEDESKMRKAAKKIQLIEE >KVH99664 pep supercontig:CcrdV1:scaffold_103:67642:87095:-1 gene:Ccrd_022090 transcript:KVH99664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPTVSRYFSVLAQSHSHHLSLSIIFANSVAVGEAEAFGIDDLSVAVGEAESFGIDDLSVAVGEAEAFGIDDLSVAVEEAEA >KVH99661 pep supercontig:CcrdV1:scaffold_103:132717:133683:1 gene:Ccrd_022094 transcript:KVH99661 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP/TepA MFALVFVVIDAETVFLYPWAMSFDVLGVSVFVKALIFVRILIFMHGERGHWNGLKSRIFRQQKGKKTKWRLYGSEGIQFDPNQLILSSQEVASKVWNQLIGLMIYLSIEDDTLDLYLFMNSLGGWVIPVMDLYDIMQFV >KVH99660 pep supercontig:CcrdV1:scaffold_103:143864:145285:-1 gene:Ccrd_022096 transcript:KVH99660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MENNYSYSSYPDSNTSTPRSREVDCETASYDEQVANNPNPNNNNNASSNYKVKLMCSYGGKILPRPHDNQLTYIGGDTKILTVDRNIRYGSILHKLNSLSDSSDICFKYQLPGEDLDALISVTNDEDLEHMMTEYDRIFRSSAKPVRLRLFMFSLSPATFGGGGGGESKTEQQWFVDALNAVQISSGQSSSPASDNPDYLFGFDKGNAPVTAQAKVQDVTAVVVPQANVSDTTRPGSECGSEDSNSNRHVVGDTVVSPTVEIQRQIQESQRMQIAASHEQAINIDPRAYYGDYYPQKIQPAAATGQPRYWQERHMTTGGYPMSVAGNDSPVYLIPSSTGVYPSPTPPAQAPPAAQTLRQATGQVSQGQAYYGMQRMGNPPEFYREQPMYSTMNQPPIQQTKVGTYPEAIGVVRPQAELGYGQVGIDATGRQVYYTTSQGMNSPYQQVMAPTDVRQGPGLVVNPEGKMVLNPNK >KVH99662 pep supercontig:CcrdV1:scaffold_103:121344:129108:-1 gene:Ccrd_022093 transcript:KVH99662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase LNLGEDISSSTNPLTHSPSSPTSPETTNWPDPIHLSNTHKPQGSYMTMAIALRRLCSSHNNHHYLHRLSNGSSLFYMSSLPNPAANDIDVSRVNWTKQLNAPLEEIDPEIADIIELEKARQWKGFELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYEKSTSINVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQMEKSAVLFRPKLIVAGASAYARVYDYARMRKVCDKQKAVLLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKKGEEVMYDFEEKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLANCKKFSQGIDGSRVEKVMELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEDDFVKVAELFDASVKLALKIKAASTGTKLKDFVATMNTNKFESEFVKIRGEVEEYAKQFPTIGFEKETMKYKD >KVH99670 pep supercontig:CcrdV1:scaffold_103:60687:84864:1 gene:Ccrd_022089 transcript:KVH99670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAILCDAEVGVMIFSSTGKLHEFSNTSDTQVIDAKRLCFSDGNTQVIDAKRLCFSDGDTQVIDAKRLCFSDGDTQRICATLTSMKSVIDRYHKAKEEQQLTSPISEVRKDRWIEHIKGNLRDKDTWTSYTQEAKIRGAVPLLLEPPRPAPKLKDKEAACFMGKDQSQEPLQLLFWQREAAMLKQQLKNLQENHRRMMGEELSGLSVKDLQSMENQLEMSLRGIRMKKDQLLFEEIEELNRKGNLIQHENVELCKKVNQIREENVELYNKVYGIRDADPANRNVFATNASSIREDPHAPIHLQLSQPDPHEAIEASLRSTNLG >KVH99669 pep supercontig:CcrdV1:scaffold_103:19145:34003:-1 gene:Ccrd_022088 transcript:KVH99669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TRAF-type MTQNAELESFLSAGGKVFDIGGKQDRSIPQSSDRAIPSSNIDLHYAHCSRNLEKCKICDDMVPRKHAEEHYSSTHAPVSCSLCNEMMEREILAVHKGEKCPKRIVTCEYCEFPLPAIDLFEHQEVCGNRTELCHICNRYIRLRERVAHDVTCNGVPNNTAEIPRAMREDEREHPARRPPPPPPQDISTRRLIFTIAITGIAILIGYYISRENQTSVN >KVH99665 pep supercontig:CcrdV1:scaffold_103:388553:398037:1 gene:Ccrd_022101 transcript:KVH99665 gene_biotype:protein_coding transcript_biotype:protein_coding description:NO signaling/Golgi transport ligand-binding domain-containing protein MIGAGKMKQYANVLDKPLSKGRQEVSLSAFAYLFSELVQYNQTQVDNIGDLERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLGVLDNAGFPAVVTAHFVPVEGQQRPRTTILIKYYEEKQD >KVH99673 pep supercontig:CcrdV1:scaffold_103:306650:309031:1 gene:Ccrd_022098 transcript:KVH99673 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MGTGWRRAFCTTIPRNSSDSSVLGDVQQNPNPTPRSSGKLAFFKTSSASNPSTPRLQSNWSLRSRNSNNGGGADDTVTDSNVSTPRLRLRAKTNDKSDGGGDDGGKFQSSVIDNLNITPRLRCKTNMKKPKSSLLGSNPSSPRSPFSIFKSSLGFSRSNCGLCLQSVKTGHKTAIFTAECSHTFHFPCISKHVKKEKVLECPICKSAWRDGSFLAIHKLQSNNEIEKLNDDKTENSITTPKSKHDLSRSQETCVKPKAYDDDEPLRTPRAGGGGIIPIPEAVEENEDVDVDEEFKGFFVNPVSSSTADEFRRDFKNVEVMLMSEVAVISSTQSHETYAVVLKVKAPPPSPPVGAAHMLNQSRRAPIDLVAVLDVSASMGGAKLQMLKRAMRLVISSLGSTDRLSIVAFSASPKRLLPLRRMTSKGQRSARRIIDQLTCSRGTCAGEALRKATKVLEDRRERNPVASIILLSDGQEEHVTDNNNSNQRQPSCHVSSTRFAHVEIPVHTLEKKPSGYSHQTNDPAENAFTKCVGGLLSVVAQDLRIQLGVAPGSDPAQITAVYSCNGKPTVLNSGSVRFGDLYAEEEREVLVEMRVPRSNSGSHHVLSARCSYNDPATQETVYGGEKALLVARAQTVRSSCSPKIERLRNLFIATRAVAESRLLVEHNKLTTAHHLLSSARGLLMQSISAEEFVKGLESELMDVEWRVQYQQQMVQQQGVMMVDENGEPLTPTSAWRAAEKLAKVAVMKKSMNRVSDLHGFENARF >KVH99666 pep supercontig:CcrdV1:scaffold_103:373492:384324:1 gene:Ccrd_022100 transcript:KVH99666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxykinase (ATP), conserved site-containing protein MASQGTENGEFSFPRTPKGRNGLPKIQTHGKKEDQICHDDSGPPVKAQTIDELHSLQKKRSVPTTPIKGGTQPAFNAVSEEERQKQQLQSISASLASLTRETGPKVVRGDPDRKPETPRAHVTHQHHHVHAPTLSTSDSSLKFTHILYNLSPAELYEQAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVRDETTEDELWWGKGSPNIEMDEHTFLVNRERAVDYLCSLDKVYVNDQFLNWDPVHRIKVRIVSARAYHSLFMHNMCIRPTPEELEEFGTPDFTIYNAGMFPCNRYTHYMTSSTSIDLNLGRREMVILGTQYAGEMKKGLFGVMHYLMPKRQILSLHSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIFNAIKFGTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMKKHGATGWLWPDKKAHLETLTKLGGLFKKNFEVFLNYKIGSDNNLADEILAAGPNF >KVH99671 pep supercontig:CcrdV1:scaffold_103:5286:6780:-1 gene:Ccrd_022087 transcript:KVH99671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIA, helical MATFELYRRSTIGMCLTETLDEMVSNGVLSPELAIQVLVQFDKSMTEALDSQVKSKVSIKVKVH >KVH99663 pep supercontig:CcrdV1:scaffold_103:91235:105069:-1 gene:Ccrd_022091 transcript:KVH99663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MILYQIAGNKQSRSWIETVVVERCLVVGGLVVGVVLGYGWAGLLRKYVVEPSHMWWPSTLVQISLFRALHNDGDDGEDDPNNDNKKRMSRSKFFVIALACSFFYYLLPGYFFQTLQSISWICWAFPNSVTAHQLGSGFSGLGIGAFSLDWATTASFLFSPLISPFFAIVNVFLGYFMIMYVVIPLSYWKFNVYHAKNFPIYSADLFTGDGQLYNITKIVNSKFEIDYDEYAKQGKVNLSTEVYHRYKASAKGKVDVHTRLMKNYKDIPAWWFYVLLTVTLLVSLALTIFMKDQIQMPFWGLIFAAAIAFFFTLPISIITATTNQTPGLNIITEYAMGLIYPGKPIANFLGTIIAGTVNLSVAWYLLNNIDQICHPDPKSNSPWTCPNDHVFFDASVIWGLVGPKRIFGPLGNYGSLNWFFLGGILGPVVVWLCHKAFPNVSWIPLINLPVLLGATAYMPPAAAVNYNTWILVGTIFNFFVFRYRKMWWKRYNYILSAALDAGVAFMAVVLYFSTGLENINVHWWGTDNAQHCDFAECPTAKGVSVDGCPLF >KVH99676 pep supercontig:CcrdV1:scaffold_103:116339:118700:-1 gene:Ccrd_022092 transcript:KVH99676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSVVIGYTIKPESEFKRRTATFPSIEKRNSSSSYLEKGFDALSLDLREVESSTYVPLLQKCVEKNSFQEAQIIHGHIIKSGTQEDLFVMTSLVNVYGKCGVMEAARKVFDTLSKRNVVTWTSLMSGYVHNSQPEAAIHVFLEMLENGSYPTNYTLGIILNTCSLLYDVKLGKVVHGYVIKYGLEGDTSVGNALCNLYSKCGGNLDSAMRAFWRIGERNVISWTTIVSACGDNGNSAAGLDLFSAMLEEGIEPNEFILTSVLSLCCTIQATDLGLQIHSLGIKIGYESNLPVTNSLMYLYLKSGWFSEAKKLFDGLEKVSLVTWNAMISGYAQMMDVAENSLVASRNGSEALNIFLRLQRSGLKPDLFTISSVLTVCSNLLALEQGEQIHAQTIKTGFLSEVVVGTALVNMYNKCGSIKKASKAFVEMPSRTLISWTSMITAFAQHGLSQNALVLFDDMRLAGDRPNKVTFVGVLAACSHAGMVDEALTYFDMMKNDFKINPIMDHYGCIIDMFVRLGRLEEAFDFIKKMEFEPNEFIWSLLVAGCRSHGNLELGFYAAEQLLNLKPKDPEIYAMLLNMYISAERWKDASRLRKAMKDEKLMKLKDWSWISIKDKVYSFKPDDKSCTHHQQVDILLRDLLEKSRNLEKNSETEEMEDKETRVHHSEKFAVVFGLLKIQKPAAIRVVKSMIMCRDCHNFVKFVSVLSEREIMVRDSKRLHRFVDGKCSCGDFGSLL >KVH99668 pep supercontig:CcrdV1:scaffold_103:399139:404582:-1 gene:Ccrd_022102 transcript:KVH99668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MASIRRTLSPYHDRSHQNGGNTSPFSLNSPSHKLNSTTRFASPLSTFGVGVRRFIGGEKHSPPRKGYRTWRRSIYSCLLFFLVGFLLGLAPFGEFEDVKSRDFSFEVNHPPILDVKEDIVVKKVELAVEKRENVKERFDYVARKQLIVVTPTYNRALQAFYLNRLGQVLRLVPPPVLWIVVEMNAASTETADILRGMGIMYQHLVCTKNLTNMKDRGVHQRNRALEHIEHHKLNGIVYFADDDNIYSLELFETLREISRFGTWPVAMLAQSKNKAVLEGPVCNGSHVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWKKPTSALIRQLDTVKEGFQETTFIEQLVEDESQMEGTPFGCSKIMNWHLHLEAHELGYPRGWSLQKNLGAILPIE >KVH99667 pep supercontig:CcrdV1:scaffold_103:492620:494338:-1 gene:Ccrd_022103 transcript:KVH99667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MIQYGSVSLKAVGNQALEEIKAIGKISGPTAVTGLLLYSRAMISMLFLGYLGELELAGGSLSIGFANITGYSIISGLAMGMEPICGQAYGAKQMKLLGITLQRTVLLLVSTCLPISFMWFNMKTILSWCGQDEEISSAAHTFIVFAIPDLFLLSLLHPLRVYLRAQNIILPLTYCSAVSVVLHVPLNFLLVGYFKMGIAGVAIAMVLTNLNLLFLLFTFVYFSGVYRDSWVAPSADCLRGWSSLLALAIPTCVSVCLEWWWYELMIMLCGLLLNPKATVASMGILIQTTSLVYVFPSALSLGVSTRVGNELGANRPAKARISMIVSLFCAAFLGIVAMVFTTLMRHQWGRFFTNDSDILDLTSVALPIVGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVAIVMGFVLKMGFAGLWLGLLAAQGSCALLMLYVLCKTDWVVEVERAKELTKSPSSSSSSSTTCKSPSKPSLSTANKNPAMEAYNLEEIKVCKAENEVDLILMKKLPETCSAETDPLIMHY >KVH99672 pep supercontig:CcrdV1:scaffold_103:314316:317614:-1 gene:Ccrd_022099 transcript:KVH99672 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MGGDAFHGDESVDKTWKPSANQNALKSLLLAPILYFNARQSFSFDSETHLQVPLIFCRHSLGFSANDWGVLCSTMAVSTAFAGPKLETLFFSNATVTPASSSPTSHFQIGVSSKPRRTLIQRGSFRCEAAAASSSSSSSSEVVVENSSGNASSLSALEQLKTSAADRYTKEKSSIIVIGLSIHTCPVEMREKLAIPEAEWPRAIKELCALNHIEEAAVLCTCNRMEIYVVALSQHRGVKEVTEWMSKTGGIPVPELSEHRFLLYNTDATRHIFEVSAGLDSLVLGEGQILAQVKQVAKVSQGVTGFGRNISGLFKHAIMVGKRVRTETKIASGAVSVSSAAVELALMKLPHSAHSTARMLLIGAGKMGKLVIKHLVSKGCTKMVVVNRSIEKVNALREEFKGIEIVYKPTTEMMNCAGEADVIFTSTSSETPLFLKENVADLPPVSADVGGKRIFVDISVPRNVGSCVKDLETTNAYNVDDLKEVVAANREDRLRKAREALVIIEEELKLFEAWRDSLETVPTIKKLRAYAERIRVADLEKCLQRLGEDVNKDTRRAVEGLSRGIVNKLLHGPLQHLRYDGSDDRTLDETLENMHALNRMFSLETEMSVLEEKIRAKVEQQK >KVH99674 pep supercontig:CcrdV1:scaffold_103:173160:177260:-1 gene:Ccrd_022097 transcript:KVH99674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHHGHGFLEEEILLDSNNNAFPFGMNDIDHFDQNTLSITSQTSSSFEDFSSSLPFIFDQQTLNCSSFGQQHFLNPFIDHDKLLFSPYDNTTTVTAGNIKLESSPLFDQDDYSVLSSLLDDVQNCHFVHDHVGNRVIPDPKVHEVEEERRYGSGSGSVSGFNMGKSGKASKVEGQPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELIEKINQMQEEMDISSNQLNLMNAKPKEIFIRNSPKFDVERRNTDTHVQVCCTSKPELLISTMTTLEALGLEIHQCVISCFNDFMMHASCSEEMEQRVILNSEDIKQALFRNAGYGGKCL >KVH99675 pep supercontig:CcrdV1:scaffold_103:133706:134632:1 gene:Ccrd_022095 transcript:KVH99675 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MNEINRFNGILYPGRTRNYQTVMIHQPAGSFSEVAMGKFILEVTGKPLWVVSEDMERDVFMSATEAQAYEIVDLVAIES >KVE54953 pep supercontig:CcrdV1:scaffold_10303:1659:2108:1 gene:Ccrd_023946 transcript:KVE54953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPISSIAPQPSPWHSPVPYLFGGLAAMVALIAFALFILACSYWKLSGYFDNHDGDIESAGSSLPENHNDNRKSSDMEEKYLVIMAGEAKPTFLATPSSTRTMSFGSSSWRSSSLEEEEEEVRVGSSDLVQGRTTEKHESAFHLPYHS >KVE54901 pep supercontig:CcrdV1:scaffold_10306:36:1294:-1 gene:Ccrd_023947 transcript:KVE54901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase MFYCSKHKFINLINNYLRWLLQVVVNNGIVEITLSNPEGHLTGIHYNGIDNLLEVTNDETNRGYWDVVWSHPGSIGTKGVMERLAGTSCEIIVETEEQVELSFSRTWNSSVEGKQVPLKIDKRLLFXSMIISINKNENMEMMIDHNDQL >KVH91684 pep supercontig:CcrdV1:scaffold_1031:40504:40794:-1 gene:Ccrd_006284 transcript:KVH91684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVSATKRRRNQIQNTTATCSQGQHQIHRRLGVQWTSTGKNQRKIGDDRVCGDNGGGAEQWSRCVRTDLQRRRGGVCGDERGVVSGVVGAVVERG >KVH91688 pep supercontig:CcrdV1:scaffold_1031:2574:11425:-1 gene:Ccrd_006282 transcript:KVH91688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAATSVFMATPFTRLSATQLPSFHKNSAFSVRCMSSQLPNDAETKSKTPPPPAPKVSTKFTDVLXFSGPAPERINGRLAMIGFVATMAVELSSGQDVFTQISNGGVAVFVVTSVVLSVASLVPLLKGVSVQSKSKGIMTSDAELWNGRFAMLGLVAPERINGRLAMIGFVATMAVELSSGQDVFTQISNGGVAVFVVTSVVLSVASLVPLLKGVSVQSKSKGIMTSDAELWNGRFAMLGLVGLAFTEL >KVH91692 pep supercontig:CcrdV1:scaffold_1031:115398:116570:-1 gene:Ccrd_006290 transcript:KVH91692 gene_biotype:protein_coding transcript_biotype:protein_coding description:START-like domain-containing protein MVYAYTLIEGGEVSIEKIESISYEMKFESVANGGCIGKKTSTYHMKEGFEIKEEEFKEHNEKAFGIFKGIEAFLLENPNAYV >KVH91687 pep supercontig:CcrdV1:scaffold_1031:101448:109797:1 gene:Ccrd_006289 transcript:KVH91687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily HEQGRRRKEEKRATAAPHRRRCFTPSHPYYRRRLLFFSELVYAVIMEPANIRDVLTSFSPSLDFLAISSGDGRIKIWDTIKGQVQTDFANIVSTDETDFFAKPEGGHLSVDYTCMKWLSLEKKKKRKLRSSLLILGTGGGDVLALDVSAGQSKWRVSDCHPGGVTAISSPTNGSCVYTAGVDGMICELDSMTGNLLGKFRASAKAISSMSVSSDGKLLATAAAQLKIFSCSDHKKMQKFSGHPGAVRCMTFSDDGKYVLSSAVGERYVAVWEVDGSKKKSACCVLAMDHPAIFIDSKCIGTAGVANSGLSVLAISEMGVCYLWSGANIKELHNSKSTKVTVSTEDSLSKNYKGAVPTIFSGKLQNFSKPVTGHVFLAHGLLIKPSFEKISIQCGTDVKLTSSMDGILLPLTQSQKSKKGFENQNHITALDRANTEGALLPVTKIFNVVDAESRAMPSSTKDDAEADQVTICLEDQLRAEGILSNDDDLTSNTMLLSKLLKGFNLEANVSQKQMRVAVQSMIPSDAFMLLEVLLGMWQSRSLDGQYILPWVCCLLVNHSDYVKSQEPASRLLDSLYKLSKSKSEVIQSLLQLSGRLQLVTAQINKAADKSQILKQEEEESEVEDEEELLYDEEDESSENTSDDNN >KVH91685 pep supercontig:CcrdV1:scaffold_1031:66752:74181:-1 gene:Ccrd_006287 transcript:KVH91685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAATTMATAAGAVVLLYYVLARRLSSADAKAADDDEGREYMKSSKSKRKIAQRRPAQAPATWFETISTLSETLRFTYSETLGKWPIGDLAFGINYLLRRQGNVQVASVYAGENSIQLKGPGIIEELKQYLKLLTLCMLFSKKPFPVFLESAGYSEADVLLQKPKAGVWKPWQCSDIIEVLLKPAFTILSDKNSKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLHDGGISNLILGYAHCGMVAAARWIAKLSIPFLLEALNDNPGYEVKIVGHSLGGGTAALLTYILREQKDLSSISCITFAPGMYSACMTWELAESGKHFITTIINGSDLVPTFSTASIDDLRTEVTASSWLNDLRDQVEQTRVLNVVYRSATALGSRLPSMANARAKVAGAGAMLRPVSSSTQVVMKRAQNVAQAVVRTRSTLSAWSCMGARRRAVGTAPIDGFSETSLILEKNPDSLTTDTVNPDHESNNINHCSSSGGPGPASGSGHEDTDEEELLIPVDHVASNSEHITEGELLYELEKELQRQEHEADFQSQEEEEAAAEEIREEEKVIADAVDGEQPISSSDVFENHHLYPPGRIMHIVSIVSSNPVDRDQDHDHDHGTAIEESEVEREHVGIYETNRDLYGKLRLSRTMINDHYMPMYKKMMEKLLVELEK >KVH91690 pep supercontig:CcrdV1:scaffold_1031:44416:45683:-1 gene:Ccrd_006285 transcript:KVH91690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAATSVFMATPFTRLSATQLPSFNKNSVFSVRCMSSQLPNDAETNSKTPPPPLATPPPPPAPKVSTKFTDVLAFSGPAPERINGRLAMIGFVATMAVELSSGQDVFTQISNGGVTVFVVTSVVLSVASLVPLLKGVSVQSKSKGIMTSDAELWNGRVAETPLNIEANDVQWRRIDGGYIRCRHKMLCLYLWWRNDEVSWKNRYRIHEL >KVH91689 pep supercontig:CcrdV1:scaffold_1031:18797:27837:-1 gene:Ccrd_006283 transcript:KVH91689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAATSVFMATPFTRLSATQLPSFNKNSAFSISNGGVAVFVVTSVVLSVASLVPLLKGVSVQSKSKGIMTSDAELWNGRFAMLGLVGLAFTEFTPLNIEANDGQWRRIEGGYIRCRHKMLCLYLWWRNDEVSSKNRYRIHEL >KVH91691 pep supercontig:CcrdV1:scaffold_1031:49899:53979:-1 gene:Ccrd_006286 transcript:KVH91691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAISIGLPFSPITNPPWRDTTSDRTSYLTSLALPVQFTRSQSCFLLRQRNHRRLLLAQSTMSSSGEILQSNPSQRIELRTESDFEQIVSPDETLSICGFGSLLSERSARSTFPDLINFRVAKLNGFRRVFAHVAPIFFERGIAKPETKEVSSLSVEPCEGESLIVTVFEIKKSEVHPETLEGNQYSVLDIVMRNISRIDAKEAKRYTTSGMDDLASRRSGKMMCCLVEHIVAIAYANFLDHTYLADRKTTLRKYLATAGSGLMEEEPPELLKVRYGG >KVH91693 pep supercontig:CcrdV1:scaffold_1031:140654:142455:1 gene:Ccrd_006291 transcript:KVH91693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGKFQQSNLGSVILDHHPTTAAGGNFRLWSSCRRKIINTMRCGGGGGGYRHRHTAKSPVVEKPKEEEEKRSECRSDVIQNQRNRKSKKSEKLSELLRMSDSWSSEEEDVKKKVEVLEELKRVVKGLQCGGDAEVLGGAREVRRLAKEDLDARTTLALLGAIPPLVAMLDSDDLDSQIAALYALLNLGIGNDPNKAAIVKAGAVHKMLDLIEPPNDGLPNPDVYAAIVANFLGLTALDSNKTIIGSSGAISFLIKILKNSSKSNIDSQVVQDCLRALYNLSILPSNVSPMIEIDDFVPFLLTTLGDMEVSDRILSILSNVVSTPEGRKAVSAVHDSFQILVDILSWTDSPNCQEKATYILMVMAHRSYRDRQAMIESGVTSSLLELTLLGSTLAQKRASRILEIIRIDKGKQVSETFGGGSIGSNVSAPLYGSVDPILTDPSEDDRMMSDENKAVKHLVQQSLHSNMRRIVKRANLPQDFVPSE >KVH91686 pep supercontig:CcrdV1:scaffold_1031:81699:90227:-1 gene:Ccrd_006288 transcript:KVH91686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAFKNQQGDQSNKRKRIPGGTKGGDGGKTSQKKKLKTPSNDQSNKGFKKPFSSSKHHHGKADGKPRFDKSDTAQNVPKTKRELRLQAKELAEARKKKRKPHYTLEQELALLWEKMRRRNIGKEDRSKLVSEALRKMKGKIHEIAGSHVSCRVLQTCAKYCSQDERNAVFEELRPHFLTLACNTYAVHLITKLLDNASKEQLAEFISSLHGHVASLLRHMVGSVVVEHAYQLGNATQKQALLMELYSTELQLFKDLVLVKERRLVDIISKLNLQKAAVMRHMSSVFQPILEKGIVDHSILHTALVEYFTIAGKSSAADVIQHLASALLVRMIHTKDGSKIGILCIKHGSAQERKKIIKGMKGHVSKIAQDRFGSMVLTCILSVVDDTQLIKKIIIRELQAVLKELILDKGDSEPGTDVKSSEVEGDENMSDAEENVQSVVAGGKKDPILRRKELLVDSGLAESLIDACCESAEELLRSNHGREVLFEVASGGADGILRPHLDEKLDSLHEAIATRVALPKSEGQEEEHLLENFHSSRTIRKLILECPSFASTLWKKAFKGKCKTWAQGHSAKVVCAYLETSDPMVLKLAKQELQPLLDKGVLKLPENNQPRKQAKQL >KVE54482 pep supercontig:CcrdV1:scaffold_10310:1557:5812:-1 gene:Ccrd_023948 transcript:KVE54482 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MLTEQLSGMSQTQVKPVCLVSSQFVYPYPIDMLNGKKLLTLSDRNFGVTDINGNLMFKVTGKLLSFHGKRLLVDVVGNRIVTFQKKLIGGRKRWQCFRGDSTDPRDHVFTAKQSSRTQFKTSLDVFLASNRRQDVADFKVKGNWFERRCAVYAGETTTIIAEGYPPLVFLFSCSGNQRYVKLDSYATQENLYLIVAIMLTEQLSGMSQTQVKPVCLVSSQFVYPYPIDMLNGKKLLTLSDRNFGVTDINGNLMFKVTGKLLSFHGKRLLVDVVGNRIVTFQKKLIGGRKRWQCFRGDSTDPRDHVFTAKQSSRTQFKTSLDVFLASNRRQDVADFKVKGNWFERRCAVYAGETTTIIAEMNKKQTVESIAFGIDTYTITVYPNVDHAFXVALMAILNEINDDKSDDDEK >KVE54481 pep supercontig:CcrdV1:scaffold_10313:234:1782:-1 gene:Ccrd_023949 transcript:KVE54481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVSCQLFFCLFFFFIPFLSSGADTLVVNQSLSGDQTLVSRAGIFELGFFKPESDWNLSDSSGGCVRKTKLDCTVKAEKLGFITISSSDLATNPAKINTKVLVAALALDSTKKGLKDK >KVE54480 pep supercontig:CcrdV1:scaffold_10313:5089:5304:-1 gene:Ccrd_023950 transcript:KVE54480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGLLCIQEDPPDRPTMMDVVLMLGMDIESLPDPKEPAFV >KVH92032 pep supercontig:CcrdV1:scaffold_10317:1444:5539:1 gene:Ccrd_005939 transcript:KVH92032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MEVERVQKIASVSNLEGTIPSEYIRPVGEQPASTTIHGVVLEVPVIDLSHPDAGELVGSISEASREWGIFQVVNHGIPNEVISKLQKVGKEFFELPQEEKEAIAKPASNEALEGYGTKLQKEVEGKKGWVDHLFHRVWPPSAINYHFWPHSPPSYRETNEQYTQMLIEVANKLLGFLSKGIGLEENAMKEGLGGEDLIYLMKINYYPPCPCPDLALGVVPHTDI >KVH88384 pep supercontig:CcrdV1:scaffold_1032:63939:64436:-1 gene:Ccrd_023951 transcript:KVH88384 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase, family B, conserved site-containing protein MYPYISREDSYYTDTDSVVLGKPLPDEMISSSILGLFKLEDRISEGHFLALKTYTYTHEKGMEIVKYNGDVKEKITAEWFKSQCPDPDRKQEIQVEAYFRIDWPTLNIKKIDQSILVGINLGLKRIHVWERDTNTNSKKWVDTEPISVYDMSRLYHISQKLVKLV >KVH88386 pep supercontig:CcrdV1:scaffold_1032:64473:65201:-1 gene:Ccrd_023952 transcript:KVH88386 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase, family B, mitochondria/virus MKYYDDKLFPIHIPNQNEDQFIRKGYYRGHTDVYKPFGTDLYYYDVNSLYPFVMKEYPMPCGVPVWYGNLEDKELDKMLGFIEAYVVCPKTIKKPFLPYRNEKGTLIFPTGEFVGVYFSEELKFAREIGYTVIPISGYLFEKKESPFTGFVTDLFSSRLDAKKSGNEALSYVYKILMNSLYGRFGINPKSTITDICDVERYKQLIRKKDFIFSDKLSDNKYIVSYHTNTETDYWNPPKNSAV >KVH88383 pep supercontig:CcrdV1:scaffold_1032:67817:68148:-1 gene:Ccrd_023954 transcript:KVH88383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHISKIILHSMRCYKDYTRTFSKLVTTYEGYKFYLPAFLDFRGRIYRCGVLHLHERDLARSLIQFSYSNNSPACGYVTTLATCYHYQSFISESKAVDWCE >KVH88385 pep supercontig:CcrdV1:scaffold_1032:65244:67173:-1 gene:Ccrd_023953 transcript:KVH88385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINCIKKKKVSLRVSTDKRDSKKTEVSTFVNFIHQKDAFIAMKVVEAMLYLKAPVYTVHDTFLTLPYFSQKVADIYSNSVTRIGSPLLIKNRFLYHNVIPPNIEKYYQISKIYEDEWKYEVATIVRVMIRVFMENEKKTVMPSLSDDDRYNLLYSTLKAGLNNSEAITAREISHSKRKHTRHITAIKECQTNLHSFIVSDIETLPENNIHIPYSAGLLIVRPGKDLKDDMIETYYSEHYSLLVKNLEDKGSKVLYDLVLRISAIVQKDNSIRTVFFHNFSRFDGIIVLKHLARHHPQYKLKPLMINNRLYELSVYSGKKMLFCFRDSLNLLPGALRDLAKSLCPALGPKGSLNYNEFSESNLLINKEEYLDYMKQDILLLGGVMQKAQEIYWNLYNMDI >KVH99621 pep supercontig:CcrdV1:scaffold_1033:23582:30051:-1 gene:Ccrd_022138 transcript:KVH99621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein AGKLLYSRPHVTLLVHISIYTGVAAAYYFILILSSTMASEDVKDSPAMVVFDTEAANSLVKELRSTVIAGKTKSYEWRVSQLKSLLKMVEDRDKQICDALFSDLSKPEMEAFIHEIAMIKASCKLALKKLKDWMKPEKVKTTLGTFPSSAEIVPEPLGVILIISAWNYPFLLSVDPVIGAIAAGNAVVLKPSEVAPAMSSLLAKLFGEYLDNSAIKVVEGAVPETSALLEQKWDKIFYTGNGRVGRVIMAAAAKHLTPVVLELGGKSPVLVDSDIDLTVTARRIVSGKWGCNNGQACVAPDYIITTKNYAPVLIDSIKHQLVKFFGEDALNSLDLSRVVNSNHFARLTKLLDDDRVSEKIIHGGQTDINNLKIAPTIVLDAPEDSLIMNEEIFGPLLPIVTVDKIEDGIHFINSRPNPLAAYLFTNKKQLKEEFVSNVSAGGVLINDISLHLMVDTLPFGGVGDSGIGAYHGKFSFDAFSHKKGVLHRSFYGDASARYPPYTPGKLRLLKALLDGSILGIIRALFGWS >KVH99627 pep supercontig:CcrdV1:scaffold_1033:63440:80428:1 gene:Ccrd_022140 transcript:KVH99627 gene_biotype:protein_coding transcript_biotype:protein_coding description:disulfide isomerase MVSFIFLFLFSLATSSYIGGLCVVAEPSQPKEFVLTLDQSNFTHTISKRDFVVFEKAAAILSNNGPPVTLATVDANDEKNKDLASEYDIKGFPTLKIIKNGGKSVEDYKGPRDAEGIVAYLKKQTGPASRKICVPIMSLLIQQTQNFYHEDFKLDALEKFVEEATTPTAMLFVNFSSKPFGDFEATYHEIANEHKGKGISFLMGDVEASQGAFQFFGLKEDHVPVMVINHQKHKYVKPNDGKVAPYVKSEPVPEPNNQPVKVVVADNFHDIVFKSGKNVLLEFYAPWCGHCKKLAPILNKVASAFANDADVVIAKIDATANDIVHEGFDIKGYPTLYLKTASGNLLPYDGKRTKEKIIDFIKKNKDKTT >KVH99624 pep supercontig:CcrdV1:scaffold_1033:12449:17387:1 gene:Ccrd_022136 transcript:KVH99624 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MANSAAACAERATSDMLIGPDWAVNLELCDLINMDPGQAKDAVKILKKRLGSKNPKIQLLALFVLETLSKNCGENVFQQIERDILHDMVKIVKKKPDLNVREKILILIDTWQVAFGGRGGRYPQYYAAYNELKSAGVDFPPREENSVPFLSEIVTAQGIADVLMDMLTALNPKNKEGVKEELIVDLVGQCRSYQNRVMTLVNTTSDEVLLGKGLALNDTLMRVLGRHDDIAAGAPNHSVEAGAQENSVARLVNNIIGEPVRVSPLAPPPPSSVNPVRADSGSMVDYLSGEAYTSERSSGGPSGSTYTTTPAQTMYADQPAYDAPTRVTKSVHFDLPPSSIPPPPSKHNERRHFFEPNSSNGLVDQTRDLSLNTSAPVKKEKPEDLLFQDLVDFAKAKSSSPKPNR >KVH99628 pep supercontig:CcrdV1:scaffold_1033:142279:149141:-1 gene:Ccrd_022143 transcript:KVH99628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLIADDLRTKSRIQTALITYRNYEQGKGHLLGNEDDRTSGMDKRREGQALTKRREKKLNQRREVQHRNVVRFIGACTKQPHLCIITEYMPGGSLYEYLHKNHRTLTLPQLVQFAIDVCRGMEYLHKSNIIHRDLKTANLLMDSHNVVKVADFGLARFQNQEGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKIPYDNMTPLQAALGVRQMMIAAAAAGNTSVQ >KVH99629 pep supercontig:CcrdV1:scaffold_1033:117677:129698:1 gene:Ccrd_022142 transcript:KVH99629 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, alpha/beta subunit, N-terminal MGVEKNNVSMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSMDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTENLLEVLFILNCLLVQTGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDAFYSREATN >KVH99625 pep supercontig:CcrdV1:scaffold_1033:22137:23334:1 gene:Ccrd_022137 transcript:KVH99625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal RDGDGDGDGAEREDVTSPRVTAPRFTRTASTGFLPSSLPKTTSQRRSKTPGRLRPVNSLLRSFSKKGMDSNSFHAGHVDRSSSVSRRHSSTIVYSNANGLRKPPDMVKRLECTLEDLCFGCIKNVKIKRDVLTSEGYCPRNHGTASGHLNRVIHASFTLCRQIIQEDEVLTIKVKPGWKKGTKITFEGMGNETPGTCAADITFVVDEKRHPVFKRKGDDLEVAVELPLVDALTGCTLTIPFLDGQQSCLLIEDVITPGCRKSIVGQGMTLPTEEAKRGNLNIKFSVQFPQQLTEEQRS >KVH99622 pep supercontig:CcrdV1:scaffold_1033:44683:53646:1 gene:Ccrd_022139 transcript:KVH99622 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MASNCLPLTPSPMALSGGTATSSATPRLLSLSPTTTTRPSSLSVHSLSSSSSFAASLKCLRLSHQKRSKLHASSGNFGTVVTTKCFTSDPDQLKSAREDIKDVLKTTFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVEQKHAANAGKSFRLVNALKLLQPIKDKYAGITYADLFQLASATAVEVNFRALDAGGPKIPMKYGRVDVSGPEQCPEEGRLPDAGPPSPAAHLREVFYRMGLTDKDIVALSGAHTLGRSRPERSGWGKPETKYTKEGPGAPGGQSWTIKWLQFDNSYFKDIKERRDADLLVLPTDAALFEDPSFKVFADKYAEDEKAFFDDYAEAHAKLSNLGAKFDPIQGISIDDEPAAAAVPEKFVAAKYSSGKRELSAAMKQKIRSEYEAVGGSPNKPLQSNYFLNIMIVIAVLALLTSLLGN >KVH99623 pep supercontig:CcrdV1:scaffold_1033:8259:10157:-1 gene:Ccrd_022135 transcript:KVH99623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYKVLRSRAHRFSTKTPSFFSTLLNNCLSLESLFSLKLIHAQLIKLSLCNTNTFLGNRCLHLYSKFGTIEDAIRSFDDIRNKNVFSWNIYMRVFINFGDIKSARQLFDEMPERDVVSWNSIIAGYYSRGFDDCALDLFSKMQTTGVIPSEYTYSIVLSFVQSVHHGKEIHCNMIRSGLDFSYVIIGNSLIDMYSKHGFVDYAFNVFLNMKELDVISWNSLIASCSKSGYKEMAYNHFRVMRTTNYLPDPFTISSVLTSCSTILDLRKGENIFSLSIKAGFLSNSIVSSAAIDMFSKCESINASIRVFKEIDIWDSAVCNSMISSYANHRLEENAMQIFALSFNKNVRPTEFTLSSVISCAVVFLVVVQGTQLHSLVVKLGFEHDLVVASSLVEMYSKYGSTDAAKIIFDEMEVKDLISWNTMISGLACTGKTVKSLNLFEELLKTGRQPDEITLSAVLLACNRGQLIDRAMAIFYSMDKEYGVKPTDGHFTSIVEMMIQAGKLNESLKVIEAMPYGPNSYICALILTIYGIHGDLKFTERVAERLMKLEPMSSLPYLVLGKAYEVRGRWESLARVKKAMNDRNITKVMGCSWIGIKSRLFLFKENEVVHHGGEDVFSTLRLLMQDIEVEAYI >KVH99626 pep supercontig:CcrdV1:scaffold_1033:85429:103515:-1 gene:Ccrd_022141 transcript:KVH99626 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MKALRLLQILTTRSSRTSHLNPTFLFRFYASQPLQENPVLEIEESRTTDNNGFGFDSSHYELPDTNLGLGSNEAETPAGNRESTWDEKYRRKANRSIFGEEHEKLVKDKKSKKKSRSERMMEQEEKRRRTAILSKALLEAAVAATDDDEEEDANEVVKVEDQLSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVLGVMTQGKTQIVCTFKRNGTPLCVCFFDTPGLIMRSNGLPKSDIKVRVESAWSSVNLYDMLVVIFDVHRHLTRPDKRVVKLIKQMGSQVNPKQKRILCMNKVDLVEKKKDLLKVAEQFNDLPGYERYFMISGLKGSGVKDLTQYLMEQAFKRPWDEDPLIMTEDVMKNISLEVVREKFLDHVHQEIPYDVEHRLIDWKELRDGSVRIEQHLITNKVSQRKIIVGKNGSKIGRIGVEANEELRSIFKRNVHLILLVRLK >KVE54081 pep supercontig:CcrdV1:scaffold_10333:1794:4854:-1 gene:Ccrd_023955 transcript:KVE54081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MTLILNPNSWLQMASIIFLDIPAGSGYSYADTQEGWISSDTVLAAQANDFLKKFLIDHPKFLKNPLYVAGISYIGIAIPKITLEIYEGNERGDHPPMNIQGYILMSPLTNKFNDFNSRLEYAHRMALISDDIYKSAIENCHGNYVNVDTLNTLCANSLQRYEQCTCRITLDYILEPFCDENDPLSDCQDAFTKVVEIWANTEVVQQALNVRQGKIGKWELLNDTLHYKQGKNDTECYAYDIFSSFPYHKKLSSKNCRALILSGDHDMTFPYVGIEQWITSLDIEIEIPWHPFYVDGQVGGYQMKYAQNDYSLTFTTVKGAGHLVPYYKPKETIVATKTWLSSPTYSSDS >KVE53933 pep supercontig:CcrdV1:scaffold_10336:4742:5464:-1 gene:Ccrd_023956 transcript:KVE53933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQTLGQHFSDSTVIMIAHRITSVLDSDMVLVLEQGLIDEYDSPTKLLEDQSSSFAKLVAEYSIRSNSSFENLAAT >KVI11132 pep supercontig:CcrdV1:scaffold_1034:97696:99878:1 gene:Ccrd_010461 transcript:KVI11132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNTSYVTKLWRCSASSCLIYTCNGCSVKTTTNCSCFYYNLIQSLDANLAILFLFC >KVE53413 pep supercontig:CcrdV1:scaffold_10344:1:4887:-1 gene:Ccrd_023957 transcript:KVE53413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSNYAYYKNDQNLAAQFLVFDASSTFLGLFLCYVGLSGKKDGQTVNHQESLWDGSSVNRREFKTVGVAITPSKTGGVFSRLTFSWIHPLIAMGYKKPLDLDDVPELDSVDSARRAFLVLRNRLEWDNRITTFMLVKAVLWTTWKDIMMTAVLALVYTLASYVGPFLIDSFVEYLNGNQDLYEGFLLVSALIVGKIVECLAQRHQDFKVTQAGIKARSALVAMIYVKGLTLSSQSKQGKSNGEIINFMAIDAERIGEFSRYMHNPWLAIVQVGLALAILYKNLGISSLAAFVATIIVVLANIPLGRFQKKFQEKLMKYKDKRMKATSEILKNMRILKLQGWEMKFLSRIVDIRSDEARWLYKYIFTEAMTTFAFWVAPTFVAIATFGTCILSGTPLDSGKVLSALATFKILQESAFNLPGTISMIAQSKVSLDRIALFLCLDDLDPDQVEMVPRGTSDTAIEIVDGNFSWAVTSSNPTLIDVNFRVVHGMKVAVCGVVGSGKSSLLSCILGEVPKLSGRVKLSGTRAYVGQSPWIQSGKIEENILFGKEMDRKRYDKVLEACSLKKDLEILSFGDQTVIGERGVNLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECILDFLESKTVIYVTHQVEFLADADIILDGRITQAGKYDDILNSGSDFTQLVGAHKEALSKIDSAAKNSESDISFVVENQRTFQKNIQEEVINGQNSKPEGKKRQLVEQEERQKGKVGSLAYWKYITTAYGGALALLVLLAQILFELLQISGNYWMALASPASESSATRVGGSKLIIVYVGFGIGCSLC >KVH96920 pep supercontig:CcrdV1:scaffold_1035:54323:61370:-1 gene:Ccrd_000988 transcript:KVH96920 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MLTCDPSAINPTTIEGFVATPARHPHSLRNHHTPTMEQQPIVSDEVFVNGGKATVTLSTDGMLRWFDRGVLLRCLSVEKEVLGLSTTGHLITIRALVETGSDDGWCLGSRGGGSLVRKSFIFEAVSEDSLRNLCEKIQGYIDSLGRPKKLFIFVNPFGGRKSASKIFNYDVKPILEDANIEYTMQETKYQLHAKEVSRSLDLTKYDGIICVSGDGILVEVMNGLLEREDWAAALKMPLGVIPAGTGNGMIKSLLDSVGEPCTAAHAILAAIRGHKRSLDVATIWQGETKFFSVLMLAWGLIADIDIESEKYRWMGSARISFYALKRILRLREYNGSISFVPAPGFEDVGEPSGSCVDSMEPLKPWQHGYQGPKVDLENLNWRKLDGPFVSVWLHNVPWGAENTMAAPDAKFGDGYLDLIVIRQCPKLTLISLMSKLNDGGHVKSPYVVYMKVKAVILEPGSRTDKSMKEGIIDSDGEVLARGKGTYKSNLKSWMVYKKLQVVVDQGLATLFTPV >KVH96919 pep supercontig:CcrdV1:scaffold_1035:4740:5690:1 gene:Ccrd_000987 transcript:KVH96919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MHQEDVSSFQLQLKKAIWILFLFRVGVHRTEYNNVKRQKINVNAIIPVNFAADTRLILEDISLMKSS >KVE53266 pep supercontig:CcrdV1:scaffold_10352:316:1552:1 gene:Ccrd_023958 transcript:KVE53266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGARRNIFSNHSKDKDEDLSLFREMHKHRVLSLLHPVFDDFESNGNYPLYAIPSSKKGPGITFLGETHKNDYDWLKTPPATPLFPSLEMETINNHELVIQRELPIIHPSISRFAAKPEEMEPKHRSIGADAGRSKTTNPKPKIPARSVTPNGRSSSLFTDQKKNIKTAPIPLISTVNRTGVTELAHKSNTTPSISKPLSERDGCFNFLGTNQSKSIQGMNPSSRTTQRNETPPNLKTDQSTSASRGRPINQKSSQNTIVAPPQKPKISSMRARRQSCSPRGRKMAPTREENMTTMAAQKGSSIMFQT >KVI04558 pep supercontig:CcrdV1:scaffold_1036:50740:52433:-1 gene:Ccrd_017125 transcript:KVI04558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MARTHLFLFCLLTFFTLSSATRPRHFNISTVATHWSTASATWYGSPDGAGSDGGSCGYGNAVSQAPFSSMVTGIGPSLYHAGRECGACYEVKCTNDPSCSRKPVRVVITDFCPGGVCASDHAHFDLSGTAFGAMAKPGQEKHLRDAGVLKIRFARVECDYSRTNIMFHVDQGSNPNYFATVIEFEEGDGDLAGVSLKEKNSNKWLKMVQSWGAVWKIDPGRELHPPFSLRLISQYSEKILVAKDVIPSGWTPGATYRSMVNYL >KVI04557 pep supercontig:CcrdV1:scaffold_1036:136266:142637:-1 gene:Ccrd_017126 transcript:KVI04557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein domain-containing protein DSLINLQPQIGRLWILYKVGLFSKASSVSRLLGSARLGQGILHKPFLCILQKGCLHCSNPGFMPSVVSPQWQEKASGFFSSSGTKLKEAGQSAGTFVGEVAKDAKGNVSGVAERVGSVVKSRWSFFQQPSTRQAMQERLVSAAATTSFFLRKGVSETKEKVVVGKVKVEEVAKKTAKKSKTLLTDIERWQKGVASTDVFGVPIEVTVQRQESTRPIPFLLIKSADYLVLSGLNSPDLFKSEGNKKAIQQLVSLYNQDLNAPLPEGVNPVDVAALVKCYLASLPQPLITSELHNEVRGARSSIPLMRNILKKLPTVNYMTLELITALLLRMDARSLAMEMAPIIMWQKGQRPETYKQFWNQQSRTQSNTNADPAQNYNEWDMLADESEDMDVSSAIPLDDGVAIDFSGIEVLQCLIEHHNAIFTDANETVWR >KVI04559 pep supercontig:CcrdV1:scaffold_1036:28738:35021:-1 gene:Ccrd_017124 transcript:KVI04559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MERCLKDVQQMAFVRIQSRLRQSLLLLNPFLTDRSPILRLQQLQQQQQLNFTKSNFATVRAIFTSSSAMVKAIRVHEHGGPEVLKWEDVDIGDPKDGEIRVRNKAIGLNFIDVYFRKGVYKAAAMPFTPGMEAVGVVTAVGPGLTGRQVGDVVAYAGNPMGAYSEEQILPAEKVVPVPSSIDPTVAASAMLKGMTAQYLVRRCFKVERGHTVLVHAAAGGVGSLLCQWANALGAIVIGTVSTKEKAMQAKEDGCHHVIISKEESFVERVAEITSDQGVEVVYDSVGKDTFEGSLACLKTRGLMVSFGQSSGAPDPIPISALAVKSLFLTRPSLMQYTGTRDEILEAAGEVFGNISAGILRVRVNHKYPLSQAAQAHLDLESRKTTGSVVLIPDGVEL >KVI04556 pep supercontig:CcrdV1:scaffold_1036:18518:20630:-1 gene:Ccrd_017123 transcript:KVI04556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQSLLEAMKEKEAMIGNPITRPALRVEARKRIGDTGLLDHLLKHVANKVAPGGQLRFRRSHNADGAMEYWLESADLLKIRKDAGVNDPFWTPPPGWKPGDSPIQDPITAKELNHLKQEILNIKREFLSKMQYEEEVARLRREIEELSWRNKQVQMSHQAPERVDTSPKQLVDLRNSLLPNSESDHVDYSREKFMKQMLVISDFLKKVEAWMEREGGKETSRSAELITVTATSSDGEKSSEQPKQDDIKELAEVETCGGKSAAEEKAAKIERLKSGFRLCRPQGTFLWPNMVMKNPTTTTSCTTSTSSQVVVHQVEVVPTPPSVNSSSYLHLNHQLASPPVKPVPERRSVSVTRISGEAKNPLQDESSTKDNNTTGLINLNDIPCTNPSSTSQPESETSCRHLEVMKSETWEAAYKKSHEQKRIITSSSSSCFSNTTPAWLAPDSAQQWL >KVE52590 pep supercontig:CcrdV1:scaffold_10363:9:389:1 gene:Ccrd_023959 transcript:KVE52590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRGNKLIYQEKPRIKTALELLRTSMALQHSLCQVTFPFFVLHGEADTVTDPQVSKALYNEASSDDKTIKLYPGMWHGLTAGETDQNIDIVFGFLA >KVE52591 pep supercontig:CcrdV1:scaffold_10363:539:3777:-1 gene:Ccrd_023960 transcript:KVE52591 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGSELTYRRHEPQTLSDSYSPKPNKPWLSVIRPIRYMLREQRLLFVLLGILLGAFFIAILPSSSHRQITYHDAYSVSQLAQIMNPPQPVYRPGFGSISSGGKVPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGNKDNVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKYNPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFKPNTEDDPHKRKPDITKAKDLLGWEPKVALRKGLPMMVSDFRQRIFGDHKDSSSSA >KVI04503 pep supercontig:CcrdV1:scaffold_1037:55007:112694:1 gene:Ccrd_017180 transcript:KVI04503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic FMR1-interacting MAVPVEEAIAALSTFSLEDDQPEVQGPALWVSSERGATISPIEYSDVHAYRLSLSEDTKALNQLNTLVQEGKEMVSVLYAYRSCVKALPQLPDSMKQSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERRINGPTVTHLWSMLKLLDVLIQLDHLKNAKASIPNDFSWYKRTFTQVSIQWQDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVYAAECLELDFALLFPERHVLLRVLPVLVVLATSSEKDSESLNKRVKMNRLITIFKNDPVIPAFPDLHLSPAAILKELSMYFPKFSAQTRLLSLPAPHELPPREVQEYPPCQRHYLIVNHIGGIRAEHDDFTIRFASAMNQLALLKSIDGADIEWSKEVKGSMYDMVVEGFQLLSRWSARIWEQCAWKFSRPCKDIVPSESIEMTTPISDYEKVVRFNYSADERKALVEIVSYIKSIGSMMQRCDTLVADALWETVHAEIQDFVQNTLAAMLRTTFRKKKDLCRILSDMRTLSADWMANTSKPESELQTSQHGGEESKGNFFYPRAVAPTAAQVHCLQFLIYEVVSGGNMRKPGGLFGNSSSEIPANDLKQLETFFYKLSFFLHILDYSVTVATLTDLGFLWFREFYLESSRVIQFPIDCSLPWMLVDHVLESQNGGLLESVLMPFDIYNDSAQHALVVLKQRFLYDEIEAEVDNCFDIFVSRLCEVIFTHYKSWAASELLDPSFLFTLDNGEKFSVRPMRFTALLNMKRVKLLGRTINLRSLIAERMNKLFRENLEFLFDRFESQDLCAIVELEKLLEILQMTHEFLSRDLTIDSFSIMLSEMMENISLVSYSSRLASQIWTEMQNDFLPNFILCNTTQRFVRSSKVPSAPVQKPSVPYAKPNFYCGTQELNSAHQSFARLHSGFFGLPHMFSIVRLLGSRSLPWLIRALLDHISTKSNGLPQLIQITTLEPMITGLQEALPKSIGLLPFDGGVTGCMRLVKELLKWQSKTELKTEILHGIKEVGSALYWMGLLDIVLREVDTTQFMQVASWLGLIPGADGQILQSQEEGSSPVVTLFKSATAAIVSSNPLNPTPFYTMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCTKWSAAPKTGFIDITTSKDFYLAWGGCTIIYLLGQQLHFELFDFSYQVLNIAEVDTAPSNKTPHFAQGWEVLLEAMKKARRLNNHVFSMLKARCPLEDKQACAIKQSGAPLHRIKFENTVSAFETLPQKCS >KVI06464 pep supercontig:CcrdV1:scaffold_1038:54882:56918:1 gene:Ccrd_015189 transcript:KVI06464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAFFNRAGNILRQSVSKHINHEMSAASPSIFQMIRCMSSSKVFVGGLAWATDDMSLRDAFSPYGEVHEARVIVDRETGRSRGFGFVTYADSEAASAAIQAMDQKQLSGRTVRVNYANDRPQGGGGYGGGGYGGGGGYGGGEGYGGGGGYGGGGSYGGGGSYGGGGGGYGGRGGGGYGGASVEGFGGESQQAAVGGDSFGSGGYGGNSYGSAGTESQSFAGDNADGGNSFAADNGPVEGSYRDNDEADDFAKRA >KVI06463 pep supercontig:CcrdV1:scaffold_1038:4025:5677:-1 gene:Ccrd_015186 transcript:KVI06463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MVSFFPWLPLLLSLAAAAHNHKPPFPNTFNVLSYGALPIPVTDNSKAFLRAWKDACECEGGGRVWIPRGTYLLGSVVFIGPCKGPVEFVIKGSLVASSDRSKLFVDHWIGFLYVDRLVVRGGGHLLGQGGAAWRYNDCATNPRCRPLPVTMRFDFVTNSKISRIRSIDSKNAHFNLFACQNVNMSRIQIDAPAWSPNTDGIRIGASSNITIENSIISTGDDCVSMIAGSEDIMISGVHCGPGHGFSIGSLGGSDNEEHVSRIIIRNSTLRETQNGLRIKTWAPSPPSLASDITFEDIVMENVNNPIFIDQQYCPQPPCNEKAQSNVQIRNVTFQKVHGTSSSKVAVKIQCSKHVPCEDVKLVNINLEYRGSEGPAASSCFNVKGKSYGLQLPSGCL >KVI06459 pep supercontig:CcrdV1:scaffold_1038:73068:79844:-1 gene:Ccrd_015191 transcript:KVI06459 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MQQGGTPDDRRRGGKDARGRREAVMGLPPHPLFSVSPIYSLLVSNEQINLMEGLISSRIIPIRAYGNQGNTPLFPTQTSSRRRVFYGPIRMMGPSTSSNSKAGSVKPLTASSSGRLDNTLPSKEVLDIWQKADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFKPSLSQVQDFLEKRPPRLSPGIKELVQKLKESGKTVYLISGGFRQMINPVASILGVPTENIFANQLLFKTSGEFSGFDANEPTSRSGGKPFAVELLRKAHGYKMVVMIGDGATDLEAQKPGCADLFICYGGVQLREAVSAKAGWLVFNFKDLINSLE >KVI06460 pep supercontig:CcrdV1:scaffold_1038:85388:87649:1 gene:Ccrd_015192 transcript:KVI06460 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MTSPEKRCLYEVLGLQRDCTADEIRSAYRKLALQRHPDKLIKSGVTEAEATASFQELVNAYEVLSDVRERAWYDSHRSQILFSGSNPSNSSSASAIVPDLFSFFSNSVFSGFSDKGKGFYKVYADVFDKIYHNELNFAKRLGLGNVVKEAPLMGNLDSPYAQVNAFYGYWLGFVTVMDFVWADEYDAMAGPSRKSRRLMEEENKKIRKKARREYNETVRGLAEFVKKRDKRVIDMQMKRNEETQRKKEEERAKKKEIAREKAERARAYEEPDWARVEEEMVEEVVEEEERKNELYCVACGKKFKSDKQWKNHEQSKKHKEKVAELREAFGEEDDDRRTEENDSDGKVSGDGDASVSVDEVEKLKEQFEGVEIQEEENDYDQESQSEEEIVDIADDGRGGLNGVIEELGMDDNDDETSVLEAMLSKSRKNLGSTRKPKPSKKVYVEAEAEEVDLMEYNNKKGRRKRGVRKDTAERADNKEHQRIDEPEISSKAELDNKCDDDSHIEKLPSSQPCAEDEKNGRGEDEPTVKIKVSKQEPAMNVANKKEGSSKAKVSSKGKKQKGTSRSSGHECDTCGIDFDSRNKLHKHLSDTGHAALKS >KVI06457 pep supercontig:CcrdV1:scaffold_1038:131989:137059:-1 gene:Ccrd_015197 transcript:KVI06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA biotin carboxyl carrier LSSPSLILPPPNPYKNPFTSYPPLYFVSVRPISLCKIVCNQGIRSAMASFTVNCPKTSPLFASHPNPKQNPKHRNSSVVLFQSDLNANRALIAGSSSLGLQDFSGFFSRYSLPNLEKGMKREEKSSSKRNQNDVMRVSAQLNEIALEKSSNSAPASDKLEESTKIPPPETSVPDAAAITAFMNQVAGLVQLVDSRDIMELELKQQNFEVLIRKKEALPLPPAAPMVMMQSQPQAMYQPQPPPPQFAPASSGPAPSASAPAALPAPAKPKSSHPPLKCPMAGTFYRAPAPGAPAFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTVVDILAEDGKPVSLDTPLLVIEP >KVI06454 pep supercontig:CcrdV1:scaffold_1038:107006:109595:-1 gene:Ccrd_015194 transcript:KVI06454 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MAALVVLMLIFSMVAHSSGTWCVCKKGGNDKVLQLAIDYACGNGADCTQTKQGGKCYNPDTVTDHCNYAVNSYFQAKGQATGSCDFNGAAMVTTTDPSSNGCTFPSSASGTTVSTSPGNTKTPGSTTVTTGGTTTSPYGNPTNTGVLGGGIGPGMGPSGAGINTDVSHGFKLHQETNSFVLLAIICSAVIMLLWG >KVI06455 pep supercontig:CcrdV1:scaffold_1038:111752:126169:-1 gene:Ccrd_015195 transcript:KVI06455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family, transmembrane-40 MVNDQDHNYHPVQGIPDITNIHPQHKISLSSSSFIQNKCHGLWYTATLSIPALLFVLYLGFHLKKNIKKLSHRRSHVMIAYYILLWFSAVLNLAWCSLQVWQCMPGKKVSWNMLSLLTALGTLCLEISLVAFLLQESYASGLEALAHTFMISGLIVGADIILKAIFVFGFHVPLFMDGETTHQGKWRLWTVDELLLTCTYGYILCVHYSKPYSIVVICYHTLYLPFVYVTFLKDFFQEEDLLLDNAYYSEMKDAGFFDSDWE >KVI06458 pep supercontig:CcrdV1:scaffold_1038:58621:62014:-1 gene:Ccrd_015190 transcript:KVI06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWMVAVAAGASYIAQHFKKIKQAGGHITSESSIEGSFGVKPDSPKPPSDKKWPFRRLAKRENLRKDDSEVRSEVSLGISSPEMASTSEFDGEKGVISGNCEFSSVFSASSLFPGFIGSDGVLGDIEGVRVSERMGDLSRRSSTPRSRMVLRSRRAIRPLSSLENCVMAQLYTEHVEMKEYMSSLFASPSTQTVRPFLVTDGSKVINRASGDAFVVQYSYVKEKLQTYSEENNSIFGAPLLPNISSLEVMGKDEADTNNTTAIMKHFNLPRGSLDATLLLCLGMSFGIISSFLANRREVEKVNGLLKQTESLVQDLEEELEMKDSLIMQELTIEDHKLQRMDGCSSNDGSLHSVSHERYWEQSVNSHNKESVIQKAKDDSFSKIEAELEAELEMLELSMTSSNLERRISNLVELRADTLDTRSNTDRDGTSTTHSANYTVSPRELSLRLHEVLQSQLEERIRELEAEIQSKNQKSWKDLSSSDEKNLSVDEEAVVLNLSGEALDAYNEACNEFAKFDESEEEEEEESTPHIHIHHQREREREREREREEGGEDDDENDFEEDEMEKLLIKHIVEKARQGSPVVLNAQRALFSE >KVI06461 pep supercontig:CcrdV1:scaffold_1038:88353:96886:-1 gene:Ccrd_015193 transcript:KVI06461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase domain-containing protein MAFPSGSSNGSGTQVASLVSGEQRRVGLVYDERMCNHSTPRGEDHPENPDRIRAIWNKLVSAGIPQRCVVFNAKEVKDTYIAEVHTNNHINLIKTISSKKLAPQRNRVAAKYNSIYFNEGSSEAAYLAAGSVLEAAEKVAKGELNSAFAIVRPPGHHAEENQPMGFCLYNNIAIATSFLLNQKELDIKKILIVDWDVHHGNGTQKMFWKDSRVLFFSVHRHEFGSFYPAGDDGHYIMMGEGPGAGYNINVPWENGQCGDADYNAVWDYILIPVAREFNPDIILISAGFDAAIGDPLGGCRITPHGYSIMLKKLMEFSKGKIVMALEGGYNLNSLANSVLACVEMLLEDKPIVESFEVYPFESTWSVIKAVREELSAFWPVLAEKLPEKLPSRVTPQVQIYSSQSDDEYDDGPNTLSEDLQEDVTIPLSKLKVSDDAHDAGQAAAASPAWRSELSKIDVWYASFGSNMSESRFRCYIEGGQAEGMRRPCKGAVDKSQPKEVLWKIVPHRLFFGRESTITWGPGGVAFLHPASSDQEKTYMCLYRITYVIFSVSFVYLSHDGFDLSCSLEQFNDVLLQENVLTDTSSPFFDLNALDSIENEKNIPLEALKDGWYHNVVYLGKEKDIPILTMTCTVGHVEDFKSGKTPICAPAKEYADTLVRGLVLGKQLSEDEATVYIQEASTKPL >KVI06462 pep supercontig:CcrdV1:scaffold_1038:143238:146000:1 gene:Ccrd_015198 transcript:KVI06462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e METARTVKDVSPHEFVKAYAAHLKRSGKMELPHWTDIVKTGTFKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFQRIYGGSKRNGSAPPHFCKSSGGVARHILQQLQTMNIVDFDAKGGRKITSNGRRDLDQVAGRIVVVSP >KVI06465 pep supercontig:CcrdV1:scaffold_1038:41857:42218:-1 gene:Ccrd_015188 transcript:KVI06465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTKWGVQSDYGPNLRPTSILHSLGEEIIKIITLVTICRFLVVILVLVLNTYSSSSSSINTIATIL >KVI06456 pep supercontig:CcrdV1:scaffold_1038:126686:129882:-1 gene:Ccrd_015196 transcript:KVI06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MNPDKFTHKTNEALASGHELAMDAGHAQFTPLHIAAALISDRNGIFRQAMNNAGGEEAGNSAERVFNQALKKLPSQSPAPDEVPASTSLIKTIRRAQSLQKSRGDTHLAVDQLILGLLEDSQIGVLLKEAGVGTSRVKGEVEKLRGKEGKKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRILRGDVPSNLAEVRVIALDMGALIAGAKYRGEFEERLKAVLKEVEDAQGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVLVAEPSVPDTVSILRGLKERYEGHHGVRILDRALVVAAQLSSRYITARFLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVSFFKHIIVSTGLKSTLISVKKELDNLRDKLQPLMMKYKKEKERIDEIRRLKQKREELLVALQEAERRYDLARAADLKFGAVQEVETAIARLEGTTDENVMLTETVGPDQIAEVVSRWTGIPVTRLGTNEKERLIGLADRLHVRVVGQDQAVGAVSEAVLRSRAGLGRAQQPTGSFLFLGPTGVGKTELAKALAEQLFDDEKLMIRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHQSVFNTLLQMLDDGRLTDGQGRTVDFTNTVIIMTSNLGAEYLLKGLSGKTTMDSAREMVMQEVRRHFKPELLNRLDEIVVFDPLSHEQLRKVARLQLKDVAIRLAERGVALGVTEAALDVILNESYDPVYGARPIRRWLERRVVTELSKMLIREEIDENSTVYIDAGANGEELSYRTEKNGGVVNAATGQKSDILIQVPANGEARSDAAQAVKRMKIEEVDDDDEMDE >KVH96092 pep supercontig:CcrdV1:scaffold_10384:340:1211:-1 gene:Ccrd_001824 transcript:KVH96092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase, regulatory domain-containing protein SKTPIEAPRRRLRPPRRRLRPPRRRLKPPTRSIARYDYSIAFRVQEKPSSKMEIQPRETKLEARVAKFISLICNVSMMKQQMMEIGLFPIPLLS >KVE51521 pep supercontig:CcrdV1:scaffold_10385:154:2388:1 gene:Ccrd_023963 transcript:KVE51521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLQLLKTLNLRSSKSLSAIRNIYRLPNIETLILSHCYKLVDVCETIGDLMNLALLDMSECRKLFRKRASTSGRGSPQQISFSFPRSLVWLSLRDCTLDVTEYFSPSFSIQPKLQYLDLGRGWFESLPSYNHLENLRVLNLTLSHRLKWLLCLPSALAELYVYDCYSLEKITFESHRFTLHEFGYENCAQLSEVEDFFKLVPVAKLDETDLGHLKWLKKYQHHEVFLVGDYHIIENKRQKIQMLYEFGILSTCLPDIKDPNITPEYISESTSLSFEVPSSPVDRMLIGLNLTFKFTISSGQECAWFAKIRTNNGVDLMYNPKVFGDPGDEGAGIWLSYWPIGSKLLVGDEVNVSVIVMSGFLKVHECGASLVYADADETMKINMPWIETLGGDLSAFKLMTGAYYLCRRDFFMLMEVGILTPGWLSILVGDTIDDRDVRGWRKTGRPKPPFNPSQTELYPSFNPPRIDSPRPSLTESEISFLEFEMMQRRIERLRFGAETRSWGRGAQQNKF >KVI12049 pep supercontig:CcrdV1:scaffold_1039:134757:137192:1 gene:Ccrd_009536 transcript:KVI12049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTNGSQSTENSDFSSNNITETLRYAVVTGANKGIGFETVRQLAASGVXVLLTARNEKRGTEAVSLLHGLGLSNVLYHQLDVQDPQSIEALANFIQTQFGRLDILVNNAGVSGVLVDEDGLRALNIDPASWLSGRAMNIVQGVIKTTYDKAKECLDTNYYGVKNVIRALLPLLQRSTXGARIVNVSSLQGELWRIPNEQVRKELGDVEYLSEKKIDGFVEKFLEDLSNDELEANGWSKMLPAYSVSKAMLNAYTRVLAKTYPDMCINCVHPGYVDTDSNWHTGTMMLEEGAQGSVMLALLPPGGPSGCYFDRTQVGEF >KVI12046 pep supercontig:CcrdV1:scaffold_1039:70224:71366:1 gene:Ccrd_009530 transcript:KVI12046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MAMAGDQNLEESYFEGFKRSPATKPPFTLGDVKKAIPPHCFQRPMIKSFSYLFRDIISVAILYHLATTYIPNLPHPLPYFAWPLYWCLQGFMFMAIWVLGHECGHHAFSDYSWLDDTVGFVIHSFVFTPFFSWKLSHRRHHLNTGSLERDEVYVPKRKSKRGSVALLFNNTMGLILTLAIKLSLGWYIYLSINAAGRPYDRFASHYDPQSPIFSDNERVLIVLSDIGLLSMIYMLYTWATIQGFGWVFCVYGGPLMVMNAFLVTVTYLQHTHLSLPRFDDSGWNWINGALSTVDRDFGFLNKVFHNVTDTHVVHHLFSYLPHYHAMEATKAMVPILGEYYQFDKTPFMLALWRESKKCVYVEPDEDGEKNKGIYWYNYKY >KVI12047 pep supercontig:CcrdV1:scaffold_1039:102491:106493:1 gene:Ccrd_009533 transcript:KVI12047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase, thermoresistant glucokinase MGSNHQGIAVVIMGVSGAGKSTVGEMLGKALNCSYVDADDFHPQSNKGKETYSDISDKTEKMKNGIPLSDEDRIPWLERLRSIVNASFVSGKTVILGCSALQKHYRDILRSADPNYEGSRGHICAVKFVLLEVGVKVLMDRVKKRAAEGKHFMPPQLLQSQLDLLQIDASEGIFTVPPIKLDVHSLKLLSASPTTLLPARIFKKY >KVI12051 pep supercontig:CcrdV1:scaffold_1039:107964:108575:1 gene:Ccrd_009534 transcript:KVI12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-25/LEA-D113 MQSAKNAAASVKESAANMAASAKAGMDKTKATMQEKGERMTAHDPMQKEMATEKKEARKNQAEYEKQVARDQNAVQRQATEATTGTGTGTGTHSYSTTGATGYPTGGHQMSALPGHGTGEPAGHVEEGVVGSHPIGTATGTGTTLAGHNPKIGGGAGGYGTGGAYR >KVI12048 pep supercontig:CcrdV1:scaffold_1039:100862:101332:1 gene:Ccrd_009532 transcript:KVI12048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MSPIFTEREREQVLLSDLGLIAVAYAVRQAVLANGAAWVMCIYGVPVLAINAFFVLFTYLHHTHLSMPHYDSTESDWIKGALSTIDRDFGFLNRVFHHVTHTHVLHHLISYIPHIMQRRQGMPSNQSWVSIIRLTGHPSSKPCGERLWNAYISSQM >KVI12052 pep supercontig:CcrdV1:scaffold_1039:14602:21188:1 gene:Ccrd_009529 transcript:KVI12052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 NPQFYIIPIRRVLNSKITELSLRKQIASHRFSRTATERSNSSVEVVNRAPSSKPPFTVGDIKKAIPPHCFNRSLIRSSSYLFMDLFLSSLFYYVAAVYIPRLPVSLSYVAWPVYWILQGSVQMGLWVIGHECGHQAFSDYPWLNDTIGYLLHTGMLAPYFSWKYSHRRHHSNTGSLEHDESFVPKKKSSLNSIARLLNTPPGRLFRLAILCTVGWLLYICFNVSGRKYEKFANHFDPKSPIYNDRERFQILLTDIGLVVTSYGLYKLALAQGFTWLITIYFAPLVIVYGFLVVITWLHHTHRSLPHYDSTEWNWLRGALSTMDRDYGVFNTVLHHITDTHVAHHLFFTIPHYHAMEATKAIKPILGEYYQFDDTPIIKAMWREATECFFVEADEGEDKSKGMGSGGRATERDNSGTEVVKRAPSSKPPFTLGDIKKAIPPHCFNRSLIKSSSYLFTDLFLSFFFYYVAAKYIVHLPTPLSYVAWPVYWILQGSVQMGLWVIGHECGHQAFSDYQWLNDTIGYFLHTGMLAPYFSWKYSHRRHHSNTASLENDESFVPKKKSSLNSIARLLNTPPGRLFRLTILCTIGWLLYICFNVSGRKYEKFANHFDPKSPIYSERERLQILLTDVGLLVASYGVYKLALAQGFTWLVLVYFAPLVIVYGFLVVITWLHHTHRSLPHYDSTEWNWLRGALSTMDRDYGVFNTVLHHITDTHVAHHLFFTIPHYHAMEATKAIKPILGEYYQFDDTPIFKAMWREATECFFVEADDGDDNKGVYWFNNKI >KVI12050 pep supercontig:CcrdV1:scaffold_1039:112552:121274:-1 gene:Ccrd_009535 transcript:KVI12050 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MDGCPFGENCHFLHYFPGGYNAVAQVMNLLPASAVRTPSAPSSIQNSSATPATKSKMCTKYNTAEGCKFGDKCHFAHGAWELGKPIVSSQEPIPSCFGNHIEPPPRPAPGVAASFGASATAKISVDASLAGAIIGKGGVNSKQICRQTGVKLAIRDHESDANLRNIELEGTFEQIKEASGMVRELIVSVSSTTTAGARVRPAAASGPPMSNYKTKLCENFAKGCCTFGERCHFAHGNGELRKSGVM >KVI12045 pep supercontig:CcrdV1:scaffold_1039:79643:80800:1 gene:Ccrd_009531 transcript:KVI12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRSNPRDLDVKKSGAEGIKRAPVSKPPFSLADIKKAIPPHCFERSLIRSFSYLIYDLTAVSIFYYLATTYIPQLPHPLSYVAWPVYWFLQGCVFMGIWLIAHECGHHAFSDHVWLEDSVGFVLHSCLLTPYFSWKISHRRHHANTGSLEHDEVYVPKTRSKLGASAFYLDNPIGRTLTLLVKLTLGWYIYLAINAAGRPYEKFASHYDPRSEMFSDSERVLILMSDIGLISFSFLLYKVAMIQGFAWVFCVYGGALMVMNAFLVTITYLHHTHPSLPHYDDSEWNWMKGAFATVDRDYGVLNKVFHNITDTHVLHHLFSYIPHYHAMEATKAIRPVLGEFYQIDRTPFFVALWRESKNCLFIEADESDEKNKGIYWYRGKY >KVE51114 pep supercontig:CcrdV1:scaffold_10391:2832:3233:1 gene:Ccrd_023964 transcript:KVE51114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPSKGRKKIQLKRIENDKERAVTLSKRRNGIFKKVNELATLCRIQIAIILFSISGKPLSFGSPNVQSVVNKFLNPNQVDQQPNDFINRAINSNHEPKLQDFNKEFDEVNEHLANEKKQGQMLDEYIKRLLG >KVE50779 pep supercontig:CcrdV1:scaffold_10397:1025:2831:1 gene:Ccrd_023965 transcript:KVE50779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICEVQQATQEAEEALTQGLEALNQSLSDTIASDALSSPTNMLTTWGEGDTSLPNPRIVSNFKEVNQ >KVI12289 pep supercontig:CcrdV1:scaffold_104:200980:201637:-1 gene:Ccrd_009307 transcript:KVI12289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILILINLIFSTITMLSNLLSHLIFNTTAYLLVIAIQGLRVPGEALQSAMEQIADLIRTCIGYVLEVVMEVISEIVGLVFDLVKEGVFGSVLATGAAAVGMVEKMKSGFDGLTEEIPAVVEGVVEMVTTVVSDLWSNYMNAQNYVVENA >KVI12266 pep supercontig:CcrdV1:scaffold_104:297760:307367:1 gene:Ccrd_009316 transcript:KVI12266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MRMEALQQRVESWIRDQRTKLLKVTWPQQWPVAMKWPWIKGREQRKRMNEEYQRRKKQLHNLCIAVKADSLSDLQDILCCMVLSECVYKRPDAEVVQAVNKFKADFDGQVVSLERIQPSSDHVPHRYLLAETGDTLFASFIGTKQYKDMMADANILQGAIFHDDAIEDTDEILTVEGQKKTSDDAPKTLGAKPQQGVTSTKPAAHRGFMSRAKGIPALELYKLAQKKKRKLVLCGHSLGGAVAVLSTLAILRVIAAASSSKEHEKVHVKCITFSQPPVGNAALRDYVSEKGWQQYFKTYCIPEDLVPRILSPAYFHHYNAHPYSPPIDAKKSSLSTSKQDIISERQKTKVKENEREQLVLGLGPVHNSFWRLSKLVPIDAVRRQLNKYTGNKFGSSVNSAADSNVTSSIEDTEDAPQSLEIEEDSDGISLKPFPTADKGDPGETINGNLSGKSKLSDKPKVWHRVPALPSYVPFGQLYLLGNSSVESLSGAEYSKLTSVKSVIAELRERLQSHSMRSYRSRFQRIYNDCMRDSSSSFLGMDQQQQFPQLQKWLGISLANTVELGHIVESPIICTATSVVPLGWNGVPGEKNVERIKVDITGIGLHLCTLVQARVNGNWCSTTVEAFPSGATNHLDHGVESDIQKIRVLVGRPLRRPPKHQITTDSLISAFPSIDLNPVDQSRSQNLQAFNLGKFICPEGLSDFFIFCTTDFSTISKEVHVRTRRVRLVGLEGAGKTSLLKAILDEGRVNVSTNVENFPMDVDVQEGTAGGLCFTDSAGVNLQDLNKEAARFKDELWMGVRDLGTKTDLIVLVHNLSHKIPWYTQSNASTRQPALSFLLDEAKALGIPWVLAITNKFSVSAHQQKPAVEAVLQAYQATPSTTEVINSCPYVMPSAANIFASVGEGSTDNDASTGPHKLIAAPINLLRRSFQKKSTILPVEGVGALCQLVHRVLRNHEEVALQELAKDRLMVELAKERESAVDASQDAMAKANSMTAAAVGASVGAGVGLVMAIVMGAASALRKP >KVI12251 pep supercontig:CcrdV1:scaffold_104:364087:368342:-1 gene:Ccrd_009322 transcript:KVI12251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVTLKRIKKILDAYKRASSGREQRLRVRHTHNLRFDTHSLREQRLRVRPRAEASCSAESRGFEFESSRLPSVRTATSTQPSIGSTQPSVRTATSRVRDSLAIHGHSLRFTQISLLGAMAKVDSNYYPETLHRMFIVNAGPTFKKCLWPAAQKFLDAKTIAKIQDVEIRPTQMDVWAKVAIRSLASEQLDVLVVSMNGQWSNMLVNGGTERIALMFRNSFLSLREKSLQASILRSIAAESATKRAASAIHVTCCTPTCTIGLLVFPSPSTLELITNPLMFDNGLALESFKVSSIQNLPPFLIFIVPSEMGIPLVIPELVTSSNL >KVI12273 pep supercontig:CcrdV1:scaffold_104:328366:329602:-1 gene:Ccrd_009319 transcript:KVI12273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVEKRQIGQEMGLKEEDKNGVQWDLMETKDGVCRYDISESDSEDLDESSSSSETIEDASSSSSSLSSYGPLFELSELMAELPIKRGISKFYQGKSESFASLTSVKSIEDLAKKGKYSCRSRRSMKSSYGGGQHQRLSPKATIGKNKKASMFSSLGKMSSLMAN >KVI12279 pep supercontig:CcrdV1:scaffold_104:497189:502969:-1 gene:Ccrd_009335 transcript:KVI12279 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic MLHKSFKPAKCKTSLKLAISRIKLMKNKKGVQINQMKRDLSQLLDTGQDRTARIRVEHVIREEKMVAAYELIEIYCELIEAVTSVIFAAPRCSDISELSDIRKHFTRKYGKEFISAATELRPDCGVSRLLVEKLSAVAPDLQTKIKVLSDVAKEHNIKWDPTSFEEKESKPTSDLLNGPSSFEKIGMTTVDPPKTQASNSDVVHSRKEKQDAPIDFAQQNRKYTLDTRNTTSTDNVGVETSSAATHADMRENWNMEFKDATSAAQAAAESAERAAMAARAAAQFSRDEKIVKQYPTGSHVSDIRDEASRVSAPSGFTGEHHSRDLNESSSHDRKPKMLNQKTDRSEHNTSKKATERFAGDSHGGNSRSSMPTSFRSNNDSIEDEKLVNNFHMADGYFEESLNQDQDPDPDPPHPKMTTSEGFEESKAELASGKKDSSESEDINCFAEESTKTQPTHGSSHSTSDELEVPDHQKFTKRSVVGNPFAAVGERNLFAKTNSNLDSGRDDEAISDDDGGGPRFDTGFEDDEVSPTRSLENDQMWNKSNTIEQVSSQSPLFSESVSFPDHSVKYTAPLETDDHILANFDRSDGPDSESETDLFSTNPEPLSLESKQDFNSRKNRIELNDLVEKESSFFNPEDEEKDQESVDTFEGGKNDSLNQSNDLDARKELNFGTLTGGLRNKGGLRYPPYTKSPVSNASDLYKKSVEESSVTGGETSGLDSVMNKMEDKRPNFCVSISSSDSDSNDYKLPVQSSEKASKQANTRSRYPSSAAFFDDDEGTDSEKEEMVLKQASSRVRLGSGVSRRTRGPPAPKTVISSSSDYKKPSQNSYSDDDLDEPRSYARRFEEVAKQIPESRQTFIPARKTTEIGSTAAQERTSNRSYGDEIPSVNLKEEVVETIREHKIPTRKPARSINTVESGKTKITRGSPSVPYSKSHVDPVHEPETVVSGSVPFSDEPSSPRTSGESGGTTSLVKKAIHVHPKLPDYDSIAARLESLRTSRP >KVI12281 pep supercontig:CcrdV1:scaffold_104:508054:514885:-1 gene:Ccrd_009337 transcript:KVI12281 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit G, mitochondrial METFSMGKLKCTPHPEGPIPNRNESFWKELDHMKNLWKNTQELQVEQVGIVALFGIECFAWFCGGEIVGRGFTITGYHV >KVI12294 pep supercontig:CcrdV1:scaffold_104:213857:219733:-1 gene:Ccrd_009309 transcript:KVI12294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQQHYEFQEWWNKQRENHSSELLFNDKSETPNFLAVELGSAVGNHDLRVDKERTRSARQIKHVWLLKLIQIASSLAYVTTGFVSLVKTVNRRISADSPSERLLYRFETRFYRVIKVFLVIVVLLLVFELLAYSRGWHFSPPTVRRAADLVEYVYAHWLEIRANYLAPPLQNLTNVCILLFLVQSVDRLLLVLGCFWIKFKRIKPVAEFEYSSDSGDVNVEDYPMVLVQIPMCNEREVYQQSISAICVQDWPRERMLVQILDDSDDTTVQALIKVEVSKWQHRGVHIVYRHRLVRTGYKAGNLKSAMNCDYVKDYEFVAIFDADFQPAPDFLKKTLPHFKGNDELALVQTRWCFVNKDENLLTRLQNINLTFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIACMCELPESYAAYKKQQHRWHSGPMQLFRLCFMDIIRSKVSFLKKANLIFLFFLLRKLVLPFYSFTLFCIILPLTMFLPEAQLPAWVVCYIPGIISVLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFKLGSSYEWVVTKKLGRSSESDLLACAETEEETPIHRSSLESSLSDSRKKRKNCLYRKELALAFILLTASLRSLLSAQGIHFYFLLFQGVTFLVVGLDLIGEQVS >KVI12263 pep supercontig:CcrdV1:scaffold_104:66877:72942:-1 gene:Ccrd_009294 transcript:KVI12263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MLSTAALTPATTAGCNVVSRDLTRLVTYSQSFDSTSIRRRNSVLLRSPSSRRVSPVVAAVTGHQPNGLAVGVKEVEEEKEKSLADRLRLGSLTEDGLSYKERFIVRCYEVGVNKTATVETIANLLQEVGGNHAQSVGFSTDGFATTTTMRKLHLIWVTARMHIEIYRYPAWIGTRRDWILKDYANGEVIGRATSKWVMMNEDTRRLQKVSDDVRDEYLIFCPKTLRADLDMNKHVNNVTYIGWVLESIPQEIIDSHELQAITLDYRRECQHDDIVDSLTTPEPLNDSSNGSADGENLSKFLHLLRSSGDGLEINRGRTEWRKKPLKR >KVI12257 pep supercontig:CcrdV1:scaffold_104:45208:47001:1 gene:Ccrd_009292 transcript:KVI12257 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 family MVREQSIESFYTKLRESALASASNTPLLIFPSTSDVDSLCALKIIGHVLESDSVRYACYPVSSFKEIHKYAGSGLSSSADDPITILLINWGCHRDLRKILEIGPVARVFVVDSHRPIHLHNLNHQNDRVVVLYTGDDETQADLSYDFDVSALAAASDLNSDDEVEEDESGSEEEYESDVDEDRDGNGNRKRRRVSEDGETDPLKLFRKLKKEYYHMGTFHGKPSGCLMYELSHFLRKNTNDLLWLACVALTDQFVHERLTNERYQAGVMELEQHINSSGNLDAVTSVTLKDGTKVCAPDASRITYEDEPRLMLLQEWNLFDSMLCSSYMATKLKTWSDNGTKKLMLLLAQMGFALDESKQKFRFMSVEIKRNMKYMFDHFLPDYGLNDFYYRGFLLLHGYSSKVSAADVVYGVTALLESSIDSNGSCASKQFGEAYDALSLRKLEKLETGMKHAIKIQRAILRQGSTAITRKGSIRSGSKFRWVKLEDSADAKLLGYPQALTKFGYFLMDALREKGAKMKPLICVCYTQGREKVLIVGVCGKPRLGAVQGNAFGIAFRNTAEETGAEFFHELFESSWIVLDAVAVNSFMIRLTEKLL >KVI12250 pep supercontig:CcrdV1:scaffold_104:384670:385722:-1 gene:Ccrd_009325 transcript:KVI12250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MVGDGNQHGMMVHVPPWTTMMDDPVAGISPFSPRSPNAINSLTSMEDYAYFLRSDAALRRYLEEDLDGEGSGSGCTDFDITSEAYACDSFRMYEFKVRKCSRGRSHDWTDCPYAHPGEKARRRDPRKYSYSGTACPEFRKGNCKKGDGCEFAHGVFECWLHPHRYRTQPCKDGVNCRRRVCFFAHTPEQLRVVSPHTDSYDGSSLKTLPAFFSSPSETSTPPSDSPPMSPMDSSLSRSLGSVSVSDMLSSLRRLQLNKTPSMVTPWNLQMGRSLASPRSGFYSLPSTPTRPVTRGVGFSEIWEEEPAMERVESGRDLRAKMFEKLSKENGVDSPGEGPNPDVGWISELVK >KVI12246 pep supercontig:CcrdV1:scaffold_104:445633:451280:1 gene:Ccrd_009329 transcript:KVI12246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloenzyme, LuxS/M16 peptidase-like protein MYRAASSRIRSLKGHVGNRTLARFASSSAVAAKSSSGGLFSWFTGGSSSSLPPLDFPLKGIELPSSLPDHVETGKTKITTLPNGVRIASETSANPAASIGLYVNSGSMYETPVSFGATHLLERMAFKSTTNRSHLRVVREVEAIGGNVTASASREQMGYTYDALKTYVPQMVELLVDSVRNQAFLDWEVTEQIQKVKAEIAEYANNSETLLLEAIHSAGYSGALANALLASEGQLNSLNSTVLEEFVAANYTAPRIVLAASGVEHEELLKYAEPLLSDLPGGAHVEEPKSVYVGGDHRVMADTGRTSFALAFELPGGWLKEKDAMTLTVLQMLMGGGGSFSAGGPGKGMYSRLCKLLDIAKLLISYFCGFEIFAYAHNTTLYLMIVQLRVECLVPTLILDSAYCCEQISESIDVAAKELIAVATNGEVNQVQLDRAKQSTKSAILMNLESRMVASEDIGRQILTYGERKPVEFFLKAVDEVSAKDIASIAQKLLSSPLTMATHGDVSNVPTYDSVSSKFH >KVI12247 pep supercontig:CcrdV1:scaffold_104:407103:410077:1 gene:Ccrd_009326 transcript:KVI12247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol oxygenase MTVSFEQPDSQSQVVDTKNQCELVLDGGFMVPNNSGGFDAPDINAFGHTFRDYEIESERQKQVEEFYKMNHINQTYDFVKRMREEYKKLDKLEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQTAEAIRKDYPNEDWLHLTALIHDLGKVLLLPKFGELPQWAVVGDTHPLGCAFDESIVHNKYFKENPDSNNPAYQTKNGIYPQGCGLDNVMISWGHDDYMYLVSLHRAGAYKHLMNEEDVENLKWLQIFNKYDLYSKSKVRVDVEEVKPYYLSLIEKYFPEKLRW >KVI12253 pep supercontig:CcrdV1:scaffold_104:338105:344622:-1 gene:Ccrd_009320 transcript:KVI12253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin MNDFNEPGSLAPTGLFLGGTKYMVIQGEAGAVIRGKKGPGGVTIKKTAMSLIVGIYTEPMIPGQCNMIIEKLGDYLLDQGF >KVI12261 pep supercontig:CcrdV1:scaffold_104:88459:92539:-1 gene:Ccrd_009296 transcript:KVI12261 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit N/Rpb10 MIIPVRCFTCGKVIGNKWDTYLDLLQSDYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSDTS >KVI12268 pep supercontig:CcrdV1:scaffold_104:278673:280374:-1 gene:Ccrd_009314 transcript:KVI12268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e MAVPKLDKKIVKKRVKKFKRPHSDWKICVKVYFDFTLLQENWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNAKELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >KVI12249 pep supercontig:CcrdV1:scaffold_104:373079:379613:-1 gene:Ccrd_009324 transcript:KVI12249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase MLAIYTIQPTPKCSDGFITKQNLAGIPSSFLKLANKTELMEYMVDIRRTLHQNSELGYEELETSKLIRTELDKIGIDYRYPVAVTGVVGFMGTGKPPFVAIRADMDALAMQVPGKMHACGHDAHVSMLLGAAKMLQQHRTIVLVFQPAEEGGGGAKKMLDEGILKNVDAIFGLHVSVRFPIGTVATRPGPLLAASGFFEAVISGKGGHAATPHHAIDPVVAASNVIISLPSLVVTVAGFEGGGASNIIPISAGVHRCNASVDFNESEKPSYPPMVNHEHLHEHFQRVASDMLGATNIVEARPLMGAEDFSFFAEAIPGYFFSVWMQNENQEKLVSGHSPYFTVNEEVLPYGAALVTRYLLEHQIETDTSFHDEF >KVI12276 pep supercontig:CcrdV1:scaffold_104:452523:456421:-1 gene:Ccrd_009330 transcript:KVI12276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MKIEDVDDSSDVKIFERAVEYDSRRQIVAVDAKRALVGAGARILFYPTLLYNVFRNKIQSEFRWWDQVDQFLLLGAVPFPKDVPRLKQLDVGGVITLNEPYETLVPTSLYRAYDIDHLVIPTRDYLFAPSFVDIDRAVNFIHKNASHGRTTYVHCKAGRGRSTTIVLCYLVEYKHMTPASALEYVRSRRPRAVQEYKQWRLAASSSRSASGDAVLITKADLEGYHSPSSQVALIPRSRPMIAKLSCLFASLKVSGITTAPVSLKLTETPAC >KVI12248 pep supercontig:CcrdV1:scaffold_104:415684:418469:-1 gene:Ccrd_009327 transcript:KVI12248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex, sigma subunit MGIRFVLMVNKQGQTRLAQYYEYLTLEERRALEGEIVRKCLARNEQQCSFVEHRTYKIVYRRYASLFFLVGVDNEEVSRAHTFTIMCPNELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKANILAPIQLMDKAS >KVI12264 pep supercontig:CcrdV1:scaffold_104:76581:79888:-1 gene:Ccrd_009295 transcript:KVI12264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin-like cysteine/serine peptidase domain-containing protein MGVLGESWCFCKGGSKSERTKASIYSSKGPAMARISTGGLLSGTGFLIHRNLLLTTHAILPSVAAVEAAEIRLQNGVGASLFPHRFFITSSILDLTIVGLDSMDGDSNVPIQHPHYLKTCSKPGLNLGSIVYILGYTEKNELTVGEGKVVIATDNLIKVCTDGVTWSPGSAGFDAHGNISFMVCDPMKLATSPNTKSSSTSSSSSSSWKKDLPMQFGIPIPVICDWLNQNWEGNLDDLVNKPKLPLIRLMSTGQRSEQSCTSFSMRQVFKTTEQENDPVTPSSANVISRSRDQPGPSSSPVTNSCQDETPSHDQPAIGAAHVQGIPTPEIYESPKLTSVPFRKNQTTQIQLLDINFPPKIGKPSGPTTPVKNQSNAIVKAEIASMGSVNRTEGEIRSCSSSSQVSEGQNGYISEGENTMYSAETAESRNYPSPKEGRFHQQQVGRSQSCVNHNRWGPVQSNPMARGRSLEKQRSYIQGRKVFSQGATSQRSNDYYNPTVSSIMKKRNNLEQQWPNRPRRPSPVRSSPKWAF >KVI12290 pep supercontig:CcrdV1:scaffold_104:145224:145859:-1 gene:Ccrd_009301 transcript:KVI12290 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MNSTPFPSFTQSLSNSSNKPLISSFHSHPLLKFNNTHFKIAQIPIRPSTKASASAANGSYIPATDKETLYDLLGISETGTISEIKKAYKQMALKYHPDVSPPDRTEEYTVRFIQVREAYETLSDPEARSMYDMSVANGLPSAFSGKRGTRSDPGSDAKRRWKETWEGQISELMRRSRVKPQKVDTAGGMSWAARIRKQRSTSCVNGSDQDQ >KVI12267 pep supercontig:CcrdV1:scaffold_104:289846:292344:-1 gene:Ccrd_009315 transcript:KVI12267 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MHTYHMLHFSPSMASFFFFFITFFALLTPHFSEAQLTCTTQKFTNNKLYDHCNDLPTLNSYLHWSLDPSENTLSIAFLAPPATDAGWISWAINPSGEGMAGAQALLAFKASNGSMVVKTYNISSYSSIVEGKLAFDVKDKRAEFSGGLMRIFTTIELPEKGMTTVNQVWQVGSSVTGGGFPAKHGFQAANLAAKGRLDLLSGQNTGVIVARYLRTFPSADPTWFYVHAFCQVSAYTIGVAGWGTGLKLGVESKGVTYNGHRNIGITLFCLATIQIFALFLRPNKKHKLRFYWNIYHHGVGYAILVLGILNVFKGLDILSPESKWRSTYVIVISALGVIALLLEVITWIVVLKKKCGGKSTKPYDNKQQPLAP >KVI12275 pep supercontig:CcrdV1:scaffold_104:466285:467442:1 gene:Ccrd_009331 transcript:KVI12275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEGKCKLFLLSLLFLVAVDGGRGGGRGGANARNLLTPLSSSSNLNNAYIALQAWKSAMKDDPNGILSSWVGSNVCDYKGVFCSEDSSETVVAGIDLNHGGLEGILVKELSLLTDMSLLHLNSNRFTGTIPDGLRDLFALSELDLSNNQLSGSFPTTILQLPNLVYLDLRFNLFSGPIPELLFTKNLDAILLNNNKFEGRIPQSLGNSPASVINLANNKLTGDIPISLGYSSSGLKEILFLNNQLTGCIPQGIGLWSDLQVFDASFNSLMGHLPESVSCLEDIEVLNVAHNKLSGELPDLVCGLKSLMNLSVAYNFFSGFSQECAKLYGRNVGFDFALNCIPGRQMQRPEPECSMIPGGSLSCLRIPYMRSVSCGTMLRSLNSSTP >KVI12285 pep supercontig:CcrdV1:scaffold_104:4872:5399:1 gene:Ccrd_009287 transcript:KVI12285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEILAGLKQSGVNFLLIARGDAFSRLSRDCGSNGLVTEWCDQLRVLLHSSIGGFLSHCGWNSIKESVFSGVPILTFPITGEQDINSEVIVGDWKIGRKVKTGSNVVARAEIAAVVRRFMDRESDESKEMMERVKKVKGVCRVSVSEGGSATREIDSFIRDQLGLSDSKVGKAVE >KVI12245 pep supercontig:CcrdV1:scaffold_104:421271:426733:-1 gene:Ccrd_009328 transcript:KVI12245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase MHSVCNNSSINALKSSSDNNRNRKSDSPNRRKANSNFSPRSSIMGPPKATAFSEPKVITGDHGYVLEDVPHLTDYIPDLPTYPNPLQDNPAYAVVKQYFVDMDDTVAQQIVVHVNSPRGTHFRRAGPRQKVYFKPDEVHACIVTCGGLCPGLNTVIREIVGSLHDMYGVTRIEGIEGGYRGFYSRNTIPLTPKVANGIHKRGGTIIGTSRGGYDNKKIVNSIEDRGINQVYIIGGDGTQKGASAIYEEVRRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESNENCIGLVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIEKRIKENGHMVIVVAEGAGQDLVAESLRTNSNKDASGNKVLDDVGWWLSQKIKGHFKKQTEMEINLKYIDPTYMIRAVPSNASDNVYSTLLAQSAVHGAMAGYTGFTVGPVHGRECYIPFSRITEKQNKVVITDRMWARMLSSTNQPSFLSTKDIIESIMEEAPPTQLLDDQVTENGSVNVKRIVC >KVI12258 pep supercontig:CcrdV1:scaffold_104:57839:61118:1 gene:Ccrd_009293 transcript:KVI12258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSRQGQTIMYARGGRRRKVLDVDLNDPPPADHNEPIPLTINVVEAPPPPVQQVHSRIVPVSLVRQDFQPLDPTPPVPIDVENLDDDVIISTLTDFEEARNSSRRLQARTSVVNVESGSRLPTTLAAGIRPYPLALAGPSLVLGSRRRNPRKRSNPQHLVINLEGSSCSMVIPISDDVLYDVFLKQPVAAPPPPPPPPPPPPPPPPTFTCPVCMGPLVEEVTTKCGHIFCKGCIKTAIAAVPKCPTCRRKMCERSKSALLDKCREIMRLVDQHEANFFVFRFLV >KVI12244 pep supercontig:CcrdV1:scaffold_104:126378:134125:-1 gene:Ccrd_009300 transcript:KVI12244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MAAPAAAAAAAPVSAQVVGNAFVVQYYHILHQSPGLVHRFYQDVSKLGRPEEDGSMSITTTMDAIDAKILSLNYGDFRAEIRSVDAQESLNGGVNVLVTGYLTGKDNVQRNFTQSFFLAPQDKGFFVLNDMFRYMENANHPEGDNPPTEDEATPVTPEQEPTVEEEEPVPEVVDEVPEISQLAVESNTKIEGVAKKSYASIVMDLKQNGVPFSSPAPSLKKPQPRNQEQNLVNALTTTIAAEAVTSNADAVENGIHEEEAEGYSVYIKGLAMNATPAMLEEEFKKFGPIKLNGIQVRSNRQQQGFCFGFVEFEVADAVQKAIEASPVIVGGRAAVVEEKRSTNSKGGNRGRFVVGRGSGFRNEAMRGGRGNYGGGRGYNRVGDFGGSRNDYGYRGGSRGGAASNRGGDGFHRDNNGGGGRVNRGLDVMIETNRKSSSSSSGGGGSSRIAAA >KVI12291 pep supercontig:CcrdV1:scaffold_104:151048:151694:1 gene:Ccrd_009302 transcript:KVI12291 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MISTPFLSSTLSLLNSSNKPPISSFHLHPLLKFNNAHVKIAQISIRPTTITTKASAAAAAAAAAANGSYIPATDKETLYDLLGISETETISEIKKAHKQMALKYHPDVSPPDRAEEYTVRFIQELGLTRDPMISGGGTVEGDVGRADIGADAAEQAESGSGSRDVVGIPDPEATEQIMR >KVI12256 pep supercontig:CcrdV1:scaffold_104:116194:119178:1 gene:Ccrd_009299 transcript:KVI12256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MATSGSIQSSRTSFSRRNNSFTRPPVAVAGVTFRLFASVLSVAVFLFFTVSFLFTSSSDVVSSDSNINIGFSFDTYGTGSSRRSVLALKSDPLKPRFDQIRKQADDHRSLALAYAAYARKLKLENSKLVRVFADLSRNYTDLLSKPAYIALSHSDANSIDESTLRLFEKEVKERIKVTRQVIAEAKESFDNQLKIQKLKDSIFSVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLTMRLMEERIAHPDKYSDEGKPRPVEFDDPKLYHYAIFSDNVIAASVVVNSAVKNTKEPWKHVFHVVTDKMNLGAMQVMFKMKEYNGAHIEIKAVEDYKFLNSSYVPVLKQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPTLHRVLFLDDDIIVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYSQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIHNAAVVHFNGNMKPWLDIAMNQFRPLWTKYVDYDMEFVQACNFGH >KVI12277 pep supercontig:CcrdV1:scaffold_104:474136:487607:-1 gene:Ccrd_009333 transcript:KVI12277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloenzyme, LuxS/M16 peptidase-like protein MDLLPAETSSEIVKKHRFRSLKMVDLNYDEVLSDVPYGADHGKLDNGLRYYVRLNSKPKMRAALALAVNVGSVLEDEEERGVAHIVEHLAFSATKKYTNHDIVKFLESIGAEFGACQNAVTSADETVYELFVPIDKPGLLSQAISVLAEFSSEIRVSAEDLDKERGAVMEEYRANRNANGRMQEAHWLLMMEGSKYAERLPIGLEKVIRTVSPEIVKRFYTKWYHLQNMAIIAVGDFSDTKSVVELIKTHFGSKVSATDYLETPRFLVPSHEEPRISCFVESEAAGSVVMISCKSPVDELRTVKDYRNLLVEAMFYHAMNQRFFKISRKKDPPYFSCSVAADVVVQPIKAYIMTSSCKEKGILNALESMLTEIARVRLHGFSERETSVARALMMSEIESAYLERDQVQSTSLREEYLHHFLRNEPVVGIEYEAQLQKTILPHISASEVSKYSENFRTTRSCVIKAIEPRAVATVDDLKAAVLKINSFEEDGNISPWDEDHIPEEIVTLKPNPGYVLLEQVLFTGYAYGGLSELPESEYISCSMGSTVAGEIGVFGYRPSVLLDMLAGKRAEIGTKLGAYMRTFYGDCSPSDLETALQLVYQLFATVVVPGEEDIKIVMQMSEESINAQERDPYTVFANRVRELNYGNSYFFRPTRISDLRKVDPFKACEYYNKCFKDPSTFTVVIVGNIDPAIARPLILQYLGGIPRPSEPILQYNRDDLKGLPFTFPTTIIREVVRSPMVEAQCSVQLCFPVELKNEKMMEDIHFIGFLSKLLEAKIIQVLRFKHGQIYSAGVSVFLGGNKPSRVGNARGDISVNFSCDPGVALALVDLALDEILRLQEEGPSDADISTVLEIEQRAHENGLQENYWWLDRILLGYQSRIYSGDVGTSFAVQDDSRSDVRKTLTPVTAQLALQRIIPFPCKRQYTVVILMPQASRLRMLKSIVADPKVQAGFAGLIILGFCVWRYSRNSSKS >KVI12270 pep supercontig:CcrdV1:scaffold_104:261429:263525:1 gene:Ccrd_009312 transcript:KVI12270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAKNEAIEGIPNVDMATMTELKKELRRIVQLIVEDTSIEDENEFRFMEFVEKSNKILQDLKILKEGKTPNHRSCLNNNGGTTSYLASCPQQFRCPLSKELMRDPVIISSGQTYDRHMIQEWLKSGNRTCPRTQEVLSHTILTPNHLIKDLITQWCKNHHVQFPNPLRYSDEYPLVEADRNLFIDQINKLSSTLSDQKEAAKTLRSLTKRTPSFRALFGESDEYIRQLLIPLQFKSQSEIDNDLHEDLITTLLNVSIHESNKKPVAETPMVISVLMDALRSGKIETRSNAAATLFTLSAIDSNKSLIGKAGALKPLFDLLEDGQPLAMKDVASAIFSLCMVHENRSRAVKDGAVRVLLDKIVNQVHVDELLAILAMLSSNRKAMEELGDFGAVSILISLIKETNCEQNKENCIAILYDICYNDRTKWKEMREEEKRYGTLSELVQNGTSRAKRKAGGLLDRIRGSVNRTHTT >KVI12274 pep supercontig:CcrdV1:scaffold_104:324692:326966:1 gene:Ccrd_009318 transcript:KVI12274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAARWFKALFTTKKLKDSGHRQNHNSSCVARSHTISPPDKPIYNTHSDEDDQNRHAIAVAAATAAAADAAVAAAQAAVAVVKLTSLGVSGGGGCRENSAAIKIQSQFRGYLVYKTLISLCRRYEQPLEILVRCPYAPSRKALRALKALVKLQALVRGYLVRKQAAATLRSMEALVRAQSSVCAHKLYAMNKLQDSKNISNKLVMYLQERFDETKSDYTTSVIHSRRLSSSFEPSVIDESPKIVEMDPGRPKSRSRRTNTWAWTPGYNIDNPYAQTLSSPHRHRSPARLPTPSSQNHEFEWALHVGSNRFRPVSPSKSVCTDGSSSNRSYMASTKSFNAKLRSQSAPRQRPEFGVGHKKKMSLNEMMESRSSVSYGVKMQRSSSQAQAQEATSFKNAIMGRIGGSSEIQW >KVI12255 pep supercontig:CcrdV1:scaffold_104:103403:109062:-1 gene:Ccrd_009298 transcript:KVI12255 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MRGVYYKNMKWQAAIKVDKKQIHLGTVSSQEEAARLYDRAAFMCGREPNFELTIEQKAELSKLSWDEFLTMTRTAINSKKNQRRVSSRMKFEHQQQNNSDSERGNGYSGSDDADASAA >KVI12269 pep supercontig:CcrdV1:scaffold_104:269480:270892:1 gene:Ccrd_009313 transcript:KVI12269 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC-kinase, C-terminal MIQENGHLMLVDFDLSAKLSPKPPSEPTPSRTPPSTKSELSKKKKKRFPPFYKCCHPTISAEDSIHPESVNSDTRYQSGSLSKSNSFVGTEEYVAPEMIQGNGHDYAVDWWCLGVVLHEMLYGTTPFRGINRKETFYRILSMTPDLVGEPTPLRDLIRKLLEKDPKQRLSAAEIKGHDFFKGVDWEKVAQISRPPFIPGRFDDEGKDVIKEIDIEDFVQEVFKVNDDTICDVTVKEKDQNSAKNFLVF >KVI12271 pep supercontig:CcrdV1:scaffold_104:239921:241163:1 gene:Ccrd_009311 transcript:KVI12271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MAVRSKRVTDPLDERVKDRIVGRDRPELVYGSSGSEHSGSGNQNGDDSSSSSNSLSYLLHCFDEHREEEEKEKEDAVNGDELDGSHLQDNDSDSDSDRVEAKFEVIISTLRDLNVDRFRNVLLANVVKAREIFRSLRPNAQILNRNVMLFLQNLGYNAAICKTKWETCGGLTAGNYEFIDVLRSDSGVRYFIELNFSGEFEIARQTTQFQRFSQKLPIVFVGTSADLKMIVKFMSDEIRRSLKSRGLLLPPWRKNRFMQNKWFGPYRRTVNYTPANMLSSIPVPVNNTTSGVNCSLIGFNVVDGAPLLPAATRTR >KVI12282 pep supercontig:CcrdV1:scaffold_104:504870:507305:-1 gene:Ccrd_009336 transcript:KVI12282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIWMKISFIIISIAFAESTDASQRLGLDTGSTAVLDGPDVQVKSRAMILMLAVRFMTIASRKKFFLGKMESHLNQVNCNIEDGMTNVKCHEKFKRCIKKVQKSGNTGFSKDCPYDTAVPTMQQGMDMAILFSQFGNSKIEL >KVI12292 pep supercontig:CcrdV1:scaffold_104:162776:167480:1 gene:Ccrd_009303 transcript:KVI12292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin C MATDFWTSTHYKQLLDQEEVDVVHSLDKERGITLEDFKLIKLHMTNYIARLAQNVKVRQRVVATAVTYMRRAYTRRSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLHSDEKYRYEIKEILEMEMKILEALNYYLVVFHPYRGLSQGLINDSYRMDLILIYPPHLIALACIYVVCMLKDKDNTAWFEQLRVDMNSVRIQS >KVI12293 pep supercontig:CcrdV1:scaffold_104:208543:213618:1 gene:Ccrd_009308 transcript:KVI12293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongated TPR repeat-containing domain-containing protein MEDDFDFPAVKQMNKEMMEDNIPYDAPVTLKVGEEKEIGNQGLKKKLLKEGEGWETPDNGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFTLGQGQVIKGWDHGIKTMKKGENALFTIPAALAYGESGSPPTIPPNATLQFDVQLLSWVSVKDICKDGGIFKKIVKKGDKWENPKDLDEVLVNYEVRLEDGTLVAKSDGMEFTVRDGHFCPALSKVVKTMKKAEKTVSNITNDKKVVKKILKEGEGYERPNEGAIVQVKLIGRLHDGTIFIRKGYDDTEPYEFKTDEEQVIDGLDRAVMTMKKGEVAFLTIKPEYAFGSTESKQELAAVPPNATVNYEIELEKESWDMNTQEKIEAAGKKKEEGNTFFKAGKYLKAAKRYEKAAKLIEYDTSFGEEEKKQAKALKVSCNLNNAACKLKLKDYKQAEKLCTKIDGCICEGMMMMMMAESLLQVLEMESRNLKALYRRAQAYINEKMKEYNKKDAKFYGHMFAKLINSKQQQQQQQEMQSMSIDSKA >KVI12262 pep supercontig:CcrdV1:scaffold_104:95090:100590:-1 gene:Ccrd_009297 transcript:KVI12262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MESTNGIKTLEEDGISWGSRILYPTLLGGIVGGGIGLVSRHRKVHGLANMSATYATNLAIVTGCYCGAREIVRASRHSEPDDLFDSAIAGFGTGAILGRLQGGRAGAIRYSVGIAVVGTSLDYAAIKLRPVFKDIYESMAGEKKNSWFQWPEWLPIQVLDEEALAAKQAREEQLRARIRDLTKEEP >KVI12252 pep supercontig:CcrdV1:scaffold_104:368740:370569:1 gene:Ccrd_009323 transcript:KVI12252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30 MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK >KVI12283 pep supercontig:CcrdV1:scaffold_104:19868:22168:1 gene:Ccrd_009289 transcript:KVI12283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MSAAGGESGLAQEDEKKPMDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSLEMEEGDEIDAMLHQTGGGGM >KVI12260 pep supercontig:CcrdV1:scaffold_104:34600:38532:-1 gene:Ccrd_009291 transcript:KVI12260 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MIQLLFFVVFAEAFVAFLLMVKIGPLRELVMNGLDQVKMRKGTVLTIAGTMSVILFSNWVSIVKIQNKGAKVGTMTPMDQVLWRTHLLEASLMGFSLYLGFLIDRMHHHLQKLINLRRNGGSSKQEVEKLEAEKLQLKENEEKAKEEIKRLQKEMSSLSESLKKLKLESKEKDKKIETAEAHVTSLQKQSADLLLEYDRLLEDNQTLQSQTKNRHF >KVI12265 pep supercontig:CcrdV1:scaffold_104:308163:310093:-1 gene:Ccrd_009317 transcript:KVI12265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MDEGVGGEEYLFKIVVIGDSAVGKSNLLSRFARDEFDLHSKATIGVEFQTQVVDIEGKEVKAQVWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSIKRWLDELNRNKSDLENIRDVSVEEGKRLAEEEGLFFIETSALDSTNVKTAFQIVIRAIYDNYKRKVLNSDSYKAELSSNRVSLINGSTNRSSCCSR >KVI12284 pep supercontig:CcrdV1:scaffold_104:6374:13777:-1 gene:Ccrd_009288 transcript:KVI12284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAKFRFSFIVFIIAFMAIVEISIIYIRNFNLFDEKLFSQAGGEGSVPIGECPSACGVRCSATHHPGECMDVCIDCCGKCLCVPSGTLGNKDECPCYRDMKTKKGLPKWSDNSVLLRGNQRDRDRERAQARAGGKAKQPKADGLTPEQRRERDAKALQEKLAKKWAKDSSGGNDVKNGFKTKK >KVI12287 pep supercontig:CcrdV1:scaffold_104:175729:184987:-1 gene:Ccrd_009305 transcript:KVI12287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEKYKSIEEIGDGTCGTVYKAINLETSEIVAVKKMKRKYYVWEECMNLREVKSLRKLNHPNIIKLKEVVRENNELFFIFEYMEHNLYDIMRERQRPFSEDEIRGLMTQLLQGLVHMHRSEYFHRDLKPENLLVTNNTIKIADFGLAREVSSAPPFTDYVSTRWYRAPEVLLQSSSYTPAIDMWAVGAILAELFTSSPLFPGESEIDQLYKICCVLGTPDWTLFREARNVVRLMDISYSEIMPANLSDLIPNASSEAINLIKVRSQPNLELDLWDFSSKTDDCFLGLTLAVNPSISNIEMVHKTRSTRQDLLFCSDYEDEAQHSVFWSLLPPDHHGIQAPVESSLSLTFSSTIPHSTIGVPQSGGYRMPSLQPNFLTMSSHFQQQGHCR >KVI12272 pep supercontig:CcrdV1:scaffold_104:224526:229179:-1 gene:Ccrd_009310 transcript:KVI12272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNKPPKDRDTVVDIESSESEYEEDRRNETVTGNKRAKTMVQSVAGTLSFEGSEEGECISNSYRTSVKPSDDEYEDIESLTHNKFGNNGEHAPLLEKTQLKEKRKTSNSRKAPKPPRPRKGPSLDTADLQLVKEIAEQAMKRRARVERLKSLKKRRAAKASSPSPSSNSSLFAMAITVLFFLVIIFQGFGSGQSSTLSFDDSPKPSGAPSSGLISIQLGNNGLERNGVQHIAKPPKYVGLL >KVI12288 pep supercontig:CcrdV1:scaffold_104:189980:191470:-1 gene:Ccrd_009306 transcript:KVI12288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVEVPIPKPSKGELLLKVEATSLNPVDWKIQKGMLRPLFPIKFPWIPATDVAGEVLDVGPGVKKFKVGDKVVSMLDIFKGGGLSEYAVSSEKLTVCRPPEVSAAEGAGLPVAGLTALQALTYHAGITLEKTTTSTTNILITAASGGVGQFAVQLAKLGNVHVTATCGARNIDLVKSLGADEVLDYKSPEGAALKSPSDKKYDVVIHCATPIPWSTFEPNLSSKGKVVDIAPGATAFWTAIVKKLSCSKKQLVPILLFPKAKNLDYLVGLVKEGKLKTVIDSKNPLNKAEEAWAKSIDGHAT >KVI12254 pep supercontig:CcrdV1:scaffold_104:361262:364752:1 gene:Ccrd_009321 transcript:KVI12254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1005 MDPCPFVRLIIESLSLKLPLATKPASSSSGIHSSATPCFCKLRIKNFPPQTAIIPLSSATHSDPHPPDSTTSAINFHLDPPALRRLQGKPMLLTVSVYTGGMGRSCGFNCGKVLGRVAVVINIDGSVTKPIVFQNGWMKLGNEADKPAASLHLKVRTEPDPRFLFQFGGEPECSPVVFQIQGNIRQPVFSCKFSADRNSRSRSLPSDFTMNNINRGWMTTFSGGKEKTGRERKGWMIVVHDLSGSSIAAASMITPFVPSQGSDRVSRSNPGAWLILRPHGASISNWKPWGRLEAWRERGPVDGLGYKFELVTNSGMTSGIPISEGTMNIKKGGKFCIDETLKDSRASPLSNIKGFVMSSSVEGEGKTSKPMVQVGVQHVTCMADAALFVALSAAIDLSMDACKLFSRKLRKEFLQDEQDFMS >KVI12278 pep supercontig:CcrdV1:scaffold_104:468978:470907:-1 gene:Ccrd_009332 transcript:KVI12278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31e MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKTMGTTDVRVDVKLNKYVWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKIIDDEE >KVI12286 pep supercontig:CcrdV1:scaffold_104:171429:172546:-1 gene:Ccrd_009304 transcript:KVI12286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGKRESLPPVPACSYSRPFIHHHHHHHHRCLELSPHCQLHGGYLLHPSSHHHHHSATAHSHHHHLELSPDCPLHGYLLPYCPLHSSNSYSINQSTTNFPIIPNFAPTIPPPQNPNLSNPNINNLNSIQLEADTNSKSEALLMQERNKEVEDGNEDEDEEEIIFVLTDEWKEFFAKSEAKRRLAKKKAKKKGKD >KVI12259 pep supercontig:CcrdV1:scaffold_104:24679:31281:1 gene:Ccrd_009290 transcript:KVI12259 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-T MARLLSIFALLVFVLHVTSESTGEVVEQLEGEEFTEELLFRPLPDRKVLSYFHFESKVPRTNSYGHHHRLFPKAIYQLVHKYRITEMELSFTQGRWNYESWGGFDPVASSNAKPPGVELWAVFDVPQDQVDASWKNLTHTLSGLFCASINFLESSTMYSAPDWSFRSLSGNLRYGSLPREAVCTENLTPWLKLLPCRDKAGLSSLMNRPSIYRGFYHSQRLHLTSGEFDLVASGHGITLEQTLTIVLQPDALETNAAFSSSRKLQPSWSMNSLFGRTVEGKCALAKSSNVYVQLEGSLVYNMKDTWNDIEGYEGEEVPYEGFGSNPNFGFSVSPDRMMKEVNSFHKEGSSILYGFSIKDYHSSKPFDLGFRWKLPVTWSCVKAPLRASRFLMGSGNEKGAIALSLQSTEWSESLPKAHNDEEKCRLRVDVFQVVPWYIKVYYHTARLFVDGVPQSLGDVIEKMHVSPSEDKVSPGVMETILRLPCDMKSASLTLEFDKGFLHIDEYPPDANQGFDIPSAIISFPDFQTCLEYTQDNTSIKSPMVSKMQEKRKILSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRAGEEERFLKSKDKNTSKLRLLLSKLSAKLRGRAWEPPTSTPPSSSSSPKLISSKVIIKIVLIAAIGFGWQYYYG >KVI12280 pep supercontig:CcrdV1:scaffold_104:490099:496492:1 gene:Ccrd_009334 transcript:KVI12280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase MLDWRLSHEQVTEDCSEEEKNPTYRESVRCKIFLGFTSNLISSGVRDIIRYLLQHHMVEVIVTTAGGIEEDLIKCLADTYRGEFSLPGAALRSKGLNRIGNLLVPNDNYCKFEDWVIPIFDQMLEEQSTKHVLWTPSKVIARLGKEINNESSYLYWAYKNDIPVFCPGLTDGSLGDMLYFHSFRNPGLVIDLVQDIRTINSEAVHAHPRKTGMIVLGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKSVKVHCDATIAFPLLVAETFAAKRAKPDEPTTR >KVH98762 pep supercontig:CcrdV1:scaffold_1040:64969:65404:1 gene:Ccrd_023010 transcript:KVH98762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSSRRSSRSRPSAPSMITDDQINDLVSKLHQLLPEIRNRCSDKVSAARVLQETCSYIKSLHREVDDLSERLSELLDNTDSTQASIIRSLLMQ >KVH98763 pep supercontig:CcrdV1:scaffold_1040:140373:142604:-1 gene:Ccrd_023011 transcript:KVH98763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MVSGSGICAREVVVDARHMLGRLSSILAKELLNGQKVTIVSCEEICLSGGLVCQKMKYITGCVKSELRCPQRVGGIIADPQTDWSFDEXLSEINAVDQRFQASSLVSLPFTKTHSCSKSCWKKPEVLCDACIDDEGDYDDEGNYSRPLAMGGRFACEEVYLSDDADFDNKSTTGAQWFLMDKGGVIEGALIELSHEHQITVASKEARREFDIKRDLQYQRIIAEALDNHLNDIQRHHEYKS >KVE50334 pep supercontig:CcrdV1:scaffold_10407:372:3432:1 gene:Ccrd_023966 transcript:KVE50334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MTLILNPNSWLQFLIDHPKFLKNPLYVAGISYIGIAIPKITLEIYEGNERGDHPPMNIQGYILMSPLTNKFNDFNSRLEYAHRMALISDDIYKSAIENCHGNYVNVDTLNTLCANSLQRYEQCTCRITLDYILEPFCDENDPLSDCQDAFTKVVEIWANTEVVQQALNVRQGKIGKWELLNDTLHYKQGKNDTECYAYDIFSSFPYHKKLSSKNCRALILSGDHDMTFPYVGIEQWITSLDIEIEIPWHPFYVDGQVGGYQMKYAQNDYSLTFTTVKGAGHLVPYYKPKETIVATKTWLSSPTYSSDS >KVI00918 pep supercontig:CcrdV1:scaffold_1041:103074:125676:-1 gene:Ccrd_020823 transcript:KVI00918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MGKKSGSYPLTAIRTGIVADRLMSDKKLSVVFDTVVGVTSMETHKEEEEDQEAVNFGRSLLVPSVQELAKQPLTKIPLRYVRQFHHQPLSDDTTPSVPVIDLHTLLETASGSSTYSSELNKLHTASKQWGFFQVINHGISESLLEDFKREVLNFFKLPMEEKQMLWQKADNHEGFGQLFVVSEEQKLDWSDMFYITTLPHNLRKSQLFQKLPLILREKLEAYSKEMKKLAMAILGQMAKALEMDGDEMRELFHDGVQSIRMNYYPPCPEPELALGFSPHSDADALTILYQLNQTEGLRIRKDGKWVAIKPLPNALVVNIGDIMEHQATVTSNNERFSVATFYSSNMGTELGPARSLVAKHKDPVCSLYWL >KVI00917 pep supercontig:CcrdV1:scaffold_1041:97054:98048:1 gene:Ccrd_020822 transcript:KVI00917 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter, transmembrane domain-containing protein KNIGRILLGQSPEWYEDFQDWNREIYLRCSLDERFLKEFDDKLEIAYSAMTRQGLASGLGTVVSLLVSCCSYGLAIWYGSKLILEKGYNVKSSSKTCTLGTLHAPMSRLQILSGLSLHIPSGMTAALVGQSKNGKSTFISLLERFYDPETREVLINGT >KVI00914 pep supercontig:CcrdV1:scaffold_1041:104393:113924:1 gene:Ccrd_020824 transcript:KVI00914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELADNQQSSCLESSVTRSPANQKKKEDMTGTERVKGIPIKPILYLNKPKLLVLFLGFVVAVARSVFYPIHGLMMSSAIKILYEPPNKVRKGSSCWALMFVGVGICSLLFVPLQNCFLELWESGAVDARLATDASTMRNIVGDALALVVQT >KVI00916 pep supercontig:CcrdV1:scaffold_1041:21714:22239:-1 gene:Ccrd_020821 transcript:KVI00916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPPHSFLFKARVTIDGVMYEGPEFCTTLKDAEHTSAKVSFTSLSPDGAKEDDCLYKSLLQELAQKKGLVLPVYATNRDGPPHMPSFASTVQIAGKCFMGQEARTKKQAEMNVAIVAYTNLNEGNESSVHVNAYI >KVI00915 pep supercontig:CcrdV1:scaffold_1041:7958:10909:-1 gene:Ccrd_020820 transcript:KVI00915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MWESLTSKKGGELISLYMILIDEEENLIHASIWSSIVPKFKSLLHEAGLVHLRVDDDTILSDVIGCILVVGHIETVGVGWKKKRCGVYHRLDFDDTAVKMKAAAGPVILIATYSQLLQNGVHLIKNADEKKRRDENMLVERMMINDLLCATSDKDMKVPFTIVREIITSLVPSLGWFYKGCKACYKQLTTIYGGYFCGNCKAESEFPLVLYKIHIRIKDKTGETSCVLFNMVAERVFHTSAYKLLNKQPIGSGDVPAEGKTLSSKFESTSRKGEKPVAKDIEFTFVASTKYEKTSDDETHSPTKKKKRVVEDDEEDFGNETIAFMANKR >KVE50158 pep supercontig:CcrdV1:scaffold_10415:951:1296:1 gene:Ccrd_023967 transcript:KVE50158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase MTNNSGIGSAPFGVMKIDEKGRIIEFAEKPKGEKLKAMKVDTTILGHDEERAKEMPYIASMGIYEVKFPGANDFGSEVIPGATSIGLRYCI >KVH89713 pep supercontig:CcrdV1:scaffold_1042:595:19900:-1 gene:Ccrd_008292 transcript:KVH89713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease MATFSSPSSFPPLFCCDHHKPLGFPISYHFRHIQRRSHHHCSKTSIQLSPTTPLGVTRFRCFSSQGQQQPSEEKPRLEEDSEDFEFERLFSNLNRATLKREPGSVTSSVLLVAGTTVGAGILAIPAVTQEAGFLAAAITCILCWIYMVVTGLLVAEVNVKTMCELGSGGINGYENTWDYWSSDYLMGMCIPVFFYLRQHLLLWKVRLIGAVNGVLVSAIIISFAALV >KVH89712 pep supercontig:CcrdV1:scaffold_1042:77595:111770:1 gene:Ccrd_008293 transcript:KVH89712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRNYTNGTVAEGVLNDKHQGGTIERAESIVGNHDLETTSSMDKGFATKMEDGQYHGDGEPYSTSRSLNEPAAEEEDGLLNLTASTTTTTAITTTTNTRKPAGRWGSTFWKDCQPMHHKHGSESVQESRSSSEYNIEEGSGNDLSETDKANKGQNVDEMLSDDYYELDGDDQSDSMHHKLLSNAAGYSSISQPQVADNHFVSRKKSKTSSGGAYVEDADFEDDEEEEDEDDPADVDFNPDLVTTSVGRGKKEHDENWEGEGFDEDDNSEDDELEISDDDSYKKPSGMQRQKRGRNSLKSSREPKPSTSFSRRKRGRASFDEDDSSTNDSDDDIEEDFKSTRRRSSHPRKDPGGRSISTKGSGRINEIRTSTRSVRKVSYAESEESEEHDEDIKKKSHKDEMEEEDGDSIEKVLWHQPKGTAEEAVRTNKSTDPLLLNQLFDSEPDWNDTEFFIKWKGQSHLHCQWKSFSELQNLSGFKKVINYTKKVMEDIKHRRTVSREEIEVIDVSKEMDLDLIKQNCQVERIIADRISKGSEVPEYLVKWQGLSYAEATWEKINDISFAQAAIEEYKAREAALAAVHGKMVDFQRRKSKASLRKLDEQPDWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMVGFLQNSQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNVIIYVGTRASREVCQQYEFFSDKNTGGTTKFDALLTTYEVLLKDKMVLSKIKWNYLMVDEAHRLKNSEASLYTTLKEFSTKNKLLITGTPLQNSVEELWALLHFLDSDKFNSKDEFVQNYRNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERVLRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSAITGSSKLERIILSSGKLVILDKLLDRLHETNHRVLIFSQMVKMLDILAEYLSIKGFKYQRLDGSTKAEVRHQAMEHFNAPSSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSAFDKNELSAILRFGAEELFKEDRNDEENKKRLLSMDIDEILERAEKVANFCSAEDDGSFWSRWIKPDAVSQAEAYCFGEANILHENEALAPRAARNSKSYAEAIPSERNNKRKKKGVEFQDRAIKRRKADYSSYQPPALEGASAQARRWSYGNLPKRDATRFFRAAKKFGNDSQIGLIAAEVGGTVEAAPVEAQVELFDALLDGCREAVNGASLDAKGPLLDFFGVPVKADDLLSRVEELQLLAKRISHYNNPISQFQALMYLKPATWSKGCGWNQKDDARLLLGIHYHGFGNWEKIRLDEKLGLLKKIAPVELQHHETFLPRAPQLKERASQLLEMELVAVGGKSFGTKGGRKGSKKQKDRVPNFPTSRSKSKQWKPGSPETKVPKGKFKMTRGKKNEPLVKEEGEMSDTEEVYEQFKEVKWMEWCEDVLIEEKKTLERLHRLQTTSADLPKEKVLSRIRNYLQLLGRRIDQIVIDHEEDPYKQERMTTRLWNYVSTFSNLSGGKLQQIYSKLKQEKGVGPSQMNGRGGFRNETYNQSSALLNKGLDTAKFEAWKRRRRAEADSNAHFQPPLQRPQSNGTRIPDPSLGILGAAPSDNRPFSEERPQRIRQAGFGPRQGIFFKV >KVH89714 pep supercontig:CcrdV1:scaffold_1042:114156:116636:1 gene:Ccrd_008294 transcript:KVH89714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MDYTYGPGRNHLFVPGPVNIPEQVLRAMNRNNEDYRSPAIPALTKILLEDVKKIFKTTSGTPFIIPTTGTGAWESALTNTLSPGDRIVSFIIGQFSLLWVDQQKRLGFNVDVVESEWGHGADLDVLESKLAADTAHTIKAICLVHNETATGVTNNLAAVRKVLDHYQHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCASPKAIEASETAKSLRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLKAALDLIFEEGLDNVIARHNQKGEGSKKNLTQEKRVTSKEHTNRSRLAVEAWGLKNCTQKEEWFSDTVTAVMVPPYIDSSEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNDLQLLGCLAGVEMVLKDVGYPVKLGSGVAAACGYLQNNIPMIPSRI >KVE49112 pep supercontig:CcrdV1:scaffold_10432:989:4325:1 gene:Ccrd_023968 transcript:KVE49112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cas1p 10 TM acyl transferase domain-containing protein KNVSYVLVSQVSSLTSSFPHIALHYLCLQSYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKAILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRIFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFLVLFCCVVLNNSYMLYYICPMHTLFTLMVYGALGLFNKYNDNGTVIAAKFLACFLAVILIWEVPGVFEFLWAPFTFLVGYTDPAKPNIPVMHEWHFRSGLDRYIWIIGMLYAYYHPTVERWMEKLEEAEVKRRISIKTLVVLISLTVGYLWLEYIYKLPKVTYNKYHPYTSWIPITVYISLRNVTQHFRSYTLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKLLLSLISDYPMLNFMLTTSIYVAVSYRFFELTNTLKIAFVPSKDDKRLMYNVITAVVISTVVYTLSFILLKLPQLMG >KVE49113 pep supercontig:CcrdV1:scaffold_10432:4352:5038:-1 gene:Ccrd_023969 transcript:KVE49113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIKSRIRGFRRPLLNLSAHSFATVINQAFGRPLYPLFDPYINDINYMLSSYIIPYVGLTGYVGATPKLKNPLSRKLVAGLLAVESGQDAVFRSLLYERAMVRVIPYGITVAEFTDRISALRNKLGRGGIKDEGLVVATNNIKNRGKMTGNVLVGDMNSLAYGRTPKEILRIVYGSGKEQVPGGFYPHGANGTIARKYLKRKKD >KVI12067 pep supercontig:CcrdV1:scaffold_1044:33282:34488:1 gene:Ccrd_009501 transcript:KVI12067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARDRLSRPNNEVAEMHSLRRTPVGILGILVDDDDSDRSISRTPFRWGATPLTGGGSGQPIGEPHSTRTTMGATTRGGGGGGRGLFGTPRTVYRRGSRSQNTPPSGSSFRRGRGGRSGQQSVLPYWYPRTSLGDITHVVQAIERRRLRLGDGEGRFFGSPTPIRIHHQPAAHDLSPSDAQLEHEVSLVTPKPKLASKTCKPSTLGKVPKILADIANQGVGESEFLTPQKKLLNSLDIVEKVVMEELHRLKRTPSAKKAEREKRVRTLMSMR >KVI12079 pep supercontig:CcrdV1:scaffold_1044:83805:91967:-1 gene:Ccrd_009508 transcript:KVI12079 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF attachment protein MSDQIAKGQEFEKKAEKKLTGWGFFGSKYEDAGDLYEKAANCYKLAKSWDQAGSVYVKLAECHLKMDSKHEAANAYADAAHSYKKTNTKECIAHLEQALNIFMEIGRLSMAARYCKEIAELYEQEQNLEQAIVYYDKAADLFQGEEVTTSANQCKQKIAQFSAQLEQYQKAIEIYEAIARQSLNNNLLKYGVRGYLLNAGICQLCKGDVVAITNALERYQELDPTFSGTREYKLLSDLAAAVDDEDVAKFTDAVKEFDSMTKLDEWKTSLLLRVKLLLKAKENEDDDDLT >KVI12071 pep supercontig:CcrdV1:scaffold_1044:53538:54527:1 gene:Ccrd_009505 transcript:KVI12071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MKTSFFTIAIAILTLFLSLSLSQRCNLKDEKTLLKIKKSLGNPYQLASWNGDSDCCRWYYVQCDLYNDRITALTIFSGNISGRIPDALGSLSFLETLIFRKLSNLTGQIPFTITKLTRLKNLTVSWTNLSGPIPSFLSQLKNLTLLDLSFNNFSGAIPPELATLPKLQTLHLDRNKLTGSIPESFGTFTGKGPAVYLSHNLLNGTVPKSLGRVNFTWLDLSRNSLQGDLTVFFGTNKTIKAADFSRNMFEFNFSKIIQFPASLSALDLNHNRIYGSLPETLTGLNLQKWNVSYNRLCGQIPAGGNLQKYDNTAYFHNRCLCGSPLPACY >KVI12065 pep supercontig:CcrdV1:scaffold_1044:38253:39901:1 gene:Ccrd_009502 transcript:KVI12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLYGYLILFLVSFISIRFILIQSFSSSFRCKFRLPPSPFALPVIGHLHLLAPIPHQALHKLSTQYGSVFRFFLGSVPCVAVSSPEMAKEFLKTYEASYLDRPRNSSTVYLTYGSKDFMFAPYGSYWKLMKKLVMSELLNGKTLDLLLPVRHDEINQLVKSLSKKAKLGKPMDLKGELLKLTNNMISRMLMSKRCSEVESEADDIRKLVTEISEITGTFNLADYIWFCKNLDLQGIGKRVKGIHRQFDVLVEKIIKEHEDERRKKDTDETKDVLDMLLNISEDDTMEMKLTRDNIKAFLLNLFVAGTDTSALTIEWSLAELINHPEIMKKAVEEIDRVVGNTRLLEESDIPNLPYLQAIVKETLRLHPTAPMIPRTSTEDCIVGGYHIPAETTVFVNVWALGRDPKSWDDPLLFSPERFEKNQVDVRGQHFQMLPFGSGRRMCPGTSLAMQVAQVTLGVMVQCFEWRVGEEGRVDMKEGPGITLPRANPLVCVPVVRFDLDSVIPVTSM >KVI12078 pep supercontig:CcrdV1:scaffold_1044:104970:106682:-1 gene:Ccrd_009512 transcript:KVI12078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQKYVDRKVLIDLSLNDIMLCVHGLRSYLVLMNNGPRFDAVQIGISGPAVIVGRKGDETIIKDSST >KVI12076 pep supercontig:CcrdV1:scaffold_1044:100507:103354:1 gene:Ccrd_009510 transcript:KVI12076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEDDYMGDLSQFLPSQSELSLNPPAPKVPSVNGGSKAANSQYSKKRPKIRNWQEQRKLKREIKQVEEDNRTLAGLESAIPQSNIGFKMLKQMGYTPGSGLGGSGRAEPVGLEIRRSRAGIGREDPIKEKLRKEEEMLWEKRRREEELMSDFGCRVKERWRNKRVVVNFHKAKGVLDQLENKEVVEVEKKDDDEKEEGEDEEEEVITEEDLHEILMKLRDDFQYCLFCGCQYESREALLGDCPGIDEDDH >KVI12070 pep supercontig:CcrdV1:scaffold_1044:43891:53458:1 gene:Ccrd_009504 transcript:KVI12070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2048 MVAIKLGMLHYVLDHVYGAFMHRTMLSPLFFSRGWGGTQLDLLEKMIKQIFPVVGGQNLPPRLIQPIWRTVWETKSACLKEGVFKTPCDEQLINALPPESHIARVAFLAPKFVPSHKMACVVHLAGTGDHSFERRLRLGGPLLKENIATMVLESPFYGQRRPLLQTGSKLLCVSDLLLLGRATIEEARSLLHWLDSEAGYGKMGVCGLSMGGVHAAMVGSLHPTPIATFPFLSPHSAVVAFCEGVLKHATAWEALRQDLSAAMTLDEVRERMRQDDGYIPKHSVLEVQRAWPGSEVRWVTGGHVSSFILHNNEFRRAIVDGLNRLQWKESPL >KVI12075 pep supercontig:CcrdV1:scaffold_1044:104580:104831:-1 gene:Ccrd_009511 transcript:KVI12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MRFLHLLFAINQPTFKAPIGNDPVSLDLEGLGRGFVWVNDNDIGRYWPSFIAQETGCSTDACDYRGRYDNKKCAFNCGKPTQK >KVI12074 pep supercontig:CcrdV1:scaffold_1044:151524:153040:-1 gene:Ccrd_009516 transcript:KVI12074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNNAGVSGVLVDEDGVIKTTYDKAKECLDTNYYGVKNRIPNEQVRKELGDVMSLSEKKIDGFVEKFLEDLSNDELEANGWSKMLPAYSVSKAMLNAYTRVLAKTYPEMCINCVHPGYVDTDISWHTGTMTLEEGAQGSVMLALLPQGGPSGCYFDRTQVGEF >KVI12073 pep supercontig:CcrdV1:scaffold_1044:122711:136774:1 gene:Ccrd_009514 transcript:KVI12073 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMSY N-terminal GHYNEILRSRLGVGTAKLIFEANEYNEQEKSKENRNAINENVRWLNVSGYEVNLSSILLNYSLPNESIYYYNNLRPTQFYRVPGQATFAMMQHEMESQIHYLEQVAYSSVLRAFKAQSDALSWEKEGLITELRKELRVSDDEHRELLSKVNTDDIIIRIREWRTSGGTRSAMLNIPQSIHDPISLSPTVSASRKRQKTSMPLSLPTQSFSGLGAGIQTPKPSPRYPLMGRTPRGMLSNHGSSAISIANEATDVGTRDQLIGRKLRTRWPDDNNFYEAVIVDYNPVQGRHALLYNKNTPQESFEWVNLKEIPPEDIQWIGEDPGSDQVSHGGQGHGFGNQVSHGVSRGRGNPKEQSRKGYPPSQNGDMKASDEIEIFHTDTLIKEVEKVFDATHPDLLEIEKAKKMLKEHERALIDVIAKLADASDSDS >KVI12072 pep supercontig:CcrdV1:scaffold_1044:139251:141124:-1 gene:Ccrd_009515 transcript:KVI12072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase YAVVTGANKGIGFETVRQLAASGVTVLLTARNEKRGTEAVSLLHGLGLSNVLYHQLDRIPNEQVRKELGDVESLSEKKIDGFVERFLEDLSNDELEANGWSKMLPAYSVSKAMLNAYTRVLAKTYPEMCINCVHPGYVDTDLNWHTGTMTLEEGAQGSVMLALLPQGGPSGCYFDRTQVGEF >KVI12080 pep supercontig:CcrdV1:scaffold_1044:93638:97398:-1 gene:Ccrd_009509 transcript:KVI12080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) UniProtKB/Swiss-Prot;Acc:Q9FG24] KALQDLYRSFNTPPQLKGWKSNGGDPCQESWTGVSCAGSSIIEMDVSNNNIYGEIPYGLPLNLTHLNLACNNLSQYIPYSISSMKNIRHMNLSHNFLSGPVGNVFTGLASLKEMDLSYNEFIGDLPSSFGTLRGLSRLFLQHNEFTGSVIFLASLQLTDLNIQDNHFSGIIPKQFQSIQNLFGGNMFDKGNNSPPWDFPMENLPDPNITAPSSESSAITNDPSPESSRHKKKKIRFIVIMVVGSTFLVASLAIFIKIRFHNRARKLRRRPESSEGSRHSLPINCSSTALDESPEVSAISSPTAGPRHVPPVRTKVVRVNRRRSFAKKSRIPIGAKLYTVAELQLATNSFNRTNFLGEGSIGSVFRAEFPDGQIFAVKCISMVVLSLLEEQQFLEVIWNASRLRHPNIIHLHGYCTEQGQHMLVYEYVRNLSLDDALHSDTYVPLSWGLRLRIALGIARALNYLHSICMPPLAHRNLKAANVLLDEDLTPRICDCCLAVLKPLTINSARAEASETDTGCIVPEDNQSVTSDQKDDIYAFGVLLLELLTGRKPFEGNESREENSLVQWASSRLHDNESLDQMVEAAIRKSIPPKALSRFADVVSLCTQAEKGFRPPMSEIMESLAHLLEDYRKSRTAAETEFDPYERSFRSTHSRFIGSPTMSYMSI >KVI12066 pep supercontig:CcrdV1:scaffold_1044:38386:49594:-1 gene:Ccrd_009503 transcript:KVI12066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKFQPNLKKAMFSEKEKRLVFDLQSKGGSSAIKMEVEVPNRKKINVNPPEIKDEHQQILFPFSVLQLFLVTVYSFIVSYCRNDYSLAEYGSLPLCNLLLKFLGSLELWGVALGFPSLLLEGILSPFLVKKQRHKVRIQGRSGKPTRIEWRVCVKLGEGLEQGGGGDR >KVI12068 pep supercontig:CcrdV1:scaffold_1044:59598:61973:1 gene:Ccrd_009506 transcript:KVI12068 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT5G06850) UniProtKB/Swiss-Prot;Acc:Q9FL59] MSSKPAAGNQDDYKIKDTKPQLGERWPHGGVRGGGGWISSDRVTSTYDLVEQMYYLYVRVVKARDLPINPLTASCDPYVEVKLGNYKGKTQHFEKRTYAEWKQVFAFSKEKIQSTVLEVYVKDKDMIARDDYLGKVVFDMNEVPTRVPPDSPLAPQWYRLEDRRGERKVKGEIMLAVWMGTQADEAFSEAWHSDAATVHGEGVFSVRSKVYVSPKLWYLRVNVIEAHDVEAQDKSQLPQVFVKAQLGNQILKTKQSPTKTTNPLWNEDLVFVAAEPFEEQLVLTLENKASSKEEIVGRIVLPLHVFEKRLDHRPVLSRWFNLEQFGFGLIEGERRMELKFSTRIHLRACLEGGYHVLDESTMYISDQRPTARQLWKKPIGILEIGILSAQGLQGMKTKDGKKTTDAYCVAKYGQKWVRTRTILESFNPKWNEQYTWEVYDPCTVVTLGVFDNCHLGAAEQAGGGKDSRIGKVRIRLSTLETDRIYTHSYPLLVLQPSGLKKTGELQLAFRFTCLSLANMIYLYSQPLLPKMHYLHPLTINQLDSLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIVSLFSGVISMSKWLGDVCHWKNPITSILVHVLFFILVCFPELILPTIFLYMFLIGIWNFRSRPRHPPHMDTKLSWAEAVQPDELDEEFDSFPSSKPQDVTKMRYDRLRSVAGRIQTVVGDIATQGERFQALLSWRDPRASCLFIVFCLIVAVALYVTPFRIVILGTGLFLLRHPRFRSKMPSVPSNFFRRLPARADSML >KVI12069 pep supercontig:CcrdV1:scaffold_1044:68357:71358:-1 gene:Ccrd_009507 transcript:KVI12069 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MMHRPPPHDDFSLKETKPHLGGGKVAGDKLTSTYDLVEQMQYLYVRVVKAKELPAKDVTGSCDPYTEVRLGNYKGTTRHFEKKSNPEWNQVFAFSRDRIQSTMLEVSVKDKDVMKDDFMGWVLFDLSEVPKRVPPDSPLAPQWYRLEDKKGNKLKGELMLAVWWGTQADEAFPEAWHSDAASVGGADGLANIRSKVYLSPKLWYLRVNVIEAQDLIPSDRTRFPEVFVKAILGNQALRTRVSMNKSINPMWNEDLMFVAAEPFEEHLILSVEDRVAPNKDEVLGMCAIPLQYVDRRLDHKAINTRWFNLEKHVIVDGEKKKEVKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLEVGILSANGLTPMKPKDGRATTDAYCVAKYGTKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLQGGDKAGGSRDLRIGKVRIRLSTLETDRVYTHSYPLLLLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVTQLDSLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMGVLGGLIAVGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTIFLYLFLIGVWYYRWRPKKPPHMDTRLSCADNAHPDELDEEFDTFPTSRPADIIRMRYDRLRSISGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLIAAIVLYVTPFQVVALLTGFYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >KVI12077 pep supercontig:CcrdV1:scaffold_1044:109921:110493:1 gene:Ccrd_009513 transcript:KVI12077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MTTSSSHSFPMGVPPPSSSLSTSVKFKTLIYNFIFSRICRLTRALTNAKSILVDLLRETHLNNIKFLEPLILKRSKNKNKVYFGSFRLHYNWCSSHVVPVTSPAMQDAYNHHEYYDSTWNSYVGHETVTESQLSGYLHWLEEKNKGDRGVDEIDEIDLLADKFIANCHEKFRLEKQESYRRFQEMMARSI >KVI08404 pep supercontig:CcrdV1:scaffold_1045:36794:40227:-1 gene:Ccrd_013218 transcript:KVI08404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin MREIVSVHIGQAGIQVGNACWELYCLEHGIQNDGLMQGDSSKGSADDSFNTFFSETSSGKHVPRAVFVDLEPTCLDRVRKLADNCTGLQGFMVFNAVGGGTGSGLGSLLLERLSVEYGKTPKLGFSIFPSPQVIRALDIERPTYRNLNRLISQIISSLTTSLRFDGAINVDINEFQTNLVPYPRIHFMLSSYAPVISATRAFHEQSSVQEITSSVFEPSSMLAKCDPRHGKCPTGFKFGISYQAPTVVPGGVLAKTRRAVCMISNNTAVSEVFNRIDLKFDLMFAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGQGADEEQEEEE >KVI08403 pep supercontig:CcrdV1:scaffold_1045:40626:42835:1 gene:Ccrd_013219 transcript:KVI08403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase, copper/zinc binding domain-containing protein MGGLKAVAVITGGDNSIKGSLQFLQDHNGVTHVRGKIVGLKPGLHGFHIHALGDTTNGCNSTGPHFNPLNKDHGGPLDAIRHAGDLGNIVAGQDGVAEVSIEDYHVSSGFNLQCKASDQAPLYL >KVI08414 pep supercontig:CcrdV1:scaffold_1045:131760:144195:-1 gene:Ccrd_013226 transcript:KVI08414 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCR4-NOT complex, subunit 3/ 5 MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNTVSELESQIDSFEAEMEGLSVKKGKTRPPRLTHLESSIARHKAHIMKLELILRLLDNDELSPEQVNDVKDFIDDYVERNQEDFDEFEDVDMLYNTLSLDKVEALEDLVTIGPPGLVKGASVLDQVEETVSQDNSSEQGARTPPPKSSAISSSPPTPTGNHALPVAVSATTPNLAASSILPGPTSVRGVLENTGSTIPSSPINNAAKEEEVGGFPVRKSSPALNEAGIRNLGRGSLINQSSVTIPISSVGSISTNGSHGVAPSVSEMAKRTMLGTDERLGSSGMVHPPVSSLSNRMILPQGVKTSDAFSSGDNGNASEAGGMTARVFSPSGVPGIQWRPGSSFQNQHEGGQFRGRTEIAPDQREKFLQRFQQVQQQGQSTLLGMPPISGGNHKQFSAQQNPLLQQFNPQSPTVLSQGGLGGPQPTGPNPVTSAQQPTSIHLQSGQQALMSTGSKDSEIGNAKIDEMEQQQSLSDDSAADPAQSPGHSKSSVNEEDQKVSYALDMQAGASGSLTEHAQTTRDVDLSPGQPLQSNQSASLGVIGRRSLSDLGAIGDNLSGLAVSLGGTHDQQYNLQMLEAAFYKLPQPKDSERAKSYTARHPAVTPSSYPQVQAPIVNNPAFWERLGSDNIGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHKKYNTWFQRHEEPKFATDDYEQGTYVYFDFHIGNDEMQNGWCQRIKTDFKFEYNFLEDELIV >KVI08411 pep supercontig:CcrdV1:scaffold_1045:92726:94445:-1 gene:Ccrd_013223 transcript:KVI08411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGRNLSPILRRELENLDKDADSRKSAMKALKSYVKDLDSKAIPIFLAQVCETKETGLTSGEYTISLYEVLSRVHGTKIVPQIDNIMTTIIKTLTSSVASFALHQACSKVVPAIARYGIDPTTPENKKRQIIHSLCKPLSDSLLASQENLSSGAALCLKALVDSDNWRFASSEMVNEVCQRVAAALEKPMQTNSHMGLVMSLAEHNGLVVEAYARLLIWSGVTIMNTGASEGNSQKRLEAIQMINFLMRCLDYKCIMSELSFVIEEMEKCQTDQMAYVKGAAFEASETAKRILTEKGSKYEHRNSKRVDEDRYSFTGSPESQTMGSFGGCTSMNDSPFSINFLDSGRSVNRRHWRNGNGVVDVSLKDGLFSGGMSTPRSVIENSEHNGFSEDYADGFSGFLQKSSTNGDSRSATPSPQKSRSYINLDNIKIFTTPRKLVKSLQAPNSDLSEKQSQRFEIPSSSKFDSNPTSNQDESGISSDRQDEQYRSTSESMSSTEELCQELVPETKAKPRFFALRILSGLIVLFSVIVCFLLVGIPDEDYNLVPT >KVI08413 pep supercontig:CcrdV1:scaffold_1045:125071:126117:1 gene:Ccrd_013225 transcript:KVI08413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MDFCRNVSVSGGIPANYPPEQQLLSPSCSKLGSLDDLFSGHSMEEGDINTEWLSIFVEDCLSSSGSCIPVAMPLNPMSTTSDAQGTTTTAAAAAAAAEEEKDSEMKKKKKKPHLGNNLSLHKLVVPCKARSKRRKRSQFKGTTPLSWCQDYPMNTDPPLLHQAYWLADSELILPNKSTTPNSSRSGDYGQGVYKQIKHEEEEGSSSGQGRRCSHCLSQRTPQWRAGPEGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYKHSNSHKKVLEMRMCSSSSS >KVI08405 pep supercontig:CcrdV1:scaffold_1045:11289:16441:1 gene:Ccrd_013215 transcript:KVI08405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/S7 MEVAAPVAEDGKSRSDVLLFNRWTYDDVQVPDLSVEDYITATASKHPIYMPHTAGRYQARRFRKAQCPVVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDANPIQIIVDAVINSGWSYFVGINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KVI08402 pep supercontig:CcrdV1:scaffold_1045:86006:90639:1 gene:Ccrd_013222 transcript:KVI08402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase MNALAATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSISELERLTRVFTQKIHDLIGIHTDDLGGSLGRDAATGRGVLFATEALLNEYGKTVAGQRFVIQGFGNVGSWAAQLIHEAGGKVVSVSDISGAIKDNNGLDIPNLLKHVKEHKGVKGFGGANSIDANSILVEDCDILIPAALGGVINRENANEIKAKFIIEAANHPTDPEADEILSKKGVVILPDIFANSGGVTVSYFEWVQNIQGFMWDEAKVNKELKKYIGQGFKDVKEMCRTHNCDLRMGAFTLGVNRVARATVLRGWEA >KVI08407 pep supercontig:CcrdV1:scaffold_1045:35313:36095:1 gene:Ccrd_013217 transcript:KVI08407 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein PRVLKPSKGRGKNSSNNNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITHDHRYASSQTMVELPKNVVLSEMGLVTEFSEFERMKVERQISASLYAMNGVQEYIETVNDPNEAIWDLPPLCSLFC >KVI08410 pep supercontig:CcrdV1:scaffold_1045:56352:56995:-1 gene:Ccrd_013221 transcript:KVI08410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMRVFLVFFSAMLAAYITWRSVQTSSEIEDTDDLSTSKQESSMIKMVQNAVFVFIDMASGKYLWRNLRQMSEDGKTKSY >KVI08408 pep supercontig:CcrdV1:scaffold_1045:22973:31357:1 gene:Ccrd_013216 transcript:KVI08408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MASSDINRPTEIQFAQVAAVLGPDNSHFETLISHLMSAANEQRSQAETLFNLCKQNHPDTLVLKLSQLLHSSPHAEARAMSAVLLRRILTISSDDESQSQTLYPSLSPATQLTLKSTLLESVSRESSKSISKKLCDTVSELASLVLPENGWPELLPFMFQCVTSGNPRHRESALLIFAQLAQYIGETLVPHLDTLHAVFLGCLGTGTDPDVRIAALGASINFIQCLEKASDRDKFHDLLPLMMQTLTEALNSGEESTAQEALELLIELAGTEPRFLRKQIAEVVGAMLQIAEAETLEEGTKHLAVEFVITLAEARERAPGMIRKLPQFIKRLFEILMKMLLDVEDDPAWYSAEVEHEDAGETSNYSVGQECLDRLSISLGGNTIVPVISEILPAYLAAPEWQKHHAALIALAQIAEGCSKVMTKTLEQVVSMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQNQYHHLVLPALASAMDDFHNPRVQAHAASAVLNFSENCTPELLKPYLDGIVGKLLVLLQNAKQMVQEGALTALASVADSSQENFQKYYDAVMPYLKTILVNAMDKANRMLRAKSMECISLVGMAVGKDKFKDDAKQVMDVLMLLQGSQLETDDPTISYMLQAWARLCKCLGQDFLPYMNVVMPPLLHSAQLKPDVTITSADSDADIDESDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGQSQGRNESYVKQLSDYIIPALVEALHKEPETEICSSMLDAINECLQICGPILDESQVRSIVEEMKQVIMASSARRNERAERVKAEDFDAEEGEMLKEENEQEDELFDQVGDCLGTLLKIFKGPFLPLFDELLPYLMPICYTDAAYMHGINILSYGPGKDVTAEEKRIAICIFDDVAEHCREAALKYYDTFLPFLLEACNDSSTDVRQAAVYGVGICAEFGGGAFRQFVGEALSRLDAVIRRPDALHPDNVMAYDNAVSALGKICQFHRDSINAAQIVPAWLNCLPIKGDLIEAKVVHDQLCSMVERSDGELLGLNHQYLPKIVAVFAEVLSAGKDLASEQTVGRMINLLRQLQQTLPPSALASTFSSLQPQQQLALQSILSS >KVI08406 pep supercontig:CcrdV1:scaffold_1045:4227:7758:1 gene:Ccrd_013214 transcript:KVI08406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGLSFSWPYANLKDLDDHMESILSKSLSLNEKDVRRVLRSLSFDDHRGSCKLAKMRSSGSSGMIGGSLSFNGREINRVSSLKRSSNEEYYTPAASPEAKRVESPKPLMNPDLAAVKLQKTYKSFRTRRQLADCAVLVEQSWWKLLDFAVLKCSSVSFFEVEKPETAVSRWSRARTRAAKVGKGLSKNEKARKLALQHWLEAIDPRHRYGHNLQFYYAKWLHCESRQPFFYWLDIGEGKEVNLERCPRLKLQQQCIKYLGPIEREAYEVIVEDGKFMYKLSKTVIDTTGGPDDAKWIFVLSTSMVLYIGTKQKGKFQHSSFLAGGATISAGRLVIVDGTLKAIWPHSGHYLPTEENFDAFLSFLEQHNVDLHAVKEEFPNEVAGFGMRNSVSEPTIFQGTIATTTKSYHRKNSKSRKDRYSNRLQMTRDLKARITTLEIPKNESIILAFQQKALESEPESEPESDSDSDSNSDSASDYDTAEEFFSDIELMVSKRNLFDYGEEDEAYNKSVPKEKIMQRINSHKENKSFQLAKQLSCRWTTGAGPRIGCVRDYPLELQARAMEEMCLSPKSTSTSPRRFGFGMHLETSSPAKKKPEKSPLFSKN >KVI08409 pep supercontig:CcrdV1:scaffold_1045:47329:49897:1 gene:Ccrd_013220 transcript:KVI08409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEDEGWVNIPYDGLLQVHDDGGNKFFSRKYVRSPKNLFKMDYFKSSREFVEEDSRSRNQLIPLPIQMDDHREEEVKEVTGLPVLINGELKEAPEADLDPIFQVFFKKENEFVEMKMDSSRSSPRGISLPYIDTDVFQYEEKSDDDDDDDMASKVGVDEVEKAIHEEGNEGLNLWKWGVNGIGAFCSFGMAAATICIILLGSGQRHKQHNHKIRIQIFSDDKRIKQVVDRANEAMSAVRLRGVPLSTAHITYGGYYEQPL >KVI08412 pep supercontig:CcrdV1:scaffold_1045:108787:114974:1 gene:Ccrd_013224 transcript:KVI08412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKSGLTSELKSLLRLSPSGNVLNILSMAIFFHLVSSHPHLVLLAGVKEGFSDVHRGNIFHYRQPMILLRGSFSNKPFEPFPRKSATNFPKYFCGKLSELRLAAFSTSASLPVVNEENEGSPKIDETKVVGYSGQICANHQTYCWLLEGYLSSGSLIDAKKLHGRILKSGFDKDYVICSRLADVYVAQGDLNDACQVTDEMPNRDVSFWNNLIFGLIGKKMATMVLGLLTKMLAGNVGPDEVTFANVLRACSGDKVDHNYVKQIHTKIVRHGFGTNYVVCNPLIDLYAKKGHIDTAKYVFQGLGMRDKVSWVAMISGFSQNGHEEESILLFSEMHGSGILPTPYVFSSVISSCTKIAFFKLGEQFHALIHKWGFSSETFVCNALLTLYSRCDNLLSAEQIFSKMDCRDGVSYNTLISGLAQKGLSEKAIQLYEKMQSDNLKPDSVTVASLLSACASVGDFLKGTQLHSYAIKAGVCADIIIEGSLLDLYVKCSDIKTAHEFFLTTEKENVVLWNVMLVAYGQLGDLHESFRIFSQMQIKGLRPNQYTYPSILRTCTLVGALDLGEQIHSQVVKTGFQQNVYVCSVLIDMYSKHGNLDTALKILRRLDEDDVVSWTAMIAGYAQHDLFVEALNTFEEMLQQGIQSDNIGFSSAVSACAGIQAINQGRQIHAQTVTCGYSSDLSIGNALVCLYARCGKVDDAYMVFDKMNSKDNVSWNGLVSGFAQSGYCEEALKVFNRMIGFGVEINMFTYASAVSAAANTTNITQGKQIHGRMIKTGYNMETEASNVLITLYSKCGNLDDAKSEFLEMPDKNEVSWNAMITGYSQHGCGDEAIELFEKMIWYGNKPNYVTFVGVLTACSHVRLVAKGLEYFKSMNEDYGLVPRAEHYACVVDLLGRSGLLSRALEFIKKMPIEPDAMVWRTLLSACTVHKNKEIGEISAGHLLELEPEDSATYVLLSNMYAVSGKWDDRNWTRKLMKDRGVKKVPGRSWIEVKNTVHAFFVGDRLHPLADEIYESLDDLDKRAAEIGYVQDRYSLLNDLEQDQKDPSTCIHSEKLAITFGLLTLSNTIPLRSETRIASIILERVSVPAKTIGDYDALRKLVLRPFQDQDIQPTQNFSSENIECMKPKDDGALVSYALCWLQGKNANEIKAKFIIEAAKTILLILKPM >KVI01018 pep supercontig:CcrdV1:scaffold_1046:51408:54886:1 gene:Ccrd_020717 transcript:KVI01018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought induced 19/ RING finger protein 114 MDSNFWTARLAVAKRQFTTHHHHHHHQSSHLDRLNVDDFEVEDEIRPDFPCPYCYEDFDIGSLCSHLEDEHSCESKATVKYLQRRRRLRRVAVPNSQALSLLGRDLREAHLQVLLGGGGGGSGGYRPSNITSSAVASSAVSDPFLSSLVLNFPGSEAEEISKSIASNVEESSVKSATPQHIWKSSSEEREKRMRQATGRAVFLQDVLVSTLLTAD >KVI01022 pep supercontig:CcrdV1:scaffold_1046:81273:100731:-1 gene:Ccrd_020719 transcript:KVI01022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MEKPYRLQRRGGDGSRPVEEDRDRADVEDDDGRRQCGRKTRLGFRSQAMDDVCEGKDFSFPKQEEKILQWWTEVKAFETQLEKTKGLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPVEHEIDTKLGIKSREDVIKMGIDVYNEECRSIVTRYVGEWEKVITRTGRWIDFKNDYKTMDLNFMESVWWVFSQLHKKGLVYRGFKVMPYSTGCKTPLSNFEANSNYKEVPDPEIMVTFPILDDQEGAAFVAWTTTPWTLPSNLALCVNSNLVYVKVKSKSNGKIYVVAESRLSELPVEKAKKGTPNGAVDDNSLPKAKGSTGGKAKSSVVAYEVLDKFSGSSLVGKKYVPLFDYFKEFSDMAFRVVADDYVTSDSGTGIVHCAPAFGEDDYRVCIENQIINKGENLVMAVDDDGCFTERITDFSGRYVKEADKDIIQAVKARIVECLVWNLVFYMHLRKEGWSKLEALRIHIHFAGDLIPLSSTEQFPAVEKLKDQLLENNNKTKWVPAFVKEKRFHNWLENARDWAISRSRFWGTPLPIWISEDGEEIEVMGSIEELERRSGMKVTDLHRHKIDHITLPDKRGPKFGVLRRVEDVFDCWFESGSMPYAYIHYPFENAELFENNFPGHFVAEGLDQTRGWFYTLMVLSTALFEKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVIDDYGADALRLYIINSPVVRAEPLRFKKDGVYGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAPFVPLDQATLCNSPNVLDQWINSATQSLVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEEDCRTALSTLYHVLLTSCKAMTPFTPFFTEVLYQNLRKISSGSEESIHFCSFPEVQGKRGDRIEQSVNRMTTIIDLARNIRERHNKPLKTPLSEMIVVHPDAEFLDDIAGKLKEYVLEELNVRSVVPCNDPLMYASLRAEPDFSVLGKRLGKSMRVVAEAVKGMSQEDILSFEKAGEITIATHCLKLTDIKIIRGFKLPDGVTEEQMDAAGDGDVLVILDLRPDESLFQAGFAREETYIKEALGSPLLDFSMIPKHAVIIAEETFHNISNCNFKITLSRAALAFNEKAILEDLYSVDCIENQPAVDVVLGEHVFLTVGDYLASTKAL >KVI01020 pep supercontig:CcrdV1:scaffold_1046:29475:36921:1 gene:Ccrd_020715 transcript:KVI01020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFAGRSVLRSASTGVRSSASRISAGAKPSFTGAARSPFRVPARNPLSHRIFRSPVEKSCVALESMLPFHTATASALLTSMLSSAPYSCGWTIDGHTSKAKEKKKHEIIQIPSSKVFFEIYVGMLTIIRCPFDCFPGGFVRCS >KVI01019 pep supercontig:CcrdV1:scaffold_1046:9980:10474:-1 gene:Ccrd_020714 transcript:KVI01019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MKNTIKCCISCILPCGSLDVVRIVHSNGRVEEISGTVYASDIMKAYPKHVLKKPSFPSYTDDGRVSCPEIVILPPDAELRRGKIYFLMPAPPLPPPPPPRSKIRKKKKEVVVVNNDNGGSLSDRYLTEILSEKVVSTQRDRKRGRAGVWRPHLESICEGTSDNS >KVI01021 pep supercontig:CcrdV1:scaffold_1046:56945:60810:-1 gene:Ccrd_020718 transcript:KVI01021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane attack complex component/perforin (MACPF) domain-containing protein MSFYKTNFFDPQSAAEKAVSVIGNGYDLNSDIRLTGCKPGPSGSTLIDLDGTVTKDLLVPGGIVVPNVSASIKCDKGERTRFHSEVVSFNQMSERFNQDVSLSGKIPSGFFNLMFGYKGCWQKDASTTKSLAFDGWFITLYNIELQRSQIALSEKVKIDVPPSWDPAALAQFIDKYGTHIVVGVKMGGKDVIYLKQLQTSDLEPREVQNILKQLADENLSEDVKKTSEKSVKQKDERSVAWDLPPGFTNSLRPSIKLLSKKDDLISIHVRRGGIDNDQSHNEWLSTVSQSPNVISMSFVPIRPVTGIRLYLEGRKSDRLAIHLQHLSTLPKTLELFDDFSDEPSDEFAGKGYLEPVKWSIFSHVCTAPVEYNETRIDDSASIVTKAWLEVKGMGMKKVLFLRLGYSMVGSSKIRRSEWDGPSMHSRKSGMMSMLMSAPFSTGLTPADQNSPVKVDLNSAIYPNGPPSSAPKMSHFVDTKEMVRGPEDPPGYWVVTGAKLCTEGGRIHVKVKYSLLTIISEDSMFI >KVI01017 pep supercontig:CcrdV1:scaffold_1046:39921:42428:-1 gene:Ccrd_020716 transcript:KVI01017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAETATVEINKQQSEQVGTGVVKRKPVFLKVGELKPGTTGNTLAVKVLSSTTVLDKKSRNTSSFSGRSGAAHTHIAECLIGDETGTIVFTARNDQVDLMKPGTTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPATFTVKEDNNLSLVEYELVNVVDE >KVH98639 pep supercontig:CcrdV1:scaffold_1047:135205:140115:1 gene:Ccrd_023141 transcript:KVH98639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MMDPKFAGNPIPTSPYYGGGRTDIDQMPETPNRGSHHRRAQSETFFRFQDEDILLDDVVADFNFANIDLPSFSCDAPVPTTTEDSSSKSERESSDVNAGQLTPRKSMGYSTHLRSLSVGSDFFDGFGLGSAAETEKVGDGGAAYRHRHSNSMDGSAATSFEGDSVLMMLDNSKKALAPDKLAELSLIDPKRAKRILANRQSAARSKERKTRYTGELERKVQTLQTEATTLSAEVTKLQRDTSGLTSENKELKLRLEAMEQHAQLRDALNEALREEVQRLKLEAGLNGMNYNASLPPQYSSHGRQPLHNYPNPNAQQQQKTQNSNNNNRLKPSFMDFN >KVH98636 pep supercontig:CcrdV1:scaffold_1047:18138:18719:-1 gene:Ccrd_023137 transcript:KVH98636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MSRLPREVNRNTELKLIENERERAVSLSKRRNDLFKKANELATLCGVKIAILLFSITGKPISFGSPNIQSVVNRFLNSDQVDQQQDDTDTRSINFYRESTNPELNNELNKVIERLADVKKEGQMLDEILKRPYGGKTHKEYVRSLGYDELMQLKGKNEELKRICVEASRLSSSNEEHDAIYSKIGGPKKYLKM >KVH98638 pep supercontig:CcrdV1:scaffold_1047:59118:59957:-1 gene:Ccrd_023140 transcript:KVH98638 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF125, transmembrane MATIAVNDMVHHQTSLELEQKDVFDYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIRAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQIKRDFNRRDDVEAEVDDLPNPWQAAAASALAFSLGAMVPLLAASFIKPYKIRILVVAAAVTVALAVFGWLGAALGRAPILKSSTRVVVGGWMAMVITYGLTRLIGTKGVLH >KVH98635 pep supercontig:CcrdV1:scaffold_1047:22150:28637:1 gene:Ccrd_023138 transcript:KVH98635 gene_biotype:protein_coding transcript_biotype:protein_coding description:CobQ/CobB/MinD/ParA nucleotide binding domain-containing protein MTRFFNTTVKKVGGFRGYAVSTEIGSGSNAQTLKIQGVKDIIAVASGKGGVGKSTTAVNLAVSLANKCQLNVGLLDADVYGPSIPMMMKLHGKPEVSKALEQMTRGVEWGQLDILVVDMPPGTGDAQISMSQRLQLSENMSYFKCPHCSKPSYIFGKGGARKTAEEMGLGFVGEIPLEEEIRIGSDEGIPVVISHPNSAVSEAFGDVAHKIISRLHELAGDQHFRPEITL >KVH98634 pep supercontig:CcrdV1:scaffold_1047:42220:52102:1 gene:Ccrd_023139 transcript:KVH98634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein MGRKKPAAREEDGVVGGGKSRKKAVVIDDDEYSFGTELSEEKPVQEEEHVAPVAGKKKGKKGNQKNLRVNDDEEDVEDDQEIQFTGKKKPSSKAKKGGNSFAASGFALLGDEDDETSDVTKDEEDDVSEEEDASVVFSGKKKSSKSKKGGSLFTGSAFDAIGDDDGDLVDNSGSKQQSEDEDDEPIISFTGKKKSSKSNKKPSGSIFSASHFDGLDEGENEKGSDVDDQDDAPVTFSGKKKSTKSSKKKNSPSDIALTGGGTDEEVSVSGLSIDDVADRDEDSSIKFSGKKKPSKKKNSNTVDAADEHEQPSTSITSKEADVPETSKNKKKKKKGGRTAQEEDDLDKILAELGETPAPAPATVQQPQEEKVQPQAEPATVADEGGEKDANEETVESAAAKKKKKKKEKEKEKKAAGGKTTAAPAVEEKQAESKSKVPDKKVPKHVREMQERLARLKEAEEKKKREEEEKLRKEEEERQRQEELERIAEEKKRLKKEREKEKMLKKKQEGKLLTAKQKEEARRLEAMRNQILANAGGLPLPTGDTSRAQTKRPKYQTKKSKSHPQANGKASSTKATEITEARDNQEDNVSELGSVEAEKADDVDMENMEAPAVEENGNKEDEEEDDEWDAKSWDDADLKLPGISAFADEEEVTEAEPKHKLEPVPVVKKEIKSASNSSVVPHLTKELHQNLESKEIPPATVVANKGKQQDVKGKKEEKKEEEGEKTLRSPICCIMGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYIPAENIRERTKELKADAKLNVPGLLVIDTPGHESFKNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLRMRNTEFIVALNKVDKLYGWKTCRNAPIGKAMKLQSKDVQLEFEHRVTQVITEFKEQGLNTELYNKNKDRGETYSIVPTSAISGEGIPEMLLLLVQWAQKTMVEKLTYSSEIQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDEIVVCGFQASANTLLGPIHTSIRSLLTPHPMKELRVKGAYIHHKEIKAAQGIKITAQNLEHAVAGTALYVVGPDDDVEEIKESVMDDMRNIGTPICIPQREFIDIGRISSIENNHKPVSYAKKGQKVAIKITGSNAEEQQKMFGRHFEMEDELVSRISRNSIDILKTNYRDELSNEEWRLVVKLKNLFKIQ >KVH98637 pep supercontig:CcrdV1:scaffold_1047:145302:146396:1 gene:Ccrd_023142 transcript:KVH98637 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDNIFSQLPQDIIHQIFSRISLRQVIICRSVSKILNSTLSSPSFTHLLATTSPFPLLALRPPHRHHHLSPSPLLHLFDPIQTHWLHFSLSFLPFPSAHPIASSLGLLYLWADSPSSDSNKSLVVCNPLTRRYKTLPQLGSAWSRHGSVLVGSTNNRVIVLNELAALYYSNSTNTWLKFSSNLPSKPRSPIFVNDAIIALCDVGSPWRSQWKLFTCTLQNLQTSQPWNTLEKHEWGDVFDILKRPRLLKGFGNTILMIGGLKSSFSLNASCSTILILRLDLGTLEWGEAGRMPVEMFRCFQESSKFKVFGGGNRVCFSAKRIGRLALWESNERGKEEWRWIDGVPGNGDGLYRGFLFDARLDASP >KVE46871 pep supercontig:CcrdV1:scaffold_10474:3086:4933:1 gene:Ccrd_023970 transcript:KVE46871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase, beta chain MTNRQLNVKYNSDPYRYIDKAFSASQRAEESLTDEEALEGTWVVFLLFLDRLSRLEGIIPALETSHALAYLEELCPTLPNFTNGRGDKDVHTAIKNLQVILKLNVNRLYQILNLGIWKADSEPASSYNSS >KVH91428 pep supercontig:CcrdV1:scaffold_1048:74775:80381:1 gene:Ccrd_006549 transcript:KVH91428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFILFNFKQLEPDPCFRRGDSCFLITAYQGNLNHGQDWANSKDMMHYQDGDVIDSNNVAQILATFRSQVNKRRYETLPRTLRKLYNVDPNDYLFSIYGMRIFGSSPLLEKWQLLLHVNDGSSNLVGPSQLAISDKSFLIFRGRSGXTRKLSLSLWITNSFSNMNWIRLDSINNRILG >KVI03177 pep supercontig:CcrdV1:scaffold_1049:134044:149327:-1 gene:Ccrd_018532 transcript:KVI03177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alginate lyase 2 EFGLFHWVFKTDKPRSINSKTLPRTEIRIRGYDYASGVWQFEGYGYVPHKTTRVFGSKPPHATTAMLRVMDKSLYYSRKTVILSNLYNKWFRLNVIHDVDQNAVKVYIDGVLKFDGAGNGGTSHFFKCGGYDYALGVWQFEAYGYVPRETTGVCVMQVFGSNPPHATTAMLIVMDTSLYYSSKTVILSNLYNKWFHLNVIHDVDRNIVKVYIDGVLKFDGAGNGGTSHFFKCRVYSQKDDSSYIESPIDTTIGFVSQPLNQSNFDIQKPYDVPLQQRYSFSNGVHKLWVFKTDKPHSRTSKTSPRTEIRIRGYDYASGVWQFEGYGYVPRETTGVCVMQVFGSNPPHATNTMLIVKDTSLYYYRRTVILSNLYNKWFRLNVIHDVDRNTVKVYIDGVLKYDGAGRRGKSHYFKCGLYSQKDGSSYMESRWRDIRIFKRHD >KVI03176 pep supercontig:CcrdV1:scaffold_1049:28194:28505:-1 gene:Ccrd_018530 transcript:KVI03176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVI03175 pep supercontig:CcrdV1:scaffold_1049:108290:114679:-1 gene:Ccrd_018531 transcript:KVI03175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alginate lyase 2 IHFLISFVLVVSIYVISLQDSLVCHSTRPIIPTINLIIFLFGKGIASPMEFTNYGFSPRIDEPLHRGSPTLPPYAFVPHGTTGVSVMQVFGADPPYASTLMLRVYNGDLYYYRKKVVVHNIYNKWFRLNVIHDVEGKNVKVYINGVLKLKVHGRGGTTHYFKCGVYGQAHDSFYVESRWKDIQIYKKSN >KVI03174 pep supercontig:CcrdV1:scaffold_1049:27161:27841:1 gene:Ccrd_018529 transcript:KVI03174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolamin-like domain-containing protein MANPSLLILLTLVLPTISRPLATTNAPTLAARLNSHDGQDGASTGCWETLFELQSCTGEIILFFLNGETYLGTGCCRAIEKIEKQCWPSLLGSLGFTTEEGDILRGYCDISSDNDDVPTATPPPPSIIPPPPTTTPPPQAANTTTTTTTVASSFYIITVANHHRTQFKHRPPPPTTTNTKLVKA >KVI03173 pep supercontig:CcrdV1:scaffold_1049:11004:23476:1 gene:Ccrd_018528 transcript:KVI03173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGDVVTIANKYQATSDSQVFMAKVHGIIYSSVKNPHHPRLNCNTRGLVPREFSHRQPFWLKLKLSGKGKLITCEKLNGSRAASFLCRSRETQTAETKESVGHNEDCSDNFSRTEGGDGQHFHPERIGVTNRALAEACKFAYNDARYVNERAKSDLFLLSRKLDARARQDVAILGSGFLMLDARAREDTEKIDNDVKKRAENLHHIALILHNKAQSKLKSVADRHWSDGALEADLRRADYIAKQRAMEDVLMALEFIKDVHDMMISKMYELKNGALSSDDMAGQITLEKNGMVLDFFLGEVSTDRITAIQEAYRDMASALSEVDGIDYTDPEELELLVATLMDLDAMDGKGSVSLLAECSSSPDVNTRKALANALSVAPSMWTLGNAGMGALQRLAEDSNPTIAAAASKTISELKRQWEIEEGDNYRFMMNQIPLPKLDYDDDADDDDAI >KVE45662 pep supercontig:CcrdV1:scaffold_10490:129:4538:-1 gene:Ccrd_023971 transcript:KVE45662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin head, motor domain-containing protein MDHFFPCMIIIVATRIKFVTDTGKVDWRHKQRHRDITVSLPLNKKNTDTASIFVPLYPVLSLLSSVVPALSSALPASSSSFITQQVNHRRLLSHVLGCAFFTGGIVHYPKVQSFNQTAAIVSSGLLLMAVMGILFPAVLHFTHTKVHRGKLELALSRFSSCIMLVAYASYLFFQLKSEVLRTDFKLILHIIMLLSLQLEGLHDTVVEQVSGKDHVLNHLSIXAACFTHPEISMVGLTEDLARLAASPTIAFTEPQTITKWLDPESVAVSRDALAKIAYSRLVDKINSSIGQDSSSKYIIAVLDIYGFASFKTNRCFTVSTAVGVLVSTTAVSSWFCHAPVICIYILLRTANKNRQA >KVE45642 pep supercontig:CcrdV1:scaffold_10496:1888:2250:-1 gene:Ccrd_023972 transcript:KVE45642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein LFYLFYQHLENGYSYASNHSRKSSSLTIFVEWNHTPTTTEVPKGYFAIYLGEKQEKKRYVIPVSLLCQPSFQDVLRQAEEEFGYDHLMDGFTITCSEEMFVDLACRLGVFDLACHINTQSR >KVE45641 pep supercontig:CcrdV1:scaffold_10496:3722:3937:1 gene:Ccrd_023973 transcript:KVE45641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAVPKGYFDVYVGEQEKKRFVVPVSLLSQPAFQELLYQTEEEFGYSHPMGGLTIPCSEDIFTDLASRLGAL >KVE45623 pep supercontig:CcrdV1:scaffold_10498:697:1038:1 gene:Ccrd_023974 transcript:KVE45623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSFTKAVQKAFRRKNEIFYCISTKEISIDIADVSGQVYLPLITKSEIRQKLEKIPTDTRKKITFAHIGAIKILIKAQFRNGIDSPIKMALVDNRINNRKDNLLGAPLGGWWSP >KVI09797 pep supercontig:CcrdV1:scaffold_105:257622:258119:1 gene:Ccrd_011811 transcript:KVI09797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEDSPLTLKLISLLVIVSLVAILVAVYHFVTVGWFNYWWRPTPPHHVNQHNRHQDNDYSLENSVVLLIPSHKHQKGSRLRVSGRERGDDDAMCSICLCEFEEGEELRTLPECSHSFHVPCIDMWLYSHSTCPVCRANAVPSSQILFQFLDSDSDTEVRQEASNIV >KVI09793 pep supercontig:CcrdV1:scaffold_105:326086:331185:1 gene:Ccrd_011815 transcript:KVI09793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGSRTTVLTFAEKCKTILASNWQGNLNTVKADAKGSSTSHGENLQELLDEDQQYMSYKFDISSITYIEGKGSSHEVDLKDMEESKADPLSLFSASLIDGINQSEARRRALMILCATYLNKNVKWWFPLIGKGWTFKVVGPMTDVGSRECEWKELRLVLTEEAGDVETFCRRL >KVI09811 pep supercontig:CcrdV1:scaffold_105:455350:458114:1 gene:Ccrd_011823 transcript:KVI09811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin/actin-like conserved site-containing protein RKFLSVLVALHIYTHFLTISSGSIRWRNQRKFSHLCAIMELEWLSSLNQVHLVLQAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDGLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTNSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVCFLVMWIAKAEYDESGPSIVHRKCF >KVI09794 pep supercontig:CcrdV1:scaffold_105:278313:283740:1 gene:Ccrd_011814 transcript:KVI09794 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MMKGSRATVLTFAEKCKTILSSNWQGTLNTVKADAKGSKEEIYSSKVKYFVKKGRPYIWVPEGDLHNVNTVIDERGSLAVTSPIPGSLASLLQYLKKPPNRIALIGEVVPLGDKKVKTAAESLREAIISEGEAIKKFSYSVSGILCSSNFSSTSHGENLQELLDEDQQYMIYKFDISSITYIEGKGSSHEVDLKDMEESKADPLSLFSASLIDGINQSEARRRALMILCATYLNKNVKDVVVVSIDRKGVDLLGKVVGPMTDVGSRECEWKELRLVLTEEAGDVETFCRRLRNI >KVI09802 pep supercontig:CcrdV1:scaffold_105:21250:23868:1 gene:Ccrd_011784 transcript:KVI09802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAPKGCMSINKLNSIMECCIKIDTVLFCPSCLSMRPDGTKKAYVRLTPDYDALDVANKIGII >KVI09796 pep supercontig:CcrdV1:scaffold_105:356566:358963:-1 gene:Ccrd_011816 transcript:KVI09796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA IPKLLSLSISSIHISFSVRNKLPAGPESHSTLLSSSIDNPLQTSEMATTTVDLQIIPLHNCTKCIRKVEKTLCRFDGVKLLDVDSENGKFTIQTTRHPEEIRDALQRKFSGKSVILSKRIDHLDPLSTLINPRNSSIEGPLNLHDLAEALVTVCHANGLQTVEITQSNTINFTFGNQLTTSASATNVPPPAPPPPLPQYQPTTSGSARRPENIWDSEDGGHGYVNVPPPPPPPPPPPPPPPPQYQGTTSGSAWEDENVWSYGGARNEDVWGYGGTRNEDFGYGYAPPPPPRTTTEPSAAPLFPRAQVQGYVYGYPIELYRDDDYSCGCCTIL >KVI09786 pep supercontig:CcrdV1:scaffold_105:92439:93863:-1 gene:Ccrd_011791 transcript:KVI09786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRERSMEMSRDPWLRSADRLKPYLGVLFLQLGYAFNGIIVKSALNKGLNPCTFSVYRNIAAVIAFGPFALYFERKLRPQMTLSVFLKIMLLAQLELEKVNIRRLHSQGKIIGTFVTVGGAMVMTLVNGPPIPLPWTNLSRVHHPRASEEPSQADHLKGAIMITAGCFFWASFYILQAVTLKKYPAQLSLTTLICTMGALQGTVMTLVIEKGKTGIWSIKWDTKLLATLYSGIVRSGASYYISGLVMKEKGPFFVTAFNPLGMVIVVI >KVI09806 pep supercontig:CcrdV1:scaffold_105:260:15922:1 gene:Ccrd_011783 transcript:KVI09806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MAASSSSTSSQISLKLLVDKKDQKVIFAEADKQFVDFLFHILSLPVGTVIKLLTKNSMVGSIGNLYHSIENLSDTYMQPNQSKNSVLNPKIANVGTQVPLLLLPNDDAPMAKQLYSCSRSSGYDHHSYVADDPTAVCPSCDGLLNRRLSYVAGSGSEKLTAEEGGFVKGVVTYMIMDDLEVKPMSTISSITMLNNLNLKEIGGLEEKLVPFASSSTSSQISLKLLVDKKAQKVLFAEANKQFVDFLFHILSLPVGTVIKLLTKNSMVGSLGNLYHSIENLSDTYMQPNQSKNSVLNPKIAKVGTRVPLLLLPNDDAPMAMKLYSCSSTNYHQPHSYVADDPTVVCPSCSKLLNRRLDYVAGSGAEKLTAENGGFVKGVVTYMIMDDLEVKPMSTISSITMLNDFNVKEIGGLEEKLVPFGMEEASSKTKSKAKTILKETKASSTLSLKLLIHKKYQKVLFAEASKEFVDFLFHSLSLPVATVIRLLKKKSMVGSMGNVYDSIKNLSDTYMQPNQSKECVLNPKIANYGAHVPLISLPDDDYVSMVRKFYKCYQHSYVADDPAVVCPECKAVMDTQLSYVADGGAAKLTAEGGGFVKGVVTYMVMDDLVVKPMSTISSITTLNEFKVKDIGGLEEKVVYVDMKEGLKLLEASLHSKNVLTSVFLA >KVI09782 pep supercontig:CcrdV1:scaffold_105:517439:520139:1 gene:Ccrd_011830 transcript:KVI09782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MRRYSPPYHSPPRRGYGGRPRSPPRRVYEGERGRHKEENHGSLLVRNIPLNCREPRGFAFVQFVDAYDAAEAQYHMNGRMFAGREISVVLAAETRKRPEEMRRRTRVRGPSGSDGRRSYHGRSRSQSRSRSRSPRRPLGSRSRHRSRSYSPAPKRRSDYSQSPVKREPRSPLVGREIDDVRRSYSPGYGAAADQNVDRRSPSPYEGGAGYKSSASRSPPGSRSRSAADISPPPRD >KVI09795 pep supercontig:CcrdV1:scaffold_105:385291:386966:-1 gene:Ccrd_011817 transcript:KVI09795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSNIPELDHLKLSLDDIKLATNNFADDNLIGHGGFGRVYKGQLPVSTRSSEPAGTINVAIKRLDGKHGQGEHEFLMEIFMLASYKHDNLVTLIGFTDEGGEKIIVYKHEARGSLDKYLANKDLTWSQRLQICLGAARGLVYLHSGAGLGHRVLHRDIKSSNILLDVNWEAKISDFGLSKIGPTNQAHTFLVTKACGTIGYVDPQYVKTGTLSKESDVYSFGVVLFEVLCGRFAFVGEYEDERRFLVGLVKHHEGSKTLDKIILPNLQEQMKPDSLDAFLRIALQCLNEQKKYRPTMRSIVQELEIALQLQTNITSTRLWGSFAGGEPWSIRLESHQKLRKIFIDHQSFIYSIAFATQDINNDLEIIGIQGTFGVVPTVPFGNIPLISSLCFLTNKKTHGPFGMEEGTRFSESWNVGSLRGFYGRAGSYLDSFGCLLKAMP >KVI09789 pep supercontig:CcrdV1:scaffold_105:118145:121896:1 gene:Ccrd_011794 transcript:KVI09789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MTEGLDMSLDDIIKNNKKSGRSDAHTSHRGGGGGRGRGHGRSLESGPGPTRRFDNRVMSRTNPYFVPQVVFSFDGRLFICKKCWLEGNRIWKRGQSYTSRTWTMELPTRILSHELHTHVVHLQVLFSDVGELKRYSIHYDRSGRSKGTAEVVYMRQPDAVAAMKRYNNVLLDGKPMKLELVGINIFTPVPIPPMQKGILGNPINASSRSCVLIKFCDLGDSIQGRIVGRGQERVGNGNRGSWSGKSRGQQLHKPSAEDLDADLEKYRLQAMHIN >KVI09788 pep supercontig:CcrdV1:scaffold_105:134924:140651:-1 gene:Ccrd_011797 transcript:KVI09788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSFNSGPRSPATSSRLQLGGVGGISRAMRSSSSKKPPEPLRRAIADCLSSSLLSVQGSSSAVVSEASRTLRDYLASHGTTDLAYGVIIEHTLAERERSPAVVARCVALLKRYLLRYKPSEETLLEIDRFCLNIIVECDNSPSRRLTPWSRSLSSQAGSSATTSNASPLPVASFASGTLVKSLNYIRSLVAQHVPKRSFQPAAFAGATSASRQSLPSLSSLMSKSFNSQISPGIGKEASEIKEGSATSVLDSPIAEDVDGVEGDEFIALFPFVAFCNPWKSNSAVTVP >KVI09798 pep supercontig:CcrdV1:scaffold_105:242793:244382:-1 gene:Ccrd_011810 transcript:KVI09798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSVDCGGTKDSTEEDTNTIMPAKEKLGGDLLTTLSMDNNHLSTILSMDSSLSAHDEMERELNRAVDLSLPPDINLPLSAEPSPPPSSWNDTCDMLDVGLGPQHYEVETNINVTKIGKKCAKRLDSVWGAWFFFNFYFKPALNEKSKNKVNRENGYDKSDLKLDVFLVQHDMENMYMWTFKERPGNALGKMQLRSYMNGHSRQGEKPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLMGLDEEERKRWMELTGRDLNFAIPLDASDFGSWRNLPSTDFEIERPPVIRNNNSTNGNHQPKRLLNGSGLNLSTQISEHVNGEANGNGMDLLVGCNSKRRKNFFPHGNDDDNSDGVLDTHPMEPHWLTEFSGVMRTAYGPVTAAKTIYEDEEGFLIVVSLPCADLQRVKVTWKNTLSHGIVKIFCVSTGCMPILKRKDRTFKLTDPTPEHCPPGDFVREISLPTRIPEDAKLEAYGDESGTMLEIIVPKHREGPEEHEVRVCLRPSPWNERPYVDLTETLI >KVI09821 pep supercontig:CcrdV1:scaffold_105:181909:186027:1 gene:Ccrd_011801 transcript:KVI09821 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MSAEDLPKKEANVLKGHEGAVLVARFNGDGNYCLTGGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTTDNSKICSCGGDRQVFYWDVSTGRVIRKFRGHDSEVNAVKFNEYASVVVSAGYDRSLRAWDCRSHSTEPIQIIDTFLDSVMSVCLTKTEIIAGSVDGSVRTFDIRMGRELSDDLGQPVNCISLSNDGNCVLASCLDSTLRLLDRTTGELLQEYKGHTCKSFKMDCCLTYTDAHIVGGSEDGHLFFWDLVDASVVSSFRAHSSVVRLSFLSYNSVCNTREGSAALGDAKKGPNLILRVTSVSYHPKESCMITASVDGSVRVWKA >KVI09814 pep supercontig:CcrdV1:scaffold_105:482581:483746:-1 gene:Ccrd_011826 transcript:KVI09814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGISKHLSLALLLLFLPAVYSIGVNYGTLGDNLPPPSQVAHFLKSRTIIDRIRIFDVNPDIIKAFANTGILVSVTLPNGEIPSLTDPRNARRWIDTNIKPFYPATKIHYICVGTEVLHWGPQNLIDNLVPAMKVLHAALVKEGFGDIKISTPHSLGILLSSDPPSNASFRPGWDVGSLAPMLEFLKETKSGFMVNPYTYFGYSPANANFCLFKPNAGLFDKATGITYTNQFDQLMDAVHVSMKKLGYPDVEIVVAETGWPSGGDPANVHANPVNAAAYIGGLMKKVNSGDGTPLMPGRKFETYIFALFNENLKGPSLDEKNFGLFRPDLTQVYNVGIEHAPLVIFFSDVSFD >KVI09817 pep supercontig:CcrdV1:scaffold_105:149816:153609:1 gene:Ccrd_011799 transcript:KVI09817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1077, TMEM85 MVRNRKFVIWYRSTLSPSRLSEGRSRPLRPRLLYQSRISLRSLRKWAVDFTDNSSTPSSRDIPDPPGFTRASHDQDDSTMSRQKKDAEANWKSQKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPLSALQGVGKVFNPYRDNKVDLVGPKLLFVALNLGGMLLGVWKLNTLGLLPTHASDWVSSLSPAHEIEFSGGVAAPDSRTPPPRADQFGSIVLALLSYTGHSQRALQKLHHTGPSRSRKR >KVI09792 pep supercontig:CcrdV1:scaffold_105:392120:393891:1 gene:Ccrd_011818 transcript:KVI09792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MYTPPNLISNFHLIVFYWNIHIFKSYIKRYRGSLFLSSIHVIMSMIPELNTFHIPLDHIKLATNNFAHHNLLGQGGFGRVYKGQLAVSGDPASTVNVAVKQLDVRHGQGEREFLTEIVMLASYKHDNLVGFIGFCDEDGEKVIVYKHEDRGSLDKYLADTELTWLQRLQICLGAARGLAYLHGDVGLGHRVLHRDIKSSNILLDANWEAKISDFGLSKIGPMNQDYTFLVTNPCGTVGYVDPQYVNTGILTKESDVYSFGVVLFEVLCGRLAVIQEYEDVRRFLSRLVKTYDPMEVSDEIIFPNLREEMKPDSLEVFSKIAYRCLDVERKHRPTMRLIVQELETALQLQTNIRKTMLWGSSAGGSPWSFRLENHQKLKKIFIDVDANFIYSIAFAIQDTNSSDSMLYFQQHGGSHGPSGDTIFQINFDSDEEITGIQGTLMGLYGHRTLISSLCLSTNKKTHGPFGKEGGSRFSESWEKGCFKGFYGRAGYYLDALGCYLKTMQ >KVI09816 pep supercontig:CcrdV1:scaffold_105:122681:124185:-1 gene:Ccrd_011795 transcript:KVI09816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVEMFVHSHSHVSILIIPVASFGLLMWLLLPSLDAGMKFRKRCVVEINNRNTRHPIYICQLVRIHLKYQIRRRLQQLKKARSVVVDLCLLILYKMRLESWVLYLDLRCWKTQRSPCKMGLWICCNHSIYITWKWGI >KVI09820 pep supercontig:CcrdV1:scaffold_105:153659:156537:-1 gene:Ccrd_011800 transcript:KVI09820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNEVNLHLPNHQPNLPCLRLWPISRRRSSNRMKRMGMSWEDVVLIEGGKTSTDPTVVTVNCPDKAGLGCDLLRIVLEFGLYVTRGDFSTDGKWCYIVLWVVPRPSSLRVDWESLNKRLLSCCPSCLPTFYLNQLSDCSKPPQLYLLKVFSLDRKGLIHDVTKVLCELELAIQRVKVMTTPDGKVLDLFFITDGMDLLHTNIRREKTCEHLRVVLGECCISCELQLAGPEYDIQQGLSCISEAVAEELFSCELSAKEDRAQTLSADVSKVKKASITVDNLLSPTHTLLQIQCLDQKGLVYDILKISKDCDIRIVHGRISSSVKGYRSLDLFIQKKDGKKIVDNDNQVALCSRLKEEMLHPLRVIITNRGPDTELLVANPVELSGKGRPRVFYDVTLALKTLGICVFSAEIGRHSTPLRQWEVYRFLLDESRGFPLTSNRAKRDIVDKVRRTLMGW >KVI09809 pep supercontig:CcrdV1:scaffold_105:432062:437854:-1 gene:Ccrd_011821 transcript:KVI09809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait LVRLYAVSPDIANLPSCDNNPRRCYLSPRFSLPTKSPPIPPSGFCSALISHNRRTRARASAVSAKSDESPKPSKIEKPSEPEEQVDFDGDIEETVEEEVEYEEVEEEVEEEEEEEVEEEEEVEEEEEEEEEEEADAEDGTDAQKGQDEEMETAEDEEDLKKKHAELLALPPYGSEVYLGGIPLDASEEDLREFCESIGEVTEGRRIKCSTSQAKHKLFIGNVPKNWTLEDMEKVVGRVGPGINSVELLKDPQNSRRNRGFAFIEYYNHACAEYSRQKMLNPKFKLDDNAPTVSWADPKNAESSASSQVKAVYVKNLPKNVTQEQLEKIFEHHGKIMKVVLPPAKAGHERSRFGFVHFADRSSVMKALKNTEKYELDGQVLECSLAKPQADQKSSGGSSNSQKAALLPSHPPRVGYGLMGGAYGALGAGYGGGYGQPLIYGRGPSTAGMAMMPMLLPDGRIGYVLQQPGVQPHTPPPPPQHQRGGGGRSGGGSSSSGGGHRRGNESGGRGRSRYN >KVI09812 pep supercontig:CcrdV1:scaffold_105:461671:466340:-1 gene:Ccrd_011824 transcript:KVI09812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 2 MEIWGWSTMLQLTVMLAIIFGLGLVVTLVIETFRRRFNHSHLEAHPIFEDPNSLKQVKCPYIYDPAEKYISLIVPAYNEECRLPVALDETMNYLEERARKDQSFSYEVIIVDDGSSDGTRRVAFDFVRKYKVDNVRVVLLGKNQGKGEAIRKGMLHSRGKLLLMLDADGATKIDDLEKLENQILAVAKMEHQTAGDSSDGIADIPIVAFGSRAHLEKKALATRKWYRNFLMKGFHVVVLLAAGPGVRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLSKFFSIRIIEISVTWSEIPGSKVSPLSILNMLWEMALMSLGYRAGIWKIRNRGL >KVI09819 pep supercontig:CcrdV1:scaffold_105:192912:197959:1 gene:Ccrd_011803 transcript:KVI09819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARLRDNGTTGRTGTTEDGRPVGQTNEDDTVDEMRKFDPWPVFFRREFSRNWPFLVGFAVTGTIITKFSLGLTEEDAKNSTFVQRHKKLCSYAAIEKTRERCQFEIYKKMSSGESHMKCNIHASCSGKETLKVTIEMHDKRRWEKLLIEDASCRINHDMDKS >KVI09827 pep supercontig:CcrdV1:scaffold_105:238957:240369:1 gene:Ccrd_011809 transcript:KVI09827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFRRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKAGGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMKNTIKDDKIASKIPADDKKKVEDAVEQAVQWLDSNQLAEAEEFEDKMKELEGICNPIIAKMYQGEGMGGVPDMGADGSGEGPKIEEVD >KVI09822 pep supercontig:CcrdV1:scaffold_105:208916:215930:-1 gene:Ccrd_011806 transcript:KVI09822 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MGETLRLFQSNKKLELRITATQDLPKSRLLISIANRSSKKTLKCVTPMKVSMVATGGAGEIIVFLLTTAVLEMVRRFSSANCPFIWRGLQALQVLCFPPFKWIQKWAPFSGLVKGMQTLSRPLMFLSVATGFFDESSSSKGTLSGLSADLTELSTQLSTQGRGPVNKVPRSVTMEDWLVELYAELDQQGITIPERINEEELRRFYAVSDGDFARFLSSVKKTIRWRQKYTLLSPQELEAWANLVFWHGSDVMQRPCLIIRVGLAGSSLVSNGRAQFVRAVVSQVEYGVLNLLDVEHPQLIVLMDCEGLSPFGFPVQTFRSCVVLLQDHYPNRLGCLLVVRLPSVARVITQTLFQVLKPRTQQKLTVAGEDYQQVLSRYFEEFPTFLGGNCCCSKCANEVETVVERTNVASTEVYVGSSSLDINWEHVKIAAAAALLLWVFGVFFLAAYYHQLLFPNTSDS >KVI09801 pep supercontig:CcrdV1:scaffold_105:29986:40921:1 gene:Ccrd_011785 transcript:KVI09801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSRGLFGWSPPHIQPLTPVSEVSEPPESPSPYMDTSNDALPVEAEEEMEESEEIEPPPAAVPFSKLFVCADRLDWVLMVVGSLAAAAHGTALVVYLHYFAKIVQLLAHDHENADLLFDSCNVDQKVRMFAGSGSSLSSFMLWLLHILQDNWIVLSSSDIVSRFLQLALTLVYIAGGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLAIGFINCWQIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAAGVAEQAVSYVRTLYAFTNETLAKYSYAASLQATLRYGILISLVQGLGLGFTYGLAICSCALQLYVGRFLVTHRHAHGGEIITALFAVILSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSSSTVDNDGNTIASVQGTIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDATSLQIEDAAKIAHAHTFITSLEKGYDTQVGRAGLSLTEEQKIRLSVARAVLSNPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADFIAVMEDGQLMEIGTHDELIASDGLYAELLRCEEAAKLPRRMPVRTYNETAAFQIEKDSSESRSFQEPSSPKFAKSPSLQRASNLHATRPQDSAYGSHESPRNPSPAEKMVENGVSLDGTNKEPSIKRQDSFEKRLPELPKLDVHAIRRQTSNGSNPESPVSPLLTSDPQSERSHSQTFSRPNSQFADKPIRVQAEKEKQYRKEPSVWRLVELSLAEWLYAVLGSTGAAIFGSFNPLLAYVIALIVTAYYRNEIDKHNNIKDEVDKWCLIIACMGVVTVIANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEDNSADTLSMRLANDATFVRAAFSNRLSILIQDSAAVIVAILIGMILHWRLALVALATLPVLTVSAIAQKLWLAGFSKGIQDMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRFQLGKIFMQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALCVKNGYVDLPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIEPDDTAALKPPNVYGSIELKNVDFSYPTRPEILVLSNFSLKVTGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVMLDGRDLKQFNLRWLRNHLGLVQQEPIIFSTTIKENIIYARHNASEAEIKEAARIANAHHFISNLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALATLVMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGPHDSLMGKNGLYGRLMQPHYAKGIRQHRLV >KVI09824 pep supercontig:CcrdV1:scaffold_105:198795:203441:-1 gene:Ccrd_011804 transcript:KVI09824 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MATNGNSYATTKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDRLMQNEKNEVVVVDNYFTGSKDNLKQWFGHPRFELIRHDVTQPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTIQSPGTQTRSFCYVDGLIRLMEGSNTGPINIGNPGEFTMIELAETVKELINPKVEIQHVDNTPDDPRQRKPVITKAKELLGWEPTIKLREGLPLMEEDFRKRLGITRTP >KVI09813 pep supercontig:CcrdV1:scaffold_105:470029:470694:-1 gene:Ccrd_011825 transcript:KVI09813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKENNKNKKKKQKHKHPNDQSSSDYSFKPSSEVKGLRFGGQFIVKSFTIRRARSLELLRLLSLPPPIATQNKTPSVFPSTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVVLFVFESEKMKVALDRLWPAELPLGEVNRKLIRGLTGCEMSRFKFRKGCITFYVYAVRRTGNLGFSCADDLRVILEYVVALNDFLDHTSMLAMPNQRSISFAPPAAMAH >KVI09805 pep supercontig:CcrdV1:scaffold_105:60346:63133:1 gene:Ccrd_011788 transcript:KVI09805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MGPIRRFKSRRRAEQPHTPASTTLVTPSLPPPPFSDWWNDFSQRFYDILVFMNHARLQKISTLVQHIHDVRTKCVFFLGPGLDIKVHPGAPSLGLEPMTLPMNDSTVDPFSKSLKDLFWVCPMMFLFVKLARKVCFDPSAPHSHGFVLSEPVWSNGSVNPYNPLAHEFKSWIRSSYEIPDSESFESMFKMSMKTFEYISSLVKEEMLAKASGFSDLSGDPLNLYDLVAVALRRLGSGESLSLVGESLNLNQTTVAQITKLFTDAMEVRAVCHLRWPSTEAEVEEVKGKMETISGLPNCCGAIETTHILMCLSIADRSSNVWRDRENNQSMTLQAIVDADLRFRDIVAGWPGSLTDETIHKKSTFFRLCQEGKKFNGKKRELSEGTEIEEFIIGNSGFPLLPWLITPYQGDELSYSEAKFNRMVTKTQKVAQKAFAKLKENWKIIQKLMWRPDKDRLPKIILTCCALHNILIDMKDEVQEGLVFSDRTGLNYRPAFCAAGDDHNGSVLREKLSVYLSGVEE >KVI09823 pep supercontig:CcrdV1:scaffold_105:218213:219233:-1 gene:Ccrd_011807 transcript:KVI09823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MTRTAGVESPSPRPRIHQTHPHDTPHFHSTLSERKLRRFNSLIFIFRLAAFCFALSAAVFMLAATKSVSPRWYDFGAFRFVVAANGIVAIYSLFEMVASVWEISRGSTIFPEFCQVWFDFGHDQVFAYLLLSADSAGTELARQLRGIATCTANNAFCIQSDIAIALGFAGFLFLMISSLLSGFRVVCFIINGSRFHL >KVI09803 pep supercontig:CcrdV1:scaffold_105:48206:50692:1 gene:Ccrd_011787 transcript:KVI09803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MIHSKRSILYSFLCAFHLQFAICSSSTHHADSFLITCGEAKTPTEMEDGRVFESDLGNTLVNISPLSHTIVSNFEMGVPKILSSARVFTTSSIYTFTTNKIGRHWLRLHFYPVNNTRFDLNSAVFSVEANGITLIHEFSFFRDNLSFPLFKEYIIEVTGSNSGKLVLGLLPWDNSIAFINGIEILFVPDKQFTSKMLSIPLGSVLELPTHVGFETVFRLNMGGPNLSPINDSLWRKWGSDESFLVNPAAARNVSVNPSSIRHHNGVSTDIAPNSVYATAQEMADANVNNQRFNISWLFEVEQGFAYFIRLHFCDIVGSRLHELVFDVYINNQSAIESFDVSSRAKGLSSAYFVDFFTNVSMGSNRILVQVGPSRLGGYLPTAVLNGLEIMKMSNPSDSLDGRLAAYLDSNEIKDSKKMRMIIVISSCLGVGFVLILLVLIVFTVFLCFRHKKKPKDDDDDGPVAWSPLPMYVGNSGTKFSSNTFGSTTASHSLSQILSFSEVRDATRNFDKSLLLGSGGFGKVYKGVLENGLVVAVKRGNPRSQQGLVEFKTEIEMLSKLRHRHLVSLIGYCEEQNEMILVYEYMAGGPLRQHLYGSNLPPLTWKQRLEIGVGAAKGLHYLHTGVAETIIHRDVKTTNILLDENLDAKVADFGLSKFGPSLNQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMLEMLCARPAINPTLPREQVNIAEWAMNWQKKGELEKIMDPDLMGFVNLESLRKYGETAQKCLSENGNERPSMGDVLWNLEYALQLQEVGNGSGGDVDGISDIPDRIPDVESVHSIDIETVSDLESNGT >KVI09787 pep supercontig:CcrdV1:scaffold_105:124290:134912:-1 gene:Ccrd_011796 transcript:KVI09787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHNFLEVGAAALLLGDMEAKTKGEFWRNFGSIDMPYLDQLLQPSLLTTVTNSASARAHLRAITASKRSKTGPHQIWYACIWKIDCIGRFSYDDIPSSFSTTFPIPTLQYNPCSHSQCICFVSEQQPLRLNPVEVGEVIAAVCSEKPSPIANMMTMSSKLSSSSGKPSMDVAVSVLIKLVIDMYVLDPGIAAPLMLSMLEEMLGSSQLTSKVRAFDLILNLGVHGHLLEPLLADDASTIEEEYTQEPYLDRKLSTQGARKPDYLRTQSLSAINNFESWILSILYEVLLLLVQAEEKEESVWASALSCLLYFVCDRGKIRRSRLKGLDIRVIKMLIQISRRNSWAELVHCKLINMLTNMFYEVLEGSKTSMPTFLVDQVDLIGGIEFVFIELVISNSREGRRNLYLVLFDYVVHEINESCIATGISEYSNDEIQPIASLLTLADAPEALHISVKLGIEGIGEILRRSISAALPRYSNSERLNMLLEKIMKKFDALLRSLTHLDKEFSHMTELTKSYKYLKSFEDGVLRNSYGLKVKLAWATLHSLLHSERAAIRENGYVWLGDLLIAEINEDGDSIWSNIKNLHTRISLASINDYSPELEIPLPVWLMCGLLKSKNNLIRWGFLFVLERLLMRCKFLLDENELQHSVGNEAHGKTRLDKANAVIDIMSSALSLVARINVTDRMNILKMCDILFSQLCLKVIPGNKSSSTDTLRSSKSFNYSVWNRKTSVMEDLPLRENFCWEPVEDCKGRFGSNTDNPTPETASMAALLLQGQAIVPMQLVARVPADLFYWPLIQLAAAATDNIALGVSVGSKGGGNLPGATSDIRSTLLLLLIGKCTADPDAFKEVGGEEFFRELLDDTDSRVAYFSSTFLLKRMMTEEADNYQRGLSSLVSRAQQSNNEKLLENPYLQMRGLLQLASEGLWN >KVI09807 pep supercontig:CcrdV1:scaffold_105:509063:511429:1 gene:Ccrd_011829 transcript:KVI09807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MASCFCYRLKTEGSRHDAKPIDVVFLMHLTGKVTSATVPPSPRSQSEILQSANLKSFSFNVLRTATRNFRPDSVLGEGGFGLVFKGWIDEQSLAAAKPGTGTVIAVKRLNQEGLQGHQEWLAEINYLGQLDHPNLVQLIGYCLEDDQRLLVYEFMSRGSLENHLFRRSSYFQPLSWNLRLKVALGAAKGLAYLHSPDAKVIYRDFKSSNILIDSVNGPVDGKSHVSTRVMGTYGYAAPEYMATGHLTERSDIYSFGVVLLEILTGKRCIDKNRPSNEQILVDFAKPYLTHKRTILHIMDQRIDSQYASGVAMRAGTLAMKCLAREPKYRPSADELVKVLEQLLELQKASDRCRKEPVRKQNDNKKLPHRVTVPTTTIHHDDELPVDSNLVLACRSKRDMSDAL >KVI09783 pep supercontig:CcrdV1:scaffold_105:102089:111935:-1 gene:Ccrd_011792 transcript:KVI09783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MAAKYAAAGSTLTSVTKLGRKSSVFIWRNKTSSAEVKSSDLAYMATREVSIEISESILKIREGFEEEIEGSWQWMIMTEPEEEMSPFHPFDSSFMEDDGGSAGSEIEMSPDTARVRSKMISPSSKDRFKRELDGVQVELQATDPTECLMLYMLGCNKM >KVI09784 pep supercontig:CcrdV1:scaffold_105:110326:112653:1 gene:Ccrd_011793 transcript:KVI09784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYNKLLNTDSFAGQPDKYTYTFVLKACTHLLDIEDGILLHEEIVNRGLESDGEVEPNSVSLLNLFPAICKLSSVRLCKCIHGFVIRRNFPKAIVNGLIDVYCKCKSTDLAYRVFELMQEPDDVSWGTLMAGFALNGCHYEVLELYDQMKNSHLKVNVVSVVSALSAAGETRDLKKGKMIHECVKKNKFDSDIRVSTPLITMYAKCGELEKARDLFHRLSGKDMVAWSAVIAAFAQSGYPEEALSLFRDMQYASFKPSMITIVGVLPACAELFSKKLGKSLHCYAVKHNMDSDISIETSLVAMYAKSDDFTSAELVFYRMFYKEVVAWNALINRYAQIGEADLAFKMFSRLQSSDVQPDPGTLVGVVSAAAHLGDLNLGGSIHGLVTKYGFESDCNVNNALIDMYAKSQSLASAELLFCLTKRRDQVLWNVMIGAYMRHGYGQESIFTFRQMKTEDFRPSLVTLVSVLPAAAYLAAIKEGSALHADIIQTGYLSHTAVCNSLIDMYSKCGRVDYAETVFNEINVKDTVSWNVMLAGYALNGRGDHAFGFFSIMQENLVEIDPVSFVSVLSACRHAGLVEEGKNVFSSMKVKHHMEPKLEHYACMVDLLGRAGLFDETLALIESMPMEADAGVWGGLLGACQMHCNVKVAELALDNLVKLEPGNQAHYVVLSSIYAESGRWADARSLRTKMIDMGLKKTPGYSWINEKQDLHLVEG >KVI09799 pep supercontig:CcrdV1:scaffold_105:269673:275715:-1 gene:Ccrd_011813 transcript:KVI09799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase NELRCSFCQTTIIVYHSGWDRHRFQANATIVGSKKLAIMSDDGDLPWRFSADQGLEDQLFDRDRHIRYLEMNYHLLPSPYEGQEIIRLTLAYFTISGLHILDALDRVDREAVINWVLSLQAHPKNEAELNNGQFYGFHGSRSSQFKSLDNGVSVPNNSHLASTYCALAILKTVGYDFSLIDFSSILKSMKNLQQPDGSFMPIHTGAETDLRFVYCAAVISSLLDDWSGMDKEKAKNYILSCQSYDGGFGLIPGQESHGGATYCAVASLRLMGLIEDDLVSRCTSSSIIDVPLLLDWCLQRQADDGGFQGRPNKISDTVGGVLRILGANNFIDEITLRKFLLTCQSEYGGFSKFPGQLPDPYHSYYGFTAFSMLQETGLNSFNVELGISTVTL >KVI09826 pep supercontig:CcrdV1:scaffold_105:222314:224787:-1 gene:Ccrd_011808 transcript:KVI09826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific mitochondrial import receptor subunit TOM20 MEMQMDFDRLVLFEHARRSAEATYAKNPHNAENLTRWGGALLELSSFQSIEESKSMIKDAISKLDEALLIDPKRHDALWCMGNAQTSYAFLTPDRDEAKGYFDAAHTYFQKAIDEASFTSTLDCEIQFHEMAITGHISSLALSLFAYTIMDDPGNELYHKSFEVASKAPELHAELHKQGFNQQVMASGAGAGSSTSSNAKGLKSQKNSDLKYDIFGWVILAATIVVWIGFAKANVSPPSP >KVI09808 pep supercontig:CcrdV1:scaffold_105:422082:428168:-1 gene:Ccrd_011820 transcript:KVI09808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MEIFMLSSYKHQNLVSLVGFSDEGDEKIIVYEHEVRGSLEKYLDTDLTWVQRLQICLGAASGLNYLHNGAGKGHRVLHRDIKSSNVLLDESWEAKISDFGLSRIGPTNQEHTFLVTNAAGTFGYVDPVYVTTGVFTKESDVYSFGVVLFEVLCGRLAMIGEYKDERRFLPHLAQLRYEEGKLDEIILPNIRKQMKWNSLRTFSSIAYQCLKPDRKQRPTMPLIVEQLQISLEFQIINFDMDEEITEIHGTVGRTTGRYANYMESMAAIVDSLAKILAASTSNSTQIENLAKQITINTATTTNLVTAFAKLELDPNHGSLPRRITQIGLWGSSTGGSPWSLQLESNKKLKKITIDHEDWIYSIGFTIEVFSGSSSYSLRHGGSGGWSGGTISEICLDVDEEITEIRGTVGITTGCYANYMVISSLCFVTNKKRNFGPFGKETETPFSVSWDAGSFAGFYGRAGFYLDGFGCYLKATV >KVI09791 pep supercontig:CcrdV1:scaffold_105:409393:410715:-1 gene:Ccrd_011819 transcript:KVI09791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MSLLHLLSNSSKHQAIKFKKSTMAVTIPILIDLQINQFCPCEGCIRRVKTTLREVGGVELLSMDLDTGKLTISTAKHPQVIQFALQKKFKKVVDILPQEINRLFGFDTNVQDMAEALVKVSHAKGLESVEYRQSNTLKFNFNQPSSSSSRLPTATYHDVRGSNGVRITADDYEYVVPPPPPPTAEPSAPLIPTTQDQVTGYPADQFYGTFSKTSRNHHSHDCCTIL >KVI09815 pep supercontig:CcrdV1:scaffold_105:484500:488108:-1 gene:Ccrd_011827 transcript:KVI09815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MDCRVYAATGDPWLMMGGSGSGGGGGGGGGGGVGGQMLGGAFSHESEHDLAAMVSDFLENGSSCGGDSRCSSDSDSGFCELAHLADKISYYKVLLDQHGIDMLSVVNSLILSIKTMDLDFIRSGSCNASCIRFSLVKLLKLSGYDAAVCTSKWQGTGKVPGGDYEYIDIINYNDSGRADRLIIDIDFRSHFEIARAVPSYNRILKSLPVVYVGSLTKLKQFLQVMVEAAKSSLKQNSMPLPPWRSLTYLQSKWHSPYQRHIHPEPGTISDSLIPDHKLCNGHLDRLKSLIQFEMETDRNHLKKATSRVKLDRQATTALWSLLVSLFRLLGDCCCNLGKSTGKSQPSNLVLSLILSTIQTLIRDNHVIKVNLVG >KVI09804 pep supercontig:CcrdV1:scaffold_105:63721:66519:1 gene:Ccrd_011789 transcript:KVI09804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRDFDLKKLLNDRRFWFASFLIGWAAALQGHMMWLQRQDSFKQKFGTLDDQKSEDQQVSTEDWIKLTEKLVAGKTHSSPLEVLGCICYHESKQGIK >KVI09785 pep supercontig:CcrdV1:scaffold_105:82930:83469:1 gene:Ccrd_011790 transcript:KVI09785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MVCMPMKRSREDGLDTSINNMANYLMLLSRGTTSTEPYDASLVSRVFECKTCNRQFPSFQALGGHRASHKKPRLLDGDMMDHHDHSTISPAKPKAHKCSICGIEFAIGQALGGHMRRHRTATTTENHSPPPLDLSTPVVKKVNSRRVFSLDLNLTPLENGFEFRVDDEKRTPITVDFFL >KVI09790 pep supercontig:CcrdV1:scaffold_105:146570:147292:-1 gene:Ccrd_011798 transcript:KVI09790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MCTLEKRDNIYILTLTGDDDHRLNPKLLDSIRAAVNRVRSETTTPSVFITTAQGKFFSNGYDLAWSQSDPERHILMSSKLRLLVRDIISLPMPTIAAVSGHASAGGFILAQAHDYVLMRKDRGFLYMSEMDIKLVVPDWFVKLLKNKIGSPAALRDVVLRADKVTAEAAVAKGIIYSAHDSAEETVKAAVRLGEELVMRKWDGHVYGRNRKVLLADVLPALEFDETVEDVATANIVSSRL >KVI09825 pep supercontig:CcrdV1:scaffold_105:206406:208875:1 gene:Ccrd_011805 transcript:KVI09825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccaryltransferase MITDDDLGFIANFLGIFIFALVIAYHYVLADPKYEAN >KVI09800 pep supercontig:CcrdV1:scaffold_105:263811:264893:1 gene:Ccrd_011812 transcript:KVI09800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FYGLSRPLRSLFCLDKDKSSRASPYYIDFTTFSGAFMNTNSLIAELYYLLWWKKRVTNREIQESYNSPAREFLYMFCWRKPSSLSSTGLTTDTQVHEPQAASSQQPLNHPNLWLRPLGEEYDDITVETELLRLQNLSGPPRFLFTIKEETKEDLESEDRSKKGSRGRSLSDVVLSLDTPFFTPIASPPYLTPPITPRDSTYFSPLFQSSSDAEFNRIWASPPPKFKFLRDAEDKLQRRKLIERFEVDGSVEKHDEYTEDDKNGSFITLIVSKEREVDHIHNISSQYPSSSSQVLPLAASPPTFRPQFHKNPNSY >KVI09810 pep supercontig:CcrdV1:scaffold_105:444836:447795:1 gene:Ccrd_011822 transcript:KVI09810 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase, C-terminal MKVTVTTRSGRELINGGLVLDSSATVADLQEAIHQRLKKYYPSRQRLTLPLQPGSKAKPVVLQSKKSLKEYTSGSSDNITVVFKDLGAQVSYRTLFFFEYLGPLILYPVFYYFPVYQYFGYKDERVIRPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWSFGCYIAYYVNHPLYTPVSDLQIKIGLGIGLIMQLANLYCHIILRNLRSPSGNGGYQIPQGFLFNIVTCANYTTEIYQWVGFNIATQTVAGYIFLVVAAFIMTNWALAKHRRLRRLFDGKEGRPKYPRRWVILPPFL >KVI09818 pep supercontig:CcrdV1:scaffold_105:186612:193517:-1 gene:Ccrd_011802 transcript:KVI09818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde/histidinol dehydrogenase MSSMIDLRPCFDQVDHIIVTLSNTVRCAMKSYKLSDLTRTEVDNLKARPRINFSSIFSTVQPIVDDVRSRGDAAVKDYTLRFDKVELEKIIENVNELPDPELDDAVRESFDVAYSNIYAFHAAQKPVEKVVENMKGVRCKRVARSISSVGLYVPGGTAVLPSTALMLSIPAQIAGCKTIVLATPPSSDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIYGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHIAADLLSQAEHGPDSQVVLVIAGDGVNIKAIDEEITKQCNSLPRGEFALKALSHSFTVFARDMVEAITFSNLYAPEHLIINVQDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLDSFLKYITIQSLTEEGLAKLGPHVATMAEVEGLDAHKRAVTLRLQDIKARQAV >KVI01686 pep supercontig:CcrdV1:scaffold_1050:128362:128781:-1 gene:Ccrd_020035 transcript:KVI01686 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MMPSTSKSYCRKPKKVEFIPEPKQPWQVEQPRRNWLDLPSDVMSNILSRLDVLDILENAQKVCTTWRKICNDPSMWRVICMDNRYKWYMHKDVAMYKHAVDRSQGQLIDITIPSFGDEILQYVADRYGNLLELFICDYN >KVI01685 pep supercontig:CcrdV1:scaffold_1050:130260:130672:-1 gene:Ccrd_020036 transcript:KVI01685 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MKREQKLAFWIKLHNALVMHAHLAYGTHNNSRSNSILKATYIVCKECINAYIIQSSILGIRSHFRAPVCLLSLSHRHLFTSTEHDRCETTRHR >KVI11356 pep supercontig:CcrdV1:scaffold_1052:113352:114969:-1 gene:Ccrd_010235 transcript:KVI11356 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MMVVVDAQYVKPYAVDLVVQRKSAANSNDRFTVVDVHGNMMFKIKDIRFSIHGRHILFDATDKPVLTFRKKLRSIHGRWQAFKGENTGHKDLLFSVKKSMAAKHETELDVFLVENKEETTCDCKVKGDWETKSCTIYAHDGCTSLAEVHDKQNIKGTFGITVYPEVDYAFIVALAAILTEIKSNDDGGKKKKKKKKKMSKKNSEKKNSDSSDDDKKVENKDDEKEEEDTNEEEKEPQLEQERDHDEGQEDDDYDDDDDFDEDDSYEEEPKYDIYNDGDDNDYESETSSSDEDESPEEKTEK >KVH88381 pep supercontig:CcrdV1:scaffold_1053:26128:26611:-1 gene:Ccrd_023976 transcript:KVH88381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFQLKLPSLSFLLLLCLLLLLQLSDGLNGAEKTLSTVASFKEIRNRKLLIVNGLEAKEGVFKIQGNKWESKEEGWELRAAPLGPDPLHHHGADPKKPRTP >KVH88382 pep supercontig:CcrdV1:scaffold_1053:2053:20843:1 gene:Ccrd_023975 transcript:KVH88382 gene_biotype:protein_coding transcript_biotype:protein_coding description:FY-rich, C-terminal MAFSSQLLTPNANNKQREDDEEEAPPEEQKVLIQSEEDHRHHHQARYVPLDLVYSATSPCSGYSNVMSKKVKARKYPVLEDHNDAVSVDPKPPPSKPPVIHVYSRCRRRKRSGSFHGPSGYDIFLSNLKTASVVELKEEDIEFEGEDGMMGSIGVLGSNKKRKTSQELINLGLDSVHLDAPRLRGSSRKPNRANDTSVGSRQRRSKNDNGENNWQKNGHENEVTEIDNTNKSTTAASRTKRWVRLKFDGVDPEKFVGLQCKANTDDLDVNEMIVLAANLDDCHDIEPGDIIWAKLTGMEDLECLNTLLKLNVILCHLGAGGALWASSMFFILRILCLYHVAVTHAWTYVLHYRSIFVELMYALFTLIEHDEITIQLYQEEASKVPRLLVFVLDTGHAVWPAIVLDESFVSIRRGLSKVSGEKSVLVQFFGTHDFARVKTKQVISFLKGLLSSYHLKCKKLDFIRSLEEAKMYLSRQKLPKSMLQLRNGVGTDSGGDNDEDECSAGSKKRCLSTEIVHRKLKGPKNCPFVVGDLEVLKLGKVVKNLDCFDVEKSIWPLGYTATRKFPSLADPSVCSVYKMEVLRDAGTKTRPVFRVTTDDGDQFDGSDPAACWNKVYDRIRKMHSSSSDNSQAEGASVNFFKSGADMFGFSDPHVLKLIQGTSDSKLKSGHDMPIGYRPVHVKWKDLDKCNVCHMDEEYANNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRTGAPEFSPPCCLCPVTGGAMKPTTDGRWAHLACAMWIPGSLTVSVCRIFCMCSNNACYVAYHPLCARAAGFCAELADVDRLQLVPIDEDEENQCIRLLSFCKKHSPNPLIERIVPDERMGRIPSPSDYTRPVNPSGCARCEPYDYFGRRGRKEPEALAAASLKRAYVENRPYLVGGFCQHESLSKMPSFDNVGDSKLSFGFGKLKNLPLNGHTSIFSMSEKYIYMRRTFRKRLAFGKSGIHGFGIFAKQPHKAGDMVIEYTGEIVRPPIADRREHLIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEWEELTYDYRFFSIDEQLACYCGFPSCRGVVNDIDAEVQMAKLYAPRSELKDWEGE >KVE43983 pep supercontig:CcrdV1:scaffold_10539:3540:4607:1 gene:Ccrd_023977 transcript:KVE43983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSFLPLSATLLLLLCSTSIAIPISRTTNLMDGGSLKDSTNIHLDHVKESWKIETERMTLEVNDYPGSGANNRHTPKP >KVI07862 pep supercontig:CcrdV1:scaffold_1054:20585:26896:1 gene:Ccrd_013770 transcript:KVI07862 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAATLATLQFSSPYPQTHHCFTNKFKLKSPLISKPNRTYRNCGIKCSYSNGRKPDSSSDEKSPEMLREEKRRAELSARIASGEFTVEKPGFGSLLVSGLTKLGLPKEFVESLSQWINSGEGYPKVPEAKGAISAIRSEAFFIPLYELFLTYGGIFRLTFGPKSFLIVSDPIIAKRILKDNSKAYSKDVEMESLFSRLTLDIIGKAVFNYDFDSLTTDNGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDISPRQKKVNESLKLINTTLDDLIAICKRMVDEEEVEFNEEYMNETDPSILHFLLASGDNVSSKQLRDDLMTMLIAGHETTAAVLTWTFYLLSKVDAVLGDRFPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLDKYPIKRSNNMSAIVAVSMLVRRFNFQMALGAPPVKMTTGATIHTTEGLNMTVTRRMKPPIVPTLETQNLESSETVPKADPVVT >KVI07863 pep supercontig:CcrdV1:scaffold_1054:39553:43183:-1 gene:Ccrd_013771 transcript:KVI07863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKTEMGTIPHAARWSVDLRQLGERKRDQNESGKVATRRVSFSHANKPTIDRISSVRRDMSLSLKRPPMPPPLCSVCKLSAPVLGRSPRRFSYEEIERATDGFSANNFLAEGGYGQVYRGILSDGQVVAVKQRKMVSAQGAAEFCSEVEVLSCAQHKNLVMLIGYCIEKEWLLVYEYACNGSLDKHLYSKGLSLTPSLAFQYKMKVACGAARGLRYLHEDCRVGCIVHRDFRPNNILLTHDFEPMVSHPLVGDFGLARWQADGRLEEETRRSRLFVEGKGCVEMIDPKLDNKYDTKEAECMMHAASLCISPHPEQRPRMSKPHSDQ >KVI07860 pep supercontig:CcrdV1:scaffold_1054:49089:52363:-1 gene:Ccrd_013772 transcript:KVI07860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEFYRSGEDGDIVFGESGFGKVYKGTLADGKVVAVKQLDRRNTKARKEFVVEVMKLSNLRHPNLVELIGYCAEGDQRVLVYDYMPKGSLKDHVLGAAQAMQYLHEKVNPPVLCRNVKSTNVLFDENFEPRITDYGLVNLESSSDLRQRVVGTVGCAPEFERTGELTRKADVYSFGAQPYFKDPKRFQELADPMFKGIIPEKKLNQAVGVAAMCLQEESSVRPLISDIVGALSFLTLVPPEGFPSTPVADKDALAKKEDLSSSDSDSSSDSETEHVSWAELLPPPRPEPVPEPSEPEPESEPEPEWEQEPEWEQEEHDWESEPEPEPETDPELEPEPETDPELEPLPEPLPQLELNLESDSDSDSDSDTEPEPEPEPEPKPEPVLEAEATSSHIDQTTLDDGSDSSSGSVYAEDDYSEEEFSDEDKKNTSIMMNPRSKSRMRSKKKVMFKTEGTDSIKPSTKRVDSSFSKKSYKKPKDDQNSVKQKRSMRKSDSKGDDYGSDIELTDESGDEFETQRFKSTISRFTSRSYSTEFQDD >KVI07861 pep supercontig:CcrdV1:scaffold_1054:93660:96446:1 gene:Ccrd_013773 transcript:KVI07861 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGGGLLKMGTDGGDEGEIDGGVQGNFFGSLPEGFVANALSLTSPRDVCRLSLVCSVFRSAAEWDAVWEKFLPPNYQNILAEAGEGGDGGLVRSGSKKALYLRLCDHPVIIDGGNKTSRSFGATPLVIGDGFPFREVAELISVCWLEVHGRISTAMLSANTCYVAYLVYKSALGAYGFEYQPAEVSVGISGAENETKTVFLDQEAWQRQSYERGLPGRRMGMFNRVRRLGSLPAAATMVNGPKRRQDGWLEIELGEYWNQKGEEGEVEMSMTEVKGGNWKGGLIIQGIEIRIRHDR >KVI07864 pep supercontig:CcrdV1:scaffold_1054:117046:117918:-1 gene:Ccrd_013774 transcript:KVI07864 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MQSKKLKMYTGNFDQYVQTRSELEENQMKQYKWEQDQIAGMKEYIARFGHGSAKLTRQAQSKEKTLAKMERGGLTEKVARDKVLVFRFVDVGKLPPPILQFVEVSFGYTPDNLIYKCLDFGVDLDSRVALVGPNGTGKSTLLKLMTGELVPLDGMVRRHNHLRIAQYHQHLAEKLDLDMSALLYMMREYPGNVEEKMRASIGRFGLTGKALMPMKNLSDGQKSRVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVVKTKL >KVI07865 pep supercontig:CcrdV1:scaffold_1054:128522:132124:1 gene:Ccrd_013775 transcript:KVI07865 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEEGEGDFFDGLPEGFLAEALALTSPRDACRLSSTNSVFRSAADWDSLWQSFLPPEYLPEVVVADGDGGHHSKKSLYLRICDHPLIIDQGNKSFSLDKGSGKKCYMLAARHLSISRADSPNCWRWTSIAESRFPEVIELITVCLLEVNGTINTSILSPNTTYAAYLVFKTTPKAYGFEYQPVEVSIGRHGDKCETRMAYLDPEAGLRRRLQPRRRIGLFSRGPFANYAVVPPSKEIGPKPRPDGWLEIKIGEYLNEQGDETELEMSVMEVKGGNWKSGLIIQGIEIRPKDFMQDRKKPTTEE >KVI07866 pep supercontig:CcrdV1:scaffold_1054:11859:15750:1 gene:Ccrd_013769 transcript:KVI07866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MSTPCPPSLLSLAIDAALFNLHNISDLSFLPEHLLIDLFLRTLRAGKLTDRILRIFVATGKEEILSVIQALNIQFVLTPVLPTITIDSNEVDIVIAAFHSDLTSFMEEWRPIFSRFHLIIVEDPDLKEELKIPKGFDFDVYTKSDIDKLAGSSNAAMFSGYSCRYFGYLVSKRKYIISIDDDCSPAKDNNGDLVDIVTQHITNLRTPATPFFFNTLYDPYRKGADFVRGYPFGLRSGVTCVLSCGLWLNLADYDAPTQSLKPELRNSRYVDAVLTVPKNTLMPMSGINLAFDREMVGPALLPALKLAKEGKFRWETIEDIWCGLVVKAVCDHLDLGVKTGLPYVSRNERGSAIDSLKKEWEGVKLMEELVPFFQSLKLSPEAVTAESCVTEIAAAVKKGLGRSHTELANVADSMVAWLKIWKEVRSRV >KVE43982 pep supercontig:CcrdV1:scaffold_10541:461:1626:-1 gene:Ccrd_023978 transcript:KVE43982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVAGGGDEEEKRGRLVVGGEEITGEDALLGWFNGSGGLKNDKDLRAGVALCARATFLGHADAIRELGHCLQDGYGVRKNVEEGHRLLVQANAHKFFVHGSRTEASHALEVSGGEDPLVCVVQALDRMQYH >KVI08149 pep supercontig:CcrdV1:scaffold_1057:4620:6130:1 gene:Ccrd_013479 transcript:KVI08149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIPQVYRIGTTFWDDKYGTQGYPRSALDGKEIIQAKYHYTKAIVDGIAFDLNDDIIVLGF >KVI08151 pep supercontig:CcrdV1:scaffold_1057:44240:78798:1 gene:Ccrd_013481 transcript:KVI08151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dil domain-containing protein ASNIIVGSQVWVEDPEVAWIDGDVLEIKGANIKIKCSSGREITYRESYQALFVLPGSKCSHSAGVGQYTDFDPNTLNDFSWETFNRLAHVTIKTSIFQLRRVIISDTKVVTNASHVYPKDPEAPPCGVDDMTKLAYLHEPGVLENLRNRYSMDEIYVSTCHWWQMVNEGISQSILVSGESGAGKTESTKSLMQYLAYMGGRRGVQGQRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNEMGRISGAAIRTYLLEQSRVCQVSDPERNYHCFYMLCAAPPKMPNLQDDCHHDCIFGFDRHESLDCHHVGFHNVRLGCRDLWVDSVDKRELVGHLQGREVSNRLLVCEKYKLGKPKTFHYLNQTNCYELSGVNESEEYLATRKAMDVVGISSDDQESIFRVVAAILHLGNTEFVKDKEPDSSKPKDDQSRCDEAALEDSFCKRVIVTRDESIKKSLDPIAAVVNRDALAKIVYSRLFDWLVSKINNSIGQDPESKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDILDLIEKKPGGILALLDEACMFPRSTHETFAEKLFQTFKDHKRFSKPKLSNSAFTIDHYAGEVTYQTEFFLDKNKDYVIAEQQALLSASKCSFVSGLFPPAAEESSKTSKFSSIGTRFKQQLQALLETLSHTEPHYMRCVKPNNLLKPGIFENQNILQQLRCGGVMEAIRISCAGFPTRKPFKEFIGRFKIFAPDDVKKSTDDVKTSKLLLEKAKIKGYQIGKTKIFLRAGQMAELDARRSEVLGRSASIVQRKFLSHSECKKFNMLRSSSIQLQAVCRGHIGRHRFECRKRDVASLRLQKDGRMFLKRKSYKLLYSSAIKIQSGMRGLAARNTFRFKKQEAAAVIMQRQCRQYLARLRYCRLRKAAIATQSFWRARLARRELRKLRQVTVSFICSKFFHSLCALLELNEHIVQRYFLQSLLRCATRLSCANLINDFLQAARDTGALQEAKSKLEKEVEELTWRLQLEKRIRVCILYQTNCILIADVEEAKNQESAKLQSVLQEMQAQSQHAKELLLKEQEAAKKEIEQLRAGEEASNKEIVEKFTAENEKLKNMVSSLEQKIDETEKKYEESNKVSEERLKQATEAESKIVEMRNTMQSLQEKITDLEGENQLLRQQIMLSSASKRMSGRFSFSSKGPENGHHDPQTPMKRFDSSLRQSAIEKHRENVDTLIKAATEDLGFSNGKPVVTYIIYKSLVHWKVFEAERTSVFDRLIQVFGSAIQSFRKSPSYSNLEIVRQVDAKYPALLFKQQLTAYVEKIFGIVRDNLKKELSTCISSCIQVPLCCLNCGSITARTSKATTPPSIYWQNAVGCLNGMLHTLEEYHVPIVLAQKIFAQAFSSINVQLFNSLLLRRECCTFSHGEYVKAGLAELEIWCHNATEEYADSAWDELKHVRQAVGFLVIHQKSRISYDDLTKDLCSVLSVQQLYRICTLYFDDNYNTPSVAPEVITKLKASTEGLEDSENNSFLLEDDPCSLNEKEFAEIKPTCSLVENPEFRFLVE >KVI08150 pep supercontig:CcrdV1:scaffold_1057:10867:11304:-1 gene:Ccrd_013480 transcript:KVI08150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSSLSYNDLHRLFKKLDQNGDGLVSPNELQSLLDTMKVGSSVDELERLTGKTNLSFSEFLEFYGMIAVTEEKEGENESESDLFKAFEVFDRNRDGFICDEELQEVLLTLGLWDDNGRMDVKSMIKAFDANCDGFLDFQEFKKMMA >KVI08152 pep supercontig:CcrdV1:scaffold_1057:81058:107834:1 gene:Ccrd_013482 transcript:KVI08152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLNGGGGEWKHENRYATCGHFYHPKCVAKMLHEEGDAATQELQEEIAAGEPFTCHAHKCHKCKKGEHEKVEALQFAGNARDHLSFISEAVKRDKTLQKSEFFASFLEKKPRKRKALDENTEVTMKPSFIVDDMNDECGDEELTLKQSKSAICAICDDGGDLTCCEGKCLEAFHATIESTESRCESLGLRAEIAEITTGFFDVVLQPVAFFITQSVLQRCFMRKVMLQHRNFKKKIAAGEPFACHAHKCHKCKKGEHEKVEALQFAGDARDAELGTPLRNLKFPDIGHSKKQAVESLISKKEVADSDYTSEKGYFSKSQKTRVGKFSSSIRPVDSSKKRVKMSSGSESMKRQRVTEDRENW >KVH93671 pep supercontig:CcrdV1:scaffold_1058:14371:17062:-1 gene:Ccrd_004274 transcript:KVH93671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIISSGHILQSIASIDKKRLTKVIAKRNKRHRKFYARRLQLLTDLYAFSVQHKNISEVKTNYNENRRQGENSRYHRSVIFLPQYPYAKVNFQD >KVH93668 pep supercontig:CcrdV1:scaffold_1058:31728:36517:1 gene:Ccrd_004277 transcript:KVH93668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MQADQAVLSLRPGGGNRGRTSGPRFDSLAFGSSDLPALRPHGGAGASSLAAFKVSNDSRFELHERVRYTRDQLLQLRQVVDIPEEILKVKQEVETDFFGEAQNWTKSEGNSSIQPQTRYSEPDNRDWRGRAPPPVEERSWDAGRDNRDFGRFDNRQPDGNQYNRQEPNSQFGRAQTGGPAPALVKAEVPWSVRRGTLSDNDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITTADILKGVISLIFDKAVLEPTFCPMYAQLCSDLNMKLPPFPSDEPDGKEITFKRVLLNNCQEAFEGADKLRAEIRQMTAPEQDAERRDKERMIKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGPDNKSCPEEENVEAICQFFNTIGKQLDESPKSRRINDLYFSRLKELATNQQLAPRMRFMVRDVIDLRSNNWVPRREEMKAKTITEIHTEAEKNMRLRPGSTSSIRNNRALAAGAQGSLSPGGFNRPGTGGMMPGMPGARMMPGAPVIDNDNWEVPRSRSMPRGDGARVQAPFPGKSPSPSQKFLPQGSGGVISGKSSALLQGSGGAAPLPISQAAAPPSARPAPVPSPSPAPLRAKNPDELKKKTVSLLEEYFSVRILDEALQCVEELKAPEYHPEFVKEAISLGLEKSPPCVEPLVKLLDHLFLKKVLTRADLITGCLEYGSLLDDIAIDLPKAPNNFGEIMGHLVVSGGLDFKIVEGVLKKMEDDYFQKAVFTGVKRVVSSSPSGEVVLESQAEDVAACESLV >KVH93675 pep supercontig:CcrdV1:scaffold_1058:124566:127397:1 gene:Ccrd_004278 transcript:KVH93675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQDGLHHELFPGSTSCRCYFFENMGKLSPDKISGEGFDGRFNDVLRRRFLRQVRLKERRKRRSDGSKQRLFLPEYIAEETEQLRASVDFLVELCDTISSPDDANFANWSHQAVDFILDAIKNILSKGKNIDPVEGIVGSLSLRLVRKMCTTLRGSGKHF >KVH93673 pep supercontig:CcrdV1:scaffold_1058:10670:11799:-1 gene:Ccrd_004272 transcript:KVH93673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCEDDDNVLFARSHAVLSRRNALALPLISFLRLSKSSPPKWVSPAVDFTSKIPSSIVNNDTSNVPPPKSKIKTFCSPLRCDFLSNPYAIAAAVGSLIILITFIPEIAPASFVRSRKGPREFESSRGSVEKDTRSFWRRELLNDKSNLLSYG >KVH93672 pep supercontig:CcrdV1:scaffold_1058:13251:14066:1 gene:Ccrd_004273 transcript:KVH93672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3, N-terminal MLSFKQPISLSHFNQNSSISALTSLIPSSHAVAASPAGTVILDKGIVGGLSRTVMMTTHPLISPILGQSTVRLIDEEQNMVGVASKTAAIQMAEDTELDLVCV >KVH93669 pep supercontig:CcrdV1:scaffold_1058:21134:26706:-1 gene:Ccrd_004276 transcript:KVH93669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MVYFAAHFIKPISYLTISPSRLHNSPGRTTIPLYPCTYLRSYDRLFPLPHCLRSIDDDEQQTTNLLLIDTSLTKKKDRDLNQHKSLDCRCGIKVKEITLSPCLGLLSLWNLALCVFHLLTVQRRMSKDAICSAVDVMQAMASSICSLVTKVNETALNKDLDNSWEVLAEPIQTICIWSHIEMVSLQYWKI >KVH93670 pep supercontig:CcrdV1:scaffold_1058:16929:25569:1 gene:Ccrd_004275 transcript:KVH93670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYLSIEAIDWRIWPEDMMEDMVRPAKLSPWVGVGRFGIQVCSDSKAQDIKYLCTVNKWKTQSARFQRDNSPRQGDRLCSVSSPTTHEHVQLRSRRFEFIMSTYNSGAEGLNSSIVVNENENVTYKQKVRRLLFVVVDRSQTVREREESIVAS >KVH93674 pep supercontig:CcrdV1:scaffold_1058:140600:141275:1 gene:Ccrd_004279 transcript:KVH93674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHQVDNDAQFHVQHLLRKLGSESYIGQRVILAVSQRISLLAENLLFLDPFEPTFPETHSSLYVLIQLMEFLVSDHLISWSKTDGFATGKSLFVFPSEKEKK >KVE41720 pep supercontig:CcrdV1:scaffold_10588:1061:1397:1 gene:Ccrd_023979 transcript:KVE41720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKERNKKYNSINFVDISSDDYSPEDNQGLDYKTAMGTIHAIMSDGTVVTSVEVCSSFTDMLLFQVLLSIDVFSS >KVH88378 pep supercontig:CcrdV1:scaffold_1059:99430:147824:-1 gene:Ccrd_023982 transcript:KVH88378 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1084 QLSSGIKPINPADPPLIDRRFSFPFSTILPLFASTHIYLFIDSNSLCMYVCMYECIGGDKGTGAACLRSEMMIVELEQPGCYSKALVAVNLALAFIDCVIAVLAYYQLMRIHSRTPHRGWTRQKVFHLMIGFSNTALPKILFLAAFLLLLSFWVDLCHQANNEDEDEGSPQEALVEKANKPNLKVNRRRRCCTFRVFSVGSRQQVVILVTLLIFVIMLASAALIWIGLGKNPIDSAVVARVYVDIFAVAMLLLGGALACYGYVLVSKMSKVRSERASSEMWKVAGLAMVSVLCFTTSSVVAVFTNIPVLYHCGWRSIGGIYASLLLILYYLIGSSIPSAFVLWIMRELPPSVAINVPEESRTLTFVSDYSATTQPQHWTTITTAQNQVMHQEKAICIMK >KVH88379 pep supercontig:CcrdV1:scaffold_1059:17860:20127:1 gene:Ccrd_023980 transcript:KVH88379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKKFKPRLLDHSKPPSSPPLVAANCTKASNALINRYSTAGAHRDVLLLYFSMLKTNILPDPYTYPSMLKACTSLNLLSHGLSFHQHAVVNGYSSDAYIAASLINFYSKFGYSDVASKVFDVMPERNVVPWTAIIGCYARLGEVSTVFQMYKKMQCEGIKPSSVTILAMLSGVSENTHIQSFHACAIQYGLSGDLALANCMLSSYGKCGRVEDARSLFESMDKRDIVSWNSLVTAYALIDNVIEISQLLSRMSFSGFDPDQQTFGSLISAATRKGNPIIGKLGHAKIVTSGFEVDVQVKTLLITMYLKFKDLTNAFRIFEATPDKDMILWTAMISGLLQNECPDKALALFHRMLISKVTPSTTTIACALAACAHLGSFLLGTSIHCYILRQTILVDVPTQNSLITMYAKCGRLNQSCAVFEMMYLKDVVTWNAMVAGHAQNGQLSDALYIFNQMRKSFERPDSVTVVSLLQACASIGAYHQGKLTHNFIIRSSLGSSLLINTALVDMYFKCGNIDSARKCFDRMSQHDVVSWSTVIAGYGSHGKGENALEMFSEFLRTGLEPNHVTFLSILYACSHNGLVHEGISLFETMTNHFKIEPKLEHCACIVDLLCRAGRIEQAYEFYKLHFAEPVIDVLGILLDACRTKGNKELGDVIATEMHRLKPEDAGNLVQLVHNYASTARWDGVGEAWIKMRSLGLKKVPAWSFIELNGTITSFFKDHSSHPQYNDIVMGLKSMTMHLKPEKSATDYLECEDDGV >KVH88380 pep supercontig:CcrdV1:scaffold_1059:95457:100620:1 gene:Ccrd_023981 transcript:KVH88380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane protease subunit 1 IFSRFDDSLSPAPEITTIILYKAQFVGNEELKLLSNSEIFLVPAEREREREMSRFQQWALIVKEALDKSFLVAKFFCAVHVTSTYLCSTALVYGPSMLPTFNLSGEIVLLESISTRYGKVGSGDVVIVRSPENPRKVITKRIIGMEGDSITYVVDPINSDRTETLVVPKGHIWVEGDNIYSSNDSRNFGPVPYGLLQGKIWPAGAFGLIGRRPQTVDPALKVAEELNNS >KVI12317 pep supercontig:CcrdV1:scaffold_106:386914:392052:-1 gene:Ccrd_009254 transcript:KVI12317 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MHLEPVRTAGSEIRRISGNILIPEFHDTYINYSIGDKMNRNQNERNRNLEKPIPGCLGRMVNLFDLNTSIGGNRLLTDKPHYEGSPVSRSQSDVSRSRIVDDQINDKVIVSELGKSPSNRKLNGTPIKMLIAEEMSKEEGPKQSPPNLVAKLMGLDALPQRHQLGSASCRTNLRGPRNHLGSTGFIEKEHGFLNTREHNEINLYQEQGEYKDVYEIWQQSCKTCGDLPQRGRCKQSKNEKSMALVREKFMEAKRLSTDEKHRQSKKFQEALEVLSSNKDLFLKLLQEPNSLFAQHHNLQSVPPPPDSRRITVLKPSKLVDGHKLTGSGKKNGKQINETAQMGHCNMWDKSNSRFLQSPECYKYNGYPTQPTQPTRIVVLKPSSGKPHEMKVVASPPSSLRTSNDDGFYGDPEDSETLESREVPKESTCGMSENPSSHRRDETLLSSVFSNGYIGDESSFSKSEVYYAAGNLSDSEVMSPTSRHSWDYINRFGSPYSCSSFSRASYSPESSVCREAKKRLSERWAMMALNGSVQEQRHIRRSSSTLGEMLALSDLKKSAESEELCKKREGSKASTSYLTIDLNKAEDADSSPKNLARSKSVPVSSGISGSRLGEDSDVLDGKKDDSKDLTKEKGVKSSLFKGLFFSKSRKSGKLKSHKSDDEHQSAMHSPRRIGTDGSQCVNDMVVEDVPGLNGSLRKASCQGPADAGLVGEHLPERGYSVRKPETPGNANENQDQPSPISVLELQFEDDDHTAEEEQACFLYVQTLLSAAGIDGEVQSGSFSTRWHSPETPLDPRLRDTYMSLTDNEPLVVHRAKQRHRKSIQRLVFDCVNEALIGITGCSGHVVAEHVWRQMKEWISGEERCVWDSDGGTAAVERVVRKEVVGEVWVEHLRLEIENIKKEIEEKVVKEVVEECVIELTDRGVILGYNP >KVI12315 pep supercontig:CcrdV1:scaffold_106:365054:367248:-1 gene:Ccrd_009256 transcript:KVI12315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAKSSSSSTLSATLPPLVAVLTLLLVAALPKAHAARAFFVFGDSLVDNGNNNYLLTTARADSPPYGIDFPTHRPTGRFSNGLNIPDLISQKLGSEPTLPYLSPELDGNKLLVGANFASAGIGVLNDTGIQFANIIRITQQLQNFLAYQARVSAMIGPDRTERLVNKALVLITLGGNDFVNNYFLAPITARRLQYSIPQFTKYVISEYRNILMKLFDLGARRILVTGSGPLGCVPSQLASRGANGQCASEPQQASALFNPQLVQMIQGLNQELGSDYFVAVNAMLMQNDFISNPRAFGFITSKMACCGQGPFNGVGICNPTSNLCPDRDIYVFWDPFHPTERANKIIVETIYTGSDKYMYPMNLSTIMAMDSMT >KVI12303 pep supercontig:CcrdV1:scaffold_106:469820:473119:1 gene:Ccrd_009249 transcript:KVI12303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCIELEFGLRTTYCRRIVVSQPIHQAKISETWLPNTGITNNSDSHTSRKTSKATSKTRRKMSVSIKKIIRFGLGVNPGTDNHRNNQSVNT >KVI12329 pep supercontig:CcrdV1:scaffold_106:162584:165412:-1 gene:Ccrd_009263 transcript:KVI12329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMISSFGMGRATKLKTESYLASAQFAKKTSHRLSFNPVIVLQGHTSNGGGFELYYEDGAGFGLKAITVEYGRRLLIQLTQIEANELRRMNQNLPTSKTAIEALSMIEIQEIHILNPIELFANTH >KVI12308 pep supercontig:CcrdV1:scaffold_106:12000:19873:-1 gene:Ccrd_009276 transcript:KVI12308 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MDNNHHHHHTHQPPQLIPAKSSRQRYNEWIFRDVPSDIMVELNGVVFALHKFPLVSRCGRIRKLVSEHRENAISKVELLSLPGGIETFEQAAKFCYGINFEITSSNVAQLCCIADYLEMTEDFSTRNLSSRANEYLDTVVTKNLEMSVEVLKQSENLLPLADDLNIVTRCIDAIASKACVEQIASSFSRLEYSSSGRLHMSRQVKGETDWWIKDLSVLRVDLYQRVMNAMKCRGVRPESIGESLMSYAEKELTSLYNLNGKKTGSHGGSSHEGIVVQTIVSLLPVEKLAVPLSFLFGLLRTAVMLDCTAACRIDLERRIGSQLDIATLDDLLIPSFRHADDTLFDVDVVHRILVSFSQQDDSDDEMEDGDGDGDGSGFESDGPGSPSQTALVKVSRLVDNYLAEIAPETNLKLSKFIAVAESLPAHARTAHQGLSEFDKKKLCKLIDFHKLSPEAGAHAAQNERLPLQSIVQVLYIEQLSLRNALGCSYPDDNHLKPPVHHQSWRINSGALSAAMSPKDNYASLRRENRELKLELTRLRMRLNDFEKEHVSMKRTMEKSNSRKFMSSFSKRIGKFHLFGHSSSSRGSSSPSRHSQRTDSKVTVRTE >KVI12323 pep supercontig:CcrdV1:scaffold_106:86572:90309:1 gene:Ccrd_009270 transcript:KVI12323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MGQQSLIYSFVARGTVILSEYTEFTGNFTSIAAQCLQKLPATNNKFTYNCDGHTFNYLVEDGFTYCVVAVESIGRQVPIAFLERVKEDFTKKYGGGKAATAVANSLNKEFGPKLKEQMQYCVDHPEEISKLAKVKAQVTEVKGVMMENIEKVLDRGEKIEILVDKTENLRSQAQDFRTQGTQIRRKMWLQNMKIKLIVLGIIIALILIIVLSICGGFNCGK >KVI12328 pep supercontig:CcrdV1:scaffold_106:153939:160588:1 gene:Ccrd_009264 transcript:KVI12328 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MAGSFTFFFLCSFLLLASLNAVSSGEEKYELQSLENNSTMADRAIENRGIERNDDAVDDPDMVASMVDMSIRNSTERRKLGFFSCGTGNPIDDCWRCDHSWQRHRKRLADCAIGFGRNAVGGRDGRYYIVTNPNDDDAVNPRPGTLRHAVIQDKPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIANGACITIQYVTNIIIHGLHIHDCKQTGNAMVRSSPSHYGWRTIADGDGISIFGASHIWIDHNSLSNCADGLIDAIMDPTINSQGNRYLAPANPNAKEVTKRVLTSGRWKNWNWRSSGDLMLNGAYFTPSGAGAGGSYAKASSLSAKPSSVVGSLTSGAGALFCRRGRQC >KVI12325 pep supercontig:CcrdV1:scaffold_106:104784:108274:-1 gene:Ccrd_009267 transcript:KVI12325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MAHNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLKGFDVYVNMVLEDVTEYEITPEGRRITKLDQILLNGNNIAILVPGGSPDPE >KVI12307 pep supercontig:CcrdV1:scaffold_106:29391:33675:-1 gene:Ccrd_009275 transcript:KVI12307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MGRYMRKAKLTVDVVAVMDVSQSSLGVRTRAKTLALQKLEASKTATTPPPPEQNSELSYLQLRSRRLEKPPLQQSTCYRQQNPNPRVGGLRLAIRSGGSVSVGSGSSNERVRVLDESQFEADEDTEANCDLGSEETSFGENNIDFDGRKRSTRESTPCSYIRDLNASSTPGSSTRPKTSNWVIQRSMPAAQEIEGFFARHAQEQQRRFSEKYNFDIVNEKPLEGRYEWVRVQP >KVI12331 pep supercontig:CcrdV1:scaffold_106:267440:267926:-1 gene:Ccrd_009261 transcript:KVI12331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPPASAARNAISLPHHPPPPVSAAHTAISLPPPSTTDPPSATTTISIQDHSSSTTVGAYVAVTIGNAPPFLIPKHKLHNIRGILYLIYAVALVVMYPSLAQLTQLKFQNYEQSPFETHTFFANTT >KVI12310 pep supercontig:CcrdV1:scaffold_106:69802:71568:-1 gene:Ccrd_009272 transcript:KVI12310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMFSRKTITSFFRACKDTPSVAKIHALLIVSGYIDSGSCNTQLIASYSRNGDIEFAHKVFDKIPKKGVDAWNAMIIAYSRNDNHREVINLYQELNLEGVRPDSSTFTVALKACTNMMNLGMGEEIRKQAIDCGYEDDVFVASSVLNLYAKCGKMNEAMGVFEKMPKRDVVSWTTMITGFAQSGRGGEAIDVYRLMQREGMEGDKIAILGLIQACADVTNTRISLSVHGYLIRRHLFPMDVVVQTSLVDMHAKNGNLELASRVFRSMDYKNVVAWSAMLSGCAQNGCAGNAFDLLAEMQSFGFKPDITSIVGALLACSQIGTLKLARSLHGYVIKMLVFNQVLGTALIDAYSKCGSLSYARNLFDKIPFKDIVLWNAMISSYGNHGHGNEALSVFHNMLEANSKPDHTTFASLLSALSHAGLVEQGRLWFKLMVQEYNIDPTNKHYACMADLLARGGHVEEAYNLIGSMKTEPGLAFWVALLSGCHNHGKFLIGEMVTKKILVLNPDANTGIYALISNFYAKARKWDEVADVRNAMKRSGTKKVPGNSVVEVNGKLHAFRMEEKSHFQYQEILKILDMLDVEMTSTED >KVI12306 pep supercontig:CcrdV1:scaffold_106:46251:49872:1 gene:Ccrd_009274 transcript:KVI12306 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MAEEGHKVCLHVYDLSQGLAKQVSMSFLGKAIEGIWHTGVVVYGIEYYFGGGIYRAPVGTAPYGTPIRMIDLGVTKVSKDKFESYLEEISPRYTQETYSLLKHNCNNFSNEVAKFLVDTSIPQYILDLPNEVLSSPLAPLMMPMIQSMESTLREGEVPKVCQFAQPLGMNSESSKNEGSDDQKVCLQPLVDPLGNARSQVQDEIAKEFAAIRAQGTLSPSEAAALATKNVMEKYGFLNPTVAPPPKDG >KVI12312 pep supercontig:CcrdV1:scaffold_106:294:4303:-1 gene:Ccrd_009278 transcript:KVI12312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLRVRTQGWWSLVKEGEREYEIPYLIQEFNGFRLQESTTPSRDDRILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDILWEFQPKKLGEGDLVTSGDLYATVFENSLVEHHIALPPDAMGKITYVAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKLAADTPLLTGQ >KVI12319 pep supercontig:CcrdV1:scaffold_106:418384:423194:1 gene:Ccrd_009252 transcript:KVI12319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transport accessory protein-like domain-containing protein MASCSMASAASRFMVTPNVTTSNTTTIKPNMVVLLPSQNTRASRLVVRAAEDAAPPPAAGEPTTSTIAEKPKPPPIGPKRGTKVRILRKESYWFKGVGSVVTVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIQEV >KVI12327 pep supercontig:CcrdV1:scaffold_106:126267:138201:1 gene:Ccrd_009265 transcript:KVI12327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MTSEVPCASGQWLKQESHSVLKDGVLAGMSSKNNISLRTGEEFSMEFLQDRGTVRQVNNGHSKVMSNEALLRNNGNHHPGYEELGVMLGLPRVDSVCSSDTAASTSARGSLSRPSAPSAIDSAPSLAHRALGSGVSDDSQRGKIKFICSSGGKILPRPSDGKLRYVGGETRIVSIQKNISWEELVKRTTEYCNQPHTIKYQLPGEDLDALISVSSNEDLQNMIEEYNGLGNLDGSQRLRIFLIPLSESESTCTIEVSGNQQHNPDYQYVVAVNGIVDNNPTRNNGCLTNEARQLKSGTDQNLSFPQKQPPSFDPLQDNVGRNNSNITPQIFEPPSLTKSPDYFPLISPDLVPQKDLMNGLAPAYKDPACGSIEIPVLKGRAFHSENPIPQPADRMELFLGPDDNGSHPGMPHAFSDPQLQELGGDSCFSSQDGNTLPPILSFAPPSTRTQLESVVLLEKSVEHHENVHPQVVIEIPSVRHPVSYSQTDSLQGSFGSESLKKQHCDANGINEKIQAAKEDLCKNVGVQNNSKENNPTFYEAQNSCKNTSPATANGDIIKASKVNCDQISVLVVGTPMHNLQGSDDTIPASAATNLNPLSDATLQQFSSNHLKKSSADSAINSRRIVENQQFAMTGIENCEQGSNVTWIHNHEKSLTDLLSGLSDNVSHESAVQLPRLHQNGMNDQKPMLIGSREFLPSAGLDYAGQESPSCVGPLMQNPTTGAGSRREVSLMDEDFFNYTKQEVGNIGYEEYYHKMPKEIPSIKDKQENKLELVDLLGDVTDAASRSHMLDAVSTEAHFSDETTAEITFSDSNVEIIKNAELEELRELGSGTYGTVYHGRWRGSDVAIKRIKKSCFAGRSSEEERLTNDFWREARILSNLHHPNVVAFYGVVPDGAGGTLATVTEFMANGSLRNVLIKKDRSLDRRRKLILAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMRDPQRPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGGIVKDTLRPVIPERCDPEWRKLMEQCWSVDPTIRPSFTEITNRLRTMSKTLQANAARKGSHA >KVI12324 pep supercontig:CcrdV1:scaffold_106:77178:83525:1 gene:Ccrd_009271 transcript:KVI12324 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein SKNKRVTLKHKHKVLKKVKEHHKKKAKEAKKLGMNKKPKVEKNPDNDDRPLNEQELKDLEARRAKALEARRAKTPVKKRKLGQLDDDDDIMNLPEQVSAREQAFGEGKVLDDFTVMSKTRDNSERSFFKELAKVIEASDVILEVLDARDPLGTRCADMEKMVLRAGPEKHLVLLLNKIGIDIESYSDELPPMIITKWNLDISDLVPREAAEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSRSAKKTSNLLQTSDCLGAETLIKLLKNYSRSHELKKSITVGVVGLPNVGKSSLINSLKRCHVVNVGATPGLTRTMQEVQLDKNVKLLDCPGVVMLKSGDNDAAVALRNCKRIEKLEDPVGPVKEILKLCPAQMLITLYKLASFDSVDDFLFKVATLRGKLKKGGIVDINATARIVLHDWNEGKIPYYTMPPTRNEGEVMEANIVSELGKEFNVDEVYGTDSSIIGSLKSVNDFNSVTITPNNPLVFDETMLENDKQSQPENPVGIINNVGEDEAMAEDDDDSGEAKIKNSSSRQNEKLYAAEGVLNTKLRKAEKKRRKKANKLASMEEDQPKESDKVDDVKKGSAMEEDNDGSVNDVMKNRFALPETALALDE >KVI12318 pep supercontig:CcrdV1:scaffold_106:376234:384404:1 gene:Ccrd_009255 transcript:KVI12318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MRKSCCDTSLTFALKFLNLFQLFVGISIILYSVYMLNCWDKHLPVPTLPPPAPSPDSSDSVSTIFNTVRVSDQLIHENFGADMMSSVSGRTIVDVSSSSSPWFIYAFMGLGVTMCCISCMGYIAADAINGCCLYFYALLKTVFILLEVAFILFIALDRHWERDLPSDPTREIGRIREFVEANIDFCKCVAITIVVIQALCILLAVVLRAMITSQMKDDENDIERDSDVRGKAWEPLLNPRPTQTSVSVSGNGRAFHSDIWTSRMREKYGLSSSSAK >KVI12311 pep supercontig:CcrdV1:scaffold_106:50351:69232:-1 gene:Ccrd_009273 transcript:KVI12311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MLQSVCLYDLFGFYLVNVHDVAFNVTILKLLYCPNSPMTVSFRLSTVPESCKTFLSEVDLLSTFIRSSLNEIEGPSHCWLNRSTVTKDLNGRDGVFLVVTGAFFEDFLGSGTDSTIMFEKVKSLQQRYPSLNVMGFQHCRAVSSDVVSSRLAKTIMSEYITFPILLSNKSLSEPFSYLYLFSFGPMPAAIKDLIVQQKEKPGLLHNLRGTWVKPLDAFREPYLCSPLQNLLLYLPGKVGPLAYVLVVQFAGCIEVDEVNNRLFLSDINHHRIIVFDGSGKILDCIGSSPGFEDGEFESSKIMRPAASVYDAAENCLYFVDSENHAIRRADMESRTVETFYPKLNDDRTKSSPWSWIIDKLWSTKAPSNSAEVDPKLLFYPWHLLKSLENDLLILNRSFETLWIMDLSSGLIKEVVKGSAKIVEISGPLILKKSSLLTDIPANKLPQHIATNFSVNGISHAGLLSSVVTFQDNIVISDADGQVVWRYNKTSESMTSFEFSKFGILGLPYWLVSPMESAYATLLPGRINIQMTIEIPQDTELVEPLDEGCIWRQTRGTATEVLTGENKAESTEKVIINAALYLRIKNGSDESKQQQVGRLVDALNPSGNSKAQTDACAQIVSRSEKNPEDLIFVRPLHVRLKINSLDHPKADNSKDIILTESSIQVHVSPK >KVI12326 pep supercontig:CcrdV1:scaffold_106:113245:122497:1 gene:Ccrd_009266 transcript:KVI12326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding MSSVTKLMSLFLGQLQREKTHKAADCCSTHLIDGDGTLNSAGLDKFMKEVKLAECGLSYAVVSIMGPQSSGFYLMECAKILLRSQTTKGIWMARCTGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREHAANKPLLKTVFQVMMRLFSPRKTTLIFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKETPLSAFFNIEVVALSSYEEKEEQFKEQVANLRKRFFHSIAPGGLAGDRQGVVPASGFRFSAEQIWKVIKENRDLDLPAHKVMVATVRCEEIASEKYSAFVKNKEWCELEETVKSQLVPNFGKKIGSLLDTCFAGYDEEAVFFEEGVRNAKRKQLEEKLLLLVQVAYESTLQHIRSESKAKEVLYAPVEALLEGAAEDTWPAIRKLLRNETKTVVSEFSDALKGFEMDEDAKKNLLSRLENFARDVVEGKAKEEAGKALNRMKERFTTIFNHDNDQMPRIWTGKEDIRAINRTARISDYADKIEDTLLLALTEPSKGAKSSNLQDPLATSKWEQKANKQNNSWLPPPWAILALFVLGFNEFMTLLRNPLYLLFIFVGFLLLKALWVQLDIASAFENGVLRGLLTSYRDSDVIHQASSSNYEPYEKTGGGGATAATDRQCPNKLMNQFIWLTLVSRIWSSYVGFSVARPIEGVGRIGKH >KVI12333 pep supercontig:CcrdV1:scaffold_106:98553:102292:-1 gene:Ccrd_009268 transcript:KVI12333 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein KKPDIPINENEIRITSQGLVRNYISYATTLLQERRVKEIVLKAMGQAISKTVAIAEIIKRNIPRLHQDTFISSISITDVWEPIEEGLLPVEMTRQVSMISITLSTRELNKNSPGYQAPLYVERPRPQNNNYQRQQQPRMAQQAYNAVNEGGLHEYLETITSLFFVSLIKKLLFLFVEAYGGNYHGNRGRGQGGGRGGRGRGWNRGGYGNQGGGYGSYQGDGYRNYQGGGGYGNYQGGRGYGNYRGGRGGYGNYQGGGEYGNYQGGGEYGNYQGILLSA >KVI12322 pep supercontig:CcrdV1:scaffold_106:434023:435476:-1 gene:Ccrd_009251 transcript:KVI12322 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MFSFSGQTDFGGRRCVWVNGPVIVGAGPSGLAVSACLKEQGIPFVVIERSDCIASLWQKHTYDRLKLHLPKKFCQLPKQPFPEEYPEYPTKKQFISYLENYAQKFDIKPQFNECVQSAKYDEACHLWRVITVSTTGLVRLETEYICQMLVVATGENAEGVVPEIEGLKEFSGEVIHAKHYKSGEKHKGKKVLVVGCGNSGMEVSLDLSNHNAKPAMVVRSSVHVLPREIFGKSTFDLAMMLMKWLPLWLVDKLLLILAWFILGSTQKLGIKRPSLGPLQLKNHHGKTPVLDIGALERIRSGDITVVPGIKRFNSNSVELVNGDTLNIDSVVLATGYCSNVPYWLQVSKKDSISALFFGGKSKLNLFSETEFFAKNGFPKTPFPNGWKGKCGLYAAGFTRRGLAGASADAMKISQDIGKVWKEELNQKKQKVPTHRRCISTF >KVI12304 pep supercontig:CcrdV1:scaffold_106:469872:473651:-1 gene:Ccrd_009248 transcript:KVI12304 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KVI12314 pep supercontig:CcrdV1:scaffold_106:315097:321999:1 gene:Ccrd_009259 transcript:KVI12314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKTKVNPKSIFLIKKNPKETCTSLPPLKKLQGCNGWQVSTAINKAREDMDIDSWAGIHFVTRKGLWSPEEDEKLINHNITSRSPAIAAGVPSLNLWSQIAEFHHLYMTELSFIGAGAA >KVI12309 pep supercontig:CcrdV1:scaffold_106:6446:11283:1 gene:Ccrd_009277 transcript:KVI12309 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MVGGGIAARSPLQIYSISVFFVRSPMGKAFTLKEVAEHNDPKDCWLIIHGKVNSVGKDATDDFEDVGHSTTAKSMMDEFYVGDIDTATIPSKVEYTAPKQAHYNQDKTTEFIIKILQFLVPLLILGVAVGIRFYTRSAA >KVI12334 pep supercontig:CcrdV1:scaffold_106:90545:95279:-1 gene:Ccrd_009269 transcript:KVI12334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantoate-beta-alanine ligase MATREPEIIRNKQQMRNWSRSMRAQGKTIGLVPTMGYLHQGHLSLITEAQKHTQLIVVSIYVNPGQFSANEDLSTYPSDFQGDIEKLKSIPNGVDVVFNPQNLYDYGVERERSGGNKREKEGGIVSCLEDEGRGGIGHETWIRVERLEKGMCGKSRPVFFRGVATVVAKLFNIVEPDVAVFGKKDYQQWRIIQRMVRDLDFAVKVIGSEMIRDEDGLAMSSRNVHLSPQERGQALSISRSLFEAKSAAKRGITVCSELRRSVIESIEAAGGKIDYIEIVDQETLEAVEEMKSGVVLCVAAWFGKVRLIDNMEIHV >KVI12313 pep supercontig:CcrdV1:scaffold_106:320765:334036:-1 gene:Ccrd_009258 transcript:KVI12313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIPVSNLCRPFSDPNMLRNCKVARILPRVADTAKHDRSAILRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVGDAMSEVRSTARACYRMFSKTWPDRSRRLFSLFDPVIQRIINDEDGGMHRRHASPSVRERNPQTSLSTQNSASSNLPGYGTSAIVAMDRNPSLPSVASLTSGLFSSQPKSVGKGTERSLESVLHASKQKVTAIESMLRGLDSGRVFFEWLTLSFISPSAGVDPPSSRDPPFPLAAPASNSLTSSLSLDTTTSGISKGNNRNGGLVLSDIITQIQASRDSAKHSYRGNVGNETLSSLSSYSTRRASEKLQGRSSFEDNNDIREVRRSVNLHTDRQYSDTPYRDSHFRDSHSSHVPNFQRPLSRKNVTGRMAANRRRSFDESQFSPGEMSSYTDGPASLNDALSDGLSSGSDWNARVAAFNYLRSLLQQGPKGVQEVTQSFEKVMKLFFQHLDDPHHKVAQAALTTLADIIPACRRPFESYMERILPHVFSRLIDPKESVRQPCSTTLEIVGKTYGVDSLLPALLRSLDEQRSPKAKLAVIEFAIVSFNKHAINSEGAGNSGILKLWLAKLAPLVHDKNTKLKETAITCIISVYSHFDSTSVLNYILSLSVEEQNSLRRALKQHTPRIEVDLMNYLQQKKDRQRSKSSFDPYDVVGTSSEEGYIAVSKKTPLFGRYSGSSIDSDSGKKWVSAQESTQITSAVGRAALDGSEDQLYQGLDIGSNGVQNAKDAKYAVNASSETVASWAIRSEHLESNTDMETASAPPLNGLVSSDNQQVAVGHNLGNEGPHDLELSLSKLASLKINSSPDAKPSIPQILHLICNGNDECSTTTKRNALQQLVDASAANDSSIWTKYFNQILMVVLEVLDDADPSIRELALSLISEMLKNQREAMEDSIEIVIEKLLHLTKDTIPKVSNEAEHCLTLVLSQYDSFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMAQLQSFLPALFDAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGTPIQE >KVI12320 pep supercontig:CcrdV1:scaffold_106:401026:407512:1 gene:Ccrd_009253 transcript:KVI12320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPMMEETVLVGDDLMLGPPSPLIPPEIASHVLEGVNLCDGILRNLFLCLQVNDIEPFCQEEIALYRECAEKRDKELRQRLQDSEYKLGLSMPLDLAKERASQLESETTTLERRLILASGMEGAEGFRQRWSLHGRLTDTKKRMEALKQGLENRKKDDEPTSVKAPTTKKWLFW >KVI12316 pep supercontig:CcrdV1:scaffold_106:349131:358658:-1 gene:Ccrd_009257 transcript:KVI12316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MSEVPSLITLCIGAIKNAILDENGNLPYVYKLPSELFDRLLPHLPPLALQNLQDAMPSVSSNDYWFSDDCLKPSRKRKRFENFDIAWKALYKSRWSVIQKLSVDFLPEDVQQDEPITNWQQIYWEKHLQNCLDAAAEMVSITLFDGCLGDVEIPDALLKYISYEGNLSRSRSYLKLAYHCERFGLYARCLRLQSVHCVAEIGHLLRKSRLEFLEVHWIKSKEQVEGLCKVLEQNKETLASIEFIHCKLPASLVTAICESLHVKDFETNVIKNFSIKRSSFLDSSYFPLPLGLESLLSAASGLTSLVLSDNHMWWKTAKMVFDTLLDTDSGLQVLDLSENNIAGWLSHFKWGSPSLINSDRQIDKYLKSLRVLNLRSNNLQKDDADCLKYAMVYMPNLEVLNLSDNPLQDDGIRIFVPYLVKKSKCDIPLAELYLDNCELSCHGASQLLKVLSALNVPLKSLSIGDNHLTSKFGPSLGKFLLSGIQALNVKGVGLGSSGFSDAQEEITEELSLVRINISDNCGGIRTANFLSKMISQAPNLVSVNASNNWMPMESLPTICSVLKAAKGKLEHLDLRQNTLCNKGNIASLLAEFQINGKPNILLSSPAASIVLYDNDP >KVI12321 pep supercontig:CcrdV1:scaffold_106:462963:468526:-1 gene:Ccrd_009250 transcript:KVI12321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRLALTRSISSFTPKIMADEINASAATASTTSTSSRKKNSLWPSVLRWIPSSTDHIIAAEKRLLSLVKTSYTQEKVNIGSGPPGSKVRWFRSASNESRFINTVTFDSKEDAPTLVMVHGYAAAQGFFFRNFDALTKHFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSLEEWRKAKNLEKFVLLGHSFGGYVAAKYALKHPEHVQHLILVGPAGFTSETEHKSEWLTKFRATWKGAVMNHLWESNFTPMKVLRGLGPWGPNLVRRYTSARFGEYSTGDVLTEEESRLLTDYIYHTLAAKGSGELCLKYIFSFGAFARSPLLQRASEWKVPTTFIYGFQDWMDYHGAEGARKDMTVPCEIIRVPKAGHFVFLDNANGFHSAVLHACRRFLSPDPNGYPLPEGVTSI >KVI12305 pep supercontig:CcrdV1:scaffold_106:487745:506412:-1 gene:Ccrd_009247 transcript:KVI12305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MIVNLRHFGTAIKALCLQEPRSNQELLQIGLDKEIGLGFDVSSAVRKTRVEKMDVEKLGKKKRSAEIKSGLDSDDDEPIGSMFNIKSRRNPKKVKVESDGGVDKVKKVEDDGSQDQELGGMDDTLASFRKKLKGPKESRVRATKGGDLDTNLKESVSRSSIGAVKDVDLTENLVSKNVAKGQIKSEDGIVESVKKGSKSSGKEKSKRSKSKSVRKKALGITKFDDVLDGQRSGENSTQNDKEGGVELEGDLDPCLDDHLEDSLSVFLRKAQSGSLQKARSSKLKQVKTTQARNDGVAPSSNYCQDGIEKHQKSNDQVHESYTVPVLTAEKSPHDGSTQRILEDKVPVTLPETSASCSKYPGKSQRLEEDERLTDHPNKDPTGNNGFQEDSNHSFSPIAKLAHDLSEIEVHEKKLEPACPIPESVPIGGFIDSLEEQSKVVLKGPISTTDQKISLFPSPSSLGHNENLNDDLKPAGAVVLDQLQNGSHSTQPHSLSSAFQLPQKEVTSSDCDSPNQIQGTCTEDPDVVSVSSQKENASASNGRLSPVSLGECNKYADEETPSIATDQNEAYASDTGSMPDPETKGNKQSAGKRIVRQAKRYRHEDMAYEGDADWEILIHGQNFLVNHQDGDKALSFKTRGKSDFLQTIAMEAESGGVAAVSVGLKARAAGRVEKIKFKELLKRKGGLQEYIECRCASIKTGFLISCNALLWFTLPLLAVLSFYMHVFVSHKSSNSKARNMFAIFGFGNHILHLWNKDVTRILPLSECGISDTAVVNEHPQASMVRDIYSFLDQYGYINFGVASKKEMSDAGVKPNFRLSGEENDGRKSGAPVTDLDDGVSFILGRTKNYHALTDEKGDTLLNNENEAATESLDGIVKKDKGAPISSMEMECQEHSPCECVEKDCHGGKLPNNLLSSTYTEAEDGQSASVDQKEPTVCMQCASETRKKIIVIGAGPAGLTAARHLQRQGFHVTVLEARDRIGGRVFTDRLSLSVPVDLGASIITGVEADVTSQRRPDPSSLICAQLGLELTVLNSDCPLYDTVTGKKVPPDLDEALEAEYNSLLDDMQLVVAQKGDHAIRMSLEEGLEYGLKMRRNCIEHAVHKSDMVMGSEKSSTKEEILSPLERRVMDWHLAHLEYGCAASLQEVSLPHWNQDDIYGGFGGAHCMIKGGYGAIVDSLRDGLHIHLNHMVTDVCYQAENHGKDESQKRVKVSTENGKDFTGDAVLITVPLGCLKAETIKFSPSLPQWKYSSIQRLGFGVLNKVVLEFSEVFWDDSVDYFGATAEETDQRGWCFMFWNVKKTVNAPVLIALVVGKAAINGQDLSPSDHVKHALVVLRKLFGEAAVRDPVASVVTDWGRDPFSYGAYSYVAVGASGEDYDTLGRPINNCLFFAGEATCKEHPDTVGGAMMSGLREAVRIVDILTTGNDFTAEVEAMAAAKRHSDSERNEVRDIVRRLDAIELTSVHKSSLDGSHIATKEGLLQNMFSNAKSTAGRLHLAKELLNLPSDILKSFAGTKEGLSILNSWILDSMGKNGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWVEIFRKEKASNGGLKLLRQSSAADASKSKSHLASGKPPLRAHHTSSDNRVVKGAGNPLSANANNRKGNSAAATKPENKPSSSQGSAGRQNCKEEDNKDIPMSEEEQAAFDAAEAARAAAIAAAEAYASSGAKCNTTLQLPKIPSFHKFARREQYAQIDDTEFRRKWAGGVIGRQDCVSEIDSRNCRVRDWSVDFSGAGVNIESSKMSVDNRSQRSNSNENACQLSYREHSGESAGVDSNIFTKAWVDSAGSEGIKDHNAIERWQSQAAAADSDFFHRSMHVMDEEDSNMNLKPSIRRHDGLANESSASQVTVNRELVGNQPRGVDNIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEQTTDAEKAMAVFEFLDFKRKNKIRAFVDKLIERHMAMKTDAKSG >KVI12330 pep supercontig:CcrdV1:scaffold_106:172330:172782:1 gene:Ccrd_009262 transcript:KVI12330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLCLMLLVVLAVAVFTATARDVPKQNPDTVGLTDQKNVYTFGGTGGFNGIGNNGLPMGGMGTGVGVGGDFGGAHGVGGVGGGFQYGGPGGPAGGIGTFGGLGNGFGGLPTLGGGGLGGGGPIGGGGFGGIPIGGVPIGGGAGGILPTP >KVI12332 pep supercontig:CcrdV1:scaffold_106:311282:315571:-1 gene:Ccrd_009260 transcript:KVI12332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MKGWNSSSHGRSLQLFKSGEGSLISSRLGWNRNYAAKETRFGVEARALMLRGVEELADAVQVAMGPKGRNVVIEQSYGAPKDGVTVAKSIEFKDRVKNVGASLVKQELDDPLILIHEKKISSLNSIKQRPLLIVAESEALATLILNKLRACGYQGLCHQSPWIWRESEVKFAGPCNTYRRGGRILYVYNLDSFTWSVILLFPSDVVLITEELGMNLEKMGPEMLGTCKKAFGFLHRVHLHHAWGEEAQQLKPPAAASF >KVH97085 pep supercontig:CcrdV1:scaffold_1060:126757:129124:-1 gene:Ccrd_000819 transcript:KVH97085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MDEEFDESEVTFAEVEAHGMQENHTEWKDDKLKTKSKKKKKEHSVPINIPKKIPCVKYVESDLFEDDYGNEIIVPPHVILGRRVARQVAYSICSGCGKTSKKRELILVRDLFFRFTGFIEI >KVH97087 pep supercontig:CcrdV1:scaffold_1060:141959:142333:-1 gene:Ccrd_000820 transcript:KVH97087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MTEEFQESDLVFPEKPAGNGKEIRCPKVGKKKSDKKKQKKVSVPMSIPEEFSGSSWIRQYLEAHSKDDDDGKGEERVPPHVVVDRRVAGKAAFSLCSGNGRTLKGRDLSEVRDSILRMTGFLES >KVH97084 pep supercontig:CcrdV1:scaffold_1060:77489:79916:1 gene:Ccrd_000816 transcript:KVH97084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTELNQIESVFGYWMKILFLLPLQQLTSFLSSASFSYHASSYTVESRARVIMFDVAGFEGSRTLQRRTSSLISHGDDNPVRSPSGDHAGLMSWPQRHIKSFQHLEHPSGNINNFSARAMQLSMYGSMVSRGSRTSPNELAKPSKKPSHSRSYPIVPPTFSRQLISVRSEGSTTVTKVGETAREPLTAPRGNTDTIDTREMDYSSDESGAEDEHIVRIDSPSTLSFPRAP >KVH97083 pep supercontig:CcrdV1:scaffold_1060:87674:95964:-1 gene:Ccrd_000817 transcript:KVH97083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MDSSMGYGGFGALGHSVYTRELSPKKVEGSWEGRITQIATSGTHTAAITEAGQLYTWGRDEGEGRLGLGPSRGPNEAGGLSIPSKVKALPVPVVSVACGGFFTTVITNDGQLWNWGANSNNELGRGNRVGGWKPQPIPGLDGVHIVQIASGGYHSLALTDEGRVLSWGYGGHGQLGHFTTQNQPVPLVIESLASEKIVYIACGGSSSAAVTDKGQLYMWGNAKDGQLGVPGVPEIQPFPIEVKFLMDDDGLGSHKVLSVAIGASHALCLVSRSSDSNPEP >KVH97086 pep supercontig:CcrdV1:scaffold_1060:117428:118959:-1 gene:Ccrd_000818 transcript:KVH97086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide translocator 1 MIDQAQHPSVSQKLAGSLLGSNMMQFHACETGLQQPHLYRRHFGNESHTDSAFRYPMKPSSSICIQAPSEKGFAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKEEGLVSLWRGNTANVIRYFPTQASNLQILIALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKKGGGGRQFNGLIDVYKKTLATDGIAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVILVGDLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFTQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDKLQLLIFGKKYGSGGA >KVI10528 pep supercontig:CcrdV1:scaffold_1061:8848:14152:-1 gene:Ccrd_011067 transcript:KVI10528 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MTSGTRMPTWKERENNKRRERRRRAIAAKIFTGLRMYGNYKLPKHCDNNEVLKALADEAGWTVEPDGTTYRKGCKPVERMEVGGSASASPCSSSYHPSPYASYHPSPPCSSIASPSSSYAPNAHPDSLIPWLKNLSSSSSSSNSAKLPHLYIHTTGSISAPVTPPMSSPTARSPPRLKTDTTWGAGAHARAPRHFSYLPSSTPPSPGCHTLPNSEWFSGIRPTSPTFSLVASNPFGFFKEAAISHGGSRMWTPGQSGTCSPAIPPGFDNTADIPMAELVSDEFAFGSNAGGRVKPWEGERIHEVKCKLEKK >KVI10525 pep supercontig:CcrdV1:scaffold_1061:26307:32858:1 gene:Ccrd_011068 transcript:KVI10525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C26 MWNYIWILFLIYLLIEISFAKAASVDDGLTSTCPALDPRLNYRPIIGILSHPGDGASGQLSNATDASYIAASYVKFVESAGARVIPLIYNEPPEVLQSKLNLVNGVLFTGGWSKAGLYFDVVEGIFNQVLKKNDAGDHFPLLAICLGFELLTMVISKNNNILEAFSASNQASTLQFMKNVNIEGTLFQRFAPELIAKLSTECLVMQNHKFGISPETFQGNMELCSFFKILTTSRDENNKVYVSTVQSESYPVTAVQWHPEKNAFEWGLSMIPHSDDAIQVTQHVANFFVSEARKSFNNPPAKEVLDNVIYNYSPTYRGKEGKGYDEVYIFTEKAAPFHYKM >KVI10531 pep supercontig:CcrdV1:scaffold_1061:89043:93675:1 gene:Ccrd_011072 transcript:KVI10531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical YDKASVVEEKFNELEQEDISIKPSNQNLICTLKNNTDLLACKAEYYHQCGEYQKCFELTSVLLEKDPFHLKSTMVHIAAAMELGHSNELYLMACNLVKDYPQKAISWFSVGCYYYCIKKYDQSRRYFSKATSLDGTFAPGWIGYGNAYAAKEEGDQAMSGYRTAARLFPGKEDSMREIPKLKALISHSFTFFLSLSGTLLFFMQAKAICPSDPLVYNELGVVAYHMKQYKKAVWWFEKTLAHMPSPLNEMWESTVVNLAHSLRKLKRYSEAITYYEKALALSTRSLSTYAGLAYTYHLQDNYTAAITCYHKALWINPDDQFCTEMLTLALVDESRQGVESETYI >KVI10529 pep supercontig:CcrdV1:scaffold_1061:117339:122811:1 gene:Ccrd_011074 transcript:KVI10529 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSLRRSFLSRRTSGRSNSLKELRTPSIPSEISIANAAFCGNNDVFGGPDGGENGGGSWSNMLPEILGEIIKRVEASDDRWPLRRNLVACGCVCKRWREVTREIVKPPVRGGKITFPSCLKQPGSRDSPIQCVIKRSKNSVFYLYLAASPSSTNKGKFLLAARRYRHGAHTEYLISLDPDDSSQGSNAYVGKLRSDFLGTKFTIYDSQPPHNGAKPSSSRSGRRFASKQISPQVPAGNFEIGEVSYKFNLLKSRGPRRMVCSLRCTSTGDNQPQEEAVLRRSESVGCGQSQTLLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATLDQSQPGGKGDGETVLLQFGKVGDDVFTMDFRQPLSAFHAFAICLTSFGTKLACE >KVI10526 pep supercontig:CcrdV1:scaffold_1061:35799:45827:1 gene:Ccrd_011069 transcript:KVI10526 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase subunit Cdc27 MADIETLGILDEIQALVSDKLQVVSYKWLSRNFLVPSNTAKRLLQEFVEKNGSQLEVVYSLSGWLKNNPSAYHIKLVLGSKLSEAQEEFSDRCSIQVYSVQACIPKDPAVLWNTEYIQAEELFKEPPNVVNCLRDNRVEQSDPKPDQGKDIQSGSHQAQALKQDGKPVAHKEQVPQLPPNKKRNQNDKSSSGTAGSLANAQVRANESVEDGSSDDDDGQMNFKRVSNGEGNNRKRRVVFDFSDEDDDGKDTVNLASPDPPKKQVSLDSKKSTKTPSLENKNLDFDEPKEENVKVEKEKVAKVVPKSTLKEESSERKRGPASNENQCPDKDDMNQKDKTSDAAQNVLKKRKVLKTRIDELTEVVWEDDEKETKPDDNTRKDTGDSNTANNVVNRPPAAAPKKSPALGNASSHATGKAGNKKAGTKDPKQGNIMSFFKKV >KVI10527 pep supercontig:CcrdV1:scaffold_1061:1446:5200:1 gene:Ccrd_011066 transcript:KVI10527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSSSAASNGSDFEGNEYEEDLTNQQSFFYSSPERWAYSTNGVFLGNNDAPFVSRSENVTGGDVYKTARFSPTSLRYYGLCLRQGSYKVRLHFAEISYSDDMTFSSLGRRYFDISVQGVLRRKDFNIMEEANGVGRGISIBVXBVMVNGSTLEIHLYWAGKGTTAIPDRGVYGPLISGITITPNFDVSTGGLAAGAIAGIVIGCCAAIALILFVLWKKGYLGDKEDKELRALELQTGYXSLRQIKSATHNFDSANKIGEGGFGPVYKGVLSDGSEIAVKQLSARSKQGNREFVTEIGMISALQHPNLVKLYGCCIEGKELLLVYEYLENNSLARALFGKYFTIPLFSCSISIQSKIYNLSFYIGREDQKLNLDXPTRKKICMGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWVSSIIQTVLSFLKIRDILSHLQXYVLEEQGSLLELVDPGLGPKYPKDEAMRMLNLALLCTNPSPTLRPPMSSVVKMLDGKIPVQPPMVKRVAGSPDMRFKAFDMVSQDSQTQVSTISADSLGPRSLSNDGPWADTSLYKDETAESSSSANKLLPDLYDVDI >KVI10533 pep supercontig:CcrdV1:scaffold_1061:45034:59916:-1 gene:Ccrd_011070 transcript:KVI10533 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL repeat-containing protein MREMRVSAFYLIFSTFVYIYVFQFQAQAAPAAPVIKQLSSILKWTARSSSKSPSSDGNILQFEDGYLVETVVEGNQLGVVPYSIRVSQDGELFAVDAANSNIVRITPPLSQYSRARLIAGSFQGYTGHVDGKPNDARFDRPKGVTMDDKGNVYVADTSNLAIRKIGEAGVTTIAGGKSNVAGYRDGPSEDAQFSTDFDVIYVRPTCSLLVIDRGNAALRQISLNQEDCDIHYSSVSSTDLGESKVGGDEWSLQRVGYHMKIDYDLDPSNLVLVIGAILIGYVVCMIQQGYGPSYFSKVHKVENDAKGSSKEAPTKTQTPTVETIREEQEAGWPSFGQLILDLFKLALEGFGNIFVSFNPLNTPKKGLTPLKDSLIMPEDEPANQPPLVQKHRTPTPLSETRHVHNPNEKYPETKPTKLRSSSLKDPSKHRVSKREEYAEFYGASGEVPHVRSKSQKERTKHRPRDKRAEVPFQGEQKPVDLKPVNYEDPKFSYMRNKYGDSYRYN >KVI10530 pep supercontig:CcrdV1:scaffold_1061:97759:100717:-1 gene:Ccrd_011073 transcript:KVI10530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLKLEEATKKAGEKYRSFLHEESENTVWRHGGPPNFDVVNQLFEEGRTKVGSYNALLKSTLPEQYQYYKAEQETFESSHDVFRSAFPRGFAWEVISVHSGPPVISYKFRHWGYFEGPFKGNAPTGEMVEFFGMGILKVDESLRAEDVEIYYDPADLISKLLKGSLISEHENGIADSSAANTGSCPFQKQA >KVI10532 pep supercontig:CcrdV1:scaffold_1061:85478:88643:1 gene:Ccrd_011071 transcript:KVI10532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MREEEIEKLRGVVRDCVSKHLYSSAIFFADKVVAFTSDPADIYMQAQALFLGRHYRRAFHLLNASQIVLRDLRFRYLAAKCLEELKEWDQCLLMLGEAKVDEHGNFSDTKDSNVMYLDKDSEDREINISSAICFLRGKAYEALENRSQARQWYKAAIRADPLCYEALECLTENHMLTCEEGSS >KVH93571 pep supercontig:CcrdV1:scaffold_1062:9103:12237:-1 gene:Ccrd_004376 transcript:KVH93571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTNTDQEVFERLLKVSFSKIIPSLRFKLLKKIKNSSTLREIPVVTMSSENILTHIVRFFNSLSKFNRSSRYQQFFQLQPFPHPWSVFPDALLRNQTEVMYVAAWSTAGRND >KVH93570 pep supercontig:CcrdV1:scaffold_1062:102820:106066:-1 gene:Ccrd_004379 transcript:KVH93570 gene_biotype:protein_coding transcript_biotype:protein_coding description:YjeF C-terminal domain, carbohydrate kinase-related protein MHQWYGGVIRRQQHLIRCLVGDYHRGSSDPFLLVSKCTRMQSATSGGSPTSEVDALSILRSICPTVGADKHKGQAGKIAVIGGCREYTGAPYFSAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPLLEESYSVRDEDITSSLNKVLTEVDKWMERFDCLVIGPGLGRDPFLLDCVTDIMKNARQNNIPMVIDGDGLFLVTNCLDLISGYPLAVLTPNVNEYKRLVQKVLNCEVNDQDGAQQLLSLSRRYFSVKILKFV >KVH93568 pep supercontig:CcrdV1:scaffold_1062:131031:135036:1 gene:Ccrd_004380 transcript:KVH93568 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MVKNPSQPDLDSTNYMGTLKKSKHFKLFEPSLGILGFLFITVCIIFGFFYLDYRSVITGGVFRFSNETQRVKWLKFGGYPNGNRFDFLSVDGDGCDVFDGDWVWDEKYPLYESKNCRFLDEGFRCSENGRPDFLYTKWRWQPKECNLPRFDGKRMLEKLRDKRIVFVGDSIGRNQWESLLCMLSSTIISSKDNGSIYEVNGNPITKHKGFLVFKFRDYNCTLEYYRAPFLVLQSRPPPHSPPNIRTTLKLDQMDWTSVNWQHADVVTSKKEHKSRWRWKGGNWRAGGNCHLETLPNLRSSRLQPSFGWPQYRIFRNVVSDRSKNVSNDGKKAFDILYTTSMSSRRKDGHPSLYYLGPKLSPAAAHRQDCSHWCLPGVPDAWNEILYALMLKNAPGTNDSSNSHSPALW >KVH93573 pep supercontig:CcrdV1:scaffold_1062:3138:8767:1 gene:Ccrd_004375 transcript:KVH93573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MCYTNNFLSLATILPVEICCLSGTVVMLYIVTCSCLQMDQQGHGQGPGMGAVGYSMAMAPYPPNQMMSASVQPSSQTSGPGLPSPPAQLAQQQLAYQHIHQQQQQQLHQQLQNFWANQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVIASRGGGPLPPTEGLPYYYMPPPPPQVGASGMYMGKPVDPQALYGQQPRPYTMWPQHQQQQQQEPQEDA >KVH93572 pep supercontig:CcrdV1:scaffold_1062:98561:98918:-1 gene:Ccrd_004377 transcript:KVH93572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLLRYLLTKSSDEQSGLKDCSILNAINEHGVGQLKEYDLKKLVSTVKEGLELEDETGEGELGPFGSDKNDDGHYWGSED >KVH93569 pep supercontig:CcrdV1:scaffold_1062:98923:99874:-1 gene:Ccrd_004378 transcript:KVH93569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHTLATLLILVKERFESFTGKSKLDVKTKAYVVNHLRTIVRCGTKEFMEVVETNGDVSMVGQFNVGFYLVYLVGEKVIVITKHNDDEQYDVMGSDYLPLNIVHQMLQNNMILKEDYNKFYTSFSKNLNCASMTVRMART >KVE40449 pep supercontig:CcrdV1:scaffold_10627:947:2045:-1 gene:Ccrd_023983 transcript:KVE40449 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MHLQILLAAAAAAMAFLGSATAQDSCETSCGNVTYAFPFGSEEGCYLDPGFQVTCDRSSGEPIPFFGNSTTNIVITNMSTSESEMEIMMYVAHDCYNTSGPVDNTVPSLSLTYFRISTKNRFVAIGCDTYAYISGDRGGEYQDGTGCTSICGSNTSSITDESCSGVGCCQVAIPQGMSSFEITLSSYRNHTDLLELNPCSYGFVVAPGKFNFSTNNLHRFGSKMPMLLEWAIGNETCDQIASKDDVDKLLCKENSECDKDYGGPGYRCRCKPGYDGNPYIQHSCKNINECERKDPSVCQHECVDLDGDYECRCPKGYSGGDKKDGRGCIADESLVLHIVV >KVE40304 pep supercontig:CcrdV1:scaffold_10629:1:3704:1 gene:Ccrd_023984 transcript:KVE40304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin SPT2 MVRKEKVISASVAKGSMPSLHKPLHSKPAPSVHKKPVAQKRDDRESSKGNVIAKKPVLSSKPQIKQQPAKLPPSAQQKERLKKRPVRSYSDDEHDDRAISMIRSMFRYNPSRYRGVNDDDDSDMEAGFDDIMMEEKRRSVSLQKSLGNLDLILVSNELGVTKSSGARFPFLSFIGQPRLRVLAKPASISDPLLYIRSRNKKH >KVE40303 pep supercontig:CcrdV1:scaffold_10629:3377:4253:-1 gene:Ccrd_023985 transcript:KVE40303 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAASLLRLHFHDCFVQGCDASILLEDAPSIVSERNALPNKGSVRGYEVIEAAKSEVEKLCPGVVSCADILTVAARDASEMVGGPSWSVKLGRRDSTTASLALAETSLPSFKASLDSLIKTFEDNGLSARDMVALSGAHTIGQAQCFLFRDRIYSNGSDIDAGFASTRRRGCPINDRNGNLAPLDLVTPNSFDYNYFKNLIQKKGLLESDQVLFSGGCTDSIVSEYSNNPSKFKSDFAAAMVKMGDIRPLMGE >KVI01029 pep supercontig:CcrdV1:scaffold_1063:69143:69454:1 gene:Ccrd_020710 transcript:KVI01029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRXGRTLYGFGG >KVI01025 pep supercontig:CcrdV1:scaffold_1063:81662:90876:1 gene:Ccrd_020709 transcript:KVI01025 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF676, lipase-like protein MRKYNGLTIWIITNHTYKWHPYTTSALRRTASLYFITVQFESEFAVSTSSTCSSSCCQGFSNCFGINSLWKHHIHRAQAMTITKGNIASSRCANGVKDEPDHLLVLVHGIYASPSDWRYVEAELKRSLGRHFLIYASSSNTYSKTLAGIDGAGKRLADEVKQIVKSTEGLKRISFLAHSLGGLIARHAAGVLYTRNASSTDKSDYRKDSTLNASCNGTIAGLEAINFITLATPHLGVRGNKQLPFLLGVPILEKIAAPIAPIFVGRTGSQLFLTDGKPNKPPLLLRMTTDCEEGNFLSALGAFRYRILYANASYDHLVGRRTSSIRRESELVKPPLESLDGYKHVVDVEICPPVLSAGSKFPPEAARAKEAAQTEMIRGLQQLGWKKVDVSFHTSFWPFFAHNNIHVKDEWFHKAGAGVVSHVADSIKQQEKQHQSASFISANL >KVI01030 pep supercontig:CcrdV1:scaffold_1063:55210:56892:-1 gene:Ccrd_020711 transcript:KVI01030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MDGISRKWKTLGGENHWVDLLDPLDSDLRRYIIHYGEMAQAARDAFISEKTSNFAGSSLFHRSNLLSAVGIEDGNPFRYQVTKYFYGTSSVSLPSFLVKSLSREAWSKESNWIGYVAVATEEGKAALGRRDIVIAWRGTVQTMEWINDLKFVSVSAPEIFRGTNDVKVQDGWHSIYTTADPRSPFNKSSARHQVSFLLCIFSFGHSMGGALATLNAADIVSNGFNKPLGMRSKAFPVTAFVFASPRVGDSDFKNLVSAHTDIRVLRVQNEHDVVPHYPLMIGYSHVGEALAIDTTKSPYLKSPGDIQRWHDMETYLHGIAGTQGSKGGFELEVNRDIALVNKYTDGLKDEYHVPASWWVEKVKSLVQQADMSWLLKDCEEDDDDDDGDDDGDGDDNTSKTKLKKSFDA >KVI01027 pep supercontig:CcrdV1:scaffold_1063:37704:40527:1 gene:Ccrd_020712 transcript:KVI01027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MDDIAKNWKILSGITHWLGLLDPPPPGLRRYLIHYGEMAEATYDAYNKVSVSKNAGNCRYARKNLLSRVGLIEGRPLSQYDVTKYIYATSAVVLPGAFVNSVSKQAWSKKSNWIGFVAVATDEGKKALGRRDIMVVWRGTVNASDMIHDMEFPLVSGPKIFGVLNKDDPKMHQGWYSIYTTDDPNTLNQYRLEEISLSITGHSMGAAVATINALDIVINGLNKQSIAPPKACPVTVFGFASPGVGDSNFNKVFTQQKDLYCLRIQNVLDVVPKYPPIGYKNVGSQMTIDFMKSEYLKSPGNPGSWHSMEGYMHGIAGTQGSLGGFKLVINRDISLVNKFADVLKAKHGVPSSWWTEKNTGMVQKKDGTWELQDQEDLESDP >KVI01024 pep supercontig:CcrdV1:scaffold_1063:131933:140370:-1 gene:Ccrd_020706 transcript:KVI01024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MECCYNPITNTPFSSFKSHNRQSVSSSLGTRFSRSRLSSGLSNPFLKVNDRVKSITHHHNTYGLSTYKRTIYAESRNQGWDFGRFVKTFLIANLSGPSSSEPEKSMDSSGIVLVAGATGGVGRRVVDILRKKGLPVRVLVRNEEKARKMLGPDVDLKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEIKGASPEMVEYIGMQNLIKGLKDSVGLKNGKLVFGFTDGSSRDLPWGALDDVVMGGVSESSFQIVPNGSENGGPTENLSAYDGLELRLNGDGRRYKLIIRTSRDWDTVGYTIGFDTAKDQWQSVRVPFSSLRPIFRAKTVANAVPFDPTSLNTMGNLIRPLLKVPFSFLFVHVGSAGVTRPERPGLDLSKQPPAVRLNKELGSILTFKLKGEDLIRESGIPYAIVRPCALTEEPAGADLIFDQGDNITGKISREEVAKICVAALESPNACDKTFEVKCVVPFSEQYTIDPENPPPEKDYDEYFKSLKDGITGKEILEKTPLAIHASIPNSSCEADRKIDHIVRKIHIHPYWAI >KVI01026 pep supercontig:CcrdV1:scaffold_1063:112350:115135:-1 gene:Ccrd_020708 transcript:KVI01026 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MSSMVEIKKTFDRRSERVKSVDVHPTEPWVLLGLYSGNVCIWNYRSQVVEKTFEIVKMPVRTSKFIAHKEWIVVGTDDGFIRVYNYNTMERVVEIKAHDDFLRSVVVHPSHPYILSASDDKLIKLWDWEKNWECVQTFQGHDHYVMQIAFNPRDANVFASASLDCTIKTWNLGHSSPRSSIEGHLKGVNSVEFFNTDAKLYLITGSDDNTVKVWDYETETCVQTLEGHNHNVTSTLCVDSEVSSIITGSEDGTVRVWDAKTYNLDHVFTSELGRVWTIGFVKDSAQIVFGCDEGTIIGQVISTRA >KVI01028 pep supercontig:CcrdV1:scaffold_1063:20338:21600:1 gene:Ccrd_020713 transcript:KVI01028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIHRRKKQGKDTDVREHMDNNIAKKWKTLSGDTNWKGLLDPLGPDLRNYIIHYGEMAQATYDAFNSVKVSKYAGSSRYARKNLFSRVGISQGRPLNKYRVSKYIYATSSVAVPDAFITKSLSREAWSKESNWMGFVAVATDDGKAVLGRRDIVIAWRGTIQTLEWVNDFGFALVSGPKIFGENNNDDPKIHQGWYSIYTTDDPRSPYNKTSARDQVVAEVKKLVEQYKNEEISLTITGHSLGAAIATLNAIDVIVNGLNKPKDMPNKACPVTVFAFASPRVGDSNFRKVFNSQTDLRALRIRNASDVVPNYPLIGYSDVGIELGIDTTQSEYLKSPGSLSSWHSLEGYMHGVAGTQGSKGGFKLEVSRNISLVNKHLDGLKDEYGVPVSWWCEKNNSMVQQEDGSWELIDHEDDNFGQ >KVI01023 pep supercontig:CcrdV1:scaffold_1063:125338:129694:-1 gene:Ccrd_020707 transcript:KVI01023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDSKVIKAQIWDTAGQERHATFENVERWLKELRDHTDPNIVVMLIGNKSDLRHLVAVSTDDGKSFAERESLYFMETSALDATNVESAFAEVLTQIYRIVSKKAMDAAEDLNVPSKGEKIDVGKEVSAMKSVGCCSS >KVE40262 pep supercontig:CcrdV1:scaffold_10634:2516:3614:1 gene:Ccrd_023987 transcript:KVE40262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQILLAAAAAAMAFLGSATAQDSCETSCGNVTYAFPFGSEEGCYLDPGFQVTCDRSSGEPIPFFGNSTTNIVITNMSTSESEMEIMMYVAHDCYNTSGPVDNTVPSLSLTYFRISTKNRFVAIGCDTYAYISGDRGGEYQDGTGCTSICGSNTSSITDESCSGVGCCQVAIPQGMSSFEITLSSYRNHTDLLELNPCSYGFVVAPGKFNFSTNNLHRFGSKMPMLLEWAIGNETCDQIASKDDVDKLLCKENSECDKDYGGPGYRCRCKPGYDGNPYIQHSCKNINECERKDPSVCQHECVDLDGDYECRCPKGYSGGDKKDGRGCIADESLVLHIVV >KVH96842 pep supercontig:CcrdV1:scaffold_1064:101417:103428:1 gene:Ccrd_001066 transcript:KVH96842 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MAGGGIPTAPGGDTAYPGNLTLYVTVTCIVAAMGGLIFGYDIGISGGVTSMDPFLKKFFPSVYRKQMADTSTNQYCKFDSQILTMFTSSLYLAALASSLVASMVTRKLGRKLSMLIGGILFCAGALINGLAQTVWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGSLNIGFQLSITLGILVANILNYFFNMIKGGWGWRLSLGGAMVPALIITVGSVVLPETPNSMIERGRKEEARMKLKKIRGVDNIEQELYDLVMASEASQKVEHPWRNLLQKKYRPQLTMAILIPLFQQLSGINVIMFYAPVLFKTIGFGGKASLMSAVITGCVNVIATSVSIYGVDKWGRRFLFLEGGAQMLICQVAIAIFIGLKFGIDGNPSDLPKWYAIVVVLFICLYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSIVVSVNMIFTFIVAQLFLTMLCHLKFGLFLFFAFWVVVMTLFIYVFLPETKNIPIDEMVVVWKNHWFWSRFMVDVEYPNGIEMRNGGDVVRKEAT >KVI11447 pep supercontig:CcrdV1:scaffold_1065:129375:130892:-1 gene:Ccrd_010141 transcript:KVI11447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MENLVGSKRLAVLVGCNYANTPNELHGCINDVLAMRQMLINRFGFDPRNIQLLTDAPGSLIMPTGAKIKEALDRMVEEVEPGDVLYFHYSGHGTLIPSIKRVHVDFRNLVNRVPKGASLTILSDSCHSGGLINKEKEQIGPSSLRADHVPTLDKITKSKTIPFESILHHLSSRTHINTPDLGTHLLELFGAAASLSFSLASHELHLIPSVHEDSGILLSGCQANESCADMNPHGNGEKAYGAFSNAVENVLNQNSNCDLSNKEVVLMARNVLQKQGFGQHPCLYSSDDHAKSTFLNQLSK >KVI11451 pep supercontig:CcrdV1:scaffold_1065:41485:42656:1 gene:Ccrd_010137 transcript:KVI11451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAHISEQIEQMPKLLETPEQEKTGKNAKFNLPFSLVMVCYNCVLDAEELSTMIEGGEKSVKYQLPRSRNRRGSIQIS >KVI11448 pep supercontig:CcrdV1:scaffold_1065:132369:140656:-1 gene:Ccrd_010142 transcript:KVI11448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase, conserved site-containing protein MTIQSSKPQDDQSKDSKSSYFNLPALDVSVAFPQATPVSVFPPCTSDFYHFDDLLTPAEQALRLRVRECVEREVAPIMTKLGALNIAGGTIKGYGCPGLSVTANAIVTAELARVDASCSTFILVHSSLGMLTISLCGSEIQKQKYLPSLAKLNTIACWGLTEPDYGSDASGLRTTATKVDGGWVLEGQKRWIGNSTFADILIIFAKNKDTNQINGFIVKKNAPGLQATKIENKIGLRMVQNGDILLQKVFVPDEDRLPGVNSFQDTSKVLAVSRVMVAWQPIGITMGVYDMCHRYLLERKQFGAPLAAFQINQQKLVQMLANIQAMFLMGWRLCKLYEAGKMTPGQASLGKSWISLRARETVALGRELLGGNGILSDFLVAKAFGDLEPIYTYEGTYDINTLVTGREITGIASFKPVVKKPQMSRL >KVI11446 pep supercontig:CcrdV1:scaffold_1065:125765:129002:1 gene:Ccrd_010140 transcript:KVI11446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNLSSPTHTTSSSSLRLLSSSRICTPSKTLCFHSTHVKDLSFFSFTSSISLKSKLTTNNRARHLTLVVSALGKLSETELVSVPSESDALSAVFPSASGVYAVYDKNGDMQFVGLSRNIQASILYHQKSVPELCASIKVGVVDNPDRTALTQAWKSWMEEHIEVSGKVPPGNESGNTTWVRQAPKKKSDLRIMPGRNVQLTVPLEELIDRMVKENKVVAFIKGSRSAPQCGFSQRVVGILDSEGVDYESVDVLDEEHNGGLRETLKSYSKWPTFPQVFVNGELVGGCDILSSMHETGELSGLFKK >KVI11452 pep supercontig:CcrdV1:scaffold_1065:11493:14949:-1 gene:Ccrd_010136 transcript:KVI11452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3633 MVLTSYNLIKWCADMKSRFHMNKSIELSDTNVKHVISSNTLISSKLVKPGSLASGVQFVHVMEALMISINYHSVSMPCLTFISSLLPIIWDEVGEFDVERDKMLLDLQRECLEVYKRNVDSTNRSRAQLRQAIADSKAELAAICSAMWERPVHIRQWSGYGQILRRNLNPEVEEGICQVLSYMWLESKIMPGLKNMPSSSSSSSSSSSKKDGISRMENKLDEFFMHQIAHDASPACGGGFRAANAAANTYDLRDLDALESFLSSPPLSPGTI >KVI11450 pep supercontig:CcrdV1:scaffold_1065:77979:84273:-1 gene:Ccrd_010138 transcript:KVI11450 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MATMASSLLNTVSSSIRLSNSSSNPRRTLSLPTPISLSRSRKRITTIRATETDANEVKAKAPEKAPAASGSGFNQILGIKGAKQETDIWKIRVQLTKPVTWPPLIWGVVCGAAASGNFHWTVEDVAKSIVCMMMSGPFLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLGGLGTAGILDVWAGHNFPSIFYLALGGSLLSYIYSAPPLKLGIAIVNDFKSVEGDRKMGLQSLPVAFGEETAKWICVGAIDITQLSVAGYLLGAGKPYYALALLGLIVPQVFFQFKYFLKDPVKYDVKYQASAQPFLILGLLVTALATNH >KVI11449 pep supercontig:CcrdV1:scaffold_1065:92096:101837:-1 gene:Ccrd_010139 transcript:KVI11449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper chaperone SCO1/SenC MAAVLSRTAPLRRASRLFSSYATSSRLTLHLSKEIPKSHLHRPLPQSDVGSFTKLPRFLSTNANAAGAAANAQADSALKGTASDAHSDQGKQSGDSHHSSEQGKSIRGGPISWLSFLLLVASGAGVVFYYDQEKKRHIEAYITLAFWAFAMCSCDYHEHFVIAADINTSSTAVKQGPSVGKAAIGGPFNLLDHDGISVTEKDFKGKWTLIYFGFTHCPDICPDELQKLAAAIDKIKGKAGFEIVPVFISVDPERDTVEQVREYVKEFHPKLIGLTGHPDEIKKAARAYRVYYMKTEEEGSDGSDYLVDHSIIIIMTLMPSLKESSMRSSSTKKLK >KVH90251 pep supercontig:CcrdV1:scaffold_1066:39874:40533:1 gene:Ccrd_007746 transcript:KVH90251 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MARTQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPSLSQSSSSKLLSATLTAKLHRCYMASLQMSKPSIQQQQQMMNQKRMDSTHVGESSPETKPTTMIQHQQPAMENNTVHHHHQQQFFETLEDHHIEQMIEELLHYGSIELCSIGQSQK >KVH90253 pep supercontig:CcrdV1:scaffold_1066:11913:17871:-1 gene:Ccrd_007745 transcript:KVH90253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MASASLSFPSVSPSAAPSLQDKCKSKMKSGSSFQSALFGKEKRPLSMKTKSNGRITMAVAVNASRFDNITMAPPDPILGVSEAFRADTDEMKLNLGVGAYRTEELQPYVLKVVRKAENLMLERGENKEYLPIEGLAAFNKATAELLFGADNPVIHEQRVATIQGLSGTGSLRIAAALIERYFPGAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFDGMIADIKGFASGSLDQDASSVRLFAARGMELLVAQSYSKNLGLYAERVGAINVLCSSADAAVRVKSQMKRIARPMYSNPPVHGARIVANVVGNPDFFNEWREEMEMMAGRIKSVRQKLYDNLCAKDKSGKDWSFVLKQIGMFSFTGLNKAQSDNMTDKWHIYMTKDGRISLAGLSAAKCEYLADAIIDSYHNVS >KVH90257 pep supercontig:CcrdV1:scaffold_1066:8397:11680:1 gene:Ccrd_007744 transcript:KVH90257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup LNFQIIAIDARPNKLILVSAISVHESSPAVAPISPMANFSPGTHVDIPSHETHSNKKLVIGLIAASSLMGIILLPLICLWICRRKKMYKSTKINAKKLDSLRGFPLTSFIGITNSTLKPSSEKKSVAVMDYNLLEAATNNFKSEILGEGGFGCVYKAQLEDNLLVAVKRLDNQNISAIKEFQTEIDILSKIQHPNIISLLGYCVHDETKLLVYELMQNGSLETQLHGPSCGSNLTWHCRMKIALDTARGLEYLHEHCKPSLSDFGLAVMNGANTKNIKLSGTMGYAMPQLTDRSKLPSVIDPVIRDTMDLKHLYQVAAVAVLCVQPEPGYRPLITDVLHSLVPLVPVELGGTLRVAENRVAATLEP >KVH90255 pep supercontig:CcrdV1:scaffold_1066:89851:91018:-1 gene:Ccrd_007748 transcript:KVH90255 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MLCGMIRKKKNTGTPVYVNVYDLMPINRYAYWFGFGFYHSGLQVYGVEYAFGGSDSSRPGIVKLEPKQFQGLPVRKSILIGRTEMNEEECRQFIKKLAKEYPGNSYNIIYRNCNHFTNDACRKMIKKSIPGWINRLARISKNHSFHHFFTDSYMM >KVH90250 pep supercontig:CcrdV1:scaffold_1066:126652:127533:-1 gene:Ccrd_007750 transcript:KVH90250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEISYDQEHQLLSSSDPVVLPDAEFQRPKEAEDQEEEEERDDDQEEEEERKIETCGDLQMDGHDDESRFNQELNLIDSLNMMGNSSESTTPQESDTTEPRVFSCNYCQRKFYSSQALGGHQNAHKRERNLAKRGQRMGFLSSPMISAAAAFGHTYMHQQQHHDQNYCSSLSSIPLHGGYNSSRSLGIQVHSMIHKPSSMFSTSSSSGFKSFYGPKGWSSRPHVDQQPAIGKLTLASYTSSLAAAPPSHGGVGRFEVVRTGMSSTGKDEVGGLWWPELKTNQDESQKLDLSLKL >KVH90254 pep supercontig:CcrdV1:scaffold_1066:136232:145735:-1 gene:Ccrd_007751 transcript:KVH90254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPSSWDFLRKQGRSWYLSRGWSYKLATCKNYLEARIMLKARNLEAELDDQMHLYRKLVSTKGDDGNESNIGSSIEQLLKQLQHVISQMQDWVSSGGSEIFSHTLTRHQEIHHDLTQEFNRLRSSLRAKREHASLLEDFREFDRSRLDLEEGGGSQEQSLLKERATIIRSTGQTDGVISQAQETLGALVFQRSTFRGVNSKLSNVSSRLPTVNSIISAINKKKSMDTIILSLVASVCTFLILIYWLTK >KVH90252 pep supercontig:CcrdV1:scaffold_1066:77238:78350:1 gene:Ccrd_007747 transcript:KVH90252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MSSDHPILHRRPTCNRTHSPSQPPPPPPPPSSKPNVTTTLYHTHIGIFALTWSRTLFGRSLHLHLLPSSATDDDDDSISTTSSNHAAPTPSFHLQIKPLIFWNRHGSKKIPISGNKTEFIHIYYDLSRAKFGSGPEPISGFYIAATVSGQMSLLVGDSPKQAYSKAKSTQSNKNQITVLRREHVYGIANKKYNTKATFRGKTREITIDCTRVAGGDDSRLYFSVDNKRVLVVKHLQWKFRGNERVEIDGVHIQISWDVHNWLLEEEIDDGYALFMFRFEKSGFDYHEDDKYLARLNASGSSISGTGSGFGFGLEMKKMKKGMLKRAKSSSSSSMSSASSGCGSVMEWESVEENELKGPSGFSLLVYAWKS >KVH90256 pep supercontig:CcrdV1:scaffold_1066:94921:98320:-1 gene:Ccrd_007749 transcript:KVH90256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLCRMIPRKKKTGTVPVYLNVYDLTPINGYAYWVGLGVYHSGVQVHGVEYAFGAHEHSTTGIFEVEPRKCPGFTFRKSILIGRTDFGPREVRAFIEKLAAEYSGNSYNLITRNCNHFCNDMCLRLTKRPIPSWVNRLARLGFFCNCVLPAGLNETKVRQIRAEDGSNTEKKLRSHSSRFASASKPQPPLTARSSSSSSRKNPSSTIRKCNDN >KVH96627 pep supercontig:CcrdV1:scaffold_1067:8734:9918:-1 gene:Ccrd_001277 transcript:KVH96627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MECIEARALKSSFLSEIGMKSTQQALFEDLFCVAGIDNVVNVSSSVEFSVDDLLDLSDKDFNNSGIEYSEEDEERDFSQDTGNNLMISSNFPSAGDLVSLPAGEIHLPVDDMESLEWLSQVVDDSTSDLSLLFPAATLTENYTGKFAVKTRHEPVVRPVIPSFTVLGLSYPVPRKYRTKRSKKTGRGWSSLTESSIGTSSSYDSTVTSSHFPDPVQLIESFFSFQKPATKKHKKNSGSGSSSDSSGSVTQRCCNHCQVEKTPQWRTGPLGPKTLCNACGVRFKSGRLFPEYRPACSPTFSDDIHSNSHRKVLEMRKKKETEVVEPEFSSGFHTFSS >KVH96631 pep supercontig:CcrdV1:scaffold_1067:46575:52880:-1 gene:Ccrd_001280 transcript:KVH96631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesyl-diphosphate farnesyltransferase MGSLKAVLKHPDDFYPLFKLKMAAKAAEKQIPSEPHWGFCYSMLHKVSRSFALVIQQLNPELRDAVCIFYLVLRALDTVEDDTSIAADIKVPILVAFHQHIYDRDWHFACGTKEYKVLMDQFHHVSTAFLELSKSYQEAIEDITMRMGAGMAKFICKEVETIDDYDEYCHYVAGLVGLGLSKLFYASGTEILFPDPLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPREIWSKYVNKLEDLKYEENSKKAVQCLNDMVTNALIHIEDCLKYMSDLRDPAIFKFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRDVYGAFYEFSSMLKSKVEMHDPNAKTTISRIEAAQKICRDSGTLTNRRSYILKSEPSYSPALIALVFIILAILYAYVSANRPNKIKLTL >KVH96625 pep supercontig:CcrdV1:scaffold_1067:12034:18914:-1 gene:Ccrd_001278 transcript:KVH96625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MELSALSSDTEISMGFLSSWVKLLPFLLLNLCIFQQNWFVFSISSSKVHIVYMGHNTHSHPEVLREKHHGILSQILGSKEAAAKSIVYSYKHGFSGFAAVLTPLQASSVADLAGVVCVIPNRILQLHTTRSWDFLQVKPRLVNGILSKSQSGVGSIIGVFDSGVWPESRSFNDVGMKKPPSRWKGVCQQGHHFNESNCNSKIIGARWYVKGYEAEFGDLDTSDGSEFLSPRDAYGHGTHTSSTAAGALVEDTSFFGLARGLARGGASSSHIAVYKVCWATGGCSSADILAAFDDSIHDGVDVISVSLGTGPPFSTYLEDPLAIGSFHAVACGITVVCSCGNFGPYPQTVTNTAPWVITVAASTIDRAFPTLITLGNNQTFMVHYEKRTLNRMQGQAFVTGKDFHKFYPLVYGEDIVADDADEDDARSCEAGSLNASLATGAIVLCFQSLTRSLAASTARNVQEVGGVAIIFAQYPTKDVTLTFAIPCVQIDFSIGTSLVIYAESNSHRDKNNASDLYILFGSNPVVKISTTRTVVGKQTSPEVAFFSARGPSSLSPTVLKPDVAAPGVNILASWSPAASSAAIDQNRVPLLDFKLESGTSMACPHVSGIVALLKSMHPTWSPAAIKSALVTTASVEDENGLPAIAEGAPHKHADPFDYGGGHVDPNKAINPGLVYNMTTADYVRFLCAMGYNDSAISSLANLHSPCSRKTNFLRNLNLPSISIPELRKSITVTRMVTNVNPTTTPSVYVARIEAPPGTKVRVAPSILIFNSTKTRLKFRVTICPMVRVEGRFSFGNLYWEDGVHVVRTPLVVHLVDEMHDGWSGTLERRTTLAVTLFYKSNLLTPSRPSLRRCWSFNEPSRTTIER >KVH96632 pep supercontig:CcrdV1:scaffold_1067:114120:121514:1 gene:Ccrd_001283 transcript:KVH96632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MARGKRKSSAANDDASASSSILEKKPKLVEDKNEEISSNVGVVEASAVLDRVSPAKRSGQKLDKPKKEDEEDEDEVESRFIGDPVPDDEARQRWPHRYATINNNDINFAKKKAGKSGSLETLKGMAPQKELMQARRHFSEALVDGRVNFKLGDDGYVQAGEGEDSYICRIVEMFEGMDCALYFSAQWFYRAKDTIIQACSDLIDDKRVFLSEIKDDNPLDCLLEKLKIVRVPLDADIADKQARLADGDYYYDMSYLVPYSTYQNLPPVYGKHLSYILVSERLKFLLYEQPITDNDGDGNESDSTISSESDVNGVVSEVPQVQDGKRSEMRMLDLYSGCGAMSTGLCLGANMGDVNLVTRWAIDLNRYACESLKLNHPETEVRNESAEDFLMLLKEWEKLCQSFSLVGGGDSQQRMNPASIEEDEGEADDDDDDDSDGLDEEVFEVEKVLSICYGDPKEIKKPGLYLKIRWKGYGPEEDTWEPMEGLCDCHDKIKAFVVKGFNSKILPLPGDVDVICGGPPCQGISGFNRFRNKDKPLEDEKNKQLVVYMDIVEYLKPRFALMENVVDIVKFAKGFLGRYALGRLVSMNYQARVGLMVAGSYGLPQFRRRMFMWGARPSEFNLFNTSFDVQKLPQYPLPTHNVVTRGVSPLEFESNTVVHEEGQKVELEKELFLGDAISDLPPVPNDETRDEMPYEEMPKTEFQKFIRLKKDDMPGFSASGQDSSDHLLYDHRPLKLNDDDYQRVCQIPKRKGANFRDLKGVRVRKDNHVEWDPDVERVYLPSGKPLVPDYAMTFVDGRSSKPFGRMWWDETVPTVVTRAEPHNQAILHPLQDRVLTIRENARLQGFPDYYKLVGPIKERYIQVGNAVAVPVARALGYSLAMSCKGSSGAEATFTLPSKFPNIQPVTSPSVDQQNQ >KVH96630 pep supercontig:CcrdV1:scaffold_1067:85552:89329:-1 gene:Ccrd_001281 transcript:KVH96630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEKYQRIKEIGRGSYGVVYKALNTENDELVAVKKMINRKYHSSKECMNLREVKSLCKMKNHPNIVKLKEVIVQKNILFLVFEYMECTLFDRMRHRTDPYSETEIRNLCFQIFQGLAYMHGTGGYFHRDLKPENLLVSKDVIKIADLGQAREINGEPPYTHYVSTRRYRAPEVLLHAXEHDSSVDMWAMGAIMVELFTLRPLFQGSSTTDVIHKICSVIGSPTDTTWSLFNYRFPEFPGVDLSSLLPSASPEAINLISTLLSWNFGTSIFMEESEQQMKNQWSEGCHVLI >KVH96629 pep supercontig:CcrdV1:scaffold_1067:124165:131891:-1 gene:Ccrd_001284 transcript:KVH96629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MSFRLSTTAQNLPDDEQLHPATKTPQNTVTCVYMTQIGGYWRNVTVFWAKTTTTHSLNIYIDSMGSELHPNCKIDLKPWYFWAKKGSKTIQVDGYQIEVYWDFRSAKFLGSPEPCSDFYVALIYEEEVVLLIGDLKQKVYTKMKTRPAEVEGLLFFKKEHVLGKKSFMTRAKFDPNRKDCEIVVESSMMGSKDPEMWISIDGIVLIRVKNLQWKFRGNQTVLINKQPIEVFWDVHTWIFSEPGLDHGLFIFKHGHQDADSDRDDDKHSATGDSDCSVGSRYYSTYVLNKSVLSSHKRAREGDGRVEWRLAKGGGQRAIDRRRERQPQAQTGRRLSIVESVERERERERRARARARARVIQENRFLLRMNDTATENGSVEREIMDMDYWTADWW >KVH96628 pep supercontig:CcrdV1:scaffold_1067:137691:146521:-1 gene:Ccrd_001285 transcript:KVH96628 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein IIQYGRGPSPIRSLCFYIFFSISGFYKQLKEPCLLPMEKGTASSLFVNDGSFMERFKQLQEEKEKGAKVEESKLGPPLSGPQKPKPVFSNSGSESKASDSRRTNKSSSAGKLAFSLKQKSKLVAPAVKLSEDEEEDESIAGNTSGDGPTKRQKLEQPYVSEQSLKQVYVATPCPSDPTIKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCADYKYYEYRLTEEEKALTYSVDSQTSQPGAGKNNAANSSQRSHHQQSNYQIPASALYEATDNEHMTSSERSGYGESTAPSGSDPIAMMEFYMKKAAQEEKRRQPKHSKDEMPPPASLQGGGKRGHHMGDYIPQEELEKFMANCNDVAAQKAAKEAAERARIQADNVGHRLLSKMGWREGEGLGSSRSGIADPIMAGNVKKDNLGVGASQPGEVTPDDDIYEQYKKRMMLGYRHRPNPLGNPRKAYY >KVH96626 pep supercontig:CcrdV1:scaffold_1067:29535:39518:1 gene:Ccrd_001279 transcript:KVH96626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arfaptin homology (AH) domain/BAR domain-containing protein METIRKQAVKLREQVAKQHVVKQFGGGADGGLDDVADEAEVVLHQKLERLYISTRVAKQFQRDIVRGVEGYIVSGSKLVEIGNKLSEDSKKYGIESGGMLSRAAVNFSRARAQMEKEQGNLLKFFGTQVAEPLRAMVMGAPLVDARHLAQGYDRMRQEAESQAVEVSKRQARVRDGMGNSDHFMKLEAAESRLQHSKSNMLTLGKQAASAMAAVEAEQQKMTLQRLISMIESERDYHQRVLQILDHLEGEVCIVPSPFASAYFFSAINFDVQRDGWGVMEYTGEYISPWSFTFIYMVSERQPIEATPPVVEPSAPPPYKEVKRMFASPVQNGSDDDVNYFLGEVMFSYYGESAVELSLSVGDFVVVRKVCSDGWAEGECKGKAGWFPAAYIQTRQH >KVH96633 pep supercontig:CcrdV1:scaffold_1067:103040:105765:1 gene:Ccrd_001282 transcript:KVH96633 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein MGALSSASPLILRCILRRPPPSTTTNHVSAITPSLFKLLKHTPSISLPTPPLPISRTALSSLTLRSLSTSSSIQVAISTEQDTQCDVDSEVATSTEQDTQSHVDSEIGTDDNHQIVTEIESPVDAKLSNAHKEMLSKLKGMSVKEKKELGSYANSLGKKLKSQQVGKSGVTDSVATALVETLEANELLKLKIHNNCPGELEDVVNQLEEATGSVVVGRIGRTVIIYRPSLTKLKAEEKKKQALKVFLKRRAAFKSSYQGQKLSRGQSNRSRDFRKS >KVE39140 pep supercontig:CcrdV1:scaffold_10675:641:4165:1 gene:Ccrd_023988 transcript:KVE39140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF652 MLPLQLFVNVYSKHGYLVLQMRLKKQKRHRRSVRFYTTCFGFRSPFKVLCDGTFIHHLLVNRITPADTALSNILGAPVKMFTTRCVLAELKSLGEAYSDSLNVARDLMPARCDHEKRKSAVACLAEVIGEDNSEHFFVASQDADLRKKFQEIPAVPVIFALRNALFLEPPSQSQQQFAKVAEDQRSHMNELEFKMLLNKKNRSSATEEPGESSVADEVLPDNIIEDLKKNAAKRKTDVKDKVQFKRKRAKGPNPLSCKKKKTENQNHVAKKMVFAFGNPLH >KVH89711 pep supercontig:CcrdV1:scaffold_1068:96189:103570:-1 gene:Ccrd_008296 transcript:KVH89711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPESSCLRASFCEFAPLMLMADNVAAAAASLRMLWVYLRTYALDQMVQAASSSTGLRTIKRVEQFLQELKVSYYPLCIYGCDIFYLRNSVYFLANILYLMNTVRGIEHGFNSFKLHLLQFQQVNLKPKVPTKAVCVEHLELRKEIFTLLNLQKFYKIRRKVHLIMKVHILKHQTRQRSLSPLKDDYEIGLQHGMDQDRTSNSDLKEPTLAIVDSKFEGGAIEELQNAFGGIVQAPMCRPWDREDLLKRLATFKSMTWFAKLE >KVH89709 pep supercontig:CcrdV1:scaffold_1068:49118:51889:1 gene:Ccrd_008295 transcript:KVH89709 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MDAMSKTLASLGLISLLVIGVLASDDGGFGGCNCEVEGFFGYRNIMEAQRVSDFLIAAAYFSIPIELLCFVSCSNVPFKWVLFQFIAFIVLCGMTHLLNGWTYEPHPFQLMLALTIFKFLTALVSFATAITLITLIPLLLKVKVREFMLKKKTWDLGREMGMIKKQKETGWHVRMLMQEIRKSLDRHTILYTTLDKLSETLDLQNCAIWMPDEAKIRMNLTHQLKGGKPSTIYDFSIPIQDPDVQEIKRSEVVKLLDPESRLAVLSGGRSGSPGAVAAIRMPMLRVADFKGGTPEMIQACYAILVLVLPGGQVRSWTNPELEIVKVVADQVAVALSHAAVLEESQLMRDKLAEQNRALQQAKQDAMRASQARNLFQTVMSKSLRKPMHSMMGLLSIIQDENMNNQQRVLVDSMVKTSNVLSMLIDDVMDDSSKDNGRFPLEMRSFRLHSLIKEAAYLAKCLCAYKSYEFITEADKTLPDNVMGDERRVFQVLLHMVGNLLNGGNGGGCLILRVSTESGSHGRNDQRWATWRSSNSSDGYVTVKFEIGISDRIPRLEERPFADDRICSGVVEQGLSFGMCRKLVELMQGKMWVVPNPVGFNQSISLVLRFQLRPSIVIGISETGESSEHHPLSNSLFRGLQVLLADEDDINRGVTRRLLERLGCIVSTVGSGSDCMMALSQPVLSYQIVILDLDMGDVDGFEVTSRVRKSRSRNWPLIVGLSASGDEHLWEKCIEIGMNGLIQKPNHACT >KVH89710 pep supercontig:CcrdV1:scaffold_1068:105199:107614:1 gene:Ccrd_008297 transcript:KVH89710 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MANEDLSILDIFPLVIDGQEYDPTIMAGVAPEGSQFDTRQFVTKMNELLSTDGQDFFTSYDEVLKNHQQFSREESSFFYKGLEVIQQARSGTGKTATFCSGILQQLDYNVVECQALVLAPTRELAQQIEKVIRALRDYLGVKVHACVGGTSIREDLCILSAGVHVVVGTPGRVFDMLRRREDDKQLIYICGDCVDICCSCTTIKLHSRLNIYVDSTTASTGLH >KVH89708 pep supercontig:CcrdV1:scaffold_1068:127005:138222:-1 gene:Ccrd_008298 transcript:KVH89708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MSYRRDNRASRTALFDNLDGIEEGGLRASSSYSGNIDEHENEKSLNSLQDRVVFLKRLTGDIHEEVQSHNRMLDRMGNGMDSARGIMSGTMDRFKMVFEKKSSRKTCKLVTYFVLSFFIIYYLFRFLMYYLYG >KVE39115 pep supercontig:CcrdV1:scaffold_10680:4222:6137:1 gene:Ccrd_023989 transcript:KVE39115 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTETMATAEKKPHVIFIPFPAQSHVKAMLKLAELLHHKGLRITFVNTEFVHKRLLKSAGPHYLSASPDFHLETIPDGIPRTSEDDNDSDLLLHYLETNFLAPFLELATKLPTPPTCIISDGLMSSFPIDAAQTLEIPIMLYWTLSACGFMGLYQIQSLIDKGLTPVQDESYLTNGFLETIIDWIPGMKSIRLKDLPTNVWTTDPNDKGYRFLIQATKNSNKVSNIILHTFDELEDSIVKALSSMFHHVYTIGPVQLLLDQTTEHAETPNVNGYSLWKEEPDCFKWLESKEPNSVIYVNYGSTTVMSLEELIEFGWGLADSNHYFLWILRSNLVVGDQSAVLPPELEEQIKRRGFIASWCSQEKVLNHTSIGGFLTHGGWGSTIESLSAGVPMICWPYLWDQTTNCRFICKEWDVGLEMGKNVKRDEVKKLVQELMGEGGQRMRNKAMEWKEKAKMATSPNGSSFLNVEKLVKEITMLSTK >KVH88377 pep supercontig:CcrdV1:scaffold_1069:51736:91372:1 gene:Ccrd_023990 transcript:KVH88377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MWKLKLSKGEDDPQVRSVNHHIGRQFWEFDPSSGTQEERSEIENIREEFTKNKMNVKHSSDLLMRFQFANMNRSEIEKPEVVEKDDLKDEVLVKTLKKALKFYSTLQGEDGSWPSDYGGPLFLLPGLIIGLHVMEKMDAVLSAEHQKEIRRYIYNHQNVDGGWGLHIEGHSTMFCTALNYVSLRLLGETMDGGQGAMTRARTWILHHGSLTHVPSWGKLWLSVRLTTLSYFSLTIMFWILCCGIESDYFLKRTFHKIYTESKWSKVLGVYEWRGNNPLPPEIWLLPYCLPLHPGRMWCHTRMVYLPMSYLYGKRFVSPISSVVLSLRRELYKTVYLQEDLYYPHPRIQDFLWNGLNNFAEPLLMQWPFSKLRKKALNINGNGGFSSYELMRSYTWLEAINPAETFGDIIIDYPYVECTSAAVQGLRSFTKLYPNHRRAEIEACISKAISFIESLQLPDGMDHGEFAILMEHGKTYESSHSIRKACEFLLSKQLNCGGWGESYISCQQKVYTNITGNKAHITNTSWALLALIEAEQAKRDQMPLHLAAKVLVDHQMENGDFPQQEIIGVFNKNCMIKLHISINTITITTSKSLFYLFFSHLCMRFYNLPFLIRLPHLPPHKLSSIIDADKVSSLVHQPNGIHIFYLRIFIRV >KVI07068 pep supercontig:CcrdV1:scaffold_107:335897:339119:-1 gene:Ccrd_014566 transcript:KVI07068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YEACVSVSNRAEKVASGDLQQLLSHLPQVKDLSSQSSSSNFKILPPDNVNDWFTTAKLTRFLLIVGSPDILSIGNEISQLEETRKFQLSLFARAEVDMTSSYESKDSLQKLVELSQIPSLEDSTTERNVSASQLNPPVKYGVSPAKAAQMERQSSSDSETSFSSDDEQPSAERSRTLARSATPRRSASPMRRIQIGRSGPRRAATLSIKSLNHFPTREKTANQRDVAGHSSEEDDSEKSIKRNALRMSVQDKISLFESKKKDQDVDTQKPKTLLPAAVTNKAVLRRWSSGMGESAIKCLHDTSNETSSPVSPKDAAPCGNAESCASQSSAKTAENIKSSVPKNGAAETLDVEVNPEHEREGSCEKHPASIEWNQQKEAELNQLFTKMMESKPVRRQSVANDSKSKSSPKENRGGFYDHYKQKRDEKLRKEAAGKKAEKEAQFKEMHQFLDERKAEMASTNTSDVPRRHSTINKPKNPQKNPTLLPNSRKEPSKASIVKKATSKPSHLPATRKSWPSTPSPRATEASPATTRSGVISSSVTPTRKPWSASSAVRTSTKVEKVQPRSKTLKATQPDAKKTIKTVSEKKQPIAKSAQTAKPKVRPQVGTPVAATATTTTTTTTAKPSFYNKVTKKSSVVPLETKPFLRKGTGIGPGAGAVVVKKVVVDQPEESLSSGDLIQAEKNQVVETTEFTSQNQEKECKPPEPVAKLDSETNAVSLSPTKCEESESSNQFDVSSDDGLKRIEMSEFNTITEEESTISPTAWVEIEDENRQDEIIQCKETPIQTAGSGPTNLDAVAGVSSPRVRHSLFQMLLEETGEADSCEWGNAQHPPAMVYQKDAPKGFKRLLKFARKTKADLTDGSSPSSIFRR >KVI07070 pep supercontig:CcrdV1:scaffold_107:229731:231953:1 gene:Ccrd_014559 transcript:KVI07070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mago nashi protein MAEEENGAAGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPSVLKECRRIVSDSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLMDVQTSKDPEGLRIFYYLVQFALLASSVELAW >KVI07101 pep supercontig:CcrdV1:scaffold_107:28533:28871:-1 gene:Ccrd_014543 transcript:KVI07101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1674 MAMSKLHRLLSTPPTGLSRPAIIFSAFRSEFTNSTNRFISNLTENLQTHQDQDGATNEEDKIQKAQGHGEENDEDDGEELDINKETGEYGGPRGPEPTRYGDWERNGRCSDF >KVI07073 pep supercontig:CcrdV1:scaffold_107:180097:182016:1 gene:Ccrd_014552 transcript:KVI07073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGVDEGEKLSMQQELWGLNHAECLKEQEEMMIRFTNFDSLYMEMEMEMERDEEEMKKMEEETRGRLPNTYPFTKHLPGNRLLVKFNIIIYLLAKILIDKNSIICNYLPGKCLPR >KVI07074 pep supercontig:CcrdV1:scaffold_107:160560:177015:-1 gene:Ccrd_014551 transcript:KVI07074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLMHLQASATLFESTYKSSGCSKRLLNTFSSFYIQLVSPLVSYAGENLEAIYRGSRFHPPCEIRRACDQRRVSDRRWGYGGGPEVKELGEGLMRGKEPYIGGVSEKGALSKKIEGIDAKRADPLTPHGVIVFQENDFLNPVCLSFFRRLSSASLTFGSGNTASVGSSRCRTSDLRATLTCFSTFSSPFFAMGSVSFMVWFALLMGSDLTLLLSPPPRMDEKAVARATTKFGFVRAGTMSARAAGDGLWYISDEDPAIVIEFPAILSLSLSLSLSFPVLSLFYHMVCGLVVILSCLQSLVLNLF >KVI07081 pep supercontig:CcrdV1:scaffold_107:373903:383221:-1 gene:Ccrd_014569 transcript:KVI07081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVGVGVSTTNSDTYASNSNSVSSNPSSPTTRGSSSSRRVASSSSFPIVRFLQAPVTTIFEYSGFLRPRSNSDYHESESLIPHHHSHSSIDSGTVPSNSDDSNNEGEVSIRIIGDGEQEGEHGGESGVIGEDSGGREMADSSDVDGRTSGNGSNTNSDSSNQQRYDLQQVSRWIEQILPFSLLLIVVFIRQHLQGFFVTIYVTAFMYKSNDILRKQTALKGERKLSVLVGYSIIFMLHVIGVYWWYQNDDLSYPLFMVPPKAIPPFWHAIFIIIINDTMVRQAAMTFKLGQMLTLVEYALLLYRAFLPAPVWYRFFLNKEYGSLFSSLITGLYLTFKLTSVVEKALSRKEMHYGSYATSEQVSEAGDISQWAPTRHENSGEDDVLQPVREDDGSQKLLEKTMAPKSSGEDGETRTSPRPEIAKSPSRRTGKPSLKLETLTSFASI >KVI07090 pep supercontig:CcrdV1:scaffold_107:322627:331033:1 gene:Ccrd_014565 transcript:KVI07090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MEKLKSAIPQTLNLSISQSNPHTLPTTCSALYDFLNQLPQFHQMLEDLAHMETSLFLKTKKSALEFKLKGNNCFSNGDNSNAMRFYTQALRAAPRDADDMGKNLVATLYVNRAAVLQKMGLAVECLQDCNRALAISRSYSKAWYRRGKANISMGNYDDAVCDLKVALCMEQSLSGKRQIEGELKLISDHDNGKNFPPDVAKYKESDIHGIFFTSINYNKFMVLYVLSIQYIRSMQHMGDNIFNDLSSVTDQPQQIQLQCVSTEMKGRGMVPLTDVPQATLFHTEEPYAAIVSKHCRETHCHFCFNELPADIVPCPSCSLGLYCSHLCQIHAIGKHLQCNVKNQEFGVDLASYLEKYVESVTNTSIAGVHVEQIGEHKHECGVNWPAALPTEIVLAGRVIAKSIAQLRCVGESSPIVNLELCHNYVQLGPEGKLELHISSIILLQCLHHSYGSDVPLNGETISHCVLLLSQIKVNSMAIVKMRSSDSVGPLSSAGNHTTNTVEQVGLWSCKERQSSLKEQYAFVCSCTSCSRLNLSDLVINSFRCVNSNCSGVVLDSSVVNYEKQKVNPFSCGLPLQVGKLKHDEVKRVASLLCTETGSAHQIEPGFCLSCGAYRNLETSNAAIKEAEICVRRWEDSLDSAEVPSNIVSKALGSLDLLKSTLHPYNKKLAKILEKLYGDDNIVIGNELVKLASIQLCLGDPVVEQTINRINSIFSRHYGSHVSILFPHLQFLKRRL >KVI07097 pep supercontig:CcrdV1:scaffold_107:104277:113949:-1 gene:Ccrd_014547 transcript:KVI07097 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MIIKRKLKSAMPSLKRCRVGESGAGGDDDEWSGKSRKKRKSNNGYYPLHLLGEVAAGIITLSELGFHFPAGVIPSFCSDTGEVVVVDSKSNAPKTELEDTSNAINNDSVRPPPRPPLVRTSRGRVQVLPSRFNDSVLDNWKKEKTKLDVNQDLYFDPEFAPHKDKSSVKIPKIQNKKHNGDKVSYKCRKSLPPPLKFEEFEDEEYKYSRYNNEQYDNVNTILIGEKKDGFSNGSQKEQVEKTTGNIKEPDEFSPGDIVWAKSGKHYPAWPAIVLDPVMHAPQQVLGFRVDDAFCVMFFGYSGNGTQRDYAWIRSGMIFSFVENVDRFAMVQFFSYIRSFIGKEDGDDEKLVIFRFQGQTDLNDNEPSVLRSAIEEAFLAEHGFTEMLMVEINAAAGNLDYLDAIPRGVRCDGCKVWVHAECDKISGHHFKDLGTTEYYCPDCKAKFNFELSDSETCQPKHRYSKKQEEMVLPDKVTVVCTGVEGIYFPSLHLVVCKCGSCGSEKLALSEWERHTGSKVKNWKTSIRVKGSLLPLEQWMLQVAEYHARTVVSVNSLKRPSLKARKQKLLSFLQGGAMKPTDVEPLWVHVTCAWFRPEVCFASDEKMEPALGILSIPSNSFVKLHSLEKNGKQITRMVSYCAYHRAPNPDTVLIIQTPGGVISAKSLLLNNNKSGSRLISSRRLQLRDAPISETSTETEPFSAARCRVHQRSHTKKPGDGLIMHRVTRPCHHSLTTIQRLNSLRVIIFAFVSIGFCKRLIDHTPDAFFLQEMQEPRSFSTFRERLRHLQAKFHRTENDRVCFGRSGIHGWGLFARRNILEGEMVLEYRGEQVRRSVADLREARYRREGKDCYLFKISEEVVVDATDKGNVARLINHSCMPNCYARIMSVGGDESRIVLIARTNVATGMITCLIQMKAMNAKCRVYVKRRIVGNL >KVI07082 pep supercontig:CcrdV1:scaffold_107:469812:473694:1 gene:Ccrd_014575 transcript:KVI07082 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MSIGLCSLVFISLWISSKQTLFILKHQSDSEASVYSSSSKMMETSSPNNKRLIGELIRGRDSTKELQNLLRRKTKDDGSVSAEDLVMKILGSFSDSLSVLASCSSGDSYPVSATTTYVGSASSGDRTLDSGESEKKPAPVVKDRRGCYKRRKTENSRVKVVDTIEDGFAWRKYGQKEILNAKAPRCYFRCTHKNEGCKALKQVQKLEDGSQMFHITYFGYHTCQNVHKNTHMFSDSGALSFFLHNFEDTKLNNSPSSPSTITNVQNTPLMEQEDDSNAQSDDHITFNTNHDQSSIPMWKDMIGDLESSHDEFFNNGGYLSGGFKFEENVFL >KVI07092 pep supercontig:CcrdV1:scaffold_107:120693:124024:-1 gene:Ccrd_014549 transcript:KVI07092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHYFSPDDQCFDDLYHSQKPNPFLISTVSDYDLGGEGDLFKAPEPIIEQPLVTLDHMTSAMSLILCGEEVVSHESLQSDDFPSNPFFEYKYILANEASSPSEVLNFEFPTTKDDMEESLHPPESITKSATSISLGSVDGAQIRPSCVNFNEIELKEVRGMRRVSSEGYIKLQLIGDHQTAETRSQKLSRYRDKKTKRNFVRLQEGVGRRSATDQRKVCQDRRNRYLDEKVIKGF >KVI07069 pep supercontig:CcrdV1:scaffold_107:352213:355982:-1 gene:Ccrd_014567 transcript:KVI07069 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain-containing protein MDGRRITASPRPCSGRRVVAKKRPRGGVDGFVNSVKKLQRREICSKRDRSFSMCDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLKKRSKIVEIVAARDIVFALAQSGICAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSFSSLKCRTTGIEYVKTYQLIYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTFSAQDSIYKVFDLKNYMMLYSISDKNVQEIKISPGIMLLIFNKASGHVPLKILSIEDGTVLKTFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSSINISNILTGKCLAKVKAMDGTKLDDCICSGRRCKCRKRVGSSRRMTSSVTEALEDITALFYDEERNEIYTGNRLGLVHVWSN >KVI07083 pep supercontig:CcrdV1:scaffold_107:438961:449374:-1 gene:Ccrd_014574 transcript:KVI07083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MQSFPVFGCCHRRTPLALLPLRMLSSSSSNPNPNSTSSSLYSTMSLNPDSSPSSSTRTPNLLPLFTNPQTPHINCKGLSQGVTISNGFSSSFSSVAANTVSVSGIEESGDTEELQLVVVSFYKFADFPDHADLRKPLKELCEKLYISGGIILAPEGINGSICGIRKSVEKVLDFIQSDDRLKGLRLIESPVSPEEEAIHHGHTSSSPLAAGEDAPFRWDHVRVKLKKEIVSLGMPSVSPNERVGKYVSPNEWNLLISDPDTVVIDVRNDYETRIGTFKGAVDPRTTAFREFPGWVDDQFQLSLPDVQVECRDSSDEAEKYNEEGRKSPPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLQGGILKYLEEIPKTESLWEGECFVFDKRVSVKHGLVPGNFKLCYGCKKPVSDADMESPEWEYGVSCPYCYASKSEEEKERARARQRQFEAWGVIGGPDKGRRPPKTTDANNWSDSQIAKKD >KVI07088 pep supercontig:CcrdV1:scaffold_107:415138:422147:1 gene:Ccrd_014571 transcript:KVI07088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MENPMKLRLLAIATFILLHNLYLTTADLNSDAQALFKFASTVPHLRKLNWNSTIPICTSWVGIKCNDEGTRVIAIHLPGVGLYGQIPPNSIGKLDALRILSLRSNSLSGTLPSDIPSIPSLQSLYLQHNNFSGDIPLPLSPQISVLDLSFNSFSGNIPQTIKNLTRLTSLNLQFNSFSGALPDLNLTRLRLFNISHNILNGSIPFSLQKFPVSSFEGNSFLCGPPLSQCSSLTSSPSPSPNYLPSIPFHSMRHKKLSTGAIVGIAVGGFFLLLLLAFFLFCCLKKKNEDSVGALKVQAVTAGKNEKSDDFGSGVQASEKNKLVFFDGSAYNFDLEDLLRASAEVLGKGSYGTAYKAILDEETTVVVKRIREVGVPKKEFEQHMEFVGRLGRHPNIVPLCAYYYSKDEKLLVHEYMHTGSLSSLLHGNRGIGRTPLDWDSRVKISLEAAKGIAHIHSEGGAKFTHGNIKSSNILLTRDLDGCVSDLGLAPLMNFLPAKSRCIGYYAPEVIETRKFTQKSDVYSFGVLLLEILTGKAPLPSSGQDEVVDLPRWVRSVVREEWTAEVFDVELMKQPHVEEEMVQMLQIGLACVTRVPDMRPSMEEVVKMIIDLRPSDSSENRPSSEDNRSNVVTP >KVI07086 pep supercontig:CcrdV1:scaffold_107:433087:436557:-1 gene:Ccrd_014573 transcript:KVI07086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor S-II, central domain-containing protein METELIELFDAVKKAADAAALGAGGTDYLPEEDRCLDALKVLKKFPVNYHILVSTQVGKRLRQLTKHPRKKIQSLASELVEIWKGVIVEETLKNKKNGNMDVRESPKEMSEKKVDRVNSIKAEKVSKTENVKNGHGGPPISSEKKVNVVKAEKKEDKLTPEAKKPSTNAVAPLKLTSLVYCKDPVRDKIRELLAEALCKVPGEADEELRDEANACDPYRVAVSVESAMFEKWGRSNGAQKFKYRSIMFNIKDPKNPDFRRKILLGHVKPERILELTPEEMASTERQMQNVKIKEKALFECERGGPPKATTDQFRCGRCGKRKCTYYQLQTRSADEPMTTFVTCVNCDNHWKFC >KVI07065 pep supercontig:CcrdV1:scaffold_107:293484:302748:1 gene:Ccrd_014563 transcript:KVI07065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEFGVGWRWMEGEDPSSAAATLAEKEEADARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVELESVQNSLLLNESELHGRQLKVAAKRTNIPGMKQFRGRRPNPYLGFRSRRPFMPGPPMFPPYGYGYVPEPTLLAVYFSILVLFPRNFGGILQLAFAAAGTRISFECTLLWWVSRVIVTLYKAEAPKFLNSYKMSFSIFIHHDMFISSIVMETLRPEYPRKDSKGQEANVVPAILIDDILVSMVDE >KVI07072 pep supercontig:CcrdV1:scaffold_107:187085:192296:-1 gene:Ccrd_014553 transcript:KVI07072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEANRYSQMLANLHGMHLFTFILVMFVLWLMTKHQMWDLVNGELQRAVETLSTNGAMCKLILEAKLYQQRGNFFRRCSITTSGFERLPEALDFYRLGKGKMEANIWSNCISTAKEENASNTTLCDALFASEKIVRRSFECLGKRVKEVSCDEVKCWHCFPSESSVSSWQCFWDHTTIDKVAPIFKAIIEESTKDAEEDNPESKSVWRTKRRQLDQCLGDLLRTCKLFDSLLKKLRKDLQSKCGNDVHESILKLVIGGDSQRVECLSKLILKKGCYVGGIESSSKQEGAETCYQRENIPGLRYEVYRMLSVAGILYTYGRCHHYRQKVGTEYAGFPMIDPLDAYYLLNPGGDCKDIEALLGNLLNDKILEASHFGGTYRKIHTEKLEEKYLHSQIYAETRVTELIRFTFSRRQVSTNFGRGLYRQRASSPLSR >KVI07100 pep supercontig:CcrdV1:scaffold_107:5090:7444:1 gene:Ccrd_014541 transcript:KVI07100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-helical ferredoxin MKVTKAAEKAAEEADIGEEDELVNNAIKHRGFVAYEREGVSYRDPTVRMNDWKEVMEETKPGPLVKTQAARCMDCGTPFCHQTNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPVKRTGYVAIVGSGPAGLAAADQLNRIGHTVTVFEHADRIGGLMMYGVPNMKAEKIDVVQRRVDLMAKEGVNFVVNASVGNDPSYSIESLRQDNDAVILAVGSTKPRDLPVPGQELSGVHFAMEFLHANTKSLLDSNLEDGQYISAKGKKVIVIGGGDTGTDCIGTSIRHGCTSIVNLELLPEPPRTRAPGNPWPQWPRVFRVDYGHQEAATKFGKDPRSYEVLTKRFIGDENGVVKGLELVRVQWEKDESGRFQFKEVEGSEEILGADLVLLAMGFLGPEPTIADKLGLEKDKRSNVKAEYGRFSTNVEGVFAAGDCRRGQSLVVWAISEGRQVAAQVDKFLVEDGKEGENRWLESGKKEQEAIRA >KVI07091 pep supercontig:CcrdV1:scaffold_107:364768:369350:-1 gene:Ccrd_014568 transcript:KVI07091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSMAVVYNHFVFPGRPALLKFPVVELKPSPSQCERERQGRLYIKTAASSSFAGSGAEYSESLSKDQKKKGRRIVGIDQDELVDPKLLADPDSCFCEFQGLQLHHKIFDPESEAQDLLHDEAASGLSYQKEKLRFPLILLHGFGASLFSWNAVVKRLAKVAGSKVLSFDRPAFGLTSRVDNPFKHAPSGGSQDRKPLNPYSMAFSVLVTLYFIDFLAAEKAILIGHSAGCLVATQTYFEAPERVAAMILVAPAIIAPLTSPKKVKANDQQGSSSSDNRKNPVFNLLSIVSKFSRFIAQTVMHLVRGMVNMLDSLYKKALSAFLRSSIAVMLVRMVIDKFGITAIKNAWYDASLVTDSILEGYTRPLRTKGWDRALVEFTAEMLASSVPEPNPAWPERLKEISCPVLIVTGDSDRLVPSWNSERLSHAIPGSRLEVVKKCGHLPHEERPQEFVTIVDNFLTTVFQRVESQPLQPAM >KVI07078 pep supercontig:CcrdV1:scaffold_107:195927:196644:1 gene:Ccrd_014555 transcript:KVI07078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEVYWDPSFKQSSATSKNIVSERNRRKKLNDRLFALKAVVPNISKTEFLPMERSKKKKLEQALDSSGSRTYPIQVIQ >KVI07095 pep supercontig:CcrdV1:scaffold_107:84157:91319:-1 gene:Ccrd_014545 transcript:KVI07095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Got1/SFT2-like protein MWKQAQSLFAEEEYEDSQDNLLEEAEGLCSLSPTQRLYGFAACVLAGLVCMFLSTIVFAIPIKFAVLFTFGNLLAVGSTGFLMGATRQLQMMFDSVRIYATAIYIGFVVLALICALWIHSKLLTILAILCEICALIWYSLSYIPFARAIVSKVTIRLFDTEIC >KVI07080 pep supercontig:CcrdV1:scaffold_107:311085:315113:1 gene:Ccrd_014564 transcript:KVI07080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MLMLVDCSNCRTPLQLPAGARSIRCAICQAITRVAEPRNLPPPLPTQPYATSSNNHHAPSQPHRPPPVPSPYNQASSVGQTQSVNGRKKAVICGISYKRTKHELNGCINDAKCMKYLLINKFKFPESSILMLTEEESDPYRIPTKHNIRMAMFWLVQGCQPGDSLVFHFSGHGSQQRNYTGDEIDGFDETLCPLDFETQGMIVDDEINATIVKPLPHGVRLHAIIDACHSGTMSGRYVWEDHSPQSGIFKGTNGAIERGHATTYGDMLTSMRTTIRRNESDTGGGSGGAVTSLLGMLLSGGSLGGGLRQEPQLTASEPFDVYTKRFSL >KVI07089 pep supercontig:CcrdV1:scaffold_107:389694:393767:-1 gene:Ccrd_014570 transcript:KVI07089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWYRVHTIVLNDPGRLLPVHIMHTALVAGWAGSMALYELVVFDHSDPILDPMWRQGMFIIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIAFSGLCFLAAIWHWVYWDLEIFCDERTRKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQSVNPSWGVKGFDPFVPGGIASHHIATGTLSILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAIFFAAYVVAGTMWYGSVTTPIELFGPTRYQWDQGYFQQEIYIRLHSALKEP >KVI07084 pep supercontig:CcrdV1:scaffold_107:494099:497473:1 gene:Ccrd_014577 transcript:KVI07084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MCGTACDQVYPHIATGFSDTSAFLRELTLKSMLVLAPKLSQRNISGSLLKYLSKLQVDEEPAIRTNTTILLGNLASHLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSYYDAQEIAARILPNVVVLIIDPDSFHDPILFPVNHGRDVGWIFDQGDSPNLNVKIR >KVI07077 pep supercontig:CcrdV1:scaffold_107:210923:212818:-1 gene:Ccrd_014556 transcript:KVI07077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKPTFFNHLKLLVRTHHHHHHPLRPAVVSLRQLSFATPEDAAAERRRRKRRLRIEPPLNALRSNTQSQPRPTPSPNANPNAPKIPEHVSALTGNRLNLHNRILKLIRENDLQEAVLLTRHSVYSNCKPTIFTCNAVMAACLRQSKYAELLNLHRFITQAAVAANIVTYNLIINTYMDCRKTDTAMEHYKQLIDNAPFDPNTTTYRIIVKGLVDNNKLEKALEIKDEMLTKGFEADPIVYSYLMSGQAKTENPDGIFDLFEELKEKLGGFVHDGVVYGSVIKGYFLKGMEKEAMKCYEEALADDSKIKMSAIAFNSILDALFKNGKFDDALKLFDKMLAEHNPPKLLTVNLGSYNVMVDGCCAERRFDDAINVFNSMGEKRCHPDTLSYNNLIEQLCDNGMLAKGEELYNGMTEKGDPLDFSEELQEFVREELRKEEREEDLVTLMADIKREKAEAEAKEAEEAERAKASARAAVSSLLPSKLFGDKVDAENSNENNESGGEIVAEMADGEVKVEETDASSEQVTA >KVI07076 pep supercontig:CcrdV1:scaffold_107:214447:217588:-1 gene:Ccrd_014557 transcript:KVI07076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MDSGDHDEKTFSIGETPQETRFSHVPKCYDISPSDRPTLDPEMADVVVIDLAGLNDPDQRPTIVKNIGNACRESGFFQIINHGITQKVLDEALGTAFGFFNLPTTEKVRYMSNDIHKPVRYGTSIKDGMDKIQFWRVFLKLYAHPLNQWIHQWPDNPPDYRQCFSCFTEKMGEYAMKARHLAIELMGVITESLGIGPKYLGTKMEDGLQVLAVNCYPECPEPHMALGLPPHSDYSCITIVLHSSCGLEIMDTSDATWRLVPELHGALQVHIGDHVEVLSNGLYKSMVHRVTLNNKKTRVSIASLHSLEMDEKMATAKELTDDEHPKKYKESSFSDFLDFLSNNDITEGKSFIESLKIN >KVI07079 pep supercontig:CcrdV1:scaffold_107:192298:193883:-1 gene:Ccrd_014554 transcript:KVI07079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNNLTMLYHVLDLLSLKLLWNSRSLGHSLCASPICEPVIGEFTKLMKKLSKQADLPLEVEFHKSLSVMSTLSSPCTQPLVTTDDIKKAASHRIKSDLIFAKKAEGLRAKLFSEYFGYTTKLQEAIYVLGGHSNQTHNVVIDNIHIHSSMELFKKSLEIDMKLLIL >KVI07066 pep supercontig:CcrdV1:scaffold_107:249179:249867:1 gene:Ccrd_014560 transcript:KVI07066 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, beta subunit MEMKESRVINEENIAELKVALVYSQMNEPSGTRMRVGLTALTMAKYFRDVNEQDILLFIDNIFRFVQARSEESALLGYYEFKQAAMVKSVHTALRKQC >KVI07098 pep supercontig:CcrdV1:scaffold_107:118571:119310:1 gene:Ccrd_014548 transcript:KVI07098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MANQAKSVEPRAVETNLTTDKRLVAQMLLTLPYSFSKLGMTLGIVLQIFNGLLGSWTLFEVLDGLLGPYWRAIRLAFNCTFLLFGPVIQLIACARYDLLMVIYVENDSNIVHVVPPMSSFLHSTTTGFGLFLALA >KVI07093 pep supercontig:CcrdV1:scaffold_107:11239:15145:1 gene:Ccrd_014542 transcript:KVI07093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1674 GLKNSRRRAFSIWRSGFLQIPKSNQIYVKFPISISMALSNLHRLLSTPPTAISRSTIIFSAFRSEFTNSTNRFISSVTENLQTHQDHDGATNEEDKVQKAQGHEEENDEDDGEELDINKETGEYGGPRGPEPTRYGDWERNGRCSDF >KVI07087 pep supercontig:CcrdV1:scaffold_107:429045:429791:1 gene:Ccrd_014572 transcript:KVI07087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MRPGSKQYLASELGGGKVTLITTTEKWDEKLTEAKNKGQLVVVNFSAAWSTPCRFIATAYRNLADKHDSLMFLTVDVDQLPEFSTSWNIKATPTFFFLINGQPIDSFVGADEKELAKKIEAAAAGFIQVQ >KVI07094 pep supercontig:CcrdV1:scaffold_107:32709:42660:-1 gene:Ccrd_014544 transcript:KVI07094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSVALNHAVHALPDPDLTKSLNTRPLNGLARIGVGRSRTWASRGSVVKQSSLLGKKFYGTRLLRGSVSETLHQWKSDGPGRDPKLRVVVRSSLSLVPEKPLGLYDPSFDKDACGVGFVAELSGKSNRKTVTDAIEMLVRMSHRGACGCETNTGDGAGILVGLPHDFYKEVAKDEGFELPPPGKYAVGMFFLPTSDTRREQSKIVFTKVAESLGHTVLGWRSVPTENSGLGKSAIQTEPIIEQVFLTPTSRSKADFEQQMYILRRVSMVAIRAALNLQHGTVKDFYICSLSSRTVVYKGQLKPNQLKEYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPKRKALYEYFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFEKHTVVDDEALKQQYSLSRPYGKWLEQQKIELRNIVESVNKAGRAIPAIGGVLKASPEDDNMENMGIRGLLAPLKAFGYTVESLEMLLLPMAKDGVEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMVGPEGDLTETTEEQCHRLSLKGPLLTIEEMESIKNMNYRGWRSKVLDITYPKELGRKGLEETLDRICSQAHDAIKEGYTTIVLSDRAFSSKRVAVSSLLAVGAVHHHLVKKLERTRVALMVESAEPREVHHFCTLVGFGVDAICPYLAVEAIWRMQVDGKIPPKSNGEFHSKDELVKKFYKASQYGMMKVLAKMGISTLASYKGAQIFEAVGLSTEVMERCFAGTPSRVEGATFEALAGDALQLHDLGFPSRKFPPNSAEAVSLPNPGDYHWRKGGEAHLNDPLAIAKLQEAARGNSVAAYKEYAKRIHELNRSCNLRGLLKFKEASVKVPLEEVEPASEIVKRFCTGAMSEGGENPSRLVPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAITRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPSARVSVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEMREIMAETGFRTVNEMVGRADMLEVDRDLIKNNEKLKNIDLSLLLRPAADIRPDAAQICVQKQDHGLDMALDQRLIVLAKPALEKGLPVYIESPICNVNRAVGTMLSHEVTKRYHLAGLPADTIHIKLNGSAGQSIGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSGFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKTVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGVAYVLDVDSKFRSRCNTELVDLDKVEEEEDIMTLRMMIQQHQRHTNSQLAKEVLADFENLLPKFIKVFPRDYKRILAAMKVTKAAEKAAEEADIREEDELEEKDAFEELKKLAAKDPTVRMNDWKEVMEETKPGPLVKTQAARCMDCGTPFCHQEHSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECSIIDKAFEEGWMVPRPPVKRTGKKVAIVGSGPAGLAAADQLNRIGHTVTVFERADRIGGLMMYGVPNMKADKIDVVQRRVDLMAKEGVNFVVNANVGNDPSYSIESLRQDNDAVILAVGSTKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLEDGQYISAKGKKVIVIGGGDTGTDCIGTSIRHGCTSIVNLELLPEPPRTRAPGNPWPQWPRVFRVDYGHQEAATKFGKDPRSYEVLTKRFIGDENGVVKGLELVRVQWEKDESGRFQFKEVEGSEEILGADLVLLAMGFLGPEPTIADKLGLEKDKRSNVKAEYGRFSTNVEGVFAAGDCRRGQSLVVWAISEGRQVAAQVDKFLVEDGKEGESRWLESGKKEQEAIRA >KVI07067 pep supercontig:CcrdV1:scaffold_107:252765:263517:-1 gene:Ccrd_014561 transcript:KVI07067 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, UvrD-like, C-terminal MNKENVGVGQLSDEQRARISRNFRAAKALLDRKRPRDTSNAFDSFPHKIGVVKGVETASVVGSANRVPLSDISVKTPISVRNYKLYESGSVRSSHFKVPSVGKSDDCLLAGTRVSPDENGKAHSSFQTPVSQVSYSGSSCAKGVSVSRIDFGHGTENPDENKLSLDSFKTPIRPLVCNVSPGNNIPAEQKESSDYCLNERKLGAVMETNIGLTSCKTPMTHQSCSSFDESSTIMPTIFYEDFDDSILAEIDELCESGTKPKLAAEESSCDIHLRSALAEKNSCELRTDVSALVLQDLQSDNVSDGSSDSGCGKQESESSQALQIGGMPEEYAKYMQSLNDRQREAACSDISIPLMIVAGPGSGKTSTMVGRVLMLLHKGIEASCILAMTFTTAAASEMRDRIGAVAGKAAAKELMISTFHSFSLQLCRSHAEKLGRTPEFLLYGQGQQRKAVIEAVRLTETGKNLQALDSDKLCEEANVSKNRPEDFREKSKKWLKFVAQAKASGKSFEDCQRMGNEIGNLYQAAILRKYENILESCNALDYHDLISCSVKLLTSFPEVFKECQESWQAIVIDEFQDTSAMQYDLLRILASHKRITIVGDEDQIRLNKNYRSTRCIVDAASFLIRNNSKRCQLKKVLTDNSSGSKIIVKECCNEDAQCAFVVDKILELTSSGSSVKGTFGEIAVLYRRQVSGKMFQTAFRERKIPFNVHGVAFYRKKVVKAIMSMLRTTLVACDDESYRRVFKALLHLEKDEKKRVIEHINKVSTVRKCSFISAASDIFSAKISGTFKRSHLTQGRKVLVALDMISKLAHREQSISTVITQVANMIPQKYLLEQRAVLDVDGGKLLNEEHDLRSVLQYMLDDVSEFLKSYNFDIHEKNGNAADEKGCTNVLKAFIDFISERETENFRTRRRDNNHAVTLTTIHQSKGLEWDTVFIVKVNENEIPLLHEFNGATKETGASVEEERRLLYVAVTRARKKLFILYITMDSNWQVLQPSRFLKEIPRHLLQVQDESSSMHLQAEDHEPPNKSSPVNDDDMQAADHAAVKTETDEAIGNLFDEDSNESNETILPCNGNDFLKRFSSEERATVSHLFHQWAKKAAFKEPKRLLDKVAFVIDERLRAKKTAQKVTYLFLNLDVLRSLKSCLKSDEAFQYAENVLRWLQIPADKRAYITREKQEHFQKLRIESAMGSSAATSKQIAYLQNLGCTTVPTSRLHASRLIEQYKSL >KVI07085 pep supercontig:CcrdV1:scaffold_107:476432:494037:1 gene:Ccrd_014576 transcript:KVI07085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MFKFLKDVVAGSVAGVKDLPYTIGEPYSSAWGSWTHSRGTSKVDGSPVSIFSLSGSNDNDGRLAAGRNGIKRLRTVRHPNILSFLHSTEAEIMDGSSTKVTIYIVTEPVMPLAEKIQELGLQGTQRDEYYAWGLHRIAKAVSFLNNDCKLVHGNVCLESVVVTQTLDWKLHAFDVLSEFDGNNEAASGPMLMFSGLKLSKTEELRNTASLPKSLLPDYQRLLSSTPSRRLNSSKLVENCEYFQNKLVDTIHFMEILNLKDSVEKDSFFRKLPTLAEQLPREIVLKKLLPLLASALEFGSAAAPALTPFLKIGAWLSAEEFNIKVLPTLVKLFASNDRAIRVGLLQHINQYGESFTSQVVDEQVRIKY >KVI07099 pep supercontig:CcrdV1:scaffold_107:3966:4328:1 gene:Ccrd_014540 transcript:KVI07099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1674 MALSNLHRLLSTPPTAISRPAIIFSAFRSEFTNSTNRFISSVTENLQTHQDQDGATNEEDKTQKAQGHGEENDEDDGEELDINKETGEYGGPRGPEPTRYGDWERNGRCSDF >KVI07064 pep supercontig:CcrdV1:scaffold_107:268488:277308:-1 gene:Ccrd_014562 transcript:KVI07064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSKSTSSVASPPPASDSFDGAAAERRLREAEDRLREAIEELQRRQRRAKMLHPLCDHADESCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARRKSYSSLLDLKFSYVQQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCLLRKWRKKETPFNAILAGSVAGLSILALDDCNRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSSWRHGDSLLFALSCAQVMYAFVMRPESLPKSYQDFIQKTGPVAQPVYKAVRDCCRGSPVDIASLSSYLSTVKGADFSPLQEFPSIIPCSVIHPGTKSCLAHNAYASSATFKKTFPLYFSLTFVPFVVLRLQKFMDAPIKTSWHAVIGAVRSTAFLSSFVGIFQGVICLHRKAASQDHKLVYWLAGGLAALSVLLEKKGRRGELGLYVLPRAGESLWYILVNRRVLPDIKNTEVALFCACMGGMMYYLEHEPDTMSPFLRSLIRRFLASRISNPAPPSSRNPSYNYLQTLDAIKKPKLQNQEPEAQTSEKYNLESIPGL >KVI07096 pep supercontig:CcrdV1:scaffold_107:92676:103529:1 gene:Ccrd_014546 transcript:KVI07096 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3449 MSSTLLELTRAAHEETERFERLIVKDLQNEPASNKDRLSQSHRVRHMIDQITSTTYKLVDIYEDKDQARKDEIAALGGQTATGTNIREYHRRHPSARVIDTTDEYEQLLQEEPQIEFSGEALAALGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFAKGAQKPKQNGVVAASHQNDDSQEIALMESKIEKLCEILSETIMRTKENVEKKQALTYEEMEAEREDEEVPDIESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAYERHFKEFRHQYGMRRLGIPNTKNFNEITSIEEAQQLWERIKEKQGVNKWRPELEEEYEDREGNIYNKKTYTDLQRQGLI >KVI07075 pep supercontig:CcrdV1:scaffold_107:151027:157124:-1 gene:Ccrd_014550 transcript:KVI07075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSDYEEDDQKQTKATESLKKPFNAVLDSSDPLGFLQTALEFVARETDFFKTDSVIKDVNGLVRTVKEKLDADERTRKEKAASAASNGGADVRADNKRIKEDSSSYRPAQSGSSIKEPEDQKMEESTDDKNGLRAPNKGNGLDMENYSWIQTLQEVTINIPVPSGTKSRFIACEIKKNHLKVGLKGQPPILDVSVLVAFRSSEQDQKSISILLTKQDQMEWWKFLVKGEPEIDTQKVEPENSKLADLDPETRSTVEKMMFDQRQKQMGLPTSDEMQKQDILKKFMAEHPEMDFSRAKIN >KVI07071 pep supercontig:CcrdV1:scaffold_107:226356:227142:1 gene:Ccrd_014558 transcript:KVI07071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGKQLLLVVLGLIAFGFAITSNANMYIVGDNSGWDISTNLDTWEKDKKFVVGDVLVFQYTSTETVCEVGQESFRACNTTNVIKCFSDGNTSIPLTNPGERYFFCGNRLYCYSGMKLDVIVEKNQAAVAEAPLSGVPEAESGGSKKNNPSTVVRSAAVSVRVESNSVVLGGLGLLLSILVKII >KVI01749 pep supercontig:CcrdV1:scaffold_1070:76704:78983:-1 gene:Ccrd_019973 transcript:KVI01749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLNAIALRVTQIKHLSQFHAQVIHHSLQHHSYWVSLLISHCTRLRAPPFYTRLIFNSAQQPNVYAFTNMLKFYSHVGAHDDVIALFDCMRVSGVIPDAFVFPVLIKSWGKAAIVFHGHVLKMGHQGDRYVRNAVMDVYAKHGPICFARKLFDEMSERMVADWNSMISGYWRWGNKVEADKLFTLMPERNVVTWTAMVSGYSKTRDLVTAKRYFDQMPEKNVVSWNAMLSGYAQNGFAEEAIELFNEMVNRRVQPDETTWVAVISSCSDRADPNLANSLVKMLNQKNVRLNSFVKIALLDMHAKCGNLAAAKKVFEELGAFRNAVAWNAMISAYTRVGDLSSARELFDRMPRKNVVSWNSMIAGYAQNGQSTMAIEAFKEMIRSKDMKPDEVTMISVISACGHLGVLELGNWALNFVNENQISLSISGYNSVIFMYSKCGSMKDAKRVFEEMETRDVISFNTLITGFAAHGDGFSAIDLMRKMKGDGFQPDRITYIGVLTACSHAGMLEEGQRVFESISNPDVDHYACMIDLLGRVGKLDEAKRLIKKMPMVPHAGVYGSLLNASRIRKRIDLGEFAARELFKIEPENSGNYVLLSNMYASMGRWGDVERIRGEMRLGGVKKTTGWSWVEFGGKLHKFIVGDKSHERSNDIYKLLKELREKMRKAGYTADKDSVLRDVEEEEKEEMVGTHSEKLAVCFGLLVSEAGTSIRIMKNLRVCWDCHEAMKMISKLEGREIIVRDNNRFHCFNNGSCSCMDHW >KVI01748 pep supercontig:CcrdV1:scaffold_1070:5398:11043:-1 gene:Ccrd_019972 transcript:KVI01748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLVSLCSFTHFTSHETLIQELESKVEVEVPSLLPLFTSFLKLMRIRFVASEMWIEELRSMKLCRTPDVAHFRQYCGGVFVDYKVVCSSSPRVISGFWVGPDVEDGWGFVEAVVNQISDF >KVI01747 pep supercontig:CcrdV1:scaffold_1070:119627:129280:-1 gene:Ccrd_019974 transcript:KVI01747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought induced 19/ RING finger protein 114 MDADSWIARLSSTSRRYQHALQSRSSDTFMGFEDIEVDDDIREEIQCSFCGGYFDITCLCCHISEEHPVEAKNGICPVCAMRVGVDMVAHIALHHGNIFKMNSLTNTYMQQKRKSCRGGSISMLSLLRRELREGNLQSNSGGSSYIVSSANAAPDPLLSSFISPMGDDLVINSSKSLVEPTVVKKSTIKNLPHRYYTVYLF >KVH99492 pep supercontig:CcrdV1:scaffold_1071:4096:7388:1 gene:Ccrd_022273 transcript:KVH99492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MACSANETSSSSSRSGATESSTSCWNWRKALSAEVKLFRGFAFAFTIVFTLLLLLSFYLFYLRIRRLQRRTPHWSASSSAINVVSTEQAELGLKKELREMLPVIVFKESFSVTDTLCSVCLGDYEAEDRLQQIPACKHAFHVECIDHWLSSHTTCPLCRLSLLASSPTEPETTNEIISTNMENTTETSPQIQNCGQSSTNPELTQ >KVE38030 pep supercontig:CcrdV1:scaffold_10710:1:2540:1 gene:Ccrd_023991 transcript:KVE38030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 EGPLVLFLHGFPELWYSWRHQILYLADHGYRAVAPDLRGYGDTTGAPLNDPTKFTIHHLVGDLIGLLDAITNQGEKVFVVGHDWGAIIAWHLCMFRPDRVKALVNLSVPFLPWNPKGDLAEVLRTAYGEDHYMSRFQKPGEIEAELAKMSPETIMKKFLAYRDPEQTYFPKGKGFRHSPGDSPGTLPPWLSEEDLKYYGNQLQKTGITGGVNYYRALHLSWELTSAWRGAKVMVPSKFMIGDLDLVYHMPGMKEYIHNGGFEADVPLLEEVVVMEGVAHFANQEKPLETNNHILEFLNKF >KVI03263 pep supercontig:CcrdV1:scaffold_1072:113318:123440:-1 gene:Ccrd_018440 transcript:KVI03263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNKSWSNDSLRGAKSQLDYAEMDPSARYGRTSFLTLLICTPEFMAPEMYEEAYNELVDIYSFGMCVLEMLTSKYPYHECSNPAQIYKKVTSGKLPKAFYEIEDAEAQEFVGKCLENASSRPSARELLMEPFLVVEDHSDQLMVISTMPSQNPPSMPEKVVSSLPINDRKKSTDMTITGTMNQEDGKARNIYFPFDIESDTANDVATEMVKELEINDWEPFDIAKMIDTEIANLIPKWKKGSMESLQNQHHHHHSFCYDEDEDDDENNLNPFHSRSSSQASLQSLDAVRDQLDSNILNDDDASCKSSLNSFNYSNFTYCSDDEDEYRSMSYANQDPRLVGKTKNFMRFCGEERMNRRCNNRQKVGPETSQFGNIKSVGSAVDIRSQLLHQSLVAEIHKRRLFKTVAAIENIGYHEPTW >KVI03262 pep supercontig:CcrdV1:scaffold_1072:145024:145359:1 gene:Ccrd_018441 transcript:KVI03262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEEKQRLFWLDLKQQIKELLWFLKLFKKMEELRYMPSCWWKYLDVYIDLQYLYTETL >KVI07060 pep supercontig:CcrdV1:scaffold_1073:85442:89637:-1 gene:Ccrd_014582 transcript:KVI07060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSIVHGSESKKRYSEEFEEEAPSLGPKRAKIRDLESVFRSEGKIKTATASPLDLNASAGHLAVDDAPSCVEDTCKLSSLQKPQEMESKNNCVKPSGFGIDLNSEDVSSSISHDPFHPYKDYDRLKARDASSECGSSCHLGGEKDSMKVWKEMKQNGFLSSSHGGVPVPPIPKSRGRKRGNESVIKKKIEIAKKEQVDRFAKVAAPSGLLNELNPGIINHVRNRKQVHSIIENLVRSAKTENKQGGSKPATGKESYDHTDLENGVWNPSYGCQSDEPRGHNDREAIVKTSHHPSYINLECEDDRLALKLSSSATITSANLSSLSNEESGNISTVNSLSFKAASVASQWLELLHQDIKGRLAGNAAVLDIMLLVKIQYCYVYMNNLVDVVLAALRRSKKRVRSVIQTELPSLVSRELVSDQENDCYAENGHFNKGTAELHRVRWGTLFDQMDNALSEEEKHLESSLNQVNEMMVHCGHGLLQFRSENDLQQRSSSDYRHLKMETNPYKDLAVQAAAAAIYSTSNFLQSMENLPCL >KVI07059 pep supercontig:CcrdV1:scaffold_1073:52354:52884:1 gene:Ccrd_014581 transcript:KVI07059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MQRLLLDSDTMAPPENGGGGGGSQQHGSYNKEANVDTNMVIILAALLCALICALGINSIVRCALRCSRRLAFQTSDAAAAGRLAAATTGVKKRALKQFPVAVYGGGLEIPATECPICLGEFEDGEKVRVLPQCNHGFHVRCIDVWLASHSSCPTCRRSLVELPAVVAGRSGDGGGG >KVI07057 pep supercontig:CcrdV1:scaffold_1073:130490:140162:-1 gene:Ccrd_014585 transcript:KVI07057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITLLLVIKFMMALVNPICDFICCSDVRSKAFQAVEQFLQIVKQYHEKTNAGDNSEPTSSGNSSVPGNASILGWAMSSLSIKGKPSEHTPHLSASSSAPNISAVVNASSVVSDAPSLTMVRASSTADIVDQPAPVSPTSTEGWGELENGISVYDQENEKDGWDDFMPLEDPKTSPALASIQAAQKRPVTQTKPQVSNMRAKSTTDLRKSEDEDSWGLTSGPPPKAKKPSDDDDPWAAIAAPLPTTRAKPLGAGGRGRGRGSKPALPKLGAQRINRTSSSTGI >KVI07058 pep supercontig:CcrdV1:scaffold_1073:33256:35918:-1 gene:Ccrd_014580 transcript:KVI07058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPSNPNANPIDATPFPFRTSHHRRAHSEVNFWLPDDLDQVSDTFDAPSGSFEELGSEDDLFYIEKLGSNLNDADGGIVDSNAAAGGASEDNTGGDHGDGEKSRTRPRHRHSNSVDGSSLFTKKAMAPDKLANLWTIDPKRAKRKLKGSELQREK >KVI07056 pep supercontig:CcrdV1:scaffold_1073:117993:130026:1 gene:Ccrd_014584 transcript:KVI07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MAKHFEQDITFASLGQGAGFPRFALFSSFLGNNFETGIRKLWGLNASENNSKGSVECVEGIKYSHTLTMALLLEPPLSTSLFSNNSSSYSQTQSPLHFKLLRTNFSSTPKFEQTVLIRQYSTSRYTSKVCAAAIDPPPPPDQNQSPLRDAKVSLEVASTTRDRQKIVRVAWEKLVRWSRSWRSKAKTDVLERTNKVVVLGGGSFGTAMASHVAGRKAQMEVIMLVRDPQICQSINEKHYNGKYFPDHKLPENVIATMDAKTALTRADFCLHAVPVQFSCSFLEGVADYVEPGLPFISLSKGLELNTLRMMSQIIPQTLRNSRQPYVALSGPSFALELMNKLPTDKKLANTVQQLLASRNLRINTTSDVIGVEIAGALKNVLAIAAGIVEGLNLGNNSMAALVAQGCSEIRWLSTKMGAKSTTISGLSGTGDIMLTCFVSLSRNRTVGVRLGSGETLDDILCSMNQVAEGVSTAGAVIALGQKYNVKMPVLTAVARIIDNELTPQKAGVSDSSQAQACSSHEKDRLRLSLWPAELEKLGLRLC >KVI07063 pep supercontig:CcrdV1:scaffold_1073:4555:12084:1 gene:Ccrd_014578 transcript:KVI07063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNYWQVVVLAIVFLSTVSAARVAPFSIIQKPDGAVNVFDNSKYGLLQISNGLALTPQMGWNSWNFFACNINETLIKETADALISTGLADLGYTYVNIDDCWESLTRDLKGQLVPDSKTFSSGIKALADYVHGKGLKLGIYSSAGVFTCQVRPGSLFHENDDAATWASWDVDYLKYDNCFNLGIKPIDRYPPMRDALNSTGRAIFYSLCEWGVDDPALWAGNVGNSWRTTDDINDTWESMTSIADLNDKWAAYAGPGGWNDPDMLEVGNGGMNYLEYRSHFSIWALMKAPLLIGCDVRNMTTETVEILSNKEVIAVNQDPLGIQGRKVTVSGTDGCLQVWAGPLTGNRFVVVLWNRCPEAGTIEVSWDTLGLESSTSVSIRDLWKHEDVVADAVASFGVLVDSHSCEMFVLTPKLSRFQA >KVI07062 pep supercontig:CcrdV1:scaffold_1073:12904:14103:-1 gene:Ccrd_014579 transcript:KVI07062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAFSPFIIVLMLICFFNLAHGDWQNGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGLSCGSCYEMRCNDDPKWCLPGSIIITATNFCPPNPSLPNDDGGWCNPPLQHFDMAEPAFLQIAQYRAGIVPVVFQRVPCVKKGGVRFTINGHSYFNLVLITNVGGVGDVHAVSIKGSNTGWQPMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTITSFNVAPSGWQFGQTFQGAQF >KVI07061 pep supercontig:CcrdV1:scaffold_1073:99414:107513:-1 gene:Ccrd_014583 transcript:KVI07061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASEETGLVLSHRLDSNHPQLLFQDDSNSGFVCNPPNQRPGSGPDRPAAGTTSNNGKATRELTGFIDHQHRYYQTQSTTEFRQSMYNPGPVHHRGLQNWHGGNGRASNSASGDGSDGNDNDDDVEGLVNSSDNKNNNSSNTSGHSSEKDGNAKLNHLSSFGSSREVVKVGSVVPSRNDLNGVRPSSSENHQQGQVGQYQNAVALVDRDGELYYSQYLHRAEGSGAGLKDVMVANGCGFSGRKDVSYSGESGDSLRAILSDPLTGALMDDAMILPCGHSFGSDGMQHVMKMKVCCTCSHPVSEGSVASNLSLRSAVLAFRREEELQVHHASKRRRERLEQDRLNHGDSMFMDTPRGRGLQFPFSVSDRVIIKVFVKSRLKRLDVFACLLNSTTLNQGNKRTPERFVGCEAVITTQCLNGWSVLYVVKTLDNAESIKLQYRSLAKVSDDSSSHPTSSKMTPNSR >KVE37346 pep supercontig:CcrdV1:scaffold_10734:786:4135:1 gene:Ccrd_023993 transcript:KVE37346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSPFLMKSFGFSNAFRPSLLFNIISSDIQQGLARVVKSYDSGACRLLGEGFEASDLEDDKLHDDIGLIFHVIFLQLKPQT >KVH89745 pep supercontig:CcrdV1:scaffold_1074:108471:109376:1 gene:Ccrd_008261 transcript:KVH89745 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MAGLDLGTASRYIHHQLHRSDLQLPSSQPENNQHHHQLFSDTFQHHQHHQEDDDDKGVDLISPNSGGGGGGGPGDIVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEIGNGYDIFESVATYARRRQRGICILSGSGTVTNVSLRQPSAAGSVIALQGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGELTAAGPVIVIASSFTNVAYERLPLEEEETPVDGLQIQPPTSQTDGGGINNNNPYPDPSSGLPFFNLPMSMPPNVQLPVDGWAAGSNSAGRSNNNNPF >KVE36902 pep supercontig:CcrdV1:scaffold_10747:1891:3080:-1 gene:Ccrd_023994 transcript:KVE36902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVLASIHRPEIFSKLILIGASPRFLNDKDYHGGFEEPLIDKVFAAMEDNYEAWVSGFAPLAVGGDVPVAVQEFSRTLFNMRPDISLFVSRTVFNSDLREVLGLVKTPCWIIQTAKDVSVPTSVATYLKEKLGGRNTVVMLNIEGHLPHLSAPVLLAHHLSEALSR >KVI06628 pep supercontig:CcrdV1:scaffold_1075:32132:33400:1 gene:Ccrd_015018 transcript:KVI06628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MWCLNYVLNLVSILSAAVVGASLIVCIGLIICFIRRRTISRSRSRVNRQFCEAKGITIPIYAYKDIEKATNGFSDKQRLGTGAYGTVYSGKLHNGEWVAIKRIKDRSNGENKIDQVMNEIKLLSSVSHPNLVRLLGCSVDRDEQILVYEFMPNGTLSQHLQKERGNGLPWPVRLTIAMETAQAIAYLHSVMNPPIYHRDVKSSNILLDYSFKTKVADFGLSRLGIMESSHISTAPQGTPGYLDPEYHQNFHLSDKSDVYSFGVVLIEIITGFKALDFSRRHSEVNLASLAVDRIKKGCLDEIIDPFLEPNKDQWTISSINKVAELAFRCLAFHSEMRPSMSEVAGELEQIMEVREKMNIRIESEEGSNSSSSYVNMIDEEEVKDDESDKKGLFDSMDSTKDERVCDSSSLSSSGILGSSSRK >KVI06630 pep supercontig:CcrdV1:scaffold_1075:135018:137434:-1 gene:Ccrd_015021 transcript:KVI06630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAAIQEGATEWNENWDKFEDEVASILWFGLRIAMAFGQIAIFLKHGLDGGCQKKLGCGCPQKAYVDHIDWSLKSRILGCFVIFDGDSLGLIDRVFYVSS >KVI06631 pep supercontig:CcrdV1:scaffold_1075:140846:148833:1 gene:Ccrd_015022 transcript:KVI06631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKMAALTTTLHNLPSTVAPNPNRSIFSIHRISSSSGVWNKASFRIQRASNVFSLAGSKRMKTHEPSFSKSRRGYLMCAINDNKSEANSKATDQKVSDSNVVEPFGGKSGSVSFIGLTHQLVEEGKLVSTPFKESRSLLWIVAPIAIILSVLIPQFFGIISDELIKDVVLTEIVYTATSEVMFYIGLATFLLVTDRVQRPYLQYSTKRWSLITGLRGYLTSAFLVMGFKVLAPLFAAFVTWPLIGLAGVVSVSPYLMGCLVQFIYEKICEKRGSSCWPLVPIVFEVYRLYQLSKAMHFMEKLMFQMRGLPKSPELMEKSGALAAMIVTFEVLAVSPKSLNVRRTKPSQNLHTVLPFLQPNRTRVLGLNPIQKLSAKTLHINERKNGGPYNYSSQPSFNRSSESESKHLLHSSFFSLAGSKRMKTHEPSFSKSRRGYLMCAINDNKSEANSKATDQKVSDSNVVEPFGGKSGSVSFIGLTHQLVEEGKLVSTPFKESRSLLWIVAPIAIILSVLIPQFFGIISDELIKDVVLTEIVYTATSEVMFYIGLATFLLVTDRVQRPYLQYSTKRWSLITGLRGYLTSAFLVMGFKVLAPLFAAFVTWPLIGLAGVVSVSPYLMGCLVQFIYEKICEKRGSSCWPLVPIVFEVYRLYQLSKAMHFMEKLMFQMRGLPKSPELMEKSGALAAMIVTFEVLAVVCIWSFLTFIQRLFPSRPIAENY >KVI06627 pep supercontig:CcrdV1:scaffold_1075:48333:49891:-1 gene:Ccrd_015019 transcript:KVI06627 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSSSLTSPIVSSSLNNAARLTTAVRRTAVAGTSSTVSDVNPTTDLPLREIPGSYGIPFFSPIKDRLEYFYAAGGREAYFKSRADKYQSTVFRANMPPGPFIASNPKVIVLLDAKSFPILFDLRHTQLKNLLFYMLQSSSTRVIPKFEETYTELFEALEVDLAKNGKAAFNDVAEQAAFRFLGRAYFDANPEETKLGKEAPKLITLWVLFNLGPQVSIGLPWFLEEPLLHTFQLPAFLIKSSYQKLYDYFISVATPIFEYGATLGIPTDEAAHNILFAVCFNTFGGIKIQFPNTLKWLAPAGKDLHLKLAEEIRGVIKTVGGGTLTLAAIEQMPLLKSVVYEALRIDPPVPLQYAKAKQDLTVESHEARFNVKKGEMLFGFQPFATKDPKIFDRPEEFIPDRFVGDGEALLKYVAWSNGPETEDPTVANKQCAGKNFVVLITRLFLIEFFRRYDSFEAEAVASGLGATITLTSLTKASF >KVI06626 pep supercontig:CcrdV1:scaffold_1075:3842:5076:1 gene:Ccrd_015017 transcript:KVI06626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYLGWDEIPIPTLSVSSSLPLFLLVLVVLSLAMMSASSGGHFLRSLSILGYEM >KVI06629 pep supercontig:CcrdV1:scaffold_1075:71822:73312:-1 gene:Ccrd_015020 transcript:KVI06629 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSSSLTSLESAARLTTSSTVIDVDPTNELPLREIPGSYGIPFFSPIKDRLEYFYAAGGREAYFKSRADKYQSTVFRANMPPGPFIASNPKVIVLLDAKSFPILFDVXKVEKKDXFTGTYXPSTKLTGGYRVLXYLDPSELRHTQLKNLLFYMLQSSSTRVIPKFEETYTELFAALEVDLAQNGKAAFNDVGELAAFRFLGRAFFNSNPEETKLGKDAPKLITAWVLFSLAPQISIGLPWFLEEPLMHTFQLPAFLIKSSYQXLYDYFXSVATPXXEYGAXLGIPXXEXAHNILFAMPLLKSVVYEALRIDPPVPLQYAKAKVDLTIESHEARFNVKXGEMLFGFQPFATKDPIIFDRPEEFIPDRFVGAGEDLLKYVVWSNGPETEDTSVANKQCAGKDFVVLITRLFLIQFFRRYDTFEAEAVSSELGATITLTSLTKASF >KVH88375 pep supercontig:CcrdV1:scaffold_1076:30403:75075:1 gene:Ccrd_023996 transcript:KVH88375 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC-kinase, C-terminal MSIPPPSSESEYESIDHVYRNLHQNCQTSHSIVRLRKIPPIPIRQSQSMAEMAENNDDGDCSDDGDDDDDGDSKDDASYDRQLEEDYILHASALGLNHIRTCSVPSPLRVVSTTITPSKLGANSKDKIATPPRKKVSWSQSKSLRVLPPPIGGLEGNAAISKELQSPRFQAIMRATSGRKKRATDIKSFSHELNAKGVRPLPFWKSRGFGRVEEIMVRLRGKFDKLKDEVNADLCIFAGDLVGILEETSVSHPEWQENLEDLLIIARKCAKMTSSEFWLKCENIVQILDDRRQDLPTGILKQVHTRLLFILTRCTRLVQFHKECGYEDSHHILGLHQLSDLGVYQKVIESTYQNFSSSLGETEVTETQIDQAHGANQEQDKAKIILDGGIDDVEVSTAKSVASSTSSYRMSSWKKYPSSADISLKGHDEVDSPRNDVSDQLEPKDDHVYNIETPVCPPEDLESPSNLRRVTSGDSGGQHHVAYESSLICRICEVEIPTVHVEEHSRICTIADRCDLKGLTVNERLYRVVETLERIIDSWTPKSAFAAGNPEVGRISSSSTPEDSDESSPKNHRLSRQCSEECPEEILECVHEAGTAYVMDNLHSSPDMSSETRGNLTPVTCHKASSGGSLTPRSPLLTPRTNQIEVFLSERKTTSEHENWQQIHKLLEIARSLASINTNEYSALEIMLDRLTDLKYAIQDRKADALVVETFGRRIERLLQEKYVLLCGQIEDDKIESKSNMANEDSSVEDDTVRSLRASPVNSYSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDVFAIKLKELIPEKVLQVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCKENLYLVMEFLNGGDLFSLLRTLGCLEEDMARVYIAELVLALEYLHSLNIIHRDLKPDNLLIGPDGHIKLSDFGLSKVGLINSTEDLSGTSDIRTSIYRDDEVTTERRSSLNGEQRQKQAVVGTPDYLAPEILLGVGHGATADWWSVGVILFELLVGIPPFNAESPENQILPLLTYQNLVTYVDGSSLPPTTEITADGKKQSPTRHTLNGFPLTRGPLFSSNPPSRRKPSRRSLVCAPLGKSGLPSRRPIAIPLHKNIRVGGSQLKDTRFTAEGKLMQVFANIMNRDIPWPKVPEEMSYEAYDLINKLLTENPVQRLGVTGAGEVKTHHFFRNIHWDTLARQKATFIPSAEAHDTSYFMSRYIWNPEDEQVDGGSDFDDMSDTGSTCGDSSYVNMLDEEGDECSNLAEFGASALNVNYSFSNFSFKNLSQLASINYDMLVKSAQESIGSRTTLGLDDSNQLCNFLGGSVQQRSVIRTGCFTLQHSSGKPTTSEAKDIMLKNANKSKIIYVTKYILVQNYHRTQNQTNCNNIQLQWLMSRFHEITWSTVMALHKITFLRHLIKKFPYFSSTKILKYLDDEFLWQEFKAIDCLASSSATKSSLLMTELPPSDMPSTIVYKWSLKPLLECHVNNNRRKKEKTKCCALTIFTFTIPRFFYHGSLQRVLISDLSKHSQLEADQQAQNPQFEVTNSRSTTSINY >KVH88374 pep supercontig:CcrdV1:scaffold_1076:51239:78647:-1 gene:Ccrd_023997 transcript:KVH88374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MKSEVVVAEVTAARKRRKNGSRRIAIVDGRMDGWMRVKRKEEEAENLDLYTNEKKPAEKNTIATKKASAEKKPKVEKKIHPGIGISSKTMRIMNTFINNICKPSSFYVEGILLTSSKGFNDHLYTMVDGMSDGGFDIVNRVEKEAEFEMTETREKN >KVH88376 pep supercontig:CcrdV1:scaffold_1076:78571:79152:1 gene:Ccrd_023998 transcript:KVH88376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAILRLPFFLLFLAAVTSATTTSDFITSSCTTTTYPPLCVQSLSPYAKTIKHNPTQLARAALIVSLNRSELAQAYLQKLTRSNGLNPRQQSAVGDCLEEVSDSLDRVRNSIKELKNVDRVKGKGTHEEYVMHMSNVQTWVSSALTDENTCMDGFSDQDMEGRIRSSIRTHITYVARVTSNALALINHIAEKHS >KVH88373 pep supercontig:CcrdV1:scaffold_1076:2575:9204:-1 gene:Ccrd_023995 transcript:KVH88373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein MASLIRRAASSLCSNSNNRHFFAPAAIRMEDTGLYGCNHLKTPKGFQRIVDEAIERSNELVGYISRMPSSAEIINAMDEISNTVCQVVDSAELCRHTHPDREFVDEAVKASMRINEYLHVRTQYCTPPFSMIIVVFMIIGIQYLNTNLTLYDAVLKADQDPTLTSEEAKRVAHDLRIDFEKGGIHLCAEKKDRVSQLNVEIAKCCAQYNENIAKEPGHVDVYPASCIPKNLHHLVKPVNRSSSAGSRVNFRDKGFRIVTEPSTVSAVLQWTSDDEVRYKYFLHQFELNRFFFPERLKARKMTYIQSNSVPHANLGVLDNLIAARHEIAQVLLVTCVVRRVLVHILRQVYVFTPQMCKTLLTNYFFASYICMQIMGYKSYAGYALHSNMASSPDVVSSFLVELSKNVQPKAVEEFKSIRDFKRGKSGQHDIELEPWDEAYFTRSMKSSAYNLDFSVVASYFSLSQCIEGLKLLVESLFGVKFLNIPLTPGESWHPDVMKMALHHPDEGDLGYIYLDLNSRRGKYPGCAHFAIRGGRRLSKTEYQLPIIALVCNFSKPHNSATVRLNHSDVDTLFHEFGHALHSLLSRTDHQHFSGTRVAFDMAETPSNLFEYYAWDYRVLKKFARHYSTGDIIPEKLVESMQGARKMFSAMELQQQIFYALADQALYGDQTYSPIDTTSIVADLREQHTNWKHVEGTHWQTRFSHLLYYGAGYYSYLYAKCFASTIWERVCKDDPLSLETGSAIRTKLLQHGGAKDPAQLLNDLAGDGIIRYQNGGIVPDITSLCNEMNLRKR >KVI06745 pep supercontig:CcrdV1:scaffold_1077:8866:19781:1 gene:Ccrd_014899 transcript:KVI06745 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding, type 1 KTKKGPTITSAVDIGGQIGKLQDTTVAAAPLISPIADTTTTSSSTVPREMDSKFAEFSRSSIESFLGVPVNSDGLIVIITTSVALILGISYLAWKKSTDRSNESNPVVIPKAVSVLSEEEDEIDVASGKTKLTIFFGTQTGTAEGFAKDDYAADDNRYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWLTEENVRGVWLQHLKYGVFGLGNRQYEHFNKVDTLHHRFYMFCLRHYLTEFFKQIAKVIDEQLTEQGAKRLVSIGLGDDDQCIEDDFTAWREMLWPELDHLLQREDEAKTVPTPYTAVIHEYRVVVHDPANASSEDKYKYLNGANGNISYDIHHPCRCVMMIVNVALQKELHKPASDRSCIHLEFDISDTGITYEIGDHVGVYAENCDETVEEASALLGQPLDLLFSIHTDNEDGTPLGGLLPPPFPGPCSVRTALACYADLLSPPRKDDYSKCIVGMQRSLLEVMAEFPSSKPPLGVFFAAVAPRLQPRYYSISSSPRFAPTRVHVTCALVYGPSPTGRIHKGVCSTWMKNAVPLEKGLECSSAPVFIRSSNFKLSEDPSIPIIMVGPGTGLAPFRGFLQERLALKEDGAHLGPALLFFGCRNRQQDFIYEHELNNYVDEGVVSELIVAFSREGEQKEYVQHKMMEKDAEIWKLISQGGYVYVCGDAKGMARDVSEAEAFVKKLQMDGRYLRDVW >KVI06746 pep supercontig:CcrdV1:scaffold_1077:140918:141550:-1 gene:Ccrd_014900 transcript:KVI06746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVIDLVTQAALILITIFMFLWMQNIPQNLFTKLRYRNRSSYSAKRHFIIGAQLLAKSRSTKDRASSAKLAKSAAEEADKSISLDPKDAAPHILKALALDAQGFSTSALEALDVALSPLTAKTLSDAERGDALFKRAEIKVKGSKRGRVDSAIEDLVESVKLKGDNAKMFRLLGECYEKKEMEEEAIEAYKGALRVDPECIAARDALNRLG >KVI00932 pep supercontig:CcrdV1:scaffold_1078:51946:60846:-1 gene:Ccrd_020805 transcript:KVI00932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane attack complex component/perforin (MACPF) domain-containing protein TKQLTPFTYYYSRIKSKPEGLSNLNLYNLPFHLIHHHRQRSEVVSFHLYSVPSMKDNSFMEDPSSKNNALTTTLKNSIQALGRGFDVTSDIRLLYCKGAPGSRLIYLDDEHTKELVFSDDGFVSIPNVSVDIEFSKGERTTEATPVSSFHEMAKYFNKKSNLAGDIPLGSFNAMFNFTGSLQLDAASTRSLAMIGYIVPLFEVRLEISDLVLLQEVKRAVPYSWDPASLASFIENYGTHIVTSATVGGRDVVYIKQHQSSPLSLSDIDSYVKDIGDHRFYDSKSQSSSGPLKRRGGDDLEQSHAKWAETVETAPDVINMTFTPIVSLLEGVPGIKHLTHAIELYLKFKPPIEDLQYFLEFQISRVWAPEQSNIQRKEPVCPSLQFSLMGPKLYISLEQVTVGRKPVTGLRLNLEGSKQNRLAIHLQHLVSLPKILQPHWDAHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEHTETYIGDLSGVHIVTGAQFGVWDFGARSVLHLKLLFSKVPGCTIRRSVWDHSPSNLQKGGDDKGDSSSQSGKLAKIVDMTEMSKGPQDVPGHWLVTGAKLGVDKGKIVLRIKYSLLNY >KVI00931 pep supercontig:CcrdV1:scaffold_1078:114759:116980:1 gene:Ccrd_020807 transcript:KVI00931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MPPYTLCKAVQQFNGKNWKKIGERKHRGIARPKCSYQYDTSYQESFVRPPAAMPTVEIVLCACRESMHKVEILEYVKISTDVSLKEIIPPGALVMITPLVAGTLFGVESLAGVLAGSLVSGVQGG >KVI00933 pep supercontig:CcrdV1:scaffold_1078:120859:121164:-1 gene:Ccrd_020808 transcript:KVI00933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGKVKRLVANNPVVIFSKTSCCISHTVKSLISNFGASPTVYELDELSNGKQIEEELVGLGCPTIPAVFIGKKMIGGANEVMSLNVKSKLKPLLIQAKAIWV >KVI00930 pep supercontig:CcrdV1:scaffold_1078:82279:84805:1 gene:Ccrd_020806 transcript:KVI00930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1264 MDLKPTTAGAEKDGIPPEKPTPVGQQMLDKGAQMLQSFKPINHMSQHACTFALYSHDMSRQIKAHHFVTRLNQDFLQSAVYDSDDSNGRLIGMYVYFSFRIIEFVERVEYIVSDTIFETLPPEEQKLWHSHAYEVNFFSFNLNQEPFLIYGFLIVVVFVFQIKSGLWIHPRMPETIVMPELKNLAKTYGKFWCTWQTDRGVFQLAISLIKRMFFISLQQEQAAEDGVVNLQIARERDESYNLSREDLMRSRIEIPEPEWINPTADYWRQHKKGFKIDVESTEMRTSFS >KVH89777 pep supercontig:CcrdV1:scaffold_1079:68086:69662:-1 gene:Ccrd_008229 transcript:KVH89777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MHRHLESCVMKAKHVRQQKLINFLPSDSSTGTNQSGFVSALNNGKLDMLKMREGIAHWITMHEHPFSIVEEEGFNLMMKRGIPEWNRVSRVTIKADAFKVYELEKKRLKDLFKKVERVSLTTDLWKSKSQKIEYMVITAHFVDLEWKLQKRVINFVHLPPPRKGANIADCILTCLREWEIEDKLGDVGNSCEI >KVE34477 pep supercontig:CcrdV1:scaffold_10791:400:1226:1 gene:Ccrd_023999 transcript:KVE34477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MYQNVSGSSKSADAMKFACSDTVPCNNIVLNNINLERLDGKTAQTYCNSVTSINYGFIQPSANYLTSSDKSFIEENELLDSDRLIHTEHLERLDGTRIWV >KVH89086 pep supercontig:CcrdV1:scaffold_108:215449:223303:1 gene:Ccrd_008948 transcript:KVH89086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKILESTAAGDAGKVVFSFEFFPPKTEDGVENLFERMERMVAHDPTFCDITWGAGGTTAELTLEIARRMQNMVCVETMMHLTCTNMPVEKIDHALETIKKDGIQNVLALRGDPPRGQDKFVQIQGGFACALDLVEHIRKSYGDYFGIAVAGYPEAHPEVIESNGLATPEAYEKDLAYLKRKVDAGADVIVTQLFYDIPSEITAALEPIKDNEEAVRAYGVHLGTEMCKKIMASGIKTLHLYTLNMEKSALAILTNLGLIEESKISRPLPWRRPTNLFRVKEDVRPIFWANRPKSYISRTIGWEQYPHGRWGDSSNASYGALTDHQFMRPRARDKKLQEEWVVPLKKFDDISEKFMKYCLGQLKTSPWSELDALQPETKIIDEQLASINLKGWGPAGGYVYQKAYVEFFCYEEKLKALVEKCKANPSLTFLAVNKEGNLLSNVGKNDVNAVTWGVFPAKEIIQPTVVDPSSFLIWKDEAFEIWSRGWAQFYPEDDSSRSLLQEVQKTSYLVSLVDNDYVNGNLFAVFKGF >KVH89060 pep supercontig:CcrdV1:scaffold_108:400140:402592:1 gene:Ccrd_008934 transcript:KVH89060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSNYSILGFLCSNRFYFFIYLISHCCWSYEVTAVPYDSFTISSFIYGKTSLGPYDWRYIRVDLPTSFSSMTIALESDVNLGKNSVKKGGINKLPMICVREGSPPLPDSYNTSLTGLVLDPISNESLGTQG >KVH89057 pep supercontig:CcrdV1:scaffold_108:489679:504171:-1 gene:Ccrd_008930 transcript:KVH89057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYALDFDGVLCDSCGESSISAVKAAKVRWPELFATVDSAMEDWIVDQMHIVRPVVETGYENLLLVRLLLEMKIPSLCKSSVAEGLTIEGILENWSKIKPVIMEEWSENRDALVDLFGKVRDEWMEKDLATWVGANSFVEDRLATLKNVIKEPELNGWNLYLGDWGYNTQKEREEAATYSRIQILQLSDFSKKLK >KVH89064 pep supercontig:CcrdV1:scaffold_108:350233:355875:-1 gene:Ccrd_008939 transcript:KVH89064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome control protein 1 LFEECFQRRWYQTAACYILVIAKLEGAPATLDESLYELAGELVRFLMRSGREYEPATTDSDKLSPRFLGYFLFPSSYRKQSMEARSPSFKEQNAHVASVKNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQREKYGSARLENFASGLELITSNGNLAKPVGCRIPLVSHVLSQSHPSFSEYHDLLGALGEKLSSNEITEET >KVH89062 pep supercontig:CcrdV1:scaffold_108:374134:375231:1 gene:Ccrd_008936 transcript:KVH89062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGEEKQEGRIYFRKPLKNNNISIQTKGDEASAVVMKKKLPAPPDNKLHFATSRWFSKQLEKASMFQYDSSKEIQVHNDSRATATLETETEFSRDSRSIRERVLKRADEALKGKQKSNYNDDHKLYKGIHAYTDHKAGFRREQTVSSGFHGPLRAPVHIRTSTRFDYQPDVCKDYKQTGYCGYGDSCKFLHDRGDYKSGWQLENQWNESEKERKRKLAFKDEDDDDDVEDEDDGLPIACFICRDPFVNPVATNCKHYFCEKCALKHHGKKKSCYVCNRPTHGIFNSAHEIRKKMKMGK >KVH89087 pep supercontig:CcrdV1:scaffold_108:404418:412307:1 gene:Ccrd_008933 transcript:KVH89087 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like, conserved site-containing protein MRISSDTKLHHEIYALYGGLPFEDKWDYFYVNSTSNSKGSMFFKLYNSDEKNVGFYIVYAKEGTWNFGIKYLTSSPKSQSQSQTTMSISVERCPRSCSSHGTCQNVVEMSGLSLYSYCWCDRTHGGFDCSDELFMDFWLSFMAVVSTFVYLAAIDEPSKRTIHTIVAILTALMAETGATRRFRWFFVLAGFIALAMAAISWSLESTESYWFWHSMWHVSIYTSSFFFICSKVNVINSEEQGSTNTEYQLTRQDSLSRSEDRLTHV >KVH89067 pep supercontig:CcrdV1:scaffold_108:201260:204597:-1 gene:Ccrd_008949 transcript:KVH89067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component Sec10-like protein SSRSDTVSCSSICFLHSFDLHFSTQEHEEEGFDSGRSKSQSVLKLIAKEMKEIKDGIRSNKISSSESFSSQPLVLDIEDFKGDFSFDALFGNLVDELLPSFQEEDADSLEGNSNISGNDALSNGRSTQGLSSPLFPEVDALLSMFKNSCSQLVELRKQVDGRLYNLKKDVSAQDSKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADSQRETATQTMELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLR >KVH89068 pep supercontig:CcrdV1:scaffold_108:362792:363872:-1 gene:Ccrd_008938 transcript:KVH89068 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40-repeat-containing domain-containing protein MSGTSFIQWDEYGYRLYAVEEGSSERILAFSFGKCCLNRGVSGKTHARQVIYGEDRLLMVSYISQNWPVLHVAASEDGMYLAVAGLHGLILYDIRLNRWRFFGDVTQEQRIQCTGLLWMGKIVVVCNYIDSSDT >KVH89075 pep supercontig:CcrdV1:scaffold_108:93753:97562:-1 gene:Ccrd_008953 transcript:KVH89075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin-like fold MAGILDKAKQYVVDSVVSIEKPEASVTEVDLKKVDWSSVTYVAKVKVTNPYSVSIPIGEIRYTLKSADSVIGSGTVHDPGSLKANSDTMLDVEIKVPHNVLVSLVKDIAMDWDIDYEIEVILVVDLPIVGDISIPVTKKGEIKLPSLSDYFNKSK >KVH89085 pep supercontig:CcrdV1:scaffold_108:262575:269808:-1 gene:Ccrd_008946 transcript:KVH89085 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MAQNPLIRYKLHNGLPDNSIALISNSPKSLSSCSSQLSNSQLAFKLSTLAGWLLLSASRGHALLRHSPLPHATSLSSVVVASMAILEKNPNSKIENDESSNSKKKRKRNKNKNKSGEXESDLATQEVAEVNGSEKEGEEVKEDKGTTVKEKKRKRKKKEKKISEEDEPENDENKDEEEGDEGLNMEEEEDKEIKTKKKGKRGGDSGIMSSDSFTSLPLSELTMKAILDMGFQYMTQIQARAIPPLLEGKDVLGAARTGSGKTLAFLVPAIELLFHAHFAPRNGTGVVVICPTRELAIQTLGLVIGGAARRGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCFVIDEADRILEANFEEEMKQIIRILPKTRQTALFSATQTKKVEDLARLSFQNTPVYIDVDDGRTRVTNEGLQQGYCVVPSTKRFLLLYSFLKRNLSKKVMVFFSSCNSVKFHSELLKYIQVDCFDIHGKQKQQKRTSTFFDFCKAEKGILLCTDDWIVQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLRYLKAAKVPVKEYEFDEKKMANVQSHLAVAASFCFSNPPKLNLNIDSNASKFRQKTRKPEGRSRNGFSESNPYGRNRDDNTQVVRY >KVH89083 pep supercontig:CcrdV1:scaffold_108:294309:298402:1 gene:Ccrd_008944 transcript:KVH89083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 MALLQSSASSFISHFPASPVNSVRLSPPFGNRYGGLSVKAEAAAGIVLVDKSEADKVNRLKTSYFEKIVPLLKEEFSYTNIHQVPKVDKIVVNCGIGDAAQNNKGLDAAINDMALITGQRPVKTKAKNPIATFKIRENQTLGIAVTLRGNEQSVFPELSYDALGKPRGMDVCISTTAPTDKEAYKLLALMGMPFREGSGPSAVVRRKKLKSHHFNTKTKQKARR >KVH89069 pep supercontig:CcrdV1:scaffold_108:131206:138471:-1 gene:Ccrd_008951 transcript:KVH89069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L46 MHRSCRGLARFVISNRGFCTSSSKGAGNDKIVASVLFERLPVVIPKIDPAVYVFQEFSFRWRQQFRRAYPEEFLKKSDTRGKGEYQIDFVPAPRITEADKTNDKRSLQRALDRRLYLLLYGDAYGSPKGQPVWHFPEKVYKSEETLRKCAESALESVIGDLSHTYFVGNAPMGHIAMPPKDNPSFTRFFFKSQVIATNKVDIKSKDFVWVTKDELLEYFPDHSDYLTKMIIS >KVH89079 pep supercontig:CcrdV1:scaffold_108:344986:347058:1 gene:Ccrd_008940 transcript:KVH89079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reductase, NAD binding MVSGGSGITPFISIFRELVFTTEILKSKAPKILLISAFKDSSDLTMLELLLPTSGSPIEFSKLELQIEAYVTRENGTTTNDKKPVRTIWLKPKSSDGPITPILGPNGWLWLAAIISCSFVVFLLSMGLVTRFYIYPIDKNTYDVYSYGSRGALNMLLICVSIMVTCSAGFWWNKNKSAMESTQIQNTDTTPVSSPGLLFNMDRELESLPQQSIFQSTNLFFVLTTTSVVLRLRV >KVH89073 pep supercontig:CcrdV1:scaffold_108:64081:69713:1 gene:Ccrd_008955 transcript:KVH89073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQSNQAMAFHGASMEQSIGFVKALQELKHLRPQLYSAAEYCEKSYLHNYAVRALVNAVDHLGTVAYKFTDLLDQQALEISTTGLHVTCLHQIQTAAKQSHFQSRPRQYTSGTPAANTLCWHLASETKSTLKGNSRPMSVDDPKTSGRAPVSFNASNGDNGMRTKSAEAHLQLRNMGPASSAAIRTLGISRQDSAEGPKPMMPFRSFDNPKHEITHVPLRSKSVLSAFFVKQKTPKLRTSAVS >KVH89072 pep supercontig:CcrdV1:scaffold_108:70842:76241:1 gene:Ccrd_008954 transcript:KVH89072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MSPTSSLHQRSLHKPYSLLFHKSSTDGHSKPYSFSMLFNRTTLLLLLTLLIILGVFSPWIGERSTFFSSLGNSRVSLEAKWRAYTLPEAVSYVAKNGSTVIVCAVSHPYLPFLNNWLISIVRQKHHDKVLVIAEDYATLYTVNGRWPGHAVLIPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYLKGKHDVYFMDDMSAVKPLDHPNVLPPPGKKGRTYICSCMIYMHPTPGAKLVMKKWIEELEAQPWSKAKKANDQPAFNWALNKTAGQVDLYLLPQAAFPTGGLYFKNQTWVEETKGKHVIIHNNYILGFEKKIKRFHDYNLWLVDDHASESPLGRLE >KVH89076 pep supercontig:CcrdV1:scaffold_108:188112:199476:-1 gene:Ccrd_008950 transcript:KVH89076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component Sec10-like protein MAVFPSPNDVMSILVQRVMEDRVPKLLEKLLVKPSLVHPPPMGEGGLLLYLRMLAVGYEKTQELAKDLRSVGCGDLDVEGLTESLFLDHKDMYIEYEQASLRQLYKAKTEELLSESQLSGESTGSIGRSKGASISSSHQQISVTVVTEFVRWNEEAISRCTLFSPQPANLAANVKTVFTCLLDQVSQYTTEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYKSPDDGIVPDHRPTNACIRVVAYLSRVLEAAFTALEGLRLKRDITEYGDFVRSFNAPTVDEKFESLSIMANVFIVAPESLSSLFIQLREDYKSAKLAAKLSSLWPSS >KVH89058 pep supercontig:CcrdV1:scaffold_108:453567:466766:-1 gene:Ccrd_008931 transcript:KVH89058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase/cystathionine beta-synthase P-phosphate-binding site-containing protein MAAFAKLVLKRRNFFVSGQNLIGSKFYTSQPLPEPSFARRIRDLPKDLPGTNIKKDVSQLIGKTPLVYLNKVTEGCGAYVAVKQEMFQPTSSIKDRSTLSLYFIFITVLIEPTSGNMGISMAFMAALKGYKMVLTMPSYTSLERRVTMRAFGADLILTDPAKGMGGTVKKAYDLLEKTPDAFMLQQFSNPANTKVHFETTGPEIWDDTNGKVDIFVMGIGSGGTVSGVGQYLKSKNPDVKIYGLEPEESNVLNGGKPGPHQITGNGVGFKPDILDMDIMEEVLMVGISSGANTVAALRLAQRPENKGKLIVTIHASFGERYLSSVLFEKLRKEAEEMQPVSVD >KVH89070 pep supercontig:CcrdV1:scaffold_108:53736:59841:1 gene:Ccrd_008956 transcript:KVH89070 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MCEDSTMVSSSNGVVEDLICVKHNKPNDLWISIKGKVYNVTEWAKIHPGGDIPLLNLAGQDVTDAFIAFHPGSAWQHLDKLFTGYHLKDYQISDVSKDYRKLASEFAKAGMFEKKGHGVIYSLCFVSLLLSACVYGVLYSTSFWVHMVAGAVLGLAWMQIAYLGHDAGHYQMMATRGWNKFAGIFIGNCITGISIAWWKWTHNAHHIACNSLDYDPDLQHLPMLAVSPKLFNSLTSVFYGRELTFDSLARFFVSYQHYSYYPIMCVARVNLYLQTLLLLCSKRRIPDRGLNILGTLVFWTWFPLLVSQLPNWPERVAFVLVSFSVTGIQHVQFTLNHFAADVYVGPPKGNDWFEKQTSGTIDISCSSYMDWFFGGLQFQLEHHLFPRLPRCHLRSISPVVRELCKKHNLPYTSLSFFDANITTLKTLRTAALQARDLTNPAPQNLLWEAFNTHG >KVH89061 pep supercontig:CcrdV1:scaffold_108:390795:392480:1 gene:Ccrd_008935 transcript:KVH89061 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRC-like protein MRIRKNAKISTLFFANTNLSNDSDDCLIQQKELCQLNQSPWDIITFPPSDSSSSLVQFDDNEAYYNVCLAGNASWDYSIGASERLPFVNSLASVKTSEGENYGFDEPKHDYGGILGFEGGETSEIQEEIVLCGRTDDKGWQCGRLVKNGDTICDYHVNELQTNAVWTSKKSRPINGTMAGTHSRPRQNKKRASTSPYEFYYYTGFGPSWGKKRGSTATTSNTYNEIIPTDDMDIRREMEKRFEPETSKIRPTKTELMYDDDDVDDNKKGKSGIVGKKRGRKPIKARSLKSLM >KVH89065 pep supercontig:CcrdV1:scaffold_108:8669:10445:1 gene:Ccrd_008958 transcript:KVH89065 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MNLEMESKSRCKVCVTGGAGYIGSALVHSLLQNGYTVHATLRNLDAEERLHLFEADIYRPQEFEEAIQGCVYVFHLATPIYDTSGYKYNDKIKATISAVKKIVDVCIQSRTVKRLIYTASVVAASPLKDDGSGYKTTMDESCWTPFHINVPYSNDFVKEYTEAKTRAEQEILKIGEDEANELEVVTLSCGLVGGRETPMVNGRFLCSSSYITSAEMAKYYQENYPQFHLKQEYFEGPKRDIKWGSRKLEDEGFSYKHDAKTILDDCIEYARRSGNI >KVH89078 pep supercontig:CcrdV1:scaffold_108:322825:329695:-1 gene:Ccrd_008941 transcript:KVH89078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYDKAFMNSELSKPTSIFGLRLWVVVGIFVGAIIVLVLFLLSVCITSRRRHSNSRKKPEQTLTPAVPKEIQELVHHDSLAAVDHRMSVPEIQIDIGKSEHRVVFSDRQSSGESRATSNDTASYGGGSGGSSLPQVSHLGWGRWYTLRELEAATNGLSDENVIGEGGYGIVYSGILGDNTRVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNKNLDQWLHGDMGDVSSLMWGHRMNIIQGTAKGLAYLHEGLEPKVVHRDIKSSNILLDRQWNAKVSDFGLAKLLGSDTSYVTTRVMGTFGYVAPEYACTGMLTEKSDVYSFGILIMEILTGRNPVDYARPQGEVNLVEWLKTMVGNRKSDEVVDPKLPEMPSSKALKRLLLVALRCVDPDAQKRPKMGHVLHMLEADDLLLPTERRDSSRSNRRLLDKQSIDRDSDTSEGDSSRWR >KVH89059 pep supercontig:CcrdV1:scaffold_108:432696:436434:-1 gene:Ccrd_008932 transcript:KVH89059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MSAIQGAVYDWIKSTGTIRVDPEDEKRRAQKSSMYQAKTYKPLNNRCMELRKACNHPLLNYPYFNDFSKDFLVRSCGKLWILDRILVKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDTNCFIFLLSIRAAGRGLNLQTADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKVSSHQKEDNFKNGGSVDSDDDLAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRSTLETLLRDEERYQETVHDVPSLQEVNRMIARSEEEVELFDQMDEEFDWAEEMTRYDEVPKWLRAGTREVNTVISRLSKKPPKNMLYTENIDVESSEVALDGTEKRRGRYKGKKFPNYAELDEDIEEFSEASSEEEVGELEDDETVDANETPATVKSQSEDDVLASVNRYEYPRPPNAIKLQEDGSSGSSSDSRRLMPIATPSISSQKFGSLSALDARPGSHSKRLHDDLEEGEIAFSGDSHMELQQSGSGNHDRDEGEDEQVLQPKIKRKRSIRLRPRQAVERLEEKPTLLRGDTSQLPFQMDRKLHVKTEIEQKPVVDHTEPSMKTKRTPPTRKNANKANVHVAVRPTRGNSLSAPSKDAAEHPKESLDAKVGPSIGGTKMSDAIQRRCKNVITKIQRRIDKEGQQIIPLLTDLWKRPESSLLDLRKIELRVDRLEYHAVPELISDIAFPDTDFREARSALTFSGSIAASASSPRGVPPFGQPKRQKQVLEVEPQPSPSPRSHPRGSGPMAQRETRFGNSSTRDSADPQDEPRPFTHPGELVICKKKRKDREKSSMVKSVSPTGIGREMRSPGQTRLSQSQQGWQSPSQPQGSGIGWANPVKRMRTDAGKRRPSHL >KVH89074 pep supercontig:CcrdV1:scaffold_108:105605:108743:-1 gene:Ccrd_008952 transcript:KVH89074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin-like fold MAGLLDKAKQFVADSVASMKKPEASVKDVDLKGVDWSNVTYNAEVNVTNPYSTSIPIGEIRYNLKSSGSVIASGTVPDPGSLNGNCDTLLNIEIKVPHNVLACLVKDIALDWDLDYEIEVILVVDLPLIGDISIPVNRKGEMKLPSLSDYFNKSE >KVH89071 pep supercontig:CcrdV1:scaffold_108:27596:40019:-1 gene:Ccrd_008957 transcript:KVH89071 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MSKQENRYKTHRYSIGEVAGKLKSEIPDLWRRKKRSFRWSLLLLVTAMRCIDVFTMSRPSHFIFNLPQDVIFDILSRLPTKSLIQFRSVCTSSPPLISHPSFTKLHLSSPAVDAADHHLLIYYESTDYIHQFYSLRSPITFQETLKFQIPYKHLHGYLRIVGSSKGLICFFDTNYYSNVGRIFEFKIVVIAYYFDSLKLNCVLVYSLSTNSWKKLEDLIAPCYLIKGWSSNVFVNGSVNWLASKDAIRGVSHTIMAFDLDDERFRVLELPKNVVPNYDQVCLASSMNGECLSLCAHYLGGNGDKWDVWAMSDYGVVDSWKKVCVVSQPGLSIPPLLIRDDDEILVVMNDGRLMLYNVVKGEMRDLETCGLARTFRAGRDRPDDCRWRLLSGGGCEESQRWLGEGHHRDQNRSGGGEFRPSLHLCSSSQPSSMFFIIVPPPSMFFKKSM >KVH89080 pep supercontig:CcrdV1:scaffold_108:305818:310132:1 gene:Ccrd_008943 transcript:KVH89080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MSFLPFWVYAVYNVFYTTGFVIKKYTQKPMREMIWLFVVVLLGVASMGGVEGRKVKALEGSLEYTAINCRGHSASITDFGGVGDGKTLNTRAFQEAVNKLSQYGSDGGAQLYVPAGQWLTGSFNLTSHFTLFLHKDATLLASQVFDFLIDPLPSYGHGRDAAGGRYISLLFGTNLTDVIITGDNGTIDGQGLLWWQKFHGKKLKYTRPYLFEIMYSDTIQISNVTFLNSPSWNVHPVYSSNIILQGITILAPIQSPNTDGINPDSCSNTRIEDTYIVSGDDCVAVKSGWDEYGISYGMPTEHLIIRRLTCISPYSAAIALGSEMSGGIRDVRAEDIVAINTESAVRIKTGVGRGGYVKDIYVKGMTLHTMKWVFWITGNYGSHADTHWDPKAVPIIQNINYQNVVAENVTMAARLEGISGDSFTGICISNATIGMRVKAKKVPWTCSDIEGVTSGVVPKPCDLLPDQGVEKIGMCTFPQEKLEIDNIELKKCSYVMA >KVH89081 pep supercontig:CcrdV1:scaffold_108:309611:318345:-1 gene:Ccrd_008942 transcript:KVH89081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSLMESSSATTGDQSHIKGIITHGGRYVQYNVYGNLFEVSRKYVPPIRPVGRGAYGIVCAAMNAETHEEVAIKKIGNAFDNRIDAKRTLREIKLLHIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLADDHCRYFLYQILRGLKYVHSAHVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYLIGSPDDASLGFLRSDNARRYVRQLPQYPKQQFSARFPNKSPGALDLLEKMLVFDPNRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSCTEENIKELIWRESRHAFYVNVLHVSTAADPCLDPDGRFGVDGDDVLGPHVADPA >KVH89063 pep supercontig:CcrdV1:scaffold_108:366759:367825:-1 gene:Ccrd_008937 transcript:KVH89063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGWPQVIPLESGLCPTSQKIIYLKVVDHLLLVVCPSHLELWSSSQHRVRLGKYKRDPASISKEGENLQAVWSPDAKLIAILVSMRLADLCIV >KVH89066 pep supercontig:CcrdV1:scaffold_108:2906:4640:-1 gene:Ccrd_008959 transcript:KVH89066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSRCKVCVTGGAGYIGSALVHSLLQNGYTVHATLRNLEERLHLFEADIYKPNEFEEAIQGCVYVFHVATPIYHTSGYKYNDKIEATISAIKKIADVCIQSRTVKRLIYTASVVAASPLKDDGSGYKTTVDESCWTPFHINVPYSNDFVKEYTEAKTRAEQEILKIGEDEANELEVVALSCGLVGGRGHLLHITGSVMSLISQVMNDETQYQILRYLEELMGKIPIVHIEDVCRAHIFCIETPMVNGRFLCSSSYITSAEMAKYYQENYPQFHLKQEYFEGPKRDIKWGSRKLEDEGFSYKHDAKTIFDDCIEYARRSGNI >KVH89077 pep supercontig:CcrdV1:scaffold_108:510420:514931:1 gene:Ccrd_008929 transcript:KVH89077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAIRFPRTLHYSHRRLTGVATSPFSGVSTKNLLSIYTMABKEEGQASAPRGADWEVVSLTASAYAAAPNXDMSEVKLGENGDVVDTDQVETSNNLFMSGHFVLPPTHHENPPLEPDNTEIRGEQVRKDDGSESVKDAKSDANEEDNWNLSKLTALDGFHVTPFSNEKSSVLAFSDADITENATLNLGGKEQSIYCSPKLASLHNEANMGTLNLDDDTSLLDESVQSSDPALVSSIPNLPKNSKEDGHGGSGMPSAAWWKKQAASLYVHAKEGNTFWSIFAAAAVMGILVIGRQERWQVLCHEWKSRIHDERMKMLAGPISRFKDVIAGGNRQDFSIRGSTSRER >KVH89084 pep supercontig:CcrdV1:scaffold_108:246994:253111:-1 gene:Ccrd_008947 transcript:KVH89084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MKKFILKLELNDDKDKRKAMKTVAAFAGIDSITINMKENNMTIVGAVDPISAVSKLRKLWPTYLVSVGPAKEPEKKEEPKKEEPKKEEPKKEETKEEPKKDEPKTKEPAKEEAPKEEATKEEAKKDEPKEEGEKKSAPQPQPQPQPQEQVVGMLMPYKLPYYPPMHSYYNYQPPHHQSIEENPNACVIC >KVH89082 pep supercontig:CcrdV1:scaffold_108:273991:282901:1 gene:Ccrd_008945 transcript:KVH89082 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, NAD-binding MSTTVKRDLETRSPATMSYRSNSSPQPSLPLVVTLNCIEDVSIEQEYLAGVARVEHVPLSLLAEAKIESAAAVLLHSLAFLPRAAQRRLRPWQIVLCLGSSDRSVDSAIAADLGLGRLVHVDVSRAEEVADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIIGRSASAKSLATRSLAFKISVLYYDVHEEKGKRLSRFPPAARRMDTLNDLLAASDLISLHCALTNDTIQIINAECLQHVKPGAFLVNTGSSQLLDDCAVKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAVSILQTFFMDDVIPTDAISDNDEEESGTLYEDEQSDKQDKDGALHSSTTEQLTDDIHVSFEKSMKNDIPQSLASPSQAQESILLQNTSQSEVKRSRSSKKAKKRHARQKSMHKVGDTSTPHKEDDTAMSGTDQVLSSSSHFASPDDSRSKRTPIGSSIHDLSAKYLKSSSGIGKKSLELLKDGCVISLQARDRAALHVSRQRAQGGGWFLDTMLNDTIGLRSFTAGGKLLQINRRMEFVFASHSFDVWESWTFEGSLEECRLVNCRNPSAVLDVRVEILATVGDDDGVTRWLD >KVH94807 pep supercontig:CcrdV1:scaffold_1080:37653:60058:-1 gene:Ccrd_003127 transcript:KVH94807 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MFAKKLLHKATKLHNLHHHQHNLKGCLTPEDLDFRIAVHYGIPSTASVLAFDPIQRLLAIGTLDGRIKVIGGDNVEGLLISPKQLPFKYMEFLSNKGFLVSISNDNDIQVWNLENRSIVSSLRWSSNITSFSVICGSFFMYIGDEHGLMSVLKLEEEAELLVMPYHISAKSLTEAAGSSFPDHWTVVGVLHQPTQVVVVRGDKVLELKDGVDDSPGQVGHLLDATPEHSLEENEITALCWASSNGTILAVGYINGDIMFWKTSTTASSKGRKAGASFNNVVRLQLSSAERKLPVIVLHWSANSKSQNDGDGQLFVYGGDEIGSDEVLTVLSLEWSPGMETLRCVGRAELALSGSFADMSLLPSAINNHRADLLVLTSPGHLQLFSHDSLSALMSEHEKKVTLSSLECPVVIPTAHPVLSAANLSSLVGSENTSKFLLEIATNMKLNSTSKLTGGNWPVSGGIVNQFASPEGYVIERIYIAGYMDGSVRIWDATSPVLSILCIIGEIKDVDVTGSTAPISELNFCSFTSGLAVGNQLGLIRVYNLNSSCKETSLHIVTGIKQEVHKQPQGGGPKCSACFHLLDSPVQALQYMDHGAKLAVAHECGRVAVLDMKLFSVLFLTNCLPNPSSPVISMTWKSFVYNDGHVKSPKDSGRKDLDRPAERLMIISTKDAKLYVFNGDDNRMINSRPIQLKKDTTRGTSIVESVDQKESQQLTNDAAARSEPLIEVDKPKIEQHSQPENINSVQGATDSLILLCCKDALCLYRLKSVLQGNEKPLCKVKLAKPCCWTSTFKKDEKTCGLALLYQSGELEFRSLPDLESVKVTSLMSILRWSFKANMERTMTSTENGQIAMTNGSEVAFISLLNVDDDFGVRESLPSLHDKVLAAAVEAVISSSQKQKKKLGAPGVLVNMVKGFRAGKSNNGINFPDYFGSSFSNLDKIFSKNPFPDPLESITNDQDDVELDIDDIEIDEPVSVPTSSHTKQNEEIGKRTDREKLFDGDNSDDASSVAGQARNKLLERQEKLEKISKRTQDLSNEAEDFASLANELVKVMEPYENSSPVYVNDTYNTIFSDFWTSFMMLVSIAIVVGYNLELIFEFEPP >KVH94806 pep supercontig:CcrdV1:scaffold_1080:20689:25977:-1 gene:Ccrd_003126 transcript:KVH94806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MAGLVLSGCGIKPLPQSFAIPTKGIIINNTHPSKLIFSHSKDPIFSSRTTNPFRFSNWAVKVSAPLRIPSLDEQHLEGERDNRSTSSDEEFVKKEDEFDAGSPPPFKLGDIREAIPKHCWVKDPWRSMSYVVRDVAIVLGLAAAAAYLNNWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLNRSPGKSGSHFHPDSDLFVAVEKKDVITSTICWTAMAAMLVGLNFVVGPMQMLKLYGIPYWINVMWLDFVTYLHHHGHEDKLPWYRGKTEAAKPVLGKYYREPKRSWPLPFHLLGELITSMKKDHYVSDTGDVLYYQTDPKLSGSKRR >KVH94805 pep supercontig:CcrdV1:scaffold_1080:100386:111333:-1 gene:Ccrd_003129 transcript:KVH94805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MEIDPPSSTGKDHFKLWNQLELHEFQHKFVIRSLEFPDQGFSIARSDGSFHKLDGDVNLETASRVYTVFGVAGTIRLLSGTYILVITSHKDVGTYLGFPIFRVMSMKFLSCNRDLRNLTNQQKKDEAYFQTLLKIVEETPGLYYSYETDITLNLQRRCKMADGWMSKPIWKQADPRFVWNRNILEELIENKVRLSFQMGRLKLNNLTSTITLISRRCARRLGTRMWRRGANLEGDTANFIETEQLLEYEGFRSSFLQVRGSIPLLWEQIVDLSYKPRLAVIEHEDTSMVVKRHFHDLLQRYGDTIAVDLTDKHGNEGHLNLAFATEMEKLPDVRYVSFDFHQRCGNANFDSLKLLYDEIAEDFEKQGYFLQNTEGELLAEQKGIIRSNCIDCLDRTNSYLARISLNQQLQRMCALAPSESITTFTDHEDFEIFRNLWVDQGDEISLEYSGTNALKGDIVRYGKQTVSGLIKDGISALSRYYLNNFQDGDAIDLISGNYTVSGNSPSPFQLNKFESRTLFPVASAILIGGLTVTSITLNRAGQNAQSVISSIVCVGATAGMMALMKANGRQICSRPRLCGLL >KVH94808 pep supercontig:CcrdV1:scaffold_1080:9956:11288:1 gene:Ccrd_003125 transcript:KVH94808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSHLHHMSVSVDSGGGSGGGGGGGGGSDRFPQWSVQETRDFLMIRAELDPTFMETKRNKLLWEVISTKMKERGYNRSAEQCKCKWKNLVTRYKGFETMEQEGMRLQFPFFNELQAIFTGRMQRMLWLEAEGVPSGSSKKRTTHNLSSDEEEGNDDSDVEKASINKKKKKIANIGKGNSDSTMVNLKEILEDLMKQQMQIEMQWMKMYEAKEEERRMREMEWRQTMEALENERVMFDRRWREREEERRIREENRSEKRDALITALLNKLRHE >KVH94804 pep supercontig:CcrdV1:scaffold_1080:75164:75877:-1 gene:Ccrd_003128 transcript:KVH94804 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MAAKSRRTANINVREFENFFQGWLVRQEHYLEELRSALRICDESRDEDLCDLIARVLEHYQQYYEEKSRIANYDVSLVFSPPWFSPFEQSFFWIAGFKPGLAFRILAASVDDMSKEQSERMETLKVEMKTEERELENELARIQESVAAPPIVEVARKDNLPVDGEYDTADMESVMETLKSEMEVVLANADMLRSRTAERLVEILTPVQNVRFLTAVTELQLKIRMWGSQMDADRRRR >KVH88369 pep supercontig:CcrdV1:scaffold_1081:124803:129964:1 gene:Ccrd_024006 transcript:KVH88369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase, type 5 MAIKNINCITILLAVYTVVVAAKLQRFDHLPSKMDGSIDILVIGDWGRKGLYNQSDVAFQMGKVGEILDADFIISTGDNFYEDGLIDEEDPLFVESFTDIYTASSLQKQWYAVLGNHDYRGNVLAQLSPALTQRDSKWLCLRSFIVNSGIVEFFFVDTTPFQDKYFTEEEHTYDWRGVLPREDYLSNVLKEVDMALKESSAKWKIVIGHHTIFSAGSHGNTQELVDQLLPILESKQVAITVLLSFLHSSSFSYFMVMTFMCSQLQFLTSGGGSKAWRGEINDWDSNEMKFYYDGQGFMTLGIAENEVNVAFYDVVGKILHTWSTSKYTHMDS >KVH88370 pep supercontig:CcrdV1:scaffold_1081:130485:136953:-1 gene:Ccrd_024007 transcript:KVH88370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MYMAMPSGNVVIPDKMQYPSGGAVGPAGGGAAGSGVGWYPDERDGFISWLRGEFAAANAIIDSLCHHLKSVGEPGEYDGVIGSIQQRRCNWNPVLHMQQYFSVAEVFNALQQVTWRRQQQHRGGGFYDPMKVVGPGKDYKRSGGAGSRQGQGHRVEIAVKDGHSSTVDFNSPGNVANGSGNLVGNEVPISDDKDVALTKCSDGLSTKSQVDDNGKSLDSHSTLSESLAYETKEAEDNPNLKGCTNEHSKNGLFSTHNAQQKPDTLAVAKTFVGTEILDGKAVNVVDGMKMYEELFDESGVRKMVSLVNDLRAAGRRGQFQGKPMKGHGREMIQLGLPIADAPFDDEIIDRKIEPIPSLFQEFIERLMAMQFLSVKPDSCIIDIYNEVSSDPISYIAQNDCAIIRLAQLGDHSQPHMWPYWFGRPICVLFLTECDMTFGSVIGADHPGDYRGSIKLSLAPGSMLVMEGKSVDFAKHAIPSLRKQRILVTLTKSQPKRTMLSDRQRSPAPVAPATHWAPPPTRSPNHIPNPMGPKHYVQVPTTGVLPAAAVRPPLPPPNGIQPIFVPSAVAPPMTFPAASMGLPPPASAGWATTPLRQHAPPRLPVPGTGVFLPPGSGNCTSIEPSNDAATCDDENSTSPKEKVDAETQEDCKGSLDENGGKTAAGEQKQKQNDDVKMAN >KVH88366 pep supercontig:CcrdV1:scaffold_1081:76610:82438:-1 gene:Ccrd_024003 transcript:KVH88366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGSPSSARTATTCNTLLRELQQIWMDIGENEAEKDRMLLELERECLEVYRRKVDEAATAKARLHQSVAAKEAELATLIASLGDLNVHFPLNMETKSSSLKAQLAMVSPLVEDLKVKKEERIKQFADIKGQIEKINGEISGYSQFVDASERLHKVLAHVNEVHSMCSVLGLDFGKTISDVHPSLHGTSLENATNISDSTLEGLENAILRLKTEKKVRFQKLKDIAGSLFELWNIMDSTREEKSYFSRITSIIRLSEAEIVEPASLSLDIIQQASQEVERLSKLKASRMKELVMKRRSELEDICIKTHIEPDPSTAIDKSNAMIDSGLVDPSELLVNIEAQISKVKAEALSRKEIMDRIERWLSACEEENWLEDYNLDQNRYSAGRGAHLSLKRAERARITARLVTILEEYKLSRLQKEEEKKRSRDQKRLQDLLLKERESIYGSKPSPRRASSFRMTSNGYRGNGNGSMTPTPRRSSVGSPTMEPMTPRSYSGRQNGYSKEMRRLSTVPLNFVAIPKEDTISFSSVYGSEPGSPLQS >KVH88368 pep supercontig:CcrdV1:scaffold_1081:66523:71471:-1 gene:Ccrd_024001 transcript:KVH88368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MSSLDPSGCKKRKRVERVFKFKAFGEQGYPIESCGTFRDNVKALLEYGNVEMTFVNGMTFWSFQLEVHRHPPSHILLFVVEESAEASSLNRHCKHCIYVGKDTMAAFLSYEGNHSSIVMGKLNFVELNGHTMHGVFHSNGFGHLLCVNGLEMGSDLAGHLILEFWSRLCICLQARKVSLIDLAKQKSMDLRLLHGVAYGQSWFGQWGYHFGRGSFGVTQQMYQKALEALQNIPLCILAHHIGTSSMEIPILFSRYQTLSSRSLVTLADLLRFMLDLKSRLPKESVLHTHNPGILVENSCRWSPKRIEMAARVIVESLKKAKFRWVSRQEVRDAARSYVGDTGLLDFVLKSLGNHVVGKYLVRRCLNPVTKVLEYCLEDISNTLPNQEESVTNDSKLKARCKISRAQLMNDMFYIYRHVLKGQKKDMDSTITSTISLAARIVLDSKYFIKDYNGEICSKAEVEAGEKSKLYCAIAIQDKGKIDGHEEGEKKLFTTPYETIMLRNNATFDELIEEVEKNFKEIYWGLKSFMFGSIINLNPKRSDQVFKVTKTSSKLVFQGKLDETGTNHEGIYESGSRQRFVVDCGCGAKDDDGERMVACDICEVWQHTRCLKIPNNEETPNIYVCSRCEQDILVLPALP >KVH88371 pep supercontig:CcrdV1:scaffold_1081:97434:100832:-1 gene:Ccrd_024004 transcript:KVH88371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASKILRKTLSNNNFVADFPRASESLLHLLPSDLEAPAADPIILPENQGTHNYKFQEVVPLNASNVLGLENKKVSTKWNALIRKTLNKKSRRGHLSSDNVTKSDTESSDFQHDFRCLMSKQMVGLLISVWVRSDLHPFIRNPNVSCVGCGIMGCLGNKGSVSIRFQLHDTSFCFVCSHLASGGGEGDERNRNSNANEIFSRTSFSSTKGCERSSWMVSLDGQFGAWHEGTIDFAPTYKYLPDSDEYFVKNQEMKRAPAWCDRIIWSGKELKQILYTRSESKLSDHRPVKAIFSTQVKDSRLAYGNFYFSNEFDKIST >KVH88367 pep supercontig:CcrdV1:scaffold_1081:50542:52691:-1 gene:Ccrd_024000 transcript:KVH88367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNHHHHHHFTTAAGPGPGPSSSDLFPSQDNGLPNKRKRRPAGTPDPDAEVVSLSPKTLLESDQYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRDSPEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNNKQWVCDKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRRIHADLPGFQPACSSRTASSTSPSNDMNFSSIPTIPRLPHIQPPPQTVFSQTHEDIQNNLELQLLPSLSLYEQNNTHQTHLNLSIGSGLSLHREEELKIAMADKAFAEDARNQAKKQIEMAEMEFENAKRIRQQAQVELERAKVLREQATKKISSTMLEITCYSCRQRFEAATNHAAATTADETSIAPSYMSSALTEGEGD >KVH88365 pep supercontig:CcrdV1:scaffold_1081:72631:73815:-1 gene:Ccrd_024002 transcript:KVH88365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRASEALKDQCSLFITSLAPCSVLRNPDIEADVIKATSHDDSHIDYVSAQRIFMWIRVSGDYVNPVMLSLSSRMKRTRSWTVALKGLMLLHGVFTCKVPAIQKIGQLPFDLSNFKDRNPDMRHHEAFIRAYYTYLEKKSLFMFHHLQEQEEEKRKKEKDLSEMEKKQKQSSMMQDLEWIQKLQGLLDVLLKIRPHRDSMMNVLVLEAMDCIMIEIFDIYSRICNGIAAVLVRIYTTNTTKAEATKVMSILKKAMVQGEDLSQYINLCSDLGVMKAKKFPKIHRIPEEDIRELEHIIKKDSDQPKTKQIIRKDDEKSKKTVEQKESKGDSETTITVNWEFVEEERKSNHDPDPTLPATENDAGIHPLAKEAPDLMTYDSEGMKSDEDPPIERG >KVH88372 pep supercontig:CcrdV1:scaffold_1081:108200:119933:1 gene:Ccrd_024005 transcript:KVH88372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin GLVLSKLKANIAIITHTCNSTIREKTSLQFQSSRERRLLPTMATLTIPPVLSSPRDDAMHLYKAFKGLGCDTSAVINILAHRDSAQRALIANEYKVMYSEDLTKRLSSELSGNVKKAVLLWMPDPARRDAIILRDALTTDIDLKAATEVICSRTPTQLQHLKQLYHALHGTYLEHAIQAQASGDLEQLLLAYLSTPRSEGFEVDRTMVDHDAKALYKAGEKRLGTDEKTFRVIFSGRSRAHLAAVSSAYHSMYGRELKKVVKSETSGNFEHALVTILQCAENPGKYFAKVSFICIQNLFCFAYSLSYLVLRKAMKGIGTADKTLIRKAPTMATLTIPPVLSSPRDDAMHLYKAFKGLGCDTSAVVNILAHRDSAQRALIIHDYKIMYSEDPIKRLTSELGGDLKKAILLWMPDPARRDAIILQDALTTDIDLKAATEVICSRTPSQLQHLKQIYPSLHGVYKHLEDAIQAQTSGDLGQLLLAYLSTPRSEGLEVDRTMVDHDAKALYKAGEKRLGTDEKTFRIIFSGRSRAHLAAVSSAYHNMYGNTLKKAVKGETSGNYEHALVTILQCTA >KVI04337 pep supercontig:CcrdV1:scaffold_10815:641:1711:-1 gene:Ccrd_017352 transcript:KVI04337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MFIRSIGMILFIFLTLSTMQSKVKGKNIVPSRLLLELRYLDCYHRLKLKRKQRNCSRKRFFYVQIEMNKTVWLCGVVDVVEVGDKMIYSITHKNRNSEVKATYKVVHDIREESFDCSCNHFVRNGILCRHAFKVMLNSDVQSIPEKYILPRWRGELVPVELLPARARFGEMDVGEASIEQKRNEIQKLLCVSEPESVDMLPPTGIRNRGCGTGKRLVGMSERASINAKKPKRLCRTCEKMGWHDSRNCPSNGD >KVE34071 pep supercontig:CcrdV1:scaffold_10818:3153:4259:1 gene:Ccrd_024008 transcript:KVE34071 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MPGCPETYEVSQQVGGFQDRHQKLYNIRQGDIIAIPAGAAHWIYNDGQQELRFYLAGNPQKEHQQQQSPWGSKGQSQEEGSGNIFQGFEVEILAKAFNVDRETAQMLQCQLDQRGHIVMVERGLQVIRPPMSIQEQQRGRNGIDETICSLKIRENIDDASRADFYNPQAGSCTHLNSLKFPILKFLQLGAQRGVLH >KVH88364 pep supercontig:CcrdV1:scaffold_1082:5705:55688:-1 gene:Ccrd_024009 transcript:KVH88364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTGLKVKSNEHLRRAIVVWGFGFQKAKKFVKFLESYNKGEVSQNQEMQKLGGPSLSEYENLQAEMSDLQTPYNDLWQLTKKHVLHIASFLYDPK >KVI01529 pep supercontig:CcrdV1:scaffold_1083:74399:75976:-1 gene:Ccrd_020199 transcript:KVI01529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MKSDVSRPGERDHLAADYSYYYTLLQETFDQMNDYKPSQLGDEIETSTIEVDEEDEGKQEVKTKGLKEILTSLLLIEEQEKSDKDEWDKAQEEDKMMLEANHKKKTKAMLDYQSECQQYDDILDQTNRVRKRKSRAATTAAIASTAVVAVDLDNNPNQKTSGGAPVAATGPQRRLWVKTRSKDWWDKCNSPDFPDQDFRKAFRMGKDTFEMICNELTSVVAKENTMLRDAVPVRQRVAVCIWRLATGEPLRLVSRRFGLGISTCHKLVLEVCSAIKNVLMPKYLQWPDDESTTMIKDEFASVSGIPNVVGSMYTTHIPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVDPRGVFTDVCIGWPGSMPDDQVLEKSALHQRATGGLLKDVWIVGSSGYPLMDWVLVPYTQPHLTWTQHAFNEKIGEIQRVAKDAFARLKGRWSCLQKRTEVKLQDLPVVLGACCVLHNICEMRNEEIDPELMIELMDDEMVPEAALRSAIAMKVRDSIAHNLLHHNHAGTSFLS >KVI01533 pep supercontig:CcrdV1:scaffold_1083:81628:83987:1 gene:Ccrd_020200 transcript:KVI01533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant TPPVNLSTLTLIKTLVFIRILIVYLFNFKLEKNSPQQSFLIDAPAMWYIRRFLEDFDSNEIYEHYANVIMLKIHHDGMFTRRPRRQYVDDKTTFIDLVDVDELNVHEINTMVTELGYTDGLVEDDSEEECKQDDGEDVDYMVDKTHEMEEVDIDMKNFNSIIECDAEWLGNKEKVGEESNLGEDDNHDLVKVDAFYSGSDSEFEGDRKRQLRKLGKHQRTKKTLNFNFYVGKSFRNKKEIKDLIGKHSIETRRDLSIVKKDGSRKIFRAKAMAMERAQGNYSAQYTMLRDYILEQHKINRGTTVKLEVETEPNLDMETRKFERIYVCFGALKNGFKSCDMELLGLDGTFMKGPFPGQILTVVGIDPNHGTYPISYVIVEGIILAIARIFPSTEHRFFLRHIHENIKKI >KVI01530 pep supercontig:CcrdV1:scaffold_1083:5579:8780:1 gene:Ccrd_020194 transcript:KVI01530 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MKNNGKNSIDSSIQVNSDEDSVYVNYHEENVDVMEVVTWEANEEFDVGLSPFKDVQKNCEEIDLVDDIETHVIDDTTGYTSNNEIQNDENPVIDQAEQGLSISPGGTNWYTPVVEEVIKPIIGSVYLSLDVAESIYQKYAETAGFEVRRSTQKINPDGVIQNKYFVCSRFGLPLKKSFDSMVCRKHQREVRNSNIKRTGCIASVKFRLMKGTTTYECYGFEEDHNHSLLRHKDMDLTRKGRQMKFSDQRFVHDAGISNMGATRAHKLHTSLRGGYEYGGPTVVDYQNYKRDCDNFFGRGDAKVLVDLMTKKRDADHNFFFEYNCVGSKLHTIFWVEVARFNYSEFGDVISFDATFRTNSQLERHACEIYTCSVFFKVQTEIHRTAWTCSIKSVNLNEEVETYLIEHLDKRDEKIAEYKVVRNLKESTVVCNCNHVGRHGYLCRRVQSFAKHRRQRICDVGEDQRRIINDTYEIVNDVLDILRDDKEKLESFVATLKEMRDDVAKDRTYEPSMKRKERGIEQILGFTRPDNIEIHPAIGIKTKVVVRRKD >KVI01528 pep supercontig:CcrdV1:scaffold_1083:52449:59975:1 gene:Ccrd_020198 transcript:KVI01528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MADPYWRCGPSADGVSIPMSAAFPGYLTSEPSMLTSRPPWASHDPLSNSSDFMQKDNLVSRPGAYGSDDIVGISVRSESGFSGYTGGASLNGYPSTLGDPYLLGRRDVTLGNGPGIPDLIIERPDSLKKVDGFPVGNRQSNVLFVDGLPSDCSRREVSHLFRPFFGFREVRVVHKEPRHTEDKAMVLCFVEFLDAKCALTALEALQGYKFDNKKLDSPALRIHCAHFPFRLPSDGDEQQLAVPREHVDRGDSSLVQICGSTFQQTVNVEKVVERDTGTQSQGFDDVKRKNSGSSKPWNKKPKNSSQRIERPEAKNVYEALPLRDQIVRAP >KVI01532 pep supercontig:CcrdV1:scaffold_1083:35779:47103:1 gene:Ccrd_020197 transcript:KVI01532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAYWRRGPSADRASSSYLNPSFWSLNLSLGSTLFFCLLFCFVNMSIGRNGVIDMVVDVAGCVNRPDVAVRHGWGGYCAVDCYCGHWSYCSGCWLREMRQQTEDKAMVLCFVEFLDAKCALTALEALQGFFFSVSTLMQRDVSPSIFPHIADLHHGSTNQPLISMPLPPFLGEGLQV >KVI01531 pep supercontig:CcrdV1:scaffold_1083:25252:28607:-1 gene:Ccrd_020196 transcript:KVI01531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMLPAVIVPLSLGNSICKTLILEETLVIITGIQQTMADKTSFFQNHPQDSNYENDGNWVASDSALQESEDELMSLEGDRIFDSSCTLSVVSDSSSLCGEDFIAFESITSEIGTLGSQDAEKNATVTGFEDVTTKIVISEKVSSGTVARSVFEIDCVPLWGFTSICGRRPEMEDAVATVPRFLKVPIQMLTGDHDSDRLTMTKSLSHLTTHFFGVYDGHGGSQEELEPLMDGDGSGISKDNIVQDLWKKAFMNCFLKVDDEIGGKQANVEPVAPETVGSTAVVALICSSHIIVSNCGDSRAVLCRGKEAMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVTFIPRAKEDECLILASDGLWDVMSNEEVCEIARKRILVWHKKNGISSLPQERGEGIDLAAQAAAESLSNRALQKGSKDNITVIVIDLKAQRKFKTKT >KVE33082 pep supercontig:CcrdV1:scaffold_10834:1063:4026:-1 gene:Ccrd_024010 transcript:KVE33082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor biosynthesis, conserved site-containing protein MPPSGPAAISPPVEPPQPDPASTASATDSDHGSDTESASAYQNCSGPRAVSVVNSCSDKLGAMVAATAVVPDEIENIKEILQRWSDVDKMDLVLTLGGTGFTPRDVTPEATKGVIEKETPGLLHVMMQASLKVTQFAMLSRAAAGIRGSTL >KVI07762 pep supercontig:CcrdV1:scaffold_1084:86159:91021:1 gene:Ccrd_013872 transcript:KVI07762 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MSINRKREDIDGLEETKVLKRLKIVSPLISLLRPTSVPFAVALRRRGFVDTIGFYTSSCGKEVAYLSSEVLQLCKIYPVSRTTSLQDDWILSYQRARVCELKLVSSIMENHNWISLTRELLNCMQNAYECFKSVEKNKPDIEQLRFWCQYWILVAVLTVCERIGDTFVSWVPMYSEAKLAFYIYLWYPRTKGTTYVYDSFFRPYISKHETDIDRNLMELRTRAGDIVVLYWQRAASYGQTRVFDVLQYIASQSTPKPRTSQPPQTGRARQPAPNRRAPPAAVQQTKEPPTPASSSSSSSSSSSDSASPQIDDTESEGVPPTPTSPATALKAQKTTPTHSLAVTAKAQSSNEAEMMETEPSTSSNPIPSPKETIIEEVVRVYTRGRSRKNSAASVQ >KVI07760 pep supercontig:CcrdV1:scaffold_1084:96974:102930:-1 gene:Ccrd_013871 transcript:KVI07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F Family MSMSVAVGGDDVNLSLQKALSRMHHAIRANALVPMSLSQLSRQSPQQLWQSSVHLKWHLAIGNIDNMFSGKTTLFRRPHKKILVTEALGLAIIVTNLEGKLGKVVQFNTAYERKGKCVLIYLYACLCKKVCNDWALATQTVKCEDVDGASPIVHGGIKVEQVDDPSFGPLPCGVGKRNRKSKASRSSIHSKAGNPEPNADFGDLNLNLATACRYDNSLGLLTKKFVSLIQADKDGILDLNKAAVLLEVQKRRIYDITNVLEGIGLVEKATKNHIRWKLVEAVHNLNLTFDHQSRETKERLNSVKFDHNSQKYLYLTEQDFRNLPCFKNQTLIAIRAPHASSIEVPDPDQVSSADATEILFSLQRFYFDMIILTVQDSGFSQKQFKLIVRSHTGPIDLYLV >KVI07765 pep supercontig:CcrdV1:scaffold_1084:132431:139123:-1 gene:Ccrd_013869 transcript:KVI07765 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MESQEVIETTMACHNSDGVDDLNVCTSSGNGFDSKQDGVGVENSGRVDVDLLEDLDIMMEDIDHRLRVSRMVSDSVIRGMVSAVEQEADEKIKAKELELASLKRSLQCLNLNGDQNESWWLQGTHGPNTVEFKGYANFEDACIKHDKMKESSLVLRKSATDQFKRLRKQIEGARGCKIKRNGSCHEMVGLGGILKEKEYENCVGLEKTVDNLEMTINNISRLVDTVLIFSKTSLSDWQQEHDLKGELEDMVIQSSIRSIRKEFQEELWGQNASCCGSQSIVLAKKFSNISGLRHELEALIKLLPSCESGHLVSHGSFDMDNTHGNPLRSQLSLRWGENGMSDESKPSVPDSFNAAELSHLKGEDLVNFFNNMISKIKREHESKVQQMTESYISLKGKYLSERRSLSLPNKEFEILRRKIPEVVSKLDGILSESGEFIGRGDSVASINVLNNSLNTLIRENRQLRDSLAAKEKEVTHLSTQLSVASEKMLQHASSAANFQKLVKNHETYVADTCIEASIVEDVYKSVIGKLCCQIQDMKEESELGIIAMQDIYEVLLGVASDGQKDTSDCAIEDTYMESFFMQELLDTVFKEALADVEQKFENLHKEYITTNENLVSLKKKAIEREVELKLGGEERERLRSEVHSLRSLVEEKETLARELTKEREQFELALGELNNLRKQASWQETLMSKTNKKLDEMGGKLSKAEEKLVSDRMEINSLNQKLELAMEEIRALVDYKNMFLALSEEKQSLLSLTEAKEKEHRKQMEAVVVLVDELSTKLENSRSQLSSLIKPANVLKSTGLLYKQKLESKCSDLQMAEDEVDLLGDEVETLLGLLEKIYIALDHYSPVLQHYPGVIEILKLVRRELSGESLKAQ >KVI07763 pep supercontig:CcrdV1:scaffold_1084:44648:56685:1 gene:Ccrd_013875 transcript:KVI07763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG TNKQPKQGGATVVTTAKPSRFHRICVFCGSSSGKNPSYRLAAVQLGNQLVDRNIDLVYGGGSIGLMGLVSKAVFDGGRHVLGVIPKMLMSKEIIGETVGEVKVVSNMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHQKPVGLLNVDGYYSSLLSFIDKAVDEGFITPTARHIIDYVPEQNGTGSKLSWEMEQQLGNTVKSDIAR >KVI07767 pep supercontig:CcrdV1:scaffold_1084:7412:24277:1 gene:Ccrd_013876 transcript:KVI07767 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease MGVPAFYRWLAEKYPMVVVDVVEEEPVEINGVKIPVDTSKPNPNKIEYDNLYLDMNGIIHPCFHPEDRVCGLKSSGYGVEDWNGYGLKSRIGVEWLELERQGQFNEEPEEGDGRDVEAGKEEEHNGLGEVFQCVFDYIDRLFGMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDASEAEAEEERLREEFAKEGRKLPPKPDSQTFDSNVITPGTEFMAVLSIALQYYLHQRLNNDPGWKSIKVILSDANVPGEGEHKIMSYIRLQRNRPSFDPNTRHCLYGLDADLIMLALATHEVHFSILREVITIPGQQDKCFLCGQVGHIASFCEGKVKRKAIEFDEIGGGDEVPKNKYQFLNIWTLREYLEYEMRIPDSEIDFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLFAVYKKEFRAMGGYLTNASKPDLPKVEHFIQAVGSYEDSIFQKRARMNLNLAEKIRRDQARRGDYSRPQASGPSPSPYKQKGSQTSMRKEYQVRHATKDLSALDIQSRQSMQSDDAQGNLRAKKVARLSSGATVGAAILEAESSLEREALDNQEELKLKLKMALREKSDVFNSDQQEEDKIKLGSPGWRERYYEEKFLASTPEELDAIRRDVVLKYTEGLCWVMHYYYEGVCSWQCAHALPKQYRKLMTDPNSPIIDFYPTDFEVDMNGKRFAWQGVAKLPFIDETRLLKEVEKIEHTLTEEETRRNSRMCAMLFVALSHKLSSYIFGMDDRYWRSKAKKRAEIKEPINLEASCAIYKLPDPHPHIARPPAGVEIPEKTIMLADLKPTTSLWHEDSGRGPRFENQRFLKSIQTFENCCINLSAMSAFYREECARSPKFTREDREECARSPKFTREDREECARSPKYTREDREERAFKKKDKEERARSPKFTREDREERVRSSTNWLGCSPKFTREDREECARSPKFTREDREERAFKKKDKEERARSPKFTHEDREERACSPKFTREDREEPPPLQHTGANIQGHKGSHHPLRYADRRHSNSCDRRNSKDLSHGQLYAAPNDGLVAAEQSSRRNVRGGRKWVRRKRQQSDGNQNGPEHAVDTAKVAGEGGGSNREHAYNLHMRRPPPTVQATTEVQGDSNSHQGGGNSYDEPNHNQNQNQTEAWVPRESQGSGGDGSGKPLRKGIRRRRRSAGSSHFWAVVGSPDNRVVQ >KVI07766 pep supercontig:CcrdV1:scaffold_1084:113846:125177:-1 gene:Ccrd_013870 transcript:KVI07766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEQENHSNENRRITWEGCSVLLDINDGDRLVFSRLTAGSIVKIGNKNYPLQPLIGCPFGSLFQVENGANGPCLSRVISTAEVSNDEDKKECDLKDESRDNRALIDNNTAQTLTGDDIEGMRRQGASGNEIVEALIANSATFENKTSFSQEKYRLKKQKKYAPKVLLRRPFARRFLRVDTLSLLLSFANVTAHSDVLVVDMIGGILTGAVAERLGGTGYVCNTYRGDSPSSIEIVRIFNFGDEINKRIVRLPLADLSAPPNADSKDMELLQASGSPVSMEETTVSSKDGRPTTETVASKVHKAAKPGEKASEDAIKLWKENGFSSLIIAAPEVDAWGIVKEPLATCMHNLQVEKMAIGLQITEPWLREYQCNLM >KVI07764 pep supercontig:CcrdV1:scaffold_1084:57900:62121:-1 gene:Ccrd_013874 transcript:KVI07764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MKMGKMGERVKSQGYFGWFIVMVVLGVVAFAVVFTYKKKYGYLPGTVSSKYGDALTVAMQFFDIQKSGKLVHNKIPWRGDSALNDGKSAHVDLSKGMYDAGDHMKFGFPMAFTATVLSWAILEYSVHMNAVNQLQPAKDSLKWITDYLMNAHPKHDVLYIQVGDPVADHKCWNRPEDMTEKRPLTMVNPSKPGSDVAAETAAALASASLVFKSSNATYSSLLLRHAQDLFVFADEYRGSYSKSIPEVRAYYDSTGYGDEILWAASWLYYATKDSTYLDVVTGKDGQKYANWGTPTWFSWDDKLPGTHVLLSRISFFGLDDDADIDNLNEYRRTAEAIMCNLLPDSPTATGSRTEASAFLALVFSDYMLTSETQKLECDTESFSPSDLRKFAKSQADYVLGDNPMKMSYLVGYGSKYPQYVHHRGASIPADAKPSCKNGFKWLKSHKPNPNVATGALVGGPSKYDKYIDSRNNTMQGEPSTYNSAVLVGLLSGLVTYSSVPKFFL >KVI07761 pep supercontig:CcrdV1:scaffold_1084:65996:70175:-1 gene:Ccrd_013873 transcript:KVI07761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole methylase MLYIIGLGLGDERDITLRGLEAVKKSQKLYIESYTSLLSFGISKDGISTLEKLYGKPLIIADREMVEEKADDMLLEACEFDVAFLVVGDPFGGKKQYEPPTFMTIGVAIDQLLEVEQLRGESAYNEDTLCVGFARLGSENQMVVAGSMKQLRAVDFGPPLHCLTIVGKTHPVEEEMLDFYRS >KVE32774 pep supercontig:CcrdV1:scaffold_10841:776:2240:1 gene:Ccrd_024011 transcript:KVE32774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MAFSSNMAISPRKLRYDVYSYSYQHDSKTPLVISVLASLIERTLARNERIGRKCGYLSAKHINASKTRVFDCNETPDMTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCRSLPDFRITGRNVHRLLITTIMVASKYVEDMNYRNSYFARVGGITTEEMNTLELEFLFLMNFKMHVNVSVFESYCCHLEREVSIGGGYHIEKTLRCAEEIKSQQRGYNQIARITL >KVH88358 pep supercontig:CcrdV1:scaffold_1085:84555:86335:1 gene:Ccrd_024015 transcript:KVH88358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEEKAKGKELNDLLKIVVSKPKVPIEQEIILIDTSCHIREIYSNHHFEISSLKILFNLHRLFCSMSCSDPKLDSHRFKDILDEAISTGEVKSMKAYQKWAKQVSETKPPTTRLQRRGKSKRESDDLYIIISQRQSERKGRLDAMFSSLVDKYGGDEPSSEPTDEEFEAAREKLKKRKGFKKSK >KVH88363 pep supercontig:CcrdV1:scaffold_1085:12759:17829:1 gene:Ccrd_024012 transcript:KVH88363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPTQISSLKPSNAVLPHPTPRLRDHFLMGKKLGQGQFGTTYFCREKSTGIDYACKSISKRKLLCKEDYDDVWREIQIMHHLSEHQCVVRIKGAYEDNIFVHLVMELCAGGELFDRIVQKGHYSEREAAKLMKTIVGVVEACHSLGVMHRDLKPENFLFDTPAEDAQLKAIDFGLSIFYKPGQYLSDVVGSPYYVAPEVLHKHYGPEIDVWKSDSGIFRQILRGKLDFESEPWPGISESAKDLIKKMLDRRPQQRITAHGVLCHPWIVDDRVAPDKPLDSAVLSRLKHFSAMNKLKKMALRVIAERLSEDEIGGLKQLFKMLDTDNSGTITFEELKQGLRKVGSNLMESEIKELMDAADTDSSGTIEYGEFLAATLHLNKIEREENLLAAFTFFDKDGSGYITMDELQQACKDFGLRDTQLDEMIKEMPLALIIPSD >KVH88361 pep supercontig:CcrdV1:scaffold_1085:106990:107635:-1 gene:Ccrd_024016 transcript:KVH88361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MVILSIIIKGWISHGYMFHMYDSEGKEITLTSISSFHMKNEGSSMYYDRVPLAHITINPSLGEDKQSTIFNTRAYMTFIGPDQTRTYRACNPCNKKVSESTGLGYWCERCQFSDVSGEAWFSILNEETKTLLGCSADELAKMKAQ >KVH88359 pep supercontig:CcrdV1:scaffold_1085:82255:83199:1 gene:Ccrd_024014 transcript:KVH88359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MSSWRRMSSWRRKSVPRNSSMQTRMNTREESGENRSGFFFAVKEVSSLDQGSQGNQRIAQLEQVIYKLLSFLQEISSLSQLHHDNIVRYLGTYTICISSLKIAKIGLVPRLPKSVPFGP >KVH88362 pep supercontig:CcrdV1:scaffold_1085:17941:19835:-1 gene:Ccrd_024013 transcript:KVH88362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I9 MAEPNSSVPKSTSPAAEVHIIYTDQPQGDEPESHHLRTLTSVLGRFREFFVFLVSEEAAKGALLYTYKHAASGFSAKLTPDQVEEISKQPGVLQVVKSRTAELHSETPRNQC >KVH88360 pep supercontig:CcrdV1:scaffold_1085:107655:120664:-1 gene:Ccrd_024017 transcript:KVH88360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MFKQYAGRKVERTYLDRDCRPFCPSRLAIDLQELHSLHCTCPLLLLPFTSHDQSTTRLTPSKKMAMMRKVQPLASLNPYQATWKIKVLLTGKGSMHTFKNRRGEGCVFNVELTDEDGTQMQATIVIYRSSRKKSFVVCLWNDQATYLGQELLDMVDKSPIVAIKSLKVGDFRGFSLSTLPNSYIRINPDISQCLKLRSW >KVH88357 pep supercontig:CcrdV1:scaffold_1085:127978:136582:-1 gene:Ccrd_024018 transcript:KVH88357 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSGLWRWWKIRDIVVATEAGARISSPYTSKRGFVNCLLHAGAAGPYIRPRSLTGVQTRYKWDHGGGGSDDSGSRTPTMTRRIRAEANCPRCSKQMNLVFSNNGHLIPPSSSAEDESESPPDSGSDANRKGAYQAVNLCPNCKTAYYFRPYKMSPLQGRFVEIGRVKNSNVNGKDKRQANDEDDFGNKLRASFWETLRSYGSEPPENWTNPPPPPPPLSGNGLAVHTPPVPPFAPGMNVVRAGGGGEKYGWGGSNLGKNLPTPKEICEGLDKFVIGQRRAKKVLSVAVYNHYKRIYHASLQKGPGADPGTSRTEDDDDNVDLEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGDDVESILYKLLTVAEFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFVCGGAFIDLEKTISERRQDSSIGFGAPVRANMRNGAFTNAAVTSSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTEDQLVQVKLHFSEKAQRLIAQKAMAKNTGARGLRAILETLLTDSMYEIPDAKTGNDRVDAVVIDEESVGTVDSPGCGGKILRGDGALENYLAKTRYKEQTEADGQQLAEGEEENSSKAMSM >KVH88356 pep supercontig:CcrdV1:scaffold_1086:28656:34817:-1 gene:Ccrd_024020 transcript:KVH88356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MSPAAPIEPAKKTLPESSSSGELSPERADLEKCRRFGDLRGVQWRIDLGILPSSSSSSVNDLRRVTANCRRSYAALRRRLLINPHIPKDGSNFPDLVMDNPLSQNPDSMWGRFFHKAELEKMVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCIRHPNYGYRQGMHELLAPLLYVLQADLERLSEVRKQYQDYFTDNFDGLSFNETDSAYKSDLEKGSPHMEDAIKNQKDQVKDNYLNELDPKIQTIILFSDAYGAEGELGIVLSEKFMEHDAYSMFDALMNGDGGAVAMANFFSHSPLNGPQNGLPPVLEASSALYHLLSIVDLSLYTHLVELGVEPQYFALRWLRVLFGREFALEDLLVIWDEIFALDNSRLSGGSEHESEVSYGVLKSSRGAFISALAVSMILYLRSSVLATENATSCLQRLLNFPEDVSLAKLIKKAKSLMTLAIDAINSKPVGVRGQSLSSDLVAPGSPRSLVPESYWEEKWRVLTKEEEQRRQATPQKLVQNRIKGWSEKVKMRLSRTESACLLSKVNHKIVDRSRSPIRKNLLDDLAHQLGSEIDEKLASEDDSKVRFSSVNGGSEENSSIFSDPVSPRCFAINNDHGNETERSSVASNSSVIGNHPAALYSATEEVASPLPVSDPPEDMLFKEVQNDCTKERAVTNLRERRLLAGKFQWLWMFAKTGPEGSAEKGVCTSESSISPVTECNQKNAVPSSSTTDGTSKPEAVIESVLEQQKAQTEPVAKIALVGRGQITAMAALKELRKISNLLSEM >KVH88355 pep supercontig:CcrdV1:scaffold_1086:25718:27642:1 gene:Ccrd_024019 transcript:KVH88355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MALNSEPTSVDLLQDSTPVIDYSLLTIGTADDRSKVVHDLGKACQDWGCFMLVNHGIPETLIHEMMNASNEFFNMTKEEKVEFAALGVLDPIRCSTGFNPVNKYKDSLWREYLRLIVHPEFHSMELVKGVSESLGFEASYMYEELNLDSGFQLLAINYYPSLAEFDTPRGLMPHTDHGLLTLLYENGVPGLEVLLNGKWVSMSDVPNANGKYKSKLHRTVVKDERKRITLVNPNGPSLDTIVGPSSRLVDGENNLPGYLPMKYSEYLELQTKLTMAGKHAFDKVRLHI >KVI03127 pep supercontig:CcrdV1:scaffold_1087:10618:11493:-1 gene:Ccrd_018579 transcript:KVI03127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MPNFTRKMLITPLQKSHFLLLDCDALPPPPPPPPPPLRHSSLSPLIVIMLCTAATTFSFLCYILIIKACRTFQKRFRTRTHGRNINTNETHQDFVDREHGSIVFHPIWLINTIGLDQSVIDSLGVSVYKKGHGFINSDCAVCLGEFQDEENLRILPQCNHAFHVFCIDTWLRSHKNCPVCRASVTNNTKIELNPIESNSQEEIPIGDLRNETQEIDKCLFGGIRVQSDLIDHRRRQEPEPEPETMRRSVSMNMIQLSAGNGDQEPKKANMLQKTTENRSFQIRGDIKSESL >KVI03126 pep supercontig:CcrdV1:scaffold_1087:36066:42018:-1 gene:Ccrd_018580 transcript:KVI03126 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, ATP-dependent, DEAH-box type, conserved site-containing protein MSSDQLSPMYRNRASFGMKHRFFDQPHHHRRRDRPQGSPLSCTSTTHRRPNFVIELRAQNKDHNSYNRHEIVSLMGIFKCGPDDFFIYEKGEVAARFFYQQWSNALETVVYLWDVLLNGGLSFTPRLVRNLNVPSDTDELYSRLRVLFSDRIREFMKGELVIKWERKLEEVTNEIAKLDVLLKKPKRVSIHSELYKKKEGHVRERTLIDRRIREFKTGMECILDYVNGKVFDTCVVKVLMLKDNLDWSKIYWLMKRECRRLDDGLPIYADRKDILWQIYRQQIMVLIGETGSGKSTQLVQFLTDSGVAANKSIVCTQPRKLAAMSLANRVQEESRGCCQDNSVICSSTYSSFQPFNSKVVYMTDHCLLQHYMNDTNFSWISCIIVDEAHERSLNTDLLLALVKKLLHRRSDLKLIIMSATADAEQLAKYFDGCGTYHVVGRTFPVDIRYDPGISDASCDSRFVAPYVTDLMRRVGEIHRMEGEGTILAFLTSQMEVEWACEQFKAPSAIALPLHGKLSHEEQYRVYLNYPEKRKVIFSTNLAETSLTIPGVKFVVDSGMVKESRFEPSTGMNVLRICRISKSSANQRAGRAGRTEPGKCYRLYGESDFRSMPAHQEPEIRRVNLGIAVLRILALGIHDIEGFDFIDAPSNSAIQTAIRSLIQLGAVKLENGVYKLTKDGRNMVKLAIEPRLGKMILKSFENRLGREGVVLAAVMANSSSIFCRVGKEEDKQKSDCLKVQFCHRNGDLFTLLAVYRKWEQVPSDKRNQWCWDNSINAKSMRRCQEAVHEMESCLEHELNIIIPTYWHWTPEVYAESDKILKNVILSALAENVAMYTGNDSLGYEVASTGSCVQLHPACSLLIFGERPSWVVFGEIIAMPNQYLVCVTSIDFESLHTLSPLPFDISQINSRKLQSKVLTGFGSTLLKKFCGKSNSGMKQLLSHIKDAIKDNRIGLEVSFDHNEKCLYPDRHTLPPVALVGAGAEIKHLELERRCLTVDIFLNGPNDVEEKELLSFLENHTAGNICGFHKFGGTSQDTDKNEKWGRVTFLTSDAAERATKLSQFDFKGASLRVVPSRNTFGGESKFSSFPAVKAKVSWPRRPNRGYAFVKCGSGDDALAMVKDFSDVVIGGKPIRCKQSMKDVDSVMLTGIDLDIFETELFDALSSLTSRKIVDVSLPRGNAAEQPSVINLEEALLREISSFMPGGNSKNECVRVHIFPPEKFDYLMKAEISFDGSLHLEAARALEQIDGKTLPGCLAWQKIKCQQQFHSSVFCPAPVYMVIKEQLHSLLRNLKLRKVAEFFFERNENGSVRVKISAKATKIMAESRRPLEQLMNGRTIIDARLTPPVLQLTFSREGFSVQKSIQRETGTFFLFDRHNHSFRVFGPLNKLDIAHQRLVQSLVALYESKQLDVHLRGATFPPDLMKKVVEKFGPNLHGLKERFPGSEFTLNTRHHIIAIRGSKELKQNVEEMINEIAKSSAYPSKKVEGQTASCPICLCDVEDGYTLEGCNHEFCRMCLVDQCESAIKSHDGFPMRCAHEGCNAMILLVDLKSLLLAEKMDELFKASLGHFVGCSGGKYRFCPSPDCPSIYAVAEDGDSGRPFTCGACSVETCTRCHLEYHPFLTCERYMEFKRDPDSSLKEWMKGKEEVKKCPVCGWTIEKFDGCNHVECRCGRHICWVCLEHYKSSEECYGHLRNIHGAIV >KVE30686 pep supercontig:CcrdV1:scaffold_10871:3994:4236:-1 gene:Ccrd_024021 transcript:KVE30686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFDLGSTRNAAACAAVGKVLAQRALADDIHNVVYTPRKGEKLEGKLQIVLQSVINDGVXVKVKIKKTKVRKDGFRSADY >KVH96409 pep supercontig:CcrdV1:scaffold_1088:57056:59117:-1 gene:Ccrd_001506 transcript:KVH96409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAQEEEGRSPAFTLSFYSSQGIWTILFLSSSVYGRRNRHAGTTVGGGSKILGQMFELCRFAIMETFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCREIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYHNDNGAMVPLRVHTILISTQHDETVTNDEIAADLKEHVIKPVVPANYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVACGLARRAIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILKIVKENFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRTDPDFTWEVVKELKWEKA >KVH96412 pep supercontig:CcrdV1:scaffold_1088:72725:83322:-1 gene:Ccrd_001505 transcript:KVH96412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MEPQMCFDCLQRQIQTDFSDRLLFNYALAGSALPFGSNAVVQVLNSDGEVSPHFILMYLRINKDACFTKYINEHCPEQLTGNNCKTKDDTPLPVLLQDQQEVSTGNLCHKPVPLEIKANEPLFLSNGGGKTSLPGLGCSSSTCYFSTRFSCMRTLTALAPVSQIGPASFPAFEELTSSFLSGISEDHVLHSLSLLIQGKATGRDSINFLNLVGVPSFTETSFPGCLRHPNIVPILSMMKSSTHINLVLPKTPYTLENILHYSPDALKSNWHIKFLMYQLLSPLRYMHGLGISHGSICPSNVLLTDSFWCWLHIGDTLQSNSNLSRSKMSNAASPRKIGCCTKGCHSSELYAGLKLSHPTDWHTGFVRWWSGDMSNFDYLLFLNRVAGRRWGDHTFHTVMPWVIDFSVKPDENNDTGWRNLSKSKWRLAKGDEQLDFTYLTSEVPHHVSDECLSELAVCSYKARRLPLSVLRTAVRSVYEPNEYPSTMHRLYQWTPDECIPEFYYDPQIFHSRHSGMSDLAVPSWAGSPEEFIRLHRDALESYRVSCQIHHWIDIIFGYKMSGQAAIAAKNVMLPPSEPTKARSAGRRQLFSLPHPARWGVIKKACQHNTDSALSQRQMNEVESVKHLLHDTSNLRALEEAATFIEHASNLSPLYRNDLVTDDSASEESISQAKVANDTFEYGTYSKLPSIIDINFLLQTIDSDDNSTPGYQDFFLWKQKASRSPNLSVDIANDVFSIGCILAELHLKRPLFNPTSLTQYLHSGVLPKRPSVKSLLESPYFPATVRSSYLFLAPLQVLSKDGSRLHYVASYAKCGALKSMGNFAAEMCAPYCLPLLLAPLSDTEAECGYIMLKEFLKCLNLKAVKALILPFVAWKHFLLASYSETLLQTIGYLRMKVSLLQDSFVRELWSTIGKQIYLENIHPMVLSNLYVSPHKSSSGAASVLLIGSSDELGVPVTVHQTILPLIHCFGKGLCSDGIDAIVRIGGLFGETFIVKQILPLLKNVVRSCIDVSNVSRPEPVQSWSSLALIDCLMTLDGIVGLLPNEVVVKELIEDGGCLYIQLLMMANLGIPVFQVAATSLVAVSQQIGPELTSVYVMPKLKELFEKLAFSEETRSGPGCGSQKASKNKVEDEQMENKMDLVLLLYPPFASLLGIETLRQCCTTWLILEQYLLWHHNWKVGYFSCFLRYPFYEHKLWEYTEESSRGAVDINARRASYSKNPTAEYNPAKMLLHGFGWSIPQSPGDKGAKNSRPHKRQHDHQNNSAQRHVTTSTTREQEPWHWFPSPAPSWDGPDFLGRIGASSNEIPWKISASVVHSVRAHHGALRSFAVCQDECTFFTAGVGPGFKGSVQKWDLSRISCSSGYDGHEEVVNEICVLASSERTASCDGTIHVWNSQSGKNISFIAEHSAQYGNSSTSASRIHSDQANMLDFSSLGTGILSSAYDGSLYTCMHHLETVNRLVAGTGNGSLRFIDIDQGQKLHLWRSDPVESSFPSLISSICSCGSTNGGATSPSWIAAGLSSGHCRLLDMRSGNLITSWQAHDGYVTKLAAPEDHLLVSSSLDKTLRVWDLRKGHNDGVSGFSIWGQDVISISRSKIGLSSLSQQEGQHRITPQYLYTGDRESRNMSVLSNITILPFSRLFLVGTEDGYLKLCS >KVH96408 pep supercontig:CcrdV1:scaffold_1088:107722:110054:-1 gene:Ccrd_001503 transcript:KVH96408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MAKDGSKNSQADGNENQFEKTLTNPVDIPLSRTPLIAIPDPSQFSKEFFQEVLIHNGFRDKSLEAGSTSNRRKYDSAHSTPARRTTYAGQLGACTGPRALLSTGERAGSSSRVSRRISNVSCEPPPTEVPHFELVEDPSFWNDHNVQEEENRRDERLEYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKEGVYVENLTEYNVKTVDEVLKLLLQGAANRKVAGTDMNSESSRSHSVFTCVVESRWEKDSTTHLRFGRLNLVDLAGSER >KVH96410 pep supercontig:CcrdV1:scaffold_1088:47208:52736:1 gene:Ccrd_001507 transcript:KVH96410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MEAKFGGNPHHYYGPVVSDLKAVGKTSMEWDLNDWKWDGDLFAATPLNAISSDCRSRQLFPVTSGADANTGVSNSSSSCSDEGKRELEKRRRVVVLDEEEQVGDEVGALNLNLGGQMYSWEGKSGKKSKVGGATPNRAVCQVDDCQTDLTGAKDYHRRHKVCNLHSKSTKALVGNVMQRFCQQCSRFHALQEFDEGKRSCRRRLAGHNRRRRKTHPENTINGVSLNDERSCSYLLVSLLRILSNVQSNTSEQSKDQDLLSHLLKNLASLAGTVNDKNSSGLLSGSQCLQDAGTSSAPPEKEPLQPVGETVNPSRLDMMHRGVLGTPDPCQITISSNNKAPTEANTVEATVGKMKLNNIDLNMVYDDSQDCMEPTESFETPENTDNVPIWLRRHPHKSSPPQTSGNSGSTATQSPSSSSGEAQSRTDRIVFKLFGKDPNELPIVLRNQILDWLLHSPTDIEGYIRPGCIILAIYLRMDNSSWDEVNLRVTQCIKIANLVLGICVINQISKKGSTFNWNKQDQDIKISLCYDLSSHLRKLLDASSNSFWRTGWVYTRVLDHVAFVCDGQIVLNTALPHKSHRKSSILNITPIAVSASESTQFSVKGFNISWSTSRMLCVLEGSYLLQTSCSDLMEAADSLIKHEDTQSLSFSCPIPHISGRGFIEIEDDSLSSSFFPFIVAEQDVCSEIRTLESDLDVVETSDDTEREKKEIEARTRALEFVNEMGWLLHRSQLKVRLGSMDPSSDSFSFKRFRWLIEFSIDHDWCAVVKKLLGIILNGAVETAEHGSVEVALVEVGLLHRAVRRNSNRMVSLLLNYHPENVSGSVLFRPDAAGPGGLTPLHIASGKDGSESVLDALTDDPLLVGLDAWRNSRDSSGLSPYDYASLRGHYNYIHLVQRKMNKKRSEKGHVVVDMPPTESPLFKVAAGFETEKGVVVTRAISSCSRCERKVAYYGGGRSSLAIYKPAMLSMVAIAAVCVCVALLFKSSPQVMYVFQPFIWERLKYGAS >KVH96411 pep supercontig:CcrdV1:scaffold_1088:84500:94574:-1 gene:Ccrd_001504 transcript:KVH96411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like, KLP2 MSLVDVAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSMCAANETLSTLKFAQRAKLIQNDAKVNEDASGDVTALQQQIQMLKVYNKKQTQICHLWIFKAFDMRFFEREDLSGENISDASNVLNDQKRKIKNFGATLLNESSGEKLAETGFRAIQSPSKQKNRLALPTEDVQCTKMLLRFREEKIKRLELLANGIISSDSYLMDENNALMGEIQQLQARSDRNPEVTSFQNCYERGEREALLTEVLALHDQDGKSAMELEEYKCANSKLIRKVDDLKSELLKYMTYSQTAVYPVTRLSIDLGNEMEVSDQVNDGALLDNMGLIRTNRSPSTNIVEELMDARTLLDAMKSQQVRLVEELQYVRQENDRLVETLSNSNKAQTPPMLDHESHISESGWFLNQNLPSVNGDSIDGMMDLQARLDKMTKELEDVGLPNDLDDHISHSSFKDQVDLVHEEVENEATNAILHLQEELASLQVKYHRRLCSMSEENKKLRRIIAAKEDEVYKLHSDWERASLELTSFLLDGSKSLKDVSGQIESIAHSFPSYNVWVGEHAKKAATVCLEKEQTILQLEKNLVDAQSTIVQMQEKLSSLRSATIALTEIQYTEHDARIKEAYPLSSKLDDKTNMIDFQDNEFMSKEYQICEVVDNAKPTLLEAKGLSDQNKIFQTDNFQTDLIRSNFGTSTIESKHDSEINIYANSSKLMDIEDQVEVARQGLEETKIALNMSSIDAEMCLSTFQAEVYNAFSLYKDLANDFMKGICEMRKDLVEFKETNKMAEVPRVETSLEEACTHQMQENQLLILHQIIAELVSINNRLDNMKAYLSSIHDQVISEDLEGCETDTSTSSYILSDDEDVQENILVEDCCEVSLGVTEAIVSERGSKYSFNQESEKQDRDVISLSAGSVAHLFLKKQFIMAYEAFIKLDVQLAAVFKDKEYGYHSKAGIGFMQSLGCIKLDEKDTSKDVLNELQNPETSTRQPCDLEMQEDAACCFTARKFVAEEKACKASSFFSKFEETCATVDEADNMLNALLKANEEAKMLTGRWKQAAEEAMVEKASLIEEIKQLKFDLRLRDEEHAVLEEESRCSLVELANSVSMLEGSFGHLQREAEDLCHLIYADALAMVQDIHHSMCKSRSSLQDICAETMERSFECLVIQQCHIGEYINKFQLGVNWPSRLQEEIMEHVETLQFGQNHLISNAVDGGEEENYSALAARNETGELGASSDDLIDENLKLKKELERRNTLLNGLLFDFSLLQESASTRKDIKDKAEKLSTALSQVQHELKMKTNQLDSMLDGYEKLECCLADTETALSASKSCLRHAEETVDALSYQNAELRSLLEDLYLKKSETEKQLEEQKEIVISLEKEIHCTTSSAQEQFLFSLEGITDDLKRVSSERDQLCELVSSLQGRLEMAYAIADENEAVAVEARQESETNKIYAEQKEEEVKILEHSVEELDCTINVLEKRVNEMEEELERHHRIRDSLELELQSLNQRLSTVENFRDSRDSDNNSVDQSVDQISRKLHNRCRELQEVHVRIKDLEDERAEQANEIKQCKEYISELVIHAEAQAFQYQQKYKSLEAMVSVMKTDSSKSVSEAPSSDKTEKSLVRARGSSSPFRCIGNVLQQMNTEKDQELSLAKLRLEEQEALASSRQKEICMLRTKLAAAESMTHDVIRDLLGVKLDMTKYANLINQKQLQRFIEDAAQQALEFTSMEQEIRKLKREMNDLYEERDRCISEIHCKEADMLAIKMSMGQLKGREQLVTAQNEMLKSVVPTLRRKATDGSKSQNTNKIRKQSKREQALLG >KVH96407 pep supercontig:CcrdV1:scaffold_1088:114429:122975:-1 gene:Ccrd_001502 transcript:KVH96407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGRRCSSNIGPLNNTLSALIDSVFISHFGGSDRRLPISNNLRHLFRLPADRISRARVPPLEKVYMRDWIGFPTTLLTRLKRE >KVH89341 pep supercontig:CcrdV1:scaffold_1089:66956:74651:1 gene:Ccrd_008668 transcript:KVH89341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF677 MKFKLSVPTIKLSTCNAAKHTSSSSSLEVDVREEYANAFRTQSYIDFWTRVLDLTHGGSTINQTVRSMAAARLPSYRLFAENLLDPDQPTVIRVLALAKHHAENHSLLSDYFSETANASIVCGSLLEDIDQLRSKYRSLQTTLKSMEATKVSFVSQGPPLAARLADFCNSPNPFMMPTSSPHGVQAVQLECYKLLKRLESSRDRAQAKLKRINNVKYGSAITLVVLTVSITVIIVTHALAILVAAPGIIVATMELGSSQKLAKLSTQLDVAAKGTYILNRDLDTISRLVARLNDELEHMQTTTKFWLKRGHNRIQSLEEFGRQLKKSDLSFCEQLDELEEHLYLVSSKFGYNSECMDSEFDIKSEVMVPEFRSEEKFPSAKFFMVFFRVRLHSFTEFWTNSAYTVHS >KVH89344 pep supercontig:CcrdV1:scaffold_1089:95615:97465:-1 gene:Ccrd_008670 transcript:KVH89344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSITTIFFFLFFFICFNGPTMADSDDTIETNFRLPSPLPKWPSGGGFAAGAIDLGGLEVSQVTTFKKMWATNEGGSDNVGATFYDPVAIPQGFHSLGSYAHPNNIPFFGHILVGKDVSNDPSKPTLRSPIDYTLVWSSESVDIKKDGEGYIWVPVPPDGYKPVGFVVGGSSAKPPLDKVRCVREDLTDTMNMTDLETGMWVWGSGNDLDLDGLNVYASMAGFVVGDPSVGNDASRPSCLKNSNGNLSNSMPNLNQIEALIQAYAPVIYFHPNEPYLPSSVDWFFQNGALVYQKGVESKPKPIEIHGSNLPQGGSNDDTYWLDLPADGSSKDRIKKGDLEDACGYFHVKPVSGGLFTDIAIWVFYPFNGGSRAKVEFLNIDLGKLGEHVGDWEHVTLRVSNFNGALHSVFFSQHSWGKWVSVSNLEFHTGNKPAVYASLHGHASYPKPGCVLLGSGGGDIGVRDDTAKSSKVMDTGLHAVVVAAEYLGSAVVEPPWLNYERKWGPKIDYDVDKEMKKVKRVMIGKLKKAFERFVGGVPKEMLGEDGPTGPKVKTSWSGNEAV >KVH89342 pep supercontig:CcrdV1:scaffold_1089:82354:84935:-1 gene:Ccrd_008669 transcript:KVH89342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF966 MEAQEGGGGGGGGGGGGGGEVRKVHIIYFLSRKGRIEHPHLIRVHHLSRNGVRLRVLSVSLKSDHRQLMCVIIFFCICTCYSLRRVNDEDVKRWLSELRGKDMPEGFAWSYKRRYKAGYVWQDLLDEDLITPISDNEYVLKGSEISFITLSNDLSSYGEKEVSKPKNSHPFKVEIVDSRIPLATKAQENLIDLSTKTSFEIEELPSFGSETSTDDTTKHQEDYKFVTATKHEEMQNQKKIEINSFLETFLNKNKNDSKSKKGDNQKGSCSSKASPSSNYSFRKSSSYSGSGASHTFLNLITCGIVDTNESAVTVMNQRKNGINTMNASSKKENQEGTNIGQVVKGETLGGSERKEGSKTSYNLGSSNYSIKTFPAAYKFGNGPYCSQCGRQFNPEKLHTHMKSCRGMKALAKAAKSKS >KVH89343 pep supercontig:CcrdV1:scaffold_1089:124988:128748:-1 gene:Ccrd_008671 transcript:KVH89343 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MNLFSRSTVVLCLVTLCYAAGNVVLGSGEKVEILKHLNRLNRPSMKSIKSPDGDIIDCVHIYRQAAFDHPSLKNHTIQTRPNFHPEGINDESIKVSAMMKSFTEKSSSKKQPTISQLWHENGKCPKGTIPVRRTKKEDILRASSIQSYGKKNNLSLVAHPTSVDLDLINQSGHQHAIAYVEGEFYGAKATMNVWDPKIQQPNEFSLSQIWLLGGSFASDLNSIEAGWQVSPDLYGDSNTRFFTYWTSDAYQATGCYNLLCSGFIQINNEVALGASISPLSKYHGSQYDISILIWKVLGYWPASLFSYLSESASMIQWGGEVVNSASDGQHTTTQMGSGHFPEEGFSKSSYFRNVQIVDGSNSLRAPKDVDTFTEQANCYNVQTGKNDGWGSYIYYGGPGRNPNCP >KVH92219 pep supercontig:CcrdV1:scaffold_109:203463:208291:1 gene:Ccrd_005736 transcript:KVH92219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIPSDGDYKPRSALGDVTNQVGKRGFSFISTSGVKSGDGYKKDEGFQFAKKECLRVDNFSKENFHKEIAGCVLRAHSCSEINSLKGNVVNSISKITCEAKEPCTSDGRRNATSSIAVKAGNAMSESCISTPTIPTSKSDKLGAHVIIETEEDEKSDSAVVENASKEKVENSLGMDDDAGIENLDSSKDEYLDCSRLPESQESRCGLERCIGQKGDGFSNMCMDLIKACPCSFCTKAAYIWSDLHYQDIKGRIAAIKKSQKEASILVHQNSRDGATGKYGQGSSEKFSNLESDLTGQWMSLFLHMEDIFVREGSQLERSLSILKELRDNCKTDSQKQ >KVH92225 pep supercontig:CcrdV1:scaffold_109:476782:503454:-1 gene:Ccrd_005754 transcript:KVH92225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase-like protein MVAKKFLVHYQGSNFDVDYETEDGFEVFKFQLFSLSAVPPDQQKIFGGADDRIVSDDSDLMAISDKLRLVSIQDGNPQLDSEIVRSDEELARMLQAEEEALMFQQFTATGDNRQFEQRVRPYVDQVLLYEDPHRQEAARNTVPVEKLEEKALVALAKEGNLKPSKSKLDHAFLLQLLFWFKQTFKWVNSPPCEACGSETANQGMGVASSSETAYGASRVELYRCNICPRITRFPRYNDPIKLLETKKGRCGEWANCFSLYCRSFGYETRLILDFTDHVWTECYSMFLGRWMHLDPCEGIYDTPLLYEKGWNKKLSYTIAIARDGVHDVTKRYTRKWHEVLSRRNITTETAASSTLTNITRECRKNLTSVISALEERDRKEVEELDKNLHSQDDDSLSLPGRLSGDKEWRALRSEIGSDSLSSSSCPVRKCIDEHVSSIYNAFSPLISRLTEFSSKNKALEGLHFVRGVLVDLKKSPFKTRRVVIDSNLKDAKFFIRELWPSFNLMLDALSLKSNLEANEKVEICVADEPVRTSMALPVVFHALDDVLYNIKHCNELSKSSLSWPLLKLNRICCGSVLASGEELPFGIATSAFDGTRLSKWEEPNGSKGCWLIYKAMGVQAYELCSYELMSANDAPERDPKDWVIEGSDDGGSSWRVLDEQTSQMFHNRFQRKTYTVKLQGFFANVFRFRFLTVRDGQATSRFQIGSIDLYASSNSNVNQKSLS >KVH92231 pep supercontig:CcrdV1:scaffold_109:300289:305730:1 gene:Ccrd_005743 transcript:KVH92231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily QSWSNWRFLLRVVYIKPSVLPFLPILSPPFPLYSLSTFHRFRSDRREESEISSIMAVVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWSLIPSYIRDSSVAVIVYDVANRQSFLNTAKWIEEVRTERGTDVIIVLVGNKTDLVDKRQVSIEEGDGKAREFGVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKSSGNTSQSEQQGGGCAC >KVH92216 pep supercontig:CcrdV1:scaffold_109:183979:196782:-1 gene:Ccrd_005735 transcript:KVH92216 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein MKATMSDDEDEDTESRDEGDDGGRRRWWKATMSDGEGDDERWRSSTGDRRWRPAMATGEAQQRRVMNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNIVRMQLHRDGNVLFAGYKLPHPLQYKIIVRVHTTSQSSPMQAYNQAINDLDKELDHLKAAFEAEVARVSGEY >KVH92211 pep supercontig:CcrdV1:scaffold_109:233198:238308:1 gene:Ccrd_005739 transcript:KVH92211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MAAKISGISTAASFRDQSLALGFDSQTSTRSRTLSFSSKLNSNLSVRSLETRRRHKSSTICSAVIVESNSEQMELDTTLSPRVNSVKPSKTVAITDQATALVEAGVPVIRLAAGEPDFDTPAVIAEAGINAIREGYTRYTPNAGTLELRTAICKKLKEENNISYTPDQIVVSNGAKQSIVQAVLAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPASISENFLLDPELLESKLTEKSRLLILCSPSNPTGSVYPRKLLEEIARVVAKHPRLLVLSDEIYEHIIYSPAVHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACGKIQSQTTSGASSIAQKAAVAALGMGYAGGEAVSHMVKAFRERRDFLVTAFRELDGVKISEPQLLLWRGG >KVH92232 pep supercontig:CcrdV1:scaffold_109:309072:316002:-1 gene:Ccrd_005744 transcript:KVH92232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSTEEGCDAYSVVVLSDEGNPGLEFELPTTLSTPAEVRNPLKSQLSLDARLSNQSYINMMVENAIAYSTCTIDVDIEKGKSETRSKEETPGNLKNDGVLTKSLQKQISFDMGGKYMQLLMNHSLMLSKFSTRDKTAAEKVLDAPRSRKYKRAASMNSRKVVLLFSVLSSLGTIILIYLTLRVRQIGDASIHSD >KVH92227 pep supercontig:CcrdV1:scaffold_109:385438:393904:-1 gene:Ccrd_005749 transcript:KVH92227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MNGGDERRRRQTALEEADVRPAGRRRPTDDHRLVQMGSVGVLRNTTMVCVPLMSHSVEQMVSDMYQAKVEGADLVELRLDCLKEFNHQQDLQTLLRRKPLPVAVVYRPKWEGGLYEGDENSRLEALLLAKDLGAEYVDFELQVAYDIMEKRKFSQQKSCKIIVSCFVDGVTSLKEDLSHLITRMQSTGADIIKLVTSATDITELSRIFHLLSHCQRGLISQLLGPKFGGVLVYGSIEGNLVPGLPTLASLREAYGVEHIDADTKVFGLISKPVSHSKGPLLHNPTFRHVGYNGVYVPMFVDDLKQFFNVYESPDFAGYSVGIPYKEAVMEFCDEIHPLAKSIGAVNTIVKRLSDGKLVGYNTDCEASITAIEDALKARGLVNGEASLPSPLTGKQFVLVGAGDRAKLLALAVSGEARPFEELTKFQPEKGAILANATPIGMHPSKDRIPVAEETLRDYSVVFDAVYTPRKTTLLKDAESAGSIIVSGVEMFLRQAVGQFNLFTGGKAPEEFMREIVLSKF >KVH92233 pep supercontig:CcrdV1:scaffold_109:324187:333908:-1 gene:Ccrd_005745 transcript:KVH92233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGTKRKAAAASRKDEAASTSAEATAATTTTTAEQQQEQQQKPTKAVRKTKRSKISKPESEPEYFDEQRDLEDLWKQVFPVGTEWDQLDLLSEYKWNFSNLEGQSKVTCIPVVVAVVSPFPPSDKIGINSVQRESEEILDMKQMKMDWVPYIPLGKRGSSVERLKSQIFILSCVQRRAGLKHLKLDRVKKFEYCLPYFYHPFKEDETEQSTIVDIMYPVEPKPVVCEFDWEMDELEEFANELIAAEELSEDQKDAFKEFVREKVREGKRANREAREKRRKAREEMSQEKVAAFQNMRFYKFYPVATTDTPDVSNVKSPFINRYYGKAHQVL >KVH92234 pep supercontig:CcrdV1:scaffold_109:341425:347152:1 gene:Ccrd_005746 transcript:KVH92234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSLNLRRISCPSVKYDYGQHFSGNAVTLSSSNLRRRRLHNRCLASLSTNSSNSSSFRPSVASSTTFTTSVGSPPPIPPLTQWNLTQRHIIVLNFIACAGGLRSENILLVDIYEDQVLFSSQPSILFYYRLSIFPCNVWEIKRQWLYKAYPIFVAGSDVEGQKFMPYLISLDALRVKAKNCFLNAAISATWLFCSAIPTLLAFKRAAESLEKLLDVTREELPDTMAAVRLSGMEISDLTMELTSVQMVVPMTSEPAAGPVVARTARGIRETIVKSRAFMQMFFTITQFSKVAFKYLTSRAKSNA >KVH92215 pep supercontig:CcrdV1:scaffold_109:148217:155068:1 gene:Ccrd_005732 transcript:KVH92215 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGVSNSKAETCEALRLCKERKKFIKQAIDSRYNLAAAHVVYVQSLRNIGIALRKFAEAEFPLESSSKTPLPSPPQIGCNGATGGDGGGQSGCPSPNVRLSYMKSGGDAVVTVNLNPSKISNNNKVYVDDVESLSFTMSPPPPPPPPSWDYFHPRDGSFRFMGHDGFQVNFNDTNENEQFTETDADLGGFVTPPESVKKDRIRNDQDGHRDGDLVATGSATIGSELNGTNLISKNAGMEESELKNGCLTEEETEDPSEFIAHRAKDFLSSIKEIENYFFRASKSGNEVSKMLEANKIQISYAEARGSSMASSLSLLTCFRRETALFLQEPPHSPKVITWKRSTSSHSSSSNPPGTPANDDNENNFASESIRKEYDQTCDQLRHQFAKDLKPHVMDKTRAVAKDLHSRMRVALHTVDTISKRIEKMRDDELQPQLVELIQGLIRMWKSMLECHHAQYIISSLAYCSKISKTGTHHDESKNQITVDLQHEIECFGSSFADLVNSHSSYIESINGWLHNCIIQPKERVKNRRAFSPRRAVAPPIFVICRDWSTGFRNLPSQKLSDAIKDLLAHIRRLSLEELEHKRISSIENREETKNGDVRTSSLSTIHSGLTKVLDRLTNFSEASLKMYEDMKQNTETFGNVYSSKGNAIILAEGYKQWGRQRGGTVATGVVRARETMVCEREKETGGSDGHGEIDE >KVH92229 pep supercontig:CcrdV1:scaffold_109:261019:283605:-1 gene:Ccrd_005741 transcript:KVH92229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter MASTKSKTSGSKKFSDNSGVNKKVWFYSLLLTIQYGAQPLISKRCIGREVIVTSSVLACEIVKVICALALMAKDGSLNKALKEWTLIGSLSASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKLIFTALFTYIILRQKQSIQQVGALFILILAAVLLSIGEGSRKDYGSDNPDKIVLYGIVPVLIASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTSKSPDGQAMRQHGFFYGWTPLTAIPVVINAVGGILVGLVTSYAGGGFVIVSALLVTALLQFVFDGKPPSLFCLLALPLVMTSISIYQKYPYRVKKKEA >KVH92235 pep supercontig:CcrdV1:scaffold_109:351958:365665:1 gene:Ccrd_005747 transcript:KVH92235 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGGCFSDVKGGQAAVGGVHSLRLQRGISSNVATASSSGTVQNDAVDFFFRSKGLQPLYTRIELNLSASKLRDYDIMSKSDPMAVVYAQKKDGTLEQLGRTEVIMNNLNPTWIQKIDVVYDVDTKYHNLPTKMLELKDQDFLGEASCVLSEIVTLRSRTITLELRNRNGHSVGNLGSITVHAEETIASKTAIEMRLRCSNLDNKDRFSKSDPFLRISRIVETGGSVPVCKTEVVHNNLDPVWKPLCLSTQQYGSKILKGKLFVDGFDEKELYSFLDYVSSGFELNFMVAVDFTASNGDPQKPDSLHYIDPSGCFNAYQQAIMEVGEVIQFYDSDKRFPAWGFGGRMYNGPVSHCFNLNGDAGSFEVEGVQGIMAAYQSALHTVSLHGPTLFGQIVNQAAEIAAQSLSQNSNKASDLPLSILIVGVGNADFKTMEILDGDNGQRLESSTGRVATRDNVQFVPMRDVHRGEISMVQGLLEELPGQFLTYVRSRDMKPLPNFV >KVH92226 pep supercontig:CcrdV1:scaffold_109:376673:383919:1 gene:Ccrd_005748 transcript:KVH92226 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MECNRDEALRAKQLAEEKMLKNDFEGAQKIALKARQLFPELDNISQVIAVCAVHCSAQRKIYGAEKDLYGILQVEKIADEATIRKQYRKLALVLHPDKNKFPGAEAAFKLIGEANMILSDKGKRSIYDFKCREPARASVTKLQNRQGNQSSYARTQFGVQNKVNDVPGSQFNGHGNQSTSHTGIRPSFWTYCPFCNIKYEYYIDFVNRPLRCQHCSKLFIAYDIGAQKAAPGPRFVHTEMHSANLGSNRAEPPFFQQKDVGRREKVKINIQKEGQFPSHFAQHTKTKDTEVGDSSRARMKHGHIHRETGKEGTTKPNVNVKPMETGTSKDRDRKRGRKMVAESSESSSDVEDAFGGIGVGPVGGGVHRRSSRQRQKVSYREDVADDLSPQKRSRPESLSGDVKEMQKEEVSGSEDKFFKRADVSKEACKQKACAHADASIANEHKVKEDEAKTVDNHSKSVSDSSGKSDPELVDCLDPEFSNFDKDKEEHCFAVDQIWACYDSVDSMPRFYAQIRRVYKTEFRLRITWLEAEPDEDLEIKWAEEGLPVACGKFVRGDTEETKDRLMFSHQIAYKKGGGRFSYVIYPQKGEIWALFKDWDIKWSLDPESHRKYKFDVVEILSVHENSVSVAFLLKVKGFVSLFQRTIWEGLAEHTIPFTQLFRFSHRIPSVKLTGTERAGVPAGSFELDTASLPGDFETYYYSNEVNLEPENVSGPYSQSHEEKVKPATGLANTPKKHVNSEATNGLDKEMLNLRRSPRGLKGGHMNHEQVNMNDATPCKGHNSFCKDGDIDPTSKKLTKSPGISSPSACRKLEPVIHDFSADKQIWKFQVGQIWAFWDRNDGIRQCYAQIKQIESRPPRLHVSLVKLCNIPSNYAIRYNACGLFKVSSGKPKILDPDSFSHIVKAEASGNHIFNIYPREQQIWGLYRRQEGGEFDIVEVLETDVSSIKVLSLSRVPGYKSVYKAPRIQRSTGRILAIPRLELNRFSHQIPAFLFTEEKDGSLRGCWELDSAALTDFMYKVKIIKDN >KVH92218 pep supercontig:CcrdV1:scaffold_109:212096:221190:-1 gene:Ccrd_005737 transcript:KVH92218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MEAAPAVFVAVSMAVVVLLVECGVSAEAESHVTYSSRLIHRFSDEMRALRVSREGQVVDDWPEEGSLGYYRRLVRRDVERQKMNVGSQHQLLFPSAGSVTMSGGNELGWLHYTWIAVGVPSVSFLVALDTGSDLLWLPCDCVQCAPLSVRYYVSQYTSLTYISGLIQDNDLNEYNPSHSSTSKSITCSHQLCESGPTCKSSKQSCPYTVKYATTDTSSSGSLVEDILHLASAGVNASNTYVRAPVIIGCGREQSGGYLDGIAPDGVLGLGLGAVSVPSFLAKSGVTRNAFSLCFGEAGTGEIYFGDQGLAGQRTTPFLPIDGKYIAYIIGVENFCIGTTCLDQTTFRAQFDSGTTFTLLPDGVYKLVVEEFDRQANATKYNFEDLPFQHCYKSSSQQLPEIPSVTIRFAVNNSFVVHDPLFVLNDSQGGLVGFCLAIQSSPNEMGIIGQNFMKGYRLVFDRENLTLGWSRSKCQAVKGEKTTGSNASSPISLPTTEQQRTPSTHAVSPAVAGRAPPSKSSATSILSNWSSLSKVLLLVPLLFHQLTRCKYETIF >KVH92212 pep supercontig:CcrdV1:scaffold_109:224799:229911:1 gene:Ccrd_005738 transcript:KVH92212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MLTLSIASSTSAITVTPKTFLTSSNISNKSSFNGIRIAHVLPSPAFRTTTATTRQSVVMMAKKEEELKEIRAKTTEEINDEIVDLKGELFMLRLQRSARNEFKSSEFRRMRKRVARMLTVKREREIDDGIGKRLSRKLDRQWRKSIVPRPPPSLKKLQEEEAAEEAKESASASASA >KVH92228 pep supercontig:CcrdV1:scaffold_109:247604:252478:-1 gene:Ccrd_005740 transcript:KVH92228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MSLQCTGLSLLPFSQSVYTKDNVIKASLSFDKLRCTSLCLPRSLFLAGNSRKSSLRASKVEDLLDCDDEKEGVEKSNKRDQSDDDDDGDGDFEMDEDERKELRKKIRQIVENNPEVKEEADPEERRKKMQKLLTDYSLVVDEEDPDWPEDADGWGFNFSQFFDKMTIKNVKKDDDDDDSENEVNWQDIRAVKDITAAEWEETVFSDLSPLVVLVHNRYRRPKQNEMVRDELEKAVQIIWDCRLPSPRCVAIDAVVECDLVSTLGVSVFPELIFTKAGKILHREKETRTADELSKIMAFFYFGAAKPSCLDAFEISNDAIPGFTVEK >KVH92230 pep supercontig:CcrdV1:scaffold_109:291153:294995:1 gene:Ccrd_005742 transcript:KVH92230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGSRLGRRVVNFANIPIKLLMPTSFSNITEIALKTIPSASKIEIKRVLESLYGFEVDEVRTLNMDGKKKKRGGLLIAKPDYKKAYVTLRNPLSISPDLYPIRVIEEERKNMSKQSKSSIVEGDETKKIHWLDGSGVKKDTGYGGRVRHGAADRRGGSSTTTATSKFPWTKMRSAAK >KVH92222 pep supercontig:CcrdV1:scaffold_109:433556:434889:1 gene:Ccrd_005751 transcript:KVH92222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEEIPRAMEDEECSPQLIGNGFLIHDHQYTFEVNAVCRRRSMIFKGLREKKTSGVDRRIFLPLLPCYRRSCRRRCRCAAAVADVSPIRVGRAEEGVETMGKYNGLDGPESLIESPEIFEWRR >KVH92214 pep supercontig:CcrdV1:scaffold_109:155598:159708:-1 gene:Ccrd_005733 transcript:KVH92214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase/oxidase MSSAIIPSYNSNHTKLKDYTENDEEPTTRRIRLLSLHLNPLPDHHHHHHLLQLESCSGRAKMSVNAEKLSGYLRRKHRDIQERVFEYFNSRPDLQTPIEILKDDYRELCMRQLVGLVREAGIRPFRYVVEDPSKYFAIIEAVGSVDMSLGIKLGVQYSLWGGSVLNLGTKKHRDKYFEGIDNLEYLGCFAMTELHHAIKWWIGNAAVHGKFATVFAKLMLPTHDTKVVTDMGVHAFIVPIRDLETHKTLPGVEINDCGHKVGLNGVDNGALRFRDVRIPRDNLLNRFGDVSRDGTYTSSLPTVNKRFAATLGELVGGRVGLAYSSVGVLKIASTIAIRYSLLRQQFGPPKKPEISILDYQSQQHKLMPMLASTYAFHFATVNLIEKYAQMKKTQDEQLVADVHALSAGLKSYVTSYTAKSLSICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFRGGTFAVTWNYLRESMNTYLSQPNPVTARWEGEDHLRDPKFQLDAFRYRTSRLLQSAAVRLRKHTKTLGSFGAWNRCLNHLLTLAESHVETVILENFIEAIKSCPDASSRAALKLVCDLYALNRIWNDIGTYRNVDYAIHKLAEYLSFQVKNIARELVDAFDLPDYVTRAPIGKQSLGEAYTEYTQYVGF >KVH92213 pep supercontig:CcrdV1:scaffold_109:99240:115611:1 gene:Ccrd_005730 transcript:KVH92213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MALAACQQATGFSWVQRNIGDLGSSTTSRKHHYGLPCKRDGFDKASPRRITLPPQKSMNQTSKYCSSLCDPTTLSMQTKNYEPLRMTNESTLIIVRHGESMWNEKNLFTGCVDVPLTRKGVEEAIEAGKRISKIPFDIVYISALVRSQMTAMLALTQHCGRKVPIIIHDENEEAKVWSQIYSEDTKNQSIPVVKAWQLNERMYGDLQGLNKQETAEIFGKEQVHKWRRSYEVRPPNGESLAMCLQRAVAYFKDNIEPQLMAGKHVMVVAHANSLRSIIMYLDNLTPQEVINLELSTGVPMLYIYKDGEFIRRGSPAGPNEAGVYAYTANLALYKEKYDEMLP >KVH92224 pep supercontig:CcrdV1:scaffold_109:504435:515516:-1 gene:Ccrd_005755 transcript:KVH92224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEDPDGTLASVAQCIEQLRQNSLSAQDKEFNLRQLLELIDTRGNAFSAVGSHSQAVPVLVSLLRSGSLGVKLQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSVEGRIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWEQLQKGLKGGHLVDDLLTGALRNLCSSTEGFWSATIQAGGEDILVKLLTAEQSSTQANVCFLLACMMMEDASVCSRIVDAEATKLLLKLLGPGNEAPVRAQAAAALKSLSAQCKEARREIASSNGIPSLINATIAPSKEFMQGEHAQALQENAMCALANISGGLSYVISSLGQSLESCTSPAQIADTLGALASALMIYDSKAETSKASDPLDVELTLVKQFKPRLPFLVQERTIEALASLYGNTTLSSKLANSDSKRLLVGLITMATNEVQDELIRSLLILCNNEGSLWHALQGREGIQMLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILGNLCNHSEDIRECVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALKSLLSAAPLTDLLREGSASNDAIETIIKILSSTKEETRAKSALALAGIFNARKDLRESSIAVKTLWSVMKLLNSESECILAESSGCLAAIFLSIRENRDVAVVARDAMTPLLTLANSSVLQVAEQAVCALANLLLDSEVSEKAVPEEFIIPATRVLHEGKATGRTHAAAAIARLLDSRQTDSALTDYVNRTGTVLALVSFIKSTNCGSVAMSETLNALAILSRLKGSSGHIKPAWAVLTEYPDSISPIVSCIAGATPLLQDKAIEILSRLCHAQSVVLGNAITNISGCTASIGSRVILSSNETVKIGGTALLVCATKVNIQRVVEDLHELQLHARLIQSLVLMLSLPKSSHLGDTEDRDFISICRGSEEAEKETSTSVIYSTNIAIWLLSALASHDDKSKVEIMEAGAIEILTERISQCLSQYGQFDVNEDSSIWICALLLAILFQDRDIIRANTTMKAIPTLASLLRSEESANRYFAAQATASLVCNGSRGTLLSVANSGAAIGLISLLGCADADISDLLQLSEEFSLVGLERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLGNDSPSNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGILFSTAEIRRHESAFGAVSQLIAVLRLGGRGARYSAAKALENLFCADHIRNADSARQAVQPLVEVLNTGLEKEQHAAIAALVRLLSDNPSGALAVADVELNAVDVLCRILSSNCSMDLKGDAAELCCVLFGNTRIRSTIAAARCVEPLISLLVSELSSAQHSVVRALDRLVDDENLAELVAAHGAIIPLVGLLYGKNYMLHEASSRALVKLGKDRPSCKMEMVKAGVIESVLDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFLLLTKPEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQAIEPLIPLLDSPAPAVQQLSAELLSHLLLEEHYQKDXVSQQVIGPLMRVLGSGIPILQQRAVKALVSIALTWPNEIAKEGGVAELSKVILLADPSLPHALWESAAAVLSSILQFSSEFYLEVPIAVLVRLLRSGSEGTVVGALNALLVLESDDSSSAVAMAESGAIEALLELLRCHQCEETAARLLEVLLNNVKIRETKATKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNETLARSADAVAACRALVNLLEDQPSEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDTDTSVQASMFIKLLFSNNTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNALFGNFPRLRASEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRSQSNAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLTVIIKRGNNMKQSVGNASVYCKLTLGNTPSRQTKDDLGNKAMEKSMLNYNIGLDDEYDHVVSTGPNPEWDENFVWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGASRNLEIEFQWSNK >KVH92221 pep supercontig:CcrdV1:scaffold_109:444278:457518:-1 gene:Ccrd_005752 transcript:KVH92221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical SQNKFCNKILEKRFGHSSKGFITGFLLAGHPIWYLAVEVSEMGSYINTLSLHSPLRLHIIPKSQTLLEQNSSLLLQNSLRFNSMLKISQKPTSILSYLKKPISYYPLITSSSSTQKGITNFVSEKIVVLLVGSFVFMGCFNKKPVLAQPTNETTESQISETQDSTFDEEEMMYMRLVEDNPKSIEALKSVFHLKMKRGKTKEAIGYVERLIDVEPKEVEWRLLQALCYEMIGEYSKAKSFFKKILKGRPLLLRALHGLAMLMHKNHEGPAVFEMLNKALEIAQQKEKVPEERNIRILIAQMHVVKINPNNLVMEKPRKRVEAKKIDRSMKDLGIIYSLLDRKDEAEEQFSTYQSLLPDEFPERGFLDDVVLAAKTEIRQKRKNELKPEFFNKK >KVH92217 pep supercontig:CcrdV1:scaffold_109:166006:168475:-1 gene:Ccrd_005734 transcript:KVH92217 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L4, C-terminal domain-containing protein MAAARPLVTVQHLESDMATDGAGVPLPHVMKASIRPDIVNFVHSNISKNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTRIWRRWHRKINVNQKRYAVVSAIAASAVPSLVMARGHRIESVPELPLVXSDSAEGVEKTQNAIKVLKQIGAYXDAEKAKDSVGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEIANVERLNLLKLAPGGHLGRFIIWTKSAFEKLDSIYGSFDKTSEKKKGYVLPRAKMENADLARIINSDEVQSVVKPIKKEVKRAPMKKNPLKNLNTMLRLNPYAKTAKRMAVLAEEQRKKAKKEKLDSKRKPISKEEATKIKAASKSWYKTMISDSDYAEFDVFTKWLGVSQ >KVH92223 pep supercontig:CcrdV1:scaffold_109:428630:433725:-1 gene:Ccrd_005750 transcript:KVH92223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MAVPTSSSSIARGISSLAISYSPFLGFLKPNHQQNHISYGFNSKYASFAINCSSSEMGRSSDSMAFSLNENGTSRGPVKWQRVLLKVSGEALAGDHEQNIDPKVTMAIAREVADVTRLGIEVAIVVGGGNIFRGASWAGSSGLDRSSADYIGMLATVMNAIYLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDEDPRTNPNARLLETLTYQEVTSRDLCVMDLTAITLCQENNIPVVVFNLGKPGNITKAIKGERVGTLIGGACTSAVARA >KVH92220 pep supercontig:CcrdV1:scaffold_109:464187:466851:-1 gene:Ccrd_005753 transcript:KVH92220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MARAMVLIWVWCAVLATTCVNGLGVNWGTQASSPLDPKYVVQMLKDNKIKKVKLFDSDHWTVNHFAGTGIEVALGIPNLNLKEFSDDYDTVQDYVKENVSDHLRDHGGVNIKYIAVGNEPFLKAYKGKFEKTVFPALKNVQKALNEAGLGHKIKATIPQNADVYNSGTAGPSAGNFRADIRDVMIKISRFLDQNNSPFMVNIYPFLSLYENDNFPVEFAFFEGGGQGVQDGNIHYTNMFDANLDTLKYSLKKAGASNVKIVVGEIGWPTNGHKQANAKMAEKFYNGLLQKLASSKGTPLNPGYIEVYLFSLTDENTKSVAPGYFERHWGIFRYDGQPKYPVDFSGQGNEKMPVGAKHVKYMPHQWCVLKDDIKNLKSMKGNMDYACSLGDCTSLSYGSTCNKLSERKNISYAFNMYFQMNEQSVEACDFNGAAKITKQNFSDGECLFPVEIISGARKELAGILSGVVSSMMMMMMLMVLF >KVI03180 pep supercontig:CcrdV1:scaffold_1090:26144:50669:-1 gene:Ccrd_018524 transcript:KVI03180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRLVDIAPTLALLFGVPIPKNNAGHLIVDLFHPIEDHQLLRVLELNSWQLLRLLQAQSTDSTCGSFICQAVKKDYSSGLDEYEGSLEEISSCLYLDAAALHRSWKSKHALRSASNDDLLCTVLAYSEFLRTASKQLSHRATDKPIGLLASGIMAMLLSCAMLLGLLFKLDKEIYPRREPSLSNLQIYKFQLAEVLAVVVMVVIVLSMGSSSLVEEEQYLWHFMTSTFFLVLLRQTIQSTKGGDLSNLLNSSMGNGNRTCIQLCSIAVIVISLRILRGWHQGGVNWTHLPDISKSLEMAGSSCIKSFQLLSVVLVISLCLYALSSLWSKSYIIIVIELSYLCAGLLVLQYVIKYQGSGIAASDNDASLAARLIYAFLVMLTTGTVIASPWVLSFQNSHTDLQDLTSNFQSMDLSLLIKDSLFLSGTTYMFGWCLLQLLLQQPVNLMPISLLLIQILATIYYASHGGADVKQWIEIAALYYLGMAGHFSLGNTNTLATIDVARAFIHM >KVI03181 pep supercontig:CcrdV1:scaffold_1090:71267:89261:-1 gene:Ccrd_018525 transcript:KVI03181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase-like, alpha/beta/alpha MPAFITYNTKIRQTMASLTCTKFTLLAAAAILLQITGLSLFVFGFFPIRPALSGVSGPESFRPPSASCDSFEDQNFTALHPDQLKSLYQVTLATHRMSNIVILAICHTNISFQELSSITPSYDRLILMVIDGLPAEFVLGRDGQPPSTVLKDAMPYTQSLLANRMALGYHAKAAPPTVTLPRLKAMTTGSIGGFLDVLFNFNTQALLEDNIIGQFFRIGWKMVMLGDETWLKLFPGLFTRHDGVSSFFVKDTVQVDHNVSRHLSYELYKSDWNLLVLHYLGLDHVGHLGGRSSILMGPKLQEMDEVIKMIHLSTIQTQESGHGRTLL >KVE28970 pep supercontig:CcrdV1:scaffold_10903:1606:3282:1 gene:Ccrd_024022 transcript:KVE28970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKYYCPRIFMKFSNFQYKGVLVKEVKIDNFFKVEVSLITFGVGFILQQLDTEELGLLIHIHVLNLSHNQVTRPIPSLFSNMKKIESLAISSNRLSGRVSACTLIIERKIWDLYCRQSVSLWITIGKDMHVEFDVDDTPIVGRRKLIWHGYGFLRCKFGFRMA >KVH90246 pep supercontig:CcrdV1:scaffold_1091:57162:57662:1 gene:Ccrd_007757 transcript:KVH90246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVKQKVNTLILLLIASLLSIVAESNKWVGSKYQIECTMCAACDNPCNQPTPSPPPPSPSPPPPSVPKPSSPTTPICPPPPSTPTSGGGGGSGYYNPPPPSSQGPYYTYPPPPYNNNYPTPEPPNPIMPYFPYYYYSPPPPSSSAVSIAGTTTLFLLNFILVWFF >KVH90245 pep supercontig:CcrdV1:scaffold_1091:14507:18590:1 gene:Ccrd_007754 transcript:KVH90245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF789 MSNSGRSRVREDRFYSPPAMRRYNQQQQKQVQQEEQKVQPPRHQEKQQQSESLLSSNISVVTTNLDRFMKHTTPIVTAQHFSKTSMKGWRDQDKYYNPYFILGDLWESLKEWSAYGAGVPLVRPGEESDSDSSRATSSDGSYEPGSVGLRPRVQENVGSIAESFNKLMLRSDPFAGDGIEEGEIRNPPGLLIFEYFERALPYHRAPLADKASHSIAWYPIYRIPVGPSLQNLDASFLTFHSLSTPSRSVDGAHGSSVIEVDDVWRILLPIFGLAVYKFKSSDWTQSGIHGTEKVNSLMQSTENWLRNLNVYHPDFMFFKNHEFWR >KVH90244 pep supercontig:CcrdV1:scaffold_1091:19406:23160:-1 gene:Ccrd_007755 transcript:KVH90244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought induced 19/ RING finger protein 114 MDPNSWARLSTYNSSSRRYPSRSADAFHLVEETDGDDPRPEYLCPFCAEDFDIVGLCCHIDEEHTVQAKNGVIKHEIVTSPRCARYVQKGWELVWLVILPCSMEVFSKYPSIEVLSVLAVYHLHLVGYVHMFEKRSEDTTENEDNLSILYHVQRKRRFRRVGPNSTLSILKKELREGNLQSLLGGSSFLVPSSMNTEPDPLLSSFMHNTAVEPLQDLNHSSTVEMSIVESSNKDLSARSEKHQGGRLSGEDEEEKGRRSAFVQGLLLSTFLDDDL >KVH90242 pep supercontig:CcrdV1:scaffold_1091:73575:79935:-1 gene:Ccrd_007759 transcript:KVH90242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNQVNESHEESSPSSSPFYWWKSIPEFNSLNGSQNSSSIFKVLREMERLCWISEDGVDDLKHKLMIYKSGDFWLPIGGINKQDLDIPPLITVLLLGLSGSGKSSLVNLMYAVLGRSGIIPFSQTSTLVADESQNNPTMMLEEHNVLRSTRNGFCIYDSRGLDVNRMEEGLEEVSRWLTDGVRHNQQCRFGEDDVEVRLNWSSNGYVKRKVNYVAVVADLTVVYKAFFCGGDFTPVSAIKSLFHCPSIRTSNIDPLLILTHGDMLQPEERINGRIKICAYLGIPVTTGAYDIVCLTEQGILHEESDSITSFALIEAIYRGLLQSDRTHSPKKKYKDWIRDFFVNVMCSLAYFFAMLSRIFERWSGKRNEVKFIKILDRKTR >KVH90241 pep supercontig:CcrdV1:scaffold_1091:134847:136363:-1 gene:Ccrd_007762 transcript:KVH90241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein WTSQEDETLITYIQANGEGSWKSLPKKAGLLRCGKSCRLRWINYLRGDLKRGNFSQDEEEMIVKLHTTFGNRANIKENLVYDINGISDELGLNGLAVQDDELIDINYFLESEDMDSTKQIDIEYMKNMNEWNIDDIEDDQNLLRLNRSETKMFDFDEINMYDEVDDMLVWLWEGGNP >KVH90243 pep supercontig:CcrdV1:scaffold_1091:67722:68721:-1 gene:Ccrd_007758 transcript:KVH90243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLSDKGSECDDMMNCKSSPERGGSSSSDGGSHTIKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKKRRALLGISKDDKKAKKTMITTTAPMTVTSTSGGDSQTSGLTTIKSSNMVDFCLKRRLMALGTEVVLQRPRSRVTKQRRKIGEEEQAAFLLMALSCGSVFA >KVH90239 pep supercontig:CcrdV1:scaffold_1091:84751:91363:-1 gene:Ccrd_007760 transcript:KVH90239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNKREENLLKKRQVLPSSQLLSGGAPVPTSTIEKKIYFVCHIAVILTVKVTMKLQLESLPSMVAGVYSNDNNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLAQGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEETRPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCRRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQCIIEHGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQSVIEAGLIAPLVNLLQTAEFDIKKEAAWAISNATSGGSNEQIKYLVSQGCIKPLCDLLVCPDPRIVTVSLEGLENILKVGEVEKNSGNSGDVNFYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEEEPVADGAQPGFNFGGSNVQVPSGGFNFSG >KVH90247 pep supercontig:CcrdV1:scaffold_1091:37230:40113:1 gene:Ccrd_007756 transcript:KVH90247 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MNRAIQRLMMPGSSSASWKSAAASAVGVPDQFPAGLRVLVVDDDPTCLMILEKMLKNCNYEATICNRAEIGLSFLRENTNGYDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDSKSVVMKGVTHGACDYLIKPVRIEALRNIWQHVVRRRKHEWKDFEPLTSADDADQVPKPREDLDVSSSANEGNNWKNAKRRKDDEDEAEEPDSSSSSKKPQAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGSQHLGSIDGSFMGSPDMGYGSMSSLNGIDLQALAVGGQSLATLQAAVLGHPNNSKSPVSVPILDQRNIFSFENPKLKYQEVNTQQLLHGIPTNIEPMQFAGLHQSRQHSFNNVNGRVLITTAGQSQCQNQSNSNHLPVLPNGILAHGSGSKVPRGGMIPSYNVPNDLNQMRTHDSGFESDLMKAKAESILLYPEQYNQEDLLTAVLKQQQQQQQGFGEAENEFGLNGYAVLDDLPV >KVH90240 pep supercontig:CcrdV1:scaffold_1091:118438:121012:1 gene:Ccrd_007761 transcript:KVH90240 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MIGGFSLKGSSDYSCATSVTDDDGYGTKAPGVVARLMGLDSLPTFNFSDTYSNPSFDSQSLRDSSQFLRDSSHSVRDSSQFLRDSSQSLRDSTPPYIRKDPEFESNDCEMEAVPRAIKAKPQKMGMSKAFEKFQTETLPPKSAKSFPITRNKLLSPIKNSGFVSSSNPARIMEAASKIPLVGSSSSSSSPSSFVPFKLKVSREKIENSTRKPKVPESSRRPLESNAAKNVKNQQSLEPKKSISLALQAKANVQKREGLNHRAQSVRTQPNTDRNPQRNPGSSNVLKQNNQKQNSLVDRGRSTLKSQCSTIGPQPRKAGSQKSSSKISENSKTSRKKEPYSITTRRKRSMEDSDRTHADKNVVRDRSYRSNSSDVVSFTFTAPIARPPISTNGFLSNNQSKNVLDSTRNASNSSLSYNVIRGDALSTLLEQKLRELTGNPASVSQDSFPATESDIRDDSSYGFSSFDPLQQLTKKHHKPDQGQDRIARDDSSYGFSSNDSTELMKKHHKLDLCVELASECSSDDYEYRKLLRGRQPSPSSVLEPSFLSESCNSSDTADSCKQSSASVQAQELISASFLRKSSPMDIDTELSDSATSTMMCAKWEADFVKEVLSDIESMFVDFTLGKSRKIVNPRVFDRLEFGRPNQEVAKLRRELVFNCVSECMETKCRVWGKGLAVVSRPDRLAKEVYKEIVGWEEMKDDMVDELVDKDMSGDGYKKWLDFDVELFEIGVDIESRLLDSLINEVVDDILVL >KVH93662 pep supercontig:CcrdV1:scaffold_1092:131312:136469:-1 gene:Ccrd_004285 transcript:KVH93662 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding, type 1 MQSDSVKVSPFDLMSAVLNGKVIETLNASESVESLMPPALKMLMENHELLTLFTTSVAVLIGCVILLVWRRSSTKKAVKDLEPLKVVAPKREKEPEVDDGKKKVTIFFGTQTGTAEGFAKALLEEAKVRYEKAAFKVIDLDDYAADDDEYEEKLKKESLVFFFLATYGDGEPTDNAARFYKWFTEGDAKGEWLNKLQYGVFGLGNRQYEHFNKIAKVVDESLAEQGAKRLVPVGLGDDDQCIEDDFSAWKELVWPDLDQLLRDEDDTTAATPYTAAVLEYRVIYHDKPDPSAEDQSHTNGHAIHDAQHPCRSNVAFKKELHTPESDRSCTHLEFDIAHTGLSYETGDHIGVYCENLSEVVEEAVRLVGLAPDSYFSIHTDKEDGTPIGGASLPPPFPACTLRNALAHFADVLSSPKKSALVALAAHASDPSEADRLKFLASPAGKDEYAQWIVASQRSLLEVMEAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKMAPNKIHVTCALVYEKTPSGRIHKGVCSTWMKNAVPMTESQDCSWAPVFVRTSNFRLPGDPKVPVIMIGPGTGLAPFRGFLQERLALKEAGTELGSSILFFGCRNRKVDFIYEDELNNFVETGALSELIVAFSREGPTKEYVQHKMNQKASDLWKLLSEGAYLYVCGDAKGMARDVHRTLHTIVQQQGSLESSKAEVYVKNLQTSGRYLRDVW >KVE27595 pep supercontig:CcrdV1:scaffold_10921:5:4238:-1 gene:Ccrd_024023 transcript:KVE27595 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding, type 2 MIRKYGLAADNVVDAWIVDVNGKILDRESMGEDLFWAIRGGGGASFGIVVAWKVNLVDVPEKVSVFSLSKTLDQGASDLFNKWQYKGHKLSEDLFIRIRISFLSIPNLKETMELKFGTKFPPFLLVILIVLCSSAYSKLMDDKFLKCLSQDSPPNATQSEFSTPTTPKPIAIITPLTYFHVQSTVLCSKSFGYRIWIRSGGHDNAGLSYTSYDQTPFVVLDLKELRKITIHSSEKTAWVESGATVGELYYWVSQESRDLGFPAGICP >KVI00834 pep supercontig:CcrdV1:scaffold_1093:91097:96283:-1 gene:Ccrd_020912 transcript:KVI00834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase MSSCAFSTCKLHDTLLDHEFICNKPSCFPKHLVHLKTNRISANLSCLKISPYNSSTWQQTGRLQCRALDVESNRTFLKGDLFQLDDVIEAQQFDREILSAIFEVAREMETIEKKSRGSQILKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYTDIIVMRHFESGAARRAAMTANIPVINAGDGPGQHPTQALLDVYTIEREIGRLDGIKVGLVGDLANGRTVRSLAYLLAKYNGVKIYFVSPEVVKMKDDIKEYLTSKGVKWEESANLKEVASKCDVVYQTRIQRERFGERIDLYDEARGKYIVDRNILSAMQKHAVITLEVDGDPRAAYFRQAKNGLFIRMALLKLLLLGW >KVI00833 pep supercontig:CcrdV1:scaffold_1093:63801:75562:1 gene:Ccrd_020911 transcript:KVI00833 gene_biotype:protein_coding transcript_biotype:protein_coding description:MoeZ/MoeB VCNCEFYVRGFHLTLLFPPSKLSSRFNRIVERMNSNGGVDELLTELQSLKDSKLDIEARISVLEAQLHQIQSNQHANPKGSSDCSNGRSDFGHDLTPDMIYRYSRQLLLPSFGVQGQSNLLKSSILVIGAGGLGSPALLYLAACGVGRLGMVDHDVVELNNLHRQIIHGEAYIGRSKVESAAAACRSINSTIEIVEHKEALRTSNALEIVSKYDIVIDATDNAPSRYMISDCCVLLGKPLVSGAALGLEGQLAVYNYNGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGIIGCLQALEAIKVASLVGEPLSERMLLFDALSARIRIVKIRGRSSKCEACGENATLTRQEFQHFDYEKFTQSPLSAAPLKLKLLKTDSRISSKEYDEVVKKGEAHILIDVRPSQHYKIVSLPKSTNIPLANLEDRLAEISAELKSKGIHDVSDASIYVVCRRGNDSQRAVQLLHKLGFTSAKDIIGGLESWAHDVDQRFPTY >KVE26284 pep supercontig:CcrdV1:scaffold_10933:340:2137:-1 gene:Ccrd_024024 transcript:KVE26284 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MAKSRDKDRTYQIGNIRPVVQITNSNVRIWDAARCKRVRTMEGHRLRVGALAWNSSILSSGSRDKSILQRDPRAQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNKLFVWNQHSTQPILKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKVTFS >KVI04318 pep supercontig:CcrdV1:scaffold_1094:53073:57485:-1 gene:Ccrd_017370 transcript:KVI04318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MEHAGKLFDEMGLQSLPNVLENSCSLEQNKPDFKELDLGSALAGATTSISGSGGGGLMRTPDKDPIRRRSNRSHSGELNATTSPAITTPEKLRSGTGDMNRKIGHRRSVSTGAPLIYSGSGTTYFNGSTTTTSTSSTTTTTNSGGSGGGGVGGNVSSVNSPNTNLYPSGNICPSGKILKSNMNARSSATRTEKLGMGTGNYGHGSIIKGGGRFVESNGEIGIVVKKAMMSHDVEEVKNAGNELYKRGNFTEALSLYDRAITISPENAACRSNRAAALTMLGRLGEAVRECEEAVRLNPGYERAHQRLASLYLRLGQVEHAYHHLSHGQQNDATELQKLQTLEKHINRCSDARKIGDWKGALRECEAAMLTGALHQLEDADSMLSELPKLEPFPATCSKVKFVGMFSEVYVLYVRAHVDMAFGRFETAAAFAEKASLIDTGNMEVSMLLKNVKLINRARAHGKDLFNSGRFSEACAAYGEGLKYNLSNAVLYCNRAVCWSKLGLWEKSIEDCNLALNIQPNYIKALLRRAVSNAKLERWGEAVRDYEILKKELPGDNEVAESLLRAQDALRLTRGEPSG >KVI04319 pep supercontig:CcrdV1:scaffold_1094:88690:90472:1 gene:Ccrd_017371 transcript:KVI04319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis MoaE MANEQEKNLIEILEENNPIDINKYTNYVQSPQCGAIATFYGNTRDTFDGKTVIELRYEAYVPMAIRCLTSICSSARSLWDLNAIAVAHRLGPVSVGETSVFVAVSSVHRVDALDACKFVIDEIKASVPIWKKEVYSNGEVWKENLEFLERRQEVGKDLKRVCCKPKVKVEEEVVAAPLKNGCCRPKVKVDVVAAPVENGCCRPKVKVVEEEEGGCVESCNPNP >KVI04316 pep supercontig:CcrdV1:scaffold_1094:123318:125577:1 gene:Ccrd_017372 transcript:KVI04316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDFPPNCFKGFTSSEEYALKEMMMMRGGCGRTTSSSSSLVLDSERGEIVRALVRPGTIHHHLHPHSHQHHHQRHHQKGAKAEKALMALRNHSEAERRRRERINGHLSMLRSLIPGTNKMDKASLLAEVISHLKQLRRNATDTTKGVLIPMDIDEVKVEQQDDNSLDGSSYSIKASLCCEYKHEVLSDLKEALDGLHLKTIRAEIATLGSRMMNLFVITGSKDDVNIKDVMSSVRQALKSVLDKFYASQEFSESNTLSNKRRRMSFFTPSNSSSLEDFW >KVI04320 pep supercontig:CcrdV1:scaffold_1094:25517:38905:1 gene:Ccrd_017369 transcript:KVI04320 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MDDDRRISAVIFDLDGTLLDTEHVTKDVLKEVLVRYGKVVDLDKEKKRHGMAHRWKEYFSVIVGSEEVKSGKPSPDMVGIRAGKAANMQVVAVPSIQSESDEYLIADHVIHSFLDFQPELWALPPFEDWVMKALPIEPFHFKGSYENGFLQESSDNGASDLVGQAWGVYFGWVEVDSQERLKIVVDIRWDHCCGAYRRNISCIWRQSTSKILTSYPVHLHHANHPSQSPKPSYNAFFISLQSHLRLLSNTTAMNGRRISAVIFDMDGTLLNTEFTILKQDDIYGFAFASINTYCNHLQIQLCSSRWLQAKALPGVNRLIKHLHKHGIPYAVASNSIKKNVEVKVSAQKGWKEYFSVILGSDEVKSGKPSPDLFLEAANRMSVDASCCLVVEDSVVGIKAGKAAKMQVVAVPSIQSESDQYLIADHVIHSFLDFHPELWALPPFDDWVMKALPIEPIHFEGSYRNGFLQENADNEASDLPGQAWGVYFGWVEVDSLERFKIVVSIRWDRSCGAFRRNIQACFINGSDGQISDQLMEVTLVGYIRGFPTKDFQQNLSTNAQILDQDKSIAEACLDLAAYNELW >KVI04321 pep supercontig:CcrdV1:scaffold_1094:17791:21221:1 gene:Ccrd_017368 transcript:KVI04321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSQTQILQTLLESAKPFLREEFHKIDERLPSLIALLCSAGAGECFHRLGSFLDHLRHVYRIIKLWKAPDSVALCALFHSVYSNSYSNIVLFDPETGRDAVRSLIGDAAERLAHMFCVVHRQSLVHKSIMCQFTESELQEYLEASEVSLKNAKEKNVFNGVEKWRTKLQSLVPATGVTGIHYKTGENLPVSRRMIGVFLLVTLADISEQYFGFQDVLYDNSNGRLELLGDDYDTLYPGNGKPGLWMNAASRMAAVYSLLVREEEIFMLQNSDQITARDLYWEVVCDDGNDKARKQKAEEMLVKCIEKNPYVGEPHVLLSQFYLSRGRFEEGEIEAEMGLKLLLEWGCSWDKRNSWEGWVAWSRVLLNQSKVKSWPHTSWGVINLGFVN >KVI04317 pep supercontig:CcrdV1:scaffold_1094:128912:130708:-1 gene:Ccrd_017373 transcript:KVI04317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVESPDLGHRKTQYIIKTKCASYVVSPTCYRLSWFRQKKVNPTSRKQILRDVTCEVKPGEITALAGPSGAGKTTLLEILAGVIPPCRVSGQVLVNDRPMNPNVFRRVSGYVTQEDLLFPLLTVEETLLYSARLRLHGGLADAKARVKDLLEELGLQHVAGERIGAGTHRGISGGEKRRVSIGVDLVHDPAVLLMDEPTSGLDSAAALQVMSLLKSMAKNHGKTIVLTIHQPGFRILEVFDQVVLLVDGTLVHHGSLEFLDQRLKFAGHSIPRQVNVLEFSIDVIETLITEIESEPTFKLIEDQKQQSDEDYHLMYANSPMNEVLILSQRFCYNIFRSKQLFIAKMLQSIVVGLVLGTVFKNVNRFQMQTQIGFFAFNLTFLLYSSSEALPIFLQERRILMKETSIGAYRVSSYSAANTLVFIPFLLIVALLYTIPTYFLVGLRSDLDGFLYFSLVVWLVLLMSNSFVACFSALVPNFMIGISLVAGIMGSFFLFSGYFISNNDIPKYWIFMHYLSLFRYPFECFLINEFGGAKGRWRCLERFEDGCLVYGEEFLRKQNLKEMQKWYNLGMMLVFIFGYRFLSLLVLCYRSYRSRN >KVE25419 pep supercontig:CcrdV1:scaffold_10946:3350:3565:-1 gene:Ccrd_024025 transcript:KVE25419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGLLCIQEDPPDRPTMMDVVLMLGMDIESLPDPKEPAFV >KVE25412 pep supercontig:CcrdV1:scaffold_10947:48:2865:1 gene:Ccrd_024026 transcript:KVE25412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIAFQEVRNSHEGPSLRCIQILYGGYGLNVIKELRMNVPLSLPIILNHLKQKQEEWRMFSSGFNKLWKPVFTANHHMSLDHHNAYLHQDSKFLCTNDSLAEINGIGDRRSEDTKLEFMFSNMNIHKLRLLSTHKTILFRYLFI >KVH88348 pep supercontig:CcrdV1:scaffold_1095:75551:76165:-1 gene:Ccrd_024031 transcript:KVH88348 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSSSLPNHSPESSIGSSPEFSPWDTNSLPFNENDSEEMLLFGLLTTGFDTTTSSDEVTSRSLKTPTKITPFRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDSAEAAALAYDQAALSMRGSSAILNFPAAKVRESLREMMDVKRVADGGGSPVMALKKRHSMRRRDANKKSRRNRGEEKVVFEDLGVDFLEQLLTSSIENKSCL >KVH88350 pep supercontig:CcrdV1:scaffold_1095:130239:131141:1 gene:Ccrd_024035 transcript:KVH88350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFITMKNEEKKKPKERRPFLASECRDLADADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKGHWERRIVELGGPNYSKYSAKMTDLEGNIVDVPNPSGRGPGYRYFGAAKKLPGVKELFEKPPELRKRRSRYDIYKRIDASYYGYRDDEDGVLEKVEGPAEKRMRAEAVAEWMRMEEIKREARRAVKSGEVAEVGGAAKTILYEEEEDVVEEERRERELEEKEKEKEFVVHVPLPDEKEIERMVLEKKKMELLSKYASEDLLEEQIEAKAMLNIQR >KVH88354 pep supercontig:CcrdV1:scaffold_1095:22519:23654:-1 gene:Ccrd_024027 transcript:KVH88354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKSKMRYHAMTFEGHIVYNRSFSEVGKAVNKLFTFVESKNRDAGGGGRGVFGFDIAWRPTFKKGY >KVH88352 pep supercontig:CcrdV1:scaffold_1095:39286:43720:1 gene:Ccrd_024028 transcript:KVH88352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MVVNGRPLKRMKRRVTADLNDFLTFPSGEYTATGPFRTSVREFLLRHALLPPPSSLLPHLLTWQILFRVGEQRSNVNGDASVCLDVVEEDVTRSRSVYCDQCRVVGEFNSTRPKRFNFDSVVFRLFSWWSGNPVCGKRYHFIIKGDGASIGGYNKSCAGCGASLHLSDSRCKSCNHVMTTKDIEDWMYNQLDDTNHLLHGVVHVNGYGHILRVNGREGGSQVLSGSHIMDFWDRLCKALGVSVMDVSKKYGLEFRLLHSVVKGHPWYGDWGYEFGSGSYAITHEAYNDAIETLANVPLSTLLSQGRKPRTHLQDLIAFYQILSEVELVTIRELFRYLTTLLHDANKNRTMVDFRTPKKVRLSESRVLCVWNTSDIVRVEEAMVRVLRAVSGSSWVCWRSLRGAVCRVGEPELLDYCLKELKGKQAADGMVVNARANPDSDHLLRDLRYLYEALLHPQLDTNHMPITKRNTAVSSAMKILDCKQFVKNYHPECFFSKANPYSLIISCKLEPVEQTEETFVNPPPEHIIVSPNATIYDLKVEASKAFQEVYLILRRFQAEELVDYRGVDESTQIKLLFGSKIETVGVRGKYVGKGSLSKFRMERGVETWMLDCRCGAKDDDGERMLACDVCGVWQHTRCAGILDSDVVPLKFVCYKCDHDDKMVDSIGLVGG >KVH88351 pep supercontig:CcrdV1:scaffold_1095:125971:128189:-1 gene:Ccrd_024034 transcript:KVH88351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVASRYWRFKPHLLMVLTQVGFTFLYFITEASFKHGMNPHIYVTYRHVVGGFVMLPFAYFLERGKRPKMTVVLFLEISVLSLLGLEIIDLRNPRGLAKVVGTLVSLAGVIIMTLYKGPAMTSLGHAAIHFTQTTVIQENWFKGSVLTVSSCLTWSIWYIMQAYTLKRYPAQLSLTTWMNFLGAAQSAVFTACTWGSDCDCGFILATMGKG >KVH88353 pep supercontig:CcrdV1:scaffold_1095:58719:60698:1 gene:Ccrd_024029 transcript:KVH88353 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2828 MDAGDNLLDDNLLDDVITNQTNNLILADDPLMFFTENNLILADDPLMGFTENNSATYLSSGNPCLDFFFHVVPDTTTEDLINRLQLSWNHNSLTTLKLICNLRAVRGSGKSDKESFYTAALWLHNHHPKTLASNIPLLVEFGYFKDLLEILYRLIEGPEVRWIAKSEWILKKSVKGKGRARKFYFLSKKRKKQKEKKDGDDEIKANLRARVPREQRIEANRAQMKAEKERAKSSRKQKESAMAKKALDRYNSDPDYRFFHDQVSMFFANRLKSDIQLLDSGDHMKISLAAKWCPSVDSSYDKTTLICETIARIIYPRNSDPELDGFDDASYVFRIKDRLRKQILVPLHNALKLPEVYMSAKQWSSISYDRVASIAMKNYTDIFLHRDKQRFRGYLENVKRGDAKIAAGALLPHEIIRSLKRGIGAATVADLQWKRMVDDLLKKGKLTNCIAVCDVSGSMVGTPMEVSVALGLLVSELSAEPWKGRVITFSLSPELHLIEGGDLRSKTEFIGRMDAGFNTDFQRVFDKMLEVGVNGKLSEDEMAKRVFVFSDMEFDEASANPWETDYEVIERKYRDCGYEKVPEIVFWNLRDSYSTPVTAEQKGVALLSGFSKNLLTLFLEEGGVIKPSGLNPEPSGLNPEATMEAAISGELYQKLVVCD >KVH88349 pep supercontig:CcrdV1:scaffold_1095:61085:71617:-1 gene:Ccrd_024030 transcript:KVH88349 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MISKQSEKDNEDAGVDADVDAGVVSSPRPVRTSDRLRTRTKSKPYSRYPSLYTKAKRSKTKTRKAASQIAKVFDTNRQNNSDSVMANVRRSTRQRRVSSSLYGYTDSTGSEDTDLMASKYRSSRSRNNSSVRHKEKMPRREGLRPRRDGLRPRRSNAGSRGQLIIESDDELDPYEENNGQENGNEMEDNDADDGESEEGGAEGDGDDEGEGEDEGEEDGDDDDGEEEQEGRRRYDLRNRAEVRRLSLEEGKQRPRSPRRVLQQGVGTKHSRDVRRGGSRVHKRHRISRAEDSDDSLLVDELDQAMPMPWSRGANRSGAPPWLLGGPDMHGTSAWGLNIAASGWGHQGDVLANLASGVQTAGPSSKGGADIQPLQVDESVSFDDIGGLSGYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKMLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFTFPLPGFDARAEILDIHTRKWKRPPLKELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVESVEVDKKHFMEAMSTITPAAHRGSIVHARPLSPVVAPCLQRHLQKAMSVISDIFPALEISSESTKFSMLVCGIALPLVYRPRLLLCGMEGAGLDHLGPAILHELEKFPVHSLGLSSLLSDPSAKTPEEALVHVFGEARRTTPSILYLPQFHLWWENVGARLQAHEQLRAVLLTLLAELPSDSPILLLGTSSVQLHELDGDPSSVFSSRNVYQVEKPLLEDRSTFFDCLIEAALSIPSEGAAKKSQKSLIVPVLSKAPKVDTGPKVSELKAKAEAEGHALRRLRMCLRDVCNRVLYDKRFSAFHYPVLDEDAPNYHAVIQNPMDMATLLQRVDGGKYITCKAFLEDFDLILANAKIYNRDDYNGARIVSRAYELRDSVQGMLSQMDPALVAFCEKIAEDGGPLSLPEDIAGSSFHHVPIVHMTRASARLRHVQPEVNVDQSYEALKRPKKNVDPSHAAVSTADEGSLPQEPAVDEQNDPKPASSPPGCIEVETPSYEVNEPKSQDAVMSDVENDSKIDSAKKLLLDGTADYGVPQLERLYTRIVKGVFELKIVEHDDHKSPVLNFLLKFAENEANF >KVH88346 pep supercontig:CcrdV1:scaffold_1095:122492:125686:1 gene:Ccrd_024033 transcript:KVH88346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDPFGDTNWDLIDYNSLINDVASTDLYWGDQSATVSLDTSLASFAPPEKESAEKECTRKRGRSISCNRAENKACRERQRREKLNDRFLELSSTLEPGRPASTDKWAILGDAIRVLNQLKSESQDCKEMNEKLLEEIKTLKAEKNELREEKLVLKAEKAKMEQQVKAMTNLPPSGFMTPHPAAYQAGASKMPIFPGYSYIPMWQYLPQSTRDTSHDHELRPPAA >KVH88347 pep supercontig:CcrdV1:scaffold_1095:99811:107326:-1 gene:Ccrd_024032 transcript:KVH88347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MKITALLVLKCNPDGSSDPVILANATDVSHFGYFQRSSVKEFIVFVGRTVAKRTPPSQRQSVQHEEYKVHSYNRNGLCALGFMDDDYPVRSVFSLLNQVLDEYQKNFGDSWKAVQTDCSQAWPYLDEALTRFQDPAQADKLLKIQRELDETKIILLAKILLLQHKTIDSVLERGEKLDSLVEKSSDLSTASQ >KVI11409 pep supercontig:CcrdV1:scaffold_1096:97870:98856:-1 gene:Ccrd_010180 transcript:KVI11409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MFSDRKAIDQVSGWLTLYDDGFVDRTWTGPPQFKFMSDTVPPHHHFIDGVATHDLSIDTNTNLRVRIYLPELPDSTQKLPIILHFHGGGFCISQADWFMYYNFYMRLAREAKAIVVSTYLRLAPECRLPAAINDGYSTLLWLQDLENRKTHQSLLSSNGDFSRIFLLGDSTGGNIVHQVAKKSAGENMGVAGAILIHPGFLRSVRSKSELENPESPFLTLDMLDKFLKLGLPEGSTKDHQITCPMAEPLEGLDLPPYLVCVAEQDLMIATEVEFYEAMKKSGNNVKLLVSPEVGHSFYLNKIAIDVDPVTSKQTHKLIQEITHFIRNH >KVI11410 pep supercontig:CcrdV1:scaffold_1096:66822:67976:1 gene:Ccrd_010181 transcript:KVI11410 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MATNMAACTTTTKNILEEEENMSRIEEDRLNDLPESLHHHILSLLDTKDAVQTSMISKSWLRLWTSMPVLHLNSCNFRYVVNFDKFVNSVLRYRDESAKLNTLTFSRRGVCSAKIVKEVVDYALSHGINHLELFIKRFKNYSLPVSEQTSSDSLKTLKLKIHSYVSCPLLSGSFKNLTFLYLQGAIIKNHQPFSGFPMLEKLVLKDCRLCKILSVQAPKLCDLTLSCHGCFDRCELTTPNLRFFKYMGSDFPLLVTHDGLPVLETVVIDYYGVCYDFEEQRLFDDLISLFWALDNAKSLTIFSTIVDVLNLFPDDLVNLNSPFRKLKCLNLDLKYLGMYENLFDSLLPGRRLNIKRSYELVKAYLLNKSPEAKFSIIPPPNNDI >KVI04717 pep supercontig:CcrdV1:scaffold_1097:72518:76113:1 gene:Ccrd_016961 transcript:KVI04717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MWLFRKPGPSGFSHYSTAEQVTDGIDASGLTAIVTGGSSGIGRETARVLALRGAHVVMGVRNMTAGKQVQEAIMNEIATAKIDAMELDLNSMASVRKFASDFNSSGQSLNLLIYSKYQAYGQSKLANILHANELTRRLKEEGAEITANSLHPGVISTNLFRHIFTPPSFAEGFVSKLSSCLMKNVEQGAATTCYLALHPAVKGVSGKYFLDSNLAETTEQGKDMDLAKRLWDFSINLIK >KVI04720 pep supercontig:CcrdV1:scaffold_1097:6589:7738:-1 gene:Ccrd_016957 transcript:KVI04720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGVRDLKRSIIDTNTMTFVLRNPIWDLSHVTKWIIICTIRLRMSTKKLTALLYFLSCFAGSVTQDLWSLSRPNGKNKITPYGLMIMTQEYHFELLINHQRWLRANSS >KVI04716 pep supercontig:CcrdV1:scaffold_1097:67623:68300:1 gene:Ccrd_016960 transcript:KVI04716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVAPIALTNEKPSIGRRRGFFSFQQLNALALIIVLSASGMVAFQDFAFVLLSFFYMFFMSKVAFPTRSSTPEPPVFGNSSRLLTVYVSVGAVIGLLLPVAYIVHGVLAGDKEGIKAAAPHVFLLASQVFMEGVTFSGGFSLPIRVFVPVVYNSMRMYAILEWVKDEITKANKEHHGSSRRLQVGRSLAMANMVFWSFNLFGFLLPFYLPKAFKKYYAAGKDS >KVI04722 pep supercontig:CcrdV1:scaffold_1097:7970:33692:-1 gene:Ccrd_016958 transcript:KVI04722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8A, tripeptidyl peptidase II MPTAFLFKGPYVSRYQSFPPPLLSPPPLPHLGVLITPKSRPTNSNNTTSRKSVVIRAMPGSSSSPEIENNGALRKFKLNESSFLASLMPKKEIGADRFIEAHPEFDGRGVVIAIFDSGVDPAAAGLQVTSDGKPKVLDVIDCTGSGDIDVATVVKADANGCIHGASGASLIINPSWKNPSGDWHVGYKLIYELLTDTLISRLKKERKKKWDEKNQEAIAEAVKQVEEFDQKQRKVDEMKLKRIREDLQDRVDYLKKQAESYDDKGPVIDAVVWHDGEVWRVALDTQSLEDDPKCGRLADFVPLTNYKIERKYGIFSKLDACTFVANVYNEGTILSIVTDSSPHGTHVAGIATAFHPKEPLLNGVAPGAQVISCKIGDSRLGSMETGTGLTRALIAVVEHKCDLINMSYGESTMLPDYGRFVELVDEVVNKYRVVFVSSAGNNGPALTTVGAPGGTTSSIIGVGAYVSPAMAAGAHALVEAPPEGLESSRGPTADGDLGVYVSAPGGAVAPVPTWTLQRRMLMNGTSMSSPSACGGVALVISAMKAEGIQVSPYSVRKALENTCTPIGSTTLRGIYLREASYCNQSTEWTVQVEPQFHEGTSNLEQLVPFEECIELHSSGKEVVRAPEYLLLTYNGRSFNVVVDPTKLSDGLHYFEVYGIDCRAPWRGPLFRIPITITKPKVVETRPPLVTFSGLSFQPGHIIRKYIEVPYGASWVEATMRTSGFDTARRFYIDTVQLSPLRRPIKWESVAIFSSPSTKSFTFSVEGGRTMELAIAQFWSSGVGSHETAVVDFEIAFHGIDVSKDEVILDGSEAPVRIEAQALLSSEKLAPTAKLNKIRVPYRPTDVKLSALSADRDKLPSGKQILALTLTYKFKLEDGAEVKPQIPLLNNRIYDNKFESQFYMISDSNKRVYAMGDVYPDSAKLPKGEYTLQLHLRHENMQYLEKLKQLVLFIERKLEKEAVQLSFYNQPDGPVTGNGSFKSSTLDPGSKEAFYVGPPTKEKIPKNSPEGSVLLGAISYGKPSAEVVDQGNDPEKNPVSYVISYQVPPPKLDEDKGKSSAATKSTKSVSERLEEEVRDVKIKVLASLKQDTEDEREEWKKLSISLKLEYPKYTPLLAQILEGLVSQEVEDKIHHYEEVVDSIDRDELARFLSVKGDPDEEEFEKTKKKMEKTRDLLVEALYQKGLALVELASLKGEMAVLAANLGAEVVDKPDGESSPDLFEETFKEVQKWADPKSRKYGTLLMIRERHNGRLGTALKVVNEMIQEEGDPPKKQLYEERVSVLEEIGWGHLVTYEKQWMLVRFPPSLPLF >KVI04721 pep supercontig:CcrdV1:scaffold_1097:46159:46509:1 gene:Ccrd_016959 transcript:KVI04721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWEALLTIFFFTTENADNGWILFLGLMVPSREFQGFSHALVIICSRNLLIHEPLKFVDGGESHSTFVYIKDTIELVLLMI >KVI04718 pep supercontig:CcrdV1:scaffold_1097:80560:87598:-1 gene:Ccrd_016962 transcript:KVI04718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MRSWWNKSSSKEAKKKTGNESIFDTFWKFRISSDKKSTKRSGGSRRGCSDTVSEIGSLSRVESRSVSPSKDSKHVARCQSFQERPQGQPLPLPLLHPSRVARTESGISVSGKPRHEKGSKPSSFLPLPHTGCMRTRPDAADFDGADSVFSECYSDSDDPPDSSQLSPLASDYDTGSRTTAGSPSSMIVKDQLPATKVHSRDVAKPPDHLFVNHISSSPPRRKPLCSHVPNLQVPYHGAFFSAPDSSISSPSRSPLRAFGTDQVINSAFYASKPNPDFPFLGSGHCSSPGSGQNSGHNSMGGEMAGLFWQPSRGSPEYSPLPSPKMTSPGPSSRIHSGAVTPLHPRAGGVAIESQNNRPEDVKQKSHSDSGEMCAMKEVTLFSDDAKSKESAKQLGQEVSLLSRLSHPNIVRYYGSEMASFILYNSPAIHVPPPADFITNCCFETLSSGKDVNVIFSYNECFCISIALTSPVLLTYEQVDDKLYIYLEYVSGGSIYKLLQDYGQFGELAIRSYTQQILSGLAYLHAKNTIHRDIKGANILVDPNGRVKLADFGMAKHIKGQACPLSFKGSPYWMAPEIIKNSSGSNLAVDIWSLGCTVLEMATTKPPWSQYEGVAALFKIGNSKELPVIPDHLSDEGKDFVRQCLQRNPALRPSAAQLLDHCFVKNAAPLERPVPYSESSDPPPGITNGVISLVYDVQIPRNISCPVSPIGSPLLHSRSPQHLNGRMSPSPISSPRTTSGASTPPTNGGCKISFHHVNQSVYLHEISKPTNIPCSNGASYRDPVPDMFRAKQSGGHGCSPGRQFGGLAQELHGGQAVLADSVSHLLLRDKVNFKPSLDLNPL >KVI04719 pep supercontig:CcrdV1:scaffold_1097:119722:121843:-1 gene:Ccrd_016963 transcript:KVI04719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate transporter-like domain-containing protein MAMAPTVKVVLGSFAFAVFWVLAVFPAVPFMPIGRTAGSLLGAMLMVLFQVITPDQAYDSIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSQGSKDLLCRICLISAISSAFFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSAATPIGNPQNLVIAVQSKIPFGEFLFGIVSAMVVGICVNAVILLCMFWKVLSVQKDEEEPPVDVTPKENVNFHRFSPATMSHQNSHELDAIMEATSVHSSPGVNGNIDNTLRNRAISGEDEDSNGLKDATDNGSLQKRDERVISRVSSMNRLSNAISDLGLEEIEEKSAKWKIVLWKTGVYLITIGMLVSFLVGLNMSWTAITAALALVNRNPKCVLLLGARVAAAAATISPEKEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAQHFGYNLTFWAHLKFGVPSTIIVTAIGLLLIRD >KVI00953 pep supercontig:CcrdV1:scaffold_1098:46132:79982:1 gene:Ccrd_020786 transcript:KVI00953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MADGCSLHVNRGGNDLMVGESNGDVLYEGDANVEGSASRLYISNRIWGFSSTGDFLDDNVFQNTRYITTMENSTSLSSVYTTARLSPLSLVYFSHCLENGEYTVNLHFAELQFSNDSTYRSLGRQVFDRYLVKKDFKIEDEARGMGNPVVIPFNASVTNNILEIRFYWAGKETTHFEGMELKTISVSLKQLKSATGNFNVTNKIGEGGFGPVYKGTLSDGTVIAVKQLSSHSRQGNREFLNEVGVISGYMAPEYALWGFLSDKADVYSFGVLALEIVSGKNNTATLLACQLERNKNYDELFDERLGSKINKEEAVTMVKVAILCTNGSPSIRPIMSEVVSMLEGRTWVPEIIQEANGYTEDLRFKAMRDFREDKYAQSSQTNDSTTIQTDPCVKXSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTPNSRRGLPLQLQHHSTVHRWQLLQQVGFLGVRRVNTSNHFHSHA >KVI00952 pep supercontig:CcrdV1:scaffold_1098:80793:83695:1 gene:Ccrd_020787 transcript:KVI00952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adipose-regulatory protein, Seipin MELLQGSERSNLIEDDDAKFSDALEEFPLFDASNSSSASSVSQIDDHTISEQPSSPSSAGLRRRRLFSRQTSKELPWSTFKKSSDGLVDFNLHGSTVSLGKNYKFLWNLKNNDKLNDKLNRTKVRVGSGSDGKRLANETNGSSLSSSVITTVNGDEGAIDDSVTVDSSNPGSSFLFILAGLVIKTIAFQTSLLVGLISFPLWLMYSSYMFLIDPFSIIRRGRSYIKKRISRFLKFCYGNLKWVLNIWIKRNRSTWKFCMQIGWGLLSSAFVGIILVGLLVMGFVISGALMKYILEQPIQKIEQLSFDYTRDTPMAFVPIMSCPEPLCLDCNEKIRFGNAAQSRVIPLDHKLQATVSLTLPESDYNRNLGIFQVRVDFLSSNGKPLLSTIQPCMLPFKSKSLRLLSTVFKLAPLITGYSSESQTIKIKFSGHTETKVPTSCLRVVMEPRAQFASRGGVPEIYTSYLKLESKLPLFKRILWSWKATIFVWTSMVMFTVGLLFVLVIIVPWLWPRGVLFNSNASQNSSQG >KVI00950 pep supercontig:CcrdV1:scaffold_1098:103232:108109:-1 gene:Ccrd_020788 transcript:KVI00950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MAETDGGGAAAAAAATNGHRDLTLNIEDQTHHQTSCLPTIPFLQKLIAEVLGTYFVIFAGCAAVVVNADKDKVVPAYVAAQVLGSTLASGTLRLVFSGHQDHFAGTLPVGSDLQSLVLEFIITFYLMPISGASMNPARSLGPAIVSSQYKSIWIYMLGPIAGAIAGAWVYNIIRFTDKPLREITKSASFLRYAGSRNGSKR >KVI00951 pep supercontig:CcrdV1:scaffold_1098:13489:43577:1 gene:Ccrd_020785 transcript:KVI00951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MIIELIRRSVRKFAHNADRFIRVSAKWIQGSVISVVKQAICPEVAQLNKDHIRPNCPLLRTPQLTIGSNASGTRGRPTSPDLTITLSSSIKLQPTTNHFPSILLLQNTMKFHSLAGILSPELKKLPYLNSIDFAYNYLNGTIAPEWGLTQLQEISLLGNRLTGKIPPELGNITTLTKLDLEANQLSGTIPSELGSLFHLKSLILSSNQLKGTLPAALAELRNLTNL >KVE23423 pep supercontig:CcrdV1:scaffold_10982:2880:3206:-1 gene:Ccrd_024036 transcript:KVE23423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTDVGVYYTPGPVFRGEVFDGCDAVRRLETWLIENHGFQPQYAVSELDEKKFWRMFDAGLYEQCRNKYGAVGTFMSVYYKCKKGRKTEKEVQEAEKAQLETPCAEVD >KVI08271 pep supercontig:CcrdV1:scaffold_1099:68136:69884:-1 gene:Ccrd_013358 transcript:KVI08271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MQEDLKVCRFETELSDLTDTVFNCVVPYIHDCHDRSSVSLVCRKWCELDGVTRKHVTVAVCYSTKPVRLCERFPLLESLTVKGKPRAAMFDLIPEDWGGYVTPWVREIVSSLKCLKAIHFRRMIVRDSDIDLLTRTRGQELRVLKLDLCSGFSTDGLLQIGKLCNNLKTLYLQESLIVEKDGEWLHELALHNKAMESLNFYMTDLVKFDLKDLELIAKNCSGSLVSVKISECDVTDLVDFFRYAVKLEEFGGGAFSDHLEKYAGLKFPPILRSMALNYMSELELPVVLPFASQITKLDLLYALFDTDAHCFLFQRCPNLEVLDARDVIGDRGLQVLGQFCKKLCRIKIERGDDEEGLVSQRGLIDLAQGCLELECLHVNLTDITNEALECVGTHLKKLYDFRMILLDKEERITELPLDNGVQALLCGCSRLERLGIYLRPGGLTDVGLGYVGKYAQNVRYMLLGFTGDSDAGLVELSKGCPNLQKLEMRGCGFSEQALTAFVLNVASLRYLWIQGYHATESYGGLQGMARPFWNMELISSDRYVDVQQQPPSLLAYYSLAGQRTDFPESVLPLHPHPPLDLH >KVI08274 pep supercontig:CcrdV1:scaffold_1099:86563:92675:1 gene:Ccrd_013360 transcript:KVI08274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase, GBA2 type MVKGNLFHYRKNSWPPEEYVNRTTLQLVSSSSPSSHLLDFDSGAPPQHAWRRKLNSQAGILKEFSVTFMEALKMMRLGIRLWSYVREEASHGRVTLQTSLCAVFLKPQIILFLTVINFRYLQRAPIDPFNREGKPAATQGVPLGGMGSGSITRGFRGEFRQFQIIPGACEPSPMMANQFSIFISRDGGNKKYASVLSPGQHDGTGTDQGLSSWGWNLSGQHSTYHALFPRAWTVNLTQSSKCHAVKFHHFYLIITEIVVFLPLFLFTRGEDGVSGVLLHHKTAKENPPVTFAIAACETQNVNVTVLPSFGLSEESCVTAKDMWGKMGEDGQFDRENFNNGATFPSSAGEANCAAVSASTWVEPNGKCTVAFALAWSSPKVKFMKGKSYHRRYTKYYGTSERAAEDLVHDALTNTKADGSNGSSLTNSSEEDEAEVPERDDSRNPPSRSHDDDEDVGSFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELSIQREFAKAVLSEDTRKVKFLAEGNSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGNQSFGTEVWPAVCAAMDYMDQFDRDGDCLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQATAAMAVQLEDKTSAQKYKSKFLKAKRAFEAKLWNGSYFNYDSGSSNNSKSIQADQLAGQWYTASAGLPNLFDDAKIQSSLQKIFDFNVMKVRGGRMGAVNGMHPNGKVDETCMQSREVWAGVTYGVAATMILAGMEEQAFTTAEGIFTAGCRLYPDPRSYSMHLVPM >KVI08268 pep supercontig:CcrdV1:scaffold_1099:136678:137418:-1 gene:Ccrd_013362 transcript:KVI08268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHVFFVVVVVFVILAGDYGVVSRDVVKGVRDEKGLLGKFKRGFKGGLGGGIGGGGGGGGGLGIGGKGGLGGGLGFHKGLGGGLGGGFGNDGGGGLGVGRKGRLGGGLGFHKGLGGGLGGGLGHHGGMGGGIGVGGGGLGGLGPRGGLGGGAGLGGGGGLGGGGLGGDGGGLGGGGGLGGDGGLGGVGGGGLGGGGGGGLGGGGGGGLGGGSGFGVGVGVGIGGGGGGGLGGGGGGGFGGGGGGH >KVI08270 pep supercontig:CcrdV1:scaffold_1099:65807:67063:1 gene:Ccrd_013357 transcript:KVI08270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRRKKWTEAEERTLIDKYGEMVCDGSLSKMKTREKKYKPIALHVNSIHHLGDPIVYPWEWSWKDVSNKVQNMRHQYSLVKQKIKRPGGSDPVVVSGGEGYDWVEGLTHWSNFLRYKEVFGDVTLPFGDLPAIGSNGFDENHQEMEIVEFGNLGQASSGGYVGGMDGAPNGVMGLEFDYDGGEGQENYNGNHVKEDGDNNGFVYGEIETMGSETRKKRKAVKNLEKKAWGFVANQLAQLREMEARFEQREEERERERQRRESLRIKKEEEREKQWEEREKVIEKLREGRNEEWEAMEKEQEERRRQRDEELIEARKWEERRNRRRCEWKARIDEMLSEHRAEMTQVQGRILEEQQNVTNQLVGMVSQWTGGISDNTSGSSHYFSQMMQNLQHVGGIVDGDARVEGHNEDDQFIVDG >KVI08272 pep supercontig:CcrdV1:scaffold_1099:72297:75657:-1 gene:Ccrd_013359 transcript:KVI08272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MSFLDPAMESKENIINRASRKRPFLVNHHLLNQIRSAKKRVVLGELTDSENINLTQSSDYKENLKPISELRQAENGYGVEEYVKSDHYVSLGSIQNKKFLNTPLIYQHLRLLELSGQVIGFCLRMLSAIEEKRRPLPNYMEKVQKDVTVGMREVLVDWLVEVAEEYKLVSDTLFLTVSYIDRFLSSHSLSRNKLQLLGVSSMLVASKFEEISPPHVEDYCYITDNTYTKEEVVQMEGDLLKYLNSELNNPTPKTFLRIFIRAAQEDSLLSCPSFEFLSWFLVELSLLDYSCLRFLPSMVAASAIFLARFTLQPDKHPWVRNIFIYNNSQRKDCPFSCAQMIKDDFLLKIQSMISGVGNAMLFGLLKQIQSC >KVI08273 pep supercontig:CcrdV1:scaffold_1099:93498:99953:-1 gene:Ccrd_013361 transcript:KVI08273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKPPSFTLILLLISSILFLQSRADGTGSVYFLDSSTHYYFRSRLSESKSMSLPELNEVLVANPFDRPHAVFLLEINGFGDKLSGHGSDSDVFSRALKSDVAIGDAEIQLSDEDELSLVSLNEPVPSDMEFTDSDLTNFALWLGGSYDNTASEPLNGQLTVPLANDVQLKLHMSKEADREYTRSIISLIHNVERAVQLHEDLSQSMLTLSELIKGRFDGLKAFQEHYGTSDIVQKGAELLVKSVSKIYESLQTAYKGQIVGVIVFDGSPAEESDLMLTVKFTTRPSPRWLEEEKGSHADAIRIAEVLLVRRTLAWITGIILIVATLMGICFLMNMPLTRDTLLYSNAAGEVRIRTRGITVILALFTWLPS >KVI08269 pep supercontig:CcrdV1:scaffold_1099:61097:64342:1 gene:Ccrd_013356 transcript:KVI08269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MGGMSSDNVHGLVLALSSSVFIGSSFIIKKKGLMKAGATGTRAGFHPSLLVFLSLYCFRMEKILYVYILYECSGGYSYLKEPWWWAGMVSMIIGEVANFAAYAYAPAILVTPLGALSMIISAVLAHYFLDERLHIFGVVGCALCLVGSTTIVLHAPQETQVSSVKEVWFFATEPGFLVYALIVLVFVGILIYRYVPLYGGTHLIIYVGICSLMGSLTVMCVKAVGIAMKLSFSGSNQFVYFQTWFFTVLLIALDTFNTAVISPVYYVMFTSLTILASMIMFKNWDHQNASQIVTELGGFVTIFSGTFLLHKTKDMGTPAMELPKLSNATTRSPNQSPRRPEP >KVH95598 pep supercontig:CcrdV1:scaffold_11:249324:253013:1 gene:Ccrd_002356 transcript:KVH95598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MEGGSSSKFKRVCVFCGSHPGRREVFSVAATELGDEMVNRKIDLVYGGGSVGLMGMISQRVFDGGCYVLGVIPKALVPLEISGETVGEVRIVSDMHERKAEMAREADAFIALPGIFRIASKLLDFLHENCINGVGGYGTMEEVLEMITWSQLGIHKKPVGFLNVDGYYNNLLALFDNGVEEGFINPGARHIVLSASNAVDLLTKMEQYTPAHDHVAPHESWQTEQLGNYPSNEHDSN >KVH95564 pep supercontig:CcrdV1:scaffold_11:495561:502428:-1 gene:Ccrd_002327 transcript:KVH95564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Src homology-3 domain-containing protein MEAIRKQATKLREQVAKQQQAVLSRLGKDAEIFDDEELHRHQKLQDLYSSTRVTKHFQKDIVRGVEGFISISTKQMQMAKRLAEDCCKFGTENVNAGFPLARIAQELGTSHSSMEEKRETMLEILNNQVSGPLRASIRGSPLEDARHLARSCDKLRQEVETQAVEVLRRQTKVRDPSVESALKLRNMESKLSKLRSSMVTLQKEAISAMLSVEEQQQQITLQKLVMMVDTERSYHRDVLAILEELHAEMILVMHIQDSSQSAAERDIFVASGDATQCVHDKHLNKNQDYDYFIGKVIHPFDAQADGELSLTVDDYVIVRQVSPNGWSEGECNGKTGWFPSAYLERQETQKKSF >KVH95616 pep supercontig:CcrdV1:scaffold_11:409000:410463:-1 gene:Ccrd_002338 transcript:KVH95616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 62 LEVRQITSFTKIWSSSEDGGATFFEPFPLPDGFSMLGCYCQTNDTPLFGWVLAGKDVSGGTLATPLDYTLVLSVTDSCYIWLPTPPDGYQPVGYAITSSPEKPPLDKIRCVRGDLTDECEPDVLLWGADNGVNVYSSRPNLRGTQAQGVSVGSFTIDNETNSISSCLKNNNFDSVSSSSMPNLPQIETLIQEYSPRIYFHPSETYLPSSTTWYFSNGVLLYHIGDESNPIPVETTGSNLPQGGSNDGTYWLDLPINETERDRVKKGDLQTCEAYIHIKPMLGGTFTDIAIWFFYPFNGPATAKLGFIDVPLGRIGEHIGDWEHMTLRISNFNGGLYRVYFAQHSGGMWVDTPSLEFQNGTNKLVGYSSLHGHASYPTPGLVVQGTNVVGLRNDTAKSDMFLDVLPSEIYEEDGPTGPKMKEYWDGDER >KVH95644 pep supercontig:CcrdV1:scaffold_11:713230:715662:1 gene:Ccrd_002314 transcript:KVH95644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRTRSHQKDQQNMGQAQHLIPDSYSNESCYSNSDAFLKQKHKTNSFFTVPGLFVGLNPKTSESDSVRSPTSPLDFKVFSKPNLGNLPTRASKQNEATQKSWDCNKVGLSIIESLEDEMKPSAGKILRSSDSKNILFAPQMRILNNPSLKTASFDPFDSLSNSLPKNYAIFPFTQIKKSNPKLINPLAQEPFAKFWSHSLDSANLGANLTSLTTRPTNLSTGLSNGQNLGKDLVASLSASEIELSEDYTCVRKHGPNPKTTHIFGDCILERHDDEFIAKSPKCEENEIKPSEVLTSYLSDDFLSFCYSCKKKLEGEDIYMYRGEKAFCSWNCRSEEILIEEEMEKNNREAGASSQIEIIPKLESCEELFETSMFIAA >KVH95555 pep supercontig:CcrdV1:scaffold_11:887287:888521:-1 gene:Ccrd_002293 transcript:KVH95555 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MSALNHLLDEQICYVQCGFCTTVLLVSVPCSCLSMVVTVKCGHCTSLLSVNMMRSSFLPLHLFSSLDNQEEPTVEVCREDVQVPKPALLSKHSSSPLISSSSSDEDNDDDLVLVNHVVNKPPEKRQRAPSAYNKFIKEEIRRLKTQHPNMSHKQAFSTAAKNWAHSPPSQQNEGGKSKGSGNESTVMMIQEPGSDDQPS >KVH95595 pep supercontig:CcrdV1:scaffold_11:913428:917328:1 gene:Ccrd_002290 transcript:KVH95595 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 2 VLVEHSEYWLHYIFQATTTQPFSIHLSPGFRGTKMARKKIREYDSKRLVKEHFKRISGSELPIKSAQVTESTDFNELAEKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKERLGKEIVMGGCKGPITTFIVEPFVPHHEEFYINIVKTIFLPTGVSLNQEICAPLVATLPLEFKSVIEEFIQHVYSLFIDLYFTFLEMNPFTLVDGKPYPLDMRGELDDTATFKNFKKWGSIEFPMPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGQRRALVVGGGIANFTDVAATFNGIIRAMKEKESKLKAANMHIYVYGPEATMTGICKQAIECITVAA >KVH95636 pep supercontig:CcrdV1:scaffold_11:344157:346349:-1 gene:Ccrd_002346 transcript:KVH95636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein QAPTEAAAEKKRWTLNDFDIGKPLGRGKFGHVYIAREKRSDHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQRCKYFSERRAATRYKTREPLDWCTVESAEHDASVDIWSLGILCYEFLYGIPPFEAKEHSDTYRRCLLEKLLSECRYTNFLSIRGSFRMPILQAYTRVDRSWWFVFLGQLTSSSSLTKRGANDIILFS >KVH95619 pep supercontig:CcrdV1:scaffold_11:466454:467488:1 gene:Ccrd_002331 transcript:KVH95619 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXTL2, alpha-1,4-N-acetylhexosaminyltransferase MTTGKLIFILFAVAWSRFVIVTSLRLLSGDHYCDQTHLPSPQALRSDQFTVLINGYSESRIRILQTVTGVYSSSPSVAAVVVLWGNPKTPSKTLVELSHNLSISSPGDAPISVLRQPSSSLNARFLPRPWISTRAVLICDDDIEVDPKSIQFAFNIWRSHSNNLEQLVGLFARSHEIDLTSRSWIYTVHPDRYSILLTKFMLMKTEYLYQYSCEGGTSMAEARSIVDEMRNCEDILMNFVAANKTGVGPVLVGAKRVRDWGDARNDGGRRRRGLMAAGEEVSVGLSSRKKGHRKSRGDCIKEFHRVLGKMPLRYSYGKMVDSIGEQGLCEKSGKLVFCDQQLSN >KVH95642 pep supercontig:CcrdV1:scaffold_11:645626:684061:1 gene:Ccrd_002316 transcript:KVH95642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPQDTGMETDLLARLAANHLFLGQIEPFRATILALRSRDPDLARSILQTIVANGGRYETILFSSNSSPALLTYVCTLELLQFNDPTSLVWSFDSDTLRLRAEFLLYVQNIMSRVLEIQKEKNNLGHNQMDIAHLDSIKRDEMGINDYGSQEKLKESSGDTGISEFDSKQHFSESKGDTSEMGINTFDSGTGHLSEESVTSTKYWDLEECLKVLNKISEVGFKRLRPDLVELDVRESEEEGTSGGSLEMEESEMMGLRGVILENAVIFEALCENIAKQVKRVQENDGGDDSGLAISLTVQEEDAKALKLIQRCVQMVHLDVMKECLKEGDHNGAVSHIQFLRLDHGVEEADYRMVLQDLVKRGLVGMVAYDDTWLAMRSKLLSIYEKALSSNSTRLSIQEELLSEEIEMHKVLNGNQVPPPLAQLLNFIAQMTPETQGSHTSSIKLVISACMRDMYHYARISGLHVLECVMDVALSAVKREQLQEASNLLVNNLSFARIPKKAIKNDFIILLLYPRLQPLVAVMGWDLLSGKTDMRRKLMQLFWTSKSQILRLEESSLYDKSNEVSAVEHLCDFLSYQLDLASFVACVNSGQSWSLKSSLLLSGKGHTELVNEDFQLDPFVENLVLFDVVPDIRFQEAIELFSMQPITSNLAAWKRMKDVELMHMRYAMESAVFALGAMGNSKNNEVKSYQMALCYLKDLSIHLEAINNIPRKIMMVNIVISLLHMDDLSCDLSPCPPPRRQPDTSNTYSGESADPCTNEEGNTMVVSFTGRLLNILRQNLPAAVTEEEIAVDGNVPTDGRRALEWRISKARSFIEDWEWRLSILQSLLPLSDRQWKWEEASTILRAAPSKLLNLCMQRAKYDIGEEAVNRFSLPPEDKATLELAEWVDGAFKRASAADAVSRAADGTSVVQELDFSTLRSQLGPLVAAQVMLSEIYPGRAPKIGATYWDQIYEIGIISVAKRLLKRLQELLEQDKFPVLQALLTGDLINTSSKDFQRQGHRERALAMLHQMIEDAYMGKRQFLSGKLHNLARAIADEENEREYMRAESSYPDKDALIHDKGGVLGLGLRPMRQTLSVPSTGEKSMASTSYDVKDAEKRIYGPLTSKATTYLSQFILHIAAVGDILLTRLVFDRGSTDAAAKVAEIMSADFVHEVISACVPPIYPPRSGHGWADIAVIPTCPKSSSECKLLSPSSREAKPSSYCPSSATPGVPLYPLQLDVVKHLVKLSPVRAVLACVFGNCILYGGSDSTISSSLNDVSVQKHDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEVAVTAENMISDGSDKPEAKTSVKRFREHDSDSDLEHDDLAVGTSIPVLSETTNETGIWQDSPKSETAEIDTTVFLSFGWENEKPYEKAVDRLIDEGKLMDALALSDRCLRDGASDYLLKLLIEREEENHTVFNRSGHSSFRIPSNSWKYCLRLKDKQLAATLVLKYLHRWELDAALDVLTMCHCHLGENDPSKKEVVLRRQALMRYSHILSADERYNSWQEVKCILNSDIYAMLIVILVEAECKEDPEGLALRLAEKGAVSAALEVAESAGLSIELRRELQGRQLVKLLTADPINGGGPAEASRFLSSLRDSEDALPVAMGAMQQLPNLRSKQLLVHFFLKRRDSNLNEPELSRLNLWALGLRVLAVLPLPWQQRCSSLHEHPQLIVEVLLMRKQLQSASLILKEFPSLRDNNKILTYAAKAISVTISPPRRESRIQVSGPKTKQKSGTPTRSSFSNSLSNLQKEARRAFSWNPRNSAEKAAPKDVQRKRKGSGLPQSEKATWEAMAGIQEDRVSSYSMDGQERIPSVSIAEQWMLTGDPYKDEAVRSSHHYESAPDIILFKELLSLCADESSSAKGALDLCVNQMRAVLSSQQLPENASMEIIGRAYHATETFVQGLLYAKSQLRKLSGGNELSSYSERSKDVEDTFSDAGSSTMGNQSTDEVSEVLSQADIWLRRAELLQSLLGYGIAASLDDIADKESSARLRDRLILEERYSMAVYTCKKCKVCMIHKHFAMYIFIFFYPMVIVMSGSLKIDAFAVWNAWGLALIRMEHYAQARVKFKQALQLYKDDPAPVIQDIINTIEGGPPADVSLVRSMYEHLAKSAPAILDDSLSADSYLNVLYMPSTFPRSERSRSGQEASNDNSSNSLEFEDVPRSNLDSIRYLECVNYLQEFTRQNLLDFMFKHGHYKDACMLFFPENAVPSPPQPSSLAVMSSSPQQKPDPLSTDYGSVDDLCDLCIGFGAMHVLEEVMSSRMSSTATVDVEVKQHTAAALNRICVFCETHKHFNYLYRFQVIGNDHVAAGLCCIQLFVNSSVLDESIKHLENAKMHFDEALSARYKSGGSTKLVTKGVRGKSASQKLTEEGLVKFSARVAMQVDVIRSFNDTDGPQWKYSLFGNPNDPETFRRRCEIAETLVEKNFDLAFQVIYEFNLSAVDIYAGVASSLADRKKGGQLTDFFRNIKGTIDDEDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYMIDPSISFVMSKKAHFRAFLSFKKKRKGLCCTSKAEKVEKVYVYIIRVIDQLFINSSCFGWLLCLRSIAKKG >KVH95618 pep supercontig:CcrdV1:scaffold_11:467478:469679:-1 gene:Ccrd_002330 transcript:KVH95618 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like protein MAMASANSGAVGGGCGGVGGSNGHTVFVYGSLLADDVVRVLLNRIPQSSTAILNGYHRSSIKGRIYPAILPVKNKKVTGRVLLGISDTELDILDKFEDYEYDKRAVDVSLLDTSEILQAYAYVWANSSDPDLYGEWDFEEWKKRDMKDFLKMTTSFVEELEDTTLI >KVH95614 pep supercontig:CcrdV1:scaffold_11:920055:921048:1 gene:Ccrd_002288 transcript:KVH95614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MGYLSCKAESTIAVHNPSKKSDKIHGNEDLIHKKIQHFDYSDLEAATNNFSDQKLLGRGRLVAVKKPSHQNNHHSALSAVPENEVENEIDILSKLHSPRLCSLLTLIQ >KVH95613 pep supercontig:CcrdV1:scaffold_11:97922:110185:-1 gene:Ccrd_002368 transcript:KVH95613 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDNAPGSKAFVRGGTINPGEKVKADIEGGNSKDVVSGSKKGSRYVPSFIPPPMATKGKEYEKKLNGLYCLQEEERPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREQWRDGRHTDTSTPSSRFDDFPDDFDPSGKPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYEYELKIGWGKSGATVVLSGPSGPPVTSVPSQNSELVDDHLRHVIDTMALYVLDGGCAFEQAIMERGRGNSLFSFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTDPFIMITGSGRWVPPALPTSKSPEHEESGATFAAGKSRVLRAEPEWTLTDAQRDEFEDMLRALTLERTLIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDVLHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRGITGRITAEALKERVLKVLQVWADWFLFSDAYVSGLRVTFLRSGSSGVVPFHSICGDAPDVEKKGNSNDIGGGGKINPDAALAMGKGAAMKELSSLPLAELERRCRHNGLSLVGGREMMVARLLYLEEAEKQRGYELNDDLRYVQNNASSGGHLSIPKEVDVEMKPVGLSGWTNRVEDDTQSEGKGSSSLASMINIPHPELKAFPNETKVESVLPASKWAREDDESDDEQKRSTRDLGLSYSSSGSENGGGGLGNTKDMEFASNAGISAHSDSGLNEEQRQKLRRLEVALMEYRESLEERGIKNMEEIERKVAIHRKRLHTEYGLMDSSEDASHNRRSSMERRERRDGSRESSRKRHRSHSGGESPQHKSSSRDRERESDVRERHHRINRSYDQESERGKERDRDRDRDRRRRMK >KVH95574 pep supercontig:CcrdV1:scaffold_11:67325:73177:1 gene:Ccrd_002372 transcript:KVH95574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSRVYVGNLDPRVSEREIEDEFRTFGVIRSVWVARRPPGYAFVDFDDKRDAQDAIRELDVVMFLVPFYFSGSRSYSPRGGRSPPRRSASPPRRNNYSRSPPYRGREELPYANGDNSIL >KVH95607 pep supercontig:CcrdV1:scaffold_11:123853:130493:-1 gene:Ccrd_002366 transcript:KVH95607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MHHSSSRQRAMTMGNVPAANIQGATTRVTRARAKVLGASRGLPPRHPLVKQDHKQALQPKAKRASSDNKSATDVASNVPAKRRAVLKDITNNLFEDSSIKVSNGIKDQVHISISFQLTSKQVKRCTGKKNGRVAPSLPVDPQIQKRKEKASESMTKQSTKELLKITSQLKSETDGAVIYKNPSIGGVPDLMHANQASNRYSGLGSSSQKGESKTTSEVEASKDQSIIDIDSKHKGPQMCSLYAAEVYSSLRTAELKWRHSVDYMKTVQLEISQEMRGILIDWLVEVCEEYGLASETFYLTVALIDQYLSKKCIGKRRLQLLGITCMLIASKYEEICAPRVEDFCFITDSTYTRGEVLEMEHTILDLLSFQLSVPTTRKFLRRFLLAAQSSYMAPVIELEFLANYLAELTLIDYSFLKFLPSLIAASAIFLAKWTLDQDEDPWNATLEHYTGYKGSELKVTVLALQELQLNNAAPLHAVRQKYSQQKYKGVATLTSCKPVKALL >KVH95603 pep supercontig:CcrdV1:scaffold_11:280627:281974:1 gene:Ccrd_002353 transcript:KVH95603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDLWITDLTSEICFGQELIAIVGGLTLFTMKHQELLKLDKQRRKGCVLIQRNFFILKPNNSVYSNRSSSRLFVKAINEAVYAYFSHRINHQVWKVYYKLIWVDINLEVHSPDPLVRLGGGLNQTQDQNRRKHYVSDTCLISILSHLIRKVSFEYPMGSRELTLGPEF >KVH95599 pep supercontig:CcrdV1:scaffold_11:229615:234263:-1 gene:Ccrd_002357 transcript:KVH95599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITEHRDIEKGDNKGLEDLVEPLVENKSKNVDISEHQNDSITMVLLSTAVAVCGSLEFGTCVGYTAPTQSAIVSDLNLSVAEGAYSLDTGRFFTGYGIGIFSYVVPIYIAEISPKDLRGGLTTLNQLMIVTGLIPPVFLLVGLLFIPESPRWLAKVGLELEFNHSLRKLRGKKANISAEADEIHESIVALQSLPKARLLDLFDAKYIRPVIIAVGLMVCQQSGGINGIGFYASQTFETAGYSSGKVGTILYALIQIPVTIIGVILMDKSGRRALLLVSSSGTFLGCFLAGTSFYFKFQLPHRLESCWYVLVVFGILCSNRCVRSEISSGNERKKLGRNSSLHQLVIFFMVVVVGRYLTP >KVH95591 pep supercontig:CcrdV1:scaffold_11:858720:864138:1 gene:Ccrd_002296 transcript:KVH95591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APLFHRLHFSLSLSLFLFLFSISHFKSIDRSISNKLFSGILTSKRMGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWHEEMIDFVGRVDLGCKFCF >KVH95640 pep supercontig:CcrdV1:scaffold_11:733957:744062:1 gene:Ccrd_002310 transcript:KVH95640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFLRSLVIMGRKTRYPNHRVSLLLSSSTKSPYLMNPNNIILCNCSSPSSSYTFLFCVTGFLCFLRYPFSSKANCDEIGQGLDRESVCEIVKNERWDDYRISKLIGSALAPIWVSTILLQLKEEPMLALKLFKWAETRPKFCHTSESYCILAHILFFHRLYNDAHGILKDLLSSSLILPSCDVFDIMWSTRNVCRFGWGVFDTLFNVLVELERFEEANDCFLRMKNFRVLPKARSCNSLLGRSTKMGKGDFSKKFFKEMVGAGIKPSVFTYNIMIDYLSKEGDVKGARSLFSEMKVLGVNPDIVTYNSLIDGHGKLGQLAESICIYDDMKSVGCHPDVITYNALINCFFTCTALLDGLSKEGKMKEAEEFLREMLKMGISPNVKSYTTLLHGYIKSKSITGAMNVWEQMEAKNYKPDLLLYGTLILRLCNDKKLEDAKVVFEEMKKNGTDANFVIYTTIMDAYFKSGQPTEALNLLQEMNDMGMSPSVVTYSVLIDGLCKLGYIQEALDHFRKMPGVGLEPNIIVYTALIDGLCKNDCLELAVKLFDEMLGKGVIPDIAAYTCLIDGNLKNVNVNEALDLWNKMVKTSIKPDSRAYISLICGLCRCGRMLEARTFFNEMITNGLHPDDIIYGCLVKKYYELGYTREAEELQNEMVGRQLMNGDRKSWWREVEIEWWQPLGKFCDKKELLLRGRTGRGRCLVAVTMVPRLVKLYMNRQMGARVPVQQYNLRSADPYIDGTSLHDLNTVDGRAGEIIEPMVDRDAVTDESLDNEDDSGAVECMHESYQNTLPLHNVGVEGGHSSLDTNGSSRDTYNILSADDVSPIETARARFLDFIVDSFIGSHVLEVSDSETDGISQSVEEKLSKRKTRDIQYEGDPRFVLPLMYVANMYETLVNEVNMRLSSLDNMREKTIGVALEAAGGLYRKLAKKFPRKGACIFKRRELATSFETRSRFPELVIQEEKRVRFVVVNGLEIMDKPTITHIDDAEWFKRLTGRNDVVVSARDYKFYAPRHKYRRSASNSVSSIPGFPTFPGADNSSPMSVAQGYRSLTESQNEQQTAASKQHMQGEFHILNQNHESMSSQYSQHNHQCEIGHTQQSSTMSQQMACLQPLSHLGGRLHVLM >KVH95600 pep supercontig:CcrdV1:scaffold_11:257642:261617:-1 gene:Ccrd_002354 transcript:KVH95600 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MENQFHVGDLVWAKADRHPWWPGLVYHEALSTPQARQAKKEGRVLVSFFGDNSYRWLNPKKLIPFESNYSVYSNHSSSRLFLKAINEAVYEVIHRAAIGMTCPCVFFASYRPSHVDGFLDVDLDGYQSXGVYTVQQIEGFRQEFRPVETLAFIQQLALDPSNVAEDINSSKEVAKVLGFRKARYAEVDEPYFVAFGVNPVATRAGDGVVASDNQEIALFQVSLQIPVRNDSIPRRAAKHRVEWMPTAISNDLLMEFEPRNLSSQYKTWPASSAKQTALTSDCNVEHKSRLVNSAWRYGSWQPGLRSIGYVEP >KVH95609 pep supercontig:CcrdV1:scaffold_11:167484:172922:1 gene:Ccrd_002363 transcript:KVH95609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MAGNWLLPAETTTSGRPVLRPGEVESSLQPSVDLVNEENPNLPSFKSGLLILTTYRILWLPNPDSTPSPSTSAAVAVPLGAVTHIFQSKKSIKGMFASPRVRFQVSVTTEGRVQERGSKSMVITLVFRGKSDPDSFVVKFWEAYRGRAWEGSVSASAEGVEGPAFLGDGGGSSSSDTGLALKMPVVGVSGILRKEQEMWESTDKSLQDAFQDLNALMNKAKDMVMLAEKMRQKLLSGSNSQVNATNDEEMGSKEEMQDWLLSVGIVSPVTKESAGALYHQQLSRQLADFVKTPLERAGGIMNLIDIYCLFNRARGTELISPDDLLRACSLWEKFNVPVMLKKFESGVMVIQNKSHSDEEVFSRIRSLVQKPDALQIGVSATDAARTLGIAPAMAKEHLLAAESKGMGFLCRDVSPDGFRFYINIFQDIDPNDEYLVKEYGVYDSWIRAAIASRSQSASTDDFKFCELTIVVSLPNRFLEYVGKKG >KVH95558 pep supercontig:CcrdV1:scaffold_11:470097:479406:-1 gene:Ccrd_002329 transcript:KVH95558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactokinase galactose-binding domain-containing protein MRIEDGVEEVSASRNHLVFAYYVTGHGFGHATRVVEVVRHLILAGHDVHVVTGAPDFVFTTEIQSPRLFLRKLVLDCGAVQADALTVDRLASLEKYSETAVAPRASILATEVEWLNSIKADLVVSDVVAVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEPAGWKLKEEYLPPGWLCLDTANGKNYASDKLSGARRLRDAIVLGYQLQRVPGRDISIPEWYASAENELGLRTGSLSVDSNNSSLPQNSDAGDFDILHGDVMGLPDTMSFLRSLAELDAEDIYVARAPGRLDVIGGIADYSGSLVLQMPIREACHVAVQKIQPGKQRLWKHAQARQHAKGQGPTPVLQIVSYGSELSNRGPTFDMDLSDFMDGDQPISYEKAKGYFARDPSQRWAAYVAGTILVLMKELDIRFESSISMLVSSAVPEGKGVSSSASVEVASMSAIAASHGLVDIPSHIRFWGIDSGLRHSIGGADYGSVRIGAFMGRKIIKSTASVMCSKSCPNGNGSNPDELEEHAIELLEDEASLDYLCNLTPHRFEAIYSKDLPSTMNGEAFLTKYNNHNDPVTTIDKKRSYGVKAATRHPIYENFRVKAFKALLTSASSEEQLTALGELMYQCHYSYSACGLGSDGTDRLVQLVQEMQHGKPSASGDGTLYGAKITGGGSGGTVCVIGRNCLRSSEQILQIQRRYRNATGYMPFLFEGSSPGAGKFGHLRIRRRAAQKQD >KVH95577 pep supercontig:CcrdV1:scaffold_11:929478:934018:1 gene:Ccrd_002287 transcript:KVH95577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEKRLWVFETLGLGVLCLLTWLNVSYATLSPSGVNYEVVALMAIKDDLKDPRNVMDNWDLSSVDPCSWRMVTCTLDRSVSALGLPSQNLSGTLSSAIGNLSNLQSVTLQNNAIFGPITAAIGKLQKLQTLDLSGNIFSGQLPSSLGDLKNLNFLRLNNNSLTGTVPESLSEVGGLTLVDVSYNNLSGPLPKLSARTFRIIGNPLLCDQNSANNCSNIYPEPLSFAPDGFTDQPSSTDKTHHLAVALGTSFGAAFLVILVVAMLIWWRYRKNQQIFFDVNDQYEPEVCLGHLKRYTFKELRAATDHFNAKNILGKGGYGIVYKGALNDGTTVAVKRLKDSNTFGGEIQFQTEVETISLAVHRNLLRLWGFCSTENERLLVYPFMMNGKGKLNLMVDKALKHDFDRVELQEMVQVALLCTQFNPSYRPKMSEVLRMLEGEGLVERWEASQSVETPRFRGLEGIPQRYSDYIEESSLVGEAMELSGPR >KVH95602 pep supercontig:CcrdV1:scaffold_11:285795:287596:1 gene:Ccrd_002352 transcript:KVH95602 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MENQFHVGDLVWAKADRHPWWPGLVYHEALSTPQARQAKKEGRVLVSFFGDNSYRWLNPKKLIPFESNYSVYSNHSSSRLFLKAINEAVYEVIHRAAIGMTCPCVFFASYRPSHVDGFLDVDLDGYQSXGVYTVQQIEGFRQEFRPVETLAFIQQLALDPSNVAEDINSSKEVAKVLGFRKARYAEVDEPYFVAFGVNPVATRAGDGVVATRAGDGVVASDKQEIALFQEDPSEAEILGQEKPKVKDQSKTKRRLKNKVKRRVKRYGRHDSNTTTSQDHVPQKKRQPKFELEKEVEFTSASEDKIQSSPSPFKEPLISNADSDLQQEETTFTPLHDLVTSRGASVCGIQCLSDEIHARYWQQKGETRVKVNQGSIDISEKMLYLLNKCSQIVLESKGF >KVH95622 pep supercontig:CcrdV1:scaffold_11:448709:453205:-1 gene:Ccrd_002334 transcript:KVH95622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAEEEPKKKVLFAVGNKRIIFSNPSFTISTEELGSLDKDEDEIESEPASPKASTTSGSSPASPKDDTSGSPSSTVSNLDPDPDSDPHQWHGFLRKLKKGSTSSLYGFHPSLHSIKRLTRKKSRKLTESIPALPHDLDAELHYFEASWKNFSLADLKSATNNFSHENLIGEGGYSEVYKGHLQDGQLIAVKRLIRGTPEERTSDFLSELGILVHVNHPNISHVIGYGVEGGMHLVLPLSQHGSLASLLRDQKEKLEWGVRYNIALGTASGLSYLHEGCQRRIIHRDIKAANILLSEDFKPKISDFGLAKWLPDQWMHLTVSQFEGTFGYLAPEIFMNGLIDEKTDVYAYGVLLLEIITGRPAVDESQHSVVMWAKPLIASKDFQELLDPQLSGACDLEQLHCIVSVASLCINQSPTERPKMSQVHRMLKGDEGILDCNKKFQKRTAFRKGKSMDLLEEDYDDSDLLQDDLNQQNQIALEL >KVH95580 pep supercontig:CcrdV1:scaffold_11:747733:750542:1 gene:Ccrd_002309 transcript:KVH95580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MLNEKHDLHLRLEIREGELAFIRLNMIDTDVDCLIETLKHLINFNHPSQICKTPWIDQLQLLHKGLTSLRTFLTRSEDTRYTVEKVKALVLRIIDVAIEVEHMVDSFVASFDNDCFLELDNVVRQMETIKRDVSGFDDHKIQDLQHERSSSRVNPAIPKEDNIIGFDVEAREILDRLVGNRKQLDVISIVGMGGLGKTTLAKRAYNDPYVAYHFYDHVWITVSQTYQKNDLLLRICSSLSLTITNEAAMNTGKLREMVYKRLKGKRYLIVIDDIWGVEAWDDIKICFSNDNNGSRILVTSRLVEVALHIKPDSSPHLLRFLTVEESWDLLQQKVFPEDGSCPKSLKKTGKQIAERCRGLPLAILVISGLLAKTEKTVEWWTRVLDGIGSYLVGDPEQDMNTLALSYNHLPQHLKSCFLYFGAFPEDFEIDVRKLLWLWVGEGFIEPATENIAEEYLINLVERSLVIVERKRLDGGIKVCRVHDLLHDLCFNKAKEENFLHKIKPLEEQLSSPNTSGFRATDRRLFIHSYVPDYVISKPSSSNTRSFLLTSNYISGLSNESIFFLYSAFKHLRVFEFGGVFTPFLPSEIGQLVHLRYLSLWTDGLVIPKSISTLQNLQTLVIRGKTFPIDFPFCKDSNMANLMHMSIWPSVRSKHPVALPKIRTILMLHLPVGRQPVLERAPNLRKLGCYVSPQRGGLVFFPPLDFLVHLEKLKIYIDHDYRHGLPTQMRFSWLNRLPHSLRKVTFLNCYLPWSDLSQLGRLPNLEILKILCAAFQGPRWDTNDGGFQKLKCLKIQSPELVEWNTDSDHFPRLERLIMNDCGRLKEIPMCLGDIPTMGFIELWRPRRSVAESAWRIYEEQQSLGNDGLKIIIHSRREYEPTFLYKPPKIMINYRHSSTDESGDEESSDGEA >KVH95645 pep supercontig:CcrdV1:scaffold_11:686636:690903:1 gene:Ccrd_002315 transcript:KVH95645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPTPLYRRHSSEEMMKNMASVSSSLLPAFGTLIGDDSPPLKKYVIAPQIPVNSNSLMSSPLELAFTKVATGSLMFLDLVVDLFFAIDIVLTFFVAYLDKSTYLLVGDHSQIATRYVTHMLFPMDIASTLPFQSIYSKAPLRSGLWLPQSTPAVAAKTCQSALLQRDSINEMLRYASKNRLPEGLKEQLKFKTAELQQEEVMEDLPKAIRSSIAQLRKEGKKGVSSSETHLGEAELTRGRREGGAKLQS >KVH95615 pep supercontig:CcrdV1:scaffold_11:917907:919016:1 gene:Ccrd_002289 transcript:KVH95615 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDTCNEQLPIHGDVLEAVLSHLPLIRLLPASRVSKSWNAAVSSALLVSNNTKPWLIIHTQNSRHPYPTTTHAYDPASNVWIQILHPPIHYVSTLRSSQSNLLYMLSQSKLSLSFDPLHLTWHHAAGPKVSRIDPVVAVVGGHVVVAGGAYDFEDDPLAVEVYDLRSQRWTKSDPMPESFNQSASSLWLSVASGDSHLFVMEKSSGVTHSFNPNNDTWSGPYDMRPDDRVFYSVIGFSDDKLVVIGMLGDAEDVDGIKLWEVNCESFEFEEIGEMPASLVENLKSQNLQISSIDVSMGGNMAYIYISSRPEEVIVCEFIDGGGCRWCSVVNTVANGWSVMDKLAFTCSKVGMEELQRATRSESRRFVVKR >KVH95561 pep supercontig:CcrdV1:scaffold_11:543961:555252:1 gene:Ccrd_002322 transcript:KVH95561 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase, C-terminal MGTVIDSHFLALTAIVTFGYQLLFFIVTALLKFDKVTDFAGSTNFVILSLLTLILKGSWHFRQVVLSFLVVVWGLRLGLFLLMRILNWGEDRRFDEMRDNLGKLAVFWIFQAIWVWTVSLPVTVVNASDSDPGLQAQDIIGWIMWSVGIVIEATADQQKLMFKNSPGNRGKWCDAGLWGYTRHPNYFGEIFLWWGIFVASTPVLEGAEWLVVLGPLFLTLLLLFVSGIPLLEESGDKKYGNVAGYRHYKRTTSPLIPLPPMVYGKLPSWFKVAFLFELPLYNRNLPKEEALGWDRSAGSSASKLG >KVH95646 pep supercontig:CcrdV1:scaffold_11:614189:620146:-1 gene:Ccrd_002318 transcript:KVH95646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTESLDMASEAKNRSEAITILYQILDNPAAFSEALRVKEQAIAKLSDLLREEGRGEDLRGLLTMLRSFFSLIPKAKTAKIVRGIIDSVAKIPNTTDLQISLCKEMVEWARSEKRTFLRQRVEARLAALLMDSKEYSEALTLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALDDPRXVYSLKYMLLCKIMVNQADDVAGIISSKALKFLGPELDAMKAVADAYSKRSLKLFEIALQDFKAQLDEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAGLIELPVEHVEKKLSQMILDKKFAGTLDQGVGCLIIFDNPKADAIYPSTLETIENMGKVVDSLFMRSAKIMA >KVH95634 pep supercontig:CcrdV1:scaffold_11:363643:365744:1 gene:Ccrd_002344 transcript:KVH95634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQGCVFLFFVLIFAFIGVEVTATGLPPESAPLIRQYYKVHNTCANVERFVRFQVKSFWDKDRTITPKLVKLLYADCMVNGCDASILLNGNNTEKASPKNRGLAGFAFIDIVKRVVESRRDGLKSEASWVDLPSPSISWESALAYFTSKGGHMMGRTRCSNVHDRLYNFKNTGKPDPSMESTTLSYLQQQCPKKVRLGQPNPLINLNPENPTHNFTNSYYKRVLANKAVLGVDQQLRYGGDTYELTDQFADSLGDLKGEFAFSMSRMGGLKVLTGTKGQIRRDCRVVNK >KVH95592 pep supercontig:CcrdV1:scaffold_11:870771:876571:1 gene:Ccrd_002295 transcript:KVH95592 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein, SBP1, pollen MLGGSNNNSLVPVFVDENLFQYPLNASNQLQLFGNVSTACNVDPVNYSAREHNSPLFRPNKRLREAEPNLMQKKLQISLNHNFYHDDSDRPSSIPNTHHVSTGLKLSYDDEERNSSITSASASMTLAPSIMSSFGDSITTELDRHKEEFERYIMIQEENMLKGMRDIRQRHMTSFLAAIEKGVDHKLHEKDVEIETINRKNKELMERIKQVANEAQNWHYRAKYNESVVNILKTNLQQALAQGNDNQMKEGFGDTDIENDAVSSMEPKNEVGLGSSCKVCRAKEVSVLVMPCRHLSLCKECDRFTSVCPVCQMVKTVSVEVYLS >KVH95630 pep supercontig:CcrdV1:scaffold_11:399041:400008:-1 gene:Ccrd_002340 transcript:KVH95630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHSVLSHRVLNRCWHQPSQPHVGYLANEVPVKQNVSRFEITVHNWLGFGLMEEQQAATDLSHNLPSFHLTYTRILNTRILDTLQEAEPYEDAECNTTLRPLAETAIDLELHRQPVCGLNDTCRPARNLLLRSYEWPRSIPLLLRSRQSCTFYIGKKTLNLRCSPMILAAHFSQQQLFSGIQVPQFFERLQPPPLPSLFLLFLSQQILQLHVKEASWDTFCFAVRASLHAELYISMVFVSSSFSLLISASTSS >KVH95632 pep supercontig:CcrdV1:scaffold_11:384595:386841:-1 gene:Ccrd_002342 transcript:KVH95632 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDFDHENVRSDINEDRLSSLPDDLIHQILSCNDIKFAVQTCLLSSRWKLLWTSMPCLNFSSRHFGSLPKFAEFVTHVLSHRNHQIEVTSVKLSFHGEASQAFVRKITSYAFSHNVQELTVVSFPRNHHEFPPCLFSSPSLKHFTLSCNFHVLCLAPKTPWDFPALTSLRLDAVRFCDDNTRKSVDLFSKCVNLKNLTLESFVVETVEGFDIITPRLSNLTLIKGRCLQVINLIAPQLENLTVIDCSIKYLNAPPGLSSLYYRGYCFSPLSKDRLHSLNKATIWLSIYCSNMPYKEEDARKTINMLQEVQSARFLTLNADIVECISSFPDLLSLHPSPFSNLICLNIDSSMRKDAYKVKISTEARNFLLENSPSATFIMALPEAPPTKAMQQKEARAKKKAKLAAEIESHMMELRTSLEQGKLHFETKQRFKLGFEDLMVRLQALTKMQIESERTLIEQVKESAEILKAGMQMQVYEREIIGTGIRAQLVTQIEACAGVLRALLKQECEESEFIFSRKFVVGLLLDNLPKRQRTEIEACYSRLLQESEARSVHLISERDASCQIIDAYEKFLSYMAS >KVH95567 pep supercontig:CcrdV1:scaffold_11:533828:535820:1 gene:Ccrd_002324 transcript:KVH95567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLTKKSSAATPNRSPSESPPKFKFNFSCFKNVPTSSASPKKKNNTNSSAEPPKISPAPALSKAPSTLADLKEMTSSNVDSIKRHLDFSHSAILKDVEASHSRLHKRYKIQNQTCQQTMNEAEKEFKKMNDRIKETHDAMQASYMELIAESQASTNRVCKTTIPELLQSVDRAIDALRNRYGIASTTS >KVH95586 pep supercontig:CcrdV1:scaffold_11:813847:815483:-1 gene:Ccrd_002301 transcript:KVH95586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MGALEWLRTLVETKPWDYCIVWQFGDDPSRYIQWIGCCCNGGPGVCRNVKEELDGTKQHFSVLCRDTYIKHSLKTKTCEKLAKIPFSLPLYSGIHGEVAMSAQPSWSHDPVGTQVIIPVDGGLIELYRSKQVPRDQEMIETLMAQFNILSKHEQFRVEDTETAAQSTLHPKTEGSANGSNPWSENSSLVSAGSAHVSPTHSVGKPITLLGYAISGQPKGNTKTKQKTGKEQYQSKNLVTERNRRHRIKDGLFALRSLVPKISKMDRASIVGDAIEYIKELETNVQELQDELKRLEDNDSKSHEDEVEVCKPKREYEHSPTNGHDLVSIALDSKKEVQVEVHQIGAKDFLIKLVCGQKRGGFLRIMETVDSLGLQVLDANVAT >KVH95552 pep supercontig:CcrdV1:scaffold_11:1015524:1017323:-1 gene:Ccrd_002280 transcript:KVH95552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDRHIFQFNQVDDHHQQPPIEEVLSFPIVLSDRILHAVDDSKSYKLECADVGKQVDRLSQMLRSAVRLANSTPSFYERPVRRIFTDVAKTLNRALNLVRKCRRGGFFRRFVSIVGVVDFRKLFNLLDASIGDVKWLLSIFDVDGESGGIAGGGGIVLSLPPIASNDPILSWVWSYIASLHFCSINVKIEAAHELSSLSRDNDRNKKIIIEEGGISPLLKLLKEKSSPEAQIAAAMALCNLANDQNNTRVIVNEHGIPNIVQIFRNSPILVQIEVAKLIARMAEHDSVSQEGFARENVIGSLVSFLSFNMFIDDDGLRGKTNKFLKKVIHPNVEINTQVDRSLIMCSDNSNKRGKKEPQDLILEFKTNCSGALWMLARGSIANSRKITETKGLLYLAKLIEKENGELQINCLMTIIEITAAAEYNPDLRRSAFKTNSPSAKAIVDQLLRLIHESDNPVTKIPAIKAIGHLARTFPSRETRVIGPLVKQLSHKNPIVGTESVIALCKFTCEENFLHAEHSKTIVEFKGLPPLMNLLRGNERTQYHALVLLCYLAMHAGINESFEQRRLLTALEGADNSVACRHSELRELVAKAVQYLKILS >KVH95621 pep supercontig:CcrdV1:scaffold_11:460178:464829:1 gene:Ccrd_002333 transcript:KVH95621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MKPAYTQYNKTPNNHTRPSKWKFFDEISGQPDSTLALLTKWRNVTRSDSEQGQWHLTTTLDDGIWKSHTVDCSMLVAFINQFCSMKLENAVTLFVGEVKKQSTTSVHLSNISDEYVAFKVKTTSPKRFCVRPNSGIISPKSACDFSGMNYGKKYPVIDLSTMSLFLCNGFTMQGLRSPASEVECKDKFLIQSTVVDAATKEEDVTLDLFSKSSGKYVEEKKLRVILISKEDSLVEEQKNKKQDSPVEEHKNQKQDYLLEEHKNKKQDSSIEEHKHKKQDSSVEEHKHKKQDSPVEEHNHKKQDSPEHKNKKQDSPVEEHKHKKQDSPVEEHKHKHKKKGSPVEDHKNKVLEQEPSNESLRKEEKLLKRDEHVSPKHMRTEDVKEVKSALPKEEPKPSGDLDGNERNVSKNLKFNPVKVVKEDPQKKLRLCNQLKDLKLKESTLENQLKEAKSTISSLTYQNSKIIEAKEILQLELARRSKKGFSFVSVCLVSLVGVIVGYFSQF >KVH95562 pep supercontig:CcrdV1:scaffold_11:556678:561272:-1 gene:Ccrd_002321 transcript:KVH95562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MVFFSITSCIYMIRKTGVVTTVTSAIPILQPCILEQPKNNLDHWITPPSNLMHTMSDKELFWRASFVPQLKKYPFARVPKIAFMFLTKGPLPLAPLWERKVVDFDSSDPEIAEWGRMSMCDAERRLLANALLDISNEYFVLVSESCIPIYNFTVTYRYITRSKYSFMGAFDDPGPFGRGRYNPNMLPEVNISQWRKGSQWFEVNRKLASIIISDVTFYPKFQEFCRPACYVDEHYFPTLLAIRAPNLIANRSLTWVDWSRGGAHPATFGATDVTEEFMKKLHEGRECVYNDKPSSVCYLFARKFAPSTLQRLQLLAPKFLGY >KVH95605 pep supercontig:CcrdV1:scaffold_11:296474:298198:-1 gene:Ccrd_002351 transcript:KVH95605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGSDSFQGSIRRKVLVHVATNEVVTSYEVLERILSSFGWERYYDDPDLFQFHKRSTVHLISLPRDFNKLKSMHMYDIVVKNRNVFESTHSCSYGLHAAAIPTHILDTQRKK >KVH95588 pep supercontig:CcrdV1:scaffold_11:787107:797608:1 gene:Ccrd_002303 transcript:KVH95588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKLSLTRDGGIVPDRSLYDKSSISMFIMPDKEPGILPDKLLRFKSRTCSFLKFPNSGQIGPVRKLLLRSNNGGKLMISLYCNGKPLQLVFLILKNGKSGNGSSNEISLEIRLGHHQMATVRY >KVH95557 pep supercontig:CcrdV1:scaffold_11:833497:845644:1 gene:Ccrd_002298 transcript:KVH95557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MRKKILVSFAPVISTFTPTFVRNSSTTASFFSPPLDSYFQPNSRDRVYACNKDIEALMKYGSIESALKLFDGMPIRDVITWNILISGCKRNGFPREALYYYGQMVSQGFVESSSTFSTVLGIYSDEGLYQEGLQVHSRVVVLGLSLNVYVGSALIDLYMSMGLVNFALRLFGDLPERNVATWNQLLRGFSEFGISHKLELYFRMKREGVVPNPLTFCYLIRGLGKDRFVNEGKELHSYAIKVGWAESDVFVSNALVDFYSACGSLTDAKRSFQVIPSEDVISWNSLVSIYAYNGLASNALEIFSMMQVWGKKPSIRSFVGMLNLSSGNLNILLGKQVHCFVLKLGFDHGNSYIQSALINMYGKCGEIESSVLIYKNVPRRTLEVCNSLMTSFAWCGIVEDVIELFGLMVDEAIGFDEVSLSTTVNAMSKSSCASLTSCKLLHNCAVKSGFELNLAVSCSLINSYSRHGHVKSCCQVFEQLSSPNVICFTSIISAHSRNRMGIECLKLLEAMITEGLKPDKVTFLCVLIACNYSGMVQEGKMVFDSMKTVHGIDPDRQHFACMVDLLGRTGLLEEAESLLKQAQFSAVESSLSDSSDMHKVKSKEIEHDEVELWDLPSWSSERGIKVLMNVDSFGAVGDGVSDDTKAFENAWKQACSTAEAVLLVPPDRTYLVNATRFKGPCAENLIVQIDGTIVAPDDPKKWDPRNPRNWLYFSNLKGVLFQGHGIIDGSGKKWQTNKHYEWKQALTIDQSSSIEVNGLTIQNSQQMHFAISRSQSVRIFNVVVSAPEDSPNTDGIHLTASTNVVIQNSKIGTGDDCISIVSGCSNIKMKTIYCGPGHGISIGSLGKDNSTGFVTAVVLDTAFLRGTTNGLRIKTWQGGSGYVRAVSYQNVRMDDVANPIIIDQFYCDSPKSCRNQTSAVEISQIIYQNISGTSKSPKAMRFACSDTVPCNHIVLNNINLARNDGKPAETFCNSANGFNYGYVQPSADCLTPSNDVSFIKPPKENEVEQDYLIHNELYTTETCYATRTNHWRHARDAFGSHHEEAQYQAILDKSEPKHPPLPLEEPYADPPHQGSMFNVLDYGAKGDGTSDDTKAFEAAWEDTCKVEASMMIVPSGYKFLVGPTSFSGSHCRKNVHFLLDGTILAPTDSESWGSGLDQWLEFTKLIGFTIKGKGTLDGRGSVWWTKSKLNEQSSGSVDANMPSTRPMALRFVEGASVTVTGITIQNSPQFHLTFDNCDGVLVHRHGISIGSLGLDGTTSCVSNITVRDINMHNTMTGVRVKTWQGGAGLVKGVLFSNIRVSEVETPIVIDQYYCDHGNCKNSISAVGIMDIAYQSIRGTYTVQPVHLACSDNKACMDLVLSDIELKPNPTDQQMYKPFCWQAFGKMNAPIMPEID >KVH95572 pep supercontig:CcrdV1:scaffold_11:86579:88282:1 gene:Ccrd_002370 transcript:KVH95572 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MVATWIDLNLNPTFHNTDDTPAKEDQTSRSYDDDEEDSEDCSFQKEVDHDHTVGDLSGIRNENRKLKEMVTIVWDNYNSLQAQVNKLMQEQEVLVSNPKKRKLDESTTRVEQSSWKRRNEEELSKTGIQRVYVQTDPTDKSLVVKDDYQWRKYGQKVTRDNPSPRAYYKCSFAPSCHAKKKVQRSVDDAGVLVATYEGEHNHKSRKQEAMDVLANECDPDQISTKSFFNFPKFDEVLLQQVATYLGKDRNFIVQLAAAISSRILDLDL >KVH95623 pep supercontig:CcrdV1:scaffold_11:443034:447093:1 gene:Ccrd_002335 transcript:KVH95623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKFKDDKPGRQPDKGSRVVSMAIMFVVLCGLSFYLGGIFCSEKNKLPTEPVARVVDSEEPIVSGPIQVKSVSFPECSADFQDYTPCTDPRRWNKYSQHRLTFMERHCPSVFERKECLVPPPDGYKEPIRWPKSKDECWYRNVPYDWINKQKSNQHWLRKEGDKFYFPGGGTMFRNGVSTYVDLMQNLIPGMKDGTVRTAIDTGCGVASWGGDLLDRGILPVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPINYEQRWRGWNTTIEVQKSDYEKLEELLASMCFTLYNKKDDIAVWQKSEDNNCYQKLDAPDNYPPICDDGTEPDSAWYTPLRPCVVVPNPKFKTTSLSSIPKWPERLHVAPDRLRGVHGGSAGSFNHDDNKWKNRVNHYKKLLPVIGTDKIRNVMDMNTVFGGFAAALIDDPLWVMNVVSSYALNSLAIVYDRGLIGTFHDWCEMKYVLLEMDRILRPNGYTIIRESTYFLDGIGKIAKGMRWDCRVEATEDGTEKEKVLICQKKLWYSQQNS >KVH95570 pep supercontig:CcrdV1:scaffold_11:15579:16716:1 gene:Ccrd_002376 transcript:KVH95570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MYSDMRVSNITDKHVAFKVKTTSPKKYFVRPNTGVIQPWEASMIRVTLQAQHEYPPDMQCKDKFLVQSTAVAPHTDVDQNTYLVISDATLLHACIQFSKEPGKTIEEYKLKVTYVLPTPAHQGPSDDHNVKQNFDATSNQAIQDARASRDAARKEADKLKQELEMLKRKSSTSDAGFSMKVAIVAGVIGIMVGFLLNLVMSSPHETPLPPPPAAPTSMPTTQE >KVH95611 pep supercontig:CcrdV1:scaffold_11:198968:203315:-1 gene:Ccrd_002360 transcript:KVH95611 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MRFREQQQKQNRNCGEYSVYGGSSKKAVGVKMATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFKFPENSVELYAERVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMENGAKGCEVIVSGKLRAQRAKSMKFKDGYMVSSGQPGVLGIKVKIMLDWDPTGKLGPKTPLPDNVIIHMPKDDVIALPPKEVEEYRPPLVITDEPIPMPVPVV >KVH95565 pep supercontig:CcrdV1:scaffold_11:505214:508421:-1 gene:Ccrd_002326 transcript:KVH95565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MGLETGTNTTAAGHQHHKSPPPESNNHHHHQTPKLVIIFAMVAFSCLFLYHSSLPLRFPGIIGHRNSRSAAINNNTIVITAINDAWAEPNSMFDLLLESFQIGNQTQRFLNHLVVIALDQKAYARCLKLHPHCYNLNTDGVDFSEEAYFMAADYLKMMWRRIDFLHIMWFRDPFPHFHEDGDFQIACDYFRGNPFDLNNLPNGGFTYVKSNVRTIQFYKYWFDSRLNYTGLHDQDVFNKIKFDPFISHIRIRIRFLDTSFFGGFCEPSRDFDKVCTMHANCCVGLENKVHDIGIMLQDWRKYMLSLGNETATLSSASWTVPQSCRGSFQRPRAPKKRGNKR >KVH95563 pep supercontig:CcrdV1:scaffold_11:565230:572116:-1 gene:Ccrd_002320 transcript:KVH95563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF866, eukaryotic MVNYMLYISGELENLTNFQPRGGVDDPDFTYYFKLKCENCGEVTEKETCVSLNETLPLPKKGTTNLIQKCKFCKREGTVTMIPGRGSPLTNDSSETGKYAPLMVFDCRGYEPLDFAFSSGWKAESMEGTKFEDIDLSGGDFAEYDEKGECPVMISNVRAMFKVVDLSKKNL >KVH95578 pep supercontig:CcrdV1:scaffold_11:988004:990934:1 gene:Ccrd_002282 transcript:KVH95578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRISVAALQLVELFVSSLVHLLYGFYIFSSAVAGDLSLALNDLFFKSNIEPSITDGKFESSDNDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDEKVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEKGHYPQWDEEHPIHFVGHSAGAQVIRVLQQMLADKAFKGYENTSENWVLSVTSLSGAFNGTTRAYLDGMQPEDGKSMKSICLLQLLRLGVIIYDWLDISVLKYYYNFGFDHYNMSWRKAGIWGLVDCLVGNSGPFASGDWILPDLTIQGSLRLNSHLNTFPSTYYFSYATKRTRKFMGFTLPSNILGIHPLLYIRVLQMSQWRHQPDVPPPFKGYRDEDWWDNDGAINTLSMTHPRFPVEHPSRFVVEDSECHPLQPGIWYYKIVEGDHILFIVNRERAGVQFDLIYDSIFERCRKHAFRKIPTMPDHVQ >KVH95594 pep supercontig:CcrdV1:scaffold_11:901233:908528:1 gene:Ccrd_002291 transcript:KVH95594 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein MAIFASVPNPNLFSSLPTPPPQPPNNNPSTIKPPIPIPKYPPLHKSQNQPPPKPQSSPAFKTFHRRSKYYKPVRDGDVVSSDGDRSVVVGESGVSYQLPGAPFEFQYSYSEIPRAKPIAIREPAFLPFAPPTMPRPWTGKAPLKKSTRKIKLFESLNPSTNAKQLEMLKPYELGNFEVKPREEVLGKPLSRAERQELVKRHVSSNRQVNLGRDGLTHNMLELIHSHWRRDPVCKVRCLGVPTVDMKNLYRVLQEKTGGKIILRTGGVFYLFRGRHYDPHNRPQYPVMLWKPATPVYPKLIQEAPEGLTKEEADELRTKGKNLPPICKLAKNGVYMTLVKDVRQAFEESSLVKIDCRGMHASDYKKIGAKLKELVPCVLLSFDDEQILIWRGQENIEDGSYKSESRTVVSSPRIMSLWRRAIELNKAMVLEDIGVGPDDLLEVVEEFETVSQVAEHTYDAVIMSNEEKSDDPEEEDYSEDESDFDDEGSDDEYGDLSEKSAPPGSLPVDFLAEQLGDGDEWRPNPLGYWLNWRFFLCALWILIAMIAATTLVIKYEVFSKKTSRVKDREHDVEPIGILYEDETWTTSLKALHPAWLLVYRLIAFGVMLALLIANLILDGADILFFYTQWTFALVTFYFGLASSLSIYGCYQYWNGVGDNNISHAILDTERGTYMAPSEGLNSHSMPINLSNQGETHVRKAAGIWGYFFQIVFQISAGAVGLTDSVFWFIIYPFLTPSSYSLNFLDVSMHSINAVLLLVDVALNRLRFPFFRLAYFVLWTCVFVIFQWIVHACVSMWWPYPFLDLSSSYAPIWYLGVGLIHLPSFGIFALIVRGKQLLLSRFSGTHEA >KVH95551 pep supercontig:CcrdV1:scaffold_11:938674:942288:1 gene:Ccrd_002286 transcript:KVH95551 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MIMNLKKLFAISDHFPNCASSRKKVVFGFGLGFVSFFIYLFVLFSKFSFKNPTPNQLFFQGFNIRNNSSLISWSFSFSRTSSSNSTSSSSTRAIFVENATQVEQNPTILISENIAESNGSNMDISLKFLGDFDGKNGSFNGISEKGIDFEDTHLAKLKDDVENATFTAIIAKPNEGKVTIGEKSGNGSNRSVESDGLDLNLDGFSNKGVRESSFKGENGTFETLRVDLMDRTTSMEDYYQEGNHVYPRLKALDAYTRALSTWAKWITNWQACDCRGGQWNSGGQCHKETEPIFNTSQLSKYPSKMRAFDNVMRVMKTPVIYLNISRLTDYRKDGHPSVYRKMYKSLSLKQQIGAEQSQDCSHWCLPGVPDAWNELLYASLLKVGRGSWKS >KVH95583 pep supercontig:CcrdV1:scaffold_11:772573:775974:-1 gene:Ccrd_002304 transcript:KVH95583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MVAVLEIWVLVIVLVVSLQSHVLVISQNLXCNPDDLRGLRGFMDRLESQIDGWPTNSSSLSSLPCCNLVGVTCNSSSGRIVGLALQKRRLTGNLSDXISNLDQLRTLNLSHNFLKGPLPISLFHLSHLEEVDLSSNKFDGVLPVSINLPALQVLDISDNAIRGSIPAALCVNSTGIRLLRFAVNYFSGSIPPQLGNCLLLEHLCVASNFLTGEIPEFLFRLPRLRELALQDNMFTSFPGIGNSSSRLVRLDVSSNRLSGNVPDFFHSXPXINYFSAHSNNLSGGIPSSLLNSQTISYLNLRXNXLDGLIXFNCSIMVNLTSLDLGTNNFSGSIAHLPSCSKLKAINLARNRLIGQVPESFKNFQSLSYLSLSNCSLNNLSTSLKILQHCPNLTVLVLXMNFXTEQLPSDDDLQFRALKALVIPNCRLTGSIPSWLNGLTELQLLDLSWNHLTGSIPAYLGXFKSLFYLDLSNNSLSGEIPKSLTXLPSLISREISLEEPSPDFPFFKKRNISGRGLPLQYNQIMSFPPLLDLSSNILTGPIWPEFGNLKKLHDLDLKHNNLSGGIPSSLSGMRSIETLDLSYNNLSGTIPPSLVRLSFLSKFSVAYNNLRGSIPSGGQFQTFPNSSFEGNQGLCGGGHVVCESRKIPEFTSRKSEKNIGKIVGMAVGICLGTVFLLALMFMVVVRATGRQEVDPEREDGNDGDDDDDNKKHFDELDSKLVLFHNNKELSFDDLLKSTNSFDQANIIGCGGFGLVFKATLPDNQKVAIKRLSGDCGQVDREFQAEVETLSRAQHPNLVLLQGYCKHKNDRFLIYSFMEHGSLDYWLHEKPDGRSELDWKTRLNIAQGSLRGLAYLHQSCDPHILHRDIKSSNILLDEHFEAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQAAVASFKGDVYSFGVVLLELLTGKRPMDMCKPKGSRDLISWVLQMKTERRENEVIDSFMFNKEDAKEMQLVLGIACVCLNESPKLRPSTSELLSWLDNVGKQ >KVH95553 pep supercontig:CcrdV1:scaffold_11:992898:996711:-1 gene:Ccrd_002281 transcript:KVH95553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MASRNWVFLLLVFLIHGILALPESQPTQIPFSCYKLSNPCNSILYQHNDLTENNISSLYSVDASQIQTIGDGDNQDHLITVPCSCQNVNNTVAYFYNVIYSVKPDDTFLNVSDQLYSGQAWEIGDEGGDFKANESVTLHLLCGCTETDSKIMVTYTVQQHDILIDIANRLSAEVDEIQHANSVLLREPGFIKPGWVLFVPMYKNGIPPPPSKKRNHKWVIVFSVLLAVIALLLCMSILMFMKRKRSQEHDKENAKVVSKTMSFHRSASWKTRYLGKENMEDITGFDTERPLVYDLDEIAEATNNFDDTRKIGEGGYGSVYFGVLGGKEVAVKKMRSNKSKEFLAELKVLCKIHHINVVELLGFASGDDHFYLVYEFISYGSLSEHLHDPLLKGHQPLSWTARAQIALDTAKGIEYIHDHTKERYVHRDIKTSNILLDNGLRAKIDLKRNAHKVADFGLAKLVGRTNDDDFIATRLVGTPGYLPPEFRSTSYNPHPFNRSVKELHVTTKTDVFAFGVVLAELITGKRALMRDNQEPNKMKSLITVITRVFEDSDPEGALVSIRDGSLRDSYPMDDLYKLNGKHHWEGVAKFLVVYSMGDENLDIS >KVH95629 pep supercontig:CcrdV1:scaffold_11:392614:394764:1 gene:Ccrd_002341 transcript:KVH95629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELTCNKQLIDESHQNTHYDSEWHRYNLKRKSDEETKDKPDPTCCFMCDKKHKTIENCMVHMHKHHGFFVPDIDYLKDPIGLLTHLGLQVKHDYKCLYCKHICRPFDSLEAVRNHMVAKSHCKVHYGDAFDEEEEAELEEFYDYSSSYVDENGKQLVIGDGTGDRIELGTGGSELMITRPTGDRISTKIIGSREYLRYYRQKPRPSPNTIPATAMLASRHRSVCMSTVQAKEKMVTKKLMNTRSRVETTRTKIGMKNNVIRNFPKSVSYLKR >KVH95573 pep supercontig:CcrdV1:scaffold_11:73653:76900:-1 gene:Ccrd_002371 transcript:KVH95573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MYAANHWGGSLEITNDPDDQNRRKEDMDKASLYNIQTHQSGTLDETQQSWLLDPNEASRKKSKTRYVDLGCIVVKRKVLKWTVIGILVAFLVIGVPIIIAKSLPKHKSRPPPPDQYTEALHKALQFFNAQKYLIQFIKKKFLINDTAGKLPKNNGIKWRGNSGLKDGSDATDVKGGLVGGYYDAGDNTKFHFPMSFAMTMLSWSVIEYEHKYKFIEEYDHARELIKWGTDYLLRTFNSSATKIDHIYGQVGGSQNGSAIPDDHTCWERPEDMDYKRPIQLITAGADLAGEMAAALAAASIVFRDDTAYSKKLVQGAATVWTFARDEGKRSPYSRGNLFIEPYYNSTGYYDEYIWGSAWMYYATGNSSYLWLATNKGLSRHAKALLDNPIQRVLSWDNKSPAAMLLLTRIRMFLNPGFPYEDMLSKYQTATSLTMCSYLPRYQVFNRTRGMHNAFFDIILSLTSNVNADIKAIIFRAGGLIELSQGRPQNLQYVANAVFLTSLYADYLDAADLPGWNCGTSFMDYILGKNPMDMSYVVGYGKKYPTHVHHRGASIPDNNIRYSCKGGFRWMSTGKPNPNTITGAMVGGPDRFDKFRDVRSNFSYTEPTLAGNAGLVVALASLTTTGGKEGVDKNSIFSAIPPLYKAAPPPPPPWKP >KVH95584 pep supercontig:CcrdV1:scaffold_11:758434:761993:1 gene:Ccrd_002307 transcript:KVH95584 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1084 MYRALVGPSNSSVVVMMYTLKDAHNWWHVLNDSPLWQDRIFHLLAALYGLMGVVALKVFHFLNFLVNAVRCLIFAFRRDIQHLKPEIVQHILIDVPSLAFFTTYALLVLFWAEIYYQVEFLLFIMCLLFLLGAEWIMHGLSQLMIGLWLVIWWKPVRVLVILSKMFFAGPATFIICFRNFVFLKVASPVFFARGVFICRLGISPIWWKTLLNVATVGYVTSICFACFLIRCVMMCLNAFNKAANLDLLEHPVLNFIYYLLVEILPSALVLFILRKLPPKRGINQYHNIR >KVH95610 pep supercontig:CcrdV1:scaffold_11:190108:197038:1 gene:Ccrd_002361 transcript:KVH95610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGGCFPCFGSSNKEGNGVKEVSKKDIGKEGSATHQSHHVSRVSSGKSKSRVNLDPKKDQMVTKDGQTANIAAQIFTFRELAAATKNFRPESLLGEGGFGRVHKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEHNLARPLFKDRRKFAKMADPLLQGRYPVRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPEAARTERSNRGSGSGTPRSRRNPSEGSGGGGLDSLDPQGSPSAFKNSPDYRKIGGDGESSNGGGGSGRKWAVAEDLDGSQRNSPANTSRGRNRDRDLERERAVAEAKVWGENWRERKRTNAMGSFDATNE >KVH95643 pep supercontig:CcrdV1:scaffold_11:629666:642014:-1 gene:Ccrd_002317 transcript:KVH95643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 2, C-terminal HQKNEQATEKKRTIDSLSEASISEILVSWNRFCLITEAIVKGDDADLSSSYSKFQSYVSSLCKYGLRSLVEEHFLTSLQMQEDEIELLLCEALEEISLEKQYQEKYLLMLVKSLQQCQDSTSMEGHPSDVEKFFFSKYQLIVSSVLMTTLPRQFPGLALTAKPYLSNIQDKCMYRRPLHILSLSRPLLCKVSKHLPINMFLEVLHWYFKGRLEELSTIMAGNYEDNESQDTNGMESYGKGKHPTRFGNMDIDGSYDQKKILENKLVRNIGMVVHNLRSLGFTSMTEDAYASAIFLLLKAKVYDLAGDDYRSSVLESIKEWIQAVPLQFLHALLDYLGDSISYLSPSSMKSPLASSPSSCYPGASKPSEGIIRWQLRLEYFAYETLQDLRIAKLFEIIVDYPDSAPAIEDLKQCIEYTGQHSKLVDSFIFALQYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIREYLRGRKDTIKCIVTMLTDGTGGNNNGPGNSGDSLLEELNRDEENQESAGLDDDINTDDKQAWIDIQRFDVNLQTLFSWEPDPVEADPLKGSRYRRKVDVLGMIVGIIGSKDQLVNEYRVMLAEKLLNKTDYDIDTEIRTLELLKIHFGENSMQKCEIMLNDLIDSKRTNTNVKATINQLAQTGAESQEHEASFDILDATIISSNFWPPIQDEAVNIPEPVDQLLSDYAKRYHEIKTPRKLLWKKNLGTVKLELEFEDRTLQFTVTPVHASIIMQFQDQKTSWTSKNLAAAIGVPVDTLQRRISFWISKGIIAESSGEDSNDHLYTLVDSMADGGKTGINNEELVADDDAERSVASVEDQLRKEMTVYEMFCVSDPTYDKSLQQLQFFLSGLVAEEKLEFRDGMYFLKK >KVH95566 pep supercontig:CcrdV1:scaffold_11:527009:531132:1 gene:Ccrd_002325 transcript:KVH95566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2358 MTTIFMAGAICLHARLPLHLTQPQRRFRNSFRCSKTNDTPQLLKIVVSGATEILRLFSSFNKNELNEMVPEQNYETSASCLDDVMMILKSDYDNAYFVTGNFTSGIYAEDCIFEDPTIRFRGKDFTFFFQLCIHERILMVCIHSGKELYSRNLKLLVPFFELPSISLQSIKKVLNSETESIMASWRLRWTRLKLPWRPLISIDGTTVYDLDNQFRIVKHVESWSVSPLEAIGQIFTPSSGGPV >KVH95604 pep supercontig:CcrdV1:scaffold_11:312130:319663:1 gene:Ccrd_002350 transcript:KVH95604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MYSSMDEDEGYDESSVVSSMLWVRNLRRYIKSDPGISSEAMMGIRYIIAYRLLMQKQSDILLDADDLDAMWLCLRENCVVGYEDFCQIASLCTEQIGSKCRRFFNPSNFMKFEKDESGRISILSFYLYAMRTVSLTQARIDMSELDEDSDGFLQPHLRDMPAAFVQMYCHIAAHKFFFFCDPSRRGKACIKKILLSNCLQELMELHQENDGEGDTDQNDNWFSVASAQHTFLDLDKDTNGTLGKDELRAYAEGSLTDIFIERENKDTPEGLTYLFRCLDLHGRGYLTAADIHILFRYVREKWIQVGNYELCTEDVRDEIWDMVRPADPLRITLSDMLACKQGGTIASILIDVRGFWAHDNRENLLQEDEEPLDIDMTRN >KVH95590 pep supercontig:CcrdV1:scaffold_11:847058:857086:1 gene:Ccrd_002297 transcript:KVH95590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MTGNEFRFFLSCDINLPVTFRIEKLEGHLPSTNSSNPADLGGVVDDNKPELYVECALYIDGAPFGLPMRTRLESSGPPICWGELITLSTKYRDLTTNSQLALTVWDVSCGKNEGLIGGATIHLFNMKKQLKTGKHKLRLWQGKEADGSIHSTTPGKVPKEERGELERLEKLVNKYERGQIQRVDWLDRLAFKAMDKIKDLESSKNGSSHLYVLVDFCSFEHRVVLQESGPNLLIPASITSTNELVTVWDPEVGKINPSEHKQLKLARSLNRGIIDRDLKPSSTERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIELMGRWEMIDVCDALELLSPVFESEEVRAHAVRVLERADDEELQCYLLQLVQALRFERSDKSRLSQFLVQRCMPAMISMKKNLKSYLPTCFCNPTFSLRFVALSNIELASFLRWYVAVELHDPAYAKRFYSTYEMLEENLIKVRLGTGACDGGDGFKLWQSLVRETELTAQLCSVMRDVRNVRGGTQKKIEKLRHLLSGLLSELTYFEEPIRSPLAPTVLITGIIPSDSSIFKSALHPLRLAFRTANGGCCKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYRVLATGHDEGMMEFIPSKSLAQILSEHRSITSYLQKFHPDEEGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEDCIHFFQDLINESVSALFPQMVETIHRWAQYWR >KVH95593 pep supercontig:CcrdV1:scaffold_11:879938:883841:1 gene:Ccrd_002294 transcript:KVH95593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPHHRCQPSLPCFHCHPTTYIRLVQHLIERCLLLQMDRDECIRVLAKHVCIHPIITLTVWRGLQKENTEFFQAYFHAPSRRPFMRYVHKAPRFGRRNHLRR >KVH95628 pep supercontig:CcrdV1:scaffold_11:327163:332838:1 gene:Ccrd_002348 transcript:KVH95628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASSAVAKSCSDNSTSSSQVSPAMLVQEKGSRNKRKFRADPPPLTDPNKTISPSQNDYLRYEFSAENFVSQEHSNGCDMCSFSHENTDPVELDLRLSCSVGVGSAEVGSNHNRVEIEASDEYHDADWSDLTESQLEELVLANLDTIFKSAIKKIVSFGYTKEVATKAVLRSGLCYGCKDAVSNIVNNTLVFLRNGQEVDSSREHHFEDLQQMEKYILAELVCVVREVRPFFSTGDAMWCLLVSDMNISHACTMDSETLCSLVGDGASNGCISDSVETQLKKEANSTGNPQSPCKPTHSSLCAHSSPLEAPVMASIACGHNSMSGAPTKAEVPQSKPKAPFVLNRFASEKENRDSTYRMMSKSFSPSSQNQEEKVVGGRKITGINKRESILRQKSVHLEKSYRTYGSKGASRAGKLSNFGGLLLDKKLKSVSESTGINLKNLSLKMSKGVGIGLPQDNITDNGSTQIGLPSSSVFNTETADNSISTLPQTSIPLMLPSVDSPPALLAAETELSLSHPPKGNDAPMPDYSNPSFSAIPYDKSFRQWISHAKKDETILKLVPRVHELQNQLQEWTEWANQKVMQAARRLGKDKAELKTLRLEKEEVERLKKEKQTLEENTMKKLSEMENALCKASGQVERANSAVRRLKMENSNLRHEMEAANLRAAESAASCEEVSKREKKTLMQFQSWEKLKTLFQEELVTERRKLTQLQQDLELAKEQQDLLEARWKQEEKAKAELVSQANSYRIGRLEGEASAKLREDLTRLKADKNLQRYKEDIEKLEKEISLLRLKADALKIAALRDGSYASKLADTKTSPSQQESHTLYSISKTVNGSRGGGVKRERECVMCLSEETCVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRGPIQRRICVRYARS >KVH95554 pep supercontig:CcrdV1:scaffold_11:175799:185592:-1 gene:Ccrd_002362 transcript:KVH95554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MERRRWLMLLLMACLFVGSTGRGFRIKHTVESAAYNHTLATILVEYASAVYMSDLTELFTWTCSRCDGLTKGFEVLELVVDVQSCLQAFVGVADDLDAIVIAFRGTQETSIQNWIEDLFWKQLDFDYPGCTDAKVHHGFFNAYNNTIMRSGILDGVNRAKEIYGDLKVMVTGHSMGGAMAAFCGLDLAHIYGSKNVQVMTFGQPRIGNAAFASYYSEHVPNTFRVTHEHDMVPHLPPYYRYFPEKTYHHFPREVWLYNVGFGFLIYEVEKICDGSGEDPECSRSVSGNSISDHLSYFGVEMGCDTSSKCRIVMAPTLASYGNVDNNGNFALSRLPSKFILKMKTEPGVNNTNHRVY >KVH95576 pep supercontig:CcrdV1:scaffold_11:954300:954584:1 gene:Ccrd_002284 transcript:KVH95576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASCAELQIYECIGADDMVSCGHTMTEGVSHDSVRKVVSNAAMDAPRNSDYFAQELALSGTANELAYVLADCWKILNENSCMECLERVSASVL >KVH95647 pep supercontig:CcrdV1:scaffold_11:593581:596188:-1 gene:Ccrd_002319 transcript:KVH95647 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MSCLRELEGKMVHDHHHHHHPHPHPHYLQDHGLTRRVWVPGPVIVGAGPSGLAAAACLKDKGVPSIVLERSNCIASLWQFKTYDRLRLHLPKSFCQLPLMPFPEDFPTYPSKQQFVEYLEAYAKRFGIKPVFEQEVESAEFDDSVGLWRVKSVGLKGEEMEYVCRWLVVATGENAEALVPEIKGIESFHGKVQHTAEYRSGSSFKGKKVLVVGCGNSGMEVSLDLCNHNAHPSLVVRNAVHVLPREMLGRSTFGLSMWLLKWLPVKLVDRFLLILSWLIFGDTASFGLDRPQVGPLELKGKTGKTPVLDVGTLAKIKSGDIKVHPSIQSVGHHSVQFVNGRVENFDAIILATGYKSNTPSWLKEKEMFSEKDGMPWKPFPHGWKGECGLYAVGFSRRGLLGSSTDAKRVSEDINKFWKAEAKHMAMTIGRSFTFQP >KVH95556 pep supercontig:CcrdV1:scaffold_11:822139:826259:-1 gene:Ccrd_002299 transcript:KVH95556 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MAENGEVDVHDVRSLFSSSERDYLVRNNGDQVAIATLQGKRLGLYFSASWCPPCQRFTPNLVDVYDELVAKGDFEVIFVSADEDVESFNGYFSKMPWLAVPFTDSKTREALDGRFKVKGIPHLVFLDENGKVLSDRGVMLVSEYGAEGYPFTPERVKEIKEQEEEARRNQSLRSILESPSRDFVITANGNKALVTNLEGKTVGLYFSLSSFKKSSDFTGTLVKTYNELKAKEENFEIIMIPLDDDEESFKKELDGVPWLSLPFKDKKCEKLVRYFELSTLPTLVIIGPDGKTLHPNVADAIEEHGANAYPFTPDKFSELEKIEKARLEAQTFESVLVSGDLDFVVGKDGVKIKAKHDAFEVIFISSDRDQASYEEYYATMPWLSLPFGDKRKQSLSRVFKVNGIPLLAALGPTGKTITTEARGLIMLHGAEAFPFTDERMAEIEAEYAEMAKGWPNKVKHELHEEHELVLTRSQGYTCDECDKDGKIWAYSCEECNFDLHTECALKESNGKKETETETETEKANEGWICDGEVCYKAP >KVH95637 pep supercontig:CcrdV1:scaffold_11:895539:900579:-1 gene:Ccrd_002292 transcript:KVH95637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27a MIPGRSAGRVLSGCLGGVIKLEKLKMAETQQRWQQWKSCSHNERMNQRRDTKSKPAARNKIERENQVNQAKRFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKVDYKNTGLQCVDWVHLILLFIGFCLLD >KVH95575 pep supercontig:CcrdV1:scaffold_11:44251:51245:-1 gene:Ccrd_002373 transcript:KVH95575 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MVHEVDYDHVEDMEVEVLSSMWPEDIDEAGKKFNVEKPGVHQDMLEDLAFIEEPSIVDFKRLVELTSYSEKGSSQLSYLVKNWEYKQENAVRLLREELDNLSKQQHEVELKKLEILEQHRFEEESYGGDKRPVSVLDEVYEAFQDILKRADNFLHFVLQNAPVVIGHQDKDLRYRFIYNHFPSLGEEDIIGKTDTEIFKGGGVKESQDFKREVLERGLPAKREITFETQLFGAKTFLIYVEPVFSKDGETIGVNYMGMEMTDQVKKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMTEILSKTKLDKDQKQLLRVMLSSGDLVLQLINDILDLSKVESGYELRIYASGICKPLTFTGLFSGVMKLEATKFRPREVIKHVLQTAAASLKRMLILEGHVADDVPVEVIGDVLRIRQILTNLISNAIKFTHEGKVQINLYVIADPYPEGHQEPKINMDDSSVSTKNGVLLDVHEENEPQSHETVVWIRCDVKDTGIGIPGTFQVELMGGHLTVSSKEHCGSTFTFILPHKLSHACDGSDDTDEFGDMADNEDDDITCGFFQFQPCALGSTFSSNGSVITHKYRINVTPKEIVSSMDDDDSSCFDTSSEPESSSKCNSENENTNCIKKEKESHDDLDLAVDSCSPSDHQFHDRGDLCETCEARKDSNRSSECSSSSSNRQEVLKSATKPKILLVEDHMVNVMVARRMMRQLNQDIDVVNNGAEAVRAVQTTRLIRSFEKTGNWDEARKAGVDFPSYDRILQEPRKRIPIIAMTANAMSESAEECFQN >KVH95638 pep supercontig:CcrdV1:scaffold_11:727914:729764:-1 gene:Ccrd_002312 transcript:KVH95638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAISISSDFSLASMSKSHKKLIFNPSKMSPILLYVSPLSSPSSRILIPKSSSSSYQPPILDESSDSSVVEFDMGLQDLQDSSVPESEDLNDLICNLFKDPKTEEMGFECYQKAKRRPDFLPRRSTLHHLIRYSTRLKNWNSVWSISEDFRKFKVYPDASSCCRLISNCIRARRFKLANNLLHILKFEKEVAVFTFNAAMRTYNKLHMYSSAINVFEIMKSSGIELDAECYGHAMEAYLKMGKNQSVVSLFKEFESSGIEWTSFCTQMYRILCESLGKSGRPVEALYFFREMMKKGYQEDPSFYSSLISSFVSVRDVKSAQELLVEAEGKKMLRDPSVFLKLVLMYIELGSIEKTLDVVSSMKRMNIRVSDCIFCAIVNGFSKKKGPSAAAKVYEDLVSEGCVPGQVTYASVLNVYCRNGLYEKAENVFQEMNDNGFDRCVVAYASMIAMYGKTNRIRDATRLVAKMKEKGIEPNVWIYNSLLDMHGKVLNLRQVEKIWKEMKRRKVMADKVSYTSVIGAYSKAREFETCIQYYHEYRLNGGGIDRAMAGIMVGVYSKMSRVDELVKLLQDMKAEGTRLDSRLYRSSLNALRDAGVQIQPKWLEESFDLRASPIDSL >KVH95606 pep supercontig:CcrdV1:scaffold_11:114845:120306:-1 gene:Ccrd_002367 transcript:KVH95606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MGVCVLGFHHKIQTTKAPLVMERAETRNESGHVIDITSNNEPSSSSTTQDRPVNGSNSQQNEDLPTTSLSDPVFQHAFSTVNGTNARSTPFARRGDGHGRRRSPLNSGYWISIELVITVSQIIAAIIVLSLSRHEHPRAPLFTWVIGYASGCVATLPLLFWRFHYRNQASDQDPSQPHQGPAPSSLSAIATSFTSSSNARTSVGDTHNTTGTTIPVTVLLRARLKVLVEYFKMGLDCFFAVWFVVGNVWIFGGHSSASDAPNLYRLCLVFLTFSCIGYAMPFILCATICCCLPCIISVLGFREDPSQNRGATTESINSLPTYKFTIKKHKHGHNKETQSAASEGGVVAAGTESERVLSGEDAVCCICLAKYANNDELRELPCSHFFHKDCVDKWLKINASCPLCKNEVGESVLTEPQS >KVH95624 pep supercontig:CcrdV1:scaffold_11:424640:426972:-1 gene:Ccrd_002336 transcript:KVH95624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSPASSMAAAEDSTHSLLKKIRSHEVSIAELAALSSSRPRAVYQKNGNIFFRTSAQQATASEQQQLDTAKAKLQKLNLASGN >KVH95641 pep supercontig:CcrdV1:scaffold_11:730157:731125:-1 gene:Ccrd_002311 transcript:KVH95641 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SLOW GREEN 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18420) UniProtKB/Swiss-Prot;Acc:Q9LS48] MESALSITFKPSFHHRQNPLFNSNIISLNHHFPLSKPFKQSTIKITASTADSATTIPPKNPLIQSLKLTARAIVFAATAAVAISKFQQYPAIAEPSSQSVVTEEPGTQEETLLPDFMESNSEATSAMKTLLQEKLEAGEDEESLKILKKLVSAQPNNLEWKFLMARLLNEMGEVEPAREALEEILTQNPLSFEALFENALLMDRCGEGEAVIKRLEKALEIAEEEQKEKEARDVRLIIAQVQFLQKNIEEALRSYDDLVNDDPNDFRPYFCKGMIYSLMDKNDEAKDQFAKYRELSPKKFEVEGYLRTPLSRMKLFGTDDQN >KVH95550 pep supercontig:CcrdV1:scaffold_11:943095:947577:-1 gene:Ccrd_002285 transcript:KVH95550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MTKVGTQLRSSHSGTSVTGTSPDSIYGLAECYGDLSAEDCVLCYAEARTVLPTCFPANGGRIFLDGCFMRIQNYSFYEEYVGSDDRVYCGDTMMGGGFQDSVRNAVSNAVMDAPRNSDYFAQELAVSGTANESAFVLADCWNTLNEDSCTECLERASASILQCLPYSEGRALYTGCFLRYSNTNFLNPEPSQGNNGDWNAKSQIIQLICEDHEDNNRTRFVSSFLKAMTDINTQMQTSHTGTLVTGTNYVLAHCYGDLSSQECILCCAEARTSLPSCLPNNGGRVYLEGCFMRFENYSFFGEHFGQKDRTMCLNTTRKNNEFEQLASNAVLQAVETAPNNPNHHAGTQALISGIANRSAYATADCWSSLDAESCRQCLQTAARSMLGCLPGSRGYALYTGCFMRYSDTNFSNPDNNTRRSTGGSKRKTMAIVMAVSSVVAFVVVGIIAFCAKKHRSKSKRRQDAVRGKELNWAKRFDIIVGTAEGLAYLHENSKTRIIHRDIKAANILLDSKLRAKIADFGLARSFQQDKNHISTGIAGTLGYMAPEYISHGHLTEKADVYSFGVLLLEVVTGMQNRGTQTAEYTHNLVSIVWKHFKEGTVEEVFDPNMMLSDDNVKKEVEKVVQIGLLCTQEVPSLRPTMSMALQMLSKNIHPLPFPSNPAFLPESPNDFGSVQASRRKISIPASVPTVSLTSLGPR >KVH95560 pep supercontig:CcrdV1:scaffold_11:537274:537873:1 gene:Ccrd_002323 transcript:KVH95560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3464 METTTCNSFHFSPSPNNLSKPPLSFPFPKPSHRIHRNRQFRFLHISPTFNSPPKGFGPPPPNKITKKTLNPKKVNNNDYEDDEDEEIEREAGVIPEIVTNRMIGRIGLSVGIPLFIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFIFFGTALAGVSYGIVSTSWDPMREGSLLGWNEAQKNWPVFWQSLWGSSGSRKKF >KVH95626 pep supercontig:CcrdV1:scaffold_11:1030883:1034354:1 gene:Ccrd_002279 transcript:KVH95626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MENVKQQQPLLAGDNGRNNYTNLKQFPAAPENVEAEDRGVEINGVKDFCREFYVESKKLWYLAGPAIFTSICQYTVGATTQLFAGQLGTMQLAAVSVENSVIGGFSFSILFGMGSALETLCGQAYGAGKFEMLGVYLQRSWVVLNTIAVILMFTYIFGVSVLRLLRQTPSIATAAGRFSVWMIPQLFAYATLIPIAKFLQAQSNIMPMAWIALVAVVSHVFLSWLLMMKLGWGLVGAAVVLDCSWWFMTVAQLTYVLWGSCGQAWGGFSWEAFHNLKGFVRVSFATAVMFCLENWYLMSLVLFAGYFENAEASVDALSVCLNILGWICTVALGLNVACKGVERTRLQKAENCEVRGYSRGIDFSSNWTPLHGGSSSVQKTIPGVVYKQSRRVAIGAGWQTAVAYMNVGSYFFFGIPMSLLMGFRLDMGVKGIWYGMVSGATMQCCLLLIMVSRTNWNEEVNIVGHRIKQWGGDIQQRSIH >KVH95627 pep supercontig:CcrdV1:scaffold_11:320966:324310:1 gene:Ccrd_002349 transcript:KVH95627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MEVADAPIRVQSIAQEDRKVVVPPEYIQPPENRPSKLGNGNATDHKIPMIDLGGDKDVNLLCEEIGRACREWGAFHVANHGVPIALLDEIRKAGTSFFEELPMAEKLRYSCDPNSPASEGYGSRMLVASDDAVLDWRDFFDHHTFPLSRRHLSRWPHSPPNYREVIAEYSDQMKVLAEKLLGLISTSLGLSSSFIEEAMGELYQNITISYYPSCPQPELTLGLQSHSDMGFITLLIQDKVAGLQVSKDGEWVTVDPVSHAILVILGDQTEHRAITNANRARLSVATFHDPAKTMSVSPAFKPPRYRQVIYGDYVSSWYTKGPNGKRNIDALLI >KVH95601 pep supercontig:CcrdV1:scaffold_11:255284:259496:1 gene:Ccrd_002355 transcript:KVH95601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRKLANRCGKDGGGGIGGKTVSDEGLTEIMVDTKLRSKPHQFYSRVLPGKSEGMPISPVKMLVGREGNYSGRGRFTNADCCHVSSRYLPVNGPSVIDRMTSCAYVSQFSADGTLFVAGFQDSRIKIYNVDHGWKLQKDIRARSLRWTITDTSLSPDQRFLVYSSMSPIVHIVKIGSDVTESVANVTEIHEGLDFSGEDDEDDDDYAFGIFSVKFSTDGRELVGASSDDSIYVYDLAANKLNVRIAAHRSDVNAVCFADEAGHVLYSGSDDHLCNNYVLQVWDRRCLGXGGRESGILTGHLEGVTFIDSHGDGHYLISNGKDQTIKLWDIRKMSSNASGYSFSLSNMXPRHVEWDYRWMEYPSHLRNRKHPNDLSLSTYRGHRVLRTLIRCYFSPSYSTGQKYIYTGSADGHIYIYDMVTGAQVARLKFHEQIVRDCSWHPFDPMLGSSSWDGVIANWDLKRN >KVH95639 pep supercontig:CcrdV1:scaffold_11:718309:723854:-1 gene:Ccrd_002313 transcript:KVH95639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-type fold MDERINLPASLHLQGSSEEYTTQAIAPTEEPKLCNDHMHTDSGHFDRETVNMEIPDDESLEYGCSHYRRRCRIRAPCCNEIFDCRHCHNEAKNDINVDPEERHTIPRHQIQQVICTLCGTEQEVRQMCVNCGVCMAKYFCGACKLFDDDVRPFFLFIFRCLEFPRDSTTVMDVVFAGCCYSNLLRESHPCVELAMHHDCPVCFEYLFDSIDDVAVMPCGHTIHKNCLKEMQQHMQYVCPLCYKSVCDMSKYWEKLDMEVAATPMPEFYHNKVIWILCNDCGSNSEVGYHVVGHKCPSCKSYNTRQTRG >KVH95620 pep supercontig:CcrdV1:scaffold_11:465552:466420:-1 gene:Ccrd_002332 transcript:KVH95620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDSLISSETLVAINTGIECEPCDGRGWLVCDFCKGQKTNVKSETNRIYRRCPSCRAVTMNDWIHVRQVGYVLCSKCKVFKCVTYPDQNDVEF >KVH95571 pep supercontig:CcrdV1:scaffold_11:5645:7928:1 gene:Ccrd_002377 transcript:KVH95571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSGSIIVSKGMQNPFTFKVGQVFTGFGVGCGLGIGVGRPINLGAIPVLNQVMVAARGASDVFSGVGRHVNHSLKMVGAKNIEAGIGCGVGFGHGFGVGLAIKPGVVHQIQISLIQTATKLMMRFGVTPNLFSQSGDDRNPLATDLNTRMKESLKNPLSLSHGSRTEKVISNFLQTPLVEGEAKKLEGGGQLQSENEVIQLVLKQQVVLEKLKEENEKVRKILVQDLKVSPDKFKVDMYSDTNTYTCSDCVECRRRDRRRRK >KVH95587 pep supercontig:CcrdV1:scaffold_11:820950:821783:1 gene:Ccrd_002300 transcript:KVH95587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MSSCIDKSITIREVWSDNLESEFDLIRSIIDQYPYISMDTEFPGVVYRQPLEPGRSYRNRWPSEHYKLLKSNVDVLNLIQLGLTFTDSSGNLPSIDGTHQRFIWQFNFNDFDLARDAYAPESIDLLKRQGIDFDRNRTDGIDSAKFAELMMSSGLVCNEEVTWVTFHSAYDFGYLLKILTRRRLPGGLPEFLETLKAFFGDNVYDVKHLMKFCRNGLYGGLDRVASLLEVNRVAGKCHQAGSDSLLTWHAFQKMRDVYFVDVGPENYAGVLYGLDVC >KVH95582 pep supercontig:CcrdV1:scaffold_11:769763:770220:-1 gene:Ccrd_002305 transcript:KVH95582 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, alpha/beta subunit, N-terminal MVTIQADEIIKIENTNIVLQVGDDIARIHGLEEVMAEYEDGTIGIALNLESTNGGVVLMGDGLLIQEGSSVKATGRIAQIPVSETYLGCVINALAKPIDGSEYRRLRGLFLYILYMSLFKQGLLLLIQ >KVH95635 pep supercontig:CcrdV1:scaffold_11:339824:341775:-1 gene:Ccrd_002347 transcript:KVH95635 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKFMKLGSKPDAFQTDHESNSIRCVSSELTTDFTVVVDEVKFYLHKFPLLSKSNRLQKLALDASEENSDVVHLVEFPGGPKAFELCAKFCYGITVSLSPYNVVAARCAAGYLEMTEDIDRGNLIFKIEVFFKSSILRSWKDSIIVLQTTTALRQWSEDIEITGRCIESIASKTAIDLSCVNWSYTYNRKLDLVNKVTEIVSVPKDWWAEDLCELEIDVYKRVMLTVISKKRVEGDVIGEALKTYCLRWLPDCNDRFDSGEVHLEKYRSLMESMICLLTPDKGVNCSCSFLLKLLKVSILVGVDPLLRDDLIKDVSMKLDEASVRDLLIPAEHPQTTVYDVELVQNLVDRYFNPKGVDEEDETPGDLVLINLPRCRVVGRLIDEYLAEISRDRNLPLSSFITLSRSIPGAARIVHDGLYGAVDVYLKEHPTLTKAEKKKVCELIDVLKLSINASTHAAQNDRLPLRMVVQILFHEQARATAAIKLTARTNRTPEPDWEIKVPLRSKSLRTPSRMKLNEEEVEKGTPASRRQLLGSRSRRILDRFWVVGMGQLRHGENKSSGTSGSSQTKSSSSSSRKQRYSIS >KVH95589 pep supercontig:CcrdV1:scaffold_11:786992:809938:-1 gene:Ccrd_002302 transcript:KVH95589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MNVLGLCVTAIVLGFSVEAHLNSICNSNDSMALKGFMNGMEVAIDGWTSASSDCCNWNGVTCDFHGRVVGLELSRKRLVGNLADSLTTLDQLRTLNLSRNLLKGTLPISIFHLPNLQILDLSSNEYSGSFPLSVNLPSIQLLDISDNNFEGPIAPGLCINSTGIRVLKLGVNYFDGEIPPEFENCSSLEQLSLDANLVSGIIPEYLFRLPRLSQLSLQDNLLIGQLRNSNPSNLVSLDVSLNGLSGNLPDFFHRFPNLRNFSAHSNNLSGKIPSSLLNSGTISSIILRNNSLSGSFDLNCSAMISLSTLDLADNRFLGSIPDNLPSCPMLKNIDLGGNNLTGPIPESFKRFDSLSYLCLSMCNLKNLSESLRILQHCPNLATLVLSHSFHDEEMPADASLRFNMLKTLVIXNCKLTGTVPVWLNGLTKLRILDLSWNQLGGRMPPFLGDLTSLVYMDLSNNFLSGEIPKSLTQLPALQFHNNSLDVSLDLPIFMRRGIFGKVLRYGYGARFPPTLDLSNNFFTGSIWPEFGNLRNLHVLNLRYNELSGNIPSNLVNLSCIETMDMSHNELSGQIPLSLVRLGMLSKFSVAYNNLTGFIPSGGQFSTFSASSFEGNPGLCGEFVLNCRQVEELLQTSEPEEEEWTISLPVWSGFGTGFLLTVVLLLVVPTIRDEHRTDKFEKNPKTRSKMVEMKMKKINSDFGALKCLEKNCNNNSKDLMDEIEAVANGWISNSSNCFNWVGITSDFHVSINLPALQVLDISDNAIRGSIPAALCVNSTGIRLLRFAVNYFSGSIPPQLGNCLLLEHLWVASNFLTGEIPEFLFRLPRLRELALQDNAFTSFPGIGNSSSRGFQFSRLVRLDVSSNRLTGNIPDFFHRFPNLSYFSAHSNNLSGELPPSLLNSQAISSLNMRNNSLDGSINFNCSIMVNLTSLHLGTNNFSGSIAHLPSCFKLKAINLARNRLIGQVPESFKNFQSLSFLSLPNCSLNNLSTSLKILQHCPNLTVLVLATNFNTEQLPSDDDLQFKALKALVIPNCRLTGSIPSWLNGLTELQLLDLSWNHLTGSIPTYLGAFKSLFYLDLSNNFLSGEIPKSLTHLPSLISREISLEEPSPDFPFFKKRNISGRGLPLQYNQIMSFPPLLDLSSNILTGPIWPEFGNLKKLHDLGLKHNNLSGGIPSSLSGMRSIETLDLSYNNLSGTIPPSLVRLSFLSKFSVAYNNLRGSIPSGGQFQTFPSSSFEGNQGLCGGGINSDLKCEKTEEFLPTDPTSEEDDDHESSIIWMVAAIGFGTVGMVIAITRIGNSSSCLDVSSNRPSGNIPGLFHSFPNLSYFSAHSNNLSGRNLRHSHSNNLSGGIPSSLLNSQTISYLNLRKNYLDGLIKFNCSIMVNLTSLVLGTNNFSGSIAHLPSCSKLKAINLARNRLIGQVPESFKNFQSLSYLSLSNCSLNNLSTSLKILQHCPNLTVLVLAMNFHTEQLPSDDDLQFKALKALVIPNCRLTGSIPSWLNGLTELQLLDLSWNHLTGSIPAYLGAFKSLFYLDLSNNSLSGEIPKSLTQLPSLISREISLEEPFPDFPFFKIRNTSCRGLPLQYNEIMSFPPLLDLSSNFLTGPIWPEFGNLRKLHVLDLKRNNLSGRIPGSLSGMMNIEMLDLSYNDLSGTIPPSLVRLSFLSIFSVAYNNLRGSIPSGGQFQTFADSSFEGNQGLCGGIN >KVH95597 pep supercontig:CcrdV1:scaffold_11:204553:207479:-1 gene:Ccrd_002359 transcript:KVH95597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYEQLSIRSSQGDMSKRGSFLPLQRTPTMDIADKKSNQYFDLNNQIRQREQKKKQKEDDFRASVFAQQSEINLEHSDPINGDVVSGISMVQKLIAGFPPPMVEDDAFLGKLAKVSPIKKVHVEYALKSSTNVPNDTRDFSVKNVVERPKDDTRDFSVENTVEKAPLFSVQNNHHSTFSGYLLPPSDPNFQLPGHQWLIPIMSPSEGLIYKPYPGPGYLLPVSPPTQPYQWPPGFHPPVPPTSHGFFPPYGMTTMNTSGGEEMNPFNMQCQSSGNVPIVAKFHTSNDSEVQVNIASSQSNVTRNQDTLPLFPTCPSSERGAEPTCVIRVVPHSAQSTTESVARIFQSIQERNQPNGL >KVH95612 pep supercontig:CcrdV1:scaffold_11:92651:94665:1 gene:Ccrd_002369 transcript:KVH95612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATSLAIDLNLNPFPRTTHDHHTPVEVAEVQTRFYDDDGEEEEDYSFEKQVDHGMVGELSSENRKLKEMLTVIWGHNNSLQTQVKKLMQDKELLVSNPNKRKLDETVIEQNSWNRPNEDNLPKTGIQRVYVRTDPSDKTLVVKDGYQWRKYGQKVQRSVDDAGVVVATYEGEHNHRSSKQEAAYALANQHEIFSEERRSMVVPKAFDQVLVQKMTDCLTKDPHFTQQLAATISSRILDFDL >KVH95617 pep supercontig:CcrdV1:scaffold_11:395382:400386:1 gene:Ccrd_002339 transcript:KVH95617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETERPRPKGAKPIKKSKISRNPLLKESKNESGKWEWQMKASILQCAYDSRSLAKLQVQRKSSQFHLIGRIIRIKIRWVFMFTEGRMNNKVIGVAIDKDKGSQSALKWTADNLLGKGQIVYLLHVKIKHSVPFSSSVSFAQKPNKMSDLHPDDSLMIDADSQLRELFLPFRCFCTRKEIKSHEVVLEDTDVVKALVEFVTRSIIEVLVIGAPAKGGLLKSPFNNRRGPNGKPYGELTPPESDISFVSSGRPSVDNFNMFPSFDSEMNARISFSELEQDSYQMGRRSADINTSPDLTSFDSPRASLSSNAEDVEAEMRRLKLELTKTMEMYSSACKEALTAKQKAMELQRWKLEEQQKVEEARVAEESVEKEKAKTKTATEAAEAAQRIAELESQKRVVAEKSALRESSENNAGLMSFSRYRRYTIDEIEEATEYFSATRRIGEGGYGPVYKCHLDHTPVAVKVLRPDAAQGRLQFQQEVEVLCCIRHPHMVLLLGACPEYGCLVYEYMSNGSLEDCLLRKNKIPPLSWQHRFRITAEICSGLLFLHQTKPEPIVHRDLKPANILLDRNFVSKIADVGLARLVPASVQDSVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMFLQIITAKPPMALTHHVDRAIKNGTFAEMLDPAVPDWPVEEALGLAKLSLHCAELRRKDRPDLGKIVMPELERLRTLADESVQYSIFSPASQVS >KVH95625 pep supercontig:CcrdV1:scaffold_11:417253:422434:1 gene:Ccrd_002337 transcript:KVH95625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAMKLTEGAISLLSTGRWDTPDVKPVLQVVDIRTVQTQTPPAGGVEKQERYRLLLSDGSFHQQGMLATQRNDLVRSQQLQKGSIVQLTEFVCNTIRDRMYALSLFVYDSLCIIIIIINLDVILETCDLIGDSKPCTLCPLKGPPTSVAAAPMQFQSINQPATSMGSPQTFMGGVSLAGSVPRPNLNASSSIFPPQQEHSTGLNSYGNSTSNHPGSGRFNLAHAPPSMLPKPDSVTSTSRPPANSYVRPVQPTYNQPPPMYMNRGPMAKNEAPPRIIPIAALNPYQGRWTIKARVTAKAELRRYNNAKGDGKVFSFDLLDSDGGEIRTTCFNAVADEFYNQVEVGKVYYISKGSVKPAQKAFNHLKNDHEIMLDSTSTIQPCIDDDTSIPQQQFHFRSIAEIEGLDNNSILDIIGVVSSITPSSSIMRKNGTETQKRTLQLKDMSGRSIEVTLWGNFCSTEGQTLQQMCDSGVFPVLAVKSARVSEFNGKSVGTISTSQLLIEPDFPEASKLKAWFDNVGRNTPSVSLSKDTIGRTDVRKTVSQIKDEKLGTSEKPDWITVSATIWHMKVENFCYTACPLMIGDRQCNKKVTNNGDGKWRCDRCDQTVEQCDYRYILQFQIQDHTGITWVTAFQESGEEIMGVSAKDLYCIKYEEQDDERFTETIRNVLCTKCNFKLKVKEEIFSDEQRVKCTVVKAEKIKFSSDTSFFLDLLKKEEPNSLGPKMETPILGSNLDPPNAGFKESAPFTNYMGSASGAALPASQMGHYVNPYGGSRLGTSCNSCGGIGHNSTNCPSVILVAIKPGVSVLGKSFGVSNSDRAEWRT >KVH95633 pep supercontig:CcrdV1:scaffold_11:348448:356166:-1 gene:Ccrd_002345 transcript:KVH95633 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MHVQFQSENNTAVYLSAVKIGGEVFVICLNGSIIAMASSLSSPNQLTCGEIVPIHIVTHASQLPPEFLEPSPDKKLVIGFDCEGVDLCRNGTLCIMQLAFPDAIYLVDAIEGGKTLVEACKPALESSNVTKVIHDCKRDSEALYFQFNIKLHNVFDTQIAYTLIEVQEGGTKVPDDYISFVGLLADPRYCGISYLEKQEVRVLLRQDPNFWKYRPLSELMVRAATDDVRFLLYIYNKMVVKMNQKSLWYLAVRGALYCRCFCITDNDYADWPPLPPIPETLVTDDNAPEEEVLSVVDVPPGKMGLVIGRKGASILAIKESCNAEIFIGGAKGPPDKVFVIGPVKQVRKAEAILRGRMVETYY >KVH95559 pep supercontig:CcrdV1:scaffold_11:485982:489183:-1 gene:Ccrd_002328 transcript:KVH95559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALACNACNKELIDENDQKTHYKSEWHRYNLKRKMAGVPGVTEALFLARQSALAEEKSKLTGPPMLYTCGLCGKGYRSSKAHAQHLNSRAHTTRVSLDGHQNEDAAIIRPLPPRIVNKHLQHKEEFSEESDGSDEWEEVDENDDVIGEMTSSLNHMEMNGVPSDEDMDEDKDEDELDSTCCFMCDQNHKTIERCMIHMHKHHGFFIPDVEYLKDPKGLLTYLGLKVKRDYTCLYCNSNCQPFNSVEAVRKHMVAKSHCRVHYGDDDEEEEAELEEFYDYSSSYLDGDGKQLVTADGTGDSIELGSGGSELIISRAIDDRISTKSIGSREYLRYYRQKPRPSPNGIAITAVLAAR >KVH95579 pep supercontig:CcrdV1:scaffold_11:970431:973203:1 gene:Ccrd_002283 transcript:KVH95579 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MAGVHWKKTREICFCFGFRRRQELEEEEEEGKCRRQQTETETDLDLFGRTTQVHDTTMDFSFNFQILPIISTFVFFLLLFSTFFPAVSAAPFEDFRRLNQTFRPGEESKKMRLIRTHLMKINKPSVKSIQSPDGDVMECVLTHQQPAFDHPELKGTKPLDPPERPNGYNHAGMESENYQLWSLSGESCPEGTIPIRRTTEQDVLRANSLQRFGRKIPKPIRRDSSNGGHEHAVGYVSGEEYYGAKASINVWDPKVTDRFEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYNGGQFDISLLIWKDPKHGNWWLEFGSGVLVGYWPASLFTHLRDHASMVQFGGEVVNSQASGSHTSTEMGSGHFSGEGFGKASYFRNMQVVDWDNSLIPLSNLKVLADNPNCYDIRGGINSVWGNYIYYGGPGKNPRCP >KVH95568 pep supercontig:CcrdV1:scaffold_11:31777:41956:1 gene:Ccrd_002374 transcript:KVH95568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSQAAVDVPAGRSGGKILKARRIAVRKTPYDRPISHQPQPQPPLLQPESPSWISGLVFPAKFVAGGASKILSSFWNPKSWSAPSSSSTDSDSESEDDSEDNENPPDRVIELNQQQKGHLSQKSETLHLVEQLLMLEQYSREECDRLIEIINSRVVDYSMREGVDAGPSMTHGWTNNETPDSRSQAILEAKKWVAEKKFRSGSKSDLDNGLYALKSVTTPQPTEGEAGSPVDVAKSYMRARPPWASPINHNNSLSPSPLAADLFKEGTLYSSGGGISFSSAKRDYLSAGSWNIQDEIRRLRSKATEDMLSSNRSLKLASSMLEHDTLKHSLPNDKSLERDEPITLETLKSVDEIVNLAAEEGTVGLSDMRTTQIDLPTETLPTMPILEQYQMLDGQDAAANKSHDTEQNIRPSGEGNKIEKKEDGRQSDERNLDQESHGIDYVIADVVVDNNCYLMTESTEIPLVHNSQDSSNTNNDHTKAADGTTENRAVTRASRQSRRGKGRA >KVH95596 pep supercontig:CcrdV1:scaffold_11:217647:223971:1 gene:Ccrd_002358 transcript:KVH95596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MLLDGTDQVASTGADLGLLVGKMNNTSLATSEYASIVNMNLFVALLCACIVIGHLLEESRWMNESITALFIGLCTGVVILLSSGGKNSHLFVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTAISFTIISYGAINIFKRMNVGSLELGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVIFNAVQNFDLTRITTSDAFHLIGNFFYLFITSTVLGAGAGLLSAYVIKKLYFGRHSTDREVAIMILMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTEKSRVTTKHAFATLSFISELFIFLYVGMDALDIEKWSFVQDSPGKSIGVSSILLGLVLFTRLGHTQLRGNAIMITSTITVVLFSTVVFGLITKPLVRLLLPPSKGMSRMASSEPTSPKSYVVPLLGNERDPEAEPGQERPVLYPSSLRVLLATPSHTVHYYWRKFDNAFMRPVFGGRGFVPYIPGTPTEQSVHNLIPEEGN >KVH95608 pep supercontig:CcrdV1:scaffold_11:143911:144141:-1 gene:Ccrd_002364 transcript:KVH95608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVRKKVFPDLIAHTALVDGLCRNGNVGLAFKELDEMKKKMNCTLNLVLHGSLTYGLCSEGRADEAKMLLEEMGMHH >KVH95631 pep supercontig:CcrdV1:scaffold_11:366898:371392:-1 gene:Ccrd_002343 transcript:KVH95631 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDFDHENVRPVMNEDRLSSLPNELIHQILSCIDTKSAVQTCLLSSRWKRLWTSVPCLNFASGDFSSLPKFSKFVTHVLSNRNHQIDVTSLNLTFHGAASQFFVGKIADYAFAHNVQELNVVISPKRHHAFPPCLFSSQSLQRFTLKSTYLSPYLLPKTPWDFPALTTLHIQEVVFSGDMTEMSVDLFSKCVNLKNLKLHLFDADRVAVFDIITPKLSNLTLTNSNFNHINVTAPELENLTATNCSIKKLNAPTGLSSLTYSGSSPVQLKEYGFDSLNKVSIHLSIYRSRRPYKEEVARKTINMLHGVHSARFLTLNADVVECISSYPELISHHPSPFSNLICVNVDTSMRKDAHKIKMCTEARNFLLENSPSATFIMELPEAPLTRAMRQKVAWEKRKAKQVEDFESHITELQASLEVVTSHIGVGTKERVSAAFGNLMEELEVLNKQMKKYAEMQMPVNQRKVQLEREARARIEACAGEMQALVNQEKDEVLTIFSKKDLIRSLLQKFPKRQMAEIEARYSRQIEESEAQIEHLFNEHLTSKRFVDDHIKFMSCNIVMFPDLTSINIPLASQPSSSSSPIILTPSTLNNSML >KVH95581 pep supercontig:CcrdV1:scaffold_11:753098:756883:1 gene:Ccrd_002308 transcript:KVH95581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome B-type subunit MECVFGMVGKGFALVVADTSAVNSILVHKSNEDKIMVLDSHKLMGASGEAGDRAQFTEYVQKNVALYHFRNGIPLTTKAAANFMRGELATALRKNPYMVNIVLAGYEKETGPSLYFIDYIATLHKVEKAAFGYGSYFSLAMMDRHYHPDMTVEEAIELAEKCIEEIRSRLVVAPQNFVIKIVDKDGAREHSWRRTTKD >KVH95585 pep supercontig:CcrdV1:scaffold_11:766088:769314:1 gene:Ccrd_002306 transcript:KVH95585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MKGKFGPSGTYFPLNTGAKIPAIGLGTWQSGGDFCVEAVKTAISVGYRHIDCAHLYGNEAEVGEALAQVFKGSLKREDIFLTSKHYCTMNSINKIENSVRVSLKNLGVSYLDLYLMHWPESSAFGDATDPPANSGSEYRQFWSKLKTTWKTMERLVELGLVRAIGLSNFDIQQIKELLKFARIVPAVNQFCQSKSIHVSAHTPLGVPSCPPKASENGSSDDEPGTPRMSFRRSRSVHGPMLKLSVVAEIADRHKKTPEQIILRWGLQRGTSVLPCSVKPERIRQNIDVFKWSLSDDEYKRLNQIEPQVCLFGSGSLDAILENEGSGLASGPLQAVNEQDDDAE >KVH95569 pep supercontig:CcrdV1:scaffold_11:21938:23176:1 gene:Ccrd_002375 transcript:KVH95569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MASAHNNYGSLLFVTIMLLPSLCYSQDYYTSSRATYYGSPDCLGTPTGACGYKDYGRTINGGEVSGVLRLFKNGTSCGACYQVRCKSPKHCNEEGVKVVVTDYGEGDHTDFILSVRAYTKLALPGLAADLISYGVVDVEYRTIPCQYPGYNLMFKVHEHSRFPDYLALVALYQAGVNEITAIELWQEDCKEWRGMRRAYGAVWDMPNPPQGVLNLRFQVNGAKWVQLKSVIPSEWKAGAAYDTTIQLS >KVI10114 pep supercontig:CcrdV1:scaffold_110:478766:484357:1 gene:Ccrd_011452 transcript:KVI10114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MPVAKLLGTGIPDAMKSEEGTDSLDTFIRQAVGKEPLFSFSRTGDSPMQWIQNKNNDVYSFSIKVKLGQVLQIVTSNINLAMPSLCADLPGWPLLAPMKVQMQKCDKCAREFCSPINYRRHMRVHRRSMNIHKEPQKYRDLLGAFWDKLSYDEAKDIMSFKDVNLEEVPGSSIVRNIAANLRKPVFLSLPQVYVKAGSALVGILRNAYLQDIVQGRPSRLPISSEELFSLLDDASEKTFLCAGTAESLQKYVFDGEAGKIGLEMKNLIACTSFLAEQKLVKAWLADKDAEALRCQKLLVEEEEAAQRRQAELLERKRQRKIRQKEQRAKDHSNGMKVDLYTASDIFESIPSAETSSSQTSPQVNPLIPDEHVATPLDPSSNEEVVNIEAQGGCSSDHSDTAATEQQKMQENGSQHFIARWQVPKSQRGGRNGFHSNQNGNMIKWEQAQKAREQRVNGSKVWTKKPKFENGGRELVKSRVQNDAIYQTTQTNCQLMIGSISVTVRNCQAEFEEKVDREIKTNSVQNGTNRSTLKFWRPRNDTRGQLAYRGNGQILKENATPENGTLSSENDHQSCDLNGNDIRGTDDSNVQVENSAMPKPMPFSIDAAKAFLAQRWKEAISGDHVKLVLSSVAEQPPGQLDFEENRQVAESEDPMVVGSSKGRLGKVAEIDSTTHTNVKPKFRTKPEKNMKTKYIPKQRAVY >KVI10141 pep supercontig:CcrdV1:scaffold_110:59781:63083:-1 gene:Ccrd_011497 transcript:KVI10141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MDHTSISPASQLLSAIFIVFFVSSSTSEKAPYTTFAKDATGAPAVTYYDYIVIGGGTSGCALAATLSQGAKVLVLERGDLPYGIPTVTTINGFIDTLANLSPTSASQTFISTDGVVNQRGRVLGGGSALNAGFFTWASADFIKQAGWDPKLVKESYEWVGKKVAFEPKVLAWQSAVREGLLEAGISPNNGFSYEHIYGTKVGGSIFDRNGKRHTAADLLEYADPSNITVYLNATVHRILFETDERRAQGVLYKDMEGKRHVALLNKGSSKNEVILSAGTLGSPQLLMLSGIGPSKHLKAHKIEVVLDQPMVGQGLSDNPMTLVILPSALPVEISLIEVVGITRFGSFIESASTHINWPWVDKIGSGFGLFTNQTKDLDKIRSIIARRFHNDPSGLNFGVILQKIMGPLSSGTLELETTNPDDNPKVTFNYFKDPRDLQRCVQGMDTIIKVLESKAMSQYRDPLLPIQDLLDLIVALPLNLKLRHVNTAIDLEQYCKDTVQSIWHYHGGCQVDRVIDHNYKVIGVGALRVIDSSTLLYSPGTNPQATMMMLG >KVI10117 pep supercontig:CcrdV1:scaffold_110:196982:201805:1 gene:Ccrd_011484 transcript:KVI10117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCNSRGFVFDTRQPPGRIISEVGDFKMGLGPSSSFCTYLKAAALDNCLDQIQQAAPSHIGSSRLGGRMVHSSSSSGIFFQGDEQSQALRNSHLSSYGNSNLRSRNMYSNMVPVSGEVSNAVMDSAASSGPSIGASSLVTDANSVLSGGTPCLQRSASISTESYVRLPASPLSFSSNNISISGSSVIDAPSPVQQSSIQDPNFHYGHQQGPRQGASTATSLPNPRLGRVQFPEGSMFPGSFVSDHDNLSHLQKKPRLDIKQDDILQEQDISYWRKFVAEYYSPRAKKRWCLSLHNNVGHHPLGDVWQCSICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELWFLDLPRECRSPSGVMMLEYGKAVQESVYAQMRVVHEGRLKVIFTPDLKILTWEFCSRRHEELLPRRLVAPQVNQLLEVAQKWQSTIAESGSGGVSQQDLQTNSNM >KVI10146 pep supercontig:CcrdV1:scaffold_110:253841:256825:1 gene:Ccrd_011478 transcript:KVI10146 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKTTRWLRSLFGAKKSSHRRQQPPENQPSSAALLSYLKPSDDNKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSGGGGGGCGGGRGYYDGERLRGVAAVKIQSVFRAYLALVRGHIVRKQSADMLRRMQAMARLQARACANRAHSSASPHSTIKSTRSYHHPRSSSMSNVKVSFGRERQHHSGPYWLESWMDDISWTSKYDDTSDKILEVDTWNPTRRPRKTTTPIKPKKPMYTSDVSSIWGTEKAESTVEDNPITYWPGSSRKASPLVPAKLFRDNPSHPNYMANTESSQAKLRSLSAPRQRMAFERTSKTIRRPLDYSDTNSEHGFRR >KVI10127 pep supercontig:CcrdV1:scaffold_110:381295:382419:1 gene:Ccrd_011460 transcript:KVI10127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MRYVVNMDLSSNKLVGEIPEELTILSGLIGLNLSHNHLTGRIPDRIGDTNSLMSLDLSVNNLSGMIPRSISDLTFLSNLNLSYNNLSGRIPTGNQLQTLIDPSIYAGNDELCGSTIPKKCTRDEVSPNGSNAEKDEDDGDDLEHIWIYATVSGFTTGFMGILGILMYNKRCRLAFCRFVERRELPSRSRVAEKSGGLRYVLWSRHDGVAGEKGRETAGHRRKSSWGRRGISTGVCDVELSGNAESTVIRKSAGDIFE >KVI10144 pep supercontig:CcrdV1:scaffold_110:53652:54638:-1 gene:Ccrd_011498 transcript:KVI10144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MESQHQHATNTPSKSDPLLQVGDSPEIPQLQQHQPPMFKQEPSENDSMAGSMPAPIMPMSTQMTVAPPRRPSKDRHTKVEGRGRRIRMPAVCAARIFQLTRELGHKSDGETIRWLLEHAERAIIEATGTGTVPAIAVSVNGTLKIPTTSSEGEGVSKRRKRASNSEFYDANDSLSSGLAPVAPQGLVPVWTMGAPPTMGMQGAAFFLIPPASISGGPSSAHLPQLWAIPAGATPVFNVPARPISSYVSEGVSSGGGVQTPSRSVSNSSGSEDQLGKVSTKLAPSSASISTTSSAQMLRDFSLKIYDKRELQFMMGSSTDQNPSSKPSS >KVI10116 pep supercontig:CcrdV1:scaffold_110:156587:158245:1 gene:Ccrd_011487 transcript:KVI10116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MCQQTSSPSCCNSNSTQQQHPDIFDPFLVPQTNKKSQKIITPTVDHHHHQFKTNKTSSTHLSLAFHEAISISRIAIPMILTGLLLYSRSMVSMLFLGHLGELALAGGSLAVGFANITGYSVLSGLAMGMEPICGQAFGAKRHTLLGLCLQRTVLLLLLVSFPIALLWLNMKSILLFCGQDQEIAQQAQTYLLYSLPDLLAQSLLHPLRIYLRSQSITLPLTFCASLSIILHIPINYYLVTKLNLGIKGVALSSVWTNFNLVASLVVYILVSGVYKKTWGGISRECLKGWKSLLNLAVPSCISVCLEWWWYEIMILLCGLLVNPRATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGAGRPGKAKLAAIVGLSCSFFLGFSALFFAASVRNLWATMFTQDKEIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKIGANINLGCFYLVGMPVAVGLGFFMGFDFEGLWMGMLAAQMSCVVTMLVVLGRTDWEFQAERAKELTDGGGGGGGGDVTVNNVEEIKEEEYKLIKAENKEEESLGFGADLV >KVI10124 pep supercontig:CcrdV1:scaffold_110:110179:111084:-1 gene:Ccrd_011489 transcript:KVI10124 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MMTRHHPRHTTGSNSFFDEEIKLASRNPKKRAGRKKFKETRHPVYRGVRRRDSGKWVCEVRQPNKKTSLWLGTYPTADMAARAHDVAVLAMKGRSACLNFADSLWRLPIPESSSVKDIQRAAVEGAEAFRPVESDVAEVEESKELAGNVFYMDEEAIFGMPEFFAYMAEGLMLPPPITVGYDNCEDNVEFSIDASLWTF >KVI10105 pep supercontig:CcrdV1:scaffold_110:384935:386054:-1 gene:Ccrd_011459 transcript:KVI10105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNIFKSCLVFTIIFTFSVQTTLGKFDTYIHMYRLFSCKLCLI >KVI10135 pep supercontig:CcrdV1:scaffold_110:102075:104321:1 gene:Ccrd_011491 transcript:KVI10135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MGKGGKSEGSNGQEQNMAAWLVAVNTLKIQPFNLPTLGPYDARVRMKAVGICGSDVHYLKTLRCADFVVKEPMVIGHECAGVIEEVGSEVKDLVPGDRVALEPGISCWKCTQCKEGRYNLCPDMKFFATPPVHGSLANQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPETNVLVMGAGPIGLVTMLAARAFGAPRIVIVDVEDSRLSVAKKLGADEIIKVTTNIQDVAGEVELIHKAMGGGVDVSFDCAGFNKTMTTALTATRAGGKVCLVGMGHHEMTVPLTPAAAREVDVVGIFRYKNTWPLCLEFLRSGKIDVKPLITHRYGFSQKEVEEAFETSAGGGNAIKVMFNL >KVI10134 pep supercontig:CcrdV1:scaffold_110:317216:320591:1 gene:Ccrd_011467 transcript:KVI10134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKSSENAKLKHKKGLWSPDEDQKLRDYILNHGLGCWSAVSTHAGDSSLESSGHLEGSSTPYSEADGSRKLPKIIFADWLALDQFRNFDTSYRSLVSRNTYRYSSDLQKSVMNGSGQLNEGSSYGLVDHQDVENDLYDLICADKMCQNLSYSDMRPKPSETPQANNKKGLWSPDEDLKLKSFIINHGRVAWKSVPIYAGYLLTGPAKIKSFNRTLTGPLIILAMATSITKDQEITVRLKTI >KVI10119 pep supercontig:CcrdV1:scaffold_110:221283:223861:-1 gene:Ccrd_011482 transcript:KVI10119 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGSGFSAANNDGSPTTTSKQRPAGLGDLPENCLAMVLACLDPPDICNLARTNRAFYRASSADFIWEPKLPENYRNLAEKLIFYEGSSLSLGKKDIYAGLCCPIRFSSGTKLEMSGINGGWPVMQEVWMEKRRGGICMVASWKGMKITGIDDRRYWTHIPTLQSRFHAIAYLQQIWWLEVEGDLEFEFPAGTYSFYFRLQLGRPSKGKNQQCSATSSSRYVHGWNIKPVRFQFSVSDGQHATSERFLNEQGKWLRYHVGDFVIEDSYKPVKIKYSMTQIDCTHQKGGLALDSVLICRNQIETRR >KVI10120 pep supercontig:CcrdV1:scaffold_110:208431:215505:-1 gene:Ccrd_011483 transcript:KVI10120 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEDCCDFIQWLGADMSIKILMCLDNPADLVRASAVSSSWRQFGKFITLCINIRFHTISLTFNCVLLVANGLSKQLCIRMFPKVSSVISVVEMNNRIEHGHYGVDGSMEWPRLEKEHRVYAFLARGFTSFMRRDCILEAIVASSTDNYPQESIHHTLEPGDRVDQRASYWSSEGEVDPSVPETLVYKLIANLCVITEIHIHPFQGYTRNLSIVTLLCHCADKISVGLAAYFQFGFPIYSAKAVRFRLGHVKPTYEIESDMKDESTAALRFIRDNVVWTYTSPSFPMAQESRLQKFKLPEPALCIGGILQIDVSHVQVMGRPLSPAFDVDIIDSSGLCSLKYYSHAEYCCSPPDSPEGEASSSPSRFRSFTASIRGWEQMILNTLLGGGVVVGNDDSDDEVVG >KVI10132 pep supercontig:CcrdV1:scaffold_110:350708:354889:1 gene:Ccrd_011465 transcript:KVI10132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGFNKKLDAKKPCCSPSTPFIYVKSHLPSLLARFSGEHTSLDFTFLAIGCDEFTTSIYRAIDNIRKEAEAETRLPMDPMLLSPATGISDGNNFLHEWGSGDEEPFQKHMDRDKVACLIPTPRPIKFESDSVVTVQAGPYFAGTSTSESCDQGIKEHRRFFKEEAEEITNAESQNSYEPENASDITLDLLLDFPNDGEYLQNKRFNWDESFFLLLLGGGDRWLRLALGFHLYLLGFFYCHIFVEI >KVI10123 pep supercontig:CcrdV1:scaffold_110:123342:138164:-1 gene:Ccrd_011488 transcript:KVI10123 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MASRRHRNSLPQNDQSAAGSSSSRHPVYHGIRCRAGKWVSEIREPNKSTRIWLGTYPTPEMAAAAYDVASLALKGSYAVLNFPDSILSNTLPECPTADDIRAAAATAAAARAPAYDTNFGGNMTVNDQTAPPQEFVDDEALFGMPNLLSDMAEGMLLSPPRIDYNQPDDDNAYYNYYSEEVGVFWVNDSMANRRRRNSPAFRQSQDEDNSNRTAAGSSSSRHPAYHGIRCRAGKWVSEIREPNKPTRIWLGTYPTPEMAAAAYDVAALALKGTYAVLNFPDSILSSTLPECPTADDIRAAAARAAAARAPTNETGGGSGSSTGVGGNHPGGYMDDEAVFGMPNMLSDMAEGMLLTPPRDNSNPPDDGDDYSGGGNLWNCMANRRRRNSSAFQLPDNEDNPQPIVADGSSSRQQPVYHGIRCRAGKWVSEIREPNKSTRIWLGTYPTPEMAAAAYDVAALALKGRYAVLNFPDSVLSRMLPECPTADDIRAAAARAAAAQALSIESGGSSSGGGTTPPGQGEVMDDEAVFGVGNHSDDETDNSGGGEK >KVI10104 pep supercontig:CcrdV1:scaffold_110:46541:49605:-1 gene:Ccrd_011500 transcript:KVI10104 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MVKMSLMISAVAAFILLLTMVPLSEAALSANYYDQMCPQAENIIYQTVCNASIYDPKVPARLLRMFFHDCFIRGCDASLLLDSTPENKAEKDGPPNISVRSFYVIDDAKTKLEKACPNTVSCADSQGPWWNVLKGRKDGRVSIANETRNLPSPFSNTTTLIQSFAKRGLSIKDLVALSGGHTLGFSHCSSFSARLHNFSAEHFSDPSINSEFAINLKKKCPIPNKDHNAGEFLDSTSSTFDNDYYKRIVMGKGVFGSDQALFEDYRTRWIVDSFAKDEKLFFREFAASMVKLGNVGVIEDGEIRVKCSIVN >KVI10147 pep supercontig:CcrdV1:scaffold_110:283354:284515:-1 gene:Ccrd_011473 transcript:KVI10147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MPPPPPPLERSGLESPAGDTASRSLPTPFLTKTYQLVEDKSIDDVVSWNEDGSTFIVWNPTEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRRGEKRLLCDIQRRKIASAPPAQAPVPSPAVAAPPLVTLAALPPATVSPSDSGEEQVLSSNSSRGATTNLSRETTASGGANAELAGENERLKRENVQLNKELSQMKNLCSNIYVMMSSYATNKPSDGSSSQPPPQQAATTTVKPLDLLPLKRLAEEYMGNGCRGGGGNHREAAEHEEISPRLFGVPIGVKRSREGGSGEEAEQYNELQLQQPGVDVKSEPLDHNENGSSVDNHESTWMMKRSDRN >KVI10133 pep supercontig:CcrdV1:scaffold_110:320899:323537:-1 gene:Ccrd_011466 transcript:KVI10133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MMVKLTIVGRLNDGLPLSQGPIYANDDDVTTIFKQHAEFLLHEISTAALPPSATTIFHHHHCFKNGICFITLCDASYPTKLAFHYLQDLQKEFDKVDRESVEHVTEPYSFVEFDKVICNIIRQYIDTRTQANLLKLNANHKQQLNVHTEQMSMVIAVKWIPITIVFVVAFVLLGSSLAV >KVI10107 pep supercontig:CcrdV1:scaffold_110:448871:454351:1 gene:Ccrd_011455 transcript:KVI10107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metridin-like ShK toxin MATDLSLLLILVFTCCFSNSFTESRKELRTQEANQENVIQLGRSLPPNTIDPSRGNDNQEKPSGNNSTKAVERTTNFDLSLAIGDEITARIEERISAWTFLPKGNGMPFQVLHYGPEKIKENHNYLGNTFMRLNGESVIATIVMYLSNVTQGGQILFPGAESRSLGLKRKIWSDCRKSSETTKPIKGNAILFFNLHPNASLDPSSLHARCAIVEGDMWWATKIFVVKSVAGANSVSETSGDDGLCTDEDDNCPRWAAVGECDRNPIFMVGSADYYGTCRKSCNVC >KVI10102 pep supercontig:CcrdV1:scaffold_110:17771:28009:1 gene:Ccrd_011502 transcript:KVI10102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDPSLVSEMGPDGLVRESPVIAHTERMIEEEQLQLRKYIQENYSKIREVERELTNLTMEMKLTAGPKKAVLLFSHLHLHLVALEHMRKKIELSTEKVRVAKLKEEEAKKALEAASKAVKDEEALKQKLCEDLNNLVQESSNSQFARLEELKRRLEALNPRRSSAYMATPESPMGPALTTTNPDVSVLNNVEPPTGSSKSVPNHSTEDSHNQKTVGDVEGRGKKKSAMQGRGKGIGAVPKSRGSTAGWTGAGFDVDGRP >KVI10118 pep supercontig:CcrdV1:scaffold_110:188759:192267:-1 gene:Ccrd_011485 transcript:KVI10118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2930 MSHTSTLLLTPFSTISSISAPNTPPFHFKIPTTTRKSSKISCSGHDNSQNQQQQLNLSVLRFTLGIPGLDESYLPRWIGYAFGSLLVLNHFVGSNSTTITAAQLRTEVLGLSLTAFSVVLPYIGQFLKGATPVLQASIPEGAEQIFAMSPNISDTVKEDLAWGSYTLLRNTNSISVLISIQDVLCVRGYWNMPKSIDLSKEQAVDWFKEKMQRVGLFNLTETLYFPQRTDSELWGILPEGTRSLLVLPVLNTPDEDRISEDRISGFVLLASSISYAYSNKDRSWIAAIAIKFQGTIISHKT >KVI10151 pep supercontig:CcrdV1:scaffold_110:257139:257489:-1 gene:Ccrd_011477 transcript:KVI10151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKMVSLKAVLGGWLLTMVVVKTVMAVDCLTVASLISSCSDFVKNGTPYPYQGSPCCDAMTTLGYLAYADFNLRSMCLCFIGIITSYGSRAAIAVGTLPGFCGVSFGFLVDPETDCR >KVI10103 pep supercontig:CcrdV1:scaffold_110:35466:37928:-1 gene:Ccrd_011501 transcript:KVI10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MGKQWLLYKFTSDGGAGGGCHGTGKSSSTSKTTKAAGKYSRKTADHVPTSSSSSSGGCMSAIFHLFDIQHHHFPFHQPSFISDSFVNIPQESNIIHPGVEAPRNSLELDEELVASSSSSSSSKPKQETNLNIPMGGIQIKTKRSRLMMDDVSSECSSSPSTKTPNVVARLMGLDLLPENSSPRPSLSSPRPSSSSSYATPLNPLSKLSSSKQQSTRSLPVTPRISTAARPSTDVDYHHRLSLQINKENRRRSEMIPVNRRDDESSSEYAKQIAKQVRENISKRVGVDITNTMKKKEERRDQCLVVLKPKRRSLSPSSSATSKENEPPVLSCSARLRLLEIKNNLKKPISNPPLTTSTELTKAPSSSSSNTKPLMMMEDLEKVQLVKQDQTPVKVQKYKKIASEKYDLRLKKKHQQEEPFVKKCNNNNNKKKSTPLSNRLLNVNTTTKFISFKKDMASSSPSSTTTTTALPQKQKSIQQLPRCQNRSYNTNETHKLSVQDNVSTREDNYSNCNGVATAITGGGSVYDDDDYFEYISRILSHSGIEKTTAISVAHWYSPSHPLHPSIFHHLEELLQPTGNFPNRKLIFEVVDEVLVEILKPYISFKPWAAGGYSHRMYGWELIEMIRRKIKSFPAADCQVLEDIDGLIAGDLRSSTRAVGAIAFEEEAEELVKEMEREMVETLVDEMARSDGGHVHGGFT >KVI10128 pep supercontig:CcrdV1:scaffold_110:378842:381265:1 gene:Ccrd_011461 transcript:KVI10128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEKLCGGGFFLLFIFATAKFGFGADNTSSGCLEQERDALLRFKNGLISDPTNRLSSWDGDNCCQWAGIGCDNVTGHVTRLDLGINTSGSIEQLEGNGLNSSLAELTRLSYMDLSGNYFGSNPVPEFIGSMTHLRFLNLSSSGFSGVIPRQIGHLSGLRALDLSDMELVVDDFIWVSRLLSLEHLDLSGVRVGEAQNFDKVLFYTIPSLLELRLSGCDLSNSHFNRTHLDSNLTLSGIQTLDLSSNLFEGEFPLFLQNLTSLRALDLSTNKFNTSIPAMKNVTKLNLAGNRFLCIRDTNVWSFCQIKWLDLSFNYMEGGLDGPSTNVSECTRFGLETLILNDNKFSGEIPTSLEKLTDLKRLNLGYNQLTGTIPESLGNLTSLREFDLSGNQLTGSIPASFGNLMELRKLDLSSNLLNGSIPFSLGRLSNLEILYLNFNMLSSIPFSLGNLSELQFLDLSSNLLQGSLPDSIGQLSKLQFLDLSNNSLGGEVTEAHFVNTSTLKHLAATSNHMLNFKISPNWMPPFQISHVLLGSCKIESEFPPWIRTQRNLVILILSNTSIYGPLPDWLHELPVISILDLSHNFLNGPLTNLPSNKTTEPMRTFPFIERLADYARVSRLLLLKNNLFNGSIPDSLCNATDLVILDLSRNVLSGNLPRCFENLQELNIMILSSNRLSGVIPSSLGHLGSSLQWLHLNNNSFHGELPATLANCTSLDVLDLGENRLSGNIPKWIGEKIKFLVVLRLHKNNFIGGIPVELCQSSELQIMDLGDNNLTGTIPRCFQKLNGMTGGDSNLYFSGGFEQSVIQV >KVI10113 pep supercontig:CcrdV1:scaffold_110:461353:465952:1 gene:Ccrd_011453 transcript:KVI10113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MTPSSRNQMKSCSNLSGKVRVIARIRGFRDHELASKSVIQVCKSSGSGPSEKVTLSFDDQTSSRKNEYEVDHCYHQNEDTALIFSMEIKPHISKVFDGESSTFIAFGARGTGKTYTIQGSKENVGLGMMVMDEILKMVEGGKHAVAVSIYEVFQDHVYDILDSKNPEVPVKSMLEFQNLYCMGSTSNKPKQKIAPELPRRSHKALMIHILACDKGGNPKCVGKMNFVDLADTIHAVTLASRLKSIKPSMTAKKQTNSSVPLSANKADSVVAARSAAKKGTNSRLPMSGKKTNAVLKERRNIFGDTDAEQANGFKKQANKPSNLVKSDIPNGDSAVVKEEKGSSDGALYSDVIDVASAIVPCDNIVKEDNNLLVNEGQSPPLSVRLKELSMNLQSLCSTPLPTSTPQVANTEIGNIIDAVEPKTPIVTHKMDFSNCPSGTFSKRSSGMKQSLVQDYLEFLNTASKEDLKGIWGIGEKRASYICELREESPQPFKNVKRMMKKVAGELFSN >KVI10150 pep supercontig:CcrdV1:scaffold_110:299992:301791:-1 gene:Ccrd_011470 transcript:KVI10150 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MAIELLETLKDAITAYTGLSPTTFFTVVALGLAVYYAVSGMFGGSSDHQLQQRSSSFEAMQPLPPPVQLGEVTDEELKAYDGTDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLNGDLTGLGVFELDALQDWEYKFMSKYAKVGSIKAPETVPDATTAEQPAESTAAQPTEPTADEPTELTAAEPAEPADHPKVTEDTQSENVATVTKEESSTTSEANKED >KVI10110 pep supercontig:CcrdV1:scaffold_110:444381:448086:1 gene:Ccrd_011456 transcript:KVI10110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSIICGLPLLECVYCIACARWAWKRCLHTAGHDSETWGVATAEEFEPVPRLCRYILAVYEDDLKCPLWEPLGGYGIDPNCLILKRTYEDTHGRAPPYLLYLDHAHADIVLAIRGLNLASHKDYAVLLDNKLGRRKFDGGYVHNGLLKAAGVVLDAESNTLKDLLERYPSYTLTLTGHSLGSGVAALLAMVVVKNRDKLGNIDRKRVRCYSIAPARCMSLNLAVRYADIISSVVLQASFFNS >KVI10126 pep supercontig:CcrdV1:scaffold_110:303473:304506:-1 gene:Ccrd_011469 transcript:KVI10126 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEGDGFDARKWEDLDLDVLVTIFQKFNILELTSGIGHVCKSWRYAVCDPLLWKTLDFSMLTSNFIKIPLEPYVYVDRRSDKQLTKLLKIALNLSCGNATMMPAWNRIKETGICKAISMWKDLESLTMPSIADPSCFMEEISKHCKKFSELKIMGVCDIEFVQSLVQYVPNLKVLSLRCSILYKDALLLVLNGLMNLEVLNISHSLIVEGPPPPAPIKVVEELDESILEKGSRLREFLTCMNDSCIMCQRMKMDEGLMRWYRYEKGLWKEDEVSSLAI >KVI10109 pep supercontig:CcrdV1:scaffold_110:433215:441031:1 gene:Ccrd_011457 transcript:KVI10109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Casp domain-containing protein MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDHFDPSLLQPLSRVASKIDAVLLSHSDTMHLGALPYAMKQFGLSAPIYATEPVYRLGLLTMYDHYLSSKQVSEFDLFTLDDIDSAFQNVTRLTYSQNCHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVIYAVDFNHRKERHLNGTVLESFVRPAVLITDAYNALSNQPSRRQRDQEFVDAILNTLRGNGNVLLPVDTAGRVLELLLILEQYWEQNHLTYPIFFLTYISSSTVDYVKSFLEWMSDSIAKSFEHTRDNAFLLKHVTLLINKNELENAPEGPKIVLASMASLEVGFSHDIFVEWAADSRNLVLFTERGQFGTRARMLQSDPPPKAVKVTLSKRVPLVGEELAAYEEEQEQIKKEEALKATLVKEEETKAVIGTDIAKADPMIIDGHAQVDAVGVRGEAYRDVLIDGFVPPSASVAPMFPFCDNSSEWDDFGEVINPDDYVIKDEDMDMGSMPVGGDLDGKLDEGTASLMLDTTPSKVVSSELTVQVKCSLVYMDFEGRSDGRSIKSILAHVAPLKLVLVHGSAEATEHLKQHCLKHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEIAWIDSEVEKTESGMLSSLPLSTTAPPHKSVLVGDLKMADFKQFLAGKGIQVEFAGGALRCGEYVTLRKVGDASQKGGAAAIQQIVIEGPLCEEYYKIREYLYSQFYSL >KVI10143 pep supercontig:CcrdV1:scaffold_110:46541:50088:1 gene:Ccrd_011499 transcript:KVI10143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase, alpha subunit MKKRMMGDRKKDGRNGKKSKTTETNWPVIKPKSNLRITRLKDYDLFTVQNFLTAAESKAFVKAAESIGFVHQGSLGPTKGEAYRDNDRIAVNDPVLADTLWESGLGKLLSDLTIRGKVAVGLNPNIRLYRYKVGQHFGRHIDESVDLGDGKHTHYTLLIYLNGDVDSKHQSDMNSSDDSSESLVGGETVFYGPRNSIVAEVTPTQGMALFHIHGAKCMLHEARNVRKGAKYILRSDVAFA >KVI10122 pep supercontig:CcrdV1:scaffold_110:228155:231176:-1 gene:Ccrd_011481 transcript:KVI10122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSLTIVNSGLRILLTSPFKSLSSSSLSTKLQSSLVAQAHVLHWLFFIFTHQYLQRSMSRDVDVHEHYLNGTLPADIAENLNDFLPEEEGLCYEEVILQQASVYESIQEYGRNRVVASDDHPSRDSSVSSEDEGEASRNESVISQEAMDEALARSLQELGEDFDEFFITQFSGNANSITESPVATPTEQAPSSDLRQDDIDPDNMQYEELVNLTESVGVENRGLSESHISRLPTSIYTSRMFSKNKEEKLCVVCQENFKFGKRLITLPCSHQYHSECIIDWLKIKKVTFVSINNMPYVSKRGHLSLPSLKHSDGRIKASKKMW >KVI10154 pep supercontig:CcrdV1:scaffold_110:277926:281828:1 gene:Ccrd_011474 transcript:KVI10154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAMVFKLLYLYCILFGFFFLVNTTIVDQNQERESLILFKNSLLNPEKLSTWDLTTPHCQWEGVSCQNERVTSLILSAHSLNGPLPVSLFSLSNLVVLNLSSNLFYGKLSQKISQLRLLRVLDLGDNQLSGELPIELCELTQLQTLELGPNFFSGIIPPAMGRLSNLQSLDLSSNSLTGIIPPELGNLMKLRSFGLGNNFLSGSLSPALITNLSNLIFLDIANNTLSGHIPPEIGNLSNLTDLYLGINRFSGVLPPEIGNLERLQNFFAPSCLLQGPLPDTVSNLKSLSKLDLSYNPLKCSIPKSIGGLRNLTILNIVYSELNGSIPRELGNCRNLMTLVLSFNSLTGSLPEELSQLPLLTFSVEKNQLTGPLPPWIGKWDQINSLLLAGNRFTGRIPPEIGNCTMLNIIGLSNNLLMGSVPKEICNAVSLTEIDLENNLLSGSIGDTFMGCSNLSELVLSHNQFVGSIPDYFSMLPLMVLDLDSNYLTGSIPISLWSLTNLMELSAANNQLEGNLPQEIGKTVALERLILSNNRLNEEIPKEIGKLSSLSVLNLNSNRFGGFLPPELGNCISLTTMDLGDNRFNGSIPLEITGLPELQCLVLSNNDLSGSIPFSNNSRYFSQVSIPDSSFVQHHGLYDLSHNRLTGSIPDALGSCLVVVDLLLDGNMLSGEIPKSLAKLTNLTTLDLSSNRLSGGIPSEFGRSIKLQGLYLGNNNLTGSIPPELGQLHSLVKLNLTGNSFSGSIPSTFGSLSGLTHLDLSNNLLNGELPFTLSKMANLVGLFLQQNLISGHIDELFVSSKEWRVEIMNLSTNFFTGAMPQALGNMTYLTSLDLHGNAIAGEIPWGLGSLIGLEYLDFSNNKLSGQIPDSLCSVSNLNFMNLAGNRLVGPVPRNGICGNQSRISLSGNKALCGGILGLQCPSKIFRKRLQFFNLWSLGSIAIGTLFITVSLAILLKIWIRKTKKKTDCEDPGYNNSSPADQNLYLLSQAVDVLDPTVVNDDCKPAMLQTVQIAATCVSDNPVHRPTMLHVLKFLKGIKNEFL >KVI10148 pep supercontig:CcrdV1:scaffold_110:289028:289633:-1 gene:Ccrd_011472 transcript:KVI10148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) MEVSSSDSMSALASKICNQINSVFTKPTSTPSPLDVMVKEIAAVAGRRGKVFVYGVGREGLMMKALCMRLAHLGLSTHCVGDMTTPPISSSDLLIASAGPGGFSTVDAICGVAKSNGGRVLLLTAQPESGSSVKYASVVAHVPAQTMADDGGEVEGTTPLLPMGSIYEGSMFVLFEMVVFKLGSVLGENPDEIRSRHTNLE >KVI10149 pep supercontig:CcrdV1:scaffold_110:291537:296741:-1 gene:Ccrd_011471 transcript:KVI10149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase inhibitor 2 (IPP-2) MSTMGDTSKRSVRWDEEKLSEIEANKPVRQKITEPKTPYHHMADVDAEPFCVGSLSPVRNPCFSERDDDTVPSAFNDIISSNSNHNSHPSGWTSSEEEADVMDEDDEGRSSSFKEQRRAHYDEFHKVRELRRKGSMDRSSNDEDEEKSNGESDTPSSLAVGVEDIDITDVEGVPELPKHSSS >KVI10130 pep supercontig:CcrdV1:scaffold_110:361124:364120:-1 gene:Ccrd_011463 transcript:KVI10130 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MGLEIKALYLSYVVHVLGVAGAVMVLVWNIYFRGGLAWESSNKNLIFNAEKKLIHLVLHAIALILGSIGIYMAFKNHNESGLANLYSLHSWLGIAVANSALGFLEKLTFLESSGIDKYGSEAFLVNFTAIVAVLYGTFVLLTALSRAPAEDDNSYSPI >KVI10153 pep supercontig:CcrdV1:scaffold_110:270742:271916:-1 gene:Ccrd_011475 transcript:KVI10153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding, conserved site-containing protein MSLVVGRVIGDVVDQFTPSVMMNVTYNSQTTVFNGHELMPNLVTSKPHVHIGGIDMRSSYTVILTDPDAPSPSEPYLREHLHWIVTDIPGTTDATFGREIVSYEKPKPVIGIHRYVFLLFKQRARQSVRPPVSRDHFNTRIFSQENELGLPVAAVYFNAQRVNAARKR >KVI10115 pep supercontig:CcrdV1:scaffold_110:184095:186115:-1 gene:Ccrd_011486 transcript:KVI10115 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG domain-containing protein MMRMKTKPTEPTSINGSGDNEWEVRPGGMLVQKRDPNAEHTRIPPPTIRVRVKYGSIYHEIHISSQATFGELKKMLSGPTGLHHEDQKLMYKDKERNSKTFLDVVGVKDRSKMVLLEDPISQEKRYLEMRKNAKMEKAAKSISEISLEVDRLAGQVSAFESVISKGGKVAEKTLLNLIELLMNQLLKLDGIMAEGDVKLQRKMQVKRVQKYVETLDVLKIKNSVTGSTGSGSQVPVSKPPLRAASPIPGNQEHRNSNGHGGGGFFPNSRRSVGNFSTVPVPTKQQEKVLVTTEWETFDSTPAPPSSSNGNGNGNGNTIHPKFSWDLL >KVI10140 pep supercontig:CcrdV1:scaffold_110:92067:94046:-1 gene:Ccrd_011494 transcript:KVI10140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MEHTQEDGAVEETNMAAWLSGIKKLQIRPYHLPPLGPNDVKVQIKALGICGSDVHHFKNMRVANFIVKKPMVIGHECAGIIKDVGSSVKSLAVGDRVALEPGISCNTCNLCKNGTYNLCPKMKFFGSPPTNGSLANQVVHPANLVYKLPDNVSLEEGAMCEPLSVAVHACRRADVTPDTKVLIFGAGPIGLLTMLAARTFGSPKIIVADVDDSRLSFAKHLGADAIIQVSTNIQDIDEEVAKIHKLMGDGVDISFDCVGFNKTMSTALTATRSGGKVCLVGLGPSPMTIPLVPAAAREVDVIGIFRYRNTWPICIELLRTGKIDVKPLITHRYKFTQEDVEKAFETSAQGGDAIKVMFNL >KVI10108 pep supercontig:CcrdV1:scaffold_110:455684:460680:-1 gene:Ccrd_011454 transcript:KVI10108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MLRRERESVTVGVFELCSRRSCLKNSFGEETIMMLVGPKLVGLPFRNMKKKKSQAEEKSKQKGIFSRTAKLEVLGEYGSKMRTLSNKITEILLMCLGEDFGRKFESEFSNSEGYLRINNYSSPEIIGKDVEGLGMHTDMSCITIVYQDNIGGLQVRSKEEKWMDINPCAETLVVNIGDLMQAWSNGKLRSSEHRVVLKERKNRFSLAFFWCFEDNKVVFAPDEVVGENNLRAYKPFLCADYMKFRENSEKGKFEKVGFTVKDFAGTKNESSENSPVGRLIETREVPV >KVI10137 pep supercontig:CcrdV1:scaffold_110:92819:99501:1 gene:Ccrd_011493 transcript:KVI10137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPRCFANDSRESSTSATMILGDPNVRAASIVNRPMGPAPKIKTLVSGVTSARRQAWTATLSGSHMAPSSKLTLSGCVRGWNG >KVI10138 pep supercontig:CcrdV1:scaffold_110:103632:103844:-1 gene:Ccrd_011492 transcript:KVI10138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDSYLAAAGVSGTVISWWPIPTRQTLPPARVAVRAVVMVLLKPAQSKLTSTPPPMALCISSTSPATS >KVI10139 pep supercontig:CcrdV1:scaffold_110:90143:91855:1 gene:Ccrd_011495 transcript:KVI10139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEKLVFVRELHARLIKTNRHTDPSSIFDVIRSYSLRPSHLHTTLFVFDRIERPTLSIWNIVIRCYSQSNRPIFALQLFDEMRDRGLMGNNLTSIYVLKACGRAQDAGFGGKVHALSFKMGFISYLYVCNSLIHMYASCGQLECAKRVFDEMPERDLVSWNSMICGYSQCSRSKDVLRLFDLLRKADLIPDSVTMVKVILACINVGDKSIGEFMAKYIKENNVGMDVYPGNTLIDMYGKHGSMGLAREVFDQMEERNVVSWNAMISGYAKAGDLTEARKLFDQMPKRDVISWTTIITGYSRGDQFSDAVSLFLDMMKTNVKPDEITVASVLSACAHLGSLDMGKAIHDYIRKNNIKEDVYIGNSLIDMYCKCGSTEKALQVFQEMETKDSVSWTSVISGLAVNGNAIYALELFSKMLMDNIQPTHGTFVGILLACAHAGVVDKGLEYFESMKRDYGLQPEMKHYGCVVDLLSRSGNLERAYEFMLQMPIAPDVIIWRMLLGACKLQGNMVLSKIATNRLLEMDPSNSGNYILSSNIFAGAERWDDAMKMRKMLKDGDVVKPSGWSSLQAKS >KVI10152 pep supercontig:CcrdV1:scaffold_110:258239:259525:-1 gene:Ccrd_011476 transcript:KVI10152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24e, conserved site-containing protein MSILLLQALHYVAEWTELCRFSGQKIYPGRGIRFIRSDSQVFLFANSKCKRYFHNKLKPSKLTWTAMFRKQHKKDIAQEAVRKRRRATKKPYSRAIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVISKQKTQGKGGNVAKGGKGPKLGGGGGKR >KVI10142 pep supercontig:CcrdV1:scaffold_110:77898:86128:-1 gene:Ccrd_011496 transcript:KVI10142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MGDELMKARGVAKTVLGIADAVSFYCAITIVALMLTGAFRGDDSYGLTTTTSTSSVRVTGGGGNRRPCDEIYVVGEGETLHSISDKCDDPFIVEKNPHIHDPDDVFPGLFMASSRSPVTYADAAQWYCIIFLVLLVLLGSFTEENSLINKTNSTSYHACDEIYVVGEGETLNTISDKCGDPFIVEENPHIHDPDDVFPGLVIKITPSSPRN >KVI10101 pep supercontig:CcrdV1:scaffold_110:9129:17330:1 gene:Ccrd_011503 transcript:KVI10101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MGQKFKRVGKCHVCGETGHYARECKDRKSGPPVANSVEGIQHLVANLHMEEIDMILEAATRIVAARGGWYLDFGAIVHVCDSRCNSSYPHGGNPSFRRCAREFYISIKERFQLVLGGVKDLEASSSLVVCFGEILIDFVPLVSGVSLSEAPVFEKAPGGAPANVAVSISRLGGSSAFIGKVGDDPFGHMLADILKNNKVNNSGMRFDHKAKTALAFVSLKSDGEREFLFFRNPSADMLLQESELDVKLIKQAKIFHFGSISLINEPCKSAHLAAMAIAKKCGSILTYDVNLRLPLWPSEDAARKGIMGIWDQADVIKVSEDEITFLTGSDDPYDDSVVLNQLFHPNLKLLLVSEGAKVKSIDTTGAGDAFVGGILSILASDLNLYEDEKRLREALVFANACGALVVTKKGAIPAMPTREEVRQILKQEGRGLTG >KVI10145 pep supercontig:CcrdV1:scaffold_110:249706:249984:1 gene:Ccrd_011479 transcript:KVI10145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MATMDVFQMNQIVTLVLLVVGLVGGSHGQTCPNQLENLNVCAPFVVPGSTNLIPSSDCCVALEAVDHACLCNTIRVASSLPTQCNLPLSCGN >KVI10106 pep supercontig:CcrdV1:scaffold_110:414665:423283:-1 gene:Ccrd_011458 transcript:KVI10106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MKLVVKIVLVIVVVVVLLTCASTSVSSDDRLLVNMTVVHGAAATGAYCLDGSLPAYHIHRGSGAGERNWLLQFEGGGWCNDIESCAERARSRRGSTRLMNKLESFSGILSNNASHNPDFYNWNRVKLRYCDGGSFAGNSTFDNGTLVLYFRGQRIFEAIIEDLLPKGLSHANKALLSGCSAGGLASYLHCNRFSKYLPNTTSCIFPQYLLKYIAPPVFILNSAYDVYQFHHILVPPSADPHGHWNRCKVNPQACSPDQMALLQGGIFINSCFAHCQSESQDTWFASDSPRMFNETIAESIGDWYFGRNVSKKIDRPYPYDTTCHNIIGPASTNDNL >KVI10111 pep supercontig:CcrdV1:scaffold_110:485567:488719:-1 gene:Ccrd_011451 transcript:KVI10111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKVRWFLGFLFLLASRLSRGARYGNLSSPVTSILKSKVRSDPHNSALLGSMAVMKPEMKSFIWLETPDGSTQQVEEEVAMFCPMICREVLQTGMGSSKNYAIKLPQRVNPSVLGLILDYCRFHQVPGRSNKERKTFDEKFIRLDTKKLCELTSGADSLQLRPLVDLTSRALARMIEGKTPEEIRDTFHLPDDLTEEEKLEPLRNRTDDPRIRLLNRLYARKRKELKVRETSKNVEIEEERVDDRSVDDLLLFINSGDEDSKGVKSVKNKKKNRRRKDHSKDSSLNIQNGCHKKETDSLSSSHNSEIEDVPSPSKISKEVEDFARRLNSVWPERVPGIISSGQDRRIAPRNG >KVI10121 pep supercontig:CcrdV1:scaffold_110:239796:242968:1 gene:Ccrd_011480 transcript:KVI10121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAGQSTPYYTPTSKPTATSAHLLKKNVFLVGLVLFLCSFFYLFGSWQPRIATTSTVTSLRTTTVNCATTSTSTPFNSTVGDTTTDSPKDLDFTTHHSAAIDDGFTLKNYPPCSVKYSEYTPCQDQKRSLKFPRDRLIYRERHCPEKDELLKCRVPAPFGYKNPFKWPTSRDLAWYANVPHKDLTVEKAVQNWIRFEGDRFRFPGGGTMFPNGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLSRNIIAMSFAPKDTHEAQVQFALERGVPALIGVIASKRLPYPSRAFDMAHCSRCLIPWGQYDGVYLIEVDRVLRPGGYWILSGPPINWRNHWKGWERTEDDLNEEQTQIERVAKSLCWKKVVEKGDLSIWQKPINHLNCKTNRKITQNPPLCPVQNPDSAWYTDIETCLTRLPEVSSSEEVAGGAIANWPKRLDMVPPRIISQSIEGVTPETFQEDVLLWKKRISYYKTVNNQLGQPGRYRNVLDMNAFLGGFAASLVKDPLWVMNIVPVEAKVDTLGAIYERGLIGTYQSWCEAMSTYPRTYDLIHADSVFTLYKDRCEMEDIMLEMDRVLRPEGSLIVRADVDLLVKVKRIADGLNWESQIVDHEDGPLEREKLLFAVKLYWTAPADSD >KVI10129 pep supercontig:CcrdV1:scaffold_110:373795:378139:1 gene:Ccrd_011462 transcript:KVI10129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MVSKRQILARKRFKEEHPEQFPKPEPTPPKDPNKKKKKKKSDFKRKKSDSNDPTKPRRSTNKKHPLRIPGMRPGDSCFICKADNHIAKNCPEKAQWEKHKICLLCRQRGHSLKNCPNKNEENVDKKLCYNCGEIGHSLALCPQPLQDGNLPIRYCGELGHSLALSGGCCKICGGVTHLAKDCPDKGIRNSGMYIESNKRSTGIESMARGKVTKFASGDDLEDDFTTIDDGKEKTTSEPKAEDVASKESNANSASGMAVHDDCKLKFLELKAKRNYRFITFKIEEQQVIVDKIGGPDQTYEDFTNSLPGDECRYAVFDFDFTTDENCQKSKIFFIAWSPDTSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIIKSRAI >KVI10125 pep supercontig:CcrdV1:scaffold_110:311415:314910:1 gene:Ccrd_011468 transcript:KVI10125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage/polyadenylation specificity factor subunit 5 MVTSAVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENGILLYFFDSYGTMFCISNHVALFNSAEIEGLKRKLSSKLAANSTGLQPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNRYGPVISTIPQQLSRFQFNMIHS >KVI10136 pep supercontig:CcrdV1:scaffold_110:105467:109210:1 gene:Ccrd_011490 transcript:KVI10136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MADAKSDCNRYNESSFQLCNCYKVESLTETILDTTQTSNLKDRYVLGDQLGWGQFGIIRECSDKLTGEVLACKSISKNRLVTDEDVRSVKLEIEIMTRLSGHPNVVDLKAVYEEEGYVHLVMELCAGGELFHRLEKQGRFSESEARVLFRHLMQVVSFCHDKGVVHRDLKPENILLATKASSSQIKLADFGLATYINPGQNLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGKPPFWGKTKSRIFDAVRAAGLRFQSDPWNSISDSAKELIRGMLRTDPSVRFTALEVLDHTWTNGTNLVPKSLVSCGELEIAGSPSSSSSLFMARNEDISFGMGSPMICEAFSCKSSFSSFFVEPATPLLNSCGFSFRSSGGSSNTTLDFCTPIHSMPSFRFFGPGPETEEEGCVLLEQERRGEEKEQRSSEVKRGGGSRISGIHNKRNRTIGLGEFEPLDLMVAESVIRWVSCTHLSTALRSDFVC >KVI10112 pep supercontig:CcrdV1:scaffold_110:493368:497481:-1 gene:Ccrd_011450 transcript:KVI10112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGCAFNHAILDGTSTWHFMSSWAQICAGSKSISVQPFLDRTQARNTRVKLDLTPPKQQNGDVATDAKPPAEAPALREKIFRFSESAIDKIKAKVNANPPEGSTKPFSTFQSLSTHIWHAATRARQLKPEDYTVFTVFADCRKRVDPPMPDSYFGNLIQAIFTVTAAGLLQANPPEFAASMIQKAIEAHDAKAIEARNKEWESNPIIFQFKDAGVNCVAVGSSPRFKVYDVDFGFGKPESVRSGANNRFDGMVYLYQGKNGGRSIDVEISLEATAMENLEKDNEFLIEE >KVI10131 pep supercontig:CcrdV1:scaffold_110:352747:355178:-1 gene:Ccrd_011464 transcript:KVI10131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MAVEEAKKVEVEPECQPEPPVAAAEKEEEKALVPVEPLVSEEKPTDDTKALIVVEKPEEVCDEKPKEGSINRDDVLAKVATEKKDALIKAWEESEKSKAENKAQQKLSSIEAWEISKKAELEAELKKIEEDLEKKKAKYIEKMKNKMAILHKRAQEKRAITEAKCGEELLKAEELAAKCRATGDTPKKLLGWF >KVI07859 pep supercontig:CcrdV1:scaffold_1100:19800:31010:1 gene:Ccrd_013777 transcript:KVI07859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASLLAYPQIPLNFTTNNAIIAGHSPFPGGLHRNAFVIRASAVSHTTKRKKQQQKEDEASLLLATTTEKGLRLLFMEDLMERARSRDVAGVSEVIYDMIAAGINPGPRSFHGLVISHVLNGDHEGAMNALRRELSEGLCPLPETFNALIRLFGSKGYSTRGLELLGAMEKLTLDIRFAWLILIEELIRSNHLDDANKVFLKGAEGRLRASDEIYDLLIEEDCKVGDHSNALTIAYKMEEAGRMATTYHFNCLLSCQATCGIPEIAYATFENMVYGEDSMKPDTETYNWVIQAYTRAESSDRVQDVAAVLGMMVEDYRRVQPNAIGGMLCQILFRRIVELLEALEAMAKENQTIPARAMVLSQKYRTMVSSWIEPLQTEADVGYEIDYIARYIEEGGLTGERKRWVPREGKTSLDPDVAGFVYKNPLETSFRQRCVENWKRYHRKILRALRNRGPSLLGDVSESEYVQVVEWLYHILKRPNKNALKPKAASKMVVSELMEELEAQGLPTDGNRSVLYQRVQKARRINRSRNKPLWIDEEVEALVSRVRLEEGNTEFWRRRFLGEGLDAEYGKSTAIEIEVSDVSDDPDGSEDVTKQGDDDEADKEEVLEQTESQSGDTEVVKDKEAVAANPLQMIGVQLFKGDSTPARSKKSQKRLSRIAMENDDDEDWFPLDIQEALKEMRNRKVFDVSDMYTIADAWGWTWEMELRNTPPRRWSQQWEVELAVKLMVKVIELGGKPTIGDCAIILRAAMRAPYPAAFLEILRTTHSLGYVFGSPLYDEAITLCLDLGELDAAIAIVADLETSGISVPDETLDRIIQDKQTSRANVNGTSL >KVI07857 pep supercontig:CcrdV1:scaffold_1100:51596:52973:-1 gene:Ccrd_013779 transcript:KVI07857 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase domain-containing protein MGMESPLPYLNPLLKGETLLSGANFASAGIGILNDTGIQFLNIIRIWKQIEYFEQYQIRLASIIGRDRARQIVSNAISLISLGGNDFVNNYYLLPFSARSRQYALPDYVRYLVSEYRNI >KVI07854 pep supercontig:CcrdV1:scaffold_1100:117181:123731:1 gene:Ccrd_013781 transcript:KVI07854 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MVRLSNNLLSRLVLLHLWVALFCNNGRSVCGLNYTRYRQVGDLRLARIQKHLDNLNKPSVFSIQSPDGDIIDCIHKRKQAALDHPLLKNHKIQRVPPEMPKARSISTQDDEEDGGGKSANVSQSEGYIRRGAWQMWHQNGERCPKGTVPVRRSTVHDVLRANSLYDFGKKRRGKIVPVARRVDAPDVVSGNGHEHAIAYTGSSNEIYGAKATINVWDPKIEVINEFSLSQIWVLSGSFDGSDLNSIEAGWQSDAYQATGCYNLLCSGFVQTNSRIAIGAAISPLSAFAGSQFDVTIVIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLADKATMVEWGGEVVNSRANGEHTSTEMGSGHFAEDGFGKASYFRNLEIVDSDNSLISAHDISTLAENTNCYNIKSSSNNQWGTYFYYGGPGKNAQCH >KVI07858 pep supercontig:CcrdV1:scaffold_1100:12893:17584:1 gene:Ccrd_013776 transcript:KVI07858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQDAWRVCHPDFKRPFSSLEDACERTLLTSIMAMAISFPMFRSEERLLIEQALLQEERRALFEARAEIETRQKAGREAHAANLRMAAMAQAEQARAEMMARAPIRASALGSMGEQQEQEVNPDEMMNGWGNNTTQKDEKEPSEDFLNDEERENGDTEVGMKDENRLSPGARSS >KVI07855 pep supercontig:CcrdV1:scaffold_1100:87655:89324:-1 gene:Ccrd_013780 transcript:KVI07855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transport accessory protein-like domain-containing protein MATCNMASAAGFVPVFHSNTAASSSKPASVSFLTFNNRGLRRQLVVRASEEPAAPPEAATTTTTTDAPPEDGAAKDAVKAAKPPPIGPKRGTKVRILRKESYWYKGVGSVVTVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIEEVA >KVI07856 pep supercontig:CcrdV1:scaffold_1100:40489:43195:-1 gene:Ccrd_013778 transcript:KVI07856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MGMASSQSSYAIFFCLLLAVLKNGVLEAEARAFFVFGDSLVDNGNNNYLATTARADAPPYGIDYPTHRPTGRFSNGYNIPDLISQAIGEEATLPYLSPYLTGEKLLVGANFASAGVGILNDTGVQFVNIIRITLQMQYFEQYQQRVSDIIGPQETQRLVNQALTLITLGGNDFVNNYYLVPFSARSRQFALPDYVVYLISEYRKVLARLYELGLRRVLVTGTGPLGCVPAELAQRGQNGGCAPELQRAASLFNPQLQAMLDSLNSEIGSNVFIGANIRQTNIDFISDPGRYGFVTSKVACCGQGPFNGIGLCTGLSNLCPNRDIYAFWDAFHPSERANKIIVQQILSGSTDYMSPMNLSTIMALDAATNV >KVE22442 pep supercontig:CcrdV1:scaffold_11008:167:812:1 gene:Ccrd_024037 transcript:KVE22442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MVFTNNLTWRGQKIDLTPFKGLVTSVQDTASNVAKTISNQGPLKFSISQTNAESWLLTTYLDEELRISRGDGGSVFVLLKEGSPLLLP >KVI08250 pep supercontig:CcrdV1:scaffold_1101:69934:74001:1 gene:Ccrd_013376 transcript:KVI08250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MTGGASQPVIASAWALAIYEIKYPTSLDHTHGGYSFNLHESWQNYEFRVELYLDDRNGKMKPQIPDPSSDNDPPFQAQDETEVKSGDSTKFEPDRPKGASKTKLVTHVSREEIDLIKSSDSPTRPIRTTRQSVGSDQSIDNSPMHPHPQARVGNRGSAGSSPMWDRKVSSESSHGAASSTPGRSRLKQVTRGEETVDDGPAIPKFGDWDDNDPTAGEGYTQLFNKAREDRHNGGAKSPMITIENANFYGQNRHGNDNTKDWPTYKDPNYQLSTLRIGSDHLNQPNGSRVVAAFHGAENDLFLVWMEEKVFASS >KVI08261 pep supercontig:CcrdV1:scaffold_1101:135525:136158:1 gene:Ccrd_013381 transcript:KVI08261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFEHVLWCSELSVLLAALAHNRCTSLVCSCYVPSRSNKGKEEEINNRSINTRCSPPTPSPTSSSNKDHHLHPYGIIIEDQQEVHISDHEAKINGVSSGSRTNMNGGTKMPPTPTPLKSNLKKSTVIHEEVGQLIKGIRKVSWPDAYGKDIAHVQEFEPSVSDDGELEGVRNSCICVIL >KVI08248 pep supercontig:CcrdV1:scaffold_1101:106807:108859:1 gene:Ccrd_013378 transcript:KVI08248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MPSQGSLIYSLVARGTVVLAEYTEFTGNFQSVATQCLQKLPASNNRFTYNCDGHTFNYLIDQGYTYCVVAVESAGRELPIAFLERVKDDFMKRYGTGKGKTAAAKSLNREFGSKMKEHMRYCISHPEEISKIAKVKAQVSEVLDRGEKIELLVDKTDNLRNQAQDFKKQGTKMKRKMWIQNMKVKLIVFGIFVALVLVIILSICPGFKCF >KVI08249 pep supercontig:CcrdV1:scaffold_1101:112876:118852:1 gene:Ccrd_013379 transcript:KVI08249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoglycoside phosphotransferase MEATSQITSCRIDSLSRSLPSKDDGSRFTAVRRSFPSIVRVKRRNGVIRAVATEPKPKGTEARPSRTVNGSSINGTSTRMETVSQEIKRVRAQMEENEQLASLMKGLRGQNLSDAQFAADDVELRLVETDESSELLPMVYDPVSISAYWGKRPRAVATRIIQLTSVAGGFLSRLAWDVINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSYPDDIAMALLEEELGEPWYNIYSELTTSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLALRRFPQISVDVVGLVDEWAARFFEELDYVNEGENGTYFAEMMKKDLPQVVIPKTYTKYTSRKVLTTQWVDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTVYGKSGVFDAERFIDVMQAFESFITAAKSGGGEDLNGGMAELGLLQNQSNFTFPQLQSGGSQEQPVQTRAALAFLLSDKGNFFREFLLDEIVKGIDAITREQLVQVMAVLGIRSPAPVFSMVPTFGTIRPTGLIPYITEEDKIILNNVQKILEFLTAGDSRLQSPDQGLDVNRVIRELLPIMPGLSAKVVPEVLSRLSSRVMARLIRDTFLY >KVI08260 pep supercontig:CcrdV1:scaffold_1101:137747:142018:-1 gene:Ccrd_013382 transcript:KVI08260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone/stilbene synthase, active site-containing protein MVTIQEFRKAQRAEGPATIMAIGTATPPNCLLQSTYPDYYFRITKSEHRKELKEKFRRMCDKSMIKKRYMYLTEEILQEKPNICAYMAPSLDERQDIVVVEVPKLGKEAATRAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPDESHLDSLVGQALFGDGAAAIIVGSDPMLDVEKPLFEIVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPRLISKHIEKSLLEAFRPLGIIDWNSLFWIAHPGGPAILDQVEEKLSLKPDKLRATRHVLSEYGNMSSACVLFILNEMRHASATDGLNTTGEGLEWGVLFGFGPGLTVETLVLHRLGPFLLGVQMTVGMMMCLMLKLPILAETLVESNLLSGVL >KVI08251 pep supercontig:CcrdV1:scaffold_1101:96562:100994:1 gene:Ccrd_013377 transcript:KVI08251 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDEEKESASICVLPEGCLSEALSLTSPRDACRAAAISYGFKSAADSDAVWERLLPPDYFQVIARAVSPVVFGSKKQLYLCLSESHILLDRGYLVCILRKVCWLEIRGKIAAVMLSQNTTYVAYLVFRIARDSRGLVVPATTIVSFGGIETATTGVFLQKPGARGRIWHAPLGNSDGFPRRREDGWMEVELGEFYCDEKRNGEVEMVFEEIRHGNWKN >KVI08258 pep supercontig:CcrdV1:scaffold_1101:9453:15723:-1 gene:Ccrd_013369 transcript:KVI08258 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MEEHEDRDTPSIEKSSVIVDSTAVDEVVTGRLGGGEGKNEGFLSERDEGEDMMVDVVGADEVDGDHGRDDLDSKAGSVGLVEECTEKETQTHDPKMDTEEAAGSGEDVCHTSVGSRVLTFEKVEVTVKEEGLNRSLEASRDVLQDSDRVLTFEKVEVAVKEEGLERSLEVSRDVLQDSDGDAQKSGTCVRDSEMAYESSRGEPQFGADIALIDSKELTERGLTEVVVEQNVKSVEDVAGGDHVMDGKPGKEAEILDSSKIAESSTTTADLGSLEVDLMVVEKDFASKDEAQDIVSVDPSLVCDNNQNSSTELVNAGTDEVAGRSNEDGPHPTIEVDSQRRENGLIDMEKSQRGLSKEIMKQPIECEQAADGDCIVNGKIGKESEALDSSTISEPSTTTNLGSNPGSEDVDVVVVDEGFACKDEAQGGVFVDPSLVCDNNQNSSTELVNAGTDEVARRSNKESLHPTTEDDSQRRESLIEIEESQRGLSKEIMEQPIECEQAADGDCIVNEKIGKESEALDSSMISEPSTATNPGSEDVDVVVEEGLACKDETQGGASVNPSLVCDDNRNLSTGEDDVNVQAENLDQQAEVAKLSKNQSFHPTTEVITNDAQKPEKGTGVGDSKLAVESSNGEPQFGRETDVKDREETERGLTEDEEIVEQHTESVQEVIYGDGVMDEKTGNGDEVLDSSKIPVSLTTAHLGPEEVLTTKVEAKDRDSEGPRLLYPDNRSLSTEXVNAFEEVNGVTPCADVAKDCGLHENLEFSYEDAHMERGEDAGMDIDEVLGWKDEMSEIDVLSGNADHPETDQDFNINVNSEGGVEQVLLESSCEPVVIGQLEENCKSRQPIVYERRVHVEVPLYDSLDGSRSPLLEDENLKVETDSEEDDESVQEEERKAVGRSTNEVTEPLENESSCSLQQSCYFQPRGKGRFSVSDLVWGKIRSHPWWPGQIFNPSDASEKAMKYHKKDCFLVAYFGDGTFAWNDSAVLKPFRPNFSQIEKNTNSESFKNAVRCALDEVSRRVELGLACSCVPQEIYETIEYQNVENGGIRRKSNRRPGADESAGVSSFEPDKLVDYARLLARFPGEGDKMELAMAKAQLSSYGRYKGYRNLPEFQFCGEILEEDASMLTEGDEHVNNHGAKISENFENNLMDGEYPHKDRSLSDLTDDAPYSGDGDRTEGGNVTSSLVSSSGLKKRKARDSISNGSKKRPSLRLEKVPSALISTPKPSFKVGECIQRVASQLTGPPSVLKSNSDPNGQHADQLVGPAVSLQIPENSEEEMMIVEGKQSSVAEMLSQLHLVAQDPMKGYSFLNTIIPFFYDRRTAVFSKSIRQNSSVGRPVSGKKRKTSNENEPEEFEFEFDDVNDSYWTDRIIQNHSEEQLLQDNQNEGQECHVVAYEPEKPAKASRKSNKKRALSSNHEIETKEQSELIERRRQNLATEVVLKFTEGIYFPSEIHLNKMFRRFGALMESETEVDRQNGRARVVFKKCSDAEVAHSSAGRFNIFGSISVNYELNYTPLVSYKPLPLPMSQDLMNAS >KVI08254 pep supercontig:CcrdV1:scaffold_1101:33852:35750:1 gene:Ccrd_013372 transcript:KVI08254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLLLRSPTLRTIFAAHRNQPHRSVSTVSQPSHHNDHQRNQEYLSPNDYLNSWKPPKDPKEAQAKLNQLRRDYAKKVKAVRKEYIHEMELQRVERQRKDEIRKEALRIESEERKAAKTAEKKAKAAERQVAEEEFRRTLREEKIMEKKKGKNEILRRQSSIWIDEDELEKKIGAAITDATPL >KVI08255 pep supercontig:CcrdV1:scaffold_1101:38057:46009:-1 gene:Ccrd_013373 transcript:KVI08255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MGDIPIGGGEDRKATKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYVCGTDEYGTATETKALEENCTPKEICDKYHAIHRDVYNWFNISFDEFGRTSTPQQTEVCQAIFKKLMENNWLSENTMQQLYCDTCNKFLADRLVEGSCPTPGCNYDSARGDQCEKCGKLLNPTELISPRCKVCATSPRIRDTDHLFLELPLLEGKLREYISTMSVAGSWSQNAIHATNAWLKEGLRQRCITRDLKWGVPVPLEKFKDKVFYVWFDAPIGYVSITKCYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTAENWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFIAKDPDSGSAKGSGYNSIIPDAPGAESHLLTKALGEKIGNYVDQYVEAMEKVKLKQGLKIAMSISGEGNAYLQESQFWKLYKEDLPSCSIVMRTSVGVVLKQLNLPLQLSLSDDKGDIARANSPWQIIPVGHRIGTPVPLFKELKDEEVEFFRNKFAGSQADRADRAVKEEAEAKKITEKLKNTKVSDKSGKKSAKSAAESKPKAAAEQELTISRLDIRVGVIKEVQKHPDADSLYVEKIDVGEEQPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPATMRGIKSHAMVLCANTSDHTKVELVEPPESAAVGERVTFPGFDGQPDDVLNPKKKVWETLQVDLHTDKELVACFKDLPFTTSAGVCKVSSISDGSIR >KVI08256 pep supercontig:CcrdV1:scaffold_1101:22668:29246:1 gene:Ccrd_013370 transcript:KVI08256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRVPDWLNSPMWSSPTSPPPKSRSQSPPPPSSSNGDRIGSYLPKSSSIASYDYSLNEPHVTLPPPASIRPVPTPRTEIRDSSTRSSSSDNDITSSIDDVSRQAELLQELSRKIVNIGELRRLASLGIPDAAGIRSSVWKVCNKMLLVLKNQLLLAYLPSDKGIWLSELTKKRSQYKHFKEDLLMNPSEITRKLEDSAYPKNGDQISEGKGLLARSKIPHGEHPLSLGKTSIWNRFFQDSEIIEQIDRDVKRTHPDMHFFSGNSASAKANQESLKNILIIYAKLNPGIRYVQGMNEILAPLFYVLKNDPNEDYAVNAEADTFFCFVELLSGFQDNFCQQLDNSIVGIRSTISKLSQLLKKHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFNFADSLHIWDALLSDPEGPQGTLLRVCCAMLILVRRRLLAGDFTANLKLLQSYPSTNVSHLLYVANKLSSHSTH >KVI08253 pep supercontig:CcrdV1:scaffold_1101:60967:68247:1 gene:Ccrd_013375 transcript:KVI08253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKKGQQAEAELLSTLEDFTSKENWDKFFSIRGTDDSFEWYAEWPQLRDVLLTQLSELPQVNGETSEVQILVPGCGNSRLSEQLYDAGFRYITNIDFSKVVIGDMLRRNVRSRPGMRWRVMDMTSMQFADKLFDAILDKGGLDALMEPELGPMLGNQYVSEVKRVLKEGGKFICLTLGESHVLGMLFPKFRYGWKISIHVLPQKPSKRSSLRTYMVVAEKASPTTIQTISTSFDHDTLDSGDQARGIFEALEAENKIRTKCSSDNDILYSLEDLKIGVKGDLSELSPGRWVQLTLGEPGQSRFCYKTVLLDAQQNADEFLYHCGVFLVPKTRAHEWLFSSEEGQWMVVESSKSARLIMVFLDASHTGASSEDIQKDLSPLVKQLAPAKIEDAAQIPFMAASDGIKQRKVVHQVTSALTGPIIVDDVVYEKVDGELGHLSLSKDLVFRRLTFERSEGLIQSEALLTSEGSQKTVCEKGNKKSHSSSKTKKKGSQKKTDSHVSLVDDASNYLNVDHGYLASSYHSGIISGFMLISSYLERVASSGRTVRAVVIGLGAGLLPMFLHECIPFLHVEAAELDPVVVELARDYFGFREDERLKVHVTDGVKFVGDVAXTTVAGAVPNADESDAELKTEGVGVDKLDILIVDVDSPDSSSGMTCPAADFIEESFLNTVKISLSKEGLFVINLVSRSSAIKEMVVSRMKRVFNKLFYLQLEEDVNEVIFALNSDDPTDFCSTEAYNQLQKLSNVKHPEMNQNIIDSAKKIKPLHG >KVI08259 pep supercontig:CcrdV1:scaffold_1101:2986:7410:1 gene:Ccrd_013368 transcript:KVI08259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNKQHKSIVTLIGLKSQLNEEFPTGIGRRSDASATANSEERLTDLGKSRRNEITKGNSTEKREINLNQRMGSGEALKVVKVYRELMKAVKKHIGNEEHKAHFRDFIKSEFKKNGDGLETSFIQQKIKIAHDYTYLFKSVHHHQXLLFSYNIAVDRSDEMKKILGKSAASVGLQLPDVYQS >KVI08262 pep supercontig:CcrdV1:scaffold_1101:119864:129744:-1 gene:Ccrd_013380 transcript:KVI08262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MVCLCYAKEKEVPLSLSCSIVRGDMSRFIATRRLASNIFVSKSATRTFCNDAPSKLNDVPHGSQFNKELEDEQGFTNDIPQYDIAIVGGGMVGMALACSLASMPLTKQLSIAIIDSNPALTNGSSIKKDDPPDPRVSTVTPATISLFKGIGAWQYVEQHRHAYFDRMQVWDYTGFGYTRYNARDADKDVLGCVVENKVLHKSLLSCLQIHLTDGNKTEQNKDFKKTIYPTRLSSMSLPTRSSVSAAGASQSKSLANLAKLELSDGTNLYAKLVVSFNVGADGSKSHVRELAGIKTTGWNYSQSAVICTVEHSAENFCAWQRFLPAGPIALLPIGDNFSNIVWTMSPKEASNHKIMSEDDFVKAVNHALDFGYGPHPESQVFGSGVLSSFFRPDVTSSANEGFELPPKVVKVASQRMAFPLSLMHANQYASKRVVLIGDAAHTVHPLAGQGVNMGYGDAASLSNVIADGVAVGSDIGTWHGSYVQVTLLKKYEADRKTANITMMAVLDGFQKAYSVDFGPLNILRAAAFNGANVFAPLKKNIISYASGERRLPFLS >KVI08257 pep supercontig:CcrdV1:scaffold_1101:28697:33605:-1 gene:Ccrd_013371 transcript:KVI08257 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MRRPGEGTTLKRVHHNRHQLKQVKGLFVKLSIAAILLISSALYFFYFYTTSSSSSDFPSEVDVNKLWDAADSGGWRPSSVPRSHWPPPPSTSNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSYWHDDSGFQGIYDVHHFIKSLQYDVRIVESIPEIKKNGKTKKIKAFQAFALEKMKEHGAIYLTPFSHRLAEEINNPEYQRLRCRVNYHALIFKPHIMELSHKIVDKLRSQGHFMSIHLRFEMDMLSFAGCFDIFSPDEQKILKKYRKENFAEKKLIYSERRAIGKCPLTPEERFMKPFRSTFPLLENHSTVDSSDELKDHTQGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYHGFRTAIRPDRKGLAPIFIDREKGRTAGFEEAVRRVMLQTNFGGPHKRISPESFYTNSWSECFCQTSALNPADRCPPDNITEMLQNRMKHEVTSNLNGNEQDS >KVI08252 pep supercontig:CcrdV1:scaffold_1101:46645:53626:-1 gene:Ccrd_013374 transcript:KVI08252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase MAVNEWINGYLEAILDSKSSNIEDSSGVNLRERGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEDLQRIAKRRLEREQGRSDVREDMSEDLSEGEKVDILGDLESPPKTYQHTASDIWAEDKKEKKLYIILIRYHFNLLGMFIRTSIYYKYWFYKNLDAILIPIKYVVELARALARTPGVYRVDLFTRQVSSPEVDWSYGEPTEMLTTNSEDADDDVGESSGAYIIRIPFGPRDKYLRKELLWPHIQEFVDGALAHILNMSTVLGEQIGGGHPIWPYVIHGHYADAGDSAAILSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMKRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARHGVNCHGRFMPRMAVIPPGMDFSNVIQEANEADGDLSLTNTEGSSPKAVPAIWSEVMQFLTNPHKPMILALSRPDPKKNITTLLKAFGEYEMSAGNASVLTTVLKLVDKYDLYGQVAFPKHHKQNDVPEIYRLAAKTKNLWHECQKNGWKNIHLFSWPEHCCTYLTRVASCRMRHPMWKTDTPADEMPREESLNDSLRDVQDTSLFLSIDADRSSINESLDGGADIQDQVKRLMSKMKKPGSTEIVGEINNNASNKYPNLRKRQRLIIIAVDCYDNNGDPEKTMISMIQETFKAIKLDAQMEKVTGVAISTAMPLSELMDLLKSGKIKANEFDAMVCGSGSEVYYPGTYEEGGELYPDPDYATHVEYRWGSDGLKKTICKLMNTVEGEDAKSGKPSKQIEEDVKSSNSHCLSYLIKNVKTAKKVDDLRQKFRMRGLRCHVMYCRNSTRMQVIPLLASRAQALSQTTSVMVNRYFFVRWRLNVANMYVVLGETGDTDYEEMISGTHKTVIIKGVVKKGSEELLRSSGSYLKEDIVPGESPLIVYVNGTTAEEMANALKKVSKCDA >KVH93501 pep supercontig:CcrdV1:scaffold_1102:14193:25650:-1 gene:Ccrd_004444 transcript:KVH93501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MPEMMLGQRSRDRRHLAVIKDVLEIANVLNSKWKRQGELMYIITYDILFGQDASLTGDAEKYLILRKGPLQSALAKILVRKGAKNVEDLMAQYQIPDVKKPCFVRVXTLKLDVETAVCELGKENEGKASSMVAVALGPKSGWQVIDACAAPGNKTVHLAALMKGEXKIVACELNKDRVKRLEHTTKLAGAANVEVLHKDFLNLNPKDQLYSKVRAILLDPSCSGSGTAADRLDYLLPSHTSGDGDDISRLIKLAAFQEKALIHALSLVERVVYSTCSVHQIENEDVIKSVLPLAESY >KVH93502 pep supercontig:CcrdV1:scaffold_1102:49087:52886:-1 gene:Ccrd_004445 transcript:KVH93502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MGQPVPTIASSKALAELNHIKGKRRIWFCGSYQGYGFHKDKLKAGMVVPNGMINQSYEFLNNPKQMVPSLMEAGARSFVVRFLRDYIAIGTLILLEDGGETFTFEGTIQKGPLKIVTEADIGLADAYINGDFSFVDKTKGLLYMIMAQVDKNHEVLEIGSGWGTLAIEIVKQTGCKYTGITPSKEQLKYAERKVKECGLQDQIKFQLCDYWQLPDTLKFDRIISCEMIEHVGHEYYEDFFRCCESLLVEDGIFVLHFISVPDGWYDESRRTPNFIKEYIFLGGCLPSLNRGTSAMAASSRLSVDHVEKIGTHYYQTLRLWRTNFMKNKSWYLFISHLAKSFPWASTKNSSSHGNTTLIMLLLDLRVRQLGTIRLCSRGLEMFPHYNLEIHTWVLFELGEHVSLLSNIEFYLPYRT >KVH93500 pep supercontig:CcrdV1:scaffold_1102:131921:135054:1 gene:Ccrd_004448 transcript:KVH93500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MVAPLYKNLEPTMGDNEEDVDADADVDVDDEQNWEDWTAEGEGEDDDLELLCLFCDSKYSSANSLFEHCHSSHSFDFGSIRTTMKLDFYGCFKLLNYVRSQVARNQCWSCGSICQSRQELQDHLHEQSGFESNNLPWDNDMYLKPYMEEDHLLYSFGEDEEVDDDDIRSADEDLARIIKVLGKTCIDDRTDSETSASISKFLESCKENAVTEVVSVSKNNGASYSDGAKVNSKNAKLGSAHDGVSDDKKSNDSSVNPADSEIIIVNKSYFGSYGSFGIHREMISDKVRTDAYRQAIVDNPSLIKGAVVLDVGCGTGILSLFAAQAGASTVNAVEASDKMASVATQIAKNNGLLWNQEQGSGVVKVVNGMVEDLIVSGKIEPQSVDVLVSEWMGYCLLYESMLSSVLVARDHWLKPGGAVLPDTATMFVAGFGKGATSIPFWENVYGFNMSCIGNELVEDASHIPIVDVVDGNDLVTNTALLQVFDLVTMKPDEVDFTASVQLEQKSSHSAGIESTVTKCYGIVLWFETSFTSRFCKEMPTVLSTSPYTPPTHWSQTLLTFREPISLSKMLVNGSSSTVGTDVAPAVKIDSRISIARGVEHRSIDISLEVTAIGFDGRKRQWPVQMFHMR >KVH93503 pep supercontig:CcrdV1:scaffold_1102:74337:80132:1 gene:Ccrd_004446 transcript:KVH93503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MEISDMSFSVSLNEGHGYEWGNRNSFSSLFAQKVNVLNPCFLKMLKELTNFKDDVLRYLEELEHNQHSGRDETLSDFIQSHGYSELFQKAYLVPICSSIWPCPTEGVMRFSATSVLTYFRNHHLFQVKEELESRGCQIRTRSAVQSVSKIDNGCLIFCEDGSQEKYHGCIIDADAPDTLRMLGEEATHEEKRILGAFSYVYSDIVLHHDKNLMPRNQRSWSALNILGTKDNKVCLTYWLNMLQKIDDDDDGLPFLLTRNPSRTPKTTLLKQSMGQPIPTVAASKALAELNHIQGKRGIWFCGSYQGYGFHEDELKAGVVVANGMINQSYEFLNNPKQMVPSLMEAGARSLVVRFLRDYIAIGTLILLEDGGETFTFEGTIQKGPLKVYMKIHNLQFYWKIVTEADIGLADAYINGDFSFVDKTEGLLHMIMIFIVNGDLKTYASKSNKRGWWTPMFSTAIIASAKYFYHHSNELFALFLDETMTYSCAIFQNEDEDLKEAQMKKISLLIEKARVDKNHEVLEIGFGWGTLAIEIVKQTGCKYTGITLSKEQLKYAERKVKECGLQDQIKFQLCDYRQLPDTLKFDRIISCEVIEHVGHEYYEDFFRCCESLLVEDGIFVLQFISVQDGRYDESRRTPGFINEYIFPGGCLPSLNRLTSAMAASSRLSVDHVEKIGTHYYRTLRHWKTNFMKNKSKILSLGFNQEFILTWEYYFDYVAAGFKSETIGDYQVVFSRPGNVSTLQLGDPCMGVI >KVH93504 pep supercontig:CcrdV1:scaffold_1102:110776:128485:1 gene:Ccrd_004447 transcript:KVH93504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKKVGIPMKPAAKELKSRRQSNVERSSLFARREAAKVLRSVLHGDTQRRAVGSIKTLVYSPSIRNKKATFALVCQTLKYLAVIKDVLEIANVLNSKWKRQGELMYIITYDILFGQDASLTGDAEKYLILRKGPLQSAXAKILXRKGAKNVEDLMAQYQIPDVKKPRFVRVNTLKLDVETAVCELGKENEVHKDDMIPDLLALPPGTDLHNHPLVIDGSIFMQGKASSMVAVALGPKSGWEVIDACAAPGNKTVHLAALMKGEXKIVACELNKDRVKRLEHTTKLAGAANVEVLHEDFLNLNPKDQLYSKVRAILLDPSCSGSGTAADRLDYLLPSHTSGDGDDISRLIKLAAFQEKALIHALSFPAVERVVYSTCSVHQIENEDVIKSVLPLAESYAQHLLRTDPVEDKEGFFIALFTRNTNHFPASPQRDISPEEGTHEAGRRCHRRKKFARSLPFAKISGFYLHRNSTIRRR >KVI11350 pep supercontig:CcrdV1:scaffold_1104:115097:128088:-1 gene:Ccrd_010241 transcript:KVI11350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MRSHKRCRIAGSWTNLVFSLVPSQSCAFFLVALAFTAYGLDYEYKAINLFKREQHNPEFLKINPMGYVPALVDGDIVLADSLAIILVSNFSDRLSIICFYLEEKYPQHPLLPHNLEKRAINYQQYVEENVGPDAKIPWILKHVGKGFAALDKLLKDHAGKYATGDEIFLADLFLAPHIIAMSQRYNYDMTEFPLLSRLSEAYKQVPAIQDAMPEKQPDFQIN >KVI03261 pep supercontig:CcrdV1:scaffold_1105:9913:44026:-1 gene:Ccrd_018442 transcript:KVI03261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MAMPGRRPNYTLLTQIPDDDHLQPPQPPPPSMFSGGTGSGGYDSSHHSHSGEKKRNERAGFDWDLMNLSTDQRMQQNRQPGFPASIGGLQRQSSGSSYGESSISGDYFVPSLSAAVNSGGDPDAYSHLPDGGGGDVLRLKSSEATGGGGGGGSYSSKSWAQQTEESYQLQLALALRLSSEAMCADDPNLLDPVTDETAGSRSSGSSTTSAEALSHRFWANGCLSYFDKVPDGFYLIYGMDPYVWMMCCDIKESGRIPSLELLKAIDSGSESSIEVILIDRRNDPSLRELQNRIHSISCTSITTKEVVDQLAKLVCNRMGGATLTGEDDLVSMWKECSEDLKYCLGSVVLPMGSLSVGLCRHRALLFKILADTIDLPCRIAKGCKYCKRDDASSCLVRFGVDRELLVDLIGNPGCLCEPDSLLNGPSTISISSPLRFPRFRQVEPMVDFRSLAKQYFADCDSLNLVFEDPSTGDGDTGDAVYPKQSDKNHADINIAMRSPSNSNEGSRLPPSKAARPKGHDRSSQLPKAYPQNVIGTTKMVNDISLQHVGPTGHRDSQSYVLSNTRMEVANNQRFEGGQLVPHKASGDFTLDVEDLDIPWSDLVLKERIGAGSFGIVHRADWNGSDVAVKILLEQDFHPERLTEFLQEVAIMRRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKSGAKEALDERRRLSMAYDVAKGMNYLHRRNPPIVHRDLKSPNLLVDRKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVVAAVGFKCKRLEIPRDVNPQVSALIEACWANEPWKRPSFSAIMDTLRPLTKPATPQTSQTDVLLIAVHMFPVCQLSVPEFYFDCNARKTVEEENPNPFVSRRNQLFRHYHYVHAPFGITEILSTTKHHRNATRLSRKKNKYRK >KVI03260 pep supercontig:CcrdV1:scaffold_1105:106090:107385:1 gene:Ccrd_018443 transcript:KVI03260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALDDAGNEELPSAAEKNLFGKYEVGRLLGYGAFAKVYHARDVLTEQSVAIKAINKQRIMKGGLAANVKREISIMRRLRHPNIVRLLEVLANKKKIYFVLEYAKGGELFAKVAKSRFSEHLSRRYFQQLISAVGYCHSRGVYHRDLKPENLLLDENWNLKVTDFGLSALTDQIRPDGLLHTLCGTPAYVAPEILSKKGYDGAKVDIWSCGIILYVLNAGYLPFNDPNLMVMYRKIYNGDFRLPKWTSPDLKRLLSRLLDTNPETRITVDEIINDPWFKIDYKQVEFHCDHLELKDDDDTATGNNLKVMNAFDIISFSSGYNLSGLLDNQEIGERFLSTASPEKVIEKVVEVAEAEGLTVVTRKEWCVKVVGGYSCNFVMAVELRRLTEELVVVEVRRIEGEVGPSRRIWKDKIRPGLSNLIYQTGSADCR >KVH98633 pep supercontig:CcrdV1:scaffold_1106:93521:97487:1 gene:Ccrd_023143 transcript:KVH98633 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MDSAPVNMKNDKQQPRAPLQLQNDHEPPLQRPIWQIIMVAAIAAGVQFGWALQLSLLTPYVQLIGVPHTWSSFIWLCGPISGMIVQPVVGYYSDRCTSRFGRRRPFIAGGAFLVAIAVFLIGYAADIGVSTGDKIGAPSKPRAIAVFVVGFWILDVANNTLQGPCRALLADLAGSNSSRIRTGNAMFAFFMGVGNVLGYAAGSYTHLYRIAPFTKTAACDVYCANLKTCFFISIALLVIITVLALATVSEDAWVPEVVDDGKSTGKQLVFFGEMYGALKELSRPMWILLLVTCLNWIAWFPFLLFDTDWMGKEVYGGKVGEGNYNRGVRAGALGLMLNSVISGIASLCIEHLARWLGGVKRLWGGVNFLLAVSLAMTVLVTKMAESSRQYTTLPDGTVTALPPNDGVKAGALTIFAVLGAPLAVTFSVPCALASIFCNNSGAGQGLSLGVLNLAIVIPQMVVSVLSGPWDALFGGGNLPAFVVGAVAAAASGIFAFTMLPTPPPDAVLAKVSGGGMH >KVI04751 pep supercontig:CcrdV1:scaffold_11068:388:1486:-1 gene:Ccrd_016928 transcript:KVI04751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MKRNVNKVKKPMTISKCYNKVIEYIQFLQEKVHKYEDTHQGWNSEPPKILPWNSQRPTQVPNGASRPAMVYVVAKLDENNINIAPNIPREGQNLLDTNMSHLDSIKETDQSTKQTSSFHMAFQPNICVVAPLSRHEPEICH >KVH93794 pep supercontig:CcrdV1:scaffold_1107:76189:79458:-1 gene:Ccrd_004154 transcript:KVH93794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQESSTKAVAHNCSKQEQTYEDNGVFEANGLPKSTKLIMTPLIGIMSSKCDLSVHLSCLSTWNYLLYKLDTSVNHPLVIKNVLGPMLEVVFRVGPDSRNIWSWNFCLDLIESYALATRIDENRGSDDEQLAKSPTTTHPEPANRSWKHYPIKWTPWNLGQLDLFVNVVHIFISHGEVAYDAALKLFRSSLEGVQSNLSSSSVPFSEVMSCINTIIMCLEKISESVISQIFLQFIEIATRELESSILGSRLYKLTLHSKYTENLNQANGLTKMMVVCLEGDGNMVSPVVYLIVLYFHTIINSTSDAPGDGSVARKLSAYVSLLLMSYDSYDVFHTFTCSLYKYSTLNCLDFWIVIANCLKDYVDGNKGLVSCKSELDDTWYLLISHFLAYPFVVFYSLSQKKLDVVQVIEPWKSLYVAVHQGYGCLSSQCCKNLFPVFDQFLEEYSEVDPAEKTQGFGFLSLCGYAITCVLEHILKSSEPCRRNNGGVDAIDWYRFLSASYAEAKANRQILDATSRVFSTLIMSIPLLQWLSDLELQQENTIKLWIAILKRLQISRPPINFNSTFLKLQATLLESTLDHPNSSISDPTIAFWNSTYGEQMKLDYPQNLLPVLDKLFRNSKISLCKKSYSRPEAAKITTTLNRCVKRVDLVDEHVKGSGSKRKKLELTERQKEVRRAQQGRSKDCEGRGPGVRTYTSVDFSQGNETESQEEMYVGDEWF >KVH93793 pep supercontig:CcrdV1:scaffold_1107:52899:56165:1 gene:Ccrd_004156 transcript:KVH93793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MESSKHQLTALLLVSMVFISSATPIALDCVPCGRGKPPHHTSKPHNPHKPPSGKPPTTHPPIVRPPIVRPPIVRPPIVRPPVVRPPVVNPPITLPPVVRPPITLPPIVKPPITLPPVVNPPITLPPVLNPPSGGKPGTPCPPPPFVPAPAPTCPIDALKLGACVDLLGGLVHIGLGDPVVNQCCPILAGLVELEAAVCLCTTLKIKLLNINIYLPLALQLLITCGKTPPPGVMKSP >KVH93792 pep supercontig:CcrdV1:scaffold_1107:125095:127234:-1 gene:Ccrd_004151 transcript:KVH93792 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4413 MDTPMESNALVNIEMQPEYFDTPMNDVETQPTKNRKKKSIVWEYFTIENVGAGCRRACCMQCKQSFAYSTGTKVAGTSHLKRHIAKGGCPVVLRNRGQDALTPFSAPSKMAVNSGGPITPKRRYRTSVVPYSTFDPDRCRQEMARMIILHDYPLHMVEHPGFMAFVHNLQPSFNMVNFNTVQGDCVATYLREKQSIQKLIEGMPGRICLTLDLWNSYHTTGYVFVTAQFIDSEWKMHRRLLNVVMEPYPESDSAFSHAVSTCLNDWNMEGRLFSLTINQPLSEVGINSLRSLLSEKNPNILGGQLLLRNCLARSLSCIAQEALKEGQETVKKVRDCVKYVKTSESLEEKFLTLKQQLQVLSTKTLSLDDQTRWNTTYEMLLAASELKEVFSCLDTLDPDYSKGPTGEEWKLVDNLCAYLKLLFNTAKLLTSSTTPTTNTFFHEAWKLQLELSRAFTCEDEAIRRLTKPMQESFDHYWKNCCLILAIAVVMDPRFKMKLVEFSFTKIYGDEAASYIKIVDEGIHELFLEYVALPLPLPLPLTHGFVEAANGVLL >KVH93791 pep supercontig:CcrdV1:scaffold_1107:79746:82987:-1 gene:Ccrd_004153 transcript:KVH93791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGDLANQLNELKTLLSSCAGINSKWDKSSAYSMLLQLEEHSTTDPPLLKSLADSSHILLSSMANDISDDDEEIAAQALKCMGFMIYHPSIVTGISGDDVDMVLSSLQKVILATRIKVEIAFSLRSFTITYRRMVNGVWCISVQQFSAMSLNAHIDSLVRAIIHALDNPTGSLSTTFEAMQAVMKLVTQLPEKMRETSNLWAPPIYRRLVSADKREKCMSERCLLKLKSTICPPSKALSKAVILDVKRKLLPALEGLLNQGMKIQAMQAWGWFTRLIGPYAMKNRHLINQLLKSPEQTFSDSDPQVKIASQVLSVFVLFQILLVQI >KVH93795 pep supercontig:CcrdV1:scaffold_1107:63005:74166:-1 gene:Ccrd_004155 transcript:KVH93795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDSSSVALSNNNSRGDASSSPDADLISVTQTLAKEAYVLFQLGKYVDCLKVLNQILEKKADDPKILHNIAIVENFQDGFSHPKRFLEALDSLKKRSERLAHSSGENAEVLNNRSKAVGNKGNNVVANQVSTISSPQGISADDFDVSVMMFNIAVILYHLHEYEKCFSILERLYQNIEPIDERVARHVCLLLLDVALVCHHATRAADVINYLERVGGNSLGNQGDSGSFTQQQQLTNLVMKSTSAPSNVIISDPINSDSAVNANGPESPISRTLSEETLYESLMSTLDVSGAQNLTRPSNDLTRTQADESLITTPDLRLKVHLYKVWVLILTRNLKAAKREVKMAMNIARGKDYSLALFLKSQLEYARRNHRKAIKLLMASVSQTETGTSALYNNNLGCIYYQLGKYQTSAVFFSKALGNSAQQRKERPRKLLNISQDKSVFFAYNCGLVYLASGKPSLAVRCFRQAGSVFFKRPLLWLRIAECCIMASEKKLDINVNVVGRGKWRQLVIECWNSRNTVQEEPNLSLTFAKSCLLNALHLLDCPDESKPDLDENSNPRGSNLKATGQVNSNGEVKESKVGNSSGNALLQSSINEYESLCGKENQMILQAVLADLAFVYLELGNAVKGLAAAQCLLRLAECSRVYVFLGNLYAAEAYCLLNQPKQASEHLSSYMSGQNNTELPYSQEDCDIWQMRKGVDLEEPNGVEQALPQGGSLFLKPEEARGVLLADMAAVAAAEGDMERAQEAVAVALSLIPENPEVVLTAAYVDMVRGKSEEAVGKLKECRHARFK >KVH93790 pep supercontig:CcrdV1:scaffold_1107:119015:121876:1 gene:Ccrd_004152 transcript:KVH93790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MTSHRSTRAILNNFQLARKPTQILRDTEKKLQRQRLKKASAMAIHTPNLISISPSLYPPINTHPPLFSISSSTIFSHHYPLITSSSCRNRRTFISSCNQKSESVLDYIEEEDTRDQKQGSMTIGSVVQVSGRRLVVKDRTILTDVSENVITSSGSESGHTDGAFIGAFFDDENSHHVVSLGKLHDVRFMACFRFKLWWMAQKMGDKGSEIPLETQFLLMETIGETEKMYVVFLPLIEESFRACLQGNSRDELEVYLESGDSETKGSVFSHTVYVGVGTDPFCTITDAINTVKLHLQTFKQRQDKKSPGILDYFGWCTWDAFYQDVTQEGVESGLKSLAEGGTPAKFVIIDDGWQSVEGDLESKSQKPLMRLTGIKENQKFQSKNDPTIGIKNIVDIAKQKYGLKYVYVWHAIVGYWGGVRPGAEGMEEYEAVMKYPLVSKGVVANEPGWKTDMLAVQGLGLMNPKKVFNFYDNLHWYLAAAGVDGVKVDVQSILETLGTGLGGRVAVTQQYHQALEASIARNFPDNGCIACMSHNTDSLYCSRQTAVVRASDDFYPLDPISHTIHIASVAYNTRLGHVPFPSSGSRKLVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWNSIERENTFHHTQPDPVTGFIRAHDVHLIAEAVVGPDWSGNYAVYRHHAGDLVTLPQSAVIPVSLNVLEHEIYTVTPIEVLFSGVSFGPIGLIEMFNSGGAIEELKYLVERDGCSASVSMKVKGCGRFGAYSMTKPGRCMVGASEVEFLYDSQSGLLSFNLNHMPEDHHDVTIHL >KVH99382 pep supercontig:CcrdV1:scaffold_1108:119183:121296:-1 gene:Ccrd_022387 transcript:KVH99382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLYVDTIQCDVVHIIWERPCIASWSMDILRRRESIEIPTGGFGIGNVAEPLVDAQREDRSRENEEIDIKCDTKMNMSVAKTCGTKQASTSDAWQGVMGGAQEIVETLTQFFSNPETIEGVDKTIKVYEKLQMSDFSFGLTQEFDDVSDLKENAPNRDDNDVVPNVKPISEIYTGPIGRRASKAGRKICSPYMNGQVDAHRPNKKVELILSNLIFAMEGSQMGVIDIWATMLNNNEQYRSKSSP >KVH99387 pep supercontig:CcrdV1:scaffold_1108:4066:6698:-1 gene:Ccrd_022382 transcript:KVH99387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKHDSRSCHAILKSHTIQSILWSDSKLFRTDLKQFSAILWRFSKATTFGGSNIDPTAWEEKKCK >KVH99385 pep supercontig:CcrdV1:scaffold_1108:83484:84440:-1 gene:Ccrd_022385 transcript:KVH99385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQESGKNPSSPPPQTTSSPPSVSSSPTHEFSFTVSLRPHPPPTSTPDCNSNTYDDTNDHHKTNHLPPPSLAAIDLSPADDIFFHGHLLPLHLLSHLPVSPRSSTNSMDSFTLPIKDLLYDPINPIGNTSFHCHHQTTFSDFDQPQVTTGDKNGPKSKPFSLFGIPKWKKRCDDERERDEDQNRERNNSKKLKLDLGQLIKRYMKMVRPLLSFPKSKRSNRTFNHQSYSFSGNSLSSRRRSKPPEMMNTSGKRRGQFSLPASMKTSPTNSGILLASGTVSPAKSTTSESTMEELHAAIQAAIAHCKNSIAMEDKLQS >KVH99386 pep supercontig:CcrdV1:scaffold_1108:20364:20606:1 gene:Ccrd_022383 transcript:KVH99386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MTIAEFTNYLAQFDTNHDXCISRDELCQAIRKNGGWFASWKASHGVNSVDTNGNGLIDENEIPKLAAFAEKELNIKIITY >KVH99383 pep supercontig:CcrdV1:scaffold_1108:44011:44253:1 gene:Ccrd_022384 transcript:KVH99383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MTITEFTNYLAQYDTNHDNCISRDELRQAIRKNGGRFASWKGSRGVKSADTNGNGLIDKHEMPKLVAFAEKELSIRIVAY >KVH99384 pep supercontig:CcrdV1:scaffold_1108:96806:97306:-1 gene:Ccrd_022386 transcript:KVH99384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MKKKRRLSVDQVKFLERSFEEKNKLEPDRKIQVAKELNLQPRQVEIWFQNRRACCKTKQLEKDYEILNSSYDKLKSEFDCLQKHNDKLKHEVFVIKSRVINNFCIEIYVILDKYLHLHVEMLKEKLHQREKGEKDSIPNEFPTKELDSNAQEPKPLL >KVE19494 pep supercontig:CcrdV1:scaffold_11080:13:549:-1 gene:Ccrd_024039 transcript:KVE19494 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, phage-type MRCYKDYTRTFSKARYESLIIDLSIAYEGYKFYLPVFLDFHGRIYRSGLLHFHERDLARSFIQFADSNNSPVCAPITALATCYHYNQSAAVNWCESFLIHTDTNSPISLINYASGAKHPFQFLSNIVLMELSKDNDSKMCIPITHDASASAYQIMSYFLMDECIARRTNLIPSEN >KVE19392 pep supercontig:CcrdV1:scaffold_11081:2107:3192:1 gene:Ccrd_024040 transcript:KVE19392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRSTTMSPLPHLLLPHRYMWCIWDRKLKMMSLHHPFT >KVH88344 pep supercontig:CcrdV1:scaffold_1109:105860:135492:-1 gene:Ccrd_024042 transcript:KVH88344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MHNKNQKFPEEEAGKEENRESFWWGRDCAIRLRPSASKASVPPLWEQEMATAFKWRRRRGGGAQSLDRRLRGFLSRKFVFRFVLIILAFIAILPPVYFHFKLRRFHQVKLKKCSWLNNPPLVCAHGGDASKAFPNTMAAYDIAIRSQVDCIEIDVSRSADGILFALHDRDLQRISGNSSSKVGYLSAKEIKALDGTHLLPLASHDVTIPSMEDALKLISSSVQKVVIDAKVGPPSYEKGLAKDILSVVTRTQCKNCIVWAKSDNLARDVIKQSSDVMVGYIVMMNFSTGTRTNLLRMRDAEVVGIYHGLVDETVVKILHRRKKKVYAWTVDDEETMHKILSENVDAIITSDPTLLQSSMRDIRKKCLVDGFSLGS >KVH88345 pep supercontig:CcrdV1:scaffold_1109:61276:62216:1 gene:Ccrd_024041 transcript:KVH88345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MGSIRNTVYYCCVWNGGKVLYAYNGGGDCEIENLAALCLEKAPSHHKWYFQTMFKKTFGFLMEDGYIYFAIIDENLGNPGNLQFLEHVRDEFKKVAKKGSNRSMSNLNSLVLQEQLVPVIRRLITSLEQVTGTTCNETISSPYNDNNGQLDMGASTKAPLLGKSSKQEKRKMRDHVISVRENGVVEEHRKSADKGGTKVDSLSLDSSNQGGSVAGISLTKEYMEAARDSRFEILMLQGIGS >KVI05629 pep supercontig:CcrdV1:scaffold_111:208611:215448:-1 gene:Ccrd_016051 transcript:KVI05629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAGGGGGQAAPPKQDELMPHPAKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTTLVPQMGGGHAKMIQTLLFVAGLNTLTQTLFGTRLPAVIGGSFTFVPTTISIVLAGRYRDILDPQEVKFERIMRGTQGALIVASTLQIVLGFSGLWRNITRFMSPLSAVPLVTLAGFGLYEFGFPLVAKCVEIGLPQLVVLLIFSQISMLNYNDAFWQYIPHLMSGKSHIFDRFAVLFSVVIVWIYAHLLTVGGAYKNKSDATQLIPYPFQWGAPTFDAGEAFAMMVASFVSLVESTGALIAVARYASATPMPPSGVGILFSGIFGTGNGSSLSRFLSKILMSINGSENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAGLYCLFFAYVGSAGLGFLQFCNLNSFRVKFILGFSIFMGLSIPQYFNEYTAIKTYGPVHTKARWWNDMVNVPFSSEAFVGGLLAMFLDVTLRQKDAATRKDRGMHWWDKYRLFKTDTRSEEFYSLPFNLNKFFPSV >KVI05627 pep supercontig:CcrdV1:scaffold_111:123415:132514:1 gene:Ccrd_016058 transcript:KVI05627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHRSKSEKNGEENQLRRDPYEVLGVSRSSTDQQIKSAYRKLALKYHPDKNANDSKAADMFKEITYSYNILSDPDKRHQYDSDGFEAVEAESQDLELDLSSLGAMNTIKLGVPIKTVVSATVLEEAINGTVTIQPLGLGKPVSRKVEKQCAHFYSVRITEKEAQAGIVCRVQSADKSKFKLLYFDQDENGGLNLALQEDSAKKGKVTAAGMYFLGFPVYRLEPPSSTTAAKRDPDTAFFKKLEGFQPCEITELKAGFHTFAVYGDNFFKSASYTIEVLCAASFVNEKENLRAIEAQISSKRTEISKFETEYRQVGLQNQIDDLLKQRNEIHAAYTSTPQTKRGSSGSSRSKKRVVLKEDGHQIKDHKKTPSIKDGTKKKWFNIH >KVI05632 pep supercontig:CcrdV1:scaffold_111:224766:226661:1 gene:Ccrd_016050 transcript:KVI05632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQSPFKDHPHSLRRKLLPYALYALLLIAIVHLYFFPSLTSLPSSTTTTTTPAAVDHLLTPKSTPSPSTVFVEEERKTNGCDYTDGKWVYDQIGPLYNSTACGTIKDGQNCASHGRPDMDYLYWRWKPNKCHLPRFDPNTFLQLIRDKHLAFVGDSIARNQLESLLCLLATASTPNLIFTSGEDNKFRKWHFASHNVNVSVYWSPFLVKGIEKSEETPYNRLYLDSVNDVWAKDLGEIDMMVLSIGHWYLHPAVFYYNDLVLGCHFCDGKNFTEVGFYDVFGKAFNTTLKALIDRRIDVIVTTFSPAHFEGDWDSLEACSKTKPFEENERKLEGMDYEMRNQEMEQVMAAKKNAKDFRLEALDVSRLALMRPDGHPGPYMYPFPFANGIPERVPNDCVHWCLPGPIDTWNEIMLDIMTRWNL >KVI05619 pep supercontig:CcrdV1:scaffold_111:371767:377064:1 gene:Ccrd_016036 transcript:KVI05619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nop domain-containing protein MFLAHLLKLVLNRIHDPLAHVILLPKDSHSLSRLTNSRRNSSSTEVPHLITHRRLLPLSSSGRPPDHRSSQILPSPLYIQANLEDSFLADLEDLSDNDNENLDEDNGDAEHMEEDINRDLADIEVLNYDDLDSKVEDALEKGSDISNQSLVLEDDPEYQLIVECNTLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEVDLTLVDLEGLLPSAIIMVISVTASTTSGKPLPEDVLQKTIEACDRALTLDAAKKKVLDFVESRMGYIAPNVSAIVGSAVAAKLMGTAGGLSSLSKMPACNVQLLGAKKKNLAGFSTATSQFHVGYLDQTEVFQTTPPALKMRACRLLAAKTTLAARVDCTRGDPSGKQGRTYREEVHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKLKERCVLHFIDSYSGNQKIERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGNGKLRVSVGQSKLAAKVAKKFKDKQYSSGGATSGLTSSLAFTPVQGIDLIDPQADANRLGSGTQSTYFSETGTFSKIKRN >KVI05614 pep supercontig:CcrdV1:scaffold_111:94819:101807:1 gene:Ccrd_016060 transcript:KVI05614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein MADTSRSQVTITLGRSGQVVKRAGAVLDSELSGPVPAVGSKRSVRDRLGSSVDAVQLDNKRCESAVPWPLKKAKVERGGHYLAGLGIRLRADNGGWSLKASNCMDVRVLILSSFLCPEDFHLSKDDLRFKIMKRTQSNGQQNTVDLRDMLSRRTRPSTTNPVTSHSMHEPRHGRQRAPEISHETRDDRRRMTEPRNERQFVLEPRDERRHMLEPRDERQRMPQPRDDRRRVPESRDDRQRMAEPRDSRQCMPEPLEVRQRIPKLNDVRQRIPEPTNTSMTGQFTSMRTSEVPSQMNLLRNSYSPWTLDHIRRKSPDRVLNNSRGSSPPRRAEEPQRRAMMRAYDDPRTLTYANRDVSEISRPMTTTSFLSKPSLSAGPTKPVGPLVAPPLPPGGILQRSQYPVEEHLTVEGFLRSLGLDKYVLSFKVEEVDMAALSQMGDHDLKELGIPMGPRKKILLGLVARARRLARG >KVI05615 pep supercontig:CcrdV1:scaffold_111:53163:55274:-1 gene:Ccrd_016063 transcript:KVI05615 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MARNGLFSRRWMSPETDQFADVHVLAVDDSLVDRKVIERLLKITSCKVTAVESGWRALQYLGLDQEKTSPDFVNELKVDLIITDYCMPGMTGYELLKKIKGSATFREVPVVIMSSENVVARIDRCLEEGAEDFIVKPVKLSDVKRLKDYMFGENRGTSPPNNINNKEEKTSFNKRKLQEMSDTSPSPSPSPSPSPSPSSSPSPPTVSPSTSPSPPTISPSTSPSPPTISPSTSPSLPTTSPTTSSSPPQSPLSSSSSTPPSSPPFSPSQLDSPTMRLKVTNSDQA >KVI05618 pep supercontig:CcrdV1:scaffold_111:340996:343347:-1 gene:Ccrd_016039 transcript:KVI05618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MYTSTLVVVMFLLCGLFQFLVRFLMKKIRLSSNSHHQSTRFQEHGDGDGDGDGAVYQRQLQQLFSLHDAGLDQSSIDALPVFVYKELVGLKEPLHCAVCLCEFLEQDHLRLLPVCSHAFHIHCIDTWLLSNSTCPLCRGNLFTPGFSVINPVFDFDFDQSREELEDGHGVSSSENTTHDGVFGNFNKLSERVYSVRLGKFRAMDSEKGEEVGPSSSNGNLDERRCYSMGSYEYVVGKSELQLQVAFWSNGIRNEREKVNSSIGEGRNGKEIGNGSKGESFSVSKIWLWSKKHHHKFQDSSIPIWANPISM >KVI05609 pep supercontig:CcrdV1:scaffold_111:34417:39284:-1 gene:Ccrd_016065 transcript:KVI05609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MGQVQLDKKGRNCTLFSIFCASTIDSPIKILQQEVEISTEKESYENLLSFSDPYGPPKADKIKRKPNHDPKKKPMKVIQVKSDPDPLQDYCIADTLFSQSFFVNGAPCINPADTAPSHFTTSALSKPGNTATNPLGFNVTVTNIRNLPGMNTLGLTMARVDIAGNGLVPPHTHPRASEVTILIKGSLLVGFVDTSNRLFTQQLREGDSFVFPQGLIHFLYNLDSKASALAISGLTSQNPGAQLASAATFTTKPNIPDEVLKKAFQINGQDVSRIRKNLGG >KVI05626 pep supercontig:CcrdV1:scaffold_111:423283:429018:-1 gene:Ccrd_016031 transcript:KVI05626 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing protein MRAFRNGGGNCSSASMEDRRLWKHVHDNVHGNIYLDYLSLKFIDTEQLRDLKQLGLSHMVYPGAVHSRFEHSVGVYWLAGNAIEKLKANQGSELDIDRSDIQTVKLAGLLHDVGHGPFSHLFEREFLPTVLNGSKWSHEDMSLKMIDYMVDEHNIDIDAGCLKKVKEMIIASSDNAPVKTSKEKLFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFERLLDTMQVIDNEICYRAKEYLTVHKLFYTRADLHRTVYTHAKVKAIELMFVDALLKADNYLHISSFIHDPSQYWKLDDSILKTIETAEADELKESRDLIRRIRRRDLYQFCNEFTVPKDKLDHFKYVNTQDIICSQKSGDITLREEDIAVSNVKIDLTRGTNNPLERYYMLQELFIYSTFLLHHMGFSNFIFSFLVVLRFSINFFKDYDSKEKFPISKDQISHLLPACNQDLIVRVYSKKPELVEVVSDAFENFQVKSYGMKAQVHGTPERKRRRMSL >KVI05631 pep supercontig:CcrdV1:scaffold_111:165181:168549:-1 gene:Ccrd_016055 transcript:KVI05631 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MVQYNFKKITRVPTGKDFVDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVKFTQTNFHEKLSTIIEEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVKLLKYGDSLYRCKALKVAALGRMCTVTKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLIIVCNKTDLLSLEAISEDDKKLVEEMKAEAMKTLIGQGGEPTDGGGVLLTMSTLTEEGVIAVKNAACERLLDQRVELKMKSKKINDCLNRFHVAMPKPRDQKERPACIPQAVLEAKAVEAAKEKKKLERDIENENGGAGVYSASLKKHYLLANDEWKFDDMPEILDGHNVYDFVDPDILQRLEELEKEEGLLQEQDEDDVEMDVEDLTPDEQKALAEIRKKKSILIREHRIKKSTAESRPIVPRKFDKDKKFTSNRMGRQLSSLGLDPSKAIERARSKSRGRKRERSPENANGGDSMDVDDGSNKKMRFRSSSRFGSRSRSRPPIHELVPGEGYKDSAQKMKAHKLGKSSVYKRNKDARKGEGDRVIPTLKPKHLFSGKRSNGKTTRR >KVI05617 pep supercontig:CcrdV1:scaffold_111:346617:349989:-1 gene:Ccrd_016038 transcript:KVI05617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMQLSQLAVTHLLQHTLRSFCIHENSQWVYAVFWRILPRNYPPPKWDGLAGAYDRILVWEDGFCNFAASTTTDVSTRDCSVYADHEYPQYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIFKEPNDQETNFLSAWSNSADSHPRTWEAQFQSGIKTIALIAVREGVIQLGSIHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPFKAEGYNTSEAWHFQNGNLSMVPPPEYCHRYNYDHQPLNITPSMSSLEALLSKLPSVVPVSSSPPPVPPFCEAAPPQYVVAGSRPMEFWGVEAKEEVEEEDVKEGGECSSSMSSYNNHEHLGYQHDLNVSNCMPNNGY >KVI05636 pep supercontig:CcrdV1:scaffold_111:141938:146770:1 gene:Ccrd_016057 transcript:KVI05636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase MSLSLIIFITILILPSISCCSKTIHGNNQTSCCIVNPFLENQNSSIIKDHILELANDVDTVDWIKKIRREIHEYPELAFEEIKTSSVIRHELEKMSIGYRWPVAKTGVVAVVGSGLPPFVALRADMDALPIQGTVILIFQPAEERGEGAKQMIKEGVLENVEAIFGLHLVLSSDSGVVASRPGEFLAGCGCFKAVIQGKGGHAAIPQESIDPILAVSASIISLQHLVSREADPLDTPVVSVAMVDGGTQFNVIPDSVSIAAVVDLEGIEHPTVCPTVNDERIYEHVIKISKMIVGEHNIEINPPTMGSEDFAFYLEKIPGTFAFLGMRNEKASIVHSPHSPYYTVDEDVLSTGSALHAAFAYTYLLNASNACSSY >KVI05620 pep supercontig:CcrdV1:scaffold_111:361514:367368:-1 gene:Ccrd_016037 transcript:KVI05620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthase family MAEQTTVAAVSDLPMKRPREGEENGAAAFETSGYISSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQDVMVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVVGGGDGGVLREVARHSSVEHIDICEIDKMVVDVSKEFFPDVAVGYEDPRVTLHIGDGVAFLKAAPEGSYDAVIVDSSDPIGILPPPCPAQELFEKPFFESIAKALRPGGVVCTQAESIWLHMHIIEDIVTNCRQVIIWNHNCSGVIGFMLCSTEGPEVDFRNPINPIDSNDNERQCKSVAPPLKFYNKEIHSAAFCLPSFAKKVIEARSAK >KVI05621 pep supercontig:CcrdV1:scaffold_111:387994:391967:-1 gene:Ccrd_016034 transcript:KVI05621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MIWILFVFLFLDHGVSATDECVLDIRLSSSCETANWDGFFSSECCESAFEEYLFALANHSNQTGSVFLNSSQQESCRSLLEGSNGRNLLSCGVEKLAMGSGGCSDFSLNDVDNKLESSLTGLDQDCEFSESRNGCGSCYERWRKIGALAMNGSVEETEICRFAVLVSMVSRKISDRKWVQRVYECLGQHQRVGLDQSQNLEDDHHAHKKRLSTGVLANGQDVAIKHIVNDGEMETFVREITNLSRVRHPNLVRLLDHCEGENECFLVYELCHWGNLSEWLFSKDKILTWIQRLQIAIDCARGLWFLHTYPAGCIVHRDIKPTNILLNMNFQAKLADFGLSKIIDTGLSHVSSEVRGTFGYVDPEYQKNSRVNPSGDVYSFGIVLLQLLSGQRVINIDLQRPMPLGKMARTLTRGGSMTEFADPKLNGDYSLKAFESMINLALSCIGTKQQRPLVEQVVVGLEKALDMSIRDRSVTPAFSSDTF >KVI05598 pep supercontig:CcrdV1:scaffold_111:504907:506216:1 gene:Ccrd_016028 transcript:KVI05598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MKRGGSSSLRPSAAMEKRRRDHMKDLYSKLASLLHLQSYERMPLLALLDQATITLKQWKERVERMTARKQELQNERISGESSHEHKLQVVQVSEMDSSLEVNLIISKADNVRKVELFRVLSIIQQSGAEIVSCSSSCLGHNQHCTIHAQAFHTRLGFDTSLIEYRLKQLIS >KVI05635 pep supercontig:CcrdV1:scaffold_111:156497:162448:-1 gene:Ccrd_016056 transcript:KVI05635 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MILQRSFPFLNFCKLPSLSSPKFILLPFNLSSPPSCSSLTSRFRHRPRNRIRAFATAVSSDEKEITDIFFADETVTWSSLGIADRVSRALASIGLNRPSLVQAASIPSILLGNDVVVAAETGSGKTHGYLVPLFHKLCTTTNDSSDILFDEKVNQTHHMSLVLCPNVMLCEQVVRMANCICNDNGEPLLRVAAGWPVNEPNIIVSTPAALLNYLHAIDPERRRRADFIRNVKHVVFDEADMLLCGSFQNQVIRLINMFRFDEKLLSRAKNSTSEKPLDMESESTIQIELDDHEVTQTNSIPEDYEDSEESLPEDDEESEDETDVGNSLEETEPVMKKRDWKRTREIYERTKQYIFIAATLPENGKRTAGGELKRLFPDAKWVSGHYLHRHNPRLEQKWIEVTVDTQVDVLIDAVNHKFDTNLSSSDTGLSRTMVFANTVEAVEAVAQVLQGAGIECYCYHSESSLEERTRNLVDFQQKGGVFVCTDAAARGTDIPNAEFATSAVDFLHRVGRTARAGQPGLVTSMYNESNRDLVAAVRQAGKLSEPVEKAFSRKRSFRKKLKKRGRAGGNTLHVQERLAA >KVI05599 pep supercontig:CcrdV1:scaffold_111:229946:237070:1 gene:Ccrd_016049 transcript:KVI05599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MAVSQDEEVVLAEEKGNVRLITLNQPRKLNVISSRVVFATPEASIGFHTDCGFSYILSRLPGHLENRLVSLNSGSESEVKAAIEEFSLDVQIGEESILNKRPIIDECFSKDSVEEILESFEAESRKEGNGWIVPVLKGLKRSSPTGLKITLRSIRDGRKQTLSECLKKEFRLTMNILRTTISGDVYEWDPPTLGEVDTQKLDLVFQPFEQDLELRVPENDEQRWSGKYEESFSAIFPYQDLSKGHHFYPQTKQTTNLDLLPSTTMAGAPFSLSSSSIHHHHRHNLQNHRHLRHHYYPKTHIISPTFPKISPKSTHLILTCSSSHSPSSTVQENPIPTGKFVSDSDHQNLQFLDSFKVFHKLPSGSMLLIRVMQEQEMDMTVDLLAESFAESMMLPKAYTKLLGFLVKQYLIERRALMPHTATLIAFHRRNTDDEEEEDIQLAGTVEVNFDQFGANVSPPTPTPPKNAPYICNMTVKKSLRRQGIGWHLLKASEELISQLSSVREVYLHCRMIDSAPFNMYSKAGYNVFKTDSFLILLTLQRRKHLMRKQLPPASNIVSDTNTLYADDKNIASTASVS >KVI05601 pep supercontig:CcrdV1:scaffold_111:302026:302807:1 gene:Ccrd_016043 transcript:KVI05601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITLSPTPDLFLCVSLPSAIGKSLSHRRSPSLVSSVADINVSPNRFTSKALEMILGVCFVALTRSIFHMDDILLSNVLKFDLYFGQRKLSS >KVI05625 pep supercontig:CcrdV1:scaffold_111:438853:441649:-1 gene:Ccrd_016030 transcript:KVI05625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF936, plant MAALAPGILLKLLNGMNSGVRPTSEHRSSLLQVTDIVPADLDEKDLWPKHGFYIKVSDSSHSIYVSLPFEQDDLVLSNKMQLGQFIYVENLEPGSPVPVAKGAKPLPGRHPFVGTPEPLMGLRGKGEKSEQRGVLNLNSNTKSSVPRRGSWDTAQKGEDGVCASPMVLKPCPLDFDQCTPVKGRSAMRVNFPMSPMIRGRAAEKDGTTNGGIRASAGGALLSKTMESPAIRKSCVANTSMMKYSRSKSTICDRDAKIIRSPFNTAVGKEKCNAASKFTKHKSVVFPEYRCGDEELFELKDVASQQESPYGDSSSNTSLSFNLPGKLGLLGKEAIQQRETAQKIALQALREASATETLVRSLKNLSNLSKSAKPENPSDCFDQFLDFHSQIVQAVTEMTSIKAATETAKDGKSQISTEDTPMLHDNNNDSDMNSASKRRTALYKSIAAFPERNDQKPSNLMKQSRAQKAKVFENDENKNPGCCCSLSNTIKLGMQIEMEAGKWFMEFLEKALEKGMKKSRGNMDSDAKKVPQSLILKVINWVEVEQCDSSKRPVHPKATQIARKLRIKMKNP >KVI05628 pep supercontig:CcrdV1:scaffold_111:116676:117314:1 gene:Ccrd_016059 transcript:KVI05628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MGKIASDLLSSTWEEGEASDQHSTQTCVEKKVRLFGFELHPGTLKTNHLRSVEGDESVNSSSTTTTMEKNLQIEIKKFECQYCFKEFVNSQALGGHQNAHKKERLKKKRLQLQARRARINYYLQPYTHNKHGTNVDFHGYYGPEFESSISFSQYDDDLLSFRDPCNFTLTHVDRSRQNYRPVAMKPSPRPIDLKQNYARLDLQLSLSSNSIM >KVI05605 pep supercontig:CcrdV1:scaffold_111:246597:251084:-1 gene:Ccrd_016047 transcript:KVI05605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNKMIMVLTELVPCMLMVLMEVSTIGLTILASTILRDDLITQFLLLGFVGVTMAQNLAYVGLSYSSPIVACGMGNIIPSISFILAAICRRTEVDLRSSSTRTKLMGTLISIIGAVSLVLYRGPVIKDSSTHLRLAPRLFVFLATKEHWVVGCVLFACASLAFSIWNIIQVGTIEKCPEVMTVASSYTLFGTVQSTLVAIIVENDPLAWRLQFDMGLLVIVLTAIFGSLIRTHVHMWCIRRKGQFYVTMFKPLGVPIASTFGCIFFAETFHYGSMMSAAVTGIGYYTMMWGQMREDESRRGKVDLLEEKAPLLQEEDQV >KVI05612 pep supercontig:CcrdV1:scaffold_111:27970:28968:1 gene:Ccrd_016066 transcript:KVI05612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MCFGIRSFPVIGENLVQAGEDFLGFVEAPEYRNGVECSNKTIHVAMTLDSEYLRGSIAAIHSVLRHASCPENVFFHIIAAEFDPASPRVLTRIVRNTFPSLSFKVYIFREDTVINLISSSIRVALENPLNYARNYLGEILDPAVDRVIYLDSDVVLVDDIQKLWSINLQNNRVIGAPEYCHANFTKYFTDIFWFDPLMSRVFASKTPCYFNTGVMVMDMVKWRSGSYRQRIENWMELQRKKRIYELGSLPPFLLVFAGNIEPIDHRWNQHGLGGDNVKGSCRSLHSGPVSLLHWSGKGKPWVRLDERRACPLDYLWQPYDLHQHKNRYQALD >KVI05634 pep supercontig:CcrdV1:scaffold_111:187475:192456:1 gene:Ccrd_016053 transcript:KVI05634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEHQSFHNLFERRPLIKSKTPAVKWFKEWVPQDVVATGGKCFVMKWVTEAQMKAMKEKSKNPEAVLEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYICHYENCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKQNSNNNLIEVAPKYVQVQPVEKPPPKPPKAAATATYATASSDRPYACPYEGCEKAYIHEYKLNLHLRREHPGHFPDDNPKNARNKNNDTDMDAGSDHEGYAVKRGNAKSHKQNRPKPTIKLPPAKAVKRKPPPPAAAAKKQPWPVVKEVQEEEDSEETEEDRGGDNGEDGWRYGGDDNDDDEETEYED >KVI05623 pep supercontig:CcrdV1:scaffold_111:402744:415111:1 gene:Ccrd_016032 transcript:KVI05623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MEHSGSANSSIAGTSGRSVALEIDLNEAPLPSPREVVGGSAVGGFAAEHRCGSCGEVEGSMVVCGDCGRRFHVKCLGVREEQRGWKCFECLIECRSGRRLSRAAGSGGGSGLFDMNASPPREADGCEEDYFVNSNLVLAATFPKMHDMRRNPFVGFALSSPMAHSDMKFVASGFPSQNVACSMTRMAHLNLENATQKGNLVYLQALKDYISKNRGVLGDGWRVKFEYSESSCKTSAIYFSPDGARFNSMSEVAHCLGLIATPFETEDEGSGIVLLQKGSHSTKRTKDALRSQRGNNVKEHKNMLRSKDSNGGNAIEVDSVANGTYGSQSFRDDFPVQFEGFFVISVGKVDPRPSFHTTSQICPVGYRSIWHDKFTGSIFVHDVLDGGECGPIFRVHRYPCTNQSIPYASKVLCMIKCGSNHWDNADSAINGTFHDDDDANIHMMFTEHSPPHLDDDDDSSSCSLKITSCLTAEPSVSLQKAQGITSKNDLIGEFSVEERSLSSAWQKAVETLLRACRKAYAKKNALTFCCNHHVDGQDLSGSYDIDSLGKFCSLAGPVDSIPEMILTVEELESACEVLGKWLEPDRFGLDAEFVQELIEQLPGVSACSKYKSLNARCQSSTSQTVMSGFFMAISKNGLPHEMVSNSLRRSHKRPSPPGNTVTSILPPHLIGDEAPVSRQVMENELMNPWVDDLKPVKRSSIDFQKNGIMKACEVDRRDGIPSVDGEDSVDAAEEHEGDGSRAEAASKCTGVELAKFHMALLKVLIEDVLVKVKEIFDPFGAMESKTRKGRKKDVDVAVGSKKINLDMFPVNEFTWPELARRYILVVLSMDGNLESSEVMTRECGKVFHCLNGDGGTLCGSLTGVAAMEADAMKEIDTGDSAKETNLIDDDAPEWMQVLEPVRKLPTNVGARIRRCIHESLNKNPPEWAKKILEHSISKEVYKGNASGPTKRAVISVLEKVRVENPQQKPTEKKEKEKSGVRTLSDAVMKRCRVVLRSVAAADDDRVFFNLLAKTFLKPNDPDDAGVLGYPAMVSRPLDFRTIDLRLAAGFYVTSHESFIEDVREVWQNLRIAYRDKHDHIELVETLSRKFEELYEEEVLNLVSRIMESGNSFDSSSEEGKKELNTLLVETSESSLPPAPWEDGVCKVCGMDKDDDSVLLCDKCDSEYHTYCLDPPLARIPDGNWYCPSCISSQSTPQDERCGTRALCRWRGKKKLRKEFTRNLMETLAPLADAMELIEYWELGIEERVFLFKFLCDEALSSGVVRNHLGPDSGDLEKKLRKLYKELKNQNKREESLVSTSAKESEDRCQEEYWSFSKSSDSQSQVQNEENDENRHVKASQDQESVSQENRLEAADVKNRVSSLQERISTLESKIAKPVVRREYLGRDLVGRHYWVLSDPERLVVSGPHSRRKASAASSSFLSRLNECEMPELYASDDALWTCYESDTEIQELIGWLRDDDSREKELKETIIQWQTNRPNDANAPQNRVQTGQANPCYGTKARAALEKKFGSSMEQGAIQFSKKQGRKGKMINKGKWYRCDCLELVGPTRHHCSSCHWTFLTNEELDGHNDGKCENRQECEGPSKSKKVPFDKQPALTARRDEPDSPFVFEEIRAKFCTRSSLKEEVKDIGLIGSNGIPSFLGKVPSPNSDAALLLVSETKKWVNSYDGSTDYQPSEKQGTNETPSKVERLKPKGTSGKSSLKNKTPVRICQSSLKPLMGRVLEILRLLKINLFDMETALPQEALRPSRGGLDQWWYWSSPSTAAKISNISALSLRIYALDAAIYYDKPPVDPTEPVTPKSSRSEKKTSEKSNAKDSSEKSNPKNNHRSSSSPSVTDSKAAEPPSRPKTRSKKRMRDSDS >KVI05603 pep supercontig:CcrdV1:scaffold_111:311666:316533:1 gene:Ccrd_016041 transcript:KVI05603 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL repeat-containing protein MAKIVFLFSLALLLLLGTTSAAQPTSPTRIVNGLFSNVASSLMKWAWSLKTTTKPAITGRPMMKFESGYNVETVFDGSKLGIEPHALEVLPNGELLVLDSANSNLYKISSSLSLYSRPKLVAGSADGYSGHVDGRLREAKMNHPKGLTVDDKGNIYIADTANMAIRKISDTGVTTIAGGSKLGRGGGHVDGPSEDAKFSNDFDVLYIGSSCSLLVIDRGNQAIREIQLPFDDWIAVLVAAGFFGYMLALLQRRDEPQTTMKQSIAPTPPYQKLPVRPPLIPSETEPEKQEEGFFGSLGKLMANATSSFSEILGGVFPGFRKKQLNYHFQNQQSFHQDHKYASSSWPVQDSYVIPEGDEPPPSIETRTPTPKKTYPFMTSDAEKMQQFRQSRHLYSGWDRDVQQPPQLQQQQQQQQHQQQQQHQHHNRYYSSMAETYYEQSAEKTKEIVFGAVQEQAESMVIKPLDHGNPVYDHRGKIRSRSRGFARGD >KVI05613 pep supercontig:CcrdV1:scaffold_111:89832:91233:-1 gene:Ccrd_016061 transcript:KVI05613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF284, transmembrane eukaryotic MEQKNKNSKKPSYSRFSQQELPAWKPILTPGWVIASFLTIGALFIPIGLVALSASDTVVEIIDRYDEDCVPDAYSKNPESFIQDPDIKKTCVRSLRVPKKMVAPIFVYYQLENFYQNHRRFVKSRSDKQLRDPEAKNDTYNCFPEARTADNKPIVPCGLIAWSLFNDTYKLSTRGKPITIDKKGIAWKSDTKVKFGSNVYPKDFQKGGLIGGAKLDEKVPLSEQEDLIVWMRTAALPNFRKLYGKIDIDLEAHETVKAEVENNYNTYKFGGRKKLVLSTTTWIGGKNDFLGVAYITVGGLCLFMAINFILLYVFRPRRLGDPSYLSWNRLPIA >KVI05602 pep supercontig:CcrdV1:scaffold_111:304263:307849:1 gene:Ccrd_016042 transcript:KVI05602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MASKSCANILDLIPDKLLDIPQIPRSLPRVMTLPGVINGYDGDSDSMSSSCRERKIIVANMLPLHIQRDPDTLKLTFSFDEDSLLWQLKDGFSPETEVVYVGSLKVEVDVSEQEEVAQKLLDEFNCVPTFLPHDLHKKFYAGFCKQQLWPLFHYMLPMCPDHTDRFDRLLWQAYVSANKIFADKVMEVADPEDDYIWVHDYHLMILPTFLRKRYNRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNCDLIGFHTFDYARHFLSCCSRMMGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLKSVLNLPITSNKVKEIAERYKGKKLIVGVDDMDIFKGISLKLLAFEYLLQLHPVLQGNLVLIQIVNPARSSGKDVQEAKRETYLIVNRINETYGSPNYQPVVLIDRPVARYEKSAYYSMADCCIVNAVRDGMNLVPYKYLVCRQHSPHLDQDVDSEKVSSRTSMLVVSEFVGCSPSLSGAIRVNPWDIESVAGAISSAITMKDSEKQLRHEKHYRYVSSHDVAYWARSFMQDLERASKDHYNKRCWGIGFGLGFRVVSLSPSFRKLSPNYIVSAYRRSSRRAIFLDYDGTLVPQSSIVKTPSDELILILSTLCNDPKNTVFIVSGRGRSSLSEWLAPCERLGLAAEHGYFTRWGGNSDWESSLPAADLEWKEIVEPVMKLYTEATDGSNIEIKESGLVWHHQDADPDFGSCQAKELLVHLENVLANEPAVVKRGQHIVEVKPQGVSKGLVAEQILSSMVEKGEAPDFVTCIGDDRSDEDMFESIRNTISSPEIFACTVGRKPSKAKYYLDDTGDVIKLLGGLANASDPKPGNTARFQVTFDTIF >KVI05608 pep supercontig:CcrdV1:scaffold_111:285731:291550:1 gene:Ccrd_016044 transcript:KVI05608 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MDLETAFHQNHLKKQSWKTILTLAYQSLGVVYGDLSTSPLYVFKSTFAGDIEHSETNEEIFGALSFIFWTLTLVPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVSSLPNCQLADEELSTYRKEIPTLPLSSFGSRLKSTLEKYRVLQRFLLVLALVGACMVIGDGVLTPALSVFSAVSGVELAMAKEHHKYVEVPVACIILIALFALQHYGTHRVGFLFAPVVILWLLCISAIGLYNIIHWNPHIYQALSPVYMYRFLRKTQTGGWKSLGGILLCITGSEAMFADLGHFSQLSIQIAFTSFVYPSLILAYMGQAAYLSQHHVIENDYQIGFYISVPKNLRVPVLLIAILAAVVGSQAIITGTFSIIKQCSSLGCFPRVKIVHTSSKFHGQIYIPEINWILMLLCLAVTIGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWQQSVFLAIAFVVFFGTIEALYFSASLIKFLEGAWVPIALSLIFMLVMYVWHYGTIKKYEFDVQNKVSVDWLLSLGPTLGIVRVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLIFLCVKSVPVPHVSHEERFLVGHIGPREYRVYRCIVRYGYRDIHKDDVEFEKDLVCSIAEFIRKQKDETTPDGKRVDGEVGNDDEVMTVVGTPSTHLEGVHMCTDGQDGPGAPEVQEIRTAPTNQVKKRVRFVVPDSPKMNDGSRAELRDLMEAREAGVAYILGHSYVKAKQGSGLVKKMVINLGYEFLRRNSRPSTDSLTVPHASTLEVGMVYHV >KVI05597 pep supercontig:CcrdV1:scaffold_111:460939:462205:1 gene:Ccrd_016029 transcript:KVI05597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSSCSTLRPPAAKEKQRRDRMKDLFSTLASLLHLQPYERMSLPDFLDRATSSLVNWKERVEGLKVRKEELEKEVGSRSNRSNTMQQQQQVVRVMEMDFKLEANLIINNNNKKVEPYQILSVIEQGGAEITSSSFSTVGHQTYCTIHAKAFQARLGFDVALIESKLLELVC >KVI05604 pep supercontig:CcrdV1:scaffold_111:319846:333737:1 gene:Ccrd_016040 transcript:KVI05604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVIRKECASIRAAISDNDQTFRHRNLAKLMFIHMLGYPTHFGQMECLKLIAAPGFAEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNQNNQHIVGLALCALGNICSADMARDLAPEVEKLLQFRDPNIRKKAALCSIRIIKKVPDLADNFVDPVVSLLKEKHHGVLLTGIQLCTDLCKVNEEALEYFRKKCTEGLVRSLKDVVNSPYVPEYDISGIADPFLHIRLLRVLRILGHGDADASDCMSDILAQVATKTESHKNAGNAILYECVGTIMSVEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDAQAVQRHRATILECVKDLDASIRKRALELVYLLVNESNVKPLMKELVDYLEVSDQGFKGDLTAKICSIVEKFSPDKIWYIDQMLKVLSEAGNFVKASVWHALVVVITNASNLQGYSVRSLYRAIQISRDQETLIRVAVWCIGEYGDMLVNNIEMLDIEEPITVTESDAVDVVEIAIKNHSLNLTTRAMCLIALLKLSSRFPSCSQRIKEIIAQYKGSLLLELQQRSVEFNSIIGKHKNIRSALVERMPVLDEATYNGRRARSASAAVSTSLEFASNLSNGVIARTDVAPLVDLLDMGADEPVPPCSSGGNFLLDLIDVDMPSSSSQSDVLLDLLSAWSPPPQKGSPTTDIILLNQDDKPSVNALDILSSSMVPSGEAKPTGPYMMDLLDVFGPNPATPEESGPTFPPIVAYESSALTIIFNFSKRPENPQMTDIEAMFTNKSSDVYTDFVFQAAVPKVNA >KVI05611 pep supercontig:CcrdV1:scaffold_111:13680:15610:1 gene:Ccrd_016067 transcript:KVI05611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALECVKVTIELAEKLVMMISDKSIEIDGLFPVEEYFSGPSLRCIKVLYGDYGLNVIKELRTDVSLSLPRILSRLKQKKEEWRMFSSGFNKLWKPVFAVNHEMSLDHQDAYLEQQDSKFLSNNDLLAEINGINKLEFVYSDMDIHKDLYQLIEQSCSDICSSEQLDRAMKIWTSLVESMFGLLLRSAGCTDDSKHIAETRISNEQIMNYNAPITNEPEGTRGQEGTDGFLEFLTNEIDFSPIVDLEKGGFAAHMHNIEQNYDQEGVLGSEAVADNAVEETSSNDRENVDIVQEEDRSLIFYGDDAFYVFFRLHQALYGRLEEAKRLANDSYPVFLDLLHNYLTGAYKDKFENECRTIFGNSSGLIFSIERLINKLSRQLRAVTMNEVDNKLLDLYASEKTRESGRFVDEVYAANARSIVTTYKMFRFEC >KVI05624 pep supercontig:CcrdV1:scaffold_111:396559:399750:1 gene:Ccrd_016033 transcript:KVI05624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MGGTTISPTAEATAADDYESPSRLLLPKLNAKIPEDSYHLAYIIYFILGTGYLIPWNAFITAVDYFSYLYPDASVDRVFSVVYMVIGLISLLIIVVYSHKSHSFVRINVGLGLFVVSLLVVPVMDVTYIKGQVGVYGGFYVSVAAVGLSGIADALVQGGVIGTAGEMPERYMQAVVAGTAASGVLISILRIFTKAVYSQDAQGIRKSANLYFAVSIVVMFICIVLHNIAHRLPVIKHYNDLKIQAVNDEKEEKGGDSGALLHSTLWDIIGTIKWYGLGTVILYVVTLAIFPGFITEDVHSQALKDWYPIILLTSYNVFDLVGKCLTSIYVIANSKVAIGASFARLLFFPVYLTCLHGPMVLRTEIPVTIVTCLLGLTNGYLTSCLLMLGPKMVPLQHAETAGTVLVLFLVVGLACGSVVSWFWVI >KVI05622 pep supercontig:CcrdV1:scaffold_111:385756:387819:1 gene:Ccrd_016035 transcript:KVI05622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MYDAQTRRILTSPAIRPCESVSSATLLSSLITLSDVISSYKSKPFYSNAVNAKVSLRLVGNLHVFFEEIRRDEPGLSSAMFLSLSELHFVFQKLSFLLEDCTRVDGRVWMLVKSENVSNQFRSIFRSISVALDVLPLDSIDVSIELKELVGLVKNQGFDSKVVFRPEDKRILEHLRSILKQLEHQTTPDPNNLRRVLDYLGISSWSQCNKEIKFLDSEIGSERSTTKKLDLDILSSLMGLMIYSRCTIFTTVDGEKIPISGDSHSWSCNHSIGSLNVEDFRCPISLEIMSDPVTLTTGHTYDRSSIERWFRLGNSTCPKTGEKVNSGNLVSNSCLRSVIKQYHLEKGISVAEYVGSSRNREIIDSTTGGSVAEEAAIKMAVDFLVERLVFGTNEAKHKATFEVRLLSKTSVFNRACLVEASVVPSLLDLLCVKNPKIQENAIAALLNLSKYSKTKKVLVENGGLELILEVVQNGMKMEARQHAAGTLFYLASVDEYRDIIGKIPRSIPVLMDLVLEGTERGKKNALVAIFGLLMYPENHWKALAAGIVPLLVDLLRSSSQCEDLVIDSLAILATLAEKPDGTMAIMSCGALHVILDVVGSTTSRASREYCVALLLAMCTNGGADVVRVLVSNSALMGPLYSLLTEGGSRASKKASSLIKILHEFNEKNTSSGTRRPVHFQERFVDVW >KVI05606 pep supercontig:CcrdV1:scaffold_111:261668:264291:-1 gene:Ccrd_016046 transcript:KVI05606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MKMKMRSALPFVAMVVGQIAQVGLTLAGKKAIATGMHNFSYVFYSNALASLILLPASFLIHRSANRPALTLSVAGGFLVLGILGICFFLSIHFFSSFLVQVVGYAGLTYAPATVATAILNLIPGFTFVLAIIFGIERFDYGGWALWAKIIGTLVSVVGAIIVTVYTGPAIITSSLSSITPQHLLGQSSDWILGGVLMLIDSVLAAFFIIAQALILKKYSAVLILMLAYCSIITFLSLLASLSLEHDLSAFSLQSKTRLLAILYAGFFGAGFQITIGAWCVKMKGPLFVAMFHPLGIVIAAIMGVIFLGDSLYLGCLLGSLVIVIGFYGVILGKAKEDKIVEANMVGSLKSPLLQDGNEEPNVLLP >KVI05633 pep supercontig:CcrdV1:scaffold_111:196188:197629:-1 gene:Ccrd_016052 transcript:KVI05633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNCQAVDAAALVIQHPNGKIDRMYWSVSASEIMKMNPGHHVSIIIPLPPEDGKTIRFTRVKLLRPTETLVLGRAYRLVTTHAKRHAKMNKKNLPESMADNHPTSVVDDTNDHHQVEGHERMFRQRSGSSSIFAASRSKSWRPSLQSISEAANNASSS >KVI05600 pep supercontig:CcrdV1:scaffold_111:236580:245666:-1 gene:Ccrd_016048 transcript:KVI05600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSNSCAFLILLILSHSLPLSSEDDSNQPICPSSFECQNLGYLRFPFYSSENSACGLSKVYCNESNPKIQLRDNYPSYDLIQVTFGGSDTVLVRDNAFANQINNRSCDSLMYNFPSNSSSISYISHPPTITVLRCEKSPGNIDEYFEKEGEYYSFKRCNDYDYYYTYSNGYITANPDVPTRCSVIRLPGNSPSMGPTANVTNFNDLFTLLRPDLNLELQVSEVCQECYRIGGQCSNDNREFQCAYTSRIMRKENRRSTVIKSALATFGIGTVIILILLIICFRFKIFSRYDTEKYINLETFIKNHEALAPKRYSYSDLKKMTESFKVKIGEGGYGSVYRGALHNGNLVAVKVLNELKGNGEDLVNELASISKTSHVNIVSLLGFCFEGRHRALIYEYMPNGSLEKFVYNRGSAIGSQLEWQKLYEIVVGIGRGLEYLHRGCNTQILHFDIKPHNILLDKDFCPKISDFGLAKLCPEKRSVISMSGMRGTPGYIAPEVFSRNFGGVSHKSDVYSYGMMVLEMVGGRRNAVVEVNDTSNMFFSHWVYKQLVSNKNLGLSGILNEEDKERVTKMVFVSLWCIQGDPSSRPSMNTKNDAGAIYDCKCLAIEACIVSSKLTDTCFIHTPYTVSSTQLSSYATIDYVWLFSHLSILIRVYRPVEYWKLENDQKIQNPPSKRHYGSSVFTLKEMEEATCSFSEEYLLGKGGFGRVYKGKLQSGEVVAIKKMELPPFKAAEGEREFRVEVDILSRLDHPNLVSLIGY >KVI05607 pep supercontig:CcrdV1:scaffold_111:269129:273211:-1 gene:Ccrd_016045 transcript:KVI05607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSRVYVGNLDPRVSERELEDEFRVYGVLRNVWVARRPPGYAFVEFDDRRDALDAIRALDGKNGWRVELSHNSKGGGGGRGGGRGRGGGGGEDLKCYECGEAGHFARECRLRVGPRGLGSGRRRSPSPRRRRSPSYGRRSYSPRYSPRGRRSPRRSISPPPRRGRSYSRSPPYRRARRDSPYANGYFAFASIHYFFLMANTTQLLMYKHYECKRLELGWKQMAELVKDTPGIINVFVMRNCEQIGGRVLF >KVI05616 pep supercontig:CcrdV1:scaffold_111:81103:89201:1 gene:Ccrd_016062 transcript:KVI05616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWRLLCILGLLVLGFLLEFGDALKLPFRVNDVLPVLPRQVSWPVLNTFGSAVDLLPSFMGTISPNNGSIQWKGACFYGNEARMDFTQGDDRGLGGGVIYLKVKQHGISVFLMPAGMLGTLVSLVDVLPLFSNTGWGQNANLDFLKNHMGASFEKRHQPWQGTINPDDVHSGDFLAVSKIRGRWGGFETLEKWVTGSFAGHTAVCLKDDFGNLWVGESGHENEKGEEIIVIIPWDEWWDLALKDDSNPQIALLPLHPDIRAKWNNTAAWEYSQSMSGKPYGYHNMIFSWIDTIGDNFPPPLDAHLVISVMSMWTRMQPAYAANMWNEALNLRLGTEGLDLYGILEETEKRGISFDELLTIPEKDEWVYSDGKSTTCVAFILQMYKAAGVFGPVSDSIQVTEFTIRDAYMLKIFENNHTRLPVWCNNGDDRLPFCQILGEFLMELPLYNTIEPYAKMNENCPSLPPSYDRPMHC >KVI05610 pep supercontig:CcrdV1:scaffold_111:38911:45473:1 gene:Ccrd_016064 transcript:KVI05610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESMVEAQNMENKMGESVSSLGVSVSFGKYENDALSWEKWSTFSPNKYLEEVGKCSTPGSVAQKKAFFEAHYKKIAAMKAEAELLDQEKAAETDPSRSDDHTEYSFGEIKEELEDGTESKTHVYEEEEVQSDTLAMEGSGKGQEEKPDSNQSHCAEEATSVKDESFRNGSGKGLEKKLDSNQSHCPEEVNSVKDESFRDGSGKRQAEKPDSDQSQTTSVNNESFVDGSMQMGNALEFQHLLSSQSPIALYSNADKLAEATSVNEESMKLVKQHFHVDSEIEEVQQSKQETPMPKAQNLAGNESMKLVKQHFRVDSEIEEIQESEHEIPKPKAQNLAGKVNPAKVEKNFVGTKKKPSSPIPKPPGTSVTKLSKPKATPTPMPAARSSSKKANAPSSSKSKTPSVSEGIRVAPKSLHLSMSMSSVNSDTASSVTSSRRRSLFMEQMGDKDIVKRAFKTFQNRVNQLPSSDGRSSGPKQIRTTGLEQKASTSMTSQKENERSRKAAEKMNPARGRLGPTWKSVSSGSLKGVNVDERRQKVAPSSVDLRSNERAERRKEVIPETSPVISPATLASSFRLPVIQGRFLSSAV >KVI05630 pep supercontig:CcrdV1:scaffold_111:169422:186056:-1 gene:Ccrd_016054 transcript:KVI05630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGVLQKPKTLFPIPFAPTAPLHHPNKIHLSFFSIQPPKLHLLSFHIKLPSAYPVFASRTPVKGEISMPGTENFDFEDEFEGEFEEEDEDEDEEEDEGIVVPLRNMREWTQNKPRGFGEGKVYDTSIEDKLMEELEQSRVAQLANVTNLKNNPEDGNPKGKLPKQKVNEVAPNGVRVRLVHLPKKKNIHRDLQAAFKPFVNTFSTQPIAFGKVQKQIKCEIMKSSSPNPVLIKPSVHKTGNPLPISDPVTEIPLDPYVEDAAFDKFSNGDDTRDDDLVSITNDEDDEKPKNHDDVVQEKQEPETGSEPSKKPKKVREKGKKVMTAKRKGENTPKLNIPGSANRLKMKEKALLSGVFSKYGGKSAMAVAKGTEVVADQRTATILSLRPPSPVAHRCFPLPPSSVASRAPLLSSPPSSVSRASLPSSFPSEDAGNELSRPTCFPSSIWIVLSIPIETGRLARKRTVDLTFSSNLEIVESRPRTSSLVKNTRNCKWVCGSDGSSRNCNKSQSNGAIIWENLEKIWRTMNSLFARTTGPIVRFSFCGVTNFTSRRRFDRVALGSIQNYELISTRFRARCFCSVETAGVVKSKRRKKVCKPAAIVEEEKNAFFVVRKGDLVGVYNNLIDCQNQVGSSVCDPPVSVYKGCTMPKEAEEYLVSCGLKDALYSIRAKDWREELFGRLVPCPFQRACILEFDGACKGNPGQSGAGAVLRTADGSLFCRLREGLGIATNNVAEYRAMILGLRYALSKGFTTKHDCTKKKAYLVQIQGLWRVRHENIIKWYEEAKRLKDQFLFFKINHVLRDLNSDADAQANLAVVLAVGQVQEEEVDQQFGQHGLNGKSKPTVNAVFELNRLPPSTQVSAG >KVI04693 pep supercontig:CcrdV1:scaffold_1110:75079:76971:1 gene:Ccrd_016987 transcript:KVI04693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MSSGFPGGHPDYFNINTTTGAGRSMSMMNLNNNPQGSYRSPLAGILADPSSQIGLRRPDLMGKRSLAEFQHHQQLQQQAAFFLRNVKPRPYNQHVSPLSLMDFSNSPEVSSVSNISSSSLSSVPRYGVPVLQQVRPPTAQAFNLGNGNFNGVMGSYQHNNRSNFSRISLPNLAAKQSPATQETEGKMMKRLQELEKQLLLDDEDGENDVSGVTNSEWSETIQNILGSTPVQKPDNTVSPSPTSSSSSSCASSSASPATTVCPKQLLSDAASVITDGKTDSAVEILTRVNQVSNALGTPEQRLSFYMAAALRSRMNANLTTASELYGKEHILSTQLLYDKSPCFKLAFMAANNTILELGQAEKKLHVVDFDIGQGVQYVYLLHEIAAARKVDKETPISLTLTTFTDFGNGGAERLKLVGDGLKSLSNKLGVCFSFNISSLKLSDLNQSALMVESGEILVVNLAFKLYKLPDESVTTENLRDEVLRRVKGLSPALVTVVEQELNGNTASFEMRVNQACGYYGALLESLDATMGRDNPERVKIEEGLSRKMVNSVACEGRDRVERCEVFGKWRARMRMAGFEPTVLSQLRAESLLLKLNSGTRGNPGFTVKEEAGGICLGWMGRTLTVASAWH >KVI04694 pep supercontig:CcrdV1:scaffold_1110:1988:4485:-1 gene:Ccrd_016984 transcript:KVI04694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein KEIGEVEREARKGDDLETFGNGGENHPQSRQEKLCGYHEFEFSGVLSILENCYLLKINEGRMIVDLKFMVIVAQKTHHTKFFQPNSKANIPPGTIIDNKSTTQPTHYHVLLDQIGFSTDDLQELVHFL >KVI04695 pep supercontig:CcrdV1:scaffold_1110:4229:7792:1 gene:Ccrd_016985 transcript:KVI04695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPTSKQTAKLPIANCLPITHFPKLKLRSTRHSLPTTITTTTRRCTPMASATLVPPYSSHTSPPLPKVSKSSPFLASLSTSPISFSKKPIFRSLTLVPQQGLASFSTWNGLRQNGFSISLKSLRFEKRRKHGGKGVYASLFGVGAPEALVIGVVALLVFGPKGLAEEVSREFKSTLEKEIGLDELQNTARGTTSNVPTLSSTPPSENSLATPKETAAAATEEDSQVTGTPIAASGQDAQVSETPGEDSQVAATPVAADGKDAQVSETPGEDSQVAATPVAADGKDAQVSETPGEDSQVAATPVAATTNAATTGDSEAVATTPAATTSDSQPTIKSNSVEEVGAGMHLAQKSEDVN >KVI04692 pep supercontig:CcrdV1:scaffold_1110:15666:18363:1 gene:Ccrd_016986 transcript:KVI04692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibrillarin RGGSGGFRGGRGDGGGRGRRGFSGGGRGAGGGRGRGGGRGRGGRGGGMKGGSKVIVEPHRHDGVFIAKGKEDALCTRNMVPGEAVYNEKRISVQIEDGTKIEYRVWNPFRSKLAAAVLGGVDNIWMKPGSRVLYLGAASGTTVSHVSDLVGPARILALNASYFLKTGGYFVISIKANCIDSTVPAEAVFASEVKKLQAELFKPMEQVTLEPFERDHACVVGAYRVPKKQKSAS >KVH88343 pep supercontig:CcrdV1:scaffold_1111:9042:27548:1 gene:Ccrd_024043 transcript:KVH88343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAEFASLLNNLKVQDPWLPPRPWESIPTESGLSVTSTSLSSPSSSRRFYDTSTVSEASLVRLVMNALQGLQSSLTSIDRFCAIFRSDPTDRTFHRIPSLWNQSVSTLALEKILRSLGCMGCEVFLLHKFVNYFTNLNRDDDLRSNNKAESELEESKRYRDEAGNDYPPYSLVNQAFAVAVGDVLEGYIAALDTLSSSVSFRRLSNSDNTSRASTLGIGCLSSVRHSEVTLLEVYLHTKELRSQIEVIGSICNVHNLALCFSLSPLEGFETQTKFSDFPRGGNLLTYLYMELKAKITDPFNEFIVAEANSQQSYSLSNTGVLVNFPSATIREQDGISVPCFLQDFLIPLFRAGEQLQFPSQFMADLDVTFPSVDLLLTIRPLGTVDSDASSMTEDSYVEDQLEQYECSSIENSGEEVVDESEKMVDLFHDSEDPEKKYLSALEINSDTSSNILLKPSQGESRSTMGSGLHELSKISDLPRYPLHPQHSWMNMNGVSLELDWNLSCMMEGPDTNGQLDSGWPLNSIAKNPISNGIGNIDDTGLNASRYKRENNLRSGVQEKSTSHCGDIIPTCSDPAKEANKYLHPSGDALSLRCLRSWEVECANLVLGTSKLRKRCSKVYLPSFDFSSIRNPFTECVDRLGSSDRGGQFLVSANSSTMKNYHNKGHGGDALTVKKSYAPLGLKIDHQEQSLLQNATGGGCWEHLLDGFGDTNDICPREHKTSAAAVIEIPLDFVLEKCLLEEIQLHKLTIKLLEEGFSLQEHLLALRRYHIMESADWADLFIVSLRHHKWHVRDADKRISEIQGLLELAVQRSSCERDHYKDRLFVYMKDQGSASLPAFATGIQSFNVLGLGYRVDWPISIVLTPAALKIYAQIFSFLLQVKLALSSLTEVWWSFKEFIHQGSYSDLRKSKACHFNIMVKLRHQIFHFVSTLQQYVQSQLSHVKDLRDLEFVHMDYLNDSLSICFLSNNMKGIGEIIESILQCALDFGCVVIASKNSALNIDEVVRIKEAFEEKMRQVYGMYLKSPKNVEFSLPCFWEYLNYNHHYSSQ >KVH88342 pep supercontig:CcrdV1:scaffold_1111:96578:120938:-1 gene:Ccrd_024044 transcript:KVH88342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H2, subunit B MAWCEGVEETRLLVALSDPSATGDDMGRLLSLHHPKSGDTTCYLLINGGLQELNWFKQSYGSWFLGDYICEGKIMNSDITRTVFHIKIIWWKSSLCDILDGGLYLATPVDPVFILLPMFDEARMKNGDDPGKFRQLDEIIYVHNYPGYHHLSSIAEKSMEVVCDCKAEIGSTKFFRLNDSKVVAWMYYKVQQLKQTLPKLDKNYAARTQKDIRTACISTLVKLDAALPTLHTCFGSSVVVGDAVMILGEYLKDEPWLKLLCNNLGLLNMDDDEAAADITETLLPTTHSSFNSNPIQEQTGGIEKRVTRSKKAKPKEMNSHSIKDMFSRASRR >KVE17014 pep supercontig:CcrdV1:scaffold_11112:1770:3216:-1 gene:Ccrd_024045 transcript:KVE17014 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MEEERLHLFEADIYKPQEFEEAIQGCVYVFHLATPIYDTSGYKEYTEAKTKAEQEILKIGEDKTNELEVVTLTCGVVGGGGHLTYAPTSXMTXISQXHYQILRYLEELLGKVPIVHLEDVCRAHIFCAETPLVNGRFLCSSSYITSAEMVKYYQENYPQFPLNQEYLEXAXTEIKWGSTKLEDKGFSYKHDTKTILDDCIEYAKRLGNI >KVE16869 pep supercontig:CcrdV1:scaffold_11116:693:3088:-1 gene:Ccrd_024046 transcript:KVE16869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLRDALFASPKVTIHKIDFSKTSIQPIQESRPYIKGLNHPISPPKWVQIFLPLMFVTCLRFSPPFPSLSRFRNKQAHEEDHISGVHCPCEGFAWFYPFEVYKFTENYEPQFIFVDCSLFLQLKTLGTTS >KVE16870 pep supercontig:CcrdV1:scaffold_11116:1187:1390:1 gene:Ccrd_024047 transcript:KVE16870 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAYPMGRMTYIWREDAEEFQRERWLHDTIFQPESPFKFTAFS >KVH97045 pep supercontig:CcrdV1:scaffold_1112:106469:107326:-1 gene:Ccrd_000860 transcript:KVH97045 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAVEMELQTSPPEGILENVWATFIGEDCQTSEEPTMKPYQVSESWEELPNLDHGRDESMGFLQRLPSLGRWISMGSEEWEEILDRIVLPSENLENSCDQCLSKSGESTVSSSGANVVKVEKRYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFKTAEEAALAYDKAALRIRGPKAHLNFPIQVVEKAMGITHDPKCDTNDPLTSSQRIDSTHIHNLWARDYNTNKRVVRGWEELQEKDVAMHGPPPLKRLDTLDGSYWDDNIDVLEFQDLGASYLENLLFRETKF >KVH97046 pep supercontig:CcrdV1:scaffold_1112:137851:141863:1 gene:Ccrd_000861 transcript:KVH97046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MHANLTIFLSLLFLQVTTSTLLESPSKMTTPLARLNSSLKLNIPVFLLLVVFVSDAQPQNLVKKHHKVSAVLVFGDSTSDPGNNNYILTPFKGNFPPYGRDFADQKPTGRFSNGLLTSDFIARHVGVKDNVPAYLDPSLTIHDFMTGVSFASAGSGYDPLTPTISNVIPLPRQLEYFKEYKVKLVAAIGKKKTKEIINNALYLVSAGTNDFVVNYFTLPIRRHEYSLPSYMNFVMKTQLDFLQALWGEGARKIGVAGLPPMGCLPIVITLFSKNAIHDRGCIDFFSSVARTYNSMLQTQLNLMHFNHAHEGARIAYFDIYSPLLDGIVGHKYGFKEVRLGCCGTGLLETTFLCNPSSKTCSDASKYAFWDSIHPTQEMYKICSKALQPTIDHMIRD >KVH97048 pep supercontig:CcrdV1:scaffold_1112:58942:59435:-1 gene:Ccrd_000858 transcript:KVH97048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTSDNRTHRSLGDHLGTPCIDSGPDRLSKDILRCIFSIYCKLGDPNQCHQGPSESSDSSLSSCSTVSTRNISDTWNPFCNEDSKYDRLKDERGPYVDMVEALKIGLDDDGFNYAEKMLKHFRTLIKKLDKIDSGKMKRE >KVH97047 pep supercontig:CcrdV1:scaffold_1112:88700:89200:1 gene:Ccrd_000859 transcript:KVH97047 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEPTCSGHELPLNENDSQDMVLYQVLNEASTIVGFGATAVSRTALQPTRVISKSKHYRGVRRRPWGKYAAEIRDSNRHGARVWLGTFETAEDAALAYDRAAFEMRGAKALLNFPAELMASMQKTSQESNFNRNDWQGSCSSSSSREISNSKGAPGPSCEGKMLQLL >KVE16608 pep supercontig:CcrdV1:scaffold_11121:1786:3179:-1 gene:Ccrd_024048 transcript:KVE16608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLYLDMSDNRFDGEIPQCLGNISSNLVMVDLGNNNFHGTIPNTWDDCGKLEGLILNGNSLEGEVPSGLSECKSLKVLDLGNNHLTGTFPHWSANLQHLQVLVLKSNKLHGPIETSSIVEHPFSSLKVLDLSQNNFIGHLPRNYFQNFDAMKNREVKKRTKPEYLSIGKFYSITVAVKGSELPFTKISVDYTIVDLSSNIFEGGILDVIGSLSSLIVLNLSHNNLNDRIPKAIGNLLKIESLDLSCNQLKGEIPQSLAFITDLEVLNLSQNHLVGRIPDGTQFRTFEATSFEGNPGLCGFPLPKQCEHLSAPQLEIDEDEDSGFTWKVVMLGYGCGTLLGLGMGYMMLSTGRPKWFNAIADEIEHMIMQRQKKRRHVYIGK >KVI06748 pep supercontig:CcrdV1:scaffold_1114:122023:131070:-1 gene:Ccrd_014896 transcript:KVI06748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily METESAQPIDSDEDSVLIEQVRLTVATTDDPTLPVWTFRMWFLGILSCAILSFLNTFFAYRTEPLIISMISVQVATLPLGRFMASALPTNKFVVLGQEFFLNPGPFNMKEHVLISIFANAGSAFGSGTAYAVGIVDIIRAFYHRKISFLAGWILVITTQVLGYGWAGVLRKYVVDPAHMWWPSSLVQVSLFRALHETDDSRMSKAKFFVIALVCSFSWYIFPGYIFATLSNLSLLCLFFTKSVIAQQIGSGMKGLGFLSFTLDWSVIASYIGNPLVTPFFAILNVYVGFVIVMYIVLPITYWGFDLYKAKTFPIFSSHLFDGHGQVYNVSAIVNEDFEIDMARYNEQGQIHMSMFFALTYGLSFAAIVSTITHVVLFTEGTYCFYDSTNDLKTCTNINIILEIMNQFRASTKGKIDIHTRLMRKYDDIPDWWFYVLVGASFLLSLVLCIFMKDQIQMPWWGLIFACGLASIFTLPISIITATTNQTPGLNTITEYLMGVIYPGRPIANVTFKTYGYISMAQAVSFLNDFKLGHYMKIPPRSMFLVQVNLNSCLLSIQLYIATKNPISDGILSTSSNKTVANSFSDYKIKDATNKCLIMVFNELTYIYYRWFIGTIIAGTINISVAWWLLSTVENICQDQLLAPDSPWTCPSDRVFYDASVIWGLIGPKRIFGSLGPYSALNWFFLGGFLGPLLVYLLHKLFPNQKWIKLINLPVILGSSGYMPPATSVNFNSWAFVGMVFNFFVLRYRKRWWQRYNYVLSAALDAGLAFMGILVYFSLSMSDIGISWWGTDGEHCGLADCPTAEGVVVDGCPIN >KVI06749 pep supercontig:CcrdV1:scaffold_1114:136058:138842:-1 gene:Ccrd_014897 transcript:KVI06749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAAPPENSGLQPLFQIGRDCFHMNSGLQPLFHHTACSFFQPSNLRCKKYKVQSINMMMHQEALGHRIWK >KVE15061 pep supercontig:CcrdV1:scaffold_11142:1502:3307:-1 gene:Ccrd_024049 transcript:KVE15061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MFPSIHRHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTVVGQRGTLFWHAHISWLRASLYGPLIILPKLNVPYPFTKPYKEVPIIFGEWFNTNPEGIIAQATQTGGGPNVSDAYTFNGLPGPLYNCSAEDVFKLKVKPGKTYLLRLINAALNDELFFSIANHTLTVVEADAIYVKPFDTETLILAPGQTTNVLLKTISEFPGANFLMSARPYVTGQGTFDNSTVAGILEYESSIPMKNLPLFKPTLPSLNDTSFVSNFTNRLRSLASARFPANVPQKIDKNLFFTVGLGTNPCPKNTTCQGPNGTRFAASINNVSFVQPSVALLQSHFXDRSKGVYSPYFPISPLDWFNYTGTPPNNTFVSKXTKVMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYNPKEDPKNFNLVDPVERNTVGVPSGGWVAIRFTADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLPNEKLLPPPVDLPKC >KVE14714 pep supercontig:CcrdV1:scaffold_11147:2005:3337:-1 gene:Ccrd_024052 transcript:KVE14714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MQFCSLIGVSFKNPLGLTIEAGAQGLPTLLKLANIMAVKKQEWAATKQLPVAVELGSEFQFHSVFVCPVSRDQSSEDNPPMLLPCGHVLCNQSISKLSKNNTRMFKCPYCPFEAWVAQCRQLWAWESVIKVLLEVDVVMAALRAPASIVKPKGFLNDTPIKLQNCMVNSLITSSHLAGNNKSEYGEVSRFPGRNKQPISFRNSFL >KVE14713 pep supercontig:CcrdV1:scaffold_11147:424:856:-1 gene:Ccrd_024050 transcript:KVE14713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRVDSANKHGILLEVVQILTDLNFIVTKAYISSDGGWFMDDIIPFFNLFLFVYTSSFRSGKAVLDGTQ >KVE14712 pep supercontig:CcrdV1:scaffold_11147:974:2416:1 gene:Ccrd_024051 transcript:KVE14712 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH/CRA C-terminal to LisH motif domain-containing protein MAYFWKHRCIRFSKGSRARNLEPARSWVLTNRPKLKQSGNEFLKLMGCLLRPGNLETSPYSDLLLPAKWEDVIKEFTMQFCSLIGVSFKNPLGLTIEAGARRAAYPFEVGKYNGGEKQEWAAMRQLPVAVVLGSEVQFLPVFVCLVSRDQSSEDNPPMLLPCGHVIRTVTNLS >KVH88340 pep supercontig:CcrdV1:scaffold_1115:42416:43412:1 gene:Ccrd_024053 transcript:KVH88340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHSRVERIPPSLQKPRFFILICNPFYSFLPPI >KVH88341 pep supercontig:CcrdV1:scaffold_1115:45547:50655:1 gene:Ccrd_024054 transcript:KVH88341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTGKKNRRKKTQGIMRFYLKKGIARPRCSNQYDTSYQASFVSPPAAMPTVEIVLCACRICFLVCGFTPLLLIHTKYPKLTKARRDLNAPENAAIGAFAGDYMLHCFFSHAYQECHVLSQLL >KVE14387 pep supercontig:CcrdV1:scaffold_11154:245:3156:1 gene:Ccrd_024055 transcript:KVE14387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MVFPLHIIPHRFTTATETRTYRLRSSENSIQLTAQWNQTNQNPSLTYVAQLTTAGSQPPRHLQRMTSVTLKKNYRGVQSLQQFYSGGPYTVSSDGSFIACACNDTITIVDSSNASIKSTIEGDSEPVTAIALSPDDKFLFSASHSRQIRLFSGSDDATVRVWDLTSKKCIATLERHRSTVTSIAITEDGWTLLSGGRDQACLNYLCFDVD >KVI08223 pep supercontig:CcrdV1:scaffold_1116:38400:40362:1 gene:Ccrd_013407 transcript:KVI08223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brain/reproductive organ-expressed protein MLQIRLGFQSRTSFPDGPQEEYVLEFSRIILQIRWDVIYNAQFLLLAPDIVFGPEDDNFRPYHACGEGDLKPKNSLSDWNCKDPTRLLSLILELMYLPPYRLCLLCRSLYMAYQKKRVGEVDDERLKFEINTIYSREVIFQCINLNVTWISLFVFFLDDCPGRKEEGAINDDDISTHEDEGVDDSCNGNDN >KVI08821 pep supercontig:CcrdV1:scaffold_1117:113439:139718:1 gene:Ccrd_012813 transcript:KVI08821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MVLKTVIKVQICNKDKKKIFKSVSTLEGVNKIEIDVAKGTLTVIGDADPCKIILKARKIVKCAEVMTIGPPPPPEKKPDPPKTSVNNIEIDVAKGTLTVIGDADPCKIILKARKIVKCAEVMTIGPPPQPPKPPQPPKPPQPPKPSVCDCPSINRPPYPCPPITMVHMNPPEPYCTTCTIIVVHFIFTFMIPNHVSCITPKAIWSFNPVQLSCKKCKKKILRSVSGLQGVDKIEIDGAKGTVTVTGDADPYEIILQTKKAGKFVEVVTIGPPPAPPKKPEEKKPEEKKQTEKKT >KVI08814 pep supercontig:CcrdV1:scaffold_1117:22873:27977:1 gene:Ccrd_012805 transcript:KVI08814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MANHEGQGSEKNSGNSRVSLNERILNSMSRRSVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGESDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRHKKNENKSVKVNDFLPGADAVEAIKYSMDLYASYIVESLRQ >KVI08813 pep supercontig:CcrdV1:scaffold_1117:30553:36367:1 gene:Ccrd_012806 transcript:KVI08813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MGTLGRAIYVVGSWIRGTGQAVDRLGCSLQGSHLFKEQLSRHQTLLNLYDKDPVVDKDAFVAPGASIIGDVQVGRGSSIWYGCVLRGDVNSISVGSGTNIQDNALVHVAKSNVSGNVFPTTIGNNVTVGHGAVLHGCTVEDEAFVGMGATLLDGVFVEKNAMVAAGSLVRQNTRIPFGEVWGGNPAKFLRKLTEEEIAFISQSALNYTNLAAVHAAENAKGFMEIELEKMLRKKFARKDEEYDSMIGVVRETPVELTLPDNIFPHKAQKAAS >KVI08811 pep supercontig:CcrdV1:scaffold_1117:593:2921:-1 gene:Ccrd_012803 transcript:KVI08811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MASHGGSSQTGSLLLFVFGFVLLGSLQAEAATKKYQFDLQVNNVSRLCHAKPIVTVNGRHGLKQYANGWADGPAYITQCPIQTGNSYVYDFNVTGQRGTLWWHAHIFWLRATVYGAIVILPKQGTPYPFPQPDNEQVLVFGEWWHGDVEEIVKKGNAMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKKYLLRIANAALNDELFFAVAGHNMTVVEIDAVYTKPFTTSAILIXPGQTTNVLVYANRAPGRYFMAVRPFQDVPIPVDNKTATAIFQYKGIPETVLPSLPQLPSPNDTAFALSYNKKLRSLNTRNFPANVPLKVDRKLLFTVGLGKSVCPTCINGTRLSASLNNITFVMPQTGLLQAHYWNKKGVFRADFPDKPPKAFNYTGAPLTANLFTSHGTRLSKISFNSIVELVIQDTNLLSVESHPFHLHGYNFFVVGTGIGNFDPAKDPANYNLVDPPERNTVGVPTGGWSAIRFKADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGKDQAVRPPPKDLPPC >KVI08817 pep supercontig:CcrdV1:scaffold_1117:47189:63749:1 gene:Ccrd_012808 transcript:KVI08817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRALSTRKGRREGYDQLISESTKDDLLSDPKMFRSTTLPANFYGDSPVKLVWEPKIPVKVDVIEKQVKKVSKVHPLFSLFERKSRKKKATAKPEFSRYMQYLKEGGVMFRALSTRKGLRGGYAQLISECESTVDHFVSSDAKMIRSTTLPANFYGDSPVKLVWQPKIPVKVDVIEKQVKKVSKVHPLFSLFERRSRKKKATAKPEFSRAMSTRKGLRGGYAQLINESTVDHLLSSDDKMIRSTTLLANFYGDSPVKLVWEPKILVKVDVIEKQVKKVSKVHPLFSLFERRSRKKKAMAKPEFSRALSTRKGLRGGYAQLINESTVDHLLSSDAKIIRSTTLPANFYGDSPVKLVWEPKIPVKVDVIEKQVKKVSKVHPLFSLFERRSRKKKATAKPEFSSCASTAMFRAMSTRKGQGHSGYEQLIGESIVDDLLSDAKLIRSRTLPTNFFGDLPVNFESEVRTPVKEDAIDKQVKKASKVHPIFSIFEKRGWRKKATAKPEFSRYMQYLKEGGNWDANASKPVIY >KVI08816 pep supercontig:CcrdV1:scaffold_1117:70609:73110:1 gene:Ccrd_012809 transcript:KVI08816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTTIALDRLIEPKASKPMAAGKVASDSRQGSRINGTDKRPDLKDGTKSIERKQHWTQISPALYATPEPTPLPDSPSSFAPSPYIVNHKRRGPRLSKAFSEVDVALHQALADEKTIEIVRSLEAEDVESCRVVNVTDNVCKTIEDSHAKFVADGEHGNDSIADDDAARQDESKSVVLERDSEMDDFFDPQDSLSVRSSTDGDSNHGLERSLNLNSSVSEFYDAWEELSSEGGSQPSLNDVETELREIRLSLLVEMEKRKKAEDHINDIQSQWGRIQEQFSLVGLNLPSMVEDQFAEELSGQVHLIRFVSNCIGRAVGKAEVGAEMEAQLESKNFEIGRLLDRVRYYEAVNRELSQWNQETMETMRRHRQRRKRRQRWIWGSVVAAVTVGSAALIWSYIPTGKGSSSPSDTCPSDDLI >KVI08818 pep supercontig:CcrdV1:scaffold_1117:75165:79711:1 gene:Ccrd_012810 transcript:KVI08818 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MELSLQRISPALPNTLFLFSPHPSSLSAFPYNLRRQFQFHAVSSSSVSSPPKQLLCSLGGSQFKQEQVEEEEDGYGTSAFLSLSSKPDRNMAMLDDYEMEEFDYLSDPNHRSGYVAVVGKPNVGKSTLSNQMIGQKLSIVTDKPQTTRHRILGICSTPEYQMILYDTPGVIEKKMHKLDSMMMKNVRSATINADCVLVVVDACKPPENINEVLKEGVGELKDNVPVLLVLNKRDLIKPGEIAKKLEWYEKSTDVDEVIPVSAKYGHGVDDDITSEHPERFFIAEIVREKIFMQFRNEVPYACQVNVINYKARPDAKDFIQVEIDGRALKLLATASRLDIEDFLQKKVFLEVEVKVKENWRQDEGLLKYYGYGGKIQAL >KVI08819 pep supercontig:CcrdV1:scaffold_1117:88480:93925:1 gene:Ccrd_012811 transcript:KVI08819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MKKNLALSIFLVALFIQNQSIFLDIEAAGSRNDGFITTSGVHFMLNGSPYYANGFNAYWLMTLASDPSQRPKVSAAFQQASSHGLAVARTWAFSDGGTTPLQYSPGSYNEQMFKGLDFVVAEARRFGMKLVLSLVNNYENLGGKKQYVNWARNQGQYITSDDDFFRNPVAKGFYKNHVQTVLNRYNTITGVVYKNDPTIMAWELMNEPRCTSDTSGRTIQVLVRPFMSICSCQSFLLHICHKISPDLAWITEMAAHVKSIDRNHLLEAGLEGFYGKTNSRNPGFDVGTDFISNNLVPGIDFATLHSYPDQWLTSYDDQSQLNFLNDWLRTHIQDAQYVLKKPLLLTEFGKSAKDPNFSTYQRDRLFNLVYNNIYMSAKHGGAAAGGLFWQLLAEGMESFGDGYDIVLSQASSTTNLISQQGHKLYQVRKIFARMRNVQRWKRARAARRAQWLAWKRGLKPIGN >KVI08812 pep supercontig:CcrdV1:scaffold_1117:36151:39251:-1 gene:Ccrd_012807 transcript:KVI08812 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MTQDSPTTVCVTGAAGFIGSWLVMRLLERGYVVRATVRDPESHYSIIKQGQYVHLDDLCECHIYLYENPKARGRYICSSHDATIHQLARVIKEKWPEYHVPDQFPGIDKEPPIVSFSSKKLKEMGFEFKYDLEEMFKGAIDSCRDKGLLPYSTIKDHKTDDHIHV >KVI08820 pep supercontig:CcrdV1:scaffold_1117:93772:96135:-1 gene:Ccrd_012812 transcript:KVI08820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERPFDNRVVIDDKWMNASFELEALIDGDGGVSYYPKPGLLHILLNRLSKWCIAATYGVIVLRHDNVAVAVWAVLGSVLNIALSLALKQIIYQDRPDPEVRWWALSSPSYGFAPAIPWF >KVI08815 pep supercontig:CcrdV1:scaffold_1117:10175:17368:-1 gene:Ccrd_012804 transcript:KVI08815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidyltransferase-like domain-containing protein MTMKDSNLELLPVSSTISPPNSYEAVVLGGTFDRLHDGHRLFLKAAVELARDRIVVGILDGPMLSKKQFAHLIMPIEQRRKTVEDYIKVPDPSIKPNLAVQVIHITDPYGPAIVDKDLEAIVVSQETLPGGSLVNKKRAERGLSQLKVEVVNLVSEESSGEKLSSTTLRKQEAHIAGKL >KVE13555 pep supercontig:CcrdV1:scaffold_11173:427:855:1 gene:Ccrd_024056 transcript:KVE13555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPSSELSKAQLLEALCHSQTRARQAEEAAKKAYNEKEHMITHFLKQASQLFAYKQWFHILQMETSLRNSKCQPIYTRFPDFVPWIPIKGKQQKKGQHKPAKTEPSSPRYKIGKSFGSILLGLTLAGAGLLLGWTLGWLFR >KVE13452 pep supercontig:CcrdV1:scaffold_11176:66:1593:1 gene:Ccrd_024057 transcript:KVE13452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MGYSCDYCREARSMVYCRSDEAYLCLSCDRNVHSANALSKRHSRTLVCDRCNSQPAVVRCVDEKLSLCQNCDWEGHNVSNSAISRHSRQTLNCYSGCPSAVELSSIWSFMSDSPSVIDSTCEQGIGLMSIADKDRDLSVEDMREGLKSNVWMSSSLVQSGNRPMNVVQQADPKDCSTSKFQQFMNSISVTKYTRFK >KVH99544 pep supercontig:CcrdV1:scaffold_1118:6433:7903:1 gene:Ccrd_022219 transcript:KVH99544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extensin repeat-containing protein MGTQRKGMATLLITLAVVIVSLSLPSLTTATYPYSSPPPPPPKKSPPPPAHHYIYKSPPPPPPVYKSPPPPKYYYKSPPPPTPVHKSPPPPKKPYVYKSPPPPPPKEHYVYKSPPPPPPKKHYVYKSPPPPPPKKHYVYKSPPPPPPKKHYVYKSPPPPPPTHKSPPPPPPKKPYVYKSPPPPTPVHKSPPPPKKPYVYKSPPPPPPVHKSPPPPAPKKPYVYKSPPPPTPVHKSPPPPTPHYKYKSPPPPVHKSPPPPTHVYKYKSPPPPVHKSPPPPTPVYKYKSPPPPVHKSPPPPTPVYKYKSPPPPTPVYKYKSPPPPPVKKYPPPHYIYSSPPPPHY >KVH99545 pep supercontig:CcrdV1:scaffold_1118:51540:52352:1 gene:Ccrd_022220 transcript:KVH99545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extensin repeat-containing protein MGTKGHTMATLLITLAVVIVSLSLPSLTTADYPHSPPPPKKSPPPPPPPHYVYKSPPPPPPVYKSPPPPVYKSPPPPPPVYKSPPPPTPVHKSPPPPKKPYVYKSPPPPTPVHKSPPPPNKPYVYKSPPPPTPVHKSPPPPKKPYVYKSPPPPTPVHKSPPPPTPIHKSPPPPKKPYVYKSPPPSSPVYKSPPPPTPVHKSPPPPKKPYVYKSPPPPTPVYKSPPPPAPVHKSPPPPSPKKPYVHKSPPPPVKKSPPTHYFYSSPPPPHY >KVH99546 pep supercontig:CcrdV1:scaffold_1118:84483:85688:1 gene:Ccrd_022221 transcript:KVH99546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extensin repeat-containing protein MGTKGHTMATLLITLAVVIVSLSLPSLTTADYPHSPPPPKKSPPPPPPPHYVYKSPPPPPPVYKSPPPPVYKSPPPPPPVYKSPPPPTPVHKSPPPPKKPYVYKSPPPPTPVHKSPPPPXKSPPPPTPVHKSPPPPKKPYVYKSPPPPTPVHKSPPPPTPIHKSPPPPKKPYVYKSPPPPTPVHKSPPPPKKXHKSPPPPKKPYVYKSPPPPTPVHKSPPPPKKPYVYKSPPPPTPVYKSPPPPAPVHKSPPPPSPKKPYVHKSPPPPMKKSPPTHYFYSSPPPPHY >KVE13186 pep supercontig:CcrdV1:scaffold_11180:1820:3163:-1 gene:Ccrd_024058 transcript:KVE13186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFIPGWLNVIMGILVLTLGQDLPDGNLGALQKKGDVAKDKFGKILWYAATNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLKLHLAGIIAASFGMANLLARPFGGFTSDYMAKRWGMRGRLWNLWLLQSAGGVCCLFLGLVNSLPLAITFMILFSIGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLIFFASASFSTAKGLSYMGIMIIICTIPVSFVHFPQWGSMFFPASQDVVKGSEEHYYVSEWTEEEKQKGMHQASVRFAENSRSERGGKVASAATPTTNTPNHA >KVI07823 pep supercontig:CcrdV1:scaffold_1119:12848:13662:-1 gene:Ccrd_013811 transcript:KVI07823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEVTMEALGLKHPNKNYTANKPFPAYERLKLVLSKNRATRNIAESATHSLKNTNMIIFQPKLMFLPFEVLPIQLLIPNEGEETSKKRKKTNEISKLVKETKNEIHEATNQMKRLVSVISDSITEMNDLSNELKRLGLGVMKIIRMDKYFGDKPSQYCFWKNLDDNMKLKFVKSIYDEDK >KVI07819 pep supercontig:CcrdV1:scaffold_1119:111659:127793:-1 gene:Ccrd_013816 transcript:KVI07819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MAGNQVTGDGLSMNLAGMSKNQLYDIMSQMKTLIEQNQDQARQILIQNPLLTKALFQAQIMLGMLQPPQEIPNIQPAGSQHPQQATPPAQQPNAQATPLPGQSNLRDPTSAPTQQNQANKPMPSSSVSPSNPSLPSHPLQSTQLSRSHLNAQTAPIPSPQSSQLSNMAALPPHYSSQAPSALQPTMPAVTTPLQQPLHISNMPHLPLQPPLPSQPRPPSMPAFPHQNYSHMGPNAGFQHPVAPQLHHSQPMFHSGSRPPTSMGPPFSQGQPLLPNQQPSQALYQHKEWIQYKRHATIFATTIHRENHLPYSMFLTYGGRNSPTAFVPSRRGADNTFGTISSRYEATMGSHTSRGIGGEEMMTGVGANEGGGSHVGMDFNQMGIPSLPERGSNWMPSGLSENAAAGAQLPGPSPPFLPSHMGSGSQPPRPASLTADMEKALLQQVMSLTPEQINLLPPEQRNQVHCHTVLELAKPSQYDGAVRSGSMGANRLIVFKDSFLFAFLLNFGH >KVI07820 pep supercontig:CcrdV1:scaffold_1119:137250:137783:-1 gene:Ccrd_013819 transcript:KVI07820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAMKLWSPFTIVFLVLIFQESLLFSAMADRKFIESVCRSTPSTNLCLKTVLADPKSKDADLTGLALIIVYAVKDKGNKIIHQIKTLEKHGRPELRPVLKYCERVYNTAVTVDVKLAVDALTLGNVKFGENGMADVVVESRSCEDTFDQYALKSPMTEINKGMEDIANVARAIIRMLL >KVI07822 pep supercontig:CcrdV1:scaffold_1119:19459:39817:1 gene:Ccrd_013812 transcript:KVI07822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGGGLLDGAGDGQEGTTSSGPRSSSALESRQSHSAPVTSIVAFSTVTLAHRHRFEFRFHICRSSRTLLMSQWKDDALISESFIGEEKDDNQDKDVEETVVSDDDLIDYSVKPEFYDPNLDDKDQLWIQKKRKGQYSDAVLSCPACFTTLCLECQRHEKYVTQYRAVFVVNCKMKKGRVVSDEGSLKRKRVRKEESKSFTSVCCSVCETEVGVIDDDEVYHFFNVLPSEP >KVI07821 pep supercontig:CcrdV1:scaffold_1119:44430:46118:1 gene:Ccrd_013813 transcript:KVI07821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPLSLRWSNHPPRTHNFPFCLFSFSKLQRVSKTSSISKSEGQFGGASSVWFVKVVCTLYVRRKSSVKEVRVSDYLRKNLNSLTAFSVIKHMNSNWNNPNLAFSFLEYTKNTLNLVHSTETYSFLLRSFGYVTQVGVHDLAKKVIDLMRIDEVLPDSSLLGFVITSFVNAGKFEVAKELMVDHSRSSVEKDEVLSCVVFNKLLSSLVKSNHMDEAVSFFENIILRLKCYSPDACTFNIVISALCRAREVDKAFSIFNKMRKFGCFPDLVTYNTLLNGFCRAGNVDKAHELLRETCMVDGCSPNVVTFTSVISGYCKLGKMEEAMVLFDNMIGHGIRPNTVTFNVIIDGFGKIGNMVSVLNMYEKMVDLGCTPDVITFTSIIDGHCRLGELQQGLKIWDEMNRRNLYPNIYTFSILINTLCEKGRLNEACDLLRQLKKRDDIVPKTFVYIPVIDGFCKAGNVDKANAIVKEMEEKRCKPDKVTFTILIIGHCMKGRMIEAISLFQKMLVVGCVPDSVTVNSLVSRLLKAGMPKDAFEITKAASGMPVTQTDTSYSKNTNIPVAF >KVI07824 pep supercontig:CcrdV1:scaffold_1119:5528:10737:1 gene:Ccrd_013810 transcript:KVI07824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPLRWSNHSPRTHIFPICLFRFYRLQWASKFSSISKSQGQFGGATSVWFVKVVCTLYVRRKSSMEEVRILDYLRKNLNSLTAFSVIEHMSSNWNNPKLAFSFLEYTKNTLNLVPSIETYSFLLRSFSQVGVHDLAKLLIDLMRIDGVSPDNSLLGFVIKSFVNAGKFEVAKELIVDSSRSSVEKDGVLSCIVVNKILSSLVKSNQVDEAVAFFENIILRSQCYSHNAYTFNIVISGLCRAREVDKAFGFFNHMRMFGCLPDVVTYNTLLNGFCRAGNVNKAHDLLRETSMVDGCSPNVVTFTSVISGYCKLGKMEEAMVLFSDMISHGIXPSTFTFNVIIDGFGKIGNMVSVLNMYEKMVDHGCTPDVITFTSIIDGHCRLGEVHQGLKIWDEMNRRNLYPNIYTFSILINTLCKZXRLNEARDLLXQLKKRXDIIPKAFVYNPVIDGFCKAGNVDEANVIVKEMEEKRCYPDKLTFTILIIGHCMKGRMIEAISLFQKMIVXGCAPDSITVNSLVSRLLKAGMLKEAFEIRKAASGKPVTQRDISYNSDADIHVAI >KVI07817 pep supercontig:CcrdV1:scaffold_1119:133388:133699:1 gene:Ccrd_013818 transcript:KVI07817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVI07826 pep supercontig:CcrdV1:scaffold_1119:71840:73285:-1 gene:Ccrd_013814 transcript:KVI07826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MASPFFLLLSLLTLTATAAATTTTTTTFDVSSAVQRTLDLLNPTSVEQEQLEEKTPISSSSLSFILHPRSAIHHNHQHHDYEALTLSRLARDSHRVTSLTTRLEFALAGTNKSEMKPVVDTDSMIRPEGLSTPITSGISQGSGEYFARVGLGTPVQSYYMVIDTGSDINWLQCEPCSDCYQQTDPIYNPASSTSYAALSCGSTQCGSLEITACRSNTCLYQVSYGDGSFTVGDFVTETVSFGKSGSVPKVAMGCGHDNEGLFVGAAGLLGLGGGLLSLPSQIKATSFSYCLVDRDSKTASTLEFNSAPPANSVTAPLLRNLRMKTYLYVGLTGINVGGRPLSLPPSIFSVDDTGRGGVIVDSGTAVTRLQSQAYNLLRDAFTRQARSLKPTEGFSLFDTCFDFSALTRVAVPTVGFTFAGGKTLSLKPENYLIPVDSRGKFCLAFAPTDGALSIIGNVQQQGTRVGYDLTKSVVSFSPNNC >KVI07825 pep supercontig:CcrdV1:scaffold_1119:101661:110329:-1 gene:Ccrd_013815 transcript:KVI07825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANWELKNCCNHDQLVFLITLAFCVIVILALWRTVVLLPFKLVTVFLHEASHAVACKLTCGHVEGMQIHADEGGMTQTRGGVYWFILPAGYLGSSFWGMVLILASTNLLAARIAAGCLAAALIIVLFVAKNWTLRGLCAGVMNSVFSIYDIYGDLISRQVHTSDAEKFAEVCPCPCNGVGWGVI >KVI07818 pep supercontig:CcrdV1:scaffold_1119:132707:133156:1 gene:Ccrd_013817 transcript:KVI07818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTEKSKFSEDPAPRSLAILLRQKAHHTDWNPK >KVE12839 pep supercontig:CcrdV1:scaffold_11193:277:2459:-1 gene:Ccrd_024059 transcript:KVE12839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFQEINSWLLQPHANFLAICGMGGSGKLTLAQYIRARRMRGLALDIHMLMEKDGFNNELIRKHIKESCFLPYQLQEMDQLELLQINFVQFKQFTRDFAKKLRWLCWVGFNERSMPAHLCMGNMVALDMSYSCLEEFEPPMVLQSLKILNLKSSRYLNRIHNISRLPNLESLILWNCHMLHCVCKTIKDLTSLELLDMRGCERLQSSFLLPCSLVRLFLKDCNFDHYNDSTLSFSDHSFLQYLDLG >KVE12558 pep supercontig:CcrdV1:scaffold_11198:2053:3180:-1 gene:Ccrd_024060 transcript:KVE12558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGLSNGSLNAHKSLATVGTERNGFSSDAPKEAQINTSFSNGSLNGHKSSATVGTMRNGFSVAAPEQIQKKEGSVPKSISESHSESSQKCKNGDFSIPISTMVSCSKPLATLGDNTSKMEKGDPAKEIGGNESFGANGRGDGQEGVVIASAEISDLPKMGSSMEKEALGWKKHKKSKNVLKCKVLSMKFSSNVFMVTSLNQRKKKKHKRSKKVKNLAQENLIDEGPSSSQKIEVQAAVKPSVENELKERVDKDTAVLATSNINGISAGSRAGPNHLDDCKGASAQKDLVHMLTRGLEDRTGE >KVI09628 pep supercontig:CcrdV1:scaffold_112:360525:365344:-1 gene:Ccrd_011981 transcript:KVI09628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSKYPHPIYMDFNFNYIPKLDEYTVDGWKIIMNGLLKNNGVIGYIDGSIEPPPDGNPDYAEWKEIDNTVRDLIISAIGDDFLKKNSCLITTPKTAKDLIPLTTRVMYTGSNKAEMRSHYLPYLPLHKAAVKGDMKTLDEILKKNPNAVRALVTGASETALMVASPIEGNQEFLKKLISLMSPEDLAMQDSFGQTALSGAILAGDVDVMKLMVEKNPDLPHIRDMYNLIPLFIAPYSCDNDAVVRYLLDVTNESYLRDPQTALLVAGLIYGGFFDLCFELLDGFPFLAVTPLEILTLMHSAFLSGTKLNSWQSFIYSHLPEEELKNYSGIGFGDVENPREEIVKVPEVKRMQEFKRKHAQALVLLKRTCYLLAQLPGKVARDIIGSPLETAAANGNVEVVEEILTTFPNAIYLQNRSGRGVFHIAIANRRAKVFNLIYQTTNLRRRALQVLDNSQNTALHLAAHLAGETENEAGLNLRSTGPAVIFNETHEQLAKEGEQWMKDRANSGLIVATLIATIVFTSAITIPGGNNSNTGLPVFSQRPAFVVFAVSDALALFMSVSSILLFLGILTARYAIEDFLYSLPKRLMLALITLFVSIMCMMIAFTAILYLVFGDEKRWVLGLVSSLAAMPLLLFALLQFKPLLDIITSTYWLQIFKKQGDNILF >KVI09626 pep supercontig:CcrdV1:scaffold_112:507142:508554:-1 gene:Ccrd_011968 transcript:KVI09626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDTSKIETLTPSQFITFTIPNSIPNPVKHYCYLRSPLLRVALLDSPKVTSNGDAPLIAAMIVPGHRESDWIFCTESGHFQLLLNFSNVSRLILIGNNPQPNSGASIYIRPPVTDTLDTEKLEDDLKPFVIALHPTECFQDGLPEPVFLTYEDDIMYRATIYRYVGPVVGEFLIEDVEVESKDDTNKVFRRRLRFKRTPNLIQSEIPLVPTISNAMGDLGLDLPSLRKMNEVEFRVDTDVLVQPYLTAMVSGLFLIVSLLDQRIKQGFIPRALCLGVGGGALLSFLNTRLKFKVVGVESDEVVLSIARQYFGLNSGNSIRLFVGEAIGYIAKIARRQRERSTRASQANTDWLDDKYDVLMVDLDSSDARAGTPPAEIIKKAIFQAVRLVLHDHGVFVINVIPSDDLFYMTLIQKLQDVFHKVYEIKVGTKNDSVLVATMSSVPNDHDNDLSIKLRCTISGAYVDSITEL >KVI09624 pep supercontig:CcrdV1:scaffold_112:8945:9427:1 gene:Ccrd_012022 transcript:KVI09624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDAGEPSYTGDDIQNTEGGIVYGLGFYFLLLLLIFTLCYTSYICKRSMLSRSPPPSTTIDDYHFVRLSHGLDGDILATFPTFLYSDSEIVMLHKGTGIDNNNENNYGSDCCICLANYRPADVLRLLPECGHFFHANCIDTWLKVHPTCPVCRISLDLTDE >KVI09617 pep supercontig:CcrdV1:scaffold_112:227796:228591:1 gene:Ccrd_011996 transcript:KVI09617 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 GPSESSASSLSSCSTVSTRNISDTWSPFCNEGSKYDGLKDERGPYADMVEVLKIGLDDDGFNYAEKMLKHFRTLIKNLDKIDPGKMKREQKLVFWIKLHNALVMHAHLAYGTHNNSRSNSILKVRDYKAHNVFQDLRLAKQEFI >KVI09641 pep supercontig:CcrdV1:scaffold_112:85320:86516:1 gene:Ccrd_012013 transcript:KVI09641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MAPFASGGGYCSEAWSYVLALNQYHKSTSLRLSIGQHGDGENAHFWGGLPLEMKRLAYELYETQCRLEESIVICHSEPGAWNPPLFQTVPCPPTNSKFAIGRTMFETDRVNPEHVKRCNAMDMVWVPTEFHVSSFVQSGVDPSKVVKVVQAVDTEFFDPIKYIPLNLASLGNLVLGSGLKGLNSRNPFVFLSVFKWEHRKGWDVLLQSYLREFSSLDGVGLYLLTNPYHSDRDFGNKIMDFVENSRLEKPVDGWAPVYVIDFHIAQVDFPGLYKAADAFILPSRGEGWGRPIVEAMAMSLPVIATNWSGPTEYLNEENSYPLPVDRLSEVIDGPFKGHLWAEPSVDKLRLLMRHVMNNPEEAKSKGKKAREDMIHKFSPEIVANIVYDQIQRILDRMT >KVI09611 pep supercontig:CcrdV1:scaffold_112:281300:291341:1 gene:Ccrd_011990 transcript:KVI09611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAAFDHVRNMYDVALKPRLLRSLIKEHIPDEKQQLRNPLELSHVVSAIKTHELLSERVVPSADNKKLIEKWKSAVDLWVDRLLMLVCSNMPDKCWAGICLLGMTCEECSSERFLASYSVWFQKLLSHLQPPAESHFVKAACCVSISDLLTRLGGFPNMKKDGASHAAKLLQPVLKLMHEDSSDAEGAVPLLCTILNFFPSSVQKNYDSAEAAIVTKLMSGKCNANMLKKLALCLSLLPKSRGDEDSWSLMMQKILLAINLLLNDSLQGLEEETTTNEAMRALVPPGKEPPPPLGGLTILDISNKATRPERLFMPSISALMLCCSTMLRTSYPVQIKVPVRSLLMLAGRVLMVDGSLPHTLYPIMTAMQQECICTELPVQHSYSLEILCGIVKEARSQLFPHAAHIVRLVTEYFRRCALPELRIKVYALIKLMLMSMGVGITMYLAEDVVSNASVDLDSVGHHGGEACSNPVLKTSEAVPQPMQKKRKHDMTITSFGNQPQTSSLHKNHTPISVKIAALEALETLLTVGGALRSERWRSNVDVLLITVSTDACKGGWTKQANNVYTLHDSSSSWADFQLASLRALLASLLSPGRIRPPYLAQGLELFHRGMQETGTKVAEFCAHALLTLEVLIHPRALPLIDIASSIDYPVNGVKDSFMDNNTYSGAQKHHLYSGGTSRNGLEYPESEEDDLYEKWVKDVDGSNAPEIQQEKNTVEKTSTPAEPLVSFEGPSGSKVPEEKGKGILVEEINKQSDIPSQTADHHASDMETEMTAAKDASGGDLETATGRSFVTVVGSEGGKEFMFALGVDDKLMDEIPDIVDVEPDSDED >KVI09614 pep supercontig:CcrdV1:scaffold_112:256011:270418:1 gene:Ccrd_011993 transcript:KVI09614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFAVIPTVSFNVQNVYEVVQSRKGSMLNALAMLVPFGVLLGVILLWDCMSPSNLIVNYPHMVVMGTGLAFGFLVVRKTLLYNVKPLGFSFTLYACACIALTSSLVGPVAIVDHLGKLRNCANFTFLILGRMILSHLCDEPKGLKTSMSMSLFYLPLAIANTLTARLNDGTPLVDEKWVLLGFCTYTAALYLHFATSVIHEITAALGIYCFRITKKEA >KVI09642 pep supercontig:CcrdV1:scaffold_112:78841:82703:1 gene:Ccrd_012014 transcript:KVI09642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase MAAKDQIDGLNFQQRHGKERVRVGRVWRHGKEGPHFFVEWNVSITLLSDCIPAYVHADNSDIVATDTMKNTVYVKAKECSEKVSVEQFAIILAKHFTSFYQQVTTAIIKIVEKPWERVSIDGFKLGSERHTTEVFVKKNGALGVTSGVEGLALLKTTKSGFEGFIRDQNTILPETRERMLATEVSASWRYHFDSLSSVSMDPFTETYLDVKKVLVNTFFGPPKEGVYSPSVQATLYYMAKAVLGRFPDISSIQLKMPNIHFLPVNLSSKDNPVIVKFEDDVYLPTDEPHGSIEASLGRIHSKM >KVI09620 pep supercontig:CcrdV1:scaffold_112:198289:200650:1 gene:Ccrd_011999 transcript:KVI09620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S25 MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLSEAPKFKLITPSILSDRLRINGSLARKAIKDLMARGAIRMVSAHASQQIYTRATNT >KVI09645 pep supercontig:CcrdV1:scaffold_112:52511:60041:1 gene:Ccrd_012017 transcript:KVI09645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MSRQPQLPPRCPIPKTNSSNCDPLSSVICKDEKSDVRLQKSVSQSFVLEEQPAWLDDLLGDWDPGFKQKSHRRAASDSGTVLDGLVELPSLNTEKVDKNACSRDACTAATALESACIYGPNSPRSKEKVSIQENAIVSALSEYASHGPVQYVDKDLFLSGFPQLDSVQDTCGSAGEVGIEAKPFKRHNAQRSRVRKLQYIAELERTVENFQNVVSELAVRVDSLVEQRLYLSLENKQLKQHLARLQQEKFVMERRYQCMRNEAEGLKRGVLNGSSKVRTHFRSNSSGDAGKLNVEPSWHMIDMGKLHIN >KVI09602 pep supercontig:CcrdV1:scaffold_112:124298:127967:-1 gene:Ccrd_012008 transcript:KVI09602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MRKTLRWYDLICFGVGGMVGAGVFVTTGTASHDKAGPAVVLSYAIAGFCALLSAFCYTEFSVHMPVAGGAFSYIRVTFGEFMAFLTGANLIMDYVFSNAAVARSFTTYLGTAIGVSAESKWRITISSLPKGFNEIDFIAVLVISILTVLNPTFPYQIVITNNPIHSPNPNNFGFDYSTRESSVLNMLLTALHILFIMFVIIMGFWRGDSNNFTKPSDPSHPSGFFPFGATGVFNGAALVYLSYIGYDAVSTLAEEVKNPATDIPIGVSGSVILVTILYCLMATSMSMLLPYDLIKPDAPFSGAFVGKSNRWNWVSNVIGVGASFGILTSLLVALLGQARYLCVIGRSHIVPVWFAKVHPKTSTPVNASVFLGIFTAAIALFTELDVLLNLVSIGTLFVFYMVANAVIYRRYVSAETTSGWPTLSFLLCFSCTSIIFTLLWWLLSAGKPKVFMLAACSMVAIGLVVVFNYSVPQVRKPDFWGVPLMPWIPSVSIFLNIFLLGNLDGPSYARFGFFSALAVVVYVVYSVHASFDAEEEGILSRKNDEMMKEPIELEDLSLKVQKESILFA >KVI09598 pep supercontig:CcrdV1:scaffold_112:445735:446772:-1 gene:Ccrd_011975 transcript:KVI09598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MNSKQMANDTSDEDCDYLFKTILIGDSSVGKSNLLSRFAKDEFYLDSKPTIGVEFAYRNTKVGEKIVKAQIWDTAGQERFRAITSSYYRGALGAMLVYDITRNGTFESIKKWLHQLREFGDDDMVIVLVGNKSDLVDLREVDTEDGKKLAEIEKLCFMETSAKENLNVEDAFLQMITKIYEIAGQKSLEAKKNEANVNLDGRKEIIVVVDEVVPTKSNGCCTR >KVI09632 pep supercontig:CcrdV1:scaffold_112:330848:340745:1 gene:Ccrd_011985 transcript:KVI09632 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIRA-interacting protein 5 MRGLPRLIAGQRAHLCRRLKPYVDTGAARHASRRLLSISSSNNVFSHFTSSTSRPVVPSSYASSGLVNFAGQRRTMFIQTQSTPNPLSLMFYPGKPVMEVGSADFPNARTAMNSPLAKALYGIDGITRVFYGSDFVTVTKSEDASWDFLKPEIFAAIMDFYSSGNPLFLDSATAASKDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYIGFDPESGVVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKAVEQELDLEDENGELTSQLD >KVI09621 pep supercontig:CcrdV1:scaffold_112:19621:20094:1 gene:Ccrd_012021 transcript:KVI09621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFFGGRRSSIFDPFAMDIWDPFKDFPFPIPSGSNFSRETSALVNTRVDWKETPEAHVFKADLPGIKKEEVKVEVEDDRILQISGERNVEKEDKNDTWHRVERSSGKFTRRFRLPENAKLEQVKASMENGVLTITVPKEEVKKPDVKSVQISG >KVI09643 pep supercontig:CcrdV1:scaffold_112:68237:73916:-1 gene:Ccrd_012015 transcript:KVI09643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MRRVFGVKKDKEPPPSINDASDRINKRGETVDEKIKRLDGELARYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTVRFATINYLLLLMTALKSANKELKGMMKTVKIQDIDSLQDEMMDMMDISNEIQESLGRSYSVPDDIDEDDLMGELDALEADMGLETEGEGVPSYLQPDNEPEELNLPSAPSGHAGRVNNEVPSKYLSSVGYAVDELGLPAVPHASLRS >KVI09600 pep supercontig:CcrdV1:scaffold_112:493490:494911:-1 gene:Ccrd_011970 transcript:KVI09600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDTSKIKTLTPSQFITFTIPNPIPHPTARHCYLRSPVLRVALLDSPIVTSDGDVPLIAAMVVPGHRESDWIFSTESGHFQLLFNFSNVSRLVLIGNNPPPNSEPSIYVRPPVTDTVDKEKLEDELKPLVIALHPVECFQNGLPEPVFLTYEDTTLYRVTINRSVGPFVGEFLVEDVEVESNVDCNKDLRRRLRFKRMPNLIQSEIPLIPTVGNVVGNLGLDLPSLRKMNEVKFRVDTGVLIQPYLTAMVSGLFLIVSLLDRRMKQGFIPRALCLGVGGGALLSFLNTRLGFEVVGVEADEVVLSVARQYFGLNDRNSIRLILGDAIELIEKAASRRTKGDTHDLQVNIDCLNAKFDVVMVDLDSSDARTGISAPPPEIVRKPVFQAARSVLHDHGVFVINVVPPDERFYVTLIQELQDVFHKVHEINVENEDNFVLVGTVSPIASNDHDNALSRRLRCAISGASIDSIMEL >KVI09592 pep supercontig:CcrdV1:scaffold_112:383494:385321:-1 gene:Ccrd_011978 transcript:KVI09592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPGPIDIALVCRPTSISPPPFINSTFFHFITTSNPPHTTVVCRSATMHTATDDNSPSARSLGSSTTTDRLKHSSSSRSESPTWATANLNRSFSLSHHAPPPTTTTTKDAIRRLVKASAATLSLDELRFVQRLGSGDIGSVYLVELKCAEGFMMAAKVMDKEELMKRDKESRAKIELEILQMLDHPFLPSLYASLEVDRFSCLLTEFCPGGDLHILRQRQPERRFDEAAVRFYASEVVVALEYLHMMGIIYRDLKPENVLVRSDGHIMLTDFDLCLKCDDSTSPKLVHGQDLSITSPSTLCINGSRSTCIIPRFAMPKLSCFRPKRKRIPRSNTHRTFDIMAEPIEARSMSFVGTHEYLAPEVVSGEGHGNAVDWWTLGIFVYELFYGVTPFRGDDNEFTLTSILARGLQFPTEPVSSTAMKDLITKLLIKEPAKRMGSMKGAPSIKHHPFFDEVNWALLRGASPPYVPKPVSFRDFGAQNDRSEDHIDYY >KVI09594 pep supercontig:CcrdV1:scaffold_112:490038:492169:-1 gene:Ccrd_011971 transcript:KVI09594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait GLNSSTLPISIRFSPPRTLVDNHRLLSPPLTYAKSNLKMGMKAKKSMMKKAKKGSSQLTASVRKEEAADFLPLEGGPARKLPIIENSENKAAVLYIGRIPHGFYENEMEAFFKQFGEIKRIRIARNRKTGKSKHFGFLEFASPEVAKIVAETMHNYLLFEHLLQVQLVPPERVHPKLWKGVNRYYKPLDWVHIERKRQNKERTFEEHRKLVDGILKRDQRRRKKIEAAGIDYEYPEIVGSNEPAPKKIRFED >KVI09599 pep supercontig:CcrdV1:scaffold_112:469383:470894:-1 gene:Ccrd_011972 transcript:KVI09599 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MELSPTILAVLAFLFSAFVFWRTRSSKSKRLILPPGPPGWPVVGNLFQVAGSGKPFFQYVRELVPKYGPIFTLKMGARTMIILSRADLVHEALIDKGVIFATRPAENPTRTIFSSNKFTVNASYYGPVWRSLRRNIVQNGLSAAKLRTFRHVRETAMDKLVERLKAEAEANGGAVWVLKNTRFAMFCILLSMCFGVEMDEKTIQKMDDMMKTVLITLDPRIDDYLPLLRPFFSKQRKRATEVRKQQIATLVPFIEQRRAAVKNPGSDPTAAEFSYIDTLFDLTVEGRELPPTNPEIVSLCSEFLNGGTDTTATAIEWAIARFIENPSVQSKLYDEIKATVGDKTVDEKDTENMPYLNAVVKELLRKHPPTYFVLTHSVTEPVKLAGYDIPPGTNVEIFLPAIAEDPKLWTNPKKFDPDRFLTGGEDADITGVTGVKMMPFGVGRRICPGLGLATVHINLVLARMVQEFEWSSYPEGTKVDFSEKLEFTVVMKKSLRAMIKPRV >KVI09608 pep supercontig:CcrdV1:scaffold_112:158689:159303:-1 gene:Ccrd_012006 transcript:KVI09608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MASIKTLLCIAMVSFLVIQVVFSSDPDILTDYVLPENTTVTDPNYFTFTGLRSVFNATYPTTFTPVKATLNEFPGLLGQSVSYAVLEFPVGSLNPLHIHPRATELLFVVTGSLQVGFVDTTNKLFTQKLETGDMFVFPKGLVHYQTNFNTSEPALAVSAFGSVSAGTQSIANSVFNSTIYEGILAESFNISADVVERIESGLKG >KVI09606 pep supercontig:CcrdV1:scaffold_112:189733:195178:1 gene:Ccrd_012000 transcript:KVI09606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H2, subunit A MASEGQIPEWASTPCIMGIDEAGRGPVLGPMVYGCLYCPLSYKKTLSTLEFADSKTLKEEKREELFGSLKSDETIGWAVDVIDPRELSAKMLQKNKINLNEISHESAMGLVKRVLDVGYLLTEVYVDTVGDPDKYRIKLSERFPSIQFVVAKKADSLYPVVSGASIVAKVTRDRALQNWNFDETAENMHRNFGSGYPGGLVRSSQTFGIWLPVIGSLQLGHMEADEADEDQSSGKTGKRQLKLSNLGFTGGKRKTEDIESSGKGRCKFFQSRKLEQLSRF >KVI09612 pep supercontig:CcrdV1:scaffold_112:274870:276922:-1 gene:Ccrd_011991 transcript:KVI09612 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MASSSFFLCFFAFSLLLISLFKSTNSSKLEVEELSWLDDEEDEEINMVQSRHDSLRKCDFGSGKWVYDQTYPLYDAATCPYLSTRVTCRKNGRPDSDYEKWRWKPHVGDSIMRNQWESLVCLVESVVPTDRKTVTYAGPTMAFHALDFETSIEFCWAPLLVELKRGPGNKRILHLDLIEENGKYWRAADVLVFDSAHWWTHSDKWTSWDLLMEGNHISRDMNRMVAYQRGLRTWAKWVDLNLDPRQSRVIFRSMSPRHNRDNGWKCYKQREPLGYASHPHIPEEMMILKGVLKRMRFQVYLEDITAMSALRRDGHPSVYAQSGSGGDEKQHRTGHGSADCSHWCLPGVPDIWNEVLNAML >KVI09627 pep supercontig:CcrdV1:scaffold_112:345798:349422:-1 gene:Ccrd_011983 transcript:KVI09627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MASSSSKYPHPIYMDLNFNYILKLDGYNADGWKIFMYGLLKNNGVIGYIDGSIEPPPEGSNKAEMRSHYLPYLPLHKAAVKGDMKTLDEILKKNPNAVRALVIGASETALMVASPIEGNQEFLKKLISLMSPEDLAMQDSFGQTALTGAILADLPDEELKNYGGIGFGDVENPREEIVKGKKHSYYPHNKSGRGVFHIAIANRRAKVFNLIYQTTNLRGRVLQASDSSQNTALHLAAHLAGETENEAGLNLRSTGRGPALQMQRELQWFKLAKEGEQWMKDRANSGLIVATLIATIVFTSAITIPGGNNSNTGLPVFSQRPVFVVFAVSDALALFMSVSSILLFLGILTARYAIEDFLYSLPKRLMLALITLFVSIMCMMIAFTAILYLVFGDEKRWVLGLVSSLAAMTLLLFALLQFKPLLDIITSTYWLQIFKKQGDNILF >KVI09597 pep supercontig:CcrdV1:scaffold_112:462322:464966:1 gene:Ccrd_011974 transcript:KVI09597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MMTPGVNLVMTVIGFTVSTMFIVFVCTRLICARIHYISSRRSFRLSSRSDLNALERGAEPLVAASFPTKKYSDPFFSCAKDAQCVVCLSDYQADDTLRILPFCGHFFHSTCIDIWLQQHCTCPVCRVSLREIFERRCLMQPMFSSAVRFSSRGDDGHRMDPTTDGQLQSTDNEQEVRPDNSNTNGNSSGGSKNSTNKRFESPSDA >KVI09604 pep supercontig:CcrdV1:scaffold_112:177114:180486:-1 gene:Ccrd_012002 transcript:KVI09604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane translocase complex, subunit Tom22, plant MAAKKSSSDGVLSRISSTISESPVVYRGKQAASDAGFVVKKLLKSTGKAAWIAGTTFLILVVPLIIEMDREAQLNELDLQQASLLGTPTPAVPQK >KVI09613 pep supercontig:CcrdV1:scaffold_112:273004:273672:1 gene:Ccrd_011992 transcript:KVI09613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MPITIIPKMIVFLLVFLFHSTIHLPSPVSASDPDPLQDYCLPSPPPHTHLPCKNSSSLTVDDFVFSGIKHPGKFTNKFSGVPVSSTVFPALNTLGMSLVRADFEVGGINVPHYHPRATEVAYVIEGKVYSGFVDTGNKVFAKVIEKGEVMVFPRGVLHFQMNVGKSPATVIGSFDSQNPGSIKLPAALFGSDIDTGLLEKAFGLKRKDLGKLKKRFGVPKEE >KVI09622 pep supercontig:CcrdV1:scaffold_112:29525:29983:1 gene:Ccrd_012020 transcript:KVI09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATVDAGEPSYSTTGTSRGSIGYDLGFSFLVIFFIFTICYTSYLCKRRMSSQSPPPPTDSDSDDNRCMRALHQGFDDDVLSTFPTFLYSEAVNYGSGCSICLADYNPANVIRLMPKCGHLFHVKCIDKWLKVHPTCPVCRNSPLPTPPELT >KVI09605 pep supercontig:CcrdV1:scaffold_112:185718:189248:1 gene:Ccrd_012001 transcript:KVI09605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hemerythrin/HHE cation-binding motif-containing protein MGNCSKTLKKTNPDHNPDKRYPKIDNSHKVGRKTENSDKVYRKPEKSIDYRRKDHYDTSNPLPSPSPVPSPSPLPVVRLYGSPNTPATSYIRFALLHKPVTLLFVPSETPDFGFSTPVIQFGSDVISGSPVTILRYLDAKFPKPLLLSNWSTYNETTPVVVTATVLQHKSLLWHLERMVRWGEDLAARGGRSKGDPVMGSARMEVKKYGKSYSQLLEVMLEHAQMEERIVFPILEREDRGLSKSANEEHARDLPLMNGIKEDIKTIIVLDSGSSSCQDALFSLTTRLKSLQENCKEHFEEEERGILPLMEGTELSEEQQEKVLEQSLDVMPSTHSHLLRFFMEGLLPHEAMLYLDLINRCTHKDRSASIYRFLVEEQHGKHKDARPTMGLLLKAKS >KVI09637 pep supercontig:CcrdV1:scaffold_112:35778:36309:-1 gene:Ccrd_012019 transcript:KVI09637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MHSLLPWMRRPMVAHCRHRFSKTAILVPLALILVLFTAVVGGKKHIVGGSIWSIPPSKNFYNNWSSSQSFHPGDILHFEFESQMYNVLQVSYGQYARCEWEYPENIYSDGPALVSLTKTGELYFTCSIFNYCLLGLKMIVDVTPSPPPPQP >KVI09596 pep supercontig:CcrdV1:scaffold_112:397618:403942:1 gene:Ccrd_011977 transcript:KVI09596 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAAALAGDDLARSMSRRSLSSSRRSWASASIKEAFSAAPSGGDAFARSSGRHDDDEEELKWAAIEKLPTYDRLRKGILKQVLDNGEIVHEQIDIAHLGAQDKKQLMGSILKVVEEDNEKFLIRVRARTDRVGIDIPKVEVRYKNVSIEGDVHVGSRALPTLLNATLNTIEGLLQFIRLVPSKKRVVKILRDVSGIVRPSRMTLLLGPPGSGKTTLLRALAGILDSDLRITGEVTYCGHQMSEFIPQRTCAYISQHDVHHGEMTVRETFDFAGRCLGVGTRYDLLAELSRREKDGGIKPDPEIDAFMKATAVAGQESSLVTDYVLKILGLDICADIMVGDEMRRGISGGQKKRATTGEMLVGPAKVFFMDEISTGLDSSTTFQIVKYMKQMVHIMDVTMIISLLQPAPETFELFDDIILLSEGQIVYQGPRADILDFFKSAGFKCPERKGVADFLQEVTSKKDQEQYWFNKNEPYHFVSVPEFGQIFGRFQVGERLYDDLAVPYNKTNVHPAALVTEKYGISNMELLKANLAREWLLMKRNAFLYIFKTTQITVMSVIAFTVFFRTEMKSGQLEDGGKYFGALFFSLLNVMFNGAAELALTIMRLPVFFKQRDSLFYPAWAFALPIWIMKIPISIMESVIWIVLTYYTIGFAPSASRQLLAYIGLHQMALSLFRFIAALGRTQVVANALGTFSLLIVFVLGGFIVAKDDIEPWMIWGYYISPMMYGQNAIVINEFLDERWSTPNPDSRINEPTVGKVLLKSRGMFTTEYMFWVCVMALFGFSVLFNIFFVAALTYLNPLGDSKTAVPTEDEQNEKHPQAGTEMAANNINKKGMVLPFQPLSLAFDHVNYFVDMPAEMKAQGIEQDRLQLLQDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIKGSVSISGYPKNQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLAPDITTETCQMFVDEVMDLVELNPLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEAIIVLQNLLLTFLQLLLMKRGGQVTYTGPLGHHSHLLIEYFESIPGVNSIKEGQNPATWMLDVSSPAVEAQLGVDFAEIFANSDLYKRNQELIKELSTPVEGSQDLYFPTKYSQSFWTQCKACLWKQHWSYWRHPQYNAVRFSMTTVIGILFGVIFWNKGQKTDQQQDVMNLMGAMYAAVMFLGGTNTSAVQSVVSVERTVFYREKAAGMYSAIPYAFAQVAIEVAYVCIQTLIYSLLIYSMIGYHWSADKFLWFYFYIFMCFVYFTLYGMMLVALTPNYQIAAITMSFFLNFWNLFSGFMIPRTQIPIWWRWYYWGSPVAWTLYGLITSQLGENETLVVVPGQSSVTVKEFIKDFLGFEYDFLGYVALAHVGWAVLFCFVFAYGIKFLNYQRR >KVI09634 pep supercontig:CcrdV1:scaffold_112:296025:302377:1 gene:Ccrd_011989 transcript:KVI09634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphosphomevalonate decarboxylase MGGEPAKWVSMVTARTPTNIAVIKYWGKRDETLILPINDSISVTLDPNHLCTTTTVSVSPSFDQDRMWLNGKEISLQGGRFQSCLREIRARAQDVEDEKKGIKIKKEDWQNLHLHIASYNNFPTAAGLASSAAGLACLVFSLAKLMNLKEDNSQLSAIARQGSGSACRSLYGGFVKWIMGKEDNGSDSLAVQLADEKHWDELVIIIAVVSARQKETSSTSGMRDTVETSALIQHRAKEVVPKRIIQMEDAIQKRDFPSFARLACTDSNQFHAVCLDTYPPIFYMNDTSHRQDNQLCREMESCRRNTSDNVQVAYTFDAGPNAVMIARNRKTAALLLQRLLYNFPPQSDTDLDSYIIGDKSILQDAGINDMKDVEGLAPPPEIKDNILIQKSKGDVSYFICTRPGGGPVVLADETQALLNPLTGLPK >KVI09638 pep supercontig:CcrdV1:scaffold_112:115208:116587:-1 gene:Ccrd_012010 transcript:KVI09638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MRTSFVSEVDKQAKKIARVATYAKRLIGRRVSDEVVQEDIKLWPFKVVAGSGDKPKIVVKYKDEKKDFSAEEISSMNLMKMKGAAQTFLGSTVENAVITVPAYFNDSQRQSTKDAAKIVGLEVLRMINEPTSAAIAYALDKRASTDGKMNVLVFDLGGGTFDVSLLTIDEGGIIEVKATGGDTHLGGEDFDNRMVNHFVREFKRKHKEDLSGNRKALARLRVHCERAKRIISTAILTTIDIDCLFNGVDFSAKFTRAKFEEVNMDLFKKCIKTVKTCLKDANMDKKSIDEVVLVGGSTRIPKNILETDGKSDLSKLCGQ >KVI09639 pep supercontig:CcrdV1:scaffold_112:110517:111257:-1 gene:Ccrd_012011 transcript:KVI09639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MGKNMNLIPSLFLLNKHKELWLWPSCKHPKTRSFRLTTRDSSMSIHPNKESLPEADVELTTPDSCFTNSSESASISTESEKYFDNNECSSSSVETIVRGARSERLFFQPDATSSILETQGSGRQCTRVETGGGLPYKESVVMEMESDNPYGDFKKSMEEMVESHGLKDWDCLEELLRWYLRMNGKNNHEVIVGAFVDLLSGISGGGGSDHSTATFTSAASTFSSSSPSSDQIDRDKIKKEGKTVTK >KVI09601 pep supercontig:CcrdV1:scaffold_112:118487:120070:-1 gene:Ccrd_012009 transcript:KVI09601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17e MTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDLIEVDKETIEMLTSLGMGDLPGVVKASVEPQALPSVPAFGRGGGAGGFARRY >KVI09644 pep supercontig:CcrdV1:scaffold_112:58525:61327:-1 gene:Ccrd_012016 transcript:KVI09644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTTTGSSDPPLVAQRLLGKVALVTGGATGIGESIVRLFHKHGAKVCVVDIEDDLGQQLCRTLGDTACFIHGDVTIEDDVSRAVDFSVANFGTLDIMVNNAGIGGPPCPDIREFELSTFEKVFDVNVKGTFLGMKHAARIMIPLKKGSVVSLSSVSSAIGGLGPHAYTGSKHAVLGLTKSVAAELGQHGIRVNCVSPYAVLTNLALAHLPEDERTEDAKAGFRAFVGKNANLQGMDLVQEDVANAVVFLASDEARYISGTNLFVDGGFTCTNHSLRVFR >KVI09636 pep supercontig:CcrdV1:scaffold_112:37950:41278:-1 gene:Ccrd_012018 transcript:KVI09636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal MFGRAPKKSDNTKYYEILGVPNTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGNPFGGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNPDTVTGDIVFVLQQKEHPKFKRKGDDVFVEHTLSLTEALCGFQFILTHLDSRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVEFPDSLTPEQCKALEGVLPARPSMQMTDMELDECEETTLHDVNIEEEMRRKQQAAQEAYDEDDDMHGGAQRVQCAQQ >KVI09615 pep supercontig:CcrdV1:scaffold_112:236858:245346:-1 gene:Ccrd_011994 transcript:KVI09615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNARFESPSTSASEPGFSGSYSNGKRGAHSVAGGPNLDRSGSFREGAESRLFSSGIAVSRGGNSATVSSGNLPPLSQCLSLEPIVMGDRQVDRSVELRKVMGLFVGSTTEENSSGVAVPAHSKPAPPVAVAEDLKRFRLSVADTCITARGRASKLDEHLHKLDKYCDGVISKKPQRNDLVTNEQVGALNSKIGTQIYRNPTELVNQRVEDRPKNVLMNKRVRTSVAETRAEFRSNGLRRQPVAMAKDRDLLKDNGGESDMLEEKIQRLPAGGEGWDKKMKRKRSVGTVFTRPMDSNGEQKRIVQNKVVSEHGLQSNDTHPYRLGASNGTGSTSKLEGTSLPNSSRARLSPRNELDGSCLPRDLTAGSNKERILTKGINKLNTRDDNYTACGSPVTKGKASRNPRNGAVVAASSAPSTPRVSGTSETWENVTSGSKIPSTGGASNRKRSMPSASSSPSMAQWVGQRPQKMSRTRRANLVSPVSNQEEKQLSSDSCSHSDMSVRLASDGTNGSLISKYGTSGPQQQKAKLEHAQSPHRLSESEESVGGESRLKDGESRLKDKGKGNSDADANDGQBVGPLXLGVKIKPXINEENGEGVRRQGRSGRGPLIARASSSPAGEKLDNTATPKPLRSNRPGCEKNGSKLGRPLKKLSDRKGFSRLGHLQNNGSDCTGKSDDDREELLAAANHARNASCKALHFFPSIYCFWYRNLACSSIFWKKMEPIFASVSSKDKFYLSMQVGTVFNINVHVKHQGSESFSGRLDSDKTSREFIPLFQRVLSALIIEDNIDELEEDTGNIPLQDAFCDSAYDTFHLDDYDPRKRARREIERDTVFGVHAQSIHSVKVSFSSNGSCTNSFRSPSINDSPCEDVRSQVELLAGISNNFHDRPRVFQMESFGISSFDSHYEQMCLDDKLLLELQSIGLYPDSVPNLDDKEDEAIKQEINQLKTRLRQQNGKKKAYLEKICKRATRGAARAGIPKVPKQVALAFGRRTLARCRKFAKSGVSCFNVPPLRDILFAPREIELEPTASVAGPVTNTTTKYLGFHNPHQDSRLSSDEAFAINGPISNRGRKKELLLDDVGTVLGGTTGKRYERDVAKAGYAYHGERKTKPKPKQKLSQPPASGNGYVNQFPRTLHPVQPSPNGPNHNNGDNRRDVRHSRGPQETSKESTEIINSTNLSLQDLDPMDELGVGTDLGGPQDLSSLLNFDEEELQDHYSAGLEIPMDDLSELNMF >KVI09635 pep supercontig:CcrdV1:scaffold_112:313573:313830:-1 gene:Ccrd_011988 transcript:KVI09635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTREMEGLNTELYLRNCLIIQENERLRRRAQQLRKENEALISRWKENIGDLQKLKLEPEPEFKLQLGSSFQQDKKPSNTKQKG >KVI09607 pep supercontig:CcrdV1:scaffold_112:151581:153367:1 gene:Ccrd_012007 transcript:KVI09607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MQSTWTRYEDKLFEKALVDVPVGISGWWQMIADAVPGKTVEEVRAHYEELMRDLEEIESGRIEFPYYGDDYGRDSFMSWNSELKTSQISFESGKGSKPGEGPERKKGTPWTESEHRSFLVGMEKYGRGDWRSISRHSVITRTPTQVASHAQKYFIRQKTIKKQRKRSSIHDITTANAAMQPTSSTSLVGDKGGIVPPLAPRRPPLAPPPPPPSQSVYQY >KVI09595 pep supercontig:CcrdV1:scaffold_112:409996:410430:1 gene:Ccrd_011976 transcript:KVI09595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MICVLYGGFSIPALIFITCIWVPLLQIKHAFLHFLSTLFKYYTQNQDLNHAFPNIYHRSDLQFSDLLNDGRDEVAMMSRPVDQSCSICLAEFRSDDVVSQLGRCRHVFHSCCIEGWLGRDQFTCPLCRSRLLVKPKPFNKNHEF >KVI09618 pep supercontig:CcrdV1:scaffold_112:216428:221825:-1 gene:Ccrd_011997 transcript:KVI09618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane protease subunit 2 MTLTPEQHQISIFTHDHLRKFRVGGGLGVCSQTVEVGYVRKRKRSPSVEAPHMCEDGYRLIVTLICQFDTNMGTPSFLWNVCKSSFACGLIGLTISDRIASIVPVQGFSMSPTFNPYNSRPLGLFTDDHVLVEKHCLKDYKFSHGDVVVFCSPSSYKEKHIKRITAMPGDWISVPYSYDAVKIPEGHCWVEGDNPTNSLDSRSFGPIPLGLIRGRVTHILWPPQRVGKIDRKLPGGGLGF >KVI09633 pep supercontig:CcrdV1:scaffold_112:343799:344293:1 gene:Ccrd_011984 transcript:KVI09633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQNWQETSNHTLCANNCGFFGSPTTLNLCSKCYKDHCLKEQQMSKAKTAVEKSLSQPPQQPETYSSSSSFPVTSPSSVSSDQLTVAKTVSDPVVNPQTRNRCGSCRRRVGLTGFTCRCGTTFCGTHRYPEQHGCTFDFKTMGKEAIAKANPVVKAAKLEKI >KVI09593 pep supercontig:CcrdV1:scaffold_112:378884:380444:1 gene:Ccrd_011979 transcript:KVI09593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MSQTVVLKVGMSCGGCVGAVKKVLGNMEGVETFDIDLEQKKVTVKGNVQPDAVLQTVSKTGKKTEFWPTEAPSCCGSKKSAEPVAAPSCEAGKPADPVAAAPPCETGKPVDPVAAVPSCETGKPVEPVAAPSCEAGKPVEQGAPPCSEAGKPVEAVAAPSSETEKPVEPVVG >KVI09625 pep supercontig:CcrdV1:scaffold_112:493794:496847:1 gene:Ccrd_011969 transcript:KVI09625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLASLESKSTITTSNLAFKQSILTCKSWVSPFVRRLAAFSINSIASPRISRIEFLSFNPKYCLATLKTTSSASTPTTSNPSRVFKKLNKAPPPTPKHKALGINPCFIRRSRSETIKKRPETIAVKGDDWRIKEGDAEHRTAEIAVACECFQNGLPEPVFLTYEDTTLYRVTVSRFVGPFVGEFLVEVESSVDSNKDSRRRLRFKRMPNLIQSEIPLIRTTGNVVGNLGFLPSLREMSEVEFRVDTRVLVQPYLTVVVSGLFLIVSLLDQRMKQGFIPRALCLGVGGGALLSFLNTRLGFEVVGVEADEVVLSIARQNSIRLILGDAIELIEKVACGRMKGDTHDLQVNIDCLNAKFDVVMVDLDSSDVRTGISAPPPESVRKPFFQASKSVLHDHGFFVINVVPPDERFYVTLIQELQDVFHNVHEINIENEDKFVLVATVSPIASNDHDNAFIQKAEACDFRSIYILYKGNVISKSLFQYSSHNRFNEKHMAH >KVI09603 pep supercontig:CcrdV1:scaffold_112:172125:176579:1 gene:Ccrd_012003 transcript:KVI09603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKGLIYSFVAKGTVVLAEHTAYSGNVSTVAVQCLQRLPSNSSRYTYSCDGYTFNFLLDSGFVFLVVADESVGRSVPFVFLERIKDDFKKRYGASIGNDHPLADDSDDDLFEDRFSIAYNLDREFGKPSVPGGQLPKAGKATTKEDVVAESPDEADGRRSHCHFNHHSMADGVSGIQMLNGF >KVI09640 pep supercontig:CcrdV1:scaffold_112:85971:87757:-1 gene:Ccrd_012012 transcript:KVI09640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaN, reaction centre subunit N MAIRAYITTLISSIQAAENTQEKGNGLSFRRKMVTESNGRGGEGRRTLLLSAMAAATQVNDSKTELLQKYLKKSEENKTKNDKERLDSYYKRNYKDYFGLEEGTLRQKKELTETEKSILDWLQANK >KVI09610 pep supercontig:CcrdV1:scaffold_112:166462:169365:-1 gene:Ccrd_012004 transcript:KVI09610 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMMECR1-like protein MVSANKEMVVYCFDTLVAHFNGEQAPPPAFDEGQHPLFVTWKKVVNGGEPRLRGCIGTLEARCIINGFKDYALTSALRDRRFPPIQAKELPFLQCTVSILTNYEIAANYLDWEVGKHGIVIEFTDPDYNTKRNATYLPEVAAQEGWTKIEAINSLMRKAGYSGAITDSVRKQIQLTRYQSTLYTMHYGDFVSYVKATRGVAPSVPGLKSC >KVI09616 pep supercontig:CcrdV1:scaffold_112:231768:234495:1 gene:Ccrd_011995 transcript:KVI09616 gene_biotype:protein_coding transcript_biotype:protein_coding description:4'-phosphopantetheinyl transferase superfamily MEIEMEKGVQRWLVNTSQWDPSPHDFSIAMSVLPQQHHSSITRFVKIEDRKRALVSRLLQYALVHQVMGIPFDEIIINRTAEGKPYLESHKKNVKFPNFNFNVSHHGDYVAIASEPICLVGLDIVSCLIPVKETISEFIHNFSSYFSSSEWEKIVKAGSDDDVLDVFFRYWCLKEAFVKALGTGVGYKLDHVEFHHKNWTDIYVKVDGGALKDWNFWLFELEGKHRVAIARGHPRIATTNFKKTLKLTNVDDDLYNLGFHLPNPR >KVI09623 pep supercontig:CcrdV1:scaffold_112:707:4010:1 gene:Ccrd_012023 transcript:KVI09623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal SNNLDRPYLPPEIRPQLLPQIPNPSRRTHTSQVFLFRSLFRSTSSEYCRSKENNRMFGRAPKKSDNTKYYEILGVPQNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGNPFGGGGGSSRGRRQRRGEDVIHPLKVSLEELYNGTSKKLSLSRNPDTVTGDIVFVLQQKEHPKFKRKGDDIFVEHTLSLTEALCGFQFILTHLDNRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFSVDFPDSLTADQCKALEAVLPARASIQMTDMELDECEETTLHDVNIEEEMRRKQSQEAYDEDEEMHGGAQRVQCAQQ >KVI09646 pep supercontig:CcrdV1:scaffold_112:358877:359244:-1 gene:Ccrd_011982 transcript:KVI09646 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGG domain-containing protein MFNGTHEQSAKEGKQWLKDRATSGLIVATLIATIVFTFTITIPNRNNSGTKLPVFVVFAVLDALALFHLTIVGNPDCKHQRFSLLVTEEIDISPYHAFHMDHMHDDRII >KVI09631 pep supercontig:CcrdV1:scaffold_112:321971:329007:-1 gene:Ccrd_011986 transcript:KVI09631 gene_biotype:protein_coding transcript_biotype:protein_coding description:R3H domain-containing protein, viridiplantae MICKRSIRHVRPKAHSFPRQTLSSSLSLSLSLFDSSFHLRRSSHEIDQNLQIWGFFSDLEEPEVTTIGVNFLMITSPTVNPRIIINRSSTTTLTAAMAGSVDDLGGVGPAPPDSWEFLREALQNPRERLSVLRMEQDVEKFIRDPSQQQMEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNTLPDGSGSRIIVRKTSECRPPLIRLADIPVNIPTEDLSSSVVKVAIKQRPNKRSQGGGGANSNSLKNNSLKSVEERKEEYNRARARIFSSSSSSSGVPGGKQESEPRMQEVIQHSPLGTSKIEEVSVPGGVDVSIGRASVDSSAGSSRPGRSRTEKEPIVRSRSNSRVAIFRDREVERKDPDYDRNYDRYAQRFDPGFGFNGGSYPIQPMYTPVLNYNTEFPQLGSAHRSPISAEHQSHGLPQHLPSPWVAPSSPAGIGYRPPDAMMTPFSPNHAAPHPASTLYMQYPCQRPGMTFIHPREQVHQHYAQSQQQQSDASFGLARPR >KVI09630 pep supercontig:CcrdV1:scaffold_112:304203:313867:1 gene:Ccrd_011987 transcript:KVI09630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M18 MAAIVRLQQSSSLLKPSAISSKFLHQSSSRPFFSKPNFLRNNTRLRKIHLAPPLCSDSNSIPESSSASGSSGSIVGDLLDYLNESWTQFHATAEAKRQLVDAGFHLLSENDEWDLKPGGRYFFTRNMSCLVAFAIGEKYSVGNGFHVIAAHTDSPCLKLKPKSALSKSNYLMVNVQTYGGGLWHTWFDRDLSVAGRVIVRGGDGSFLHKLVKVKRPLLRVPTLAIHLDRTVNKDGFKPNLETHLNPLLATKHDDASADVIDKSNSSSSKDSHHHPLLMQLKIRNHNNNNTCAIIMSEVRTNFDDNLLFSYSEFQILSDELACEIDDIASIELNICDTQPSCLGGANNEFIFSGRLDNLASSFCALRALVDSSGPKDLSDEHAIRMVALFDNEEVGSDSMQGAGAPTMFQAMRRIVDCLANQLVREGILERAIRQSFLVSADMAHGVHPNFMDKHEEHHRPELHKGLVIKHNANQRYATSGITAFLFKEVAKIHGLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREVCGKEDVDIAYNHFKAFYETFSSIDRKLNVD >KVI09609 pep supercontig:CcrdV1:scaffold_112:162567:165704:-1 gene:Ccrd_012005 transcript:KVI09609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINQNNFSLFTFAQTDPQNSTLTMAMRSTIISRSPPLLHLMQSSNSRLSLCFFSDQGRILEDEERARENLYVKKMEKEKLEKQKQKLEAKEKADKEKAEKKQ >KVI09619 pep supercontig:CcrdV1:scaffold_112:203781:216075:1 gene:Ccrd_011998 transcript:KVI09619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSALCGACASTLLTTTTVMHRATVVSWSERQRWNRRRGGAISANGGVAVTAADDQRLKKGIAEFYDESSGIWENIWGEHMHHGFYDPGAVVQVSDHRSAQIRMIEQALLFASVPEDPVKKPRSIVDVGCGIGGSSRYLSTKYGAECYGITLSPVQADRGQALAAAQGLANKVSFQVADALNQPFPDGKFDLVWSMESGEHMPDKLKFVSELARVAAPGATIIIVTWCHRDLSPSEESLRPEEKRILDKICDAFYLPAWCSTADYIKLLESLSLEDIKAEDWSENVAPFWPAVINVLYGVYVSTLLTTTVTHRATLVTWSERQRWHRRRGGAISAKVGAAVTAADDQRLKKGIAEFYDESSGIWENIWGEHMHHGFYDPGAIVQISDHRSAQIRMIEQALLFASVPEDPVKKPKSIVDVGCGIGGSSRYLSTKYGAECYGITLSPVQAERGQALSAAQGLANKVSIQVADALNQPFPDGKFDLVWSMESGEHMPDKLKFVSELARVAAPGATIIIVTWCHRDLSPSEESLRPEEKRILDKICDAFYLPAWCSTEDYIKLLESLSLEDIKAADWSENVAPFWPAVIKSALTWNGLTSLLQSGWKTIKGALAMPLMIEGLKKDVIKVSIITCRKPG >KVI09629 pep supercontig:CcrdV1:scaffold_112:371171:372508:1 gene:Ccrd_011980 transcript:KVI09629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQRSATVTYASIKQPGVCNNARKKLIAKSKKAMSRHSSSRQTVEAAPPRLIRVDRRASLEKKLPTIKEDECSSGDQLQSFNGNRTVSSSAKTLYSTKHHACN >KVH89313 pep supercontig:CcrdV1:scaffold_1120:4164:5663:1 gene:Ccrd_008700 transcript:KVH89313 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSFPLSMTKKPHFVLVPLLAQGHMIPMIDMARLLAKQGVVVSLVTTPLNASRSASNIKQDQTNGLLINLVVIPFPWQEVGLPSRCENLDSLPSRDLIHKFYHALDLMQNPLEEYLEKQKLPPSCIISDRYMYWTSETAQKFNIPRIVFHGTGAFALLCSHNVKLHNAHVSVASNSEVFEIPEMPLRVDVSRMQLPSAVIASGNLDDIRARVEKAESSAYGVVMNTFDDLERSCIDAYQEKIKKKVWCIGPVSMCNKETLDKFTRGNNSSINEKQCIEWLDSMKPKSVLYVCLGSQCRLIPSQLFELGLALEASNHPFIWVINRRERFKELEKWLVDENFEERNKRKGLLIIGWAPQVLILSHPSIKGFLTHCGWNSVIEGICSGVPMITWPMFSEQFLNEILIVKILKIGISVGVRVQVRWGEEEKVGVVIRKHEIKKSIKRLMDERIDEEEEEEIRKRAQEVGGLARKAIGEGGSAEVNIKLLIQDIINFRIPSQQSF >KVH89312 pep supercontig:CcrdV1:scaffold_1120:43983:50191:-1 gene:Ccrd_008701 transcript:KVH89312 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT-like protein MKQPMIQNPMMSSSFPPTNITTDQIQKFLDENKQLILAIMNNQNLGKLAECAQYQALLQKNLMYLAAIADAQPPTPTPAPTPTPTISSQMTPVPHLGMQQQGGFYMQQQQHHPQAVMAHQPSGFPPQMAAMQFNSPQGIQAQMGGISGGPMHGEPTLRASAPTDVWRGGNSMQDGGGADGAKDGHGSAASGSEEAK >KVE11866 pep supercontig:CcrdV1:scaffold_11208:1704:2595:1 gene:Ccrd_024061 transcript:KVE11866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLRILRICDEELRNVWHTFDLRLWKVNYHGKLKFLSNKLRLLYWHXFPFKCFPSDFYPEDIVAIDLSYSHIKNLWTTPKVDMRRASGPDGGLQFLNLHGYQVRCNIPKVW >KVH99639 pep supercontig:CcrdV1:scaffold_1121:13919:14218:1 gene:Ccrd_022122 transcript:KVH99639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVFVVFVSFACVFFLGFTVLALCCVLKWLKCSKTANKSELVHVDEHLKVTENAVQGPNGMKVISITIDDDLHADEKEDCTKNEKFGKDVHSDEIHT >KVH99644 pep supercontig:CcrdV1:scaffold_1121:30473:30748:1 gene:Ccrd_022124 transcript:KVH99644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVFIVFYSFGCAFFLALVSVAFYCFVKKSKCSKTTEADDTVHVDEHLKVKENILEGPNGTKTVAITIDDDLHVHENKESSKNEDHETA >KVH99642 pep supercontig:CcrdV1:scaffold_1121:52360:61141:1 gene:Ccrd_022126 transcript:KVH99642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MSSTSNIKSRLFGPPTSTRCTRSHQIGALALISITFFATRLLDQSFSSSTSPFHGDELESNLIRFSEVDSSIRWPHRGYGSYIFLKIYVYDENEIDGLKQLLYGRDGKISPDSCLKGQWGTQVKIHKLLLKSRFRTHKQAEADLFFIPSYVKCVRMMGGLNDKEINQTYVKVLSQMPYFRLSGGRDHIFVFPSGAGAHLFKSWASYINRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVDDGMTTNGIKLVEPLPLSKRKYLANYLGRAQGKLGRLQLIDLAKQFPDKLESPELKFSGPEKLGKADYFLHLRNAKFCLAPRGESSWTLRFYESFFVECVPVLLSDHAELPFQNVVDYSQISIKWPSSRIDKHIEEMIARGRKLRCLWVYAPDLEPCSAFSGILWELQRKTRRFQQSTETFWLHNGSIVNRDLMEFYKWKPPMPLP >KVH99636 pep supercontig:CcrdV1:scaffold_1121:92577:93575:1 gene:Ccrd_022129 transcript:KVH99636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREQEQMQFLGLFGIFKESFKVIISWRKIFTQITLTLILPLTFIFLADLEISNLLFRDIVHTSYEKNFSRPGTKRYNNLSDALSSEWITLLLFKVVYFTILLILSLLSTAAVVYTIASIYTGRDFTFRTVLTIVPKVWKRLVVTFLCMFAAIFVYNFITVVILAIDLVAFSNTAFGIIFFYVVLAVYVIGFVYLTVVWHLASVVSVLESSYGIKAMAKSKDLIKGNRTVAVSIFFMLNLSFYLIHYLFEHLVVHGRSLQVWKRVGFGVVSFVLLLTLFLCGLVIQTVLYLVCKSYHHENIDKGDLSNHLESYLGEYEPLSGKDVQLEQYHV >KVH99640 pep supercontig:CcrdV1:scaffold_1121:11505:11792:-1 gene:Ccrd_022121 transcript:KVH99640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIFIVLVSFGCVFFSAFALFALFCIIKKSKCSKTTKQSELVKVDEHLKVRENIAQGRNGMKTVAITIDDDLHVEEAETCMKNEKLGKDIHQNL >KVH99635 pep supercontig:CcrdV1:scaffold_1121:3217:3847:-1 gene:Ccrd_022119 transcript:KVH99635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MILWRNLVPASEIDKESMGNTRWGGVELFAKVAKGQLKEDLTRKYFQQLVNVIHYCHIRSVSHHDLKPKNLLLDENEDLKLRNKGMLHTQCGSGTSSYVMPEVLQKKAMIMPWWISGLVA >KVH99637 pep supercontig:CcrdV1:scaffold_1121:76541:79168:-1 gene:Ccrd_022128 transcript:KVH99637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MVAKKGGSKAEDAVEDLARVPLQAILLADSFTTKFRPITLECPKVLLPLVNSPMIDYTLAWLESAGVEEVFVFCCSHSKQIINYLDKSNWVNQPNFSVTTIESLNCISAGDALRIIYERNVIQGDFILVSGDTVSNMMLTQALHEHKDRRRKDNNAVMTMVIKQSKPSQVTRQTRLGTDELIMAIDPGTKQLLYYEDKADDLKGTVTLDKAFLAENPSISLQNDKQDCYIDICTPEVLSLFTDNFDYQHLRRHFVKGLLLDDIMGYKIFTHEIHSSYAARIDNFRSYDSISKDIIQRWTYPLVPDVQFSINSAARLERHGIYRASDIAQSRSAQVGPFTYIGKGSTIGNNTVISNSVVGDGCKIGSNVSVDGCYIWHNVTIEDGCKLRHSILCDGVIMKSGAILEPGVVLSFKVVVGQEFVVPAYSKVSLLPQPVKQDSDEELEYADSNSGIISTTNTLENGDSTNDLSEIQYSASSDIGNGGRGFIWSISEIAREEDWRHSVAPIPAQKLIELTQAVYDDLEFPDQDDPLLPLADERESATESEDDTRDESQYFEREVEATFLRAVHENVKEDHIILEVNSLRLSHNMALENCASALFYSMMKLALDTPHHSATDLVKNTNDVISRWGKLLKPYLQGIDDEIEVILKFEEMCLESGKEYAPLFVQVLHLLYDKDIIQEEAILNWANEKEDADESDKVFVKQSEKFIQWLNEASEEED >KVH99641 pep supercontig:CcrdV1:scaffold_1121:64771:67015:1 gene:Ccrd_022127 transcript:KVH99641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MSTVGFKSLGFSLKNSNQRHSLVAAGCSSSSPERVSATKIHEENENGVVLGRRTAMVSGVSLVSSGLMMGIPREGVAVVKQGLLAGRIPGLSEPNEQGWRTYRRPDEKSGGHGVGWSPIIPYAFSVPDGWEESPVSIADLGGTEIDLRFGSPSEGRLFVIVAPVLRFADYLEDDARIERIGPPEKVINAFGPEVIGENVEGKVMNSKVKEYSGRKYYQFELEPPHCLITATAAGNRLYLFNVLANGLQWKRHNQDLKKIADSFRIV >KVH99638 pep supercontig:CcrdV1:scaffold_1121:22244:22543:1 gene:Ccrd_022123 transcript:KVH99638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVFVVFVSFGCVFFLGFTVLALCCVLKRLKCSKTANKSELVHVDEHLKVTENAVQGPNGMKVISITIDDDLHADEKEDCTKNEKFGKDVHSDEIHT >KVH99643 pep supercontig:CcrdV1:scaffold_1121:42205:46637:-1 gene:Ccrd_022125 transcript:KVH99643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MSGKVIRCKAAVAREAGKPLVIEEVEVAPPQKMEVRLKILFTSLCHTDVYFWDPKGQNPLFPRILGHEAGGIVESVGEGVTNLKPGDKVLPIFTGECGECRHCKSEESNMCDLLRINTDRGVMINDGKTRFSKDGQPIYHFLGTSTFSEYTAAEGARIAGASRIIGIDLNPSRFNEAKKFGVTEFVNPKDHDKPIQEVIAAMTDGGVDRSVECTGNGWGVAVLVGVPNKDDEFKTLPVNLLNERTLKGTFFGNYKPRSDIPGVVEKYMKKEIEVEKFITHQVSFADINKAFDYMLKGEGLRCIIRMEA >KVE11846 pep supercontig:CcrdV1:scaffold_11210:819:3011:1 gene:Ccrd_024062 transcript:KVE11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVEKDPEGAIVWFWKAINGGDRVDSAVKDMAVVMTQLDRPEEAIEAINSFRCLCSKNSHPSLDNLLIDLYKFYKQPYDFNVEPPRS >KVH98758 pep supercontig:CcrdV1:scaffold_1122:72851:73102:1 gene:Ccrd_023014 transcript:KVH98758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MAVHDECKLKFMELKAKRTFRYIIFKIEDKQKEVIVEKVGEPTQSHDDFAASLPATECRYAVFDYDFVTAENCQKSRIFFIAW >KVH98759 pep supercontig:CcrdV1:scaffold_1122:107784:108275:-1 gene:Ccrd_023015 transcript:KVH98759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF688 MTSTDVRRSSRRSPSFSSTSSSSIGSISFFLHDSPPPATPLRYFSGIPFSWEQFPGIPKKNNTHTDSSHSLLPLPPSGNSSRKSFGKSIKKYSTSDSFHKDPFFAAFVECSKDDDHELVKVTKSRSGDRSGLASVYTSCKRTCEVAESIVYLPRSRAGGYFYR >KVE11162 pep supercontig:CcrdV1:scaffold_11228:2147:2368:1 gene:Ccrd_024063 transcript:KVE11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein IQPSIQDILRQAEEDFGPNHPTSGLTIPCCGAIFADLAYHLGLLEALSKKPIIPFFLVQYNQHQKSTLYVMSAK >KVE11161 pep supercontig:CcrdV1:scaffold_11228:2781:3065:-1 gene:Ccrd_024064 transcript:KVE11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MPRIIQAKQILRRSLSNGSRNTYMAIPKGHFVVYVGEQEKKRFVVPVSLLNQPAFQELLYPAEEEFGYNHPMGGLTIPCSEDIFTDLASRLGAL >KVE11116 pep supercontig:CcrdV1:scaffold_11229:355:1973:1 gene:Ccrd_024065 transcript:KVE11116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQSARIQKPTPPTTTTAPCAGESLQPFTKSLHSTEVCTSVETDDFFLPYDDFGVEDQVAPLFSSNLIFYSKNNPRNKRFHFLYCNGSGTEPTGEPELISEMEEPKDASSSPKANGNRLANIKFLCESSARALVELFLDGSDYDEFGNDLYEIPEVVPIASCNQLQDAPAPGKADEDSKIQALIDTPALDWQQLGWRLCRKKHHLQAMYATDAKPQSETSDWHSENYVSDGSYALPSGAVVVLRPNEYVSSFALRVVSFSGFV >KVH96176 pep supercontig:CcrdV1:scaffold_1123:6778:9900:1 gene:Ccrd_001725 transcript:KVH96176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin modification-related protein EAF7 MNGGVNKKSGGKEEEQDGLSVHSPCKAPNSSASSLRKEQSQVDLELKLLEALEIYPPFSASDVLQMLDRFYNLEMLKPDDEESGILNQVEEFSLPPSYFSKEES >KVH96174 pep supercontig:CcrdV1:scaffold_1123:11681:14542:-1 gene:Ccrd_001726 transcript:KVH96174 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MSTDKARETHVYTAKLSEQAERYEEMVESMKSVAKLDVDLTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENYVNLIKGYRRKAASAGASTELPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEEGGDDKLKNEEGKAAEQEVSTALCLILKELLVAIFY >KVH96188 pep supercontig:CcrdV1:scaffold_1123:72431:72740:-1 gene:Ccrd_001730 transcript:KVH96188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVGHLWERLVRAALRRERTGTDAYGRPTGGIAGNVPSSLSNSRDIDPILRAADEIQDEDPTISRICISFVHDKLTGASELG >KVH96175 pep supercontig:CcrdV1:scaffold_1123:19457:26294:1 gene:Ccrd_001727 transcript:KVH96175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNQFHCSLVNLSSLRHHHHHHLTAADHTTTHNTLTPVNPSHLLRVCTILYQQQDSPESRLHTSLSRCDFQLTHEFFLQICNKFPCSWKPVYRFHNFSQTQSFNHTPITLNKMLDVVGKARNIDLFWDLIKEIGHRRLVTDRTCTIALKTLASAREMKKCVEFFHILNGVGYGYSLGTFNKVIDALCGDKLAEEAKYIVLKMKDWVKPDGFTYKCLIRGFCDVGDLVEASKIWNLMADEGFEVEIDGVEKMMDTLFKLNRFNEAMTLFQSIRLNRIDNLGLSTYKLVIGWMCKKGQLGQAREVFDEMLERGIQPDSITLASLIYCFLSKARVREAYKIAEGIEKPDMSIYHGLIKGLLRLKRANEATNVFREMIRRGCEPTMHTYVMLLQGHLGKRGRKGDDPLINFDTIFVGGLVKAGKSLEATKYVERVMNRGVEVPRFDYNRFLHYYSNEEGVVMFEVMSKKLREVVVTYNGSVKWENMVQTKIRELEVKENEKSTSGRRIRSISKMTESKAPEEDEPNKAISTKRLTRHRSNQFRGGLVPENT >KVH96190 pep supercontig:CcrdV1:scaffold_1123:88324:89772:1 gene:Ccrd_001732 transcript:KVH96190 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MVKICCIGAGYVGGPTMAVIALKCPSINVAVVDISVPRITAWNSETLPIYEPGLDEVVKKCRGKNLFFSNEVEKNVAEADIVFVSVNTPTKTSGLGAGKAADLTYWESAARMIADVSKSSKIVVEKSTVPVKTAEAIEKILTHNNKGIEFQILSNPEFLAEGTAITDLLNPDRVLIGGREETPGGLKAIQTLKDVYAHWVPEERILCTNLWSAELSKLAANAFLAQRISSVNSMSALCEATGANVSQVSYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKHVIKINDYQKNRFVNRLVSSMFNTISGKKIAILGFSFKKDTGDTRETPAIDVCRGLLLDRAQLIIYDPKVPEAQMMRDLSTPKFDWDQPGSTSPVSEDVITKNVKVVHNAYEATKDSHAICILTEWDEFKSLDYQKIYDNMPKPAYIFDGRNVVDVAKLRQIGFIVYSIGKPLDPWLKDMPAIA >KVH96180 pep supercontig:CcrdV1:scaffold_1123:135812:139088:1 gene:Ccrd_001741 transcript:KVH96180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKNLQKLEQLSEADPNVDFKKPQLGFLKKTDDHHKNHHGIVDSGECAISRCMLIYIQLDAFPGFWYWYEDFVEPRYEDEWIVDLTCYEVCTQFDYVFALGKLLFPPVTQVSSKKVLTDSCLDVVNRFLYICGPWRFCVSASTFASF >KVH96184 pep supercontig:CcrdV1:scaffold_1123:107897:109290:1 gene:Ccrd_001734 transcript:KVH96184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLIKQMRVKFSGVEDGNVGETSRVRDRKLSSQKTRSFKGDNRRGQNWYKGQSSSREPRYDDDDSDYMESDEFRTAVAAAAFAINSAEEQRRRTRRRRDDGLKKGKRKQEDGQVSVRPRGRIQLPSSASNKMKNKEDDTVPISTPTLFPKKP >KVH96189 pep supercontig:CcrdV1:scaffold_1123:98114:102286:1 gene:Ccrd_001733 transcript:KVH96189 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELM2 domain-containing protein MGTKRAFDEDLQELIKHPKNLDHGNKPVSFEENKFPLETFQDVGIAGESGCKYGNTDDDPESENVVTVPDMVDKEFDTNAPLPLVTGISTGENGSISGPVFCANLFSELFEYNFPRRQLFHYDDMHPSLLNNPRKEIPVGPDHQADIPEFDTELARNYNKNNGGMERFLGVSVIPMPNSNSNYLTEDDEASDIRKGCECLDGGSIRCVRQHVKESRLKLRESLGVEKFVDLGMLNMGQEVACRWTAAEERIFHDVVYLNPASLSRMFWNQLSMTFPSRSKKELVSYYFNVFILHRRAVQNRSRLLEIDSDDDEWRGNYGGISAVGIEGHDFVLGGSFASHGEHDDSSSEDDIDNNQNNDGEGEGEGEGEGDFVIGPTDDSFTSFENQPLHPNSSKKVDLKSSVDMHENKVTTDEGENGHLGSEYGFQTSDAKAWDPPYSSAPTTNGLDLQSTCSIIEEIFGCSKNGNNSIQ >KVH96181 pep supercontig:CcrdV1:scaffold_1123:123167:129074:1 gene:Ccrd_001739 transcript:KVH96181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEIDPPNSEHEAEQTVMIKDDDLFRAADTGDVSFFKSLSQPQLLRSLDLRNEDGRSLLHLSVSSGRVEVVKILSAFDKSVSGINSADEEGWAPLHSAASSGNVEIVKILLDRGADVNLKNDGGRTALHYAASKGWVKVAEILLSNGAKINLKDKASSTGNSELCELLIEEGAEIDAVDRAGQTPIMSAVVCHYKEANVGIPEVVLFLWTKVALLLIRHGADVDVEDKEGYTVLGRASAEFRPILIDAAKAMLEG >KVH96185 pep supercontig:CcrdV1:scaffold_1123:117753:119045:-1 gene:Ccrd_001737 transcript:KVH96185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MIMAKLQRFGRIRQLHTIISQETIKPSSPTPPHLKTHNLSLLDRFVGHIHMPIVFFYPNYDHGDTHILKKSLSQSLTQYYPFAGRFPAPHAPHINCNDEGVVFLEASNNGRLDEFIRKKEHDETMDRLIPNGLGCTMHKTSPNLIEVQLNHFAGGGAALAVSISHKLADALTMASFFNHWATVTRGGSPINPSFVSSSVTNNEILGFPLIDTEKLNYVRRRFVFPNSKLYELKNKVNAMGTSPMNPSRVELLTSLLFKCAVDSATRKSGSLMPSNLFHTVNMRNRNIKKFPETAAGNLSTTVIAKIATDSGEIKLHEVIGTLRKGIMELEELSNVEEVIGNLLSKLSPLEGEQSRAYISSSMCRFPFYEMDFGWGKPVDIMFRIPEVNDSCVLLMDAQSGDGIEALVRLQEEEMDIFRKDKDLLAYVEDM >KVH96179 pep supercontig:CcrdV1:scaffold_1123:128417:129352:-1 gene:Ccrd_001740 transcript:KVH96179 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MENSSTEYGSSSSHLWWLDNSHNRCHQSQWLQFTLSEFEKKVKKMLSLIEEDGDSFAERAEDSLEQKVELVKRNNDNREAINDLRAYIRKLLVQNIELKSKLAQTKGDIKRNESQLSRAEDVILKEILP >KVH96183 pep supercontig:CcrdV1:scaffold_1123:111061:112407:1 gene:Ccrd_001735 transcript:KVH96183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MKKTPPPTPTPAPFDKQSDKTITSTKPESDFPSGSHQQPTPLETKDKPESKRARALETYKTEMEMIDQIAEGARSQAEENQRKEIKKVQEKADKIRLTGKIPTKTCLCL >KVH96177 pep supercontig:CcrdV1:scaffold_1123:21693:27061:-1 gene:Ccrd_001728 transcript:KVH96177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MRTPLFNKLYVFSGTRPPRNWLLLCLVSLFVLIALLGSSSSGAFDSVTTSLKPEVYTNYRRLKEQAASDYFELKSYSSGNSNSKEIWLCGKEREHYVPCYNVSANLLAGFKDGEEFDRHCEVSQDQPYCLVRPPRDYKTPLSWPVGRDVIWNANVKITKDQFLSSGSMTKRLMLLEENQISFHSDDGFVKDHSRQIAEMIGLGSDAEFWQAGVRTVLDIGCGFGSFGAHLLSLKLMAVCVAAYELTGSQVQLSLERGLPAIIGNFISRKLPFPSLSYDMVHCAQCGVLWDKKDGLFLIEADRILKPGGYFVLHGTSLSTKKGSMSSPIEEFTRNICWKLIAQQEETFIWQKTIEAQCYSSSKQGAIPPCREGYADLQSYYQPLESCIAGTASKRWVPIQNRSSSSQINPAELEIYGKY >KVH96186 pep supercontig:CcrdV1:scaffold_1123:114095:114481:-1 gene:Ccrd_001736 transcript:KVH96186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALFHKDKPDTDGDGEHDKAKDSGKDHPKPSNSELMASAKVIADYAGGKENDMGKIAGAAADMLDAAATYGKLDEKQGLGKYMDQAEDYLHQYQTTHTKTTKTDDSGNKTTTETTTTTKTAPADDDK >KVH96182 pep supercontig:CcrdV1:scaffold_1123:119282:120574:1 gene:Ccrd_001738 transcript:KVH96182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MIMAKLQRFGRIRQLHTIISQETIKPSSPTPPHLKTHNLSLLDRFVGHIHMPIVFFYPNYDHGDTHILKKSLSQSLTQYYPFAGRFPAPHAPHINCNDEGVVFLEASNNGRLDEFIRKKEHDETMDRLIPNGLGCTMHKTSPNLIEVQLNHFAGGGAALAVSISHKLADALTMASFFNHWATVTRGGSPINPSFVSSSVTNNEILGFPLIDTEKLNYVRRRFVFPNSKLYELKNKVNAMGTSPMNPSRVELLTSLLFKCAVDSATRKSGSLMPSNLFHTVNMRNRNIKKFPETAAGNLSTTVIAKIATDSGEIKLHEVIGTLRKGIMELEELSNVEEVIGNLLSKLSPLEGEQSRAYISSSMCRFPFYEMDFGWGKPVDIMFRIPEVNDSCVLLMDAQSGDGIEALVRLQEEEMDIFRKDKDLLAYVEDM >KVH96178 pep supercontig:CcrdV1:scaffold_1123:37222:72214:-1 gene:Ccrd_001729 transcript:KVH96178 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MQSKQAHPICEHAYTLAQNLDPNSEGRGVLQFKTGLMSIIKIILQLSGHTESELPVKHTKPLPFPMQKLAKKEGGVTDRSQDISRLRDFYKLYREKNNVDKLREEEMELRESGTVLEQLTKELSPEEAEGLIPEEVYIIGHLKRVIESDAAMTEDLIAYNIIPLDAPAITNAITSFPEVRAAISSLKYYRGLPKLPANFPIPATRSADILDFLHYVFGFQKGNVSNQRENIVNLLSNEQSRLGIPDDHEPKLDETAVERVFLKSLDNYIKWCTYLDIPLMWSNLDLSKEKKILFISLYFLIWGEAANIRYLPECLCYIFHRFNQQMGEELDELLRQQLAKPADSCVTENGVSFLEQVICPLYDVIAAEAANNNDGKEPHSTWRNYDDFNEVTLTLLFPGPLVALNFFGHSIGIRLSFGSQHQGQRCVGHCGDVWCLFYNKALGCFEDFRSFHLIYLLDIHIFYTIISAVVGFLLGARDRLGEIRSLGAVHKLFEKFPEAFMDNLHIPLANRDTLRITGQKNKFDAARFSPFWNEIVKNLREEDYITNLEMELLLMPTNSGTVPMVQWPLFLLASKIFLAKDIAGESDSQEELWDRISRDDYMKYAVEECFYTIKLILTSILADDDGKLWVERIYEDIRGSMVKRSIHIDFQLKKLALVIQKVTALTGILKEVGTPELESGAVNAALDLYDVIQLDFFNVNMRENYATWNMLLKARKEGRLFSKLKWPRDPELRSQIRRLHSLFTIKDSAVNVPKNLEARRRLQFFTNSLFMSMPRTKPVREMLSFSVFTPYYSETVLYSMKELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENTHEADLIDNDDENLELRFWASYRGQTLARTVRGMMYYRKALMLQAYLERMTVGDIEAAVPTTEATDIKGFEFSPEARAHADLKFTYVVTCQIYGRQKEEQKPEAADIALLLQRNEALRVAFIDEVETLTDGKVHKEFYSKLVKGDINGKDKEVYSIKLPGNPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFHAYHGIRPATILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQALYLLYTPFPPEIKTQRSFCLVSKEVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYFTTVGYYFCTMLTVITVYIFLYGKTYLALSGVGEDIQTRADVLHNTALNTALNTQFLFQIGVFTAIPMVLGFILEQGFFRAVVSFITMQFQLCTVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFSENYRLYSRSHFVKGMEVVLLLVVFVSYGFNEAGAVGYILLSVSSWFMAISWLFAPYMFNPSGFEWQKTVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELSHIRTLGGRVMETILSLRFFIFQYGVIYKLDVQGSKTSLSIFTFSQKISVNFQLILRFVQGVAFMLALAGIAVAVAITDLTITDIFASILAFVPTGWGLLSICVAWRPVLKKIGLWKSVRSLGRLYDAGMGMLIFIPIALCSWFPFISTFQTRLMFNQAFSRGLEISLILAGNNPNSGL >KVH96187 pep supercontig:CcrdV1:scaffold_1123:77515:80497:-1 gene:Ccrd_001731 transcript:KVH96187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin associated protein KTI12 MKGQNGKFSWKCSLKGFGIKGRYELWCLARAAGTRHCVLFCDVEETHCRDWNNERREKDEPSYNDRIFEDLVRRFERPDRRNRWDSPLFELWPFKDGIEKSSPAILDLVSYLTKKVDSKTKDIKILQPTIATQSARFSEANSLYEMDKATQEVTSAIVEAQSLAMGGPVTGLSLGPDLPTINISRPVGLPELRRLRKTFIKLTGQSSLSGPPPPSDADSAKRMFIDYLTREI >KVE10888 pep supercontig:CcrdV1:scaffold_11233:42:3072:1 gene:Ccrd_024067 transcript:KVE10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core EIQTAVRLVLPGELAKHAVSEGTKAITALPLYININNLPFLPPTRKASISLLTSNQTPFSMAPKAEKKPAEKKPAAEKAPAEKKPRAEKKLPKDASATDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVL >KVE10819 pep supercontig:CcrdV1:scaffold_11235:18:3060:-1 gene:Ccrd_024068 transcript:KVE10819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAITALPLYININNLPFLPPTRKASISLLTSNQTPFSMAPKAEKKPAEKKPAAEKAPAEKKPRAEKKLPKDASATDKKKKRNKKSVETYKIYIFKVLKQ >KVE10688 pep supercontig:CcrdV1:scaffold_11239:1566:2616:1 gene:Ccrd_024069 transcript:KVE10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin INKLVGIGVREQHNFSSLRNFVLLVQKKILFLARKMASQVDVGPWGGDGGVNPWTFKPDGRIVGFRIASGDVIDSIRFTYEDKXQVSHHSETFGGDGGTLNRPVKFDDDEDLIRVSGTIGNFYSIMVIMSLSFHTNKGKTYGPYGGGNGTSFSLPVTKGKFIGFFGNYGDVLDSIGVILQP >KVI11615 pep supercontig:CcrdV1:scaffold_1124:26249:35896:1 gene:Ccrd_009969 transcript:KVI11615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 beta-sandwich MGTENPDRANTYQGTTATPFAAPRSNMPISSSHPVVGSEASAFRPTSISASMSTVPATSSATPFFPSGPASGAGTPGFRPMQPGRPTASYGPPMTGPVQRFPTPQFPSTPQAPPPQTSTVGQPILPPTMRPPPPGHVPSMSTSLNRQPQIPPVPMGSPPQSLYSVSSSSNPPMSYADSPYSASRPNMQQPVPSTGLPYXGAVQASPPSALPGYPGIQGSTVTPPPPPPPVSHXGGYAPPLSTANAPFSSHQGAYGSAPPVASSSGMYAGGSAPPTGGVSGLVEDFSSLSLGSTPGSFDVGLDTKMLPRPLDGDVEPSSFAGMYPMNCNSRYLRLTTSAIPNSQSLVSRWQLPLGAVVCPLAEAPEGEEVPIVNFATTGIVRCRRCRTYVNPYVTFTDGGRKWRCNICALLNDVQNDYFAPLDANGKRIDLDQHPELIRGSVEFVAPAEYMVRPPMPPLYFFMIDVSISAVKNGTLEVRSVVEAFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQSTLPSLGVGGLRLRGDDLRVYGTDKEHALRIPEDPFYKQMAADFTKFQVAVNIYAFSDKYTDIASLGTLAKYTGGQVYYYPSFHSVIHKDKLSHELARDLTRETAWEAVMRIRCGKGVRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQFALEETLLTTQIVYFQVALLYTASCGERRIRVHTAAASVVADLGEMYRQADTGAIVSLLGRLAIEKSLSYKLEDARNAIQLRIVKALKEYRKLYAVQHRVGNRMIYPESLKYLPLYGLSLCKSTALRGGYADAQLDERCAAGFTMMALPVKKMLKLLYSSLLRVDEYLVKTPTRDDDFNRVCKRLPLVAESLDSRGIYIFDDGFRFVVWFGRMLSPDLARNLSQVCLSERDNEMSRKLMGILKKLREADPSYYQLCHLVRQGEQPREGFFLLLNLVEDQVGGMNGYADWLLQIHRQVQQ >KVI11620 pep supercontig:CcrdV1:scaffold_1124:47741:50197:-1 gene:Ccrd_009970 transcript:KVI11620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFERSVFTWNALIGAHMTNREPIEAIQLFSDMNALGIPADASTYTSILKACGELENRCYGVQVHGLSIKLGLLCNVYVSNSLMGMYAKCNDSNSAMVVFESMSDEANVVSWNSIISAYSAAGKSAEALKLFREMQISRVVPNTYTFVATLQACEELSYMVFGKELHAFLLKSDLYFDMFVANALLVMYTKGGKMKEAARIFYAMDEKDSITWNSLLSGFVQNGLYDEAVAAFHRMQVVGQKPDQYSIISMISTVGRLGSLSNGLELHAYAIKNGMDSDLQVCNTLIDMYAKCCKMNYADPVFERIRCKDDISWTTIIAGYVQNGCHSRALSLFREAQMKGIESDSVLISSILQACSELMCHSVVKEIHSRIIRKGLYDIVLQNTLTNTYGKCGCIDYASRVFELISVKNVVSWTIMMCCLVQNGFSNEVFDVFTSMKETGIEPDSIALLSLLSAAVDLSALRKGKEIHGYIIRKGFILQGPISSSLVDMYASCGALNDSFKVFSCIQVKDLVLWTSMINAYGMHGLGKEAVRLFNQMVAENVLPDHVSFLAILYACSHSSLINEGKSFFKSMVHDYALDPWQEHFTCMVDLLGRANNLEEAFMFVRNMETNPNVAIWRSLLGACKMHSNLELGNFAAKKLLELDSEKMKNFVLVSNFYALCGRWDDVDYLRTKMRQQGLKKDPGCSWIEIGNKIHVFTVRDKSHPESDEIYNKLAQINDTIKRKGGYVAETKYILHDIEQKDKAEMLNGHSERLAIAYGLLKTTKGTPIRITKNLRVCDDCHTFSKLVSEYFEQEIIVRDANRFHHFGFGVCSCGDFW >KVI11614 pep supercontig:CcrdV1:scaffold_1124:12792:15881:1 gene:Ccrd_009968 transcript:KVI11614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, subfamily IIB MVSEIQSQFDIGAMLHNISTITLTKAQKRWRLAYFSIYFSNTMLSIAKISKKLSQYPISEIVAGPSYTALKIIPKATNHPVDHSDXFSSIDQSELSDLVKNKDLEIVGKFNGVVGLAEALQTNLENGINGQDTDRRKIVFGSNTYKKPPPKGFFYFVVEAFKDTTILILLACAALSLGFGIKEEGAKEGWYEGGSIFLAVFLVIAVSAVSNFRQERQFDRLSKISNNIKIDAIREGRRQKISIFDVVVGDVVVLNIGDQIPADGLFIDGHSLLVDESSMTGESDHIDIDAIRHPFLISGSKVADGNGQMLVISVGMNTAWGKMMSSITGDSNEQTPLQSRLNKLTSSIGKVGLAVAFLVLVVMLIRYFTGNTEDEDGNREYNGRRTNTNEILNSVTXIFAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTMNLMKVTKFWLGLDHIEDDSSIDAKVLQLYHQGVGLNTTGSVYKSASGNTSEYSGSPTEKAILSWAVTNLGMDMEKLKQDSTILHVETFNSEKKRSGVLIRKKEDNTIHVHWKGAAEMVLAMCSNYYQKTGLKKSLNHDEKTRIEKIIEGMAASSLRCIAFAHMQIPENELKHNEDGTNYKTLNEEGLTLLGIVGIKDPCRPGVKEAIDQCRSAGVDIKMITGDNVFTAKAIATECGILKGDQLVSKGEVVEGEEFRNYTDEERMEKVDIIRVMARSSPFDKLLMVQCLKKKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDDFASVAVVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKELMNKPPVGRVAPLITNVMWRNLLAQSLYQIAVLLTFQFKGRSIFNVNERVKNTIIFNTFVLCQVFNEFNARKLEKRNVFEGLHKNRLFMGIIGATIVLQVVMVEFLKNFADTEKLNWEQWGICIAIAALSWPIGWFVKLIPVPDKPFLSYMRGWI >KVI11616 pep supercontig:CcrdV1:scaffold_1124:107181:112949:-1 gene:Ccrd_009974 transcript:KVI11616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MEIRHLFIFVIVTYSCASITYACFLGHKWRVHVMSDISNDIVAHIKSGDDDLGNHTIRFDGKTLFYSYFWWGSRYQELAFFDTKIVDICAVPAFTNSDCYWSIRPGGFYKKIVDLEEFIMNPFYSVVKEEYPLSDGGSSSGTQVNKQSMMVQIPQPMEGLHDAGPPPFLTKIYDMVEDQTIDHIVSWSRGGQSFVVLDPYAFSTNLLPRHFKHNNFSSFVRQLNTYGFRKIDPDIWEFANEAFVRGQRHVLKNIKRRRAPNSQALFPQGVGSGIEVGTFGLDEVGRLKHEKQVLTMELMKLRQQQQNTRAQLQAMEVRLQGTEKKQQKMMSFLAKAMQNPDFIQKLVQNAKRKELEEAFMNHTRELRGVNNGEPSQTSGGSKLIKSEPEEFGDSSGFQVSELEALALEIQGFGRSKRNQEEERDEFEGGERELDDEFWEELFSERSDEQGGTITGDEDVDFLAEKLDFLGSNPK >KVI11619 pep supercontig:CcrdV1:scaffold_1124:51532:71831:-1 gene:Ccrd_009971 transcript:KVI11619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKWVSLLKDFKEKVGLSGPASAASSPSSSASPSPLYQDNNNATTSSNHHYFSSPSSRTNFDGKESNHLANLGDKYELELDFKRHWEEFRSSTSEKEKEKALNMTVDVFCRFVKQHSNVAQLITMLVETHIFSFVVGRAFVTDIEKLKLSSKARLLEIESLIGYFSEVTEDGIRPGSNLLQAVEFLVSAPIDKQSLLDSGILCCLIHILNALMGPDGRNTRQMVTSIEKEPEGVDNTEPNRRLEVEGSIVHIMKALASHPAASQSLIEDTSLELLFKMVANGSLILFSRYKEGLAPLHNIQLHRHAMQILGLLMANDNGSTAKYIRKHQLIKILLSAVRDFKPGSGDPAYTMGIVDMLLECIELSYRPEAGDTRLREDVRNAHGYQYLVQFALVLSKAQDFETTLPRSSSEHISSSEGLNRTNDPEMQGPTSPQCLSPILSRLLDVLVNLSQTGPTDAGSKGNGRRKTWSSSDRSSDDGWEKDNYKVKDLDAIQVLQDIFLKADSRELQAEVLNRMFKIFSSHLDNYMLCQQLRTVPLLILNMGGFPPSLQEIILKILEYAVTVVNCIPEQELLALCCLLQQHIVSELKRTILSFFIKLLSFDQQYKRVLREVGVLEVLLDDLKQHKFLLGPEQHNSDGDDLERKSNSSNFKKHLHSKDAIISSPKLRESGSGKFSLFEAEGTIAVAWDCLFYLLKKAEQNQVTFRSANGVTTALPFLVSDVHRPGVLRVLSCLIIEDSAQAHSEELSMLVEVSKSGMVTSALGSQYDLQDDAKCDVFGAIWRILGANSSAQRVFGEATGFSLLLTTLHSFQGDKGCIEPSFVTVCMRVFTYLLRVTTAGVYNNAVNREKLNSILSSHTFYDLLSESGLICVECERQVMQLLLELALEIILPPFSTPETTASSSSNAVGNSSTAFPIITQSGTFLATKERIYNAGAIRVLIRSLLLFTPKLQLELSPAELRMIIRCILQVRQKKPGHILVDMMERMVLMQDTALENVPLAPFLEMDMRKIGYASIHVSLGERSWPPAAGYSFVCWFQYQNLLKTNAKDTEPSKRHTGPQVLRMFSVGATDGGNTFYAELYLQEDGTLTLATSNSSSLSFSGLDISEEQWHHLAVVHSKPNALAGLFQASVAYVYLNGKLRHTGRLGYSPSPGGKSLQVTIGTPITCARVGDLAWKLRSCYLFEEVLTPGSIYFIGYRGLFQDTNLLQFVPNQACRGGSMAILDSLETELALSSNNQRTESGNKQGGSRTDRSGMVWDFERLGNLALQLSGRKLIFAFDGTSTEAFPASGTLSMLNLHSIIGETVRPIGGIAVVLALVEVAETSDMLQMALTLLACALNQNPQNIRDMQAFRGYHLLALFLHSRMSLFDMRSLEIFFQIAACEASFPGPKKLIDNLSPVTTVYENSFDELNLTKFQDEVSSAGSDEVMDDFSSMPKDSFSHISELDDHDIPAETPNCIVLSNADMVEHVLLDWTVWVAAAVPIQIALLGFLENLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFVISELEHVVRFVIMTFDPPELSSRTQIMREPMGKHIIVRNMLLEMLIDLLVTIASEELLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLTSSPTFALKFRTSGGYQGLTRVLPSFYDSPDIYYVLFCLIFGKAVYPRVPEVRLLDFHALLPSDASLVDIRFLELLDSVIAMAKSTFDRLSAQTMLAFESGNLNQANAGLAAELAEGNAEMTGELQGEALMHKTYAARLMGGDASAPAAAASVLRFLVDLAKMSANAVMMAKELSIKAEDKNINDGTGDDAYSSEKLFSSLPVEQEESAKTSISLRSFPPAQGSASSEDTPVAMEKSSEEDCEPVDQPAVAPSDKQLNFHDLKITPVAVHPTGSPSSPSLSIYDSPLLSERSISRFQATPSPSQGGFALPSWLGSANEFKTQSTASPSMESAVSLNDFDSTPNLKSPFQVPNPFSTVNPQLLLDVDDSGYGGGPCSVGATAVLDFMVEVLADFVTEQIKATPIIESILETVPLYVDAESVLVFQGLCLTRLMNFLERRLLRDDEEDEKKLDKTRWSLNLDALCWMIVDRAYMGAFPQPAAVLKTLEFLLSMLQLANKDGRIEQALPAGKGILSIGRGAKQLDAYVHAIFKNMNRITMYCFLPSFLISIGEDEFLSRLGLQIEPRKRMMGPSAVQDDGVIDICTVLQLLIAHSRIIFCPSNLDTDLMCCLCINLISLLHDQRPHAQHLAVDILRHLLVHRRPALEDMLVSKPNQGPVLDVLHGGFDLLLTGSLSTFFEWLNMSELVVNKTLEQCATIMWVQYVAGSVKFPGVRIKGMDGRRKKEIGRKLRDSMKLDQRHWEQVNERRIALDLVRDAMCTELRVVRQDKYGWVLHAESEWQTHLQQLVHEQGVFSLPKSFSSEEPEWQLCPIEGPYRMRKKLERCKLKVDTVQHILNGKLEFGEISKEKTDNDLNDSDADAESDSYSNIVLSDGKEETPDDELYGDSITKESDGVKDEALGRFGWNNDRDSSINDESLHSAAGFSMKSSSATAPLTESIQEKSILSSPKKSSSTRFEEVRAMEDKIDKDLSNNGEYLIRPYLEHTEKIKFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDESGCICEKECEGELSVIDQALGVTKDFSCSMDSGSKLTSSWGMTVKSHTGGRAWAYNSGSWGKEKVTNSGNVPHPWRMWKLNSVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEIMAKSFSKRWQYGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLSDYESENLDLTNEKSFRKLDKPMGCQTEEGEDEFKKRYESWDDPEIPKFHYGSHYSSAGIVLFYLLRLPPFSTENQKLQGGQFDHADRLFNSVRDTWSSAAGRGNTSDVKELIPEFFYMPEFLENRFDLDLGEKQSGEKAAEEAVNVFYHYTYEGSVDIDAVTDPAMKASILAQINHFGQTPKQLFHRAHAKRKKDRKPPINLLKYSTYLVPHEIRKSASSIAQIVTSNDKILMVGPNNLLKPRTYFNYVAWGFPDRSLRYLSYDQDKLFSTHENLHGGNQIQCASASHDGQVLVTGSDDGLVCVWRTSGYSGFRGPRSLQLEKALSAHTAKITCLHICQPYMIIISGSDDRSVILWDLSSLVFIRQLPEFSSPVSAIYMNELTGEIVTAAGIMLAVWSINGDCLSVVNTSQLPSDFIVSVTTCTFSDWLETNWYVSGHQSGAVKVWQMVHNSSENVQTTPHGKVSSSQSCGLGLGAKVPEYTLVLRKVLRGHKHSVTALHLTSDMKQLLSGDAGGHLISWTLPDESLRNSIRRG >KVI11618 pep supercontig:CcrdV1:scaffold_1124:85293:95429:1 gene:Ccrd_009972 transcript:KVI11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASVSLFDIVQAFKDVTDRRILGVKELSFLSGVQPPLAMVQHVNARRTLKTSTTAMMVVVILLISGQPKKIPGQTVRFLPRGDIPRSQTHVLVVFRRDHGGCRRHLNQLPHIQPTHCGPHVITHRTHRRSLLEECGGREVVGRRNMISAGADVEAMECGRRR >KVI11617 pep supercontig:CcrdV1:scaffold_1124:94971:98876:-1 gene:Ccrd_009973 transcript:KVI11617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MMFSASVYSTTSNKNSTSLSEEAATSTVSSVITSKIPHEFTPSMTLSSISNLAHQEHDLYPNHQKIKKKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKDEMKKRAYVCPEPSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEETARLSAAAAAAAAAVSITNNHHPSITNNVTQTPFNIQQNIQNPSSSLFPFTPTLNATWVPTQTLNPTRIKPETIHHQHLLPAITTPFYQEPNKEQLRMITSPPATTFHGLHVSTSRDHVPAAHHLSATALLQKAATVGAVGDHVGSTMSRLDMGELVQVTTTAAVISPEYHQHMGLTSGNIATWQKTDRLTRDFLGLTGDQEDDHHHHGGGADVNVSVNMRNMLTYTGGIEIPTHGFGFAEPTTASEAWGNC >KVE10604 pep supercontig:CcrdV1:scaffold_11243:789:1058:1 gene:Ccrd_024070 transcript:KVE10604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MARYHSSSNVDGIPKGCFSVYVGESTKKRFIVSLAYLKHPSFQNMLNLFQEEYGYTHPMGGLTFPCKEETFIELTRDIDLTSSPYLNRR >KVI00856 pep supercontig:CcrdV1:scaffold_1126:2805:5769:-1 gene:Ccrd_020888 transcript:KVI00856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGWFEHNKPLMAMLVLQFTYGAVSISTRASLLEGMNPRVFVVYRQAIATLAIAPISYFSRTKCCIGWKSFSLIFIAALIGITSSQMLLLEGLYLASASAGSAIFNLVPAITFFVASIVGYEPVNVRSLTTIAKILGTVLCVTIVEVMIFGFWVVCVSLVLVNKNHPDHLSLSAWMCFIATVQSATVTWFTDPNLEVWKINSYLQLGSCLFAVITFVLDDQIEMNVFVNGIVGSGISLFVQSWVIERRGPVFSAMFNPLNTVIVTIMACIFLQERIYHDRCNWHRDRIVCGAMGVKPKITKNNRRK >KVI00862 pep supercontig:CcrdV1:scaffold_1126:60915:61256:1 gene:Ccrd_020883 transcript:KVI00862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLNPLIDYTQAASWSLVRIKEFGYVKVQTTTTQLSFEFVNANTRMLEDRFIIIKKLNHVNDAKD >KVI00859 pep supercontig:CcrdV1:scaffold_1126:47986:60899:1 gene:Ccrd_020884 transcript:KVI00859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein METPNSFFLFVLLFTSASLFSRYVLSLHKALENSMTEFGNYTAISDFRLLNRRSLITCQNRGPYVRVNVSLMSDLSDEQYVTVTISGVLTPSKGEWVAMISPSYSSTSACAKNAILYLQTGDLSLLPLLCQYPVKAQYVSNDLDYLSCKKQECQEYEKGRCAVTTCGATLTFHVINIRTDVEFVLFGDGFLTPCIITRSSPINFKNPNQPLYGHLSSIDSTGTTMRLTWVSGDNNPQQVQYGDGKSQASQVATFTQNDMCSSIIPSPAKDFGWHDPGYIHSAVMTGLNPSTQFSYRYGSDSVGWSNRTSFRTPPAGGVKGLKFLAFGDMGKAPLDASSEHFIQVDALPDAIKIQLYNDSKGIPGSLSVIEAIASEVSTGSIDSIFHIGDISYATGFLVEWDYFLHLISPIASEVSYMTAIGNHERDYVDTRSVYPTPDSGGECGVPYETYFPIPTPAKDKPWYSIELGSVHFTVMSTEHDWSQDSEQYQWMSKDMASVDRSSTPWLIFTGHRPMYSSSGVLVVDNKFVEAVEPLLLAYKVDLALFGHVHNYERTCAVYQKQCKQVPIRDGNGVDTYNNTNYAAPVHVTIGMAGFTLKSFLPGVRIKLKFLRFDG >KVI00857 pep supercontig:CcrdV1:scaffold_1126:11635:14278:1 gene:Ccrd_020886 transcript:KVI00857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLVGKNEQERIAVGQSKILVQIADKRSRSHFILFLGSIYLVLEEMMI >KVI00860 pep supercontig:CcrdV1:scaffold_1126:31089:38040:1 gene:Ccrd_020885 transcript:KVI00860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKLHKLQHLLKMTCACSDSXGWSNRINFHTPPAGGLKELKFLAFGDMGKAPRDASSEHFIQPGSLSVMEAMTSEVSTGSVDLIFHIGDISYATGFLVEWDYFLHLISPIASKVSYMTAIGNHERDYVNTGSVYPTPDSGGECGVAYETYFPMPTLAKDKPWYSIELGSVHFTVISTEHDWSQDSEQYQWMSKDMASVDRSSTPWLIFAGHRPMYSSCGLLVDNKFVQTVEPLLLAYKVDLALFGHVHNYERTCAVYQKQCKKIPIRDGTGVDTYNNTNYAAPVHVTIGMAGFTLKSFLPGAASWSLVRIKEFGYVKVQTTTTEINFENDPTTPLRMPSPSSLDQTPSSTEDASSGSSTSSDGLDVYANAFNQRLMTNSKLEDAMVEILE >KVI00861 pep supercontig:CcrdV1:scaffold_1126:77041:80288:1 gene:Ccrd_020882 transcript:KVI00861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YPFRKDSGKALRDWDLWGPFFFIVFLGLVLSWSALVKKVCSLSIVSSSPEAKRIVSPKPLMNPDLAVVKLHKKYKSFRTRRQLADCAVLVEKRWWKLLDFVVLKCSLVSFFEVEKPETAVSHWSPFLTRYHSLKLKNQKPLFRVGQEQEPELRRLDIWEGKEVNLEQCPRLKLQQQCIKYLG >KVI00858 pep supercontig:CcrdV1:scaffold_1126:10021:11057:1 gene:Ccrd_020887 transcript:KVI00858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFGLLKLNPRFYFDKCSYCFVVEGLRKSSQIRNRVVGTGVTL >KVI00863 pep supercontig:CcrdV1:scaffold_1126:81190:82746:1 gene:Ccrd_020881 transcript:KVI00863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MEFTHLKNLKNDNNNIIIRIRISRMWESLNNKKGGELISLDMILIDEEASESDYENLIHANIWSGLVPKFRTLLHEGVLYEIKNFKVVPSVGNFRPLANDIKIIFQKFTSLKKLEEDTVSKPKNGFQFISAGLVHSRVNDDTILLDVIGCIQVVGHIETVGVGWKKRDLDYNQLLCGVKLPEDFDATTVKMKAATGPVILIVTCTRVKTFQGVVYFATTSASKIFINLRINYVSSLIERFTTVANGVHFIENADEKKRRDEDMHLERMMINDLLCATWDKDMKVPFIIVRGTITCIVSSLGWFYKGCKVCYKQLTTIDGGYFCGNCKAESEFPLVL >KVE10363 pep supercontig:CcrdV1:scaffold_11262:768:1367:1 gene:Ccrd_024071 transcript:KVE10363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand METSTTTAQTQSDKKSLLKSSSSITNSFRLRSPSLNSVRLRRIFDLFDTDHDSLISVDELSRALVLLGLETDIRELDSMIKSYIQPDNDGLTFDDFQALHKSLGELFFEDAVGDDEDTGSYDSRKQEEVDLTEAFKVFDEDGDGYISAKELQVVLEKLGFAEASEMGRVEMMISSVDRNADGVVDFSEFKEMMKNIALK >KVE09763 pep supercontig:CcrdV1:scaffold_11269:1273:2904:-1 gene:Ccrd_024072 transcript:KVE09763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLSVGYSLDQIPNDNSNTNLYGIDTQAVRSLECGFSGWGCAPIKELDWDWDKLKYRCNFLAVNVKYT >KVI06842 pep supercontig:CcrdV1:scaffold_1127:28811:29428:-1 gene:Ccrd_014802 transcript:KVI06842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETSSFMPSSQTMVSKRILRPRILKPLPAEEAVQKRKSTHTTKNEKNLKLARVANEVEDEVVQVLRTRSFPLQLCLAIQNFQKEQRKSVTAMGLKSILHLSIEYIPSRLGFFVVDNFDDETMVIKLPVGELEITKDSVQKMLGFPTGPIRITQKRTNSNDK >KVI06840 pep supercontig:CcrdV1:scaffold_1127:74402:75451:1 gene:Ccrd_014801 transcript:KVI06840 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSTTTLHDIHPHIIQTHILPRLDGPSLSTVAAASSFLQALCSDDHLWAHVSNATWPSITHPRVQRLISTFPAGYRSFFDDSFPVLVTHRNRRRTWSKSKPDCYLHLSSRHPCPSELISAVDIRYKDNVIFSRVEFTNTTNDFLSPAFRIELNNKQENFQSINLKVDELAGADQETLSHLKESVTLNWILIDPTLKRAGNLSSIKAVSARQDWMTNETLLRYVTVLPGREPNEVVQCRIQVVLGAGEGGVGLHVKEVTLKLQDLDCSCLNGRDFLVITQGVLLGEDEVKRKVVGDGEVRKMYMEFKQMKRQRSEWMKKEEEKMEFAIKLNYVLMLVSFLFSVYFLSLLVT >KVI06843 pep supercontig:CcrdV1:scaffold_1127:21286:25699:1 gene:Ccrd_014804 transcript:KVI06843 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MSNEDDQQLISNNVFNNAEDLNDALTEESDNNDVSSPYQSRTWTPNVGDMFKPIVGTKFGNLKDAFEGYKKYSKAAGFDVRKSTQRTDRKGIVLRKFFVCSKEDKQRYTQRLLDHETRTTTPMMETPLLIERHAAKVYTREIFYVVQQEIIASLWTCSALSKDIIQDRDKERKGEFKVLFDEKDHIITCTCLHFELYGILCRHAFYVLRHHEIKKIPKRYILQRWRKDAVKLPSTKAMLNGTSDTNNTLSDIYSSVGRVTSCLGNDIEKLGQFLECIKLFENELESNSISESSRSKKDTIDSFIGITQPAEITIKVPKNARNKGCGTSKRYVGAREESINKSSRGKRICRNCQQWANHDSRNCPKKKE >KVI06841 pep supercontig:CcrdV1:scaffold_1127:25765:26737:-1 gene:Ccrd_014803 transcript:KVI06841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MEDTKVSIRERQEEFHANILAACRRNETIASLKVDLVFFIMLRGRHFYLVVFNLKKPSFLIIDNINHTQSIEEVYGIVPETLHSLFCNYLREVHHPKAYEMLQLQPEIVDMDWRTKKNFVDCGVFAMRHMETFFGSKSKDWKCGLVKEGTKNKAQFNFI >KVE09740 pep supercontig:CcrdV1:scaffold_11270:496:2497:-1 gene:Ccrd_024073 transcript:KVE09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase, THEP1 type KLYPDDESFPETGNTDSPDELEDDDSESESITMGPVAVAGNVGRLSGKRYDKFDSLAMAAPAKCFLVTGSPGVGKTTLIARVLETLRISNPNLKIQGEIRQGGERVGFEVVTLDGRTAPLASIHSS >KVH99274 pep supercontig:CcrdV1:scaffold_1128:44281:58015:-1 gene:Ccrd_022495 transcript:KVH99274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leo1-like protein MVGEEKRHQMMQNLFGDQSEEEEEEVESEHESNRQPDYVSHLQPPHPLKSQAQMYQMGDGLGDILVAEALTMGKDEGDVGLKPEGEDVVEGQGEAEVSSDTDGELHEMDQDHIESEGERDQSSQEVDLGDQREESEGKDSGSDQLGQRVVTSRRREVVESESERSEENHYLDNADEEIDQARSPRSPDEEKDEAHAQTAPELRDVFGESDDEEPAEYDAVQNHLEDDANRSPMEDEGYEKNLRPEDVLADEEGRYDSEEENVIKAKEKPVGPPLELGIPLRPPPSHPEKMNVIKVSNIMGIDPKPFDPKTFVEEDAFVADESGHSKRIRLENNIVRYRAVRNADGTTSYESNARFVRWSDGSLQLLIGNEVLDISVQDAQHDQAHLFLRHEKVSGVASMGILQSQGRVLKKMRFMPSSLTSNSHRLLTALVDSRHKKVFRVKKTVTDIDPEREKEQKEKAESQTIRANELLSRKKEKVNRKYTTTVRRERQLSPGFLEDALDEDDEQDYYDNRRSRRRFDEDLEMEARAEKRIINAKKSQGHKDTPRKSSLNAIKSSRRPVDDYESEREESEYETEGEEDERSPPRRRAEEPERYDDEDEEEQYEEALADEASEEETEFKHKSSGGSLKRQEIESDEESPPRKAATSHRRKALVYDSDEE >KVH99275 pep supercontig:CcrdV1:scaffold_1128:4380:9834:-1 gene:Ccrd_022494 transcript:KVH99275 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTILPLLLYASITGLLLYVLLNLRTPRSNRLPPGPTPWPIIGNLPHLGRIPHHALAAMATKYGPLMHLRLGVVDVVVAASASVAAQFLKVHDANFASRPPNSGAKHIAYDYQDLVFAPYGPKWRMLRKICSVHLFSNKALDDFRHVREEEVAILARALAGAGRSTVALGQLLNVCTTNALARVMLGRRVFGDGSGGVDPKADEFKDMVVELMVLAGEFNIGDFIPALDWLDLQSVTKKMKKLHLRFDSFLNTVLEDHKNGGDVVSGNVDLLSTLISLKDDADGEGGKLSDIEIKALLLQAQEEMDTVVGRDRLVSELDLSRLTFLEAIVKETFRLHPSTPLSLPRIASESCEVNGYYIPKGSTLLVNVWAIARDPKMWTDPLEFRPSRFLPGGEKPNANVKGNDFEIIPFGAGRRICAGMSLGLRMVQLLIASLVHAFDWELANGLDPEKLNMEEAYGLTLQRAAPLMP >KVH99273 pep supercontig:CcrdV1:scaffold_1128:63103:68708:-1 gene:Ccrd_022496 transcript:KVH99273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MANSPDTVHPIKAYGYGATDTSGTFSPLTFSRRVTGDKDVRFKVLYCGICHSDLHFAKNEWGVTTYPVVPGHEIVGVVTEVGSKVEKFKVGDTVGVGCLVGSCRSCQSCSNDLEQYCPKMILTYSVPYFDGTITYGGYSDHMVSDEHFVLRWPQNLPLDSGAPLLCAGITTYSPLRHYGLDKPGTKLGVVGLGGLGHVAVKMAKAFGAEVTAATGTLDGIIDTVSASHAIAAFLNVLKTDGKLVLVGRKIVGGSNIGGLKETQEMLDFSAKHGITADVEVVPIDYVNTAMDRLLKSDVRYRFVIDVANSLKAPLNEH >KVI08000 pep supercontig:CcrdV1:scaffold_1129:74871:80290:-1 gene:Ccrd_013634 transcript:KVI08000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dna2 MYYRGRICMPNTKQPSRIPPLRRKLRFHPKKALRFSLRRSQREVLQEMAPIKKAPAGGSKKSNQIKQQPSQKPSKFGIQHFFERHTQNCVPHHPQKQPAIAKEFSVDVGSVPERPLDPVASTRTGVVVRQQSDRSFSTGINPRKDLGLGNANNNGDKNPYQRLTPVARDDATGNQLEVSPEFCKSVSRKRIKFSPGMVSLGPLMFALMFVLVGDHYQLPPLVQSTEARENGMGVSLFCRLSEAHPQSISALQSQYCMCAPIMELFNALIYANAKLEYISSTSLPSWLKKGEDIGVITSYNSQANLIKQHVTQSLEIHTIEKYQILDFKVLIFAGGGGSQRR >KVI08001 pep supercontig:CcrdV1:scaffold_1129:55166:72932:-1 gene:Ccrd_013633 transcript:KVI08001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dna2 MAPIKKAPHRGSKKSNQIKQQPSQKPSKFGIQHFFEHHTQNCVPQHPQKQPAIAKEFSVDVSSVPERRLDPVASTSTGVVVRQQSDRSFSTGINPRKDLGLGNANNNGDKNPYQGTPIEDLTPVARDDAAGNQLEVSPEFCKSFSQTNQLIHQSQDDGGDEVTWRISPVNERLHALTKNLVEVSMTPSVDFGSVDGHKKVNISEFSNHTNMLAIWVLTKNLNTAVVLRHFCLIIDIEVAWAPKYGLKGVIDASVHVKVTSKANGTNDFIMPLEFKTGKATDGKAAMEHSAQVMLYTLLMSERSDTVERHTTTQLVSYGPSFDHGVRCSDLVGLILRRNELASDILKASTTQQFPPMLQVPNMCKSCRHLNACTFYHKAHNGSIEGSGLDDVYSSLVNHLTTAHCIFLKEWERLIDLEANELEVVRKETWSSHMKNAQASTCLSSIVLDTSDQVPKKNFAQANRFLYRFMRQDLPQLHRRDRTWNARLKLETLVSFSKGLRLPGSSPPKIIHDLCQEVWRIDKDEFTASFVTMRFNLIQMFLQDERSSHLRCMIVDLHVSVQLACIILHMILYYHKGLYTYPRNDRYRQDFYHGPCRESIVDERALILYVLVERKPCMRIFAGIALMLSHTYTKDIKLRLDEAKVVAVTSLGITSPLLSDKKFRCLIGTFDVCVNVCTCGRSLSIAPTCAEAHPQAISALQSQYRMCAPIMELFNALIYANAKLEYISSTSLPSWLKKVIIGSGSTQTNLLHGLEVKDCKKIIDKLSCQGIQGEDIGVITPYKSQANLIKQHVTQSLEIHTIEKYQDKDCILVSFVTSSNNPKNYKSSLLGDCWCF >KVE08302 pep supercontig:CcrdV1:scaffold_11290:419:1469:1 gene:Ccrd_024075 transcript:KVE08302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEFLAVLFSSLPNLKSCITTLKSSASNTNLQQGKKLHSYMLINGFLTSPFSITSLINMYSKCNVISDAFLVFSFSSSNCELNIFVYNAIIVGFIFNDMPNLALRVCEKMRMSGVMMDKFTFPCVVKAFPGCGDAEGFKIVHGLVFNMV >KVE08301 pep supercontig:CcrdV1:scaffold_11290:15:1270:-1 gene:Ccrd_024074 transcript:KVE08301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSQFEEEKLKTKKASEMTLHLEYMLMRLVMENGEMMQSDKPNPITFAVSSHPNLKSCITTLQSSASNTNLQHGKKLHFYMLINGFFTAPISITSLINMYSNSSSKCELNIFVYNAIIVGFIFNDMPNLTLRVYEKMRMSGVMIDKFTFPCVVKAFSGCRDVEGFKIVHGLVFNLVENSSLALKSPFFQANCSIIQKPPA >KVE08300 pep supercontig:CcrdV1:scaffold_11290:1556:2814:1 gene:Ccrd_024076 transcript:KVE08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPDRDVFLWNAVINCYAQIGEFHNALECLQRLRVEGNVPSRFTMTGILSVLTLKGNLHNEKAVHGLVIGYFSGVAVCNALIDMYEKCKSFLDALDIFELMPIKDIYSWNSITGVHQQYVFRPNIVTVTTVLLACSHLAALRHGKEIHGYMITKGLGKDGDDTYINNVVMDMCDKCGSMRKAQLVFDHMTIKDSASWNIMIMGYAMHRFGHEALNKAEFKNVQEWKGLVEKKQVLAERLLAQYVHDNELSRGQSGDVKM >KVI04135 pep supercontig:CcrdV1:scaffold_113:352322:355824:1 gene:Ccrd_017556 transcript:KVI04135 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit E, mitochondrial MAPPPGPYSGTSTLALVARVSAFSFGLVYGSMKLKYLQAKAKSQRKAAAKEHH >KVI04147 pep supercontig:CcrdV1:scaffold_113:84043:91653:1 gene:Ccrd_017537 transcript:KVI04147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene/phytoene synthase MGSLQGLQAMLKHPDDIYPLLKLKMAAKQAEKQIPTSPHWGFCYTMLHKVSRSFALVIQQLGSELRDAVCIFYLVLRALDTVGGTKEYKILMDQFHHVSTAFLELNGSYQEAIEDITMKMGAGMAKFICKEVETVDDYEEYCHYVAGHVGLGLSKLFHASGKETLLPDSISNSMGIFLQKTNIIRDYLEDINEIPKARMYWPQEIWGKYVNKLEDLKYEKNSEKAVQCLNDMVTNALAHIEDSLKYLSELHDPAIFKFCAIPQVDLKDPNAHVTITSIESAQKICRESGTLDKRKSYIADDLPNYGPAMGYRHYW >KVI04136 pep supercontig:CcrdV1:scaffold_113:377202:377648:1 gene:Ccrd_017557 transcript:KVI04136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MSPAAIEKSSRIHHIVRVRQMLRRWRRRSSSSSSSSRRFIASDVPAGHVAICVGANCRRFIVRASYLNHPVFQKLLMDAEEEYGFTNTGPLMIPCEESEFEEILWFVSRPELDNNKKARCLVDLEDFQRCCHVDYVGESKPLLHGRVC >KVI04128 pep supercontig:CcrdV1:scaffold_113:332586:334349:-1 gene:Ccrd_017554 transcript:KVI04128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyl transferase MAAAATSAATATATATSVTHLSPLSKSVDKPSFASFKTLHKTQSFTLTHKIHSKTAAKNPISDVIASPESYPDEENSIFYDDGDDKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVVATPDGVLKSVTGVGLVSEVFNQSKLDQLPGDNAIGHVRYSTAGQSMLKNVQPFVAGYRFGRVGVAHNGNLVNYQSLRAQLEENGSIFGTSSDTEVVLHLIAISKQRPFFLRIVEACEKLKGAYSMVFLTEDKLVAVRDPYGFRPLVMGRRSNGAIVFASETCALDLIEATYEREVNPGEVLIIDSDGVQSLCLMQHPEPKSCIFEHIYFSLPNSVVFGKSVYESRRIFGEILATESPVDCDVVIAVPDSGVVAAIGYANKAGVPFQQGLIRSHYVGRTFIEPSQRIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMSVEEIREYIGSDSLAFLDFNSLKKMLAADSPNFCYACFSGKYPVLPSGIVKRVGDFVDDGLNGDIDLIDGGWLQGSKDQNGKDLDINFEQQDGKVPV >KVI04148 pep supercontig:CcrdV1:scaffold_113:13359:13859:1 gene:Ccrd_017533 transcript:KVI04148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A MVLRLVTGSTAEHAIDRIRELLRQGKLANITETPTLTQTHEQALSLRIPLSDLDTHPVLDLAIDGADEVDPDMNLVKASGLRRRKWVGHASGKCVVLLEIYAHKLQSCFEEAGCVPKLHSSLENGKAYATDNGNYIVDL >KVI04156 pep supercontig:CcrdV1:scaffold_113:179205:187538:1 gene:Ccrd_017542 transcript:KVI04156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MGAIGGEELMKWEKMDGVGNGREEKILVLVRLRPLNEKEISRNDVSDWECINDTTVLFRNSLQERSMFPTAYSFASIFAYGQTSSGKTYTMTGITEYTVADIYDYMQKHEERAFVLKFSAIEIYNEAIRDLLSTENIPLRVLDDPEKGTIIERLTEETLRDWSHLKQLLSVCEAQRKVGETSLNETSSRSHQILRLTIESSAREFIGKDKSTKLAASVNFVDLAGSERAAQALSVGQRLKEGCHINRSLLTLSTVIRKLSKGKHGHVNYRDSKLTRILQPCLGGNARTAIICTLSPAREHVEQSKNTLLFASCAREVTTNAQVNVVMSDKALVKHLQKELARLENELRTPAPPDYTALLRKKDQQIEKLEKEVRDLIKQRDLAQSRIEELLLAVRNDQASTQWVGQEGSTCEDGYLASETSSVKLSGKTPQQENTNSPSSSSRTLYATRTEEDSSDICKEVRCVETDGSTNDHMASDSAGISNGEVESALRPTERNHFRNRVNIGALEQNFQDLQNTINSLVTPPYAEEEQEVQSQISNSTSFKLTRSRSCRANLMTSSSPPEFENTPPNDFDKGFPGRPEGGGGGGMQRKLWDLPPPEYGGAAGGKLLRSDSQSSLGSVMLDEAQKNKNSGEEDIIPSVHGSGAEGDGLGKDVKSIGLNSISDSPPADWPQEFARLQKSILELWEACNVSLIHRTYFFLLFRGDPMDSIYMEVEVRRLSFLKETFSKGNPAVQDGHTLTSASSIKALRRERGMLSRLMNKRFSEEEKKKLYQKWGVNPNSKRRRLQLIHRLWSNTEDPNQVHDSASMVAKLIRFSEQGQALKEMFGLSFSPPKMVRRSLGWKHSMASIL >KVI04151 pep supercontig:CcrdV1:scaffold_113:243795:247147:-1 gene:Ccrd_017547 transcript:KVI04151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSLSLMASQTLIPQNPTFLHPHRPSIVSLSKPKFPFSPKSLRFSLANPKKSLIIASSSSTVSPNPPAESLLIGSTRTITTLVAIALAASKVFAQKISTIAVNSNLHKNVLSITGPLFFATMSGRSGRLHTPLTVVAAGMAKWLDIYSGVLLVRVLLSWFPNIPWDRQPLSAIRLQVYGYGFYGLFISVVKVGLSLSSNFARFSYIHKQVVV >KVI04139 pep supercontig:CcrdV1:scaffold_113:475628:477881:-1 gene:Ccrd_017560 transcript:KVI04139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAILMPRIIQAKQILRRSLSNRSNNTYLAIPKGYFAVYVGEQEKKRFVVPISLLSQPAFQELLCQAEEEFGKMAIRIPRIIQAKQVLRRSLSNGTHTPTTMDVPKGYFTVYVGEEQDKKRFVIPVSLLRQPSIQYLLRQAEEEFGYDHPTGGLTIPCSEAIFADLVYRLGVFXSRLSPRLTIPCSEDAFL >KVI04133 pep supercontig:CcrdV1:scaffold_113:268220:272755:1 gene:Ccrd_017550 transcript:KVI04133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase ITESEKKWRSHSTVDSKCPLSDWVYGVWRVKISKILSSMPSKSDIAISIVLVYSLFQSFCQLADYQNEVEVGEALAEAFKTGLVKREDLFVTTKLWNSDHGHVVEACKASLKKLQLDYLDLFLVHFPIATKHTGIGTTASALDEDGVLDIDTTISLETTWHAMEETDSLNISRFHINLVDDCNYDIYLTRDCLAYSKIKPAVNQIETHPYFQRESLVKFCQKHGIAITAHTPLGGSVANTEWFGSVSCLDDPDLKVSFPLFRNTIIIPKSSKSERLEENFKVFDFELSKEDMELIKNKDRKYRTNNPSKFWGIDLYA >KVI04149 pep supercontig:CcrdV1:scaffold_113:220003:230312:1 gene:Ccrd_017545 transcript:KVI04149 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1731, C-terminal MEVYGASALASISSISPSLHSSHQPTSVCGFRRVRVWCVKTDVNGSSQSLKGNQMTVSITGATGFIGQRLVQRLHEDNHSICVLTRSRSKAQSIFPVKDFPGIVIAEEPQWKDCIQGSTGVVNLAGLPINQERDQAKQDQSHLKGTSETQVFDERSPSGNDYLAEVCREWEGTALGVDKDVRLSLIRIGVVLGKDGVINGTAPNPVRLAEMCEHLGSALGRPSWLPVPDLALKAVLGEGAIVVLEGQRVVPVRAKELGFSYKYPFIKEALKAILAQKVVV >KVI04130 pep supercontig:CcrdV1:scaffold_113:452208:457811:-1 gene:Ccrd_017559 transcript:KVI04130 gene_biotype:protein_coding transcript_biotype:protein_coding description:YEATS-like protein MGEKRLPSLLRKEAVARKKEVAISRGGGKAADAAKNIEMGRQDFVASKSNSGHFIRGFYLKDMPQNSSKKHASNQQLDVSGPTPKSQRTKMVRSSDDNEKKNLVKKLKDVEISVPIVYGNVAFWLGKKASEYQSHRWTVYVRGATNEDLSVVVKRAVFQLHSSFNNPMRVVESPPFELSESGWGEFEIAITLHFHSDVCDKPLHLYHHLKLYPEDESGSMSVKKPVVVESYDEIVLAEPSEGLFARVQNHPAVVVPRLPAGFSLPPADDADKRKRIDPKDNPLTQWFTNFSEADELLKLAAARQQVTANF >KVI04152 pep supercontig:CcrdV1:scaffold_113:235426:239883:-1 gene:Ccrd_017546 transcript:KVI04152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYFNYGYHGISFEQTYRCYPASFIDKPHIENGDKIIMPPSALDRLASLHIDYPMLFELRNASTDRLSHCGVLEFIAEEGLIYMPYWMMENLLLQEGDVVRVKNVTLPKGTYVKLQPHTTDFLNISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIESKPSSAISIIETDCEVDFAPPLDYKEPERPVSSIPSSKAATEGINLFLAYKAAPVEEPKFNPFTGSGRRLDGKPLKYQPPPVSSPSGSKGKQPAVSGSSGQQPSTGSSSQSKSRQSQGKLVFGSNANNQRAAPQKQKEVGKETKPEAPSKEEPKFQPFTGKKYSLRG >KVI04132 pep supercontig:CcrdV1:scaffold_113:320957:327933:1 gene:Ccrd_017553 transcript:KVI04132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDRFSFRFLWYRHHLLDHHLAAKPYRIFRLFNVRIAKPLRQAMEATATEVTVGEPPKIPMELPFPARKPVNELSVEEMVAKSIVPVKKEFMCPAPNRLTSDNNGPSGDAVPVPTTASAPVIKEKKSKRQLKRERIQEKQSTRNLCPMVAKTGKADSCPYNDKCRFSHDIEAFKDQKPADLEGICPFEGDEGPCPYGLACRYLGTHKTDVALGTPNARKNAEVNGLKKDVQRLLWKNKMKFPKADATLKVLGLMGAAKSKKTPEADNGEENQTVSNGSNVTNGNGCGETGTQPIVENECCPEVPEKVEDSNGADDPRPLKKGKSLTDETLTEKDLDASGAQLESLSTVGHIETDKSLNLRPREKKLIDFRDKLYLAPLTTVGNLPFRRVCKVLGADITCGEMAMCTNLLQARTSYFEGRSRIDSVIADIGKWGANAATIHGRSRQQRYIKQADWEYIYQCTRKAPDTFQVLGNGDIFTFSDWKKHKSDCPELSSCMIARGALVKPWLFTEIKEQRDWDISSGERLNILKDYVRFGLEHWGSDTKGVETVRHFLLEWLSYTYRYVPLGLLEIRISEMLLGKVPDGFTFSPKHKSNAFDKAENG >KVI04155 pep supercontig:CcrdV1:scaffold_113:192287:196826:1 gene:Ccrd_017543 transcript:KVI04155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MSGVASYMAKRAVQKERVRTLYRRALKDTLNWAVHRHLFYPDADALRERFDANKHVDDIDTIDRMIADVPWAPGGSKFTRNPTPPTGYLIMVERIKTERNLDLMAINNKKISRVFI >KVI04144 pep supercontig:CcrdV1:scaffold_113:32334:33608:1 gene:Ccrd_017534 transcript:KVI04144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKWLPFFLILLYYLSLLYNQPSFIFFSLSLSLSFLMDSDHSSYSSMNKSMAESETATAEESGWTSYFEDFMAAQQKDHHQNHSFTDHYHHHQNHSFTDHYHHHHHHHHHHQQQHLDDQPVVSDAVSHVEWNYIDQSMSGAAPKFPKKLNLFKKTSRRTREILYDDSLEDTASSPVNSPKVGSQQMGFNQIKVDDIIQNSLVTFYQLLGLLFSAKNNRF >KVI04146 pep supercontig:CcrdV1:scaffold_113:72237:74501:-1 gene:Ccrd_017536 transcript:KVI04146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 6/97 MGAIMKLIDAILFIVFLVIAIVAPLFDAQTCLSSNIFPDVLVHLNTWYTHEYGDYLVSEKPHFFVGLVWLELLFAWPLSIASLYGIVAGKSWLRTTCLMYGVSTLTAMVAILSELVGSGKASEKLLKLYFPFMGFSVLAILRGLLPHSQKSKAIGTRPALIRKKRA >KVI04141 pep supercontig:CcrdV1:scaffold_113:494280:506372:-1 gene:Ccrd_017564 transcript:KVI04141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAILMPRIIQAKQILRRSLSNGSNNTYMAIPKGYFAVYVGEQDKKRFVNATNSAHFGKMAIFMPRIIQAKQILRRSLSNGSSNIYMAIPKGYFVVYVGEQEKKRFVVPIALLGQPAFQELLCQAEEEFGYNHLRGGLTIPCSEDMFIDLASPDPALLGKMAILMPRIIQAKQILRRSLSNGSSNTYMAIPKGYFAVYVGEQEKKRFVVPISLLSQPAFQELLCQAEEEFGYNHPMGGLTIPCKEDIFTDLASRLGVL >KVI04153 pep supercontig:CcrdV1:scaffold_113:137264:143186:-1 gene:Ccrd_017541 transcript:KVI04153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MRTLCDVCERAAAILFCAADEAALCRACDEKVHMCNKLASRHVRVGLADPSDVPRCDICENAPAFFYCGIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDRSGRDDELGSNPVDPGEARREPNYQPKHTTRDNQHHRLSSIGMLENNTDGVGRMENKLIDLNSKPQRMHGQTSNNQEQGMDISGSNNDCASVVPVGSFKREPGNKKMVLEIITAGAALLALNRGEINRFEGILFTI >KVI04157 pep supercontig:CcrdV1:scaffold_113:255005:255882:1 gene:Ccrd_017549 transcript:KVI04157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAIKQIIRFRLRGFDDVHEKTRAAAAPRKPKKGAVSSPLNPESAIVNRFWIEIDRRSGTRKF >KVI04129 pep supercontig:CcrdV1:scaffold_113:417943:418257:-1 gene:Ccrd_017558 transcript:KVI04129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGIKKSNKLSQAALLKQILKRCSSLGKKHGYDVDGLPLDVPKGHFPVYVGVNRTRYIVPISFLTHPEFQLLLRRAEEEFGFHHEMGLTIPCEEVVFRSLTSMLR >KVI04140 pep supercontig:CcrdV1:scaffold_113:503858:504154:1 gene:Ccrd_017565 transcript:KVI04140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAVLMPRIIQAKQILRRSLSNGSSNTYMAVPKGYFAVYVGEQEKKRFVVPVSLLSQPAFQELLYQREEEFGYNHPMGGLTIPCSEDIFTDLAYRLGAL >KVI04154 pep supercontig:CcrdV1:scaffold_113:117547:129474:-1 gene:Ccrd_017540 transcript:KVI04154 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF-like protein MAAETTIVINESLLENTTSTDNDSAKVLSKLISKSNALEEEDSSVSVPKSEDSEAFVVVAVEEKEEGENGEEVFVHCRNLESDAALSDGIVNSVVVESTNVVEYSKNGDEDAVKEIDVPRHDVAAMECGGSELMCSVNVDDGEGEVAVVERNDELEVVEEKVETKGEDTDVGDFPVTEKDEMVGKEAMKEEDIAEVLPVIHNGEGDEAMNQIKEEDGVNVQLEEVENAQGMAGSDVRNDGVADFQLENIDDVEGEMTEERVFAEVVKEEELIADEEEVVGVREDFKEETLMANDEKADAENDEEETMVEDEFKEETLMAIDEKTDAENDEEETMVEDEFKEETLMANDEKVDVVAESDEEETMVEDEFNQETVMANDEKVDVIAENDEEETVVEDEDKSLDTAMETEKSLDSDMETEKSLDTELETEKSLDTEMETEEEANLADEDKTQEQEAEIETEPELPESSKKGSGGKRKRGGKISKTTPKSSAAPRKTIEEDVCFICFDGGDLVLCDRRNCPKAYHPSCVNRDEAFFQSKGRWNCGWHLCSICEKKAEYMCYTCTYSLCKACIKTSVILCVREKEKKGLCEACMKTVMLIEKQGTQGNVNFDDKNSWEHLFKDYWTEMKAKHNLSLAELAQAKNPWKGSGKKESPIAQYDVKDDEGLGSDNPSENLKARKTRRKAKKQKADAKEEDSSTGAAAVGSKGSVPDKTEWVSKELLEFVMHMRNGDSSVISQFEVQDLLLEYIKRNKLRDPHRKSQIICDARLENLFGKPRVAHFEMLKLLESHFLMREDSQIDDIQGTVVDTEVSQVDDDETNETLAKDNKDRKRKGRKKGDRRGPQSNREDYAAIDIHNISLIYLRRKLVEDLLDDMENFHEKIVGTFVRIRISGANQKQDIYRLVQVTGTSEAVQYTIGKRKTCTMLEILNLDKTETIPIDTISNQEFMEDECKRLRQSIKCGFISRLTVGDILDKAMELQVARVNDWLETEVLRLSHLRDRASDLGQKLHVLKTPEERARRLEEFPLIHDDPTMDPDYGSEDDTDSDDKKQDSFRFNQRGRDQFSPRGDYTSKESWSGTPQSSSAKNYEFSRSLSNKNFSTGIEDAPSSLENHTENSWRQERDTSVQQPTLLEKPMDDAAASPMETPIAAESAPKVNETEKMWHYKDPSGKIQGPFSMVQLRKWSKNGYFPVGLRIWRKSDKEDDGIILTDALEGKFTQVSQDRQHLTRERNSAPGQPQSGNWATVQSPKTDSKHDFANLPSPTPNKSTTGWIGGQSGPHSSYPSGNEGLQSPTPNSVRLASSNISSLVACVNDTNAVGSVGSSGTVSFPAVPQNMEQGTVLGSQNSIQSSQLGQPVAPPPDNNMVPQMVQSVGGQNSQGWNLQPNPSMNMAGLQQLAYNQWTGVPNMVQNPVGNFLPQSVAVPQESWGQLQFPVNQPNMQPPPQPNVNWATMAANPNMGWVGPNPNWGPMVQGPQGTGNVNPTWVMPASGNMQAGWVPPSPVQGVQGVMPNQNWVAAPIQAPVVGGNGNPSWVGPPGNQGAPNAGWSAPIANQGPINTNTGWNGPPGNQGAPVANQGPTNTNTGWVGPPGNQGAPTANQGPGWVPPTGNNNQNWSQNRGNWGANEQQQNRGSFSGQRRNRGSGGFRGNRRHFNKQESFHKDGGSFHRGSGDSAKSSDQ >KVI04138 pep supercontig:CcrdV1:scaffold_113:481509:481805:1 gene:Ccrd_017561 transcript:KVI04138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAILMPRIIQAKQILRQSLSNGSSNTYMAIPKGYFAVYVGXQEKKRFVVPVSLLSQPAFQELLYLAEEEFGYNHPMGGLTIPCSEDIFTDLASRLGAL >KVI04137 pep supercontig:CcrdV1:scaffold_113:492130:492426:1 gene:Ccrd_017563 transcript:KVI04137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLMPRIIQAKQILRRSLSNGSSNTYMAVPKGYFAVYVGEQEKKRFVVPVSLLSQPAFQELLYQREEEFGYNHPMGGLTIPCSEDIFTDLAYRLGAL >KVI04150 pep supercontig:CcrdV1:scaffold_113:206154:217839:1 gene:Ccrd_017544 transcript:KVI04150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MFSIFKEDMNAALDCKSGIPIEQAEKNDVEMVPKETRVTEEDEAMIQSVVSGKTPSYSLESKLGECKRAAFIRRVALERVTGKRLDYESIFGQCCEMPVGYVQIPVGIAGPMLLDGREFWVPMATMEGCLVASTNRGCKAIYASGGATSILLKDGMTRAPVVRFGTARRAADMKFFLEEPRNFETLASVFNKSSRFGRLQKIRSSIAGKNLYIRFTCSTVDAMGMNMVSKGVQNVLEHLESDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCKAIIKEEIVKNVLKTDIASLVELNMLKNLAGSAMAGALGGFNAHASNIXSAVYLATGQDPAQNIESSHCITMMEAVNNGKDLHASVTMPSIEVGTVGGGTRLASQAACLNLLGVKGANKESAGSNARQLAKVVAAAVLAGELSLMAALAAGQLVDSHMKYNRYGKDENINATLDRKSGVPIKQVEKKDEEIAPMEEDEAVIQSVISGKTPSYSLESKLGDCKRAAFIRRVSLERVTGKSLDGLPLDGLDYESILGQCCEMPVGYIQIPVGIVGPMLLDGEEFWVPMATTEGCLVASTNRGCKATYTSGGATSILLKDGMTRAPVVRFGTARRAADLKFFLEEPRNFETLASNIRSSIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDHLKADFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIINEEIVKNVLKTDIASLVELNMLKNLAGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNIESSHCITMMEAVNDGKDLHASVTMPSIEVGTVGGGTQLASQAACLNLLGVKGANKESAGSNARRLAKVVAAAVLAGELSLMAALTAGQLVKSHMKYNRYSKDVTAAKS >KVI04134 pep supercontig:CcrdV1:scaffold_113:278553:282951:1 gene:Ccrd_017551 transcript:KVI04134 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA binding-like protein MDDGVHRENGRHRIDYYKGVHPQWNMMPQYQMKDQAAMMMNRKIAHILTERDTAIEERDRALSEKKTALEERDIAIQQRDTAIADRNDAIRERDNAIAALRFQETTMNTQFQRGGSKRAHHSNNHQHHPAQPSYGRDPHVTEAFPITAVPGEPVNKSKMIKENKPRGGGGSSRSAKKQKKVGEDLNRNVTTDGSKAEWDAQELGLMDQINFDESTMPIPICSCTGVARQCYKWGSGGWQSSCCTTTLSVYPLPQMPNKRHSRMGGRKMSGTVFTRLLSRLAAQGHDLSDPVDLKNYWAKHGTNRYITIK >KVI04142 pep supercontig:CcrdV1:scaffold_113:99855:108884:-1 gene:Ccrd_017538 transcript:KVI04142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKQATKLREQVAKQQQAVFKQFGGGGYGGSDNVVTDEAELRLHQKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGVENTCTSGSTLSRAAKNFSRARAQMEKERGNLLKSFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSKRQARVREGTGNPDILTKLEAANSKLQDLKSNMGILGREAASAMAAVEAQQQKMTLQRLISMIESERAYHQKVLQILDQLEGEMVSERQRIEAAPTPPVEMPPPPSYEEVNNTFTSPMQNGLNDEVDYFLGEVIYSYQAESDVELSLSLGDYVVIRKVSNNGWGEGECKGKAGWFPLGYIERRERVLASKVTDIF >KVI04145 pep supercontig:CcrdV1:scaffold_113:56784:59405:-1 gene:Ccrd_017535 transcript:KVI04145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSNQKLVQRETEIEDQNNRLHHPYAFHVSGPRKVSVPNWRDLINSNGNYKRTVIACFIQAVYLLELDRQEKRTEENALAPKWFIPFKYKLSRTLIDERDGSIYGAILEWDRSAALSDFILIRPSGAPRAVLALRGTLLKGPTLRRDIEDDLRFLAWESLKGSVRFNGALEALKSLADAYGSHNVAVAGHSLGAGFALQIGKALAKNGLFIETHLFNPPSVSLAMSLKNVGEKAVVVWQKVKSMLPSNAVTATDTDTNTGVASNNVVAFNKWVPHLYVNNSDYICCYYTDPAGGGESGGAASEKENVRPLSAANGQVAAKLFVLSKGKQKFMEAHGLEQWWSDDLELELALQNSKLISKQLKSLYSLPPTSATNRR >KVI04143 pep supercontig:CcrdV1:scaffold_113:109581:114522:-1 gene:Ccrd_017539 transcript:KVI04143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 90 MSVGLRRFVQRGINSGFQVKSMKNNGFSNSLLVPNRCDYNFRRHLSQLVNPKGVRICLVDTLALVRRLEAQGVPSKQAEAITSAITEVLNDSLESVADTFTSNADMQKTVMLQEAHLSKFKSEVQSSQEHHFSSLQRETEKLRTDIDKMRSELRYEIDKEIHGLRAQVEAAKYDVIKYCIGTLISISAVGLAVIRILM >KVI04131 pep supercontig:CcrdV1:scaffold_113:312641:317201:1 gene:Ccrd_017552 transcript:KVI04131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein REREKTLPSAASPLRPFRRHSAEKPSQSCRWSVVISSLNWESENEMGDTEEGNSDMIQRVQSSFGTSSSVPKQQPQKPVSFNQLDIPQLNTAPFRGQNRQFSPNYNLDNSSKRVGIPPSHPQFPPVSPYSQIPVTQTSGNNHKIGGSQSFTHGPGPSHSRSLSQPSFFPLDSLPPLSPSPYRDSPSARSSDQLPGDISMEERDGNSHSLLPPSSPFGRGNSARTGENLPPRKAHRRSNSDIPFGFSTILQSSPPLIPLRSPNTLERAASSRDNSGSKPIQLVKRESSWEKVGGEGNAEGTGEKKSEGEVVDDLFSAYMNLDNLDTLNSSGTDDKQGTENREDLDSRASGTKTNGGDSSDNEATSSVNESGNHMQRSGISSISDKREGIKRSAGGDIAPTTRHYRSVSMDSFMGRMNFGDESPKLPPSPGGNVNQLSPNNSIDSNSNTFSLEFGNESLMAFLRILANRQSAARSKERKMRYITELEHKVQTLQTEATTLSAQLTLLQRDSAGLTSQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKITTTELSGDAAKFSQLSISPQMFQLHQQQQQQQHSHQMQHQNPQQLQQQNGGAGTKHESNQ >KVI11089 pep supercontig:CcrdV1:scaffold_1130:127965:134252:-1 gene:Ccrd_010505 transcript:KVI11089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase GSCFTLLGTTAFFLSSCIALCTQVLYATLRFTSEDVSGQNQVKASVQRKIRQSIADEYPGLELVLDDLLPKKSPLIVAKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPTIMKKLQVDRGAIKFVLAGANIMCPGLTSPGGVLDDEVGADTPVAIMAEGKQHALAIGFTKMSAKDIKGEKGNFELGKRNLGKAETVGSLEQHAQDYCTHLEKRAINKGIGVDNMHYLNDGLWKMERLD >KVI11087 pep supercontig:CcrdV1:scaffold_1130:26828:28776:1 gene:Ccrd_010503 transcript:KVI11087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MALPTLVLLSLIFSSSSIIIHVSSQTLPLHGNQEQTMQENPLILQACSNIKDRTACLSNLKSFLDDHSPMNHKPDSILRAALKATLNETQLAMQTFTKFTSLSSSSREQMAIEDCKELLDFSVSELAWSLAEMKKIRAGSQNPRYQGNLKSWLSAALSNQDTCLEGFEGTDRHLESFIRGSLTQVTQLISNVLTLYTQLHTLPFKPPANSDRTYNTFYPKWMTEGDKNLLLSGPEGMHADVVVSLDGSGHYRSISEAINEAPNYSVRRYVIYAKKGVYKENIDMKKKKTNIMLIGDGVGATVVTGDRNFMQGWTTFRTATVAVSGRGFIARDITFRNTAGPQSHQGVALRVDSDQSAFYRCSMEGYQDTLYAHSLRQFYRECSISGTIDFIFGNGAAVLQNCKIYTREPLPLQKVTITAQGRKNPNQNTGFSIQDSYVYATKPTYLGRPWKEYSRTVFMNTYMSSMVQPQGWLEWYGNFALNTLWYGEYRNYGPGASPSGRIRWPGYHMAMDASTASSFTVRRFIDGLSWLPATGVTFSAGLSN >KVI11090 pep supercontig:CcrdV1:scaffold_1130:136826:137201:1 gene:Ccrd_010506 transcript:KVI11090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFCLFLQDILHFGSAFYTLILYEILLTLFQFKNLLLCYYW >KVI11091 pep supercontig:CcrdV1:scaffold_1130:141642:141951:1 gene:Ccrd_010507 transcript:KVI11091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, C-terminal MPVERIGFMLQIVLQPHGFFNCSRVIDVPPGACESNVKDSSNHVKDAIAXKAVSNGLIAAKL >KVI11088 pep supercontig:CcrdV1:scaffold_1130:118117:121385:1 gene:Ccrd_010504 transcript:KVI11088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MEGIEHRTVKVNGINMHVAEKGDGPTILFLHGFPELWYTWRHQIQALAALGYHCIAPDLRGYGDTDAPPSPTSYTCLHVVGDLVALIDSLGGEPVYLVAHDWGAMIGWYLCMFRPEKVKAYACISVPHRPRHPKMKPTVAMKSFFGEEYYMCRFQEAGVIEAEIKSNKTAEFLKRILTDKRPGPPCLPKSDPFRLKGLDSPLPLPPWLSEEELKYNVDMFEKTGFTGGLNYYRALDLNWELTAAWSGAEVKVPVIYVVGDEDMVYTTPGLKEYVHGGGFKKDVPLLQQVIVMEGVGHFLNQEKPQESTAIIYDFIKNF >KVE07760 pep supercontig:CcrdV1:scaffold_11300:2266:2765:-1 gene:Ccrd_024080 transcript:KVE07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupin domain-containing protein MLCLDQTQISTQIFLQRLSKWTRTSLIKFNQNKNKRGDVRSVLPQGLVHFQRNVENDYAIVIATLSSQNLRVITIANAVFHSNPDIVGDLLTKG >KVE05414 pep supercontig:CcrdV1:scaffold_11309:134:2660:-1 gene:Ccrd_024082 transcript:KVE05414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATLAESGMNLSSDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKLNPDVNSAVSNSNSTKSFTDLSFLSASALFLNSSMIAFSGFISIVFLLDMYPAMLASRKACAFMIRSMFAVHPYSPVTRQHGESTTRSDTTTFSTLSPNTSLITLHRPSNFSFKDSCFLFSSSVSSSFRPSLVAETSFFPSNSFNWPTEYSSIASTI >KVE05415 pep supercontig:CcrdV1:scaffold_11309:3:767:1 gene:Ccrd_024081 transcript:KVE05415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MKDGQNEIFYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYSVGQLKEFEGKKLVSATKEGLKLEETEDEKRKQESLKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDASMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDDDEAGEGDADIPALEEADVDAEGSKMEEVD >KVI08146 pep supercontig:CcrdV1:scaffold_1131:43369:44015:1 gene:Ccrd_013484 transcript:KVI08146 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MNVWNPKVQQYNEFSLSQIWLLGGSFASDLNXIEAGWQVSPELYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASISPISQNQGSQYDISILVWK >KVI08147 pep supercontig:CcrdV1:scaffold_1131:48771:53777:-1 gene:Ccrd_013485 transcript:KVI08147 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MAMVVVKKSVIGCSFLFLVSLLVFVAVAGAAQNEDNSNPRDVEEDQFHGLNISSFAARAKESQALNENAVQDPEEVVSMVEMSMRNSTERRKLGYFSCGTGNPIDDCWRFKTIDARGVNVHIANGACLTIQFITNVIVHGLHIHDCKPTGNALVRSSPSHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAVLGSTAITISNNYFTHHNERLVYEGQANASDYRLQPFWRRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPVNPFAKEVTKRVDTAASQWHGWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSSMVGTITSGAGVLGCRRGRQC >KVE04973 pep supercontig:CcrdV1:scaffold_11315:2380:2660:-1 gene:Ccrd_024084 transcript:KVE04973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVVATLAESGMNLSSDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLK >KVE04972 pep supercontig:CcrdV1:scaffold_11315:3:767:1 gene:Ccrd_024083 transcript:KVE04972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MKDGQNEIFYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYSVGQLKEFEGKKLVSATKEGLKLEETEDEKRKQESLKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDASMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDDDEAGEGDADIPALEEADVDAEGSKMEEVD >KVH96287 pep supercontig:CcrdV1:scaffold_1132:68089:73094:-1 gene:Ccrd_001628 transcript:KVH96287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15, bacterial-type MASVFSLPSPSSVLTHPSSNFKGNVRNLSSKICQFPSIRNYKNSSSVQQQRKSLIVASSSPIPSVVVSSNVRFRLDNLGPQPGSRKKAKRKGRGHAAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRLPKLRGIAGGMHAGLSKYVPVNLKDIETAGFQEGEEVSLESLKQKGLINPSGRERRLPLKILGDGEVSVKLNFKARAFSAQAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARADEYFAKKRAAAAAAESPETPSTA >KVH96290 pep supercontig:CcrdV1:scaffold_1132:12204:16042:1 gene:Ccrd_001625 transcript:KVH96290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEETIVVHDQSNRYVESLRTTSLPFPELCAQLFEGGVSMGIGSFEPLSNDLEPSTEPLYLHNKEEVLISDSQSTSSIPASSSHSQVRKNKRKGNQSCSDIDERILNILKALIAILGNRNRVEPPTYNACLQRLYELGWAKDDPLFRIAMALLSDKDNREAWMTIPPEFAVDWVKTVGDKQGYK >KVH96286 pep supercontig:CcrdV1:scaffold_1132:99844:103496:-1 gene:Ccrd_001629 transcript:KVH96286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDANVQTEVKASNDVMGAPRKLRNEQVKEDTVEHTDVKGYSLGIRTRTSPKALWEXVKALNSNQRAAIKEMGFNALLDMTLDGIPSKLGHYVVDMLDTSTMTIQLRDRQIPVTAKSIHDVLGLPTGGLDLNLVEPSKCNDAVVSAWRKQFSKDRMRPKDVMNVVQQSDDAGVMFKMSFLVIMLNTLAECSRVGVCNLGFLKRIHSLDMIPRIDWCKYMLYVQATTCDGIXNQQQAYPLRTWTLDLLRRRQDLELSRGGFGYTKLTCTKPIERGTYDQTILDHHTAEDRPESSKAKEAGNQNECVIMMGGRIAELFSARREADTMLQAYIKRFPGERCFDQFKQKLARTFKGSMWECRNDEGQPKEKELSPVHVTPPKMTTTSDPAMLSPLSQFWTSPTVIAEVDRASNERAAITTKGVGCGTDPKQFERVKMISLEAPLESVGRVRSRGIDECEPRTSKLRRRAQTDIDSYAKIEAPAFDLRISPSKEAVIACIDSSKATGGQENVRSEIPKRDLKLSFKLRSPYITRVVTFEVSSDERKLQDWILRGVGGIFEPIFMTTKGKAITHQTMQSLVSQSVVGSEIIDVWSMVLNRE >KVH96288 pep supercontig:CcrdV1:scaffold_1132:42457:45173:-1 gene:Ccrd_001627 transcript:KVH96288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCGIHQNNTFASSCEEMRGSFSVSVTFDNCEPMVCPKPRRLSLFSTTNNEPVRPLRWQMCYQSEGYESNAGPELLDIIFAKQGSGYAASEQTYTQVASSPPFFSGSPPSRVSNPLIQDARFGDGKTSPISPRAIIPNPASSGLSSASSSSSTRKGGCLRANFGNKPAVRVEGFDCLDRDNRRNCSIPALA >KVH96289 pep supercontig:CcrdV1:scaffold_1132:32879:37106:-1 gene:Ccrd_001626 transcript:KVH96289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 GFWKHFAALFLSYCKTTVPPKNLTFLNSHFTLSLPKTPRRRKERDMGEEKGSTLVHLLVVILCLVAFGFSIAAERRRSTGTIHRDDVTNSTYCVYDSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLAPGGNRAWTIIYFTSSWMTFLVAEACLIAGAKKNAYHTKYKGMTESFSCDTLRKGVFVAGAVFVVVTMILNVYYYMYFTKATTTQPAHKTNRSSSTVGMAGYA >KVH96285 pep supercontig:CcrdV1:scaffold_1132:132054:132905:1 gene:Ccrd_001630 transcript:KVH96285 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MGPTGAGKSRLSIDLATRFFNNAEIINSDKMQVYRGLGITTNKITMQEQLGVPHHFLGEFDPIKSVVKPHDFRKLASETISDIISRRGLPLVVGGSNSFIYSLITKRFDPQSDVFNGPDPDPVSSELRYKCCFIWVDVCLPVLNQYLSKRVDEMLDSGMLEELAEFFGSGEHLTVNRSGLGQAIGVPEFEGYFTTVEEDVVRQVEVYNDAVRRIKDNTCQLAKRQVGKILRLKDAGWDLKRIDATEAFREVMTADSGGGRVAEIWEKQVVEASVKIVKQFLEE >KVE04821 pep supercontig:CcrdV1:scaffold_11320:977:2617:1 gene:Ccrd_024085 transcript:KVE04821 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC-like protein MNARDKDINSWLKQSDSKVLIICGMGGSGKTTLAEYIVSSNRQHFEIISILKDIDSIYKKQGNIDVLIQQFAKDIVGEGKRQISYLHYYRDRVLQRKKALISLDLKTDSLTKMDNLKLLHLNDVHLTGSYEDFSENLRWLCWCQFDLSAIPSGLFFKSLVAIDMRDSKL >KVE04141 pep supercontig:CcrdV1:scaffold_11329:729:974:1 gene:Ccrd_024086 transcript:KVE04141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MVPSKFVIGDLDLTYHMSGMKEYIHDGGFVKDVPLLEQVVVMEGAAHFINQEKPDEINHHIFQFLQKF >KVH88338 pep supercontig:CcrdV1:scaffold_1133:139018:143727:-1 gene:Ccrd_024089 transcript:KVH88338 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MGNKDHASAQQHQTQIEKNRVQAVLHLLRKPSPLTLKQEKFCNEACVGRFLKAKGESVKKAAKQLRACLSWRENLGVDNLIADEFSGEIADGMAYVAGHDDQSRPVAIFRIKQDYLKFRSQKQFTRLLVFTLEVAIQTMAKSVDQLVVLFDASFFRSASGFMNLLVAALKTIGEHYPGRLHKAFVIDPPSLFPYLWKGVKAFIELSSITTMVSSLDFDEFPDFNHFTSYPRAASLRFNQNNPSKAKIGSCSSSRFSFTVSHHFDSLKPWYLTLTDKPSFKVGPTSTPVLGPALISPVNARSYSFASPTARNINTMRKSFFPSTPLPQKTQVMDHSTINQPRTPKPSFLHSPALFFKRESCHVSKMDKCRESFVPFLRFYRRPYDEMIYRSKMKPPLGGLISIVSPQIRRRHMSVSQRF >KVH88337 pep supercontig:CcrdV1:scaffold_1133:54802:77771:1 gene:Ccrd_024088 transcript:KVH88337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVTIIEYIAQIVHLTRRSSHLLHRLLGSLLCSSGDFDLTNFRDGAISSAFMVGLLVASPIFASLAKSEMRFLGEILDCIKVVGRLSPPKSPSPVTTTVSIVGRLPQLHLLSPSVIIHLLPRSPFPSSPSTITVPSICFHGRHSLKKLIESKAESVANRQWLFNHY >KVH88339 pep supercontig:CcrdV1:scaffold_1133:998:32299:-1 gene:Ccrd_024087 transcript:KVH88339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P N-terminal domain-containing protein MQEYVTGLTTINYKRIWLKRFGADFKEKKTMIKGRTYVKGRKLQNAISSQKTDHFEVYIVLLRQFYSTDKCYDAGQPTPSTHPQLLKEGEITPGITCDEYISRRKRLLELLPEQSVAIVAAAPVKMMTDVVPYNFRQDADYLYITGCQQPGGIAVLGHDFGLCMFMPEATPQDITWQGQIAGIDAATEFFKADQSYPISKLHKFLPNMIKSCSKLYHNVKTAIPTYTDLEAFQKASYNGGVHDFSLYTHEARWALLQTMLHSKISPYENILSAKVEYECRMRGAQRMAFNPVVGGGLNGSVIHYSRNDQKVKDGDLVLMDVGCELHGYVSDLTRTWPPCGSFSDVHKELYDLVVETNKECIELCKPGASIQHVHNYSVNKLFRGLKEIGILKDNRLHSYHQLNPTSIGHYLGMDVHDCSKIGYDRTLKPGVVLTGSLPKEINHIETLLNNHSYGGTFASSLIFYVINVLGYELVGLLYAKECTTLFGLM >KVE04053 pep supercontig:CcrdV1:scaffold_11330:2023:2718:-1 gene:Ccrd_024091 transcript:KVE04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 EELAGNPSIQSVDLLSRFLSSGHPDENFVIDIIISFIVAGRDTISAAFVWFFWLLSKNPAIETEIVNEIKEKSDSPIYDEVKDMVYIHASLCESMRLYPPVPVNARMANADDVLPDGTVVKKGVMVSYHPYAMGRVEKVWGKYWSEFRPERWLEKDEKSEKLRFTARDPYTYPVFHAGPMVCLGKEMAILQMKRVVAGVLQRCIVVPVAKDGGEPVYVAALTSKRLVPGED >KVE04054 pep supercontig:CcrdV1:scaffold_11330:507:845:1 gene:Ccrd_024090 transcript:KVE04054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MTDCEETSANSCFKRSIKKVCDGIIDTGKRSWPEVVGRRGEDAVVTIERENPRVDAFVVLDGTPVTGDFRCDRVIVRVNSRGIVVQTSEIG >KVE04050 pep supercontig:CcrdV1:scaffold_11333:725:970:1 gene:Ccrd_024092 transcript:KVE04050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSKFVIGDLDLTYHMSGMKEYIHDGGFVKDVPLLEQVVVMEGAAHFINQEKPDEINHHIFQFLQKF >KVH93798 pep supercontig:CcrdV1:scaffold_1134:71077:72795:1 gene:Ccrd_004145 transcript:KVH93798 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MSSSTIRKAIGVVKDQTSISIAKVAGNVAPDLEVLIVKATGHDIEPAEDKYIREILHLISQSRGYVSACVYNISKRLSKTHDWVVALKALMLVHRLLVDGDPVFGQEMMCASRKGTRVLNMSDFRVEALSNYWDHSGFVKNYAMYLDQKLEFIAFERKLSAVNDRYGDFREEPGYGISHKSKSYGDLYESIVRGDRKDIKVATPVREMKPDRVLERLNKLLRLIDRVLCCRPAGSAKSSRMVLVALYLVLNESFRIYADICEALGVLLDRFPEMEYANSVKTFDEYVNAAKTIDELVDFYSWSKELGVARAAEFPEVQKITDKVLGTLERFLREKKNKLKKNKEEGSSPNMNGNTPPSGGQAIGDLLNLKDDTVPADDHRNTLALVLLSGPPSAEGKGSWEVFSNGENEKTSAWQTPVAESGKADWELALVESASNLPKQKANIAGGLDPVMLNGIYEQGGVSYNQVGEGSTSSMVTYGLGRSATPVLALPAPNETVQPVDPQDPFAASLMVPPPPYVQLADLEKKQSFLAQGQQAWQQYGNGSNTTMGKINAGVATYNGMGQQAGYNYAHY >KVH93801 pep supercontig:CcrdV1:scaffold_1134:73188:77792:-1 gene:Ccrd_004146 transcript:KVH93801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MELSNNITTTFMNYMKNASDWVTFAYDAPFARAVLFGVPIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWVPESLIPPIKEEMEKEAPVLESCITSSAAGPHTTINGIDVVNFASANYLGLMGHEKLLESCISALEKYGVGSCGPRGFYGTIDVHLDCEARIARFLGTPDSILYSYGLSTMFSAIPAFCKKGDIVIVDEGVHWGIQNGLYLSRSTIVYFKHNNMESLRSTLEKVTQDNKRAKKLRRYIVVESVYQNSGQIAPLDEIIRLKEKYRFRVLLDESNSFGVLGKSGRGLTEHYNVPIDKVDIVTAAMGHALASEGGFCTGSNRVVDHQRLSSSGYVFSASLPPYLASAAITAIDVIEENPQLLTKLRDNVKTLCTGLLGIQGLEIASDILSPIVFLILKKSTGSLKNDLQLLQDIADRLTQKLKVLQVLKEHSVFVAPSKRSTLDKCNLPVGIRLFVSAAHTESDLQEAYESLKSVAASILTGQN >KVH93800 pep supercontig:CcrdV1:scaffold_1134:77968:83031:1 gene:Ccrd_004147 transcript:KVH93800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MASNVVVVLDNGGGLIKAGIGGERDPTAVVPNCTARPLSSKKFLLADQLLSPTEDLTSAVLRRPFDRGYLINQELQSTIWSHIFSSLLHITPSSSSLLLTEPLFNLPSIQRATDEIVFEEFNFKSLFVSDSPSLVHLYEASRRPYDVVSKAQCSLVVDVGFSFTHAAPVFQNFTVNYGVKRMDLGGKALTNYLKELVSYRSINVMDETFLMDDVKEKLCFVSTDVARDLQIARRRGNDNYFRCTYVLPDGITHTKGFVKDPVEAQRWLTLSEDGELPHLAGTDEADQTEVKSKPSERNKVDLTKNEFSLSNERFLVPEMIFRPADLGMNQAGLAECIVRAVNSCHPHLHPVLYESIILTGGSTSFPGFAKRLENELRPLVPDVYQVKITTQEDPILGVWRGGSLLASSPDFDAMCVTKTEYEELGSSRCRRRFFH >KVH93796 pep supercontig:CcrdV1:scaffold_1134:113464:114614:-1 gene:Ccrd_004149 transcript:KVH93796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin HIPHSQPSTSTSTYRERNSRVLERERKGRPGIYSHLQKHTHKMAELKSCMVVLMMMMIVASMQMHTSMAQTRHVVGDALGWNIPSNGAAAYTTWAAQQTFTVGDTLFFNFTTGAHNVAQVSQAAYGPCTTASPISLTTTGPATITLTTAGPRYYICTVGGHCSIATPTPTPAATPVPTPATTPTSSPAPSPVDQASPPSPPTSGPSPSGSTSPPPGATETPTGSTTPAPPSNAASSFTAVVPATFLAIGLVLFY >KVH93797 pep supercontig:CcrdV1:scaffold_1134:85700:87727:1 gene:Ccrd_004148 transcript:KVH93797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPFECVKRSWHSDRHQPIRGSIIQQIFRVVQENHALGTKKNREWQEKLPLVVFKSEEIMYSKANSEAEYVDPETLWDRLNDAIDTIIRKDESMETGELLPPCVEAALNLGCVPVRSSRSQRNSNTRSYLTPRNQDSGPTPARTMPTATNARNLNVPNVQRPDGMDWTHTFSESNKDLRPNFKVTPSGSSFYPPSFENLPRKMVSFENRASSSYPLYHGFHFQPRVPQMGFCSTHHNSNNIIVGTPVFQPSFQKGCSERLFTFDRDDNGSKKETRPELAECDLSLRLGLVSSNGKESTCVDDVDSGSGSKEFSFFPLNSEAEEVQDLVTGVRKRKTVGEPQQFLQLDPDFNQIKERMKRRGL >KVH93802 pep supercontig:CcrdV1:scaffold_1134:8846:14963:1 gene:Ccrd_004143 transcript:KVH93802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MAAVCCSLFLGEEDAKLWKAEPKIKITMLVDTSSDHRSFSMASRLVVSRRCLPRFRCLIVLMIVPVCFTMIFNHYEKITYFLRPLWDTPPRPLTYVPHYYAENASLATLCRLHGWTLRSEPRRVFDAIIFSNELDLLEVRWGELYPYVTKFIILESNATFTGMPKALTFASNRERFSFFEDKIVYGFLPGKLASGGQRINPFSVEGHHRFSMNELISSSGISNGDLLIVADTDEIPSGNTIKLLQWCDGIPPVLHLDMKKYLYSFEFPTDPTWKATSHIYHQHTRYMHSRQTDLVLSDTGWHCSFCFKYLSEFVVKMTSYSHADRVKNKEHLDHSRIQQKICNGDDLYDMLPEEYTFKNLIGKMGSIPHSASAVHLPTYLIENAEKFKFLLPGGCLRPPD >KVH93803 pep supercontig:CcrdV1:scaffold_1134:132002:136508:-1 gene:Ccrd_004150 transcript:KVH93803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MKIMRSCGRYLLLLLVLFIQKGYVTAKAFTGTYGINYGRIADNIPSPHEVVTLLKASKIKNVRIYDADHSVLNAFSESGLDLVVGLPNGLVKEMSANADHALNWVKENVQAYFPKTHIVGIAVGNEVLGGGDLDLQEALYGAVKNIYKATQKLQLDDVVQISTAHSQAVFANSYPPSSCTFKEDVAQNMKKLLDLFSQMGSPFCLNAYPFLAYMGSPNEIDINYALFNPTDGIYDEKVGLHYDNMLDAQIDATYAALEDAGFKKMEVIVTETGWASHGDPNESAATPRNARTYNYNLRKRLAKRKGTPRRPNFILKAYIFALFNENSKPGPTSERNFGLYKPDGRISYNIGFPAQKSSSATSSLLSVKDFGGQQQQWWYVWVWSMCASVLFLFSRL >KVH93799 pep supercontig:CcrdV1:scaffold_1134:52713:60860:1 gene:Ccrd_004144 transcript:KVH93799 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MSSLSRELVFLILQFLDEEKFKETVHRLEQESGFFFNIRYFEEMVTNGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKKDRAKAVEILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDTKSARSIMLGELKKLIEANPLFRDKLNFPTLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHSCGQSQPNGARAPSPVNNPLMGAVPKPAGFPPLGAHGPAPATLPTSLAGWMANPSPVPHPSASAGPLGFNPPNNAALLKRPRTPPTNNPAVDYQTADSEHVLKRTRTFGISDEVNHLPVNILPVGYSGQSHGQSSYSSDDLPKAMVMTLNQGSSVKSMDFHPVQQILLLVGTSTGEVMIWELGSREKLAHKNFKVWDLGVCSMPLQASLTSDYTASINRVTWSPDGTLFGVAYSKHIVQIYSYHGGDDLRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRLIKVWDAVTGAKQYSFEGHEAPVYSVCPHFKENIQFIFSTATDGKIKAWLYDNMGSRVDYDAPGHSSTTMAYNADGTRFEKFINITPLAASNANENISDICTPNRLFSCGTNKEGESYIVEWNESEGAVKRTYNGLGKRSVGVVQFDTTKNRFLAAGDEFLVKFWDMDSVNLLTTIDAEGGLPASPCIRFNKEGILLAVSTNDNGIKILANPDGIRLLRTMENRSFDPSRTSTMNTFGAANASAGPSIMDRVAPMPSMVVMPRIGDESMDKSRIWKLTEITEPAQCRSSRLPDNTSSAMRVSRLIYTNSGLAILALAANAVHKLWKWQRNDRNSTGKATANVAPQLWQPTSGILMTNVISDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRIDEVKTKLKGHNKRITGLAFSNVLNVLVSSGADSQVCVWSTEGWEKQTSKHLQIPPGRVAAPIVDTRVQFHNDQTHLLAVHETQIAIYEAPKLERLKQWVPPETSGLITHATYSCDSQSIYVSFEDGSVGILTASTLRLRCRISSTAYLPSNPNSRVYPLVIAAHPSEANQFALGLTDGGVIVLEPQESEGKWGTSPPVENGGGPSSMTTSTTADQPQR >KVE03530 pep supercontig:CcrdV1:scaffold_11344:1:2211:-1 gene:Ccrd_024093 transcript:KVE03530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELILGAIMDVLFQKLASGDLMKLARSEGIHSQLDKWNNTLLQIQALLVDAANKHIANGAVDFWLRNLQDLAYQIDDILDDLATEAIRRKLNKDSHASSSTNTGKLRKLIPGCCTNFTPHTIIYGHKMSSKLDEITTKLHTLFDQKQNLGLDVNVERPNRRNRRLEETSLVDVSKVMGREGDKEALLHRLLGNEACNQNVSIVSVVGLGGIGKTTLAKLVYNEKRVMDHFELRAWVCVSEEFDVFNISKAIFQAVAGTNQEFANLDLLHVXLKERLSXKRFLVVLDDVWNEDYKEWELLQSPFVVGAPGSKVIVTTRKTKVASVMNSFQPYDLKVLSEEEAMSLFAQYAIDEHNFDKHPKLKLHGEGIVKKCGRLPLALITLGRMLRTKTEDGEWEEVLNSEIWNLDNGRDILPALRLSYYDLPSHLKQLFAYCSLFPKDYVFDKNELVLLWMAEGFLNQSNGRKSKESLGREYFEELKSRSFFQTSTIEQSQYIMHDLINDLATSVAGEFFFRLDDKMDTYDVNESFKKFRHVSFIGREYDTYRKFKELQRARGLRTFLPVSLNSWSGFDLSNKVLAELLPQLQFLKVLSLSKHSINEIPHSIGSLKHIRYLNFSHTDIKRLPEQVSDLYNLQSLLVSGCKKLSNLPISFVKLINLQHLDMSDTPMLNKXPLGIGGLTGLQTLTKVIIEGGNGFKISELEGLSDLEGRLSIEGLDKVMSPIQANDAKLQEKKGLD >KVE03529 pep supercontig:CcrdV1:scaffold_11345:195:2179:-1 gene:Ccrd_024094 transcript:KVE03529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-C type MPRYYCDYCDTYLTHDSQYEAQQNQYLIDQKVKEHLGQAAAFQQVGAAYNQLRPRLPMMPMPGNPQLAMNPALFGMRPPVSLPRPIPGTIAT >KVE03498 pep supercontig:CcrdV1:scaffold_11346:524:1450:-1 gene:Ccrd_024095 transcript:KVE03498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHGEDNREGAKSGGKVELKGRNTFAVPRTVRALGWSKQTSSATAEGSGEEKPKSNEELRNLLLLKKT >KVH95534 pep supercontig:CcrdV1:scaffold_1135:63794:66092:1 gene:Ccrd_002394 transcript:KVH95534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MTRRCSHCSNNGHNSRTCPNRGLKLFGVRITDGSIRKSASMGNLTHYTGSGSGAFNASFAAAGADLDSPGDTPDHNAAADGYASEDFVAGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNMSRRKRRSSLFDIVADDVCIFHLVHLCIFVVVLAGEPVFLDEGNSREEKCLPLLCDLKPLDLSMMASHDYNDGNTSQPETQSINDPMCVPTLEEKEEECESTDSTNSNIVEVAADLTTNLMHQEGRQYCYPGMFPAFIPVAIPFWPGGSVPGPQAESHKVLKPTAVHSKNPINVDELVGLSKLSLGKSTGDGGPNSLKLVGSLSRPSAFHANNPNQGSTGHSPIHAV >KVH95537 pep supercontig:CcrdV1:scaffold_1135:121714:125955:1 gene:Ccrd_002400 transcript:KVH95537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial biogenesis protein AIM24 MAAPFFSTPFQPFVYQASSTCMIFAYLFCCSITYMGADVQQSQQDAVTPFQILGGEAQIVQVLLSCMCYMSGSIQMENVYAPENEAGMWQWLFGKNVTKTYFLNAGSTDGFVGIAAPSLGRILPIDLATFGGEILCQPDAFLCSVGDVKVSSTFDQRARNVVAGVEGFLRQKLSGQGLAFIVGGGSVVQKNLEVGETLSVDMSSVIALSSTVNVQVKYNGPMRRVVFGGENLVTAMVTGPGIVFIQSMPFPRLSQRIARAVTSPNMRDNPKFFMQIVIFFFLAYLVLVSSLLFTDT >KVH95535 pep supercontig:CcrdV1:scaffold_1135:64098:69195:-1 gene:Ccrd_002395 transcript:KVH95535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHCRLDSGILGCSLMVLHPPPLSARFVILAYFDDPQYIRKSSGLTKHEIRELNSIINVDDLIDYQGVKVGGLVRVKKRMKLSRRRARNKVFRCIAVGSGVMIRCVSWRIEVCAGGGE >KVH95533 pep supercontig:CcrdV1:scaffold_1135:76873:78186:-1 gene:Ccrd_002397 transcript:KVH95533 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acyl-L-amino-acid amidohydrolase MVKPIWYTLLLLFLSATTAQPVPPVDAEASISRFQNYLRIKTAHPHPDYSTAVSFLDSLAKSIPNLHTRTLYPATPDKPLLLVTFPGSNPSLQSILLNSHIDSVPAEPSKWSHPPFSAVRTDDGNIFARGAQDDKCIAIQYLEAIRNIILTDPHFTPIRTVHISYVPDEEIGGLHGMAKFVESKEFKQLNVGFFLDEGQASTTDQYRVFYADRSPWNLVIKAVGMPGHGSRMFDNSAMENLMKSVEVVSKFRENQFDLVKAGVLANSEVISANLVYLKAGIPSGDGNFAMNMQPSEAEAGYDVRLPPTVDPDLLKKRIGEEWAPATRNMSYDIVEKGPLRDYMGRPLMTATNDSNPWWSVFKDAIKACGGELSKPEILSSTTDARYLRQLGIPTFGFSPMKNTPILLHDHNEYLKDSTFLEGIKIYESIIKSLSSFE >KVH95528 pep supercontig:CcrdV1:scaffold_1135:33828:34945:-1 gene:Ccrd_002392 transcript:KVH95528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MHNFSLPFLKWGQRSHANTYNRCRRLLSDATTTNPHRRSSPATDSESDHNNNGKSLCSLDRKTKDKGTTVDDENGGVFLERENNSSKAAADGEVKPWNLRPRRSAISNVPNHRVLAASSMKSLRGVAAEEEEEDNNMKRKLWISLSKEEIEEDVYAFTGSKPARRAKKRNKTVQKQVDNLFPGLYLVGISADSYRV >KVH95527 pep supercontig:CcrdV1:scaffold_1135:110985:117453:1 gene:Ccrd_002399 transcript:KVH95527 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MDSLPSETLAPTPTPYDTLDYTHPLPDSASTPPPPPPPPSDSDYKPPPQPQTLVQPDPNPTSQPDTTDLKSENGAVTSATTEKNNPTVEEDTTSKRRRRSRWDPPAEGDADQNGGKKRKSRWADDEPKPVFQLPDFMKDFTGGMDMDPEIQALNARLMEISRILQSGQQLDDRPEGARSPSPDPIYDNMGTRINTREYRARERLNRERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRFGQKRDLKFDPSENEDLHVLVEAETQEGLDAAAGMVEKLLHPVDEGLNEHKRQQLRELAALNGTIRDDEYCRLCGEPGHRQFACPSRMSTFKSDVLCKICGDGGHPTIDCPVKGTAGKKMDDEYQNFLAELGGTLPEGMTKQSTPLPIMGSSNSSGNNPPWASSNNSNGNTGTSAHPGLGSNAAKIGTGFGLGIGKEIDDTNLYIGYLPPTLEDDALIRLFSPFGEIVMAKVIKDRVTGLSKGYGFVKYSDIAQANQAIASMNGYRLDGRVIAVRVAGKPPQPTVPPGPPAAPVPAYPGANQTLGGYPSQQMASGGPIGSAPPGSYISAPVPWGPPPPPPPYAAYAPPPPPPGSNMYSSFQGQQMPSYGVQYPPPPQNIASTEAQQSYSAGIHSQNNAPGQSPSSNVYGNSMSGITPNNQSAYPSPSMSYSSYYSVPPPPPPATQSVVEQQSFASAPWSSNPPPPPVSSAEQNQQTAYGTDPEYEKFMAEMK >KVH95536 pep supercontig:CcrdV1:scaffold_1135:8485:11127:1 gene:Ccrd_002389 transcript:KVH95536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 6-like protein MDLKLFDAKSSLIGPKGMMLNPFSTSNFMAASISSYKGTCACLSTSQGAVTLVGQEKYEVETCSYRYDGSNGTSPSIFCTEKRVLDAFDDEYGGVIVNPDKLPFDTNVFASILSSSLSVWRTKGKKGIWLKLPLEKCDLVPIAVREGFQYHHAETGYVMMTYWIPNGPCMLPANASHQVGVGGFVLNDKNEVCVLVVQEKHCAPELVGLWKLPTGFILESEEIFTGAVREVKEETGIDTEFLEVIAFRHAHNVAFEKSDLFFICMLRPISSQINIDDLEVQASKWMPLMEFVEQPLIKGDKMFKKIIDMCIARIGKRYCGLSVHKVVSKFDNRLSSLYYNVVDDPTSLDCPDDSCFGSYT >KVH95538 pep supercontig:CcrdV1:scaffold_1135:126500:138984:-1 gene:Ccrd_002401 transcript:KVH95538 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, clamp MLRGGTISKCLEVLHTNEHRLEMEDDNGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSEYIETSSSYQNTKTLLHFYDPMAIIVPPNKLAPDGMVGVSELVTMVRGCFDDTRGAVLVKSLAAKEPSALGLDTYYKQYYLCLAAAAATIKWIEAEKGVIITNHSLLVTFNGSFDHMNIDATSVHNLEIIEPLHVTLLGTSNKKRSLFQTLKSTRTTGGTRLLRANLLQPLKDIETIKARLDCLDELMSNEQLFFGLSQALRKFPKETDRVLCHFCFKPKKVTNEVLGIDNARKSQVLISSIIVLKTALDALPFLSKVLKDANSFLLGNIYKSVCENEKYASIRKRIGEVIDEDVLHARVPFVARTQQCFAVKAGIDGFLDIARRSFCDTSEAIHNLANKYREDFKLPNLKIPFNNRHGFYFNIPQKDIQGKLPNKFIQVMKHGNSIHCSTLDLASLNARNKSAAKECYLRTELCLEGALMDAIREDVSVLTMLAEILCLLDMIVNSFAHMISTKPVDRYIRPQFTGKCFNDSLSDFENGPLAIDAGRHPILESVHNDFVIIAVEHICIKIFIGKLASVMHSGLMFFLQPNTIFLSQASNMVIVMGPNMSGKSTYLQQICLIVILAQIGCYIPARFATLRVVDRVFTRMGTVDNLESNSSTFMTEMKETAFILQNSSERSLVVMDELGRATSSSDGFAIAWSCCELLLALKTYTIFATHMENLSELASIYPNAKILHFDVEVKNNRLDFKVPAHFELKDGLHHVPHYGLLLAGVAGLPSSVIETARNITSRITEKVNGQIENLVHYIFQEVAHTGVKRKEVNYEQYHGIQMAYRVAQRLICLKYSTQDEDSIRQALQHLKDCYANGTI >KVH95529 pep supercontig:CcrdV1:scaffold_1135:52823:59162:1 gene:Ccrd_002393 transcript:KVH95529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MAASLSLPLIDLSSTDRISTANSIRQVLFLSLTEYAIRDLSFYSRTTLQLEGVIASMDYGFFYLINHGVDEQLLQNVFDESRKFFSLPLEEKMKLARKGDLGFAPMRAENLDSSTTSKGDSKETFHIGPSEDDERHINQWPSEDVLPSWRFVMEKYYKQVLSTGKQLSSLIALALNLDENFFEKAGGALNGESAVLRLLHYPGEMGVSDEVVYGASAHSDYGMITLLATDGVPGLQATFINISFVCREKLKQPRIWEDVNHVKGSTLHRVMPTGKERYSIALFLDPNEDYIVECLPSCCSESSPPRFPPIRCGDYLRDRIDAAYSG >KVH95531 pep supercontig:CcrdV1:scaffold_1135:24486:26958:-1 gene:Ccrd_002391 transcript:KVH95531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MEYVEDIKLSDSRRVLVGAGARALFYPTLVYNLVRNKLQAEFRWWDQIQEFLLLGAVPFPSDVKRLKDLGVRGVITLNEPYETLVPTSLYKAHGIDHLVLPTRDYLFAPSIDDICQAVNFIHGKTLLKQATYVHCKAGRGRSTTIVICYLVQHRHMSPDVAYAFVRSIRPRVLLASAQRQAVQEYYHLKVKKSQISSNIWSGSTRILRPLRLFPYADVFTFDDGSVVVITKADVDGYKDERHGSDMSLVFRVSKTALATFSRLWVSSKMSGVRLVNWNKCSKASDEMSINIHLC >KVH95532 pep supercontig:CcrdV1:scaffold_1135:69184:76688:1 gene:Ccrd_002396 transcript:KVH95532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHLVLEREWSVVAGEVDAGLGFAGEGDGGGIAGGELGHGSRSSGGEAEVGEGERRRGWGNRWRLVKVKMEFWAFLAEEGDRMFLLEGKVIGVGLQEIMQNNMSRRKKRSSLFDMVADHVRILLGSLRIAKQKISNHNTKSYVQQAPFRGRMGGVRELSPHALSASGHKQNGTYAQAQYHMGCVQH >KVH95526 pep supercontig:CcrdV1:scaffold_1135:87738:88391:1 gene:Ccrd_002398 transcript:KVH95526 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNHTTTTTSSSSTSTTTTTTTSSSISTTTKRPKHGEFNDEVNKKAKKPDVIDHGEHPVYRGVRRRSWGKWVSEIREPKKKSRIWLGTFDTPEMAARAHDVAAIAIKGHSAILNFPQLAHQFPKPASNSPRDIQAAALKAATLVLRNLKDESEPNPHEPPCSSSSPQSNITDDPFLDLPDLFMDLGNRIFYALPEKITGTVTGTGEFWPEDNFLWNYS >KVH95530 pep supercontig:CcrdV1:scaffold_1135:12440:24245:1 gene:Ccrd_002390 transcript:KVH95530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl protein thioesterase MPNFLYLSSSPSLTSIFFLFICFPISSFSLPFIVLHGIGDQCSNRGMTKFTQELSDMSKSEGFCIEIGDGAWDSWFMPLLEQTQVVCEKVKKMKELNDGYNIVGLSQVKNLISLGGPHAGTASVPLCGSGIFCMIADALIKSEVYSDYIQNMDAYLQHCRFLPKLNNEIPEERNSTYKERFSSLENLVLIMFEQDTVLIPKETAWFGYFPDGKFSPVLTPQQTKLYIEDWIGLKTLDDAGRVKFINVSGNHLGISEDDVKKHVIPYLKESADIRISQLQLQRKSRKEAGFEGYSSSYTWPASVKRFFW >KVE02453 pep supercontig:CcrdV1:scaffold_11357:370:1861:1 gene:Ccrd_024096 transcript:KVE02453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate-sensitive fragile site protein Fra10Ac1 MASFGSIRSAVFDREEKKHQYQAHILGLNAFDRHKKEDYRFIRSEEDDMDSSWEQRLVKRYYDKLFKEYPLEVGFMFGNRTVFTPAIIADLWMGEKATKMRAWKEVRIGYSEAIIKDCFMGVSSRSLGDSNLKL >KVE02195 pep supercontig:CcrdV1:scaffold_11360:11:354:-1 gene:Ccrd_024097 transcript:KVE02195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MSIIPSFFGGRRSSIFDPFAMDIWDPFKDFPFPTSTGSDSWRETSALVNTRVDWKETPEAHVFKADLPGIKKEEVKVEVEDDRILQVSGERNVEKEDKNDTWHRVERSSGKFTR >KVI10898 pep supercontig:CcrdV1:scaffold_1137:27100:48906:-1 gene:Ccrd_010695 transcript:KVI10898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3506 MASISPPTFPSSAGTPATNPHKFTSSTPKFITRARLNHRSSYPTHLFPHSPSRVSAFSSICRCHSNHKDIGDNNDKDKKGSRRWDSAFQENIRNTIKWFDDYMTGYWKYQKKDDEVKKDDSGDGVVKIEGEVVVDGDGDKEWDWERWKKHFTEVDEQERVVSILKSHLNRAVVKEDYEDAARIKVAIAAAATNDTVGKVMSHLSKAIEEERYMDATFVRDYANAGLDAHLHDEILMPYNEGQGVGWWAGLSDDSKDPYGRIIHISAEHGRQLAMSADGAPLFEIFLTVDENGEYKHQAVYLKRTEVPRDFPVVSSKSSGLISSMNPPDTVRDKGDPFAKDIEDKDDGEDMDDDSDMAEESAFENILRDMIPGAKDLKVKVLNVTTPGKIDRDLISKVVKQIVEEEDEKEKDRDSERIDGEDEVKGGTDEDQDNIDVNSGDSIVDSEGKGQIAVKIVVGGLVQRVSNGTSRKDLLRVPAKLEKKSRSSFSFSVEKEKQQVSSGNAQSLKNKDARIHGIRSTDSVMVDLAKSIGRGKIPIKVLKDVGQLINVTLSQARNRQPLSGSTTFNRIELPATKDPLNGLYVGSHGLYTSEVIHLRRKFGQWEEDGNMKELSNMEFYEYVEAVKLTGEPYVPAGQVAFRAKIGTKYQLPHKGIIPEEFGIARYQGQGRLAEPGFRNPRWVDGELVILDGKYIKEGPVVGFVYWAPEYHFLVFFNQLRLKN >KVI10899 pep supercontig:CcrdV1:scaffold_1137:20611:22260:1 gene:Ccrd_010692 transcript:KVI10899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEEEVEKLKVEIQRLGTKQEDGSYKVTFGVLFHDDKCANIFEALVGTLRAAKRRKFLTYDGELLLQGVHDNVEIVLKPTPVAAA >KVI10901 pep supercontig:CcrdV1:scaffold_1137:53719:59840:-1 gene:Ccrd_010696 transcript:KVI10901 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MAMGDEKVKNEAMEIMGLFQVLPRLVVFDLDYTLWPFYCECRSKREMPSMYPHAKGILHALRDKGVDMAIASRSPSRDIAETFIDKLGIKSMFVAQEIFSSWSHKTEHFKKIQQKTRVPYESMLFFDDEDRNTETVSKMGVTSILVNNGVTLGALRQGLTQFSQGPTSTKRDRKK >KVI10902 pep supercontig:CcrdV1:scaffold_1137:61177:69153:1 gene:Ccrd_010697 transcript:KVI10902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIE beta subunit, DNA-binding domain-containing protein MGSLQESLNRFKKQQEKCQSTLKSIAGSKTTTKTTAPPPRAVPASTLAKSPLPAVKFSNDTERLQHINNIRKSPVGAQIKRVIDLLFELYTLSKQINEFACASRQSFTAEQINEACYVDVKGNKAVFESLAKNPKVNYEGKRFSYKSKHNVRDQKELLRLIRTFAEGIAVADLKDAYPTVMEDLQEDIAYPNDPRVPIKVDDELKGLFRAIELPRDMLDIERELQKNGMKPATNTAKRRVAAQNFGILTKPKQKKKKTEISKRTKLTNAHLPELFRNLNG >KVI10903 pep supercontig:CcrdV1:scaffold_1137:75044:77155:1 gene:Ccrd_010698 transcript:KVI10903 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDPHSFIARAEAQRWLGIAEKLLMGHDLVGSKTFAIRARXSDPRLEAADQILAIADTLLAAEKRVXGNNGAQQPDFYAILQLVRFVQDTEHIASQYRRLAVMLNPHQNRFPYSDQAFQLVNDAWGVLSNPLRKSLYDADLDFPQQQQMNHIGPVPVREQEHLFQQREQEQLFQPQVQPFQITSTPQQQTRPSPQPPQPQPPMRQPTPPPPAAPPQPPLSWPQSPPPSQPHSQPPQAQPQPRQQQMPLQPPPQPQAPLPPPQVPPPPQTQTPPPPQTQAPPPVQIQAPPPPPQPLQPQQQRQPQQEREQQEEEPLEQNATPVQLPSQVNSNNGVREEEIERETEAASENIDESPTFWTACPYCLYMYEYPRVYAECTLRCDNCKRAFQAVPIPSPPPIIEGQEAYFCCWGSFPLGVSISHLEKNKGTGINSNWTPFSPFNEVSRNVQDNLNDSMAKKSRSWGPRIYIDDVTDDIFTGISEPSDDSDVEWRSAKKKKAKRMKKDLGEKRRAKGLSVRVKDARNRMGKNLQNSITVQEGEGASTVPMAESSKKPVASNPRRQSGRVAKELGKLDLNVEFNNNEGEEPAPRMAAGNRGHGQGEEDNIEGIGFFEGLDEFLSSLPILSVVNDDKGKAA >KVI10900 pep supercontig:CcrdV1:scaffold_1137:23863:24627:-1 gene:Ccrd_010693 transcript:KVI10900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPIWCNREGFWGCTSMAPSQLIWWAHIRQSGSSIHAEKCSRYPKLSRNLRNPVWREVYENQLCGVVFKQLLEWCLFLGVETNEILMPFAASFLESSRNGSMWPKASHGNTIT >KVI10904 pep supercontig:CcrdV1:scaffold_1137:96358:98577:1 gene:Ccrd_010699 transcript:KVI10904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHSFIARAEAQRWLGIAEKLLMXHDLVGSKTFAIRARDSDPRLEAADQILAIADTLLAAEKRVVGNNGAQQPDFYAILQLVRFVQDTEHIASQYRRLAVMLNPHQNRVQHSHQQQQLQQHNFLSQPNPVRPLPVREQEQLFQHREQEQLFQPQVQPFQVTSAPQQQTRPSAQPPQPQLPMRQPTPPPQPPQPQPSIQSPTPPPPAAPPQPPFSWPQAPPLSQSQQSQPQPQPQTQPQQQHLQPQPLSQPKPQPLSQPKPQPQPQPQPQPQPQSHSQPKPQPQSQPQSQPQTHSQPQPQPQPQSQSQPQLQQEQEQQESLEQNAAPVQLPSEDNDNNGVRDEEVEREAEAAAEDVDDGSPTFWTTCPYCFYMYEYPKVYADCTLRCDNCKRAFQAVRIPSPPPIIEGQEAYFSCWGFFPLGVSMSHLEKNNGRGTSSKWTPFSSLYETSSNVQDHLNGRAAPKENLFVKKSSGPRIYFDDITDDVFMGISEPSDDSDADWDSTREEKVKRMKKALEPGFKMRAQVLAVRARDARNGSVGNLEDAIPVQEVVGVATVPVAESSKKAVGNNPRRQSGWVAKELGKLDLNVEFNNNEGEEPAPRMTVGNRGHGQGEEDNIEGIGFFEGLDEFLSSLPILSVVNEEKVKAA >KVE01614 pep supercontig:CcrdV1:scaffold_11371:1:2545:1 gene:Ccrd_024098 transcript:KVE01614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MEPLFKGVGQNAIWAVMTVVVVLEFTAGATLCKGLNRGSGTLLAALLAFLFEFIAREYGKVFRAVFIGSSIFLVGASVTYLRFFPNIKKNYDYGVLVFLLTFNLITVSSYRVDDILKVAKGRIYAIAIACVDKYFNDEDPNLEIDETMEDPIYTNYKAVLDSKSTDETIARHASWEPRHSWHCRNKFPWQQYVKVGGILRHFGYAVVALHGTVQTEIRDPCIRLVSEVTKSLMELAGSIRNRRHCSPEILTEHLHQALQDLNTALKSQPRLFLGPNCPNNTAKMLAMVAATARQKSEKYSPRVKPEGERRVLRPMLSKLAITSLEFSEALPFAAFVALLVESVARLDV >KVE01585 pep supercontig:CcrdV1:scaffold_11373:286:2478:1 gene:Ccrd_024099 transcript:KVE01585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVEKDPEGAIVWFWKAINGGDRVDSAVKDMAVVMTQLDRPEEAIEAINSFRCLCSKNSHPSLDNLLIDLYKFYKQPYDFNVEPPRS >KVE01329 pep supercontig:CcrdV1:scaffold_11374:12:2556:-1 gene:Ccrd_024100 transcript:KVE01329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MEPLFKGVGQNAIWAVMTVVVVLEFTAGATLCKGLNRGSGTLLAALLAFLFEFIAREYGKVFRAVFIGSSIFLVGASVTYLRFFPNIKKNYDYGVLVFLLTFNLITVSSYRVDDILKVAKGRIYAIAIACVDKYFNDEDPNLEIDETMEDPIYTNYKAVLDSKSTDETIARHASWEPRHSWHCRNKFPWQQYVKVGGILRHFGYAVVALHGTVQTEIRDPCIRLVSEVTKSLMELAGSIRNRRHCSPEILTEHLHQALQDLNTALKSQPRLFLGPNCPNNTAKMLAMVAATARQKSEKYSPRVKPEGERRVLRPMLSKLAITSLEFSEALPFAAFVALLVESVARLDV >KVI08238 pep supercontig:CcrdV1:scaffold_1138:54650:62773:-1 gene:Ccrd_013392 transcript:KVI08238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MEESREVHTDENRALPEKKQKRIVKTPSQVTALENFYNEHKYPTEAMKLELAESIGLTEKQVSGWFCHRRLKDKKSPNDEVQAHGKQDRSSGVIQDRGSGLRQDSCGSTKQGDNKLSDPKEVESRRFTAEGLLPTELRYEHGSQHNSVMDDDTSSGSSSPLKDNFHPQNVDRLGTVTSKYPTHNDVKSIKGRVGPSGYLKVKGQVENAAITAVKRQLGRHYREDGPPLGIEFEPLPPGAFENPVKIPVNQSYYVGDRTALHSLDGSKTFQLPNTSKMYERYNPKSYHPTDLDESGLEMRHASKHREKHSDSQYKQTPPLSKHRSSLSGRSLQMEVNDDSAGETSVHDLREHIEMRTKHGPGVKRPDSLSNRHLIAYGKNIDGKQAENYPRSHNSGTPKVIYRDRIEPITSDLIINRSEFVESEDRGLSRKTPKDDEFNGQRRGIDEYSKLVSAKIHPGNEMRVNNAVIKRSRDEFTQQGYPRKEAVFDISPRITKIKRSTVQMTSSFSDDETAETTSSGD >KVE00759 pep supercontig:CcrdV1:scaffold_11383:1:180:1 gene:Ccrd_024101 transcript:KVE00759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSMVIFACGDSGGHNTTRKKHNRHSGGGGGGGCGGGGGGGGGCGGGGGGGGGGGC >KVH88336 pep supercontig:CcrdV1:scaffold_1139:78366:114545:-1 gene:Ccrd_024102 transcript:KVH88336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNPATFPFAIDIAETTAINNNWQGEEKVVEKRKWEGLFRTRSLEQATRFHVFLIDHCLRHIPATQTGIELAINATVDIKVRPQLCWCKQEKQQQSIGVKQVAAMCLCFDCASCLSLKLQSTTNIMHKVKKTMYLFSWNVINIDYQTGFGFKLNTKQFTSPRLLLRPVDCCFSLAVAGTKCSIQTKLKPSSPHQQLHSQHRYKPAVKITNNSVAATVSCCCLNTAQHSPAVSLLLFEQLLSSLKPKPQTC >KVE00095 pep supercontig:CcrdV1:scaffold_11390:1:219:-1 gene:Ccrd_024103 transcript:KVE00095 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like protein MAAPAMVRNMQVLFKNYIDGFPKESDMVVSSDATISLKLPKNESGVVLTKNLYLSCDPMMRNRMTESYLGAYM >KVE00002 pep supercontig:CcrdV1:scaffold_11391:1913:2512:1 gene:Ccrd_024105 transcript:KVE00002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor, TFIIS/CRSP70, N-terminal, sub-type MEDLKKSEAVMEEWRNYLRSGNADIFEIIERAIMVAASDHPMEFRMKRDKIVQTLFSCELINHVEEGNIDCKEKMKMSGDVVKNHLWDTISHGDTQETLVHEHDQETEMVTDDEVLRIKEILDKSCGDASESAVVLVYESLSKLQHMGMSVKTLEVTGIGRSVRGFQKHGSRDVCQIARRLIKTWRGVVDEWIDATEKTS >KVE00003 pep supercontig:CcrdV1:scaffold_11391:94:447:1 gene:Ccrd_024104 transcript:KVE00003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMKMKKESSRSHVIKLKIKRSNSEKAKMEERNASIEEKLEASKRKLHERYSEVENAKRQRRIQVVEPHQLKKQGLIVPKTRNENGKWGDCYH >KVD99855 pep supercontig:CcrdV1:scaffold_11393:1487:2479:-1 gene:Ccrd_024106 transcript:KVD99855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFISSEKKSQLEQTRWVLTEVVHVTTDGYGSDIVEECSNDLSNSLESSSSSEMLDNTSTSSSLASSSSVLSHTGPLYELSELMKQLPIKRGLSKYYQGKSESFGSLANLKSFQDLAKRSRWSTKSPFRSQSQNQTLIPKGIIVKNKKNSLFSSLGKIKMDSLLDTISVQNHF >KVH94614 pep supercontig:CcrdV1:scaffold_114:84570:85704:1 gene:Ccrd_003326 transcript:KVH94614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MEGTGKVKKGAGGRKGGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPILLPKKTATKEPKSPSKATKSPKKTA >KVH94595 pep supercontig:CcrdV1:scaffold_114:140758:147467:1 gene:Ccrd_003331 transcript:KVH94595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIATDIKQRLADTDSKAEPSTIKINQADSSGANGQAAQKSACCG >KVH94602 pep supercontig:CcrdV1:scaffold_114:437946:451173:-1 gene:Ccrd_003342 transcript:KVH94602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin C-terminal domain-containing protein MDLCSSKTKWASLEPAVQILVKETLKPSIRGQSFSCDLEGFGRQKSMMGSSRRSKREESRRRHIDDDDDDSPSISDESDSHDSSPRRRPSGRSRDRSRSSKRSRRRDSDDDDSEDDSDDHRSKKKKKGTGSSKKISEEEIAEYLAKKAQKKAMKVAKKLKSQTVYGYANDSNPFGDSNLNEKFVWRKKIERDVTQGVPLDMFSVKAEKKRQKERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFEQSKVRSGIRLREGRIKPIDILSKHLDPSDENDIEIEEPYMVFKGLTVKEMEELHEDIKMHLEMDTGTPTHIQYWEALLVVCDWELAEARKKDTLDRARVRGEQPPVELLAEERGLHSSIEADVRNLLDGKSFVALEALQSQIESQMRSGTAKVVEYWEAVLKRLHIYKAKACLKEIHNMMLRKHLERLEPYATYGDVERDKTVSPKEEEAGSFSPELLHEDENDEAIDPEEDRAILERKRIAVVEEQQRKFQEAMAMRPPPSEDNLEMRAVKIMGAMDNGDEVFGNSDEVNVDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPIYTIEKDGDSAETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >KVH94600 pep supercontig:CcrdV1:scaffold_114:179082:200466:1 gene:Ccrd_003334 transcript:KVH94600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPVTDGDDYAHHRQIVLADVVAPISHSISSSSSITPMSTTSSAFSPSDGSAIQKVPGSDGLRFDTVSPTNMQGTPKFTLPKDWSVEKVPRKGGGTTDKYYRDPETGRQFRSLKEVERYITEGITPAKFKAKRLNSHHHEKNSDSQDTIVAGGKNSGSQNMIVVSGKKLDLEEDKDNQYQLVNVSTPIISPTSSFKLPDGWVVEEVPRRSGGYIDKYYYEPGTGQRFRSMVAVQKHLEELEENSPFISRKEKTSSFVDPPSKINWVIAGAGGDAWNAFEDDTLVPDSVKQQWGKTFMMSINNSKHNAPKRMKSFFN >KVH94604 pep supercontig:CcrdV1:scaffold_114:384952:392628:-1 gene:Ccrd_003340 transcript:KVH94604 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAASEDSNTLFPIFIFSILALPLVPYTIFQLYHLATKKATITKCQCSVCMQSGKYRKSIFRRISNFSTYSNLTLLMLWVIMVLLAYHIKSSSHEVEVFEPFSILGLEHGATDSEIKKAYRRLSIQYHPDKNPDPEAHNYFVEYISKAYQALTDPTSRENFEKYGHPDGRQGLQMGIALPQFLLNIDGTSGAVNLLGIVGICIILPLVMAVMYLSRSAKYTGNYVLKHTLSAYFYLMKPSLAPSKVMDVFIKAAEYMEIPVRRSDGEPLQRLFVLVRSELNLDLKNIKQEQTKFWKQHPALVKTELLVQAQLTRESACLSPSLQRDFRRILEVAPRLLEELMKMAVLQRPPHGHGWLRPAIGVVELSQSIIQVAVPLSARKAAGGSTEGIAPFLQLPHFSEAVLKKLARKKVRTFEDFRDLNQQERADLLTKVAGFSPSESQDVEMVLEMMPSITFDIKCETEGEEAIQEGDIVTMRGWVTLNRKNHRVRALPHCPQYPFHKEENFWLLLADPNSNNVWISQKVSFMDESAALIAAPKVIRESKEWAGASPKELTSAVKEAVEKVRNGSRLVIGRFLAPEEGTYNLTLYCLSDSWLRCDTKMSLKLKVLKRTRAGTRGGVQMEEGAGVEDGVEDEEVEEDEYDDDESEYSDDEDDVVAEGSADESDNKED >KVH94608 pep supercontig:CcrdV1:scaffold_114:23471:24073:-1 gene:Ccrd_003320 transcript:KVH94608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFSFGNRPNVFQVSILLNLIQLFFTTSGSSLHINNPSHLNQSTIEIHQFIDPNPNSVSSPMPAKKLRRLPHVFSKVLELPLRSNADVFIEDRSECFRFRAKIEDNAFSGEVKAHAVKIHPEVTKVVVRGGNGGGEVELLLDKLEVDVWRFRLPATSRPELATAVFLGGELIVTVPKAWKVEDGKGRLGRLQGRLVYAV >KVH94594 pep supercontig:CcrdV1:scaffold_114:149656:153590:-1 gene:Ccrd_003332 transcript:KVH94594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHDKGFWMGKGNGHITNRESLFGNSSSVESKRSHQWFTDATEAELFPHKRQAIESPNSKSISGIPTSHLSWDVSAFQSSPNQFMDRLLGSPVGMDNAHVNKKIVHHQLGNESSVGLSMSYTIEDPEICVNYGGIRKVKVNQVKDTASSVSASKEHNSDILLNQVYNRENDTNFITMRPNYGNEDGNVTLMGHSYSGFDPTLRKDEENPISIDHSFDKGEVSTISFEGFQDESEIDPLARPMNGYYVKAMNNYGLSFDRSTVGTLQSPGIKELDASNTISVGNMPQGIKSKSKSEPKATKKEAPNSFPSNVRSLMATGIFDGVPVKYVSLSREALNAYEFERHAGCKTKHPNNHIYFESGKTIYQIVQELRNTPESLLFDAIQTVTGSPINQKAFHSLKSYGI >KVH94605 pep supercontig:CcrdV1:scaffold_114:403880:417486:1 gene:Ccrd_003341 transcript:KVH94605 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme MVYTLPGIRLNTLPPISHCGGDRRTTVAASTSTNLSVLFRKNTLTRKFLAGRSVYEFESPSFMVAATEKVLVPGSQSDASSSTEQLEALGTDAKDSKISQNEVSLEEEQLDGTTEKDFVTSPVLVEDIAATEEVRSVSLVEIGKESAAIRQMPLPPPGIGQRIYEIDPLLDRHREHLEYRYSHYKKIREAIDKYEGGLEAFSRGYEKLGFTRSETGITYREWAPGAKSASLIGDFNNWNPNADVMTRDEFGVWEIFLPNNADDSPPIPHGSRVKAPGEIPYNGIYYDPPEEERYVFQHPRPKKPKSLRIYEAHVGMSSTEPMINTYANFRDDVLPRIKKLGYNAVQLMAIQEHSYYASFGYHVTNFFAPSSRCGTPDDLKSMIDKAHELGLVVLMDIVHSHSSNNTLDGLNMFDGTDSHYFHSGERGYHWMWDSRLFNYGHWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGLTTDVDAVVYLMLVNDLIHGLFPEAISIGEDVYGGVGFDYRLHMAIADKWIELLKLRDEDWKMGDIVHTLTNRRWSENCVAYAESHDQALVGDKTLAFWLMDKDMYDFMAVDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRADQRLPDGRFIPGNGNSFDKCRRRFDLGDAEYLRYHGLQEFDKAMQHLEEAHKFMTSTHQYISRKHEGERVIIFEREDLVFVFNFHWHNSYSDYRVGCLKPGKYKIALDSDDPLFGGFGRLDHDAEFFTFEGSHDNRPRSFMVYAPARTVVVYKLVTDAVSK >KVH94612 pep supercontig:CcrdV1:scaffold_114:67891:68788:-1 gene:Ccrd_003324 transcript:KVH94612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGEKKGSNSPPVGESAPGSAASGPFSDCGSWKSCQWSISSLGSNLPGSGREEEQQTTADNRQKMLSSLRTQPFPRKEDLRRKVTEVGHSDPGVPCGRNCYDVRTGFRCSRIERRYRFT >KVH94613 pep supercontig:CcrdV1:scaffold_114:70000:80111:-1 gene:Ccrd_003325 transcript:KVH94613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MTKIIKEMLPPDVRVAKDTQDLLIGCCVGEILVMLSKKTTFQRIAPHEVEFIKLVVKDISSKLPAINAVGNLIGMRTRINGVVSSLNAFPNELSMIGIAGMGGCGKTTLARAVFDQICNEFEGDEDVQYLPDSLQYLKLELGFLRVLLKTFQASNLVALKIPYMEQLWEGGERKVLHKLRFLELPRSYLRTFDLGLTPNLEILNLEHSRVLVEFHMPLRFPKLKSLNLSGSKLSTLDLRLVPNIETLNLMECSYLAELHIPCECPQLKFLNVSSPKLRSLDFMLVPNIETLNLNGCCDLVELVMPCKCPQLTSIDLSSSKLRSLDLRLVPNIETLNLQGCYNLVELHMHDECCKLRTLSLGCPQLRTFDLGMIRNLEALTLDGHDDLVQLLVSVRCQQLEYLKLINSKLSTLELTRNLKKLILTSCDLVELYIPVGDVMLDYLKIKGCSELKTLDLGRTPNLQSLHIEECSSLVKLLAPIGGLKKFTNLKAKGFLRFTNLNIEERYKLLPSLDLHGKLIDICPLHPDDNFPKFQFDCTYQDDLPSLIGNVEKLISVGYLRLECDIPEVPKDLDNLQCLEQLILSSPSIKNLPDSILLLKHLKSLKLYNCELLEKLPEDLGRLECLEDLFLMSDKIKHLPDTICTLKHLKLVTLFCKLLEKLPVDLGRLECLEKLIFKSKKIKHLPYSICKLKHLKSFELYCELLEKLPEDLDRLECLEKLNFTSKKIKHLPDSICMLKHLESLALYDCEFLEKLPEDLGRLECLTWLILARCLVLQDIPNNICRLKSLKYLSLHDSIRVEKLPEELGRLGCLKKLDIQGTRINTFPPSILLLGGLKIVRSEDGTTTTTTTTTGGCCTVLYCTIFSLLGTNGSYCTASITRCRDEEGGENEGCHLYEAIIQEWTQQVQDMIWNYHDEAAAQEEAQKQQPKNSSHIWRFSGIDWKRETGTRTRGQKSESRTRNRERAEIDRLRGSRTGNCREGGSKTGSRLGEGLIRNRSAERGSRNCRSERNEDQKSGRN >KVH94591 pep supercontig:CcrdV1:scaffold_114:367164:368558:1 gene:Ccrd_003339 transcript:KVH94591 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MDYVLTIVFCLPFFYLLCILLKFLENKRHQGCYILDYQLYKPSDDRKLSTEASGDIIKRNKNLGLNEYKFLLKAIVNSGIGEETYGPKMVFEGREENPTHEDAVTEMEEIFEDSIGKLFDRTGIQPSNIDVLVVNVSMLTCMPSLSARIVNRYKMREDVKTYNLSGMGCSASLVSIDLVRSVFKSKRNQLAMVVTSECLTPNWYSGNDRSMILSNCLFRSGGCAMILTNKPSLVHRSMFKLKVLVRTHHGSKDEAYGCCLQTEDDHGRVGFHLGKSLPKTATRAFVDNLKQIAPKILPMRELLRFAVLSFARKTVQNVLGKSSWPTRPVIDFKTGIDHFCLHTGGKAVIDAVAQSLNLTQFDIEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDNLFMISFGAGFKCNSCLWEVVRDLEGSGNVWKECNIENYPPKTLENAFMAKYGWIQDEDVATFKFL >KVH94597 pep supercontig:CcrdV1:scaffold_114:290930:292549:1 gene:Ccrd_003337 transcript:KVH94597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1635 MEEMSSLWSYQESVDELKLKIQYTAQELEAVKARANEEMNRNSESVKQLLQLLKFVCHERDQARDQIQKLFIKIMPPMHNTMMISDCFAIDQLHHLHQSPLSKPAKANSSMAESNSFSDAYNHCLSPVDSLFDPVTSPEFSNMNTNTTLVSANGLHASGSVPKTDHATLMMESMIKGKTLPRKGNLLQAVMEAGPLMETVLAASPVPNWQNMSLSSFHIPTVSGSNNAQNPMSMMILRQSQPFVEMSCGNFSQLTDVRGGGSSILSFDDVNLSDSRRRMVTGCPRSCSFGQIDKRQRFQ >KVH94611 pep supercontig:CcrdV1:scaffold_114:60528:66312:1 gene:Ccrd_003323 transcript:KVH94611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor 3B, subunit 5 MQASDRFNINSQLEHLQAKYVGTGHADMNRFEWAVNIQRDSYASYVGHYPMLAYFAIAENESIGRERYNFMQKMLLPCGLPPEREDE >KVH94601 pep supercontig:CcrdV1:scaffold_114:6279:12342:1 gene:Ccrd_003319 transcript:KVH94601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKLNPLWLSCLSASFRTALACAIVAAATLFGPSALRRQVAFPAFSYVTVILIVTGASLGDAFRGCWDAVCATFLTVIPAILGLWAIGPSRLTTALTSTVVAVAAFVVMLPDHRTHLVSKRIALGQIVIIYVVAYDMGGETDPVMHPVHVAASTAIGVLACVLALLLPYPSLATCQFLKPYCMNTAEKLQAVEIPVKGLQIALHHLHSFPPQILDHDLKDSLHKLQENITLTFDQLKSCMPSDHLATFPESNLENLMLPLHSFPQTHKDLPSFFFLFCSKLLQKKMTESSSANPLSSRDERNKKNPEWNLSEWISTFVRHRRFMLALKCSLSVGFAVLFGLIYSKQNGIWSGLPVAISFAASREAAFRVSNLKAQGTVLGTVYGVLGCFVLEKFVKVRFLILLPWFIFCSLIRRSRMYGPAGGVSAVIGAVLVLGRENFGSPTEFAIIRIVEAFIGLSCSIVVELVFQPTRASTLAKIQLSKTLQVLHECVCAVSLGSGSKDCIGNGRNKLKANVNELRKFIQEAEMEPNFWFLPFNGVCYNKLLKTLSNMEALLVFMNHANESLEQESRQMGRTMSFKILEGDVELFKEMIHPSIKCFERVILVKSLNKLDKDLQKSGPSSDIELGKLPYSPQKLNVHSEDEMGKMVNSYLERSKEFVVGEGCEEVKGHELVLSLSAFAFCLRGLVRDTKEIEKGVMELLQWENPSSHVNLYEISCKIHAICDI >KVH94615 pep supercontig:CcrdV1:scaffold_114:91962:104105:1 gene:Ccrd_003327 transcript:KVH94615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVMETVEATTGHHGEGTTSIYALFIVEGASVSLRGRHFHGYPRLRSISSPVIALRRNQRYITKRTSLVKCAMDASFGDAIDESSRLLLLYSCEASMVLIQKFYESKNPKIDVKKKDEGIDPNHSRYVHCCHYREPANNGVSEWRLPDIFIFFIQVDVVASLLQQIVRRSIVGSSIQGKICMGTGLSYDTNEPVLKDAFGQHGEIIEVNPKERACHKLLQLKLYVITRVANQKGMDLYISFLRTLPAKPWQKWTISCWMVEIFGSNMQTKNEPQNARSDLLNQILLLFCRGHYLDEHACVGFCKIDK >KVH94599 pep supercontig:CcrdV1:scaffold_114:212272:214348:-1 gene:Ccrd_003335 transcript:KVH94599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF966 MEAISRSGRTTTELHHMSKKWKDPRTAERSPEHTKVWTEPPSNNNKPRRVPVVYYLTRNGNLEHPHFIEVPLSSSSDGLYLRDVIDRLNSLRGKGMAALYSWSSKRSYKNGFVWHDLAENDYIYPAHGQEYVLKGSELVVAGGSVLTSKSEAQISKNSLSPDSEKSGDDDFPVVRRRRNQSWSAIDLHEYKVYTGDSSGGKVAADASTQTDDKRRRRRTMIREEEEIKEEEETKVTFHENESSELSREEISPPPSDSSPETLESLMKADGKLILRPETEDHNRNVNGTSNNNKIKASSVLMQLISCGSISFRDCGPGAYGKDNPGFSLISHYKSRMLPRGVGVRNNAEDATVEDAVVLRKNRRIKKHTVTEDKEYFSGSLIETKKEEFPALKRSNSYTGNR >KVH94607 pep supercontig:CcrdV1:scaffold_114:117320:126840:1 gene:Ccrd_003329 transcript:KVH94607 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] (complex I), alpha subcomplex, subunit 2 MAWMGQLSKNLKELRVLFCQTSPASSSTRAFVEKNYKELKKANPKLPILIRECSGTEPQLWARYDMGVERGIRLEGMTEPQISKAVEELAKGGASLKS >KVH94610 pep supercontig:CcrdV1:scaffold_114:46914:54325:-1 gene:Ccrd_003322 transcript:KVH94610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRKLHHSFRPLPHPSRIVSTTAAPSYPTNHENHHHLDNDENIVSTLADIVRGNQSWSSSFNSTFISNNLKTHHVEKLLLRTLDNPRLALRFFNFLGLHRNFNHSTMSFCILIHALVQNNLLWPASSLIQTLLLRTSDPRIVFGHYYDTYVNCNFSRSIGFDLLINAYVQGRRVLDSFLVVNLMKERNLMPEIRTVSDVFNGLIRIRRFDLVLKFFDEMIEMGIKGNAYIHTAVIRCLCELKNFDRAKEMVQWLECNGYELNIIVYNVLIHGLSKGQRMEEAMESKKVLALKGLKADVVTYCSLIMGFCKLQQFKTARILVSEMVEMGFIPSEAVVSGVVDGLRRNGDVIGAYNLIKDLEHVGAVPNLFVYNALINSLCTEGNVKEADLLFRNMGAKGLTPNDITYSIIIDSFCKRGQVEDGYVFLTKMKDAGIKASVYPYNSLISGYCKSGKLRTAESLFEDMICEGLRPTVVTYTSLIDGYCKHRYVPKALGLYYEMTEKKISPNTYTFTALISGLCLANMMTEASGLFHEMVERNVMPNEITYNVMIEGHCREGNTVKAFELLDDMVEKGLKPDTYAFRSLISGLCSTDRVSEAKEFVNNLNKKNRKLNEMCYSALLQGYCQEGRLDDAIDACYEMVEKGIDMDLVCYSILINGSVKQGDATRLIYFLKEMHDQGMKPDTVLYTSLIDAFGKAGDLKKAMGYWDIMIGEGCNPSVVTYTAIINCLCKVGYVDKAEILCREMLDDGIIANHVTYGCFLDCLTNEGHMQKAVHLHNAMLEGSMANTITYNILIRGFCKLGRFEEATKALFEMHNNSILPDHVSYSTIIYEYCRIGELQEAIKLWDSMIARGLKPDIVAYNFIIHGCCVAGELTKAIKLRDDMIRRGLKPNQTLQLL >KVH94592 pep supercontig:CcrdV1:scaffold_114:312363:316320:1 gene:Ccrd_003338 transcript:KVH94592 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MQVHETLTPAVIAAVVLSSVFLYLLFKKKQNHRLPPSPPSLPIIGHLHHLGPLIHQSFHHLSTRYGPLIHLRLGSVPCIVASTPDLARDFLKTNELAFSSRKHSLAIDHITYGVAFAFAPYGPYWKFIKKMSTVELLGNQNLGHFLPIRTHEIQELLQTLTEKAKRRESVNLTEELLKLTNNVICQMMMSIRCSGTNSEADEAKNLVREVTQIFGEFNVSDFIWFCKNIDLQGFKKRYTDTHKRYDALLEKIIFEREEKRRKEGKREDGKGKDFLDMLLDDFFTAATDTTAIALEWMLVELISNPKVLEIAREEIDQVVGNERLVQESDAPNLPYIQAIIKEALRLHPPIPMLIRKSIEDVSVQGYDIPAGTMLFVNIWSIGRNPKYWESPLEFKPHRFLEDDPVKKSLDIKGQSFQLLPFGTGRRGCPGINLAMRELPVVIAGLIQCFEWNVNGKQVLDMDERAGLTAPRAADFVCVPSVRENSPMIFTST >KVH94596 pep supercontig:CcrdV1:scaffold_114:129816:131942:1 gene:Ccrd_003330 transcript:KVH94596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 ETLTHFPVSVFLPHSSSRRCRFCSEHFDFVRMVRVSVLNDALKCMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KVH94603 pep supercontig:CcrdV1:scaffold_114:495208:499675:-1 gene:Ccrd_003343 transcript:KVH94603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2363 MIGKPATAVLYSLLKDGEKRPVEEIIVDFSSKISRSLHMDICSALVILLEDTPKFLKPTERLVAFALLHHSYSSVQSSTNPYLSLLINFACDEKNQDFERAFILHLLGSAKEVFKLSAADYINKFDPSSHNFPQLEQLQHQFRDKTHPHPQPFNCFFKNVAIKNVIPDPDVPRGCDVNSPEFDSKPKIGSGDREETVTGLLQNLSLEGLYPRWTRPRPPLLPILEGELMWLNPDNTHEPLWDFGMCADTSRGAAVRDLIVKALKGPLAPAQQEQVLLELTSDPKLVYHCGLTPRKLPELVENNPLIAVEVLIKLMNSPEISEYFTVLVNMDMSLHSMEVVNRLTTAVELPTEFVHMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >KVH94598 pep supercontig:CcrdV1:scaffold_114:263740:265893:1 gene:Ccrd_003336 transcript:KVH94598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGKEDDMMMMTTTMRKGPWTEQEDVQLVSYVNMFGDRRWDFIAKVSDFVGHGIKRFKKELKWSRIARKLPGRTDNEIKNYWRTHTRKNAQEKKRVLSPSSSFSNSSSYSSICTSNPTIDSTPMTEFNQRSFYDTSGLEMVVITKGESDSNTMKSTTTSLNDVSEMQDGYNSMDEIWKDIDLLEDDGITPVFGTCSEIAPSPMWDYSLNALWMIDGLEETESNMFFPDNKRAI >KVH94606 pep supercontig:CcrdV1:scaffold_114:112831:113250:-1 gene:Ccrd_003328 transcript:KVH94606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAPKAEKKPTEEKKTVSEKAPAEKKPKAGKKLPKEAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KVH94609 pep supercontig:CcrdV1:scaffold_114:32016:42843:1 gene:Ccrd_003321 transcript:KVH94609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFVVTPKSSAYFSALTQEIDKKLRRAIASPTQRRDLLQALFADIALEVDDRARDIILGREDANSDARVEVKVPICFYDVLADHFYLEHQHDNPILALIVQLWSQPFASHIFALLFHKWLFEVQLDSSDVLLRYSSALVQGATNVFWIDIQTNTTHFQSLFSYLLVDIALMPEKLKKIPLQAQRDLFLLLSRFIFLYNLVDKIESFLQHFPEFPNAFLVGGSADIFVIELSDQLQKLKVEPVLLHYLSHIKILRGLELRMTTSTRLKSCLYSFTSPGGPMYPTRAVRHAAWDALDFLFPVSNLCGEISSTHHQSVLPVAVSMVLAIVVLELCIVMHTSNNVVDLEAHVPEPYRWGLLW >KVH94593 pep supercontig:CcrdV1:scaffold_114:161058:166738:-1 gene:Ccrd_003333 transcript:KVH94593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erg28 MKALGWWLMLVGSLRLASVWFGFFDIWALRRAVFSQTTMSEIHGRTFGVWTLLTCTLCFLCAFNLDNQPLYLATFLSFIYALGHFSTEYLIYHTMAIQNLATVGFFAGTSIVWMLLQWRTHTRLKKE >KVI11419 pep supercontig:CcrdV1:scaffold_1140:27400:49775:1 gene:Ccrd_010168 transcript:KVI11419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF647 MSFALHLSFPNLNSYRTHKLITPTRLHGVQFICNFSLKQTNFSSEDDTERISDGSQHRVVLVEKYGDGTLKRYILDEELQLTTFLEDDSKSNGFSSKELPWLPDIVKDFVLPAGFPGSVSNDYLEYMLLQFPTNVTAWICQTLVTSSLLKARGRFGNLFDDDPKQWRMYADFIGSAGSIFDLCTPLYPAYFLPLASLGNLAKAVARGLKDPSFRVIQNHFAISGNLGDEEVWEVSAQLLGLGLGIFILSTPGVVTSYLVLASTWMAMRLLHLWLRYQSLSVLQFDTRARILVNLHVSNSTVLGKLCAFDGTHFSCSLFKFLITMLDLGCEECNKMENILSWEEFSVPRIVFGVSLSDMLGGERSGLKVKMLLELYAKEKYILVVNQQPFKDFETLVSFKDGATSLSVLRSVWQTYWLYKNRSKQDDGFDQLKESLKKIDDRFEDFLQQMRDVGWDIDEINLKIRNDISIEEIFFYKLCENKKDEIKYAIE >KVI11420 pep supercontig:CcrdV1:scaffold_1140:54652:61537:1 gene:Ccrd_010169 transcript:KVI11420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHDGDIDVVQDPTLGKIKKVKITTNKTSSSSHGNTEPVSPHKPLVKIEETNTAEKPVEFAEEGVDIDFNNQNTKKRGKKIVNPSARGKIKRVKDASEELDGSDFVQNPTLGMLKKVKVTTAKKASSSHGQTEPISPHKQPVKVVKPNRGPQQAKGINHETDKGIEEEPSSDTSEVQYRVLRTRTSPRTQHETVHTLNDSQRDAIKSMRLGSLLDIKIDGVPSRLGFYVVDNLTNMRLNVRHDSIPITISSTHDLLGLPTGGIDILCTQQKKPETNINDMFRKQFVKKNMRPKDVMKLIEGTGDASGAFKINFLVLFVNLMGEIKLLKDMIDNILATKCKIEERLSACLSKYHSDEAFISLAGELNDIFKNYKKHEGSRDTVPCANSALSKEKEEAITDNVASTAIGTSREKVSELISSDICVGQRVRDETSIENDLILTPLSQYWLSQTFHKICDETVENSISSKQHSKDVLNIRPPHFDLGISPTKTVEPSSVIKPTRKEQGKGICIEDEATLKKCNSDIVFELENGTNILRGNLASLASNSMVYTNVIDGWAELLNYEEMYRSRDSLCRNNLAKSARFDMNILKMRNMDLHLLMIKHQKVVRHLTWQEIDETRQERLQMSWQTKENFDDGGVFLMRHMETWMGDERSWKTGFTT >KVI11421 pep supercontig:CcrdV1:scaffold_1140:69956:72571:-1 gene:Ccrd_010171 transcript:KVI11421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSALTVSHPIQTNHQQPKPISKNHNFGFSPKTPSSIPKSTSDSRSWVEQLRSHTRSGNFQEAILSYINMTTAGYRPDNFAFPAVLKAVTEIQELNLGEQVHGAVVKLGYDASSVTVANTLLNMYGKCGGLSDVLKVFDRITERDKVSWNTLIASLCRLEEWELALDMFRGMQFEGVEPNSFTLVSMALACSNLENRRGVMLGKQVHAYNLRVGESMAFTNNSLMSMYAKLGRIEDSVSLFEMFDGKNMVSWNTMISSLSQKDRFEDAMAVFQLMILEGMKPDGVTISSVLPACSHLELLNHGKEIHAFAIRNSDLIKNSYVCSALVDMYCNCREVAIGRRVFNGVVNTSLANWNAMLAGYTQNGFYDQALMLFLEMMEFSGLFPNPTTMASVLPASVHCEAFRDKEGMHGYVVKLGFAGDGYVRNALMDLYCRMGKIDIARNIFDSMEIKDPVSWNTMITGYVVSGCHEHALDLLHKMKQRDETDDQIDVKKITCKPNSITLMTVLPGCAALAALAKGKEIHAYAVRNLLASDVAVGSALTDMYAKCGCLNLARRVFDSMPIRNVITWNVMFMAYGMHGKGDIALSLLESMVAEVKPNVVTFISLFAACSHSGMVDEGRNLFHRMKDEYGVDPTEDHYGCVVDLLGRAGQLAEAHKLINSMPPLFNKVGAWSSLLGACWIHQNVELGEIAAHNLLLLEPDVASHYVLLSNIYSSVGLWEEATKVRKNMIRNGVKKEPGCSWIELNDEVHKFVAGDSSHPQSKQIQGYLETLLERLKQEGYVPDTSCVLHNVNEEEKENLLCGHSERLAIAFGLLNTPPGVPIRVAKNLRVCNDCHSATKFISRVVEREIIVRDVRRFHYFKDGKCSCGDYW >KVI11418 pep supercontig:CcrdV1:scaffold_1140:8098:11442:-1 gene:Ccrd_010167 transcript:KVI11418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLSPSIFLCVLHLDFSLLDFVYLSLYLKRFSHIRISFYTDPVLCGFGLDHGTTNSLEISTLRLLFSSFLLSSRRTRKGRMMLNNHLRDTKHFDFFNALDKTRHTSDKKDLQYTRYGKTNFIKFYHERCTLTFVKGGP >KVD99155 pep supercontig:CcrdV1:scaffold_11402:2:1060:-1 gene:Ccrd_024107 transcript:KVD99155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MHSGSTFNHRYVKSSPRKVENATWMLTLNTAPVYMAFLRFMGDENDARNYSYSLEVGTNRRRLIWEGTPRTIRDCHRKVRDSHDGLIIQRNMPLLYETVIEELGTATTG >KVD99154 pep supercontig:CcrdV1:scaffold_11402:1191:1400:-1 gene:Ccrd_024108 transcript:KVD99154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDGECPIELIGSCRNKVQVYRSVRMPQLHTSLHFHLTPIVMINGSSRRDLLLNSQNFCRSIPAGAEI >KVH93628 pep supercontig:CcrdV1:scaffold_1141:135362:136926:-1 gene:Ccrd_004322 transcript:KVH93628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MHNSSQLRKEFIKKWIHGLQICCSSKKHMNLMERKKKIKLSADIALASAKNATTSWSKALIADVKIDEENMILINNLSGPESKFVGAHDQRVTCHKRIRSKKILKKSCGVGKRIKRMGSRRSDLATCIAERLVKKRTKVLKRLVPGGESMDEVTIIKEALDYILSLRVQVDVMKNVVNATGVLD >KVH93627 pep supercontig:CcrdV1:scaffold_1141:73532:75565:1 gene:Ccrd_004320 transcript:KVH93627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVLICSSQVTYDTTGFLEKNRDLLHFDSIQLLSSCKCKLPQIFAPNMCSQPEKHVAGSLNKSGGVDSQKLSAMSKFKASGRQVYGFQLSSSVVYVFLFEFFDDSIRNIQTAKVTGLDTVLAGHRSIKKFPLWFDVKTASKISRMMHFMINIIPPNPGRA >KVH93626 pep supercontig:CcrdV1:scaffold_1141:112404:115488:-1 gene:Ccrd_004321 transcript:KVH93626 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF828 MDSDYMPTLSEAHPETMDLLSRAWCDFAVKEAYQPEFQNQALVLHEYSINSFDDGSGSPNLLKLESIKMDDATKPLPPWKTNDVKSWIWMQQAMHPELNYSSCFRKKWQPWHMIPFRSSHLSIKKWLKEIKRKSKENERLQRAEVHAAISLAGVAAALAAIAAENSNHGGSNSSTATKEAAVASATALVAAQCAKAAELIGAKKEQISTAMSSAMSATSACDILTLTAAASTSLRGATTLKTRSAYKNRLNTNVPVIMRTRKLHLLNAFASKKESVVLDQHVELYKNSEDDGDTCFLIVLTTTRGIIKMDMMNDDQCYKIWAWTLNHMLSLSTSFTKYSLPYHRN >KVD98534 pep supercontig:CcrdV1:scaffold_11412:212:1344:1 gene:Ccrd_024109 transcript:KVD98534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily ILARFSRNEFGSTIGVEFQTRTLVIKHKSVKAQIRDTAGQERTALNTGRVVYXPL >KVD98532 pep supercontig:CcrdV1:scaffold_11414:9:411:-1 gene:Ccrd_024110 transcript:KVD98532 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEVSMATVMGVGLVLLLLILTLALLHACAFPKEMDGIPGSFGWPFLGESLSFISEFSSPAGIFSFMNKRQQRYGKVFKSYVLGRYMVFTTGMEASKMLLTGKDGMVSLNLFYT >KVH96393 pep supercontig:CcrdV1:scaffold_1142:47356:50921:-1 gene:Ccrd_001523 transcript:KVH96393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MPEPARRDAIVVREAFSTNIDLKAVTELLLAYLSIPRSEGPEFDRTMAENDAQALYKAGEKRWGTDEGTFRRIFSERSRAHMAAVGSVYKHMYGNTLKKVSLFTLLLIRYYEHLFFFDVLRKAMKGLGTDDKTLIRVIVTRAEIDMQYIKVEYRNKYGKSLNDDVHSETSGHYRSFLLTLLGPDQ >KVH96391 pep supercontig:CcrdV1:scaffold_1142:99256:114422:1 gene:Ccrd_001521 transcript:KVH96391 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease MVVVDVIEEEPVEIDGIKIPVDTSKPNPNQIEYDNLYLDMNGIIHPCFHPEDRVTRDELFDLEKLIKPVNGCLIYELPRIHRKLEPSPTSFNEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQESQTFDSNVITPGTEFMAVLSVALQYYVHQRLNNDPGWKPIKVILSDANVPGEGEHKIMSYIRLQRNLSGFDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFICGQMGHLAATCEGKVKRKAGEFDEKGGADIVPKKPYQFLNIWTLREYLEYEMRIPNLPFKIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLLAVYKKEFRALNGYLTDGSKPDLSRVEQFIQAVGSYEDKIFQKRARLHQRQAERIKRDKAQAKSQATRGDDAEPQMEPESLVPVGRFQGSRLASGPSPSPYEPKGFHEELKTKLKGILREKSDAFNSEQPEEDKIKLGVPGWKERYYEDKFSANSPEELEAIRRDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGELSINFELGSPFKPFNQLMGVFPAASSHALPEHYRKLMMDPDSPIIDFYPTDFEVDMNGKRFAWQGIAKLPFIDEERLLAEVMKVEHTLTEEEARRNSTMCDMLFVALSHTLSPYIFSLNDRCKQLSARERVEVREQIDPLASGGMNGYISLCAGDPCPPIFSSPVEGMDDIMDNQVICAIYRLPEYHNHISRPPPGVKPPKKTVTVDDLQPEPTLWHEDTGRKPWENGRNGHNRHHNPPGSASGRQLGDAAHRLVTNSLQLKNDRNGHHQAYDPHPHHGPPPPFRPYQSHRPPYNQDQSRMVQPRSSYPPHNYDPSYGQPNYPSGTRYSGRPDFIEGSGGRHTHGYHQNQHVYPPPPRGHHQSPQPPASSSYYSQQGGAYNNSGNYGATNHHQPPTAGWAPRGGGRGQVRPQHGGGNQFSALSRDGTGRRPQQPHRR >KVH96392 pep supercontig:CcrdV1:scaffold_1142:75594:83336:-1 gene:Ccrd_001522 transcript:KVH96392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MHLFPSDIHFSILEDPFQYQIMRNEFCSTVRTPVLDFLIKSAQQLEVPPIVKYAGLSFFAERFYPIISSSSWLIVNFHHIGTDQTLAGESYVTLSPGFLVIIDTDSLGHWLLQPVRESNLQLFALISIWISSKIHDSHPLSVKKLKTVADKIIQEQHYTTRDFADAVLDFEIGTSNIAYRFLEDLVIQLKEVAIVGYHLNFEVCMDIMDLLYEKEETSDLYSSPLKHEMGIKEEEIIEVVRDILTHVLQPCSW >KVH96390 pep supercontig:CcrdV1:scaffold_1142:126237:138812:-1 gene:Ccrd_001520 transcript:KVH96390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDYTLKFSDAAKSSPPCRSHFQKSVHLRDWWLTKPKPDDDRKTLGVAGLTCISQQNRAERCFSSAPILKVFDHFLIGFPPYWEEFCTRWPKRVSAAQGMSRVNKDGEDSIEGHDDFHDSSQGKLLNRDSHTVDMGVEDCEETILSNKASNFMNASSLEIPHEHIIKAGHEVLCDRSSLTLEFDDDPSLKMSSGNNDIHCMKHDDVRDYPSSKNFKIDAEDSYTVLKCLWVSSRRVTRSMTKMDNSKNRSTHGSVLDDIINLDQSTDFDPGNLGSSDIPRKDINNSLSNNKAVNVVDCDGTNFLHHGASSSIKTAAEKLELLNLRSYQKGNSSSGCGVKCESRKNISTSEGNLDEQNLKKISSNLSFIYENGSNEASTCSSDMVSRIKSKRKQNVQYVGDVTEDLRIKLASQVGTSNLRKNIDADVISESQIATRSDLEAKHFKAKRSNPLDPLSTTSMDVGCLVNCSTENFNFASSNIDLDDNIVISRTNMKACRNKKKDAAREVTGMIDVSDNRGAFKAGAAKQGIVFKEASMTRSKSGRQCITSPKSAKSTSRLDLKHPTRTSAGKGFKDRYGSANILSPESFSGKKSRSGRVLLPALEFWRNQTVVYDADRQVTGVLEGTYITQSSRGECARYDSASSSSSSSIDFYCLKEISGTTSVKVQRLQEWLPGENVSLFVAMLAGKNLL >KVH96394 pep supercontig:CcrdV1:scaffold_1142:18504:21345:-1 gene:Ccrd_001524 transcript:KVH96394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MNSMAPVSYTSSTTDHNECKQEVIRSTVNFHRSIWGDQFLTYDERKDQAWEEEQAKELREKVRKELVITTLTKPMQHVKLMELIDAVQRLGVAYHFEEEIEESLNHIYVTYGDQWINDNLQITSLWFRLLRQHGFNVSRIFKKYMDNNGKFLESLRNDVQGMLSLYEAAYLRVEGEEVLDAAIEFTTSELENIAKNHVGNDDSLKIQIQQALRQPLRKRLPMLEALRYIPIYEHESSHNEDLLKLAKLDFNLVQSLHRKELSQLSKWWKNLDLSTKLPYVRDRLVEGYFWILAAYYEPQYSDARIFLMKTCNLVIILDDTYDNYGTYEELEIFTEAVQRWSTSCLDMLPEYMKFIYQELLDVHKEDEELLEKKXMAYRSYYTKEMVKEYTRNLLTEAKWVKEGYIPTIEEHMSVTLVTCAYAMIIAKCYVHGHDSVTEDTFKWVSTYPPLVKASCLILRLMDDIATYKVXFGSINFEEEQERNHCASSIQCYMKQHGVSEEETREVFSKQVEDAWKVINQESLRPTDVPMPLLMPPINLARVCDELYSRGDDYNHAGKEMIHCIESLLVNPINX >KVI06744 pep supercontig:CcrdV1:scaffold_1143:141472:142077:1 gene:Ccrd_014901 transcript:KVI06744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase WSNRYGFLASSFYGSHFSAVVPSISKLGSVCGVRFDAKTLRLCSQKGTQVIVADLNQRNETYFVLSSRAIMAMANKGMGQNLLELGVDNMEYKRIPCDYKSKNLAARVEESAQKPNHLALKYLYQGGQTEIVGNDIA >KVI03152 pep supercontig:CcrdV1:scaffold_1144:123843:129880:1 gene:Ccrd_018553 transcript:KVI03152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MYTIIKHNIKGSYIMDPKGINLGRSLLIPSVQELAKESLTEVPHRYIRANQDASVVSSLPQVPIINMENLSSTDSKDYELEKLHLACKDWGFFQLINHGVSCSLLEKVKQETQEFFNMPMEEKKKYWQQAGDVEGFGQAFVVSDEQKLDWADIFFLITLPTDLRKPHLLPNLPLPFRDTIEEYSMEVKKAAFKTLVFIAKALNMEVEEMKVLFKDGMQSMRMNYYPPCPQPNQVIGLTPHSDAVGITFLLQLNQVEGLQIKKDGQWIPVKPLDDAFIVNIGDVLQMVTNGAYKSIEHRAIVNSEKKRLSIATFLSPNMDGDFGPAPSLITPETPARFTSVTLVDFLKNLFSRELKGKTIIDDYRI >KVI03151 pep supercontig:CcrdV1:scaffold_1144:132747:133042:-1 gene:Ccrd_018554 transcript:KVI03151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission ELM1-like protein KPVYVVGVECCKWKFSKFHKALKERGLVRPFTGLKDMLDSWSYPPLNDTTEAVNRVHEALADRGWRLRP >KVH88335 pep supercontig:CcrdV1:scaffold_1146:124923:130973:1 gene:Ccrd_024111 transcript:KVH88335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MRLESIYISEMNNQDQHKFLPKRIILVRHGESAGNLDGSAYTTTPDYKIPLTPDGIAQAKLAGTQIRDVISDSGKLRNWKVCLYVSPYERTRSTLREIGRSFPRKRVIGVREECRIREQDFGNFQITERMKVIKETRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDSRLHYDPSDDLNIIIVSHGLASRVFLMKWFKWTVEQFEYLNNFNNCEFRVMQLGDGGEYSLAVYHSDEEMQEWGLSAEMIADQKCRANATRGVMMEKCPWYLDHFFDHLDTDSDDNEDAKKTVS >KVH88329 pep supercontig:CcrdV1:scaffold_1147:2798:8636:1 gene:Ccrd_024112 transcript:KVH88329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MISITPKSILFLCFNLLTLISQSQSRFLFHQCEGDNYTTNSTYQTNLGSVVSSLTSATNTAASGFFNGSAGESSDTVNAIALCRGDVELDTCRSCINVSNINATQLCPNRKGATVWYDDCMLRYTGETILGNMVGTGGMIFSVDNFANVEELYRDLRPLMDRLRTEAAGGDSHRKFASGQTPGPGFMTIYGLMQCTPDLSKDQCYNCLETATNSIPNCCNASLDVRILYRSCNLRYSNLRFYNSAVVLSPPPVKASPVPVPAPPPPISIRIETNDLSVMSLQNIYDGFSMRFSGNSSNNTTIVAIVVATVISVVIVVVVLVYIFIRRKRKLGKRPSAVSCYCITIFSYLISMTIILVHHHGDTDEITTIKSLQYSFGVIRAATNDFSKNNKLGEGGFGVVYKGTLQDEKEIAVKRLSSDSGQGELEFKNEVLLLARLQHRNLVRLLGYSLEGRERLLHATLDWERRYKIIGGIARGLLYLHEDSRLKIIHRDLKASNVLLDAKMNPKIADFGMARLFTPEESQANTCQIVGTYGYMAPEYALHGQYSVKSDVFSFGVLVLEIVTGRKNHSFQNGVVVEDLLSHVWKRWRNGTASSLIDPRLRGGSVSQHDMIRCIHIGLLCVQENDYDRPTMGSVVLMLGSSSLTLVVPSEPAFYIHTITGPENPY >KVH88327 pep supercontig:CcrdV1:scaffold_1147:106174:109813:-1 gene:Ccrd_024118 transcript:KVH88327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MAAPAEDDGSNCFFDFSLIEQFPVPGGELPSLEPDFHWSSDPFPDSTEISNGFVDSVGRSNTTKEDESRKRFQELNEILDPGRSYKTDKTVILTDAIRMVVQLRNEASQLKDSSQDMVVKINELKVEKNELRDEKQKLKADKERLEQQLKACCAPPTAFYPPAHPVMQMPPAVGGKFMPIMGFQGVPMWPFATPTAVDTSKDHVLRSPLA >KVH88333 pep supercontig:CcrdV1:scaffold_1147:60491:102491:-1 gene:Ccrd_024117 transcript:KVH88333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MVAVIVFLIFRRRQKRKRKGPLLPEFLVGFDDGSREGSKHEREDDDTGEMNSFGLNGKEIAVKRLSRNSGQGIVEFKTEVQLIIKLQHKNLVRLLGYCVKGSERLLIYEFMANNSLDTFLFDPNKCKELDWAKRANIVSGIAKGLRYLHEDSRLKIIHRDMKASNILLDNEMNSKISDFGTARIFGGNQMEANTNRIVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEIISGQRNNRFFYQNRPQNFLSTAYRLWSENKGEHLIDDRLIQNFSVAEALRWINVALLCVQEDPQDRPTMSTVVFMLEGEWSASLPTPSEPPLSFAKFAAVFEETTTTEKTKSSNVGIIVGPIVAAAVVVAVIVFLIFRRRQKRKRNGQHEIYFRPLLPDFLVGFDDGLREGSKHEREDDDAGEMNSFGLSTIKVATNNFSIENKLGEGGFGPVYKGKLQDGKEIAVKRLSRNSGQGLVEFKTEVQLIIKLQHKNLVRLLGYCVKGNERLLIYEFMANNSLDTFLFDPNKCKELDWAKRANIVSGIAKGLRYLHEDSRLTIIHRASNILLDNEMNSKISDFGTARIFGGNQMEANTNRIIGTFGYMAPEYAMEGIFSTKSDVYSFGVLLLEIISGQRSNRFCYQNQPQNFLSTACRLWSENKGEHLIDDRLIQSFPVAEALRWINVALLCVQEDPQDRPAMSTVVFMLEGERSVSLPTPSERPLSFAKFAAISLVNSIHHQFIKNFVKNQTEMDFPIWVLFLFPILIGDVHRTTAQDSFWDSYCGGTGNYTEGSPYQQDLDAVLYSFTGTNNGFGFYNSTSGRANAAALCRGDIHPETCRRCVDDATRQLRKICPNQMEAVGWYDLCFLRYSNRNMEKEIDVLATGRRVDNISDSSYHQWNQTVANLLGRLRLEAAGGDQLRKYASGNITAPGLPIIYGLMQCTPGLSSRECDNCLAGIIRNIRPFGRRLGLAYRRLSCVIRYETYTFFNSTWYPAPPPLGEPSDAPPPSQRIFVAIDRREIGPLLTEFQAEFKGTSPEGSKHNESGEMNSYDLTTIQVATNNFSIQNKLGEGGFGPVYRGTLENGKEIAVKRLSSNSGQGLVEFKTEVELIIKLQHKNLVRLLGYCVKGSERLLIYEFMANNSLETFLFDPNKCKKLDWAKRANIISGIAKGLRYLHEDSRLKIIHRDMKAGNILLDNDMNSRISDFGTARIFGGNQIEANTIRIVGTYGYMAPEYAMEGLFSTKSDVYGFGVLLLEIVSGQRNNQFRYQDQPRSFLSTAYKLWKENEGEQLIDDRLNLNVPIRDALRWINIALLCVQEDPQDRPTMSSVVFMLEENRSKMDFPIWVLFLFSILICNFDRTTAQNDFWGEYCGGSGNYTDNSGYQQDLDDLLNSITDTNNGFGFYKSTVGEATAAALCRGDIIPENCRSCVEDNARRLRQVCQNQVEATGLDENCFLRYSNRSTGSGVSVISSSSNRNNISDSDYDQWNRTVEELLGKLRAEAAGGGRLRKYASGNITVPGLPIIFAITQCTPDLSETECNECLTGAIVEIRRYDRNLGARVYKTSCGIRYQTYSFFGSTWFPAPAPAPASSGTNIGIIVGPILAAIVVIAVVVFLITKGCQKPKRKGPLLPEFQVGYSDGSPERSTHEREDDDTGEMNFFCLSAIQVATNDFSYENKLGEGGFGPVYRGKLQDGREIAVKRLSMNSGQGLVEFKNEVELIIKLQHKNLVRLLGYCIKGPERLLIYEYMANNSLDTFLFELYAKGFRFATDPIKCKELDWGKRANIISGIAKGLRYLHEDSRLKIIHRDMKAGNILLDHDMNSKISDFGTARIFGGNQMEANTIRIVGTYGYMAPEYAMEGLFSTKSDVYSFGVLLLEIISGQRNNKFCYQNQPQNFLTTVWRSWKENKGEELIDRRLSRNFPTDEALKWINIALLCVQKEPDDRPTMSTVVFMLEGQWSANFPSPLEPPLSFRSPTMSEHSTILTGDTSGPPSLVLTGSTTKSR >KVH88332 pep supercontig:CcrdV1:scaffold_1147:53759:57779:1 gene:Ccrd_024115 transcript:KVH88332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MVHPKFLLSLCLFTSLLVAGTTMAKTELLYAVCSSGENYTRTSSFRTNLETALSIKTTQINYGFYNFSGRPNSDRVDVIGLCRGDINSTSCRSCLHDSGENLRQQCPNQKEAIAWADECMIRYSNRSILHMNQTLPAIFLFNAKNASDPTRFNKVK >KVH88334 pep supercontig:CcrdV1:scaffold_1147:123547:132265:-1 gene:Ccrd_024120 transcript:KVH88334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MASRNQNKPPAPRSPLNLVKVDVEFCSDWVYDANITKKNRVVDEAPFDKRRKIGTGRMMASTTASRGRQALAPMNNQKDVAVAPSETEGSECENIEFTKEEVDALLNERFKGKKFDSKGKQEWMTEYMKKLKFCIKWFQKVLEDLAEEKDNLRKMLDSSEKKCMEAEAAMKSKEEELNTAISKLETNISSLKESLANEESEKINALECHKTEKEARMASEKNQDFLKEELGKAEQNVLKANEKVKTQEDMYKRLQEYNTSLQQYNTKLQNELKAATDANKQVEREKAAILENHSTLRGHYNLLQKEFTSAKDSRDDAMKQKEAAVKEVDILRGELQQVREDRERQLLQVQGLTSELIRFRESTGRTAAEVDSLHLREQISVLQHQLAAANQKLKVHVSLASSVSTMADLSSSEIRTEYEEQKRIVSELQDRLRDSETQLLEGERLRKKLHNTILELKGNIRVFCRVRPLLPDDGPGAEASVCYPTSVESAGRGIDLVQSGQKYPFTFDKVFAHDASQQEVFMEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEAPQQKGLIPRSLEQASMLEIYNETIRDLLSPRSSSGDVSRTEIGGAGKQYVIRHDADGNTYVSDLTIVDSTEQQVQGVLNLIDLAGSERLSRSGATGERLKETQAINKSLSSLSDVIFSLAKKEDHPCLGGDSKTLMFVNVSPDPSSVGESLCSLRFAARVNSCEIGIPRRQTIRPLEKDSRMSYG >KVH88330 pep supercontig:CcrdV1:scaffold_1147:9479:14090:-1 gene:Ccrd_024113 transcript:KVH88330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGIKSIISALFLLQAIIINGAIAQSPDFGIYKCRNNTNFTTLTYPKTLEAALASLTGNVAIHGGFYHSSAGNNTDTPVNAVALCRGDIGPQDCEDCVKSSIPSLRRNCPNQKQAAAWYTNCMVRYSDHNILGVIDNWTFYELWNTAIVSDVDEFNKALNNLATRLQPEAAGGDSFRKMAVGNITFGPDSWTIYGMMQCTPDLSRDQCRECLNDTITGIHSCCSGSVAARVFYPNCFFRYSNEHFYHDPPLISALSPPSKFTSAFLLYLQNRNRFRQMILQSLQYDLLTIEVATNYFSPKNKIGRGGFGLVYKGVLPKGQEVAVKRLSETSGQGVEEFVNEAVLVAKLQHRNLVRLLGFCHEAKEKILIYEYVPNKSLDYFLFDPVKHGHLDWTTRWKIVGGITRGMLYLHEDSRLRIIHRDLKASNILLDQDMNAKISDFGLARIFGVDQTLGNTKKIAGTLGYMAPEYAMHGHFSVKSDVFSFGVIVLEVVSGRRNSSFYQRDDVGDLLLFAWKKWMSGSPLELLDPRIAESYSRNEVIRCINIGLLCVQEEADARPSMASIQNMLNNNSITLPTPSKPPYFLSKALMLDISGDVDKSIPMVNSEDESSITKMHPR >KVH88331 pep supercontig:CcrdV1:scaffold_1147:25166:46307:-1 gene:Ccrd_024114 transcript:KVH88331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MESISMIQFLLLILLQSLCFAAGQTNDFPIFRCRTSENFTNNSVYQTNIDTALASVSSAVGTHYGFSNASAGKSPDTVSAIALCRGDIGSEICKDCVRNSIVLLRRTCSNQIEALIWSLNCTVLYSNRTYSPTYDSRPNAKSRSYVNASNIDDFGKALRDLAGRLRAESAGGNSLRKFATGDVNYGTDSSKIYGMMQCSPDLSAFDCNSCLSVVFSEAQTCCNGDIDVGVFFPSCYVRYSNASFYNDPPAISLPSPTPSSTPSSASPGGTRNSSKRIYVIVSIACVFVGLVSIALCFLVMKARRNAAANKQNKSAFSSLLMSENQNHSVNFAEAGSVEMEMGSVGSLQFDLGTIEAATKNFFVENKIGEGGFGPVYKGVLVNGVEVAVKRLSKSSGQGSQEFVNEVILMAKLQHRNLVRLLGFCLDADEKLLIYEYVSHKSLDYFLFDPNRHGHLDWPRRYKIIGGVTRGMVYLHEDSRLRIIHRDLKASNILLDLDMNAKISDFGLARIVGADQIQANTKRIVGTYGYMSPEYALHGHFSVKSDVFAFGVVVLEIIMGKKRTAMELLDPTLVETCCKDEVMRCINIGLLCVQEDVDARPYMANVLNLLNNHSIILPSPTTPPHYLPKTPKISHISVNKMETKSIKPFLFLLLLQLLINTLHLAIAQAPDFGIYACRNNGNFTSTTYQQTLESALNSLPGNVANHGGFYHSSAGNITATALCRGNIGPNPCEDCVKNSIPSLRRTCHNHKEAAVWYSDCMVRYSDRKILGVVDNWISGNLSNTAVVADVSEFNKALSNLTTRLQAEAAGGDSVRKFASGNVTWGPDLLTIYGVSQCSPDLSRDQCDKCINGTIIGIHDCCSGRVAARVFTPNCFLRYSNEHFLNDGVEMGMGSVHSLQFDLATIEAATKNFFVENKIGEGGFGPVYKGVLANGMEIAVKRLSKSSGQGSQEFINEVILMVKLQHRNLVRLLGCGHLDWPKRYKIIGGIARGMLYLHEDSRLRIIHRDLKVSNILLDDDMNAKISDFGLARIIGVDQIDVNTNRIVGTYGYMAPEYAMHGHFSVKSDVFAFGVVVLEIITGRKSTRFYDQDKPEDLPHFAWKKWREGIAMEELLDPTLFETCCEDEVMRCINIGLLCVQEDVDARPNMANVLNLLNNYSITLPTPTTPPRYLPKTPASYFSSSVSIPRSTDESLITEVGPR >KVH88328 pep supercontig:CcrdV1:scaffold_1147:111760:115232:-1 gene:Ccrd_024119 transcript:KVH88328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MEGQKETHPKPVFKITSTESWDSYLQESKSHGTPVVAHFTASWCIPSVAMNPYFEELAFAFQDITFLVVDVDDLKVLFSILTSNLSCNTILEIARKYEVKAMPTFLLMKDCVVVGRLVGANPDEMKKRVGTLLQSNTEFVV >KVD98179 pep supercontig:CcrdV1:scaffold_11475:89:769:1 gene:Ccrd_024121 transcript:KVD98179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MQNLHNAIRAAGLGNQIKVSTATYTGLLITSYPPSNGVFHDNVRGFIEPIIRFLAHCNLPMLANIYPYIGYLGDPNGNLPYALFTAPGTVVSDNDRRYSNLFDAMLDAHYAAQARIGGENVNIVVSETGWPSAGGRGASVENARKYYTNLIRHVKGTSGTPIKPGRSIETYLFAMFDENRKDGAETEKHFGLFSPNKQSKYQLSLN >KVD98178 pep supercontig:CcrdV1:scaffold_11476:1574:2194:-1 gene:Ccrd_024122 transcript:KVD98178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MQNLHNAIRAAGLGNQIKVSTATYTGLLITSYPPSNGVFHDNVRGFIEPIIRFLAHCNLPMLANIYPYIGYLGDPNGNLPYALFTAPGTVVSDNDRRYSNLFDAMLDAHYAAQARIGGENVNIVVSETGWPSAGGRGASVENARKYYTNLIRHVKGTSGTPIKPGRSIETYLFAMFDENRKDGAETEKHFGLFSPNKQSKYQLSLN >KVI06044 pep supercontig:CcrdV1:scaffold_1148:103496:106864:1 gene:Ccrd_015618 transcript:KVI06044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MDFRYFFCCTRGSDRKEKEKKQSTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEMEFAVEVEILARVRHKNLLSLRDPKLNGNYLEEELKRVVLVGLVCAHNQPEKRPTMLEVVELLKGELKERFDAIENNEMFRISQAGECNDEGSVAGESLDFLSEEKNEKQEIEKAEI >KVI06036 pep supercontig:CcrdV1:scaffold_1148:24940:27517:-1 gene:Ccrd_015623 transcript:KVI06036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKETHKPPLAGIEIKKSMRNLLVSLSSDSDAGIIPSDHRKIPFSDVVVSNTRSLFWGRQWRTIDIQVAIWVSTVHLLSLFAPFTFSWDAFFLAFSGYLLTGLLGVTLAYHRLLAHHSLKLPKWLEYTCVYFGRDPIFWVSMHRYHHQYVDSNKDTHSPISGFWFSHMGWLFDSGYILEKYQERKNVEDLKKQPFYMFIRKTYMWHILGLAALLYAVGGFPYLVWGVSWNTGDLSKNNWWVAVLTFGEGWHNNHHAFEYSARHGLEWWQVDISWYIIRFLESVGLATNVKLPTEAHKLKRSLNNSADTFK >KVI06043 pep supercontig:CcrdV1:scaffold_1148:80842:90011:-1 gene:Ccrd_015619 transcript:KVI06043 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MMAGLSKELVFLILQFLDEESYKESVHRLESESGLFCNVKYVEDLVTNGNWEELEKYLAGFIHVNDNRYSVKMLFEIRKQKYLEALDRKDHAKALEILNNELKVFKTFNEDLFKEMTLLLTMGNFRENEQLSKYTDTKSARAVLLAELKKLVDTNPDLREKCLFPGFKNSRLRLLINQSLNWQHQLCKNPKPNPEIKTLFVDHACRQSNAAQAPSPVPNQLMGSVLRVGGFQPPMQPPEAPAFLATSHGQGIVNSSHPSSLPHHPISGGALVPAAPNAADSELTLKRSRPFGIPEQNNLPTSSVMRPGQSHAYGLSPDDLPKKVILTINHASDVKSMDFHPVYQTLLLVGANTGDIALWEASLANEYPVSVNRVMWSPDGRLLGVAYSKHIVHLYAYRAGNELRDHLEIEAHVGNVSDLAFAIPNRKLCIITCGEDRSIKVWDAATGANQHTFEGHGAPFIFSTSIDGKIKAWLYDDFGARLDYDAPGYTCTRMAYSSDGLRLFSCGTSNDGGSYLVEWNESEGTVKRTYLGLGKQALGVVQFDASKRLLVAGDEGLIKFWDMDNKHLLSTTNAEGGLPASPCVRFSKDGIFLAVSTSDNGVKVLANAEGLRLVRSIQNQPEAPRTASGIVAKNQDSQNLANVRSRNTDELESTNLWKPKEITEPSQLRSLRLPDNLLAVRIVRLMYANSGSAILALAYNAVHKLWKWQKTEQNTTGNATTAVPPHLWQPSSGILMTNDIRDANLEDAVPCFALSKNNSYVMSASGGKVSLFNLATFKTMTTFMHPPPAATCLVFHPDDNNIIAIGMSDSSVQIYNVRVDEVMKKLTGHQKSVTGLAFCTDKNLLVSSGADAQLCVWKTDNWEMHGSKFLQIPARRPNNPVGGTRVQFNLDQTLFMVVHGTHIAIFDPLKLDCSMQPLESEKQWGNDPSTETGVAGPSTNPVAAAASSVQP >KVI06042 pep supercontig:CcrdV1:scaffold_1148:133142:138228:1 gene:Ccrd_015616 transcript:KVI06042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase MFAEENGLHGDPRIKAISDAIRVVPHFPKPGIMFQDITTLLLDQKAFKYTVDIFVDRYKEKKISVVAGVEARGFIFGPPIALAIGAKFVPLRKPRKLPGEVISEAYVLEYGTDCLEMHVGAVQAGESVLIVDDLVATGGTLSAAIRLLGKELEVHCSSSSTIILDQINNIYIFEHVFLERVGADVVECACVIGLPQVKGNWTLNGVPLYVLVEPRLNDLGHFGDGGFV >KVI06040 pep supercontig:CcrdV1:scaffold_1148:6502:8080:1 gene:Ccrd_015625 transcript:KVI06040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MESLHLDPPATDHQNEPKDPYCIAYIIHFLLGAGYLIPWNSFITAVDYFQFLYPAKHVSKVFSVAYMLASMTVLSILAWCSSSGKRKLPEFRVRMNLGYALFVLALVVTQVIDWVDHRNEIRGGSNAGFAVLVSMLVLTGCAEGLTGGSLVGATGKLPGRYMQAVVAGNASAASLPHSRGGLRTSTHIYFFTSILIELLCILCCNLLHKLPAIRRYTARPSHSHLDNQPKHNSDDHHRPKTLSVLKKLQWLLMSVVTIYVVTLSIFPGYLSENVKSTQFGDWYPLFLITIYNAGDFSGKCVTAVYVVKRKRWVIWGCMMRVLFYLLFFGCVFGPKWFRNEVVVVVLTLLLGVSNGYLTSVLMIVGPKSVEVEESHVAGIAMSLFLGIGLVIGSGLGWLWDL >KVI06041 pep supercontig:CcrdV1:scaffold_1148:116728:117566:1 gene:Ccrd_015617 transcript:KVI06041 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MIWSSIPDFRVGERSSWRRYRLWRQERNQRSARLERQLKALEDRIDKQLRRFQAQYSRAMNPTQPKDVAELLVPKSTPPLELATLSWLGDWRPSSILGLLSSLIKSSPTLASSLSHAGATEKAISQLIHDLRIEEAVIDEEMTEIQANCILRLPFNLSEDKSSNSTLAQVHSELKKVHRLIIKAQNFRTNALEMVVKKILCQSDAAEFLVAFAGIQETVHQFSKDYKLRKGPVSVSLSSSSEIVGVDSNHPI >KVI06035 pep supercontig:CcrdV1:scaffold_1148:44069:45700:1 gene:Ccrd_015622 transcript:KVI06035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MFSFLQSDDLVFHEVGQQDLPVDLQDHVTMERNINYPAIKTRKRPGDLSTSKPNPVHADRVGDGKDDAQRKLIHKELERQRRKDMAKLCSSLRSLLPLEFIKGKRSTSDHMHQAVNYIKHMQENIKVQGAQRDQLIKKFAGMSARHLGSMNTNESLTNLLPNTVSVNPCNGGIEILINSCSIQDGFSLSGVLKALVEEGLNIISCTSTKVSERLFHTIQSEVNNEPAWTDPSALQQRLTAIANNHLNVD >KVI06037 pep supercontig:CcrdV1:scaffold_1148:76566:78401:-1 gene:Ccrd_015620 transcript:KVI06037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MQTTMMGVKTHGTIDSHRLKDKKVKELVSDKRRLVEVPYTATLAHTMNALVANQVVAVPVAAPPGHWIGAGGSMILESDKHTGAVRKHYIGMVTMLDILAHIAGDSPDGRGSGGEDTNLEQRMAVPVSSVIGHCLEMPVDSSMENVAGVELVESASSYRMVTQMDLMKFLKGHESELKHVMERSLRDVGALVEPIFGVTDHTKVIDAIKSMRAGSLNAVPIVESSDPASEDHSQLVNGKGRKLIGTFSATDLRECPASDLQSWMSASVMEYTRNLVRPSSGGLTARELVTCYAESLVAEVVEKVVVNHVHRVWVVDRDGLLDGLVSLTDIIRVIRSSLL >KVI06039 pep supercontig:CcrdV1:scaffold_1148:10419:12713:-1 gene:Ccrd_015624 transcript:KVI06039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRSSAAVNLCYHRCNSSMLAATNPQLPSIQFMSSGNCSKSSVISASRRSSLLPPRNSYFWLGRCGATSPHPPSPPESGPPPGEEEDSDSGSVASLSRFQESVQIFFAVLFWMSLFFWSSVWDGRKKGRSDKGPRFWK >KVI06038 pep supercontig:CcrdV1:scaffold_1148:62018:64146:-1 gene:Ccrd_015621 transcript:KVI06038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADNLHHRSKPHTTTLLQNPNHISITNFNLKHNNNHHDSSTWSFADASFLQWTIADVFGVFKHHPIPCFFALSLLFFMAVEYTLRMIPPSSPPFDIGFLATVHLHRILASSPTLNTILAGLNTVFVAMQSAYIIGTLVIEGRPRATVAALFMFTCRGILGYSTQLPLPEGFLGSGADFPVGNVSFFLFYSGHVAGSVIASLDMRRMKRRELAVLFDTLNFLQVVRLLSSRGHYTIDLAVGVGAGMLFDSLAGKYMDKSANILS >KVD98177 pep supercontig:CcrdV1:scaffold_11484:534:1298:1 gene:Ccrd_024123 transcript:KVD98177 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MTKVYPTPITSVVVSPPKSSAASKNPVVFTVWKKSLLFNYDGFPVFDSNGNLVFRVDNYVTGGNGEIVLMDAFGRSVVTIGRKRISLSDTWQVHDGETTLVPRFSVTKHMNIFNTKSLAYVCKEGSSKNNDTRIPMYEIDGSYAQRCCIVYDDMRRNVAEIRSKEAKGGTVALGVDVFRLVMQPSIDPAVAMALIVVLDQMFGSSRRVF >KVI08164 pep supercontig:CcrdV1:scaffold_1149:99482:108286:1 gene:Ccrd_013469 transcript:KVI08164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQHKSRFTIHKSNHSRTHARTLARSSNRLEALVCTAPFKMSSQNKPNSGNGNSDNKKRKQHYRPHNKAVKKGSYPLRPGVQGFFITCDGGRERQASQEAVQVIDSFYEELVEGKDPDVKQTVLSNKPTNKKIKFTYSDSEEDEDQDNETAEEGQVAVVSDQQNEANQETSLSPQKGEVCSENQTNEKGEDGKNDDENVENENFEDERQPGKKQRIETDQSKCEEVIKSKLEEKSVDKLIEAELEELKDKSKRHFNYLDTGCNGVIFVQMRRRDEDPTPKEIAQRMITSAAATRKHMSRLIAIITLLSKYFFFQFAVLCEARANSGLEKMKFINVVAKSVPEPHKVDLTNPERTIVVQIAKTVCCIGVVDKYKELAKYNLRQLTSSKQ >KVI08163 pep supercontig:CcrdV1:scaffold_1149:82381:87723:-1 gene:Ccrd_013468 transcript:KVI08163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFQPFLSHNPHNSLLQHQPLWVSTFGFYTCCQWKKRGSGTNNSILPNRAVDRDSEFEIDPDKAREALRKLDQQLDLISQKQSNPVPKIKVVIKPSIDGPQVEVSPAGSSIMTQVLKAELLQLSPSPDQPSTSTLINSDQAKCLTYFSSSMKELGNQQWSCSLPYNGSKHSCSIRSRVG >KVI08162 pep supercontig:CcrdV1:scaffold_1149:24634:24831:-1 gene:Ccrd_013467 transcript:KVI08162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQEEGKGLHRGTPEHLSYVNPWGCGNTSKKLFHLTYSPLDAGIATCTKCPVQAKELTPKSPDK >KVI12404 pep supercontig:CcrdV1:scaffold_115:459069:460334:1 gene:Ccrd_009190 transcript:KVI12404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLKQGCLNWNNGATKQLKSLEKPNFQYAGNAWDELKHIRQAIGFLVISKMRVLMTEDSNNAVSSSFLLDDDSSIPFTVDDLSKSMDQINISDIEPPPLIRDNSGFSFLLPRADS >KVI12418 pep supercontig:CcrdV1:scaffold_115:237761:242688:-1 gene:Ccrd_009174 transcript:KVI12418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAGKGGKGLLAGKTTAAAAAAANKDKDKKRPISRSSRAGLQFPVGRIHRHLKTRTSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >KVI12386 pep supercontig:CcrdV1:scaffold_115:416933:418474:-1 gene:Ccrd_009187 transcript:KVI12386 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEDLHLSKSIFFSILPFFFIFIFFFKSIFSIFFIKPTNNFAPRSYPLIGSLFFILQNNHRFIQWTSDYVNNSPTKTAVLHRPLGRLRVLTANPAVVRHILKTNFHLYPKGKTATNNLFDLLGHGIFNIDGDGWKFQRQVSSHEFNTKSLRNFVEHVVDTELNDRLLPIFATSAATGGSETTVLDLQDILQRFTFDNICKIAFGHDPAYLLPSLPDAIFATAFEEAVMISTGRLRSNLPLLWKLKRILDIGSEKRLRIAVGVVREFAIKITKEKKQELKQKSSIDSVDLLSRFLTSGHSDENFVADIVISFILAGRDTTSAVLTWFFWLIYKNPRIESEILKEIKEKPESLIYDEVKDMVYTHASLCETMRLYPPVAVDGKEAADDDVLPDGTVMKKGYPISYHIYAMGRSEELWGSDWSEFRPERWLEKDISGKWVFKPRDVYEYPVFQAGPRVCLGKEMAFLQMKRVVAGVLRRFRVVPATDDGVDPVYVVYFTAKMKGGFPVRIENRDEIK >KVI12417 pep supercontig:CcrdV1:scaffold_115:253124:255079:1 gene:Ccrd_009175 transcript:KVI12417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKASCKIYMIDFACYKPPVSQQCSKEFAIKQARTNGFFSEETLAFIEKNMDKSGLGDSAYLAEVFLGKTYNPSIRDSRREVEMAVFGSIDTILAKTGVRSHDIGILIANCCIYNTVPSLSTIIVNRYKLPENVINYNLVGMGCSAGLLAIGLAKQLLQVHRNSYALIVSTESITENCYPGKDRSKSLINALFRVGGAAILLSNRPSDHRIAKYRLLHAVHTTTASSDRCYNSILREEDKEGIVGININKDLLFAAIATIRPNLTTLGRLILPVTEKLRYLINYIGRKQFPTLKIQPYVPNYTKVVDHFLPHVGGKPVLNELQKTLGFTDTVMEASRMTLYRFGNTSSSSIWYELAYVEAKGRVKKYDRVWQMAFGSGFKCCSVIWSAMKTVDHDDKNPWTDEIDGFPCLTSIFH >KVI12411 pep supercontig:CcrdV1:scaffold_115:230882:233201:-1 gene:Ccrd_009173 transcript:KVI12411 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4033 LRNEESGYESLVVAARAVFRSFDPIKQRQLVVKALQTAIPWPVAFLANKKYDATFQVLKGMPSQEFIKNSFGIPINMVPINYTVIITDFDDMSCEMIFGQEPPSPQDDPAFKQPCYKL >KVI12397 pep supercontig:CcrdV1:scaffold_115:42750:58761:1 gene:Ccrd_009164 transcript:KVI12397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYDILEQIGKGSYVLKKIRLARQSDRTRRSAILEMELISKVQNPFIVEYKDSWVEKGCYVCIIIAHCEGGDINSANGLFNSWQPLITCTKVISSIEMLSYMCPELLADIPYGSKSDIWSLGCCIYEMMAFKPAFKAFAADLLKHSYLQPYVHKLHLNLNHARRHTIPLRWSDYNYEKKTTFIEPESEPEPEIVRSRRKHKKSFSSDRALNPSISEHDQASLYSENIRDLSRSLSRKLSIDSIDEEKPVVAKTLVAIRTPLPVSQTPANRPSRKTSLPKPNIGLSSIESPDVSVNAPHIDKMTEIPLSSIDQRLILSVHATSSISTQCCSSGTYPNSRDRSITRDHCTIKTVDRTQVVHQNGIGEHTTRRVGSSNPSLESWGQQQPHRFDTSSYQQRAEALEGLLEFSAQLMQQERIDELAVLLKPFGPEKVSPRETAIWLSKSFKRTSDHQLSVTSEKMEYLESVKSTIMKNLGPSGPGLLAITGVPNASILRRTLLPMARKLALLKNEDRKLILKDHGLGSDVPLKNIDRTVSPFAMQLRYDQDPDVSNLCSLNHSGIEDSGSDVKSSSEFKNLGNIFKDLGNCMMEPGLHLARVCDKIIGGHELEQCLLESCSAKGRLIHYHSIVDNQILQSLKDTRPKSKKIAKTNQEHSDLWQQWHYDYGVFTVLTAPMFIVPENNGSCQSCDGKECPSPSGHTYLQILYPNKNSVVRVKASSESFIVQVGESGDILSKGKLRATLHSVCRPKNLENVSRETFVVFLQPAWSKTLSVYDFSVKDSSSNGGYSRICDEENGCAKSSSYIGKLVPPLCDRLKDGMTFAEFSKETTKQYYGSSGLQSKR >KVI12395 pep supercontig:CcrdV1:scaffold_115:24556:27079:-1 gene:Ccrd_009162 transcript:KVI12395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLKLCLLEYSRIIWLGDLNYRIALSARSAKALVEARNWRALCDRILWYGRGLHQMCYVRGESRFSDHRPVYSIFIAEVESVSRCKIKKNASCSSRIEVEELLPYVNRY >KVI12420 pep supercontig:CcrdV1:scaffold_115:305269:308024:1 gene:Ccrd_009178 transcript:KVI12420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSYGELFLILGATAALIGPKDLPRIARVAGRLAGRSIGYVQMARGQFDNVMQQTQAKQVHKELQDALAQMDAIRHEMRAISFVNPGPLTRTLMDNVGQTPETRGSIGTLILKNRVMMHQRQQPLPLRFIVQLPQPPCPHQICTDKLLLMRAEAQGSDIMLESILQAEVARNAKDFFAQPQNLNIK >KVI12401 pep supercontig:CcrdV1:scaffold_115:120840:133404:-1 gene:Ccrd_009168 transcript:KVI12401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESPRIAIIDAIPSAEEFISQIEPKNVPVVFHGCVNDWKAFSKWNPSNGGLDYLQGLAGSSTVEVMLSKSAPDVFLQSTEGHALCSSTEMLLPANFETGQDDPLVGDIRQIYLAQVPIMNVEKEERVQLESLIKDIQTPLFLETKTLASINLWMNGAQARSSTHYDPHHNLLCVVSGSKQALFRWMNLIFLFIQEQNTQKSMCRGFHQVDSESLTIAVNLWWRSEMMSGMSEHMDSYYLRRILKRLTDKEMNRMLGKTFPCASNKCSYEQSDDHGCSLGANSNIKVSVGNKLNDGNTLRDLEPSALRSLHELVSLVHDRVNAADLSNAVDSISDMEKTGPKKIVISELYHLEDDPVANIFWALEPHNLQKLVLAMVHHFPRTLEALVLHLISPVGAEVLTRKFDEMDQVTNEEERHLRMLWIDFWELKFNDQIHQWKKTFTEIGPSSGHKNADWYLQVEAFSLLQYTPTFTEIEKGKGIHLLTDGGGDGGGDGDEGDGDGDGGRRTATKGDERRRTETNGDERRRTETKGDEGRRRRWATKGDGDGGRRRR >KVI12387 pep supercontig:CcrdV1:scaffold_115:379516:383321:1 gene:Ccrd_009184 transcript:KVI12387 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MKILSLLAQPQDQVQSKNLKIQTGEAVVRFKKVVSLLDNGLGHARVRKVKKFSTHIPQNLLIDNPTRIIPRTDHQNPPKPLQLLLEAHPIQEIGPNAVKNNTLSLGNHQPSLELSSNGKCSIQLSQNTPHHHPSSNYHFLQQQQQQQQKYQQHVQLKQPQAEMMYRRSNSGINLNFDSSTCTPTISSNRSFMSSLSIEGSVANLDGSSFRLIGSSRSADQATYQHKRRCSARGDDGSVKCGSSGRCHCSKKRKHRIKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDSTMLIVTYEGEHNHPRLPSQSANA >KVI12394 pep supercontig:CcrdV1:scaffold_115:13592:14443:1 gene:Ccrd_009161 transcript:KVI12394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MMLLLAVGTNAGGIAIYWGQNGGEGTLAETCSTGNYDFVNLAFLPTFGNGQTPMINLAGHCDPYSNGCTSLSSDIKSCQAKGVKVMLTIGGAAGSYYLTSAADAKQVANYLWNNFLGGKSSTRPLGKAVLDGIDFDIEGGTTQHWDDLARYLSGYSTQGKKVYLTAAPQCPFPDAYIGTALKTGLFDYVWVQFYNNPPCQYSGGITNLEDAWKQWTSEIPATKIFLGLPAAPTAAGSGYIAVGDLTSKVLPAIKGSAKYGGVMLWDKYHDNQTGYSSSIKSHV >KVI12391 pep supercontig:CcrdV1:scaffold_115:335749:345735:1 gene:Ccrd_009180 transcript:KVI12391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNQVVKIPRDAISACMTCPICNKLFRDATTIPECLHTCEYFWIIPNSIIRFQLLMLINHNPHFMNHNLQDIRAKIFPSKRRRVKAPEVVPSVTLPLRRKERSLSSLVVSTPRVSTQTTMTGKRSKAAARKKLRGPSFSVEKSNSSTPEPSSDPSPDKETKNGSGPRDGKVDLWKPLNYLVEVANRSKSSKFTSQSSAVKSPPQHTPKSEGHGRKPKGPPLKRSKFQDENGRSDVDVTESAKPKKIRKNRKNKADMLGESDVATLQAALDADANNAPREKRINPIWFHLVPSEEQEGEPLGQIEGSFVRLNERKLTSFLQVELRCMGQPLVPSLLLGNLMELWLQTQPTSQTVSVVIGSSAKEYMMEILYARKGSGLPPSAT >KVI12396 pep supercontig:CcrdV1:scaffold_115:27125:30218:-1 gene:Ccrd_009163 transcript:KVI12396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MGDDTCKKTKVSWSKTVTKWFNFKNRAEDFHADEFSHGEDNGPAKKWLELIRRTLNSLPGTSARNPTDSDFEGSIIETNPSFLNRHAFQSLSRSMRIIEHDVQQPGLDRRYSVSDRIMFRNRTNGYDPNLRSSDEDNGPDDSPDSVPYCDKRAGNSRYCLVASKQMGSISISMSLHQTSFCFICCHLTSGQKEGDELRRNADVMEILRKTWFPRVEGTRDEKSPQTILEHE >KVI12413 pep supercontig:CcrdV1:scaffold_115:219503:223154:1 gene:Ccrd_009171 transcript:KVI12413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MASSMLYGAENLAIVRGRIAPNGLGFNGSELHGRKSLPKINLVFGNSNLRSRTLVLPKCSVSVPRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPADLHSRNMIVVDVGGGTGFTTLGIVKNVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFKTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACLIGPVYPTYWLSRFFADMWMLFPKEEEYIEWFEKAGFKDVKIKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLELGPKVEDIEKPVNPFVFLARFLLGAMAGVYYVLVPVYMWLKDQIVPKGQPI >KVI12392 pep supercontig:CcrdV1:scaffold_115:347309:348124:-1 gene:Ccrd_009181 transcript:KVI12392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen Ole e 1, conserved site-containing protein MADYATLLVLLSMVATARCSWISGGAPPPASIGDYDTLGDELLDPLAPASKLMAQEKDEVAPGPEGNFMIEGKDEEDEDAPGPSSDFMVEVKDEAVPGPSDDTTDEEKGSIAEGPAAADKERVMRLQLKESAPADGQGFIVQGKVYCDPCRIQFPTKISYPLPNTKVSLLCHKEETDEETYRLEGTSDDKGKYTLKAVGDHADEVCEISVSESPDPKCPELMDDENHVRVSLTNKQGAKGNARLANPLGFMVTDADPRCKEVLDELGFTGI >KVI12414 pep supercontig:CcrdV1:scaffold_115:196345:206046:-1 gene:Ccrd_009170 transcript:KVI12414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MGTENPNHANNYPRPSAPPFARQSNTLFSSSRSVVASQAAPFRPTSVPAPLSSVPAPPSTAPSLPFGTVVGSAVPGFRPMQTGRPTAPYGPPTTGPFQRFPTAPYLSTPQAPPPHTSPVGQPVLPPTMRPPPPSQVSPMPTFQNRQPHIQPMPMGSPPPSMNGVLSSNNAPQLVNSQYFSSSSNMQRPQPPMGPSHPDAVRASSSSAWPGYPGIQSSTATPAAPVSHQGGYAPPPPTTSAPFSSQGGYGPAPPVASPLGMYVGGSTPPTGVMPGLVEDFSSLSIGSVPGSFDAGLDTKVLPRPLDGDVEPSSFTQMYPMNCNSRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGNYDVDCLQLEVTVQNDYFAHLDASGKRVDLDQRPELTNGCVEFVAPAEYMVRPPMPPLYFFLIDVSIYSIQSGMLESSLTQPQMMVVSDLDDIFIPLPDDLLVNLSESRTVVDAFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQSTLPSLGVGTLAKYTGGQVYYYPSFHSAIHKDKLRHELARDLTRETAWEAVMRIRCGKGVRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQFALEETLLTTQIVYFQVALLYPSLILSSQIFLFNSVFEVCLIYYPIRCFFNIHTSSSGERRIRVHTAAASVVADLGEMYRQADTGAVVSLLGRLGFQLYAAIEKSLSYKLEEARNAIQLRIVKALKEYRNLYAVQHRVGTRMIYPESLKYLPLYGLALCKSTALRGGYADSQLDERCAAGFTMMALPVKKMLKLLYPSLLRVDEYLVKSSTQADEFEKLCKRLPLAAESLDSRGIFVYDDGFRLVVWFGQMLSPDISRNLVGEDFATDFSRVSLVERENEMSRKLMAILMKLRGADASYYPLCHLVRQGEQPREGDTIGLIFLHCWYQIQMLVL >KVI12407 pep supercontig:CcrdV1:scaffold_115:488827:489003:1 gene:Ccrd_009195 transcript:KVI12407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 MGDKKKSATIFIRLVSAAGTGFFYVKKKNPRKIQTKLEFRKYDPRVNRHVLFTEAKMK >KVI12412 pep supercontig:CcrdV1:scaffold_115:224326:227273:1 gene:Ccrd_009172 transcript:KVI12412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MSFELPSNLLPNEASPEWLNKGDNAWQMTAATMVGLQSVPGLVILYGSIVKKKWAINSCFMALFAFAAVLVCWVGWGYQMSFGSDPFLPILGRPGFASLRASYLVEKAFTGKLPNATMVFFQFVFAAITLILIAGALLGRMNFYAWMVFVPVWLTCSYTVGAYSIWCPTGWLAKKGIIDYSGGYVIHLSSGIAGAGLLWMGWTGFNGGDPYMASRDASLAVLNTHLCAATSLLTWLMLDILFFEKPSVIGATQGMITGLVCITPAAGVVQGWAAIIMGLLSGSIPWFTMMVVHKKVSLLKEIDDTMAVFHTHAVAGSLGGFLTGLFAEPRLNRIFYDVTDGWQHYIGLIYGLKMGLPRAGLRQIGIQMLGILFVVVWNVVVTSLICIAIRVVIPLRLSDEELEIGDEEVHGESAYALWGDGEKFENSKRNSAHGFDEFSVKEGSNFR >KVI12385 pep supercontig:CcrdV1:scaffold_115:397856:405224:-1 gene:Ccrd_009186 transcript:KVI12385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCKEIALELNHFLSSKLFYFLDEYNDDNKPTIIQIILASSIGLIIAAAMHFRFRKVRHHRIIPYIRVSSTGQPLKLERFPHYVARQMGFVDKRECPYLCKLASAYIRKEEGCEEDMYSFFGDDPGADSLFIKLVEEFERCILSYFAFHWSNASFMISQRVTKNLKVARIFTTLVEEMKAIGLVSADDSQCTHVMVPMAHKDRSPLLLFMGGGMGAGKSTADAFKESDVIYRALSSRGRDVIMDGTLSWVPFVVQTITMARNVHRKHYRMGPGYKVHSDGSVTENYWEQLDEESELVDGNKKRKPYRIELEKTLLVDPDEIKILKTLERLNEAADSIYELYQQPNPAYERGAVWKDIVMSPSRLSIQKELMHSIQKAEASK >KVI12419 pep supercontig:CcrdV1:scaffold_115:313825:314707:-1 gene:Ccrd_009179 transcript:KVI12419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MDLNYKATELRLGLPGTDDLPATMKSKKRSSPEMCPDESRSIPPAKSQVVGWPPVRCYRKNALQGKKMEVGSYVKVSMDGAPYLRKVDLRVYKSYGELMKGLEEMFKCIIGLYNEKELGYNGSMHAPTYEDKEGDWMLVGDVPWDMFLTSCKRLRIMKANEAKGLAI >KVI12388 pep supercontig:CcrdV1:scaffold_115:395184:396290:1 gene:Ccrd_009185 transcript:KVI12388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MATEEPAAASAAEATAKVTKKAKKSSSAPKKRNPPLHPPYFEMIKDAIVTLKERTGSSSPAIAKFIENKHKNLPANYKTLLSNQLKKSVADGKLVKVKASYKLPSKKSLATADSSTTAKKPAAKPKAKTAAKKPAAKPKTAAKKSPAKKKAVAAAPKSKAPAKPKAKAPAAKPKAAAAPKAKPAAKPKAVVAAKSKPAAKPKAAVKPKTKAPTKPAAKSARTSTRSTPGRTAAAPKPAVKKSPAKKTTAPKKAAAAAKKGKK >KVI12416 pep supercontig:CcrdV1:scaffold_115:257976:261556:-1 gene:Ccrd_009176 transcript:KVI12416 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MLIFITNLPQFLIRHDYNTVIIFLTLIITCFLLLLYMFIMTKASCKVYMIDFACYKPPVSQQFSKGFLLKQAKTNGCFSEETLDFVEKTMEKSCLGDSTYLAEVFLGKTYNPSIRDSRREVEMAVFGSIDMVLAKTCIRSQDIGIVITNCCIYNTVPSLSTIIVNRYKLPESVISYNLTGMGCSAGLLAIGLAKQLLQVHRNSYALIVSTESVTENSYTGKDRSKSITNCLFRVGGAAILLSNRPSDRRIAKYRLLHVVNTNTASSDRSYNCIVREEDKEGIVGITINKDLLFAAITTVKPNITTLGRLILPMTEKLHYLINSIGRKHFPTLKIQPFVPNYTKGVDHFLPHVGGKQVLEELQKTLGFSGTVMEASRMTLHRYGNTSSSSIWYELAYVEAKGRVKKGDRVWQIAFGSGFKCCSVIWSALKTVDHDDKNPWMDEIDGASYTLMGAFVFG >KVI12415 pep supercontig:CcrdV1:scaffold_115:271816:278266:-1 gene:Ccrd_009177 transcript:KVI12415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQARSNGYFTEETLDFMEKTLXKSGLGDSTYLAEVFFGKTYNPSIRDSRREVEMAVFGSIDMILAKTGIRSQDIGILIANCCIYNTVPSLSTIIVNRYKLPESIINYNLVGMGCSAGLLAIGLAKQLLQVHRNSYALIVSTESITENCYTGEDRSKFLINCLFRVGGAAILLSNRPSDHRIAKYRLLHAVHTTTASSDRSYNCXLREEDKAGIVGININKDLLFAAIATIKLNLTTLGRLILPITEKLHYLINYIGRKHFPTLKIQPYVPNYTKVVHHFLPHVGGKPVLDELQKTLGFTDTVMEASRMTLYRFGNTSSSSIWYELAYVEAKGRVKKXERVWQIAFGSGFKCCSVIWSAIKTVDLDDNNPWTDEIDEFPDTRVGTAHEVV >KVI12393 pep supercontig:CcrdV1:scaffold_115:4236:10147:-1 gene:Ccrd_009160 transcript:KVI12393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAPKRQEVMGVVLVMMVMVWGGARAQSSGCTNTLMGLASCLNYVTGNTSTPSPSCCSQLSTVVQSQPLCLCSLLNGNGPNIGVTINQTLAITLPGACKVQTPPISRCNASSPTGSTGSEIVPSQETPEAEAPTTSSTPTTTSVVMPWVETTAQPGCMIAFIGLAPCLSSVSGNSSTPSSACCSQLSNIVQVQPQCLCSLVNGGGTANLGISINQTLALSLPRACNVQTPPVSQCNGVANGPTPSSAKSDKIPEAPT >KVI12400 pep supercontig:CcrdV1:scaffold_115:107603:114710:1 gene:Ccrd_009167 transcript:KVI12400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MTTEESPLSVSTTSLLDKPLHQLTEDDISQLTREDCRRYLKQKGMRRPSWNKSQAIQQVIMLKALLEPKPDSDGCNRKLHITHRQQETPTTRVQKGTSADTEVSVSADESVPGQRNDVDLSDLPGDDEFVPMGIAGVTDEAKGQMTIFYSGMVNVYDDVPAEKAWTLFQLAAIPLQFSQEAPFDGNVTMCPLTCNLQAPNIKASADSSA >KVI12409 pep supercontig:CcrdV1:scaffold_115:501229:507995:-1 gene:Ccrd_009197 transcript:KVI12409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hrf1 MAPISMLVHHPNDDESDHETYSTSQECKDNAYDQYQRATYNLELKGFTNLTPQASEIPKFIRSASSILRRPPPQTSESRLVCYLFIGYFFWSPSMYDNLGNPSGAPRPSSTPQTPFGNPXYGTGSGXIRGGLXAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYVVLAGFSLGLQGKFTPEALNWLFMKGMVGWFLQVSLLKMSLFSLGGAEAPLLDIVAYAGYAFTGLCLAVLGKIIFSYSYYFLMPWTCLCMXTFLVKTMKRVLFSEVRSFDSSRHHYLLLFIALAQFPLFIWLGNITVNWLF >KVI12399 pep supercontig:CcrdV1:scaffold_115:93848:95911:-1 gene:Ccrd_009166 transcript:KVI12399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MWNRTFQKTDVESGASEPLYPTMVESPDLRWSFIRKIYSIVAIQLLLTVVVGAVVVSYHPIVTFLTTTRGGLACYILIIVTPFITLCPLSCYYQRHPVNYVLLGIFTIALAFGIGLSCAFTSGKVILEAVILTAVVVVSLTLFTFWAAKRGSDFNFLGPFLFGALMVLIVFSFIQILFPLGKISVMIYAGLSAIIFCGYIVYDTDNLIKRYSYDEYIWAAVALYLDIINLFISLLTIFRAVDR >KVI12389 pep supercontig:CcrdV1:scaffold_115:348362:353885:-1 gene:Ccrd_009182 transcript:KVI12389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRLPPIPDNFSGDGGDDGKEVSAVTVDDSFTSTRGSWKHAAFHVATTIATPAAYAPLPFAVSSLGWPLGVLSLVGATLTTWYSSILIASLWKWDGKKHTTYRHLARSIYGKWGYWSIAFFQQVASLGNNIAIQIAAGSSLKKIDRRTVNYSLHGSSSAKVFKAFNGLGIIAFSFGNAMLPEIQSTVREPVKKTMYKGIAAAYSIILLSYWQLAFLGYWAFGSGVHPFIVASLETPEWTIVMANLFAEKMESNEPTHRSPLRNHLLRLVFTSLYMGLITLVAAAMPFFGDFVSICGAIGFTPLDFVFPVLAHLKAGRMPKHSSPKVILNVVIATWFSAVAVMGCIGAVKFIIEDVKTYKN >KVI12390 pep supercontig:CcrdV1:scaffold_115:360814:366788:1 gene:Ccrd_009183 transcript:KVI12390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP9/SRP14 subunit MVYLTSWDDFVERSVQLFRASPEKTRYAMKYRHSEGKLILKVTDDKECLKFKTDQAQDAKKMEKLNNIFFTLMARGPETDITEVTGKEQTETHPSRKGRGRKQ >KVI12405 pep supercontig:CcrdV1:scaffold_115:469031:473976:-1 gene:Ccrd_009193 transcript:KVI12405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEPVSQSFKKSNRTRSSEKSNQSFKKSNRIEPVPPTEIEIEISIHHRIDLLPHVVQTPTGNSYFQLQTLVRGSGHPFSRPIPLTAPSSGDPDSRPPPATLWPAIGSFQGFIRMGLSKTEINLRRLLAAAPQQQHQAKLIHYVGTLREQLEQLAAERTSEGLPSPPSTVEGRVPKSVDATDSTPIKLDAAAQTHISKHRKLQEDLTDEMVGLAQQLKESTLMMNQSIKNTEKSLASTGRANTQAMAIYSETSKTSCFTWLVMLLMTCIFIMVVLLIKVT >KVI12398 pep supercontig:CcrdV1:scaffold_115:83451:90849:1 gene:Ccrd_009165 transcript:KVI12398 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF828 MDTAWQEQNHGGGGFQLPESPRKPMEFLSRSWSAAALQVSKTLHSPLSPLVPKSGLPNDTGANSVVAADNNRFSAAEAAEDSAAAALLSGNTFSFASSATSQLVLERIMSQSDISPLASGRLSHSSGPLNALTEETDSPPISPSDDYDDVVKYLRANNTLQPLFANARGGYGAGGSSTPAGKTVGRWLKERREKKKEETRAHNAQLHAAVSVAGVAAAVATIAAATAAASASRKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAEREHLIAAVNSAVNARALKEVWNIAAVIPVDRGVKENKNHGKSSSYCEELLPEENFLGICDLHWKIVSVYIHRTGQVMLKMKSKHVGSTITKKKKNVVLEVCKNLPAWPGRHLFEGGEQRRYFGLRTTIRGVVEFECRNQREYDMWTQGISRLLSIVAEKKLGRQQ >KVI12384 pep supercontig:CcrdV1:scaffold_115:430439:432614:-1 gene:Ccrd_009188 transcript:KVI12384 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEVNDLWVMSPPLSSPPFSSDEEFQSENLTLTLIPGLPDDLAALILSFVPFSHHNRLRSTCKLWKNFFSSKTLISIRRKHLHSSHLSHLLCIFSDDPTISSPYLFDPQNLAWCQLPPLPCNPHVYGLCNFTSISHDSHLYVLGGSLFDTRSFPLDRPSPSSSAFRFDFTTQGWESLSPMLSPRGSFACASIPKSDRIIVAGGGSRHTMFGAAGSRMSSVEMYDIATDEWTALDGLPRFRAGCVGFMVGNEADNKEFWVMGGYGESRTVLGVFPVDEYYRDAVVMDLKNGGVGKWRELGDMWEEGERRRLGRIAVIEDINGGSPSVFMLDKSDIFRYDMASNCWKYETSIPKKLTDESSTGFIALDGELHVMGSINGGDTTDNNWKSRQQKRSASLFFQIYHPQKKVWRTLVNKPPFPQSLDFKTAVLCTIRI >KVI12406 pep supercontig:CcrdV1:scaffold_115:467843:468370:1 gene:Ccrd_009192 transcript:KVI12406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MESIMLDCEDHPTDSIEQVDQLNLMADLPESQDERSYECTYCKHGFTTAQALGGHMNIHRKDRAKIRRSNPSNNKSPSIRFYEPCFITRCTQARHHQEGQTHEFMSRSSPSLMHDDNYHGKHQNPNGSITSPSREEMRLRLGLSLQFGWSDEEESIRGRNEDDELDLELRLGHDP >KVI12408 pep supercontig:CcrdV1:scaffold_115:480274:484641:1 gene:Ccrd_009194 transcript:KVI12408 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MTATFTKIRSLVDSSTLEASSRVLIQSEWGSHCSSADFSLLLSSYYLLINCRYHEFGTDGGPAVKVLEPKLNVFTKLITSKVGIQVPEIDTKHVVLAAIVLKGFGGVGFIFGSYAGSILLVLHQLIFTPVLYDFYNYDVEDTEFEYGFAGSIAIFHRDETLDIPAATTKDFEDENELGMFFYQLPLSGYVKT >KVI12403 pep supercontig:CcrdV1:scaffold_115:462619:463140:1 gene:Ccrd_009191 transcript:KVI12403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MDHKLKSKWESNKLMIYDEKEMYGYQGVVPWPQRNFMCNFCNKEYKSAQALGGHMNVHRRDRARLRLSSPPSLDPNPNPNFYPSSSPIHYLPYKTYYHSSLLSTTNPQDHDNQEPFSSARDFLGNLRKNVTTEDGDQEEIRVSKKGEIFNTKMEMGLLKDGKDLDLELRLGRS >KVI12402 pep supercontig:CcrdV1:scaffold_115:164439:194814:1 gene:Ccrd_009169 transcript:KVI12402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MKWVSLLKDFKEKVGLSAPTSSASSSSFYLDHNSNNATSSSYNDPLSREKYELELDFKRSWEEFRTSTSEKEKEKALNVTIDVFCRLVNQHSNAAQMITMYHFFVSSLFMGLENVGDIHLEACLKATHPENVYEVPSLKGLLNHMCRLFETWTKALKRWALERRPTEFNNLLILKKNQLSVVEDDDDGIQAGSNLLHAVEFLVTGAIDKQSLLDSGIFCCLVQILNALLGSDGGNARQNVVNVEEEPKVTENVAPDRRLEVEGSILHIMKALASHPAAAQSLIEDNSLQLLFEMVANGSLVLFSRYQEGLVPLHTIQLHRHAKQVLGLLLVNDNGSTAEYIRKHQLDFDPEHGDPAYTMGIVDLLLECVELSYRPEAGGIRLREDIHNAHGYQFLVQFALVLSREHGSQTSSPSFHSEKVSDSVGSKTTNDMDMQDEKGRGDTSSQSLSPTLSRLLDVLVNLAQTGSTDVIGSPGSKGTKNHVNAGGRSQSLSSDRFSDDVWEKDNYKVKDLEAVQVLQDIFLKADSSELQSEVLNRMFKIFSGHLENYMLCQQLRTVPLLILNMGGFPPPLQETILKILEYAVTVVNCIPEQELLSLCCLLQQPITSELKHTILAFFVKLVSFDQQYKKFLREVGVLEVLLDDLKQHRFLVGPDQQNNDNTGKLDRKSSPNGFKKHLDGKDAILSSPKLLDSSSGKLSLFEAERTIPVAWDCLVSLLRKAEHNQVKFRSADGVTTALPFLASDDHRPGVLRAHPDELGSLVEISKSGMVISTLGSQYKLRDDAKCDILGAIWRILGANSSSQRVFGEATGFALILTTLNGFQGDKEQIRQSSLTVCIKVFTYLVRVITAGVCNNAVNRVKLHVIISSQSFCDLLSESGLICVECEKQVMQLLFELALEIVLPPSFTPETTEPSDDRGDVFAFRIVTPASCIPDKQRIYNAGALWVLIRCVELLLETIYPFLSGSSPLLSHALRIIEVLGAYRLSSAELRVIVRYILQVRQMKSSHILIDTMERLILMENTASENIPLAPFVEMDMSKSGHASIQVPLGERSWPPAAGYSFVCWFQFRNLLKSDIKESESSEAGFSKRHTSTTGPQVMRIFSVGDTDGGNNFYAEVYLQEDGTLTLATSNSSSLSFSGLDIDEYRWHHLAVVHSKPSTLAGLFQASVSHVYLNGKLRQTGKLGYSPSPAGKSLQVTIGTPVSCARVNDISWKIRSCYLFEEALTPGSICFIHILGRGYRGLFQDTNLLQFVPNQACGGGSMAILDSLETDLVLASNTQRPDANKQMSSKADRSGIVWDLERLWNLSLQLSGRKLIFSFDGTSTEAFEACGTLSMLNLVDPLSAAASPIGGIPRFGRLHGDIYVCKHSIIGETMRPIGGIAIVLALVEAAETRDMLHMVLTLLACALHQNPLNVKDMQSYRGYHLLALLLRPKMSIFDMHSLEIFFQIAACDASFPGPNKLEKTNNLSPVVTNVHEASFEDLNMSKFNDEASSVGSPGDMDDFSVNKDAFSHISELDNGDLSTETSNCMVLSNADMVEHVLLDWTVWVAASVPIQISLLGFLENLVSTHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVMLLGVILEDGFVISELEHVVRFVIMTFDPPQLTSRSQILRESMGKHIIVRNVLLEMLIDLLVTIKSDELLEQWHKVVSSKLITYFFDEAVHPTSMRWVMTLLGVCLTSSPTFSLKFRTSGGYQGLVRVLPSFYDTPDIYYILFCLIFGKAVYPRVPELVNGNTEMTGELQGEALMHKTYAARLMGGDASAPAAATSVLRSAHAVKMAKKLSVKSEDKNLNDSDDTTSSHNSLPLEQEQCEKTSISLGTSPPQHASTSSEDIPVATSNLDEYKANDVTLSPQESHKSVGEVDQVSAVISDGKDFGSHDLKITPEPVRQTSSLSSISLNIFDSTGVQQIPTSSQILATSSWQNASVNESKPKLAASPSMASSISMSVSLSEFDTASDPKCTSRVPNATDTNFSINPGMLLDADDSGYGGGPCSAGATAVLDFMAEVLSDSVTDQMKAAIVIENILESVPLYVDAESLLVFQGLCLSRLMNFLERRILRDDEEDEKKLDKTRWSSNLDALCWMIVDRVYMGAFPEPASVLKTLEFLLSMLQLANKDGRIEQAAPPGKGLLSIGRAKQLDAYILAMFKNMNRTIMYCFLPSFLISVGEDELLSHLGLQIETKKRVVLNASQEDGVIDICTVLQLLVAHKRIIFCPSNFDNDLNCCLCINLISLLHDQRQNAQNLAVDVLKHLLVHRKTALEDLLVSKHNQGPVLDALHGGFDKLLTGSTPSFFEWLQTSELLVSKTLEQGAAIMWVQYIAGSVKFPGVRIKGMDGRRKKEMSRKSKESRKLDQRHWDQIKERRIALELVCDQMSTELGVVRQDKYGWVLHAESEWQMHLQQLVHERGIFPLRKSVLIEEPEWQLCPIEGPYRMRKKIERCKLKADTIETILSGEFEGGELYIEKNEVDSSNCGCESGPFSNLLPYVGKQESDDEEVFGDSMFKESDGVKDVSSSRVGWNDDKDSSIFEASIHSAATFSVKSSSVAAPKSESVIEKSDVGSLRRYASVRSDNVRLMEDKLDRESSDNGKYLIRPYLERNEKIKLRYNCERVVSLDKHDGIFLIGELCLYVIENFYIDDSGCICEKECEDDLSVIDQALGVKKDTSIRVYSHSKSTTSAYTGGRAWAYSSGAWSEENAASSNNVPHLWRMWKLNSVHEILKRDYQLRPVAVEIFSMDGCWTPQYRDRLNKKALSRLWLNPSPRGGKMEKLATSNYENENLDFTKPETFRRLDKPMGCQTIEGEQEFRKRRYFFCDYQIGDVGLPPWAKGSTREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAAEAVNVFYHYTYEGSVDIDAVADPGMKAAILAQINHFGQTPKQLFQRPHVKRRTDRKPPLNPLKLSARLSPHEIRKIESSIAQIVTINDKILMVGTNNFIKPRTYAKYVSWGFPDRSLRFMSYDQDRLLSTHENLHGGHQIQCASGSHDGQLLVTGADDGLVCVWRIGAYGGPRAPRRLTLKQALCAHTAKVTRLYVCQQYMTIISGSEDCTVIIWDLSSLVFLRQLPEFPFPISAVYMNDLTGEIVTAAGILLAVWSINGDCISVVNTSQLPSDIILSVTTCTFSDWLETNWYVSGHQSGDIKVWQMVHSSFEIAKTQKHPSANVHCGLGLNGKLPEYTLLLHKVLKGHKDSVTALHLSSDMKLLLSGDSGGHLFSWTFPDETLRNSVKRG >KVI12410 pep supercontig:CcrdV1:scaffold_115:490118:495595:-1 gene:Ccrd_009196 transcript:KVI12410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MGLGSLVPPTVGPPIKRRAGLRRKQAGRVGKKKMGGGELHQELKNLCVNSDWKYAVFWQLKHQSPMVLNWEDAYCDDKEKNDRLMSNWFDNMGHNSNQDLVRLAVARMSYRTYSIGEGVVGRVAGTCKHRWISGHQLVNRSWSSDEHFEGWKTQFAAGIRTVVVIAVVPHGVLQLGSLNTIAEDLKTVNHIREIFLELKSSFVGSVSGRFHKPVNNQDRTMENNRSKNTWSTVETINNHQERKNPLSDFIQSAPTNDENRNLFMPTTSDGQIETKESFKFPAGCELYEALGPAFYKQKNSFDWETMTTETITVDEILGGTSNSDLLTQRSGSEHLLEAVVAKVCCSDSDNKGSTSFGESVKPLQRLQPPSDLLTSGSVCYSFERFSSASVSRCSDQLDQRSQEPAKVGKKRARPGESCRPRPRDRQLIQDRIKELRQLVPSGSKCSIDSLLERTIKHMVFMQCITKHADKIDKCTEFKVRLRLPSCLSLAPSSQNLLVSKERGVYGQDQGSSWAMEVGNELEVCPIIVENMGVDGQMLVEMMCDEGVHFLEISEAIRTLGLTILKGVTDAYGDKTWMCFIVEGENNRSIHRMDILWPLVQILQSKMKA >KVH88325 pep supercontig:CcrdV1:scaffold_1150:48042:60042:-1 gene:Ccrd_024125 transcript:KVH88325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupus La protein MEGGGEDVIMPIHDPDFSSVASPEETIMDPHSEKPLQDSHELTPPIPSTTIATLTDELRDKIIKQVEYYLSDENLKTDKFLLKYLAKDEEGYVPVAVIASFKKMKKLTHHKSLIVAALKESSLLTLSSNEKRVKRVHPFPLTEVLDPELCTVLVENLPEDHTIENMKKIFGKAGIIKKITIHEENATREPKKCSIEEKLLSGKLHAVVAYETVEAAEDAVATLNNEQDWRHGMRVKLLKRRVKTPQRKKGHDSEKKSNAQADPPGDKENQQSSEHHDDPPNEEHDGEHQSREKNGNKGQNWRRSRKQKYQGPSGMGHGSEIPKPPPGPRMPDGTRGFTMGRGRPSVS >KVH88326 pep supercontig:CcrdV1:scaffold_1150:27165:31640:1 gene:Ccrd_024124 transcript:KVH88326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTTILFSLFCLLLARFVAVFAAEDGLLMNGDFETPPSGGFADGGLGDGPAAIPSWKLNGTVELVASGQKQGGMILIVPEGRHAVRLGNDAQITQEVKLEKGEIYSITFSASRTCAQLESLNVSVPPASQTIDLQTLYSVQGWDTYAWAFQADQEDANVVFTNPGMEDDPTCGPIIDDIAIKKLFVPTKQKGNAVLNGDFEEGPWMFRNASLGVLLPTNLDEETSSLPGWIVESNRAVRYIDSYHFSVPGGKRALELLSGKEGIISQMVPTTPNKPYRMTFSLGHAGDSCKQPLAVMAFAGDQAENIHYTPNANSTFQTADVNFTAKAERTRLAFYSVYYNTRSDDMSSLCGPVVDDVQVVVSEGIRRLRWSVWSLGFWVLIMLLMV >KVI08015 pep supercontig:CcrdV1:scaffold_1151:73883:83066:1 gene:Ccrd_013619 transcript:KVI08015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphthamide synthesis DHP2, eukaryotic MDFESKYEVSRVATFIRSHNFKRVALQFPDELLKDSTGVVGALRRELRSRWEGENDVKEIGLYVMADTTYGSCCVDEVGASHINADCVIHYGHTCLSPTSNLPAFFVFGKAPINASYCAKHLCSYALSSSKPVVVLFGLEYGHALQDVIEASMVEKTSLCGHTSRFELHFADVISQAMIPKKSSETNGQSGLLSSSTGNGGCGDAVGKTYRIGGLLWSLEEGCSMEDYSLFWIGPDNSAFANVVLTFNGCEIGTYCIDIRYDATENQLLADTSQQRRILKRRYYLVEKAKDAGIVGILVGTLGVAGYLSVIHQIKEMITRAGKKAYTLVMGKPNPAKLANFPECDVFIYISCAQTALLDSKEFLAPVITPFEAILAFTRGSEWTGKYVMDFQDLAASTPVGVEIPEKEARFSFLQGGYIEDPDFQDIDDEDEDGALALVNTTQTALQAHDKDLKIIVKGTAKSGVEFFASRSFQGLEINGNRNAPETFVMGRTGKASGYVHETSKH >KVI08014 pep supercontig:CcrdV1:scaffold_1151:124571:126280:-1 gene:Ccrd_013620 transcript:KVI08014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MIGLQDVYKVVTATVPLYVALLLGYGSVRWWKIFTHEQCDAINRFVCFFTLPLFTFEFTAHINPFKMNYRFIGADAISKVIIVVVLAFWTRFSSXGSYCWCITSFSLSTLTSSLVVGVPLIRAMYGQDYVDLVVQGSVIQAIVWLTFLLFLLEFRRSTKTECSVNIATVELKDLEGNPKSLDDHQVGVAKSSSMSLMKVVSLKLAMNPNSYSCVIGIIWAALSNRFNWSMPIMIEESVLIMSKAGTGTAMFSMGLFMAKQKKLIACGKTMTVIAILLKFLAGPAAMAVSCIAMGLHGDVLRVAIIQVNTRSPTAAALPQSITSFIYAKEYELHADVLSTAVIFGMIVTLPILVGYYGVLEYLH >KVD98176 pep supercontig:CcrdV1:scaffold_11518:1853:2181:1 gene:Ccrd_024126 transcript:KVD98176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLLIGLVFVASCSLALASDPSPLQDFCVADPNSRVLVNGVVCKDPNLVKADDFLYRGLNLMGDTSMGVGSN >KVH92175 pep supercontig:CcrdV1:scaffold_1152:33599:54295:1 gene:Ccrd_005788 transcript:KVH92175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAGGGSAANSNSPTAVRIVVAGDRGTGKSSLIVTAAAEAFPTNVPPTLPPTRLPEDMFPDRVPVMVIDTSASMENRGKLADELKGADAVVLTYACDKPSTLDRLSTFWLPELRRLEVRVPVIVVGCKLDLRDEQQAVSLEQVMSPIMQQFREIETCIECSAFKHIQLPEVFYYAQKAVLHPTAPLFDQEAQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLPEGVNENGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLCDDQLLPPITRTPDQSVELTSEAVEFLRGVFSLFDIDGDGALNAHELEDLFSTAPEKSRFSDIGIGLHRSHDPSVTRHPPTHLLGHADARPVYHIHLALLPVLDNSYDFSRGPIKASASAFASHVTSTGKPFFGLGPCAVPFLDAAIAHYSFEYYLFGFGLPSCFIIVLSPWSEAPFANAAEKNALEGLSLDGFLSEWALMILLDPVHGVENLIYIGYADDPSSAIRVTRRRRVDRKKKHSDRNVFQCFVFGPKEAGKTSLLHSFVGRPFAEGYTPTTEERYAVNVVDQPDIPEEAIEKLLVEKDALAACDIAVFVHDSSKESSWIRATELLVEIASHGESTGYEVPCLIVAAKDDLDPYPTAIQDSTRVSQDMGIEAPIPISAKLGDFNNIFRRITRAAAHPHLSIPETEAGKTRKQYHRILNRSLMVVSVGAAVAVVGLAAYRVYATRKNASS >KVH92176 pep supercontig:CcrdV1:scaffold_1152:66331:67497:-1 gene:Ccrd_005789 transcript:KVH92176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNSDGHPLTFFLLFFSLLLSVGAQSSPPPDYPYAKFSPSMAIIIVVLVAALFFMGFFSIYIRRCSNDGGSVRAALSMRRAGRTAAATRGLDSEVLETFPTFAYSTVKGLKIGKGALECAVCLNEFEDEETIRLIPKCDHVFHAECIDAWLENHVTCPVCRSDLVPKPDESTRLASEFELGTDEHSHTTTTVAEEGNTDVAIRIGDDVLDPAQPAVLNRNQSTKSNRPPRSRSVRGPKLFEKFRSHSTGHSLVQPGENTDRFTLRLPEEVRKQMISNALLNRSGSTVRMAAGEGSTRKGYRTGEGSSRGRAYRRMGSLDRVAVRSERWVFARAPSFLSRAFSVRSPKVVADNGGASTSSTTADNIPLNNIGPKSDEPGPKSADSSRPPV >KVH92178 pep supercontig:CcrdV1:scaffold_1152:109436:110578:1 gene:Ccrd_005791 transcript:KVH92178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQILPQRSLTTTLSAFLYRRSISAAAVDEPLNPTATVPPPSPPPPPHISNLRTRTPLEKQFETWLGKLKPGFTSYDVDEALRAQTDPDLAFDIFRWTGQQRGYKHTSTTYLTMIQIAVSGKRYFQAETLVEEIVAGACSGSVPLYNSVIKFCCGRKFLFNRAFDVYKKMMNGEDTKPNLETYKLLLDSLLRRFNNVNVCYVYLRGVKSLSKQMKASGVIPDTFASNMIIKAHAKCHELDQSIRVFREMGLYGNEPNAYTYCYLIHGLCEKGRVGEGLGFYKEMRGKSLVPKGSTYMILICSLAMEQLFKDSIKVIFDMLNDSMQPDMLTYKTLLEGLCREGRVDDAFDILEEFRKKDSFMNEKTYKNLLNGLHYVSCK >KVH92177 pep supercontig:CcrdV1:scaffold_1152:128871:140308:1 gene:Ccrd_005792 transcript:KVH92177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MAVQWLLVCHGLVTLLVVVSFLCGQWPIFQGTFIQRIHNFLTFGAFDYFRRFVEFVFGSRGSNALYLAQYYCCDRPNPILQVSSYAMYLAIVGGTYYLIVYSIFNYIPGHYIGGYHRYTSLLAVSVGILLFLLTSFSDPGTINPENVSKYLSVYPYDNIIYSEKECSTCKILKPARSKHCSICDRCVARFDHHCGWMNNCIGEKNTLYFVMFLLWHFFLCVYGTVAIGLVLAGRLKELEVVYILTAYYGIEDSFRSLAPHVVQWLLGSYNTQILLMVFLAIVSLLLSGFFGYHASLCLSNTTTNEVKEAKASAEALKASLGDLSQERKPPESKWKSFFRRSHLEDVEIVKNNIYDRGFFQNLYEIIYPLSSRPSFSTHNNKLKTG >KVH92179 pep supercontig:CcrdV1:scaffold_1152:95975:100635:1 gene:Ccrd_005790 transcript:KVH92179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYENVVGGKLKLKGKALDVKAGGVKKKKKTNRQLSDQITKKIDDENVDDIGGGTITTTDQEDTNDGDKHLGESNRSSYLDSMTPAERRYMEQRQQIDMHKMAKTSNKSHRDRISDFNQYLANMSEHYDIPKVGPG >KVI07496 pep supercontig:CcrdV1:scaffold_1153:51736:57332:-1 gene:Ccrd_014139 transcript:KVI07496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRSNWSCHCRKGTLRACVLPLPSILHLHVSSDAICSGNLRNLCNITLFYESYGTTLSSTCSRNSMACGVQISSLNYYSFSTIVNGTDERAVCFTGKTNMASVLAYHLSLAKETTNGGIPPGLGQTRLGRLVSAGGRQLLEKLNSARKNFPMKVFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYKKSATTSNNNNNNNNSNRSKRFESLVVMINYWKAGVCLGLFVDAF >KVI07501 pep supercontig:CcrdV1:scaffold_1153:78548:90102:1 gene:Ccrd_014140 transcript:KVI07501 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MIKNWLRNNPAPSHQQNNTTATTRPTESHDNNDGGAAAMGGFCGGRSNELSLSMSTGSQSSSPLPLLDTTYGGGGGGGFVGGGGGGGDSSSSDNKRQQMEVATTVDSENGGGGGGGAIEAVPRKSIDTFGQRTSIYRGYRHRWTGRYEAHLWDNSCRREGQVRKGRQGGYDKEDKAARAYDLAALKYDVKCILESTTLPIGGAAKRLKDAEQAAATELLRVAKEDHLTSQMTTHEGIHGYAAMNWPSSIDYHHQTLSQPHAQPFSMHYPQNYTNGSSQQRLWCKQEQHDPDHGQGFQDLQHIHQFFQPSPPSSVLHNLMNLDSSSMEHSYDGGGEHHHAVVGYDGDSGNNGYINHMMATTTNGSSQDGLCDQNHGVSNGNLYYHHEGSTYSNWVPTAIPTLAARTANLVVAHGDAPTFTMWNDT >KVI07499 pep supercontig:CcrdV1:scaffold_1153:12085:16039:1 gene:Ccrd_014137 transcript:KVI07499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTIIFYSVIFALIATLSHKFLTGDARKWISERWGVEGGYGLVDVGSRMVMSCNLKKLYQKGIMVTVVCPGPIETSNAPDTSTSGQRGVREKRVSSERCAELTLVAASHGLKEAWISFQVLVSVL >KVI07504 pep supercontig:CcrdV1:scaffold_1153:137239:141431:1 gene:Ccrd_014143 transcript:KVI07504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential protein Yae1, N-terminal MWSRALNCLPGELRKKIIESQETRNKLHSLYESVNKLSTTDALKVFHDGLSQRRVNKGDLEQNSDGSVLDSYYGQLQSLIVETPAIEVQSRDKAIYAKILQFNEMIVNAASLPAQQHKASGVPFIPTSSGASSTGP >KVI07503 pep supercontig:CcrdV1:scaffold_1153:103454:110988:1 gene:Ccrd_014142 transcript:KVI07503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDDSKIEELKLFVESCKSDPSILHNPSLGFFKTFLQSLGAKIPPSSTSGADNGHAFNEDIVESDLELDDSDVIVYDGRICMFTALTTLVGKLNEAIDQLTQAILLNPSSPILYAARARGYKMRGMARAMLGLWEEAARDLGIEPNVNKIIEHRRKYEWLRKENNLKATEHEKQKTRAKTEACILSIS >KVI07502 pep supercontig:CcrdV1:scaffold_1153:96928:102540:1 gene:Ccrd_014141 transcript:KVI07502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFCLLEGIAHEDISFLNIAWFVRIVFKAVLCFFVLSLTPYNKAASLADSSPASIPLPLRVEPKPKTGIRQQDLLKKVVEVKPKRQKVLTQANSNVSALLSTEPVSSECKPHTNVEDENGSISQTKTDNEHMEAANPIKSLLAAYESSDNDED >KVI07500 pep supercontig:CcrdV1:scaffold_1153:139227:142198:-1 gene:Ccrd_014144 transcript:KVI07500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKAPNKLGIKPYHLKPDTHLVNLRPSSPQTHDLKKIMKKSRSIKRPDESANLRSSRRKRSXPLVEHGGAAVATSQKQSPNYMKSTSSFEARKERSXSINKNQSPKAENKSKIEVLASGNKGSRTSSLKLVRTLTKSPSFKPLRSSKKCSSSVILCEDLDAQRATCSSTLKDSKFPDSLELSPGGTELDGTSAMKVCPYTYCSLNGHHHXPLPPLRCFLAARRRALKTXKSFKLGCLSPRHTKPVVKKTEEIITGPVEEAPEEVGMKGTPVNPLMEEEGKDFFIEIYSKGSQDFESSDIDWEDGYGSASCLNDDDVCEXKIQKTGVELQDSYDEQSVSSGGWSEENGDSESDSSYQQTKINNQIEDQDFMNYDAFGLSSTTDKXDFBDKMDEDKITRIIEAKKDELQGFTTRDHDVKXSINYNQEKASQESETAPDFAENSRGGTEKRHTKIIYNIIQFNISIYINGEKTEHSETDKGATVQVPATAVAADKMKTEEVHEAMVGTQASHLTEEQGISXQNDDANNLTSFQEAREVNQMITNEELPFDAQEIVEHEQLKASYFTKKWDDPENNKHSESLGLGVTEEREDVVEEMDEEHESRPEEKRTHXTGEITSMDATNSSLLKKXASGEEQPDPSINLRGSTRGEKPDQEIGGL >KVI07498 pep supercontig:CcrdV1:scaffold_1153:690:7766:-1 gene:Ccrd_014136 transcript:KVI07498 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MASNGNGNNDEQTADHLSFARCPFMGPDCLVRKCGGGGAVMIEALEQAMKQNTIVFLETGSGKTLIAIMLLRRYAHLFRKPKPFISVFLVPTVVLVKQQAEAVRKHLDLKVEEYCGEIGVDYWNAANWKKQQDENELLVMTPAILLDALRHKFLSLDIIKVLIFDECHNAKKRHPYALIMTLPRILGMTASPVKAKVSNSSSDYWKQIDKLETLMNSKVNIIVATSILEEGLDVQSCNLVIRFDLSSTVCSFIQSRGRARMRDSDFLLLIR >KVI07497 pep supercontig:CcrdV1:scaffold_1153:22455:41158:-1 gene:Ccrd_014138 transcript:KVI07497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MASNGNGNNDEQTADHFSFARCYQIEALEQAMKQNTIVFLETGSGKTLIAIMLLRRYAHLFRKPKPFISVFLVPTVVLVKQQAEAVRKHLDLKVEEYWGEKGVDYWNAADWKKQQDENELLVMTPAILLDALRHKFLSLDIIKVLIFDECHNAKKRHPYALIMTVYTCASESVLSEYIPFSSAKYMFYKNVESPSHVFEELKSNLLILRNKVNIIVATSILEEGLDVQSCNLVIRFDLSSTVCSFIQSRGRARMRDSDFLLLIRRYFKPYPRFVIDEVSGTCSISFPKSCPLPSVHVEGKVKWLKQLACLEACKQLHVMGALTDNLVPDTVEKAVDPLETAYEHVEEQVQYMPPELIGQFGNDSTKLYHFYSIKLKKNFDYDVPLQDIVLGVSTKFELDDEEISFDLEADRGSIGISVTYTGTCLLTSEQIILSNRFQMTVLRVLLDHSIMKLKNSFDALRLKNDHVMCDYLLLPSNGLHKNPSVIDWKSVRSVXFPFGSATKCSLTGDDHQKVHTKNGLTCSCLVENCLACTPHNGRLYCTTKRFLDLNSKSAMQNKEGEAITYKDYYKKRYGIDLEHEEELFFSARPLFSVRNRLQRGRYHEEKETSRAGVELPSELCXILMTPISISTFYSFSFVPSIMHRIESLLLALNLKEMHLGRSRPNGNIPTLKVLEAITTKKCLEPFHLESFETLGDSFLKYAASQQLFKTLQDQHEGILSSRREKIISNDSLCKLGCNSKLPRLEFLGDAVLDYLITVHLYNKYPGMSPGLLTDLRSASVNNDCYAQSAVKVLGDVIESLAGAIXVDSGYDKDRVFKSIRPLLEPLITPETLKLHPVKELHDLVQKNHLEVKSSAKRLDNGTISFTIEVETDDGVFKDTCMAADKKMAERLASKSVLKSLKASLLGS >KVD98175 pep supercontig:CcrdV1:scaffold_11531:1:2213:1 gene:Ccrd_024127 transcript:KVD98175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLFKGVGQNAIWAVMTVVVVLEFTAGATLCKGLNRGFGTLLAASLAFFFEFIAREYGKVFRAVFIGASIFLIGALTTYLRFFPNIKKNYDYGVLIFLLTFNLITVSSYRVDDILKLAQGRIYTIAIGSGVCILMSLFIFPNWSGEDLHNSTVSKIEGLARSIEACVVKYFNDEEPDLEIDETTEDPIYNNYKAVLDSKSTDETLARHASWEPRHSWHCHKFPWQQYVKLGGVLRHFGYAIVALHGSLQTEIRTPRSVRLLFKEPCIRLVSEVTKALMELADSIRNRRHCSPEILTDHLHRALQDLDTALKSQPRLFLGPNSPNNTAKMLAVVAATARQKSEKHLSGLKTDSPRFLDRISEGERRVLRPTLSKLAITSLEFSEALPFAAFVALLVESVARLDVVIE >KVD98174 pep supercontig:CcrdV1:scaffold_11532:3:2215:-1 gene:Ccrd_024128 transcript:KVD98174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MEPLFKGVGQNAIWAVMTVVVVLEFTAGATLCKGLNRGFGTLLAASLAFFFEFIAREYGKVFRAVFIGASIFLIGALTTYLRFFPNIKKNYDYGVLIFLLTFNLITVSSYRVDDILKLAQGRIYTIAIGSGVCILMSLFIFPNWSGEDLHNSTVSKIEGLARSIEACVVKYFNDEEPDLEIDETTEDPIYNNYKAVLDSKSTDETLARHASWEPRHSWHCHKFPWQQYVKLGGVLRHFGYAIVALHGSLQTEIRTPRSVRLLFKEPCIRLVSEVTKALMELADSIRNRRHCSPEILTDHLHRALQDLDTALKSQPRLFLGPNSPNNTAKMLAVVAATARQKSEKHLSGLKTDSPRFLDRISEGERRVLRPTLSKLAITSLEFSEALPFAAFVALLVESVARLDVVIE >KVI04491 pep supercontig:CcrdV1:scaffold_1154:95439:98026:1 gene:Ccrd_017192 transcript:KVI04491 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MSAVMMNRGFDQTDHCFLSISPGGTNWLTLVVDESVKPVIDYVYPSLDIAESKSFDSLNRRKHQREVRNMNIKRTECTACVKFRLMKGTTTYECYDFEEEHNHFLLRHDDIDLTQKGREIKFSDQRFIHDVGISNMGATWAHKLHTSLRGGYEYGGPTVVDFQNYKRDCDNFVSRGDAKVAEVARFNYSEFGNVMSFDATFRTNRLFIT >KVI04492 pep supercontig:CcrdV1:scaffold_1154:105350:109625:1 gene:Ccrd_017191 transcript:KVI04492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLEANPVPLSSDQTPVQPPPQGYPNHHDNPLSSPPPPPPPPPPPSDAYVTDNIQNTNSPLSTRSYVPQPTEQPPPPHQSPASYPPHLSPASYPPQQSPASYPPPPQHAAYPPETYQPQPQPTSFPPLHQPTNYPPQEQHAAYPPQEQPVAYPSQQPSAAYSSQQPTSCNVQPQVVNYGGTIGYQTPVPMGTPNGIPIGAQYLAPTQGWSTGLLDCMEDPENAIMTLCFPCVTFGQIAEIVDNGQTSCATSGLIYGLIAAFIGIPCIMSCSYRTKIRSRYGLMETPAPDWAIHFFCEYCALCQEYRELKSHGMDPAIGWQGNMSRNQQMAKYQTMTPPMNQTMMG >KVI08397 pep supercontig:CcrdV1:scaffold_1155:12036:13433:-1 gene:Ccrd_013230 transcript:KVI08397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MERNDTETHDFMNVESFSQLPFIRPSPLKEKSIRLFGKEFSGAAGADSPEVIISDDADSNETAAVESKDAGESNRKFECNYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSTMVHGSFSEAQMYGLMNYHRFAPSTTPPPPYFHHTNTASSITNYNNRFYGGTSSYTSHQTPINGSPLAMWKFPTTTTTTTFSDNPKSSIIGTGNHSQIRYTYEQKPNVQEQWKSKLEIESSERKVKGQIPRKELLEPKEGDRFGKTNHMNEIDLEIDMERRITGTRSIWVKFCGISFCFQ >KVI08398 pep supercontig:CcrdV1:scaffold_1155:126331:137278:1 gene:Ccrd_013234 transcript:KVI08398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPHRLTAFLSPERTIYTHTTKSHRNSLRSFASFSLSKFLEILPTNHFVRLDSLNGEFDEGMRERLRKTMPELAHDCQFSGGDTTTSYSLSSNGSVSSSGFWSKHRDDVSCNQLQKFWSELSPQARQELLRVDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGIVGQVACNGCRTSNNKTIEDVCLTTKCDEDIQDPSVHPWGGLTTTRDGTLTLLDCYLYSKSLKGLQNVFGSARARERERELLYPDACGGGGRGWISQGMVGYGRGHGTRETCALHTARLSVDTLVDFWSALGEETRHSLLKMKEEDFMERLMYRFDSKRFCRDCRKNVIREFKELKELKRLRREARCTSWFCVADTSFQYEVTRDTIQADWHQNYGDSAETYHHYEWAVGTGEGKSDILEFENVGLNVRVQVNGLDLTGLNACYITLRAWKRDGRCNELSVKAHALKGQQCVHCRLLDDDSMDNDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVSLALKLLEERVLVACKDIITLEKQFKLLEEEEKEKRDEEERKERRRAKEKEKKLRRKERLRNKEKERENCQPNEVPAISNAAHEEELTSNVDDASDTINSQSKAGEDTVLSMPISQDYIEEEQNFNYDANSNADGNVSYASDHSKHSRRKSKFWDQSSKWSDRGRFAVVSESGGMVSKPDPRIHSDGFETLARSNRVNRPLRNNNIRTNIRSNGSRYGERLHCSHNKPNDRYDPHACSCYQPNDSRAKMGNKPEPDADISKPYYRGNKFNSQTDYIRENHGRLKSNVSTRDLQSAKKTWEPMETQKRYTPRNNSNSYDVTDPSRKPTDPSKGTVSVATNHENNNLKESKNTVENEINTTSLVSGTTDLCTSSNSNSDSCSSCPSEGDGNTSFSSNTQNPESSSTSDSEDASQHSEVIKETPLCLDEQQNTKEGETLRKVPLGELPSKTAQFYKNDKNDISSSLPQGNIVPPPLQAQGIHFPVFQAPSVGYYHQGPVPWVAAAANGLMPLPHPNHYLFPSPFGYGLNGNSHFLQYGVGNLQPLAPPLLNRGQPPVYQSVPQVNGTNMKEHAKVPGLQNGEKLQKVFSHQSETAASPPKSTGGQNGNSEKTRKGSTGFSLFHFGGPVALSNGKEDNSSDAGFCSIEGSHACAKDAAEVEEYNLFAASNGLRFSF >KVI08395 pep supercontig:CcrdV1:scaffold_1155:78334:98645:1 gene:Ccrd_013232 transcript:KVI08395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MGAVVGCRGGVAVLCCGKGKTTAGEESLLEGRADDAGGDNHIAFTKNASGKRYHRFSNQPYLTLCYSMFLVFGLVGAAVTLGLGFLAKTMGVDKAKNIFYRNGFGHTESSVYLHFVGSPSQFRRVSMYNFPGGDQRSPGIIPLAVKDAFGIIQEVVNDLLNPAGQHLRIREDSQGTFVEGIKEEVVLSPGHALSIIAAGEEQRHVGSTNFNLHSSRSHTIFTLTIESSPCGENNEGEAVNLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDGKAAHIPYRDSKLTRLLQSSLSGYGRVSLICAVTPSSSNSEETHNTLKFAHRAKHIEIQAAQNKIVDEKSLIKTYQNEIRSLKEELEQFTTDIATVPETKHTGGNDVFLKQKLEDGQVKLQSRLEQEEAAKAALLSQIQNLTKLILVSTKSSHSSRPPHQPAPRRYSFGEEELACLPHRRRDLILDDENIQSCVTLDEGTDTKNETLKEDKKIKKPGLLNWLKPRKRDSISRTLTSASDKSSGAKSTSTPSTPGDNRILPTESRHSYSSPSECTSFGFFSEARQDREINKDVFLQQEASPTSIKTIDQIDLLKEQQRILSGEVAKHFTALKRLSEEATRDTNKESIKSVPNLEAQLNGKSFELEIQAADNQEIQEQLNEKALEIEQLKQQVAKLSESKDQLEAQNKSLAEECSYAKELAADAATELKALSEEVVKLMNHNERLGAQMNSPAGRRTTGPTKNAQRNSMIHTRQKEGISPNQLELQRQLAVSKERERAYETMLSEKDQRESELQQTVMESKQREAYLENEVANMWMLVARLKRSQTNVPESTREIPEVNGLGIWDGI >KVI08394 pep supercontig:CcrdV1:scaffold_1155:98726:99993:-1 gene:Ccrd_013233 transcript:KVI08394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF106, transmembrane MASLFSTFRYSDGLTVVGISFCTALICEAISWLLIYRTTSYKSLKSSIDKASKKLETMKTDSTVAAAPKKSKTKKIDRVETSLKESSRDLSLFKFKSGGVVALVLFVVFGFLNNLFEGKAVAKLPFVPARIVQKMSHRGLQGDDPTDCSMAFLYFLCSISIRTNLQKFLGFSPPRGAAGAGLFQIPDAAKTN >KVI08396 pep supercontig:CcrdV1:scaffold_1155:63773:69943:1 gene:Ccrd_013231 transcript:KVI08396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQGGVKSRKSSLNYANSPSATTLSSKHNPEASVDSPSSPDSSARNKQQQFLYKSLALDSEMLKENVTVTVRFRPLSPREIRQGEEIAWYPDGETVVRNEHTPSIAYAYDQVFGPTTTTRHVYDIAAQHVVSGAMEGINGTWFL >KVI04436 pep supercontig:CcrdV1:scaffold_1156:83941:90162:1 gene:Ccrd_017249 transcript:KVI04436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSQGVYSGGDGVGGGNTHGAPPKLYFCYQCNHTVSIRPSPTVSSELFCPDCSGGFLEEYENPNPSSTTPNPFLAFDDDSPFTPFSTGFPLVFSTTSRGSGAASDDFQSPTDLSALFGGPLSRSGSFQNPGEFNPFAFLQNYLSTLRAGGANIQFVIENNSDGDPSGFHLPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSVVRNLPSIKITDDLLESDYSDCAVCKDSFELHEEAKQLPCKHVYHQDCIIPWLELHNSCPVCRFELPTDDPEYENRSCEGSVAAGGGRNMGAARSGAASVSGDPQENPETPSNTERRFRISLPWPFTGFGASAETSNSGAGNSNSGHSNSGSGGQDRQEDLG >KVH96841 pep supercontig:CcrdV1:scaffold_1157:17704:75075:1 gene:Ccrd_001067 transcript:KVH96841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-2 MAREVCIAFRQGVCGFDLLCCESRSYVCDVNGWSFVKNSYKYYDDVACVLRKMFLDAKAPHLSTTIPPILPWKVNEPAQTFEGLRRQGSGIIGSFGQAEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNIMLKYNGGQPRAETKLKSAVQLQDLLDATRILVPRTRPSPGSDNEAEDIEHVEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKAAKADTEEERPIEALMVLKYGGVLTHAGQKQAEELGRYFRNNMYLGEGTGLLRLHTLAMLSLNTGLMKLS >KVH96840 pep supercontig:CcrdV1:scaffold_1157:109404:116016:1 gene:Ccrd_001068 transcript:KVH96840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MFLLFRFYTCTDTITLTRPLKDGDILVSSGENFALGFFSTTNSSNRYLGIWYHKVSEQTVVWVANRDNPITNSSAILSVHPSGNLILHERDDQRFVFWSSNVSGVANDGFSAQLLDSGNLVLFQGENRQVYSWQSFDYPSNTLLPGMKFGMDRKTGLKRMITAWKSSANPAIGEYSYAMEFLGSTQLFLYKDMTKIWRTGSWTGHGWSGVPEMTPNFIFNVTYVDNNDEVAVVYLIRNSSIFSRLVVNESGIVERLTWHKDNRRWIGFWSAPKEPCDGYSHCGPFGICDPYKSGTFECDCLPGYEPQAPQDWYLRDGSKGCKRKAGTEMCKNQDGFVELARAKVPDTSTARVNMSLGLEACEEFCIKNCTCEGYAVADIREGGRGCITWYGDMIDTRTFSDGGQSLYIRVDGKELANRREHGVGFSFTVDSLKSQDGSFMAKDMGENVDLHVFDLTTIVAATHNFSPSSKLGEGGFGSVYKGKLANGQEIAVKRLSQSSGQGMQEFKNEVTLIAKLQHRNLVRLFGYCFHREEQMLIYEYLPNKGLDSFIFDQEKGPLLDWKKRFQIIHGIVRGLLYLHQDSRLKIIHRDLKASNVLLDADLNPKIADFGMAKIFGGDQDEAKTRRVVGTYGYMSPEYAMEGLFSVKSDVFSFGILLLEIISGRKNNSYYLENAVNLIGHAWDLWKQDKALTLVDSSLGDSFDDHEILLCIHVGILCVQESAADRPTMTDVAFMLSNRETKLPSPNQPAFIFRQLNYGTDSASASASGGVRSVYDESITIVRAR >KVD98173 pep supercontig:CcrdV1:scaffold_11576:13:1498:1 gene:Ccrd_024129 transcript:KVD98173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRFACEEVYLSDDADFDNKSTTGAQRFLMDKGGVIEGALIELSHEHQITVASKEARRELDRKRDLQYQRIIAEALDNHLTDIQRHHEYKS >KVI10810 pep supercontig:CcrdV1:scaffold_1158:39204:39932:1 gene:Ccrd_010792 transcript:KVI10810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDSSRSDLDRIKGPWSSEEDELLGKLVDRHGARNWTLISKSIPGRSGKSCRLRWCNQLSPEVEHRSFTETEDKVIMEAHARFGNKWATIARLLNGRTDNAVKNHWNSTLKRKCTAMDVGEGGERETRVPRRSESGGVDVTMTMPSSPSGSDVCDSGNNIPFLSMVKNHTTSHRFQPKIETAPSTTLTLSLPGTSSYPYDQQANAKEANATPFGAEILSVMQEMIRKEVRKYMEDEEESLMQV >KVI10807 pep supercontig:CcrdV1:scaffold_1158:49172:56296:1 gene:Ccrd_010791 transcript:KVI10807 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNC-50-like protein MTYLLYAFDVHCNSFFPLFVVLYVIHFFISPLLVAHGFIPLLLSNLIFTVAMSYYHYLNFLGYDVLPFLEKTTFFLYPIGIVIIFTPILILSGFNPSRYFMNIYYSQRS >KVI10808 pep supercontig:CcrdV1:scaffold_1158:60522:62744:-1 gene:Ccrd_010790 transcript:KVI10808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCGYIHVSTSLHKHTLTLSLSTNIPVTHSVSEIYAGYQKSEMGSLKEERKTVGWAATDPSGVLSPYTFPLRNTGAEDVFIKVICCGVCHTDLHQIKNDLGMSNYPMVPGHEVVGEVVEVGPEVTKFKVGDCVGVGCLVGCCDSCLPCKAEVEQYCNKKIWSYNDVYTDGKPTQGGFASSMVVHQKFVVKIPEGMSPEQVAPLLCAGVTVYSPLNHFGLKESGLKGGILGLGGVGHMGVLIAKAMGHHVTVISSSDKKKEEAMDVLGADDYLVSSDVNRRKMITGTFIGSMKETQEMLEFCKEKGVRSTIEVVKMDYVNTAMERLAKNDVRYRFVVDVAGSKLEDE >KVI10804 pep supercontig:CcrdV1:scaffold_1158:116884:117309:-1 gene:Ccrd_010788 transcript:KVI10804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MNSSGKDRVEDGDEQGWLNLSLGSNGLESATTSMIKVYTCSFCKRKFHNSQALGGHQNAHRRERDAARKYPAFHFHQSMEVHSSCLLQLVTPPGRGDGSETTTSTVARFINHGYGVTSVQNQRERSLEDFKSNTLDLNLKL >KVI10806 pep supercontig:CcrdV1:scaffold_1158:126867:127805:1 gene:Ccrd_010787 transcript:KVI10806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDQGAIDLLIKEEFQNVGGYLIDVQSNCSCIHLNYAARNFHLQALKQLKSSNVKIDSYCSCLGNRNGNGTTPVVVGAPIIQYFALSPGSILHVKELTDVELVVKTMKQLAENLIAYNDSLRQ >KVI10809 pep supercontig:CcrdV1:scaffold_1158:23409:28759:-1 gene:Ccrd_010793 transcript:KVI10809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MGDFGRISVHDALGGGPVADILLWRNSYGGGVVLIGSTILWFLFERGGYNVLAFIANNLLLLVVILFFWAKSASLLNRPLPPIPELDISEESVLIAADEMHVWVNHAFLIAHEIAVDGNVKALILVVSSLWLISYIGSFFNFLTMIYIGVLLSLSVPFLYDKFQAQVDEKLIVAHKIVHTVVRQADIVLQMIPTAQHKQKKNQ >KVI10805 pep supercontig:CcrdV1:scaffold_1158:83267:83887:-1 gene:Ccrd_010789 transcript:KVI10805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDFITDILQRLMIRHLNAVGHPAGRELDEIGQERLRMDWQTQNNFDDCGVFAMRHMKTYIGDVRTWKTGLAQEGKTQEIQIASLRMKYVAKLLVSNYNKKKEYVVNEVEKFQSMDKAIRKRLRKHADNTKTERL >KVI10811 pep supercontig:CcrdV1:scaffold_1158:133554:134722:-1 gene:Ccrd_010786 transcript:KVI10811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDASFDHHELGLASLKIGLKLDEEGEYPEKVFDYANKSLKILDEIDNGLSLPLEEHGKALKQNQLSQKVLKNWVRTSDLLRAEIDATNMQIALGKFDEAINTLKGVVLLTDKESEERAMIFVSIAKALCNQEKFQEMKRCLQMACGILDKKEKSSPLEVSEAYMEISIQYVTMNEFKTAISLLKRAQAMLEKISEEQHSVGRASARIGWLLLLTGKVQESVSYLEDATERLKKIFGSKHFGV >KVD98172 pep supercontig:CcrdV1:scaffold_11583:1:405:1 gene:Ccrd_024130 transcript:KVD98172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDSNPKALGLYMAESLNAYKILYCHMVEPRMKXLGEAVECPHSLVPMRKAFKGTFISAGGYDMEDSNKALSENRTDLVAYGRWFLANPDLPKRFELKAPLNKYDRSTFYTPDPVVGYTDYPFLDTTV >KVD98171 pep supercontig:CcrdV1:scaffold_11588:147:2041:1 gene:Ccrd_024131 transcript:KVD98171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATVDSRLLEIENLLLTADHRSVKLADFSLAREETLTGMMTANKNTATVCISSLRISHRLFSELFRDCLETVLGYLTAGLFVDGVASQLIGD >KVD98170 pep supercontig:CcrdV1:scaffold_11589:1169:2142:1 gene:Ccrd_024132 transcript:KVD98170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MNNRRFAMCMAYYTYARKKAPPNYQASQVEVGPWGGDGGVNPWTFKPDGRIVGFRIASGDVIDSIRFTYEDXSQVSHHSDTYGGDDGTLHPPVKFDDDED >KVD98169 pep supercontig:CcrdV1:scaffold_11598:2:945:-1 gene:Ccrd_024133 transcript:KVD98169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSYPYLMQLRIDKGLCFLCSQILTRVVKKKSSMRTKELDVVLEHVPEIKEVVGIAHIDQVLTVDKHNGEVKEERVLRSIFTKLMSVD >KVI05639 pep supercontig:CcrdV1:scaffold_116:407196:410036:1 gene:Ccrd_016020 transcript:KVI05639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MPRNKDEAAAVRVYTVCDESKFVFRFYHLILLENKLNLLYLIVRNVPALGCGDELRKLFGGYGEIDEFIPLDDEDCEPFTDVYWIKFHQVNNARFAKRKLDESVFIGNRLQVSYAPQYESLSDTKEKLEGRRLEVLARLNPGRSKSSTVPSAVSSSHSILLTTPSQTNFISQPSNIQQSDPLEPQPQHFTSTVSSNQDYFPSESMNQTVRLVREKLNKIESDAETLKAGLVIPSFLNLKLGMLLTRLQCLCKAFNGDYGDAAMGSSDKPESMALAVVMITADSLKGISK >KVI05671 pep supercontig:CcrdV1:scaffold_116:275620:276590:-1 gene:Ccrd_016008 transcript:KVI05671 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOX1-like protein MDEMYGFHSTSGYTVSPENNLILPAEHQSSVDQYWPFYGSDDLLSVAASVISDAVSIETNPDRIILPRRRSNRPRDQAKNGGLDVAAADDASGDRIKEKIASHPSYSKLLAAYIDCQKVGAPPEIACLLDDIRLENDVRKRNATASTCLGIDPELDEFMETYCHVLVKYKSDLARPFDEAAVFLGNIETQLRNLCKGAHI >KVI05660 pep supercontig:CcrdV1:scaffold_116:387830:391284:-1 gene:Ccrd_016018 transcript:KVI05660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C15, pyroglutamyl peptidase I MGSEGPKRVIIHVTGFKKLNGIANNPTETIVSNLKGYIEKKGVCPGISIGSCTVLETAGEYAPPMLYKVLKSAISSDTISGSKEVVWLHMGMIDGSSKFTIERRAVNEATFLCPDELGWQPKTSCSIDAILEFLEKMKCYDAMISDDAGTFMCNYLYYHSFCFAEQKGHKSLFLHVPPFSRINKETHIRGAFASWIVVMFSNESFVNEGDR >KVI05641 pep supercontig:CcrdV1:scaffold_116:428442:432960:1 gene:Ccrd_016022 transcript:KVI05641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEMILELLRPLAENKAWDYCIVWKIGDDPSRCIEWIGCCCSGSQGDLTGTQLVVPVNGGLIELFRTKFVPADQSMIETLMAQLGIIVDYGFHGKDSKTRLNPYPYHHIVPKLELLFPVPQPISIDSTHVSQNRFIDESKNSLGYGNSGDGKEIRKVNHKIGKEQFQSKNLVTERNRRKRMKDGLYTLRALVPRISKMDKAAIVGDAIEYIKELEKNVKELQDELKELEEQDCMMNNFEAEVCKPKRAYESSTQTSPKPHFRVSNVADKKISEVQVEVHQIGARDFLLKLICSHKSDGFLRILEMVDSLGLEVIDVNVTTCHSRVLNILKVKAKGKEVSAKNLKDSLLLGWSCLKVDREKKPSMRAL >KVI05649 pep supercontig:CcrdV1:scaffold_116:58567:65241:1 gene:Ccrd_015996 transcript:KVI05649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MPASEITADALSASGRTSEKLSLPTLQSKMKCDPEGYETELSLIYSQFKSSVELFQQQAALNFTSAASGGVGSDPTVAKDLGDRAMFLAHVTPFYPNQLLGYPKELVEFLRTSARVLPSSLRVTVTQALILLLNRKIVAIKETLALFMELQVLSDKVLKELAFSHVIHSIRRMNQKHKNETENRALQSILFSMLQEEDEKKAMRSLVTICDLHRRKVWFDDRTANAICRACFHSSSRIMIAALSFLLDYEKIEQDSDSDASSDEDEAVHQPHVVVSKEAIYKAKNTGTTSSKKKKKAKLQRVIRSMKKQQRISSERDNNSNYYSPLNSLKDAQGFAEKLFSRLQTCGERFEIKMMIVKVVARTVGLHRLILLNFYPFLQKYVQPHQRDVTNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRTESIAVGLNVVREICLRIPLLMTEDLLQDLVLYKKSHEKADRGRPTDPKAKPKAFGEVTVPNDVPGADLLRDEEEDDDDDDGSDGSDQDEDNSGIGEEDAIRPKLETDASDSTDGILSNEDFQRIKELKTKKDAKLALAHHGLLKKASDPKSTVFKIPTSDQLSLKRVDGVTLEANIRKKMTKEERKALIKAGREDTGKYQARAAIKQNKTGGLSNRQKEHKKAMPLAAKRSKVERSRRQKKIKQKTAGKQFRGRKAWK >KVI05656 pep supercontig:CcrdV1:scaffold_116:295881:297017:-1 gene:Ccrd_016010 transcript:KVI05656 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MPTSGDQSQIAAVAAPFHSDVLEAILSHLPLIHLVQASLVSKTWYKVISFILLKYQKSKPWLILHTQYSRSPYLTTTEAYDPASNLWIEIHQPSIDYVSPLRSSQLNLLYMLSPSKLSFSFDPLHLTWHHTVAPRVWRIDPIVAVVGKHVVVAGGACDFEQDPLAVEIYDIESQKWTKLEPMPEFFKESASSTFHSIASDDRRLFVMEKNSGVLHTFDPVTNTWYGPYDLHPDHLVFHSSIGFSNNRLILIGMLDDCNDFIGIKLWEVNWQSFEFVEIAEMPADLVAKLKGDDLEISSIEVRIAGNVAYIYKPWLVEEVIVCEFSESGKCRWRSTTANSVASDRSITERFVFTCSMVGIEELRRATLSTVNRRFRFKR >KVI05664 pep supercontig:CcrdV1:scaffold_116:227617:231767:-1 gene:Ccrd_016005 transcript:KVI05664 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiE/COQ5 methyltransferase FYLDLLTKNYNDIFYYNNKIIEIYKNSVRTKRNVILKVIEDRFHNARMAVIQFPFGPVAGHWRRRQKIRSSVDRQALFDRIAPVYDNVIGLDFSREQLMVASSRQQSRSNPCYRNLKWMEGDAVDLPFPDCHFDAITMGYGLRNVIDKYKAMKEVHRVLKPGSKASILDLNKSTDEFRTSFQGLIIDNLIVPVAASYGLSEEYQYLKRSIQEFLTGEELEHLALEAGFSSAKHFEVGGGLMGNLVASR >KVI05646 pep supercontig:CcrdV1:scaffold_116:103121:115063:1 gene:Ccrd_015999 transcript:KVI05646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein MLSTAWESPDTRQESVRQNVWRCPICTFDNEEYMSACDICGVLRNPLVRSSDNGQSSTVGGICTNSGVSVMAKSLFASLPCRSPKGAVNFQPQKDASSAEEDKFSYLHGNNRGKFHDLHKAIISEKQYKVNIAPFKFDIPSPDDVVSMGMRSYKIGSKVAFDLKKPSTSDASSSKAKDNANLKSSAKSSNISAPLLSKDKFEYVDDDSHVPISKTQTHGLSSDLNSITMSAKSGNSKIRNQGKIALRSQYKPEKWMLLDQTEDQLSQLNLAISAEERERGITMTVGVAFFNSSKYHVVVLDSPGHKDFVPNMISGATQADAAILVIDASLGAFEAGIDSARGQTREHAQLIRSFGVDQIIVSVNKMDAVEYSKERFETIKLQLGAFLRSCNFRDSSVSWIPLSAMENQNLVAPASDARLLSWYRGPSLLEAIDALQPPAREYSKPLLMPICDVIKLPSQGQVLILPSGEFGTVRSLERDSQACGIARAGDNVALEFHIHHAKEVAKVAKIVSLLDSKTGKVTKKAPRCLLAKQSAIVEVVLQGEVCVDEFSNSRALGRAFLRSSGTTVAVGVVTRVIVD >KVI05670 pep supercontig:CcrdV1:scaffold_116:293433:293687:1 gene:Ccrd_016009 transcript:KVI05670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSADTVQANVLAVIPSRNAKIEYLMLYNLDGATDRETFKSKIPMVTYKDLQPLIQCIVNDDRSPIYIKTLVHGLIDFPCDDE >KVI05662 pep supercontig:CcrdV1:scaffold_116:256117:265704:-1 gene:Ccrd_016007 transcript:KVI05662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSRMKGVRSFGFLKRRQSQWPDMQLLIRSRGGGGGSVDEVTISSEEEFSGGETDNAQMSQELKDTLLRKFGGRIGSLKQEFTKKKKKGKLPKEARQTLLEWWNSHYKWPYPTEGDKISLAETTGLDPKQINNWFINQRKRHWKPPENMQLAVMGGGLPGQHFYDD >KVI05652 pep supercontig:CcrdV1:scaffold_116:16211:43859:1 gene:Ccrd_015993 transcript:KVI05652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1602 MPNAAADAAAASAAIGRSECDPCSATFPFSITAMESDFCMVDSRCATTTQVLPTIIFSNASCTRFSDALSSALVASSNRRIAGFLSIARASATLCFWPPDSCTPLSPQATFGLQTALNLPMKPATPTPTARTSSFSAYFKIKYKKNSSIMVSTNHTLFTTSLEKDRPLPPKSLMISLRIIIVRGDINPSPMQPKTLSTNKINSKNSGPDWRTMIDSSKFW >KVI05653 pep supercontig:CcrdV1:scaffold_116:344835:346718:1 gene:Ccrd_016015 transcript:KVI05653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKAVRRYTKPILTLDSSNINLSPIAVATSVNEHGSTLTPEKTPLWVRAYPTCLSSIHQILQKCAREKFTMEGMACHGCIIQYGLWADTLTSNMLINMYSKCGSIEHARKVFDEMPERSLVSWNTMMGSYTQNGQEREALDLFVRMQREGEEFSEFTLSGVLCACAAEFAVFECRQLHAFALKASMLTNMFVGTALLDVYAKCSLLKDAIRVFEYMPERSDVTWSSMVAGYVKNALYEEALLMFRKVQVTGVEVNQFIISSVLAACAAIAAKIEGIQAHTVLLKMGFVANFFVSSSLVDMYSKCGSINEAYLVFSCTEEKNIVLLNAMISGFSRHGRSMEVMMLFEKMQQIGLQPNEVTYVSVLSACGHMGLVKEGKNYFDMMVKEHNLSPNVFHYSCMVDVLGRTGLIDEAKSLIDKMPFEATAAIWGSLLSSCRVHGNVELAEIAAKRLFEIEPENAGNHVLLSNIYAANRQWDEVIQARKLLKETEVKKERGKSWIEIKDKVHAFMVGERNHPRIGEIYSRLEDMLEEMRKIGYRGETQHDLHNVEENQKAELLRHHSEKLALVYGLMCLPSSAPVRIMKNLRICGDCHAFMKLASKITGREIVVRDTNRFHHFRYGLCSCGEFW >KVI05651 pep supercontig:CcrdV1:scaffold_116:576:11320:-1 gene:Ccrd_015992 transcript:KVI05651 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLE1-like protein MHVSDDEGDYDDEGNYSRPLAMGGRFACEEVYLSDDADSDNESTTGAQWSLMDKGGVIEGALIELSHEHQITVAEDIRTRISAFGTDLTNEKEKLTNALSRAEKSKEARRELDRKRDLQYQRIIAEALDNHLTDVQRHHEYKSQIEEKKIRDDAAIEEAKRKQKALQEEKVRQEKMKAEEAKRQAEKKRDEEAKAAALEAERAAKEAAVAESQRKAAEAMTASQGAVSKGSDSGGPKKTPAGNILKGAESALKMEERRLQMYKEVVGKDVASEMDYNKEYRSHGMQMARRIKTITGTKENVRTKADELVKLMNSTCPQSVNIGIFAEKVVSQSTNASSNNVLYGYGHVIVMVTSQVPHAMEILLAKLNRVCIFTVPKYLSYSEAAFESKDAYFKAIGYQEEDGNLESTDSYIARLTLHMKLYGALVQLAGFGLYRRYKNQFKKLLNIISRDFLKALKEREDPKVTKVVMSLENYIQTNEFQKEPEGWRLQDSLLSHGFVPNESDHQQQYHNNSSGYRGGYQQQQYNYNSSYSYRYQR >KVI05638 pep supercontig:CcrdV1:scaffold_116:425003:425836:-1 gene:Ccrd_016021 transcript:KVI05638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MSIHIDETITIRDVWSQNLESEFKLIRSVIDQYSYISMDTEFPGVVYSQSVDPSKPYMHRRPSDSYKLLKSNVDVLNLIQLGLTLTDASGNLPCDGETHRRFIWQFNFNDFDLACDLYAPESIELLKRQGIDFDRNRIEGIDSVRFAELMMSSGLVCNDSVSWVTFHSAYDFGYLLKILTRRELPRGLHEFLEALKVFFGDDVYDVKHLMKFCRKNLYGGLDRVASILEVNRVVGKCHQAGSDSLLTWHAFQKMRDVYFVDVGTENYAGVLYGLEVY >KVI05644 pep supercontig:CcrdV1:scaffold_116:453069:467455:-1 gene:Ccrd_016026 transcript:KVI05644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MTKTMKAWKHDEYGGVDTLKLVTDAAVPEITDDQVLVRVVAAALNPVDFKRRLGYFKATDSPLPIIPGYDVAGVVVKIGSQVKGLKEGDEVYGDVNEKALEGPKQFGTLAEYIAVEERLLALKPKNLDFVQAAALPLAIETAYEGLERAGFCEATSSTGKLELLKSLGVDLAIDYTKENFEELPDKYDVVYDAVGQVEKAVKVVNGSGCVVSITAAIPSQVLKLKITMKAWKYDEYGSVDVMKFVTDVAVPEINDDQVLVKVVAAALNPIDFKRRHGFLKASDSPFPIVPGFDIAGVVVKVGSQVKGLKEGDEVYGDVNATGASGPKQFGTLAEYTAVEERLLALKPKNLDFVQAAALPLAIETAYEGLERAGFCEGKSILVLNGAGGVGSFVIQLAKHVYGASKVAATSSTGKIELLKSLGVDVAIDYTKDNFEDLPDKYDVVYDAIADSVQPPHISFFLTSDGSILTKLSPYLESGKVKPLLDPKTPFPFDKVIEAYTYLESHRATGK >KVI05654 pep supercontig:CcrdV1:scaffold_116:349063:353546:-1 gene:Ccrd_016016 transcript:KVI05654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGGFSFGKLTFALIVAILVWSSSIETCNARRGKHWRHSRGTFSSLYKKKGKNQGGHQASKPKQKHPLPTPEEPVAPPQKGSKFNVLDYGAKGDGNSDDTKAFQAAWADACKVEASTMIVPSGYQFLVGPISFSGPYCQRNILFQLDGTIIAPTNSKAWGKGLLQWLEFTKLVGLSIKGKGTIDGRGSVWWTKSILDDSIDNEEKLRVPLDNITVTQDPQVSSSLDEKMPSTKPTNSKNVLIHTTDLACGDDCISIQTGCTNVYVHDVNCGPGHGISIGSLGKDGTSACVSNITVRNINMHNTMTGVRIKTWQGGSGSVQGVLFSNIQVSEVEFPIMIDQYYCDHSSCKNHTSAVAVSNIAYENIRGTYTVKPVHMSCSDSMPCTDVKLTDIELKPMPKGYHMYDPFCWQAFGELYAPTIPEIDCLQEGKPSSSWDPDETGCAA >KVI05658 pep supercontig:CcrdV1:scaffold_116:316857:321068:-1 gene:Ccrd_016012 transcript:KVI05658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGICWSIRIKAESAFSTGDDSKIGEKNGNSNSSSKVSTGSQSNTPRSEGEILQSSNLKSFTFSELKNATRNFRPDSVLGEGGFGSVFKGWVDEHSLAASKPGTGIVIAVKRLNQEGFQGHKEWLAEINYLGQLRHPNLVKLIGYCLEDDHRLLAYEFMPKGSMENHLFRRGSYFQPLSWRLRLKVALGAARGLAFLHNAETMVIYRDFKTSNILLDSTFNAKLSDFGLARDGPTGHLTAKSDVYSFGVVLLEILSGKKAIDKNRPTGEHNLVDWAKPCLSNKRRIFRVLDPRLEGQYSLGRALKLANLALQCLCMEPKLRPNMDEVVTILEELQDNEKRDRREPQINRHGVSTTNKARSCRQEVGPGVVAYPRPSASLRA >KVI05648 pep supercontig:CcrdV1:scaffold_116:45055:55775:-1 gene:Ccrd_015995 transcript:KVI05648 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate deaminase/D-cysteine desulfhydrase MKFVLQRNPPILSFRYQFQSGCSSINPQVICKSNSSKEEFMSKILDRRWTLINPDTKVHHIRVSSLQTQQHCGPLENFSFSNNPHPSLGENVKEWHNDQSSFYIVRDDLLHPLVNGNKARKLDALLPLVEDHLGTDVVTCGGCQSAHAAAVAVSCAERGLKAHLLLRGEQPQVLTGYNLISMLYGNVTYVPRSIYANREEMLSAHADSIAGSVICLDDLLEASFTDHNSVKSNFPNIEDGSPLNSKKIVIVNEGAGNAVALPDHILGKDQPLKIVVDAGTGTTAVGLGIGALCLGLPWEVTAVMLADTIEGYRKQEEHLISELCRCYDVPTTRSGLVNWVERRHPRKFGNVVKGEIEACQQIAQETGVLVDPIYTLAAWELATQLSLEERKGGAKVVMD >KVI05668 pep supercontig:CcrdV1:scaffold_116:122719:147605:-1 gene:Ccrd_016001 transcript:KVI05668 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 FSISQGSESKKLIEDHLNKINKPFVKSIHDPPTEPSNEQSKKGIASELKQKWSSKGESCPDGTIPIRRTREYEIFRSVPVSYFGKSNSASIKTNNIYNGHEHAIGYGFGEFYGAKATLNVWAPNVSNPSEFSLSHISISSDVPTDILNTITAGWQVSPKLGKDNSPRLFIYWTEPKSGNWWLKVGSSLVGYWPATLFPNLQEKATLVEFGGEVYNGLLGPHTSAEMGSGHFSNEGFGKAAYIRNLEKHINPKIEENSTQRRTTMKFTSRHFIRPIFLIFVSFFLLLSPVFPIRQDDEIIPPNDESEEMKLVNAHLKKINKPFVKSIKVSITNQLEFSLSQVWVITDVSNHGMNTIEAGWQDPNSKDWWLKVGSSVVGYWPSTLFTDLREHAGLIEFGGEVYNGHISGSHTSTQMGSGHFAEEGFGKASYIKSIELVDGENKLNRVSKMNLDVDNPNCYDVTSGYADNWGSYIYFGGPGNNPKYNRTFPSDNASKKMELIKSRLKKINRPFVKSIQDPLEPSNGQHKEGIESELKQIWSSKGESCPYGTIPIRRTSEYDILRSNPVSKFGKKLSTKTHQNSINDGHKHARGYVEGDEYYGAKAAFNVWDPSVSNREEHSVSQVWVATTGIPLHDENTIEAGWTVNPTLYGDHSPRLFIYWTNDGYRSGCYNLLCPGFVQTNSKIAIGAAIYPVSTYNGTQFDISLFIWKSPRNGNWWLKMGSDVVGYWPTSLFTDLQEHATSIAFGGEVFTFQTSGPHTSTQMGSGHFSMEGFGKASYIRNMEVVDGQFKLNPASNVNVSAENENCYDSPDGDIIDCVLFHLQPAFDRPELNRTVSVDPEEPTSEQKTVEIEPELKQIWSSKGESCPQGTIPIRRTSEEDISRSVRLSKFGKKFSTISQKQIENGHEVNPTVFGDYSSRFFIYWTVSSYFFINWYSI >KVI05665 pep supercontig:CcrdV1:scaffold_116:208304:228605:1 gene:Ccrd_016004 transcript:KVI05665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKTSASIFIASIVILLLVTRSEGDARSRIIRISCDNQKENNQTLFIPNFVRTMERIATQMRTSHNGTAVTGTGPDSNIGLAQCYGDLSRDDCILCYAEARTVLPTCFPNNGGRIYLDGCFMRVQNYSFFEQYTGPNDTYVCGNTTKKGRLFQVSVRQAVSDAVADAPRNLDYFARKEVPVSGTNKSVYVLANCWRTLNPSSCRACLENASASISKCLPWSEGRALNTGCFMRYSDTNFLNPLPATSSSSNHRGKIIAIVVSVLSSLAVFIVASMIMLNDSSLNFKYSTLEKATGKWDECNKLGQGGFGTVYKGVLSDGREIAVKRLFFNNKFRAADFYNEVNMISSVEHKNLVRLLGCSCSGPESILVYEYLPNMSLDRFIFDATKGKALNWEKRFEIIIGTAEGLVYLHENTKSRIIHRDIKAANILLDLRLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLILEVVTGMENNRSKMVEYTDSLVSIAWNHFQQDRVEEIFDPNLMMQVYPNSNFQKEAIKVVQVGLLCTQEVPSLRPTMSMVLKMLAKDDEPLPSPSNPPFIDEKTMELNGFIEKLRYYHSGDDSSSVATVSRSHFFPRSEGDARSQIVYRYCDAIMENNQSLFVQNFIRTMEIISNDMRTSHNATATVGTGLNTNYAIAECYGDLSSEDCILCYAEARTVLPGCFPRSGGRIYLDGCFMRLQNFSFFDEYTGPNDTIVCGNTTKNSRSFEDSARQAVLNVVSNALRTNDYFAREEMPVPTENKSVYVLAECWKTLNSSSCRACLENATASISKCLPWSEGRALNTGCFVRYSDTNFLNPLPATSSSSNRGKIIVTVVSVVSSVLVFTVASMIVLMILRNLQRYSSTGVLSDGREIAVKRLFFNNKFRAADFYNEVNMISSVEHKNLVRLLGCSCSGPESILVYEYLPNMSLDLFIFDAAKGKDLNWEKRFEIVIGIAEGLVYLHENTKVRIIHRDIKAANILLDLRLRAKIADFGLARSFQDDKSHISTAIAGTLFGVLVLEVVTGMENNRSKTTEYTESIQGTVEEIFDPNLMMHIYPTSNFQKDALKVVHVGLLCTQEAPSLRPSMSKVLKMLAKDDEPLPAPSNPPFMDHKTMELNHITQKLLNYHKPEDSSSHATVSHSHFYPRIQGDVTIRTNLLKILELTSSDIDTLRKDHN >KVI05663 pep supercontig:CcrdV1:scaffold_116:249105:254874:1 gene:Ccrd_016006 transcript:KVI05663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MACVLINLAIILLAVNRSEGVPRNQIIYSLCNNQSEINQTEFSLNFIQTTEKIGTQIQNSRFGTANSGTGPDSNYGLVQCYGDLSTNDCILCYDSAHYFLPRCFPNNGARAYLDGCFMRFQNYSFFEEYAGPNDIAICGNTTRKSSVFQTSARQAVQNAVRDASTNGEYFAREEVKPTGTVNESAYVLAECWRTLNASSCRTCLESASVSILDCLPWSEGRALNTGCFMRYSDINFLNPEPTRSRNRGRMIAIIAAVGSSMLILVVVLLIALYIWRQRRIQKKRTGSYDAKKLAKILTDSSLNFKYSTIEKATGCWDDANKLGQGGFGTVYKGTLPDGREIAVKRLFLNNKFRAADFYNEVNMISSVEHKNLVRLLGCSCSGPESFLVYEFMPNMSLDHFIFDAIKGKELNWEKRFEIIIGTTEGLVYLHENTKIRIIHRDIKAANILLDSRLRAKIADFGLARSFQGDMSHISTVVAGTLGYMAPEYLALGQLTEKADVYSYGVLLLEVVTGIQNNRSKNSEYSESLASIEILDPNLMFHTYPNRNFKKDAIKVVHIGLLCTQEAPSLRPSISMALKMLVKDDEPLPPPSNPPFINDYKINELDEKLPLYHDDDDLGSVATVSHSHFYPRFLGAQEMG >KVI05657 pep supercontig:CcrdV1:scaffold_116:302855:312714:-1 gene:Ccrd_016011 transcript:KVI05657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type II pantothenate kinase MEGDRIEEVRLVHSPVDEISHLALDIGGSLIKMVYFSTSREQPIDKTQINASRDSHAVSRSSKDGHLLNGRLYFLKFETSKINECLDFISSKQLHYRGLQHCGFPASDKNIIKLTFSTMVKATGGGAFKFADLFKEKLGISLDKVEEMSSLVSGANFLLKAVPSEAFTYVNGQRNYVQIDHNDLYPYLLVNIGSGVSMIKVDGDGKYERVSGTSVGGGTFWGLGKLLTKCKSFDDLLEMSHQGNNRVIDMLVGDIYGGMDYLKVPVHFLVTWEQIGLSSTAIASSFGKAVSENRELEEYRPEDVARSLLRMISNNIGQVSSHKLDFCESKGEAKAMFLRHEGFLGALGAFMNYQLVEEFPDTIHLAGEKNRNPLVGDLNDNGVIECCVQARPAVED >KVI05655 pep supercontig:CcrdV1:scaffold_116:372618:387409:-1 gene:Ccrd_016017 transcript:KVI05655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGKIVFISILVMLLLVNGTAENSLSDTFDIFGELESLDLEDDEIEFSDLPSWSSQHGSKILVNVDTFGAAGDGVTDDTKAVQNAWTQACSTAKSVLLVPPGRTYLVNATRFKGPCAGKLIIQEWDPKNPRNWLHFSNLSGATFQGRGVIDGSGKKWWAASCKKNKTNPCVGAPTAFTIDQSSAIKVKGLTFQNSQQMHFVISRCESVRIYSVVVSAPEDSPNTDGIHLTGSTNVVIQNSKIGTGDDCVSIVNASSNIKMKNIYCGPGHGISIGSLGKDNSTGIVSKIGGSGYVKGVRYQNVKMDNVANPIIIDQFYCDSPKSCKNQDRKVGVAMDFSNSSKVALKWAIDNLADNGDTFFIIHVKTHPPSDESRNQLWATTGSPLIPLVEFREPEVMTKYDVKMDMEVLDMLDTAARQKEIHVVAKLFWGDAREKLVEAIDDLKLDSLTSTVEISQIMYQNVSGSSKSADAMKFACSDTMPCNNIVLNNIDLERLDGKTAQTYCNSVTSINYGFIQPSANYLTSSDNI >KVI05647 pep supercontig:CcrdV1:scaffold_116:20876:42200:-1 gene:Ccrd_015994 transcript:KVI05647 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAKKKGMFHFADGIDKLLLLFGTLGCIGDGLMSPLTMIILSDIINDFGGNGLSFSNDVVNKYALKLLVLAVGVGVAGFIEGICWTRTAERQISRLRMEYLKSVLRQEVGFFDRQVGSSTNFEVISAISGDAQLIQDVMAEKIPNCVAQLSSLVFSIVVSFILSWRLAVASIPFALLFIVPVLSIGVFLKALGMKMKDAYDIGGGVAEQAISSIRTVYSYVGEQQTIDKFSNALQTSMALGIKQGFTKGLMIGSMGMVFAAWAFVFWVGGYLVTEKGESGGRVFISAICVIMAGLAAMSALPNVTFISEAIAATERMFKMISRAPLINPDDSKGKTLPSVRGDIEFRSVDFSYPSRPDTPILQELSLKVKAGKTIGLVGGSGSGKSTIISLLERFYDPVRGDILLDGHRIKRLQLKWLRSQMGLVNQEPVLFATSIKENILFGKEGVSDDLVENAAKAANAHNFIIKLPDAYETQVGQFGIQLSGGQKQRIAIARALLKEPRILLLDEATSALDTESERVVQEALDQASVGRTTIIVAHRLTTVRKADKIVVLQSGKVIESGSHEELMQKNDQEEGGAYYQMVQLQQSAKQNESGSPDSPYTPTGQRTHERNFNPQTTRSPNSARSSLQNSPMSPFSMAHSYEESDEKIYNSSPSQWRLLKMNAPEWGRAFLGCLGATGFGAISPIHAYCLGSVVSVYFLPDKNKVKSETTLYCVIFVGLGVACFFTNLLQHYNFAVMGERLTKRVREKVLQNVLTFEVGWFDKDENTSAAVCARLATEASLIRSLVGDRISLLLQVFVSAFLAFIFAMIITWRIAIVMISMQPLLIASFYSKITLMKSMSAKAKKAQNEGTQLASEAVVNHRTITAFASQERIMGLYAATQKGPRKESIKQSWFSGMGLFTSQFVTTAAISLAFWYGGREMNKGLVTSKQMFQVFFILMTTGKNIADAGSMSSDLSKGGGAVRSVLAVLDRKTEIDPDDSESLTVSGINGQIELMNVHFSYPSRPEQMIFQGLHLKIEAGKTVALVGQSGSGKSTIIGLIERFYDPIKGCILIDERDIKNYNLRHLRSHIALVSQEPTLFAGSIRHNIVYGKEEASEAEIMKAAELANAHEFISSMKDGYETYCGERGVQLSGGQKQRVALARAILKNPAILLLDEATSALDSASENLVQEALEKMMVGRTCVVVAHRLSTIQKSDSIAVIGNGKVVEQGSHSELLSMGNKGCIGNGLMSPLTMIILSGIINDFGGNGLSFSNNVVNKKEFAGQDRWNTNIKSKDEIPKIRTQARNGIDKLLLLFGTLGCIGDGLMSPLTMVILSGIINDYGGGDLSFSNDVVNKYALKLLVLAIGVGVAAFVAERQTSRLRTEYLKSVLRQEVGFFDTQVGSSTNFEVISAISGDAQLIHDVMAEKFSHALETSMALGIKQGFTKGLMMGSMGMVFGTWAFIFWFGGYLVTEKGESGGRVFISAICVIMAGVAAMSALPNITCISEAIAATERMFTMISRAPLIDPDNSKGETLPSVRGDIEFRSVDFSYPSRPDTPVLQELSLKVEAGKTIGLVGGSGSGKSTIISLLERFYDPVRGDILLDGYEIKRLQIKWLRSQLGLVNQEPVLFATSIKENILFGKETVSDDLVENAAKAANAHNFIIKLPDAYETQVGQFGIQLSGGQKQRIAIARALLKEPRILLLDEATSALDTESERVVQEALDQASVGRTTIIVAHRLTTVRKVDKIVVLQSGKVIESGSHEELMQKNDQEEGGAYYQMVQLQQSAKQNESHSPHSPYTPTRQRTHEISSNPQTTQSPVSARSSLQNSPMSPFSVTHSYEESDEKIPNSSPSQWRLLKMNTPEWRRAFLGCLGATSFGAISPIQAYCLGSVASVYFLPDKAKVKSETEFYCIIFVGLAVACFFTNLLQHYNFAVMGERLTKRVREKMLENVLTFEVGWFDKNENTSAAVCARLATEASLIRSLIGDRISLLLQVFVSAFLAFIIAMIITWRIAIVLISMQPLLIASFYSKMVLMKSMSTKAKKAQNEGTQLASEAVVNHRTITAFASQERITRLYAATQKGPRKESIKQSWFSGMGLFTSQFVTTAAVSLAFWYGGREMSEGSVTSKQMFQVFFILMTTGKNIADAASMSSDLSKGGDAVRSVLAVLDRKTKIDPDDSESLTVSGINGHIELMNVHFSYPSRPEQMIFQGLYLKIEAGKTVALVGQSGSDGYETYCGERGVQLSGGQKQRVALARAILKNPAILLLDEATSALDSASENLVQEALEKMMVGRTCVVVAHRLSTIQKSDSIAVIENGKIAEQGSHTELLSMGNIDGTDKLLLLFGTLGCIGDGLMSPLTMVILGGIIDDYGSGDLTFSNDVVDKYALKLLILAIGVAVSAFIEGICWTRTAERQTSRLRIEYLKSVLRQEIPNCLAHLSALVFSIIVSFILSWRLAVASLPFALLFVVPVLGFGASLQGLGMKMKDAYDTGGGVAEHAISSIRTVYSYVGEHQTINKFSHALQTSMALGIKQGFTKGLMIGSMGMVFVAWAFISWVGSYLVTEKGETGGRVFVSAICVIMAGLSAMSALPNVTFISEAIAATKRMFKMISRVPLIDSDNWTGKTIASVRGDIEFRGVDFSYPSRPDTPILQKLNLKVKAGKTIGLVGSSGSGKSTIISLLERFYDPVKGDILLDGHRLKGFQLKWLRSQMGLVNQEPVLFATSIKENILFGKEGVSAEMVEIAAKAANAHDFIIKLPDAYETQVGQFGIQLSGGQKQRIAIARALLKEPRILLLDEATSALDTKSERVVQEALDQASIGRTTIIVAHRLTTIRKADKIVVLWSGKVIESGTHDELMHKNNKKEGGAYYQMVLLQQSATHNESGSPDSLYPPIRQQPHGRDFNPQFARSPIGARSSLQNSPMSPFCPTVIMSMAHSVQMYSYQESDGEYLSDIPDRSPSQWRLLQMNAPEWKRAFLGCLGATGFGAISPIHAYCLGSVVSVYFLPDKAKVKSDTAFYCIIFVVLGVVCFVANLLQHYNFAVMGERLTKRVREKMLGNILTFEVGWFDKDENTSAAICARLATEASLIRSLVGDRISLLLQVFVSAFLAFIIALIITWRVAIVMISVQPLLIASFYSKTVLMKSMSTKAKKAQNEGTQLASEAVVNHRTITAFASQQRIVRLYAETQKGPRKECIKQSWFSGLGLFTSQFVTTAAISLAYWYGGREMNKGLVTAKQMFQVFFILMTTGKNIADAGSMSSDLSKGGGAVKSVLAVLDRKTEIDPDDSEGLKVRRINGHIELMNVHFSYPSRPEQMIFQGLSLKIEAGRTVALVGQSGSGKSTIIGLIERFYDPIKGCILVDARDLKNYNLRHLRSHIALVSQEPTLFAGSIRHNIIYGKEEASEAEIMKAAKLANAHEFISSMNDGYETYCGERGVQLSGGQKQRVALARAILKNPAILLLDEATSALDSASENLVQEALEKMMVGRTCVVVAHRLSTIQKSDSIAVIENGKVAEQGSHSELLSMGNMGSYHSLIKLQHI >KVI05667 pep supercontig:CcrdV1:scaffold_116:155218:158851:1 gene:Ccrd_016002 transcript:KVI05667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory factor, effector, bacterial MVLLSCYRHVNATESPPYTVVLSAPDLEIRLYRDSSWISAAVAGGTSFNKSTHDGFHRLYQYVHGGNEYNTRFKITTPTVTTVTAAASNGSSDYLVSMYVASISSPPMASPQLNLHISNWKNHCVAVRRFSGFAGDDNIYKERSLLSFSLAKSLILNGKNVSISDDKSSYSIAQYNASSHLSGRVNEAWINVIPCPVLH >KVI05650 pep supercontig:CcrdV1:scaffold_116:66492:72854:1 gene:Ccrd_015997 transcript:KVI05650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MALAFDEYGRPFIILREQESKSRLRGLDAQKANISAGVAVARILRTSLGPKGMDKMLQSPDGDITITNDGATILEQMDVDNQIGKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRVAEGYEIASKIAVDHLQQISHKFEFSATHIEPLVKTCMTTLSSKIVNRCKRTLAEIAVKAVMAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGILVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRQQEQKYFDDMVQQCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKAFGTTKDRMLYIEHCANSRAVTVFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGAAEISCSIAVEAAADKYPGVEQYAVRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKDNNPCCGIDCNDAGTNDMREQNVFETLIGKQQQLLLATQVVKMILKIDDVISPTEY >KVI05669 pep supercontig:CcrdV1:scaffold_116:117064:120763:1 gene:Ccrd_016000 transcript:KVI05669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSSSLFMHRFGKTKSLTKKSTKKYLEVALYRRLFKDGGTEVSVRQNLNLFLKSRKKAYKWEVDHTLKILRNRKRYAPALKLSETMAERGMNKTVSDQAVHLDLIAKARGISAAEAYFVDLPEQSKNHYTYGALLNHYCKELMTDKAESMFEKMKEWNLNLTSLHYNSILTLYEKTGQPERIPEIIRDMKTAEVKPDVFTYNIWMRVVAAMNDISGVERVIDEIKRDEGVSEDWTTYSNLASIYVNAGLTSKAEKALKELEKKNTYKNLSAFQHLITLYGKTGNLVEVYRVWRSLRLAFPKTANISYLNMIQVLVKLNDLPGAEKCFREWVSGCSSYDIRIANALIGAYAKAGLFEKAEELKELSRRRGAKPNAKTWEIFLNYHLEKGDIVSAVNCIDNAISTGRANGEKWVPSSTVVQKFMTHFESSKDVDAAEGFVQILEKVEDNVGVEVLESLIRIYAAAGKTTPVVRRRVKMENVELSNEGKTLLETISTT >KVI05642 pep supercontig:CcrdV1:scaffold_116:450920:451810:1 gene:Ccrd_016025 transcript:KVI05642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MSLFSSDSDRVCIDRDEDLQQSVDLVTGDMNRKLDLESDYDSTPKLLPDSEVHEGFEDEDEEDDDDDFTFMYIGDKDSPMYADKVFEDGQIRPVFPLFDQNLLLGGEYEVEEIDRLPVHPTVDKIFIESPRGHPSSTASEHEENNDVAAGPFCVWSKESVTGTAELSKKSNSTGFSKLWRIREKVGRSNSDGRDAFVFLKSSDRTSTTTTTSSSSTKPATGAGSFVKVNAAGEKARVVKQGTKAKKPTVSPHEAYLRSKGEHTEEERRRSYLPYRPELMGFFTNVHGGLSKNVHPY >KVI05661 pep supercontig:CcrdV1:scaffold_116:402163:404732:1 gene:Ccrd_016019 transcript:KVI05661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKDKKRKFQKDNFWSKNKKFDRKNESKSKDEDHEVLHLPNPMVGFGVPREPFHVVRRTLPEAAIGPPFFYYENVALTPKGAWNRIKSFLYEIDPEFVDSKFFCAAARKRGYIHNLPLENRFPIQPLPPLTIFEALPGTKKWWPSWDKREQLNCILTCIGSAQLTDRIRLALENSNTEPSLHVKNYIIGQCRKWNMVWTGKTRVAPLEPDEIELIMGYPIYHTRGASRVERYKGLGNAFQVDTVAYHLSVLKNLYPNGMNILSLFSGIGGAEIALHKLGIPLNNVVSVEKSMVCRNILQGWWEQTNQKGNLVHLSDVQDVTLNKLNQWIDSFGGFDLVIGGSPCNNLAGGNRRTRDGLEGGHSSLFFDYFRILDGVKNLMKNRS >KVI05666 pep supercontig:CcrdV1:scaffold_116:161174:179286:-1 gene:Ccrd_016003 transcript:KVI05666 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 1 MSNSIGHCLLPPTVLKHQSQKNSTTCIAGNTLFQAQVTSQIRKSSSSALSSLLSSDFRGHRLKVRKSGLRDNRVVVSGLVQAVLTTDQTSEVANSRDNLYLHWGGLQNKKEKWVLPGHRPEGTKVYKERALRTPFVKSGSNLFLKVEIDDPSIQALEFLIVDEGKNKWYRNNGQNFHVKLPSREKPVSDVVPEDLVQVQAFLRWEKKGKQMYTPEQEKASKVEYEAARAELYEEIARGSSIEDIRARLTKKDGTTSEQTGVLDPSSTRKSDIPDDLAQIQAYIRWEKAGKPNFSADQQLIEFEEARKDLQKEVDKGTSLDDIRKRITKGEIQTKVQKPPEKKHNFNHRIQRKKRDLMQLLNKHIPVSVKSVEEEVSMKPKTLSAVELYTNAIEEQKGINVLSKKTYRLVDEELSVLVTKASSKIRVHLATGMKEPLTLHWALSKKAGEWLAKIEIGEGSFVGMPFVLFSGKKWLKNNGSDFYVEFVGPKKAIKDASDGKGTAKALLDKIAGLESEAQKSFMHRFNIAADLMEEAKDTGELGLAAILVWMRFMATRQLIWNKNYNVKPREISRAQDRLTDLLQNVYVDYPQYSELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCAGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWNTLNSNGITKERLLSYDRAIHHEPNFRRDQKNSLLHDLGSYMRTLKAVHSGADLESAISNCMGYKSEGQGFMVGVNINPVSGLPSGFPDLLFLDIALDSTVRTAIERSYEELNNAEPERVMYLITLLLENLILSSDNNEDLIYCLKGWNQAISMLETGDGSWALFAKSVLDRTRLALASKGELYHQLLQPSAEYLGSRLGLDQWAVSIFTEEMIRAGSAASLSSLVNRLDPILRNVAHLGSWQVISPVEAIGYIVVVDELLSVQNESYALPTILVAKSVRGEEEIPDGAVAVVTPDMPDVLSHVSVRARNSKVCFATCFDTNILDDLQDKEGKLLKLKPTSADITYSEVQEGDLRGSNNSEEVGPSPSIKLVKKQFAGKFAISSEEFTSEMVGAKSRNIAYLKGKVPSWVGIPTSVALPFGVFEEVLSDELNKGVSEKLQILKKKLVAGDFDVLVEIRKTVLELAAPPHLVQELKNKMQSSDMPWPGDEGEQRWEQAWIAIKKVWASKWNERAYFSTRKVKLDHDLLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEGLGETLVGAYPGRALSFIVKKDKLDSPKVLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDKIVLDYSCDPLMVDGNFQKSILSNIGRAGDAIEKLYGSPQDIEGVVRDGKIYVVQTRPQM >KVI05643 pep supercontig:CcrdV1:scaffold_116:444068:447412:1 gene:Ccrd_016024 transcript:KVI05643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase, catalytic domain-containing protein MDTTVYFEDQVSISIEDGEVLKADSDSDSELAVSSDPRFGIFSSDRLMFQRLEEGNSDFEVLKRSFIGGMRDFGRNIDVVGIHKKNYGWRVMDDARMEAFKVFAMAVASRNCGDANIKYGWYGGSRDEIREILLYGFRRFENRSSSYGRGVYLSPANLPMESAKSSVADSDGLRHVLLCRVILGNPEEISLGSQEGQPSSMEFNSGVDNLSSPKKYIIWEPYMNTHILPAFIVTFHADSLTGVGSLRTPTSPHMSINGLMRHLKNYLSSSKMMLLKRHHHEYHKNNISRSVFIRNLRAIAGDDVLRAIVQGFK >KVI05645 pep supercontig:CcrdV1:scaffold_116:86463:87535:-1 gene:Ccrd_015998 transcript:KVI05645 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MAMPIFLLLLGFLAPISTLSSMVQDPKLVVQHVHRSINESRRNMGFLSCGTGNPIDDCWRCDKGWEKNRQRLADCAIGFGKHAIGGRDGKIYVVTDSKNDDPVNPKPGTLRYGVIQNEPLWIIFAHDMTIKLKEELMMNSFKTIDGRGADVHIAGGPCITIQYVTNIIIHGVNIHDCKQGGNADVRDSPDHYGWRTISDGDGISIFGGSHVWVDHCSLANCHDGLIDAIHGSTAITISNNYMTRHDKVMLLGHSDSLIQDKNMQVTIAFNHFGEGLVQRMPR >KVI05659 pep supercontig:CcrdV1:scaffold_116:335654:340972:-1 gene:Ccrd_016013 transcript:KVI05659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crontonase, C-terminal MATGIQEKDLVTMRRRVAAVSNHLLPSPHSSISPIISSSNCSASMNDSYHRIHGEVPNHLPVWKIACDESGKDFTDIIYEKAEGEAIAKGTKAFCSGGDQALRSDDGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYSAQEAERMGLINTVVPVKKLEEETVKWCREIIRNSPTAIREIGGNATLIFYGTEEGKEGKTAYMERRPPNFSKFPRRP >KVI05640 pep supercontig:CcrdV1:scaffold_116:436287:439822:1 gene:Ccrd_016023 transcript:KVI05640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13/S15, N-terminal MGRMHSSGKGISASAKPYKRSPPSWLKISSQDVQDNICKFAKKGLTPSQIGVILRDSHGIAQVNSVTGSKILRILKANGLAPDIPEDLYQLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLAPVWKYESTTASTMVA >KVI07853 pep supercontig:CcrdV1:scaffold_1160:127658:133534:1 gene:Ccrd_013782 transcript:KVI07853 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4220 MQFEETSIVRRKKRKRQVDPMADGFSNAVTKLGETLEKMANKLSRVAGLITLADNRKLVEVVPDDIKNMWNEWGPRGLILISLASQIILSQLGLVRKHSPRTRIRMALWCAYLLANFIASAALGVITRSALDVCNASLPNSQDHKPNTNELISFWAPFLLIHLGGPDTITAFALEDNELWLRHFVELLFQSGVALYILLLSWPGCSDLPLLSILVYVVGFIKCFERVQALRLANTENLRDSMLGPADPGPNYPKFLERIHLKKSQGFHVNVEEVPEPPLQANDHQYPRGRGEVSAAYILFQTFKRLFVDLILTFEDRDNSQSYFLHLQPDEAFHAVEIELGFAYDMLYTKATVVYTFSGLVLRFISVFLVLMLPVSFFFMCGRAKYQVIDVVITYLLIGTLIFMEIFAFITMLRSDWTDYWLSQHDHTRTILVFPFLKRPTKQWWSRSIAQLDLLSVALEEKPASFLQTQKFFGVDKVRVKHRYKTYSKVSDKLQDLIYSQFRDSIDGNSDPKTLCTHKGSFSLRKNECDALLWSISKVEFDQSILIWNIATALCYYSEMEDTDEVEIDIHRAESKHISDYLLYLLVSHPVMLPIGIGMIRYRDTCAEAMRFFKEKGPITGKTEASRKLLEVDCSQVSPSKVKGDRCKSALFEGCILASTLKKMERERMWKVVSQVWIEILAYAATHCRGFHHEQQLRKGGEFLTHVWLLMAHLGITEQFQVSQGHARARIIVS >KVI07848 pep supercontig:CcrdV1:scaffold_1160:1729:16796:1 gene:Ccrd_013787 transcript:KVI07848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSREKKRDSNPVMVAENDDWSTRWNALMGLSDRSYEGRRGRTMKVVVVVSEKAKGEGTGTMVFKEGGVEWWLSSEKEMRKGWHTQWSGDLFVDCRLNVRESLCDFFGCPIGRLGTMPLRWSNHSPRTHIFPFCLFRFYRLQWASRISSISKSQGQLGGATSVWFVKVVCTLYVRRKSSMEEVRILDYLRKNLNSLTAFSVIEHMNSNWNNPKLAFSFLEYTKNTLNLVHLIETYSFLLRSFSQVGXHDLAKLLIDLMRIBGVSPDXSLLGFVIKSFVNAGKFEVAKELIVDSSRSSVEKDGVLSCIVVNKILSSLVKSNRVDEAVAFFENIILRSQCYSHNAYTFNIVISGLCRAREVDKAFGFFNHMRKFGCLPDVVTYNTLLNGFCRAGNVNKAHDLLRETSMVDGCSPNVVTFTSVISGYCKLGKMEEAMVLFSDMISHGIXPSTFTFNVIIDGFGKIGNMVSVLNMYEKMVDHGCTPDVITFTSIIDGHCRLGEVHQGLKIWDEMNRXNLYPNIYTFSILINTLCKENRLNEARDLLRQLKKRSDIIPKAFVYNPVIDGFCKAGNVDEANVIVKEMEEKRCYPDKLTFTILIIGHCMKGRMIEAISLFQKMIVVGCAPDSITVNSLVSRLLKAGMLKEAFEIRKAASGKPVTKRDTSYNKNADIHFLEVINACKRAQCLALSGRMASCICNVETLVLNWPLVGSIARKELIYKFPTLGCLRSSPPFRVPANLSLSNVGGQIS >KVI07850 pep supercontig:CcrdV1:scaffold_1160:93528:95083:1 gene:Ccrd_013785 transcript:KVI07850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAQEIVETPTQFFSNPETIKGVDKIIKVYEKLQMPDFSLGLTQEFEEVVDPKENAPNRDDNDVVPNVKPISEIDIHRSHWSKSFKGGRKNMFTVYE >KVI07851 pep supercontig:CcrdV1:scaffold_1160:106886:112591:1 gene:Ccrd_013784 transcript:KVI07851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFKTMLILFLSKKQVKKNRRGSEIMVRMPQRKEILEYKDCIRSKMNSILAQKQSIEMMIDNAISDFPLDRDLRIMSRELQSMFGQEDTSTGDVVNNETQIQLFETPKRPAKGSKKRMKKDSRDLEKSPDSTTGMQIVPGATLVDSPIWNSPRTHMELDNSFISYAKKMQHKNSDIPKFDLGISPYKTVQIPQPLSYIPAFKKSLFSLNSQVEAICDAPKASRRDANLGESLRSPY >KVI07852 pep supercontig:CcrdV1:scaffold_1160:115050:117044:-1 gene:Ccrd_013783 transcript:KVI07852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type IDQAEQALSISPGSTNWYTPVVEEVVKHIIESVYPSLDVAESEYQKYVETAGFEVVRNLKESTIVCSCNHIGRHGYLCRHVFKVLLNVGVEFIPE >KVI07849 pep supercontig:CcrdV1:scaffold_1160:21748:87673:1 gene:Ccrd_013786 transcript:KVI07849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFLQSCFLFTNLENVRSHGADLALIVGIGGLGIVFQIIIRMDSDDSDLNGDNEVNWVEEENKWLLSCAIAIKGIIISHKLNSTRMPCRTSSRTGNIFIHEVLNGHPRRCYEDFILNVPVFKMLCSDIATRYGLKATRNICIEESVGIFLMTLAYRCGNRLIQETVNHSGETIHGHFHAVLKVMLKLGADIIKPNANYKENVPVHILNNSRYYPTIKVLPISCFVFDPIILID >KVH91788 pep supercontig:CcrdV1:scaffold_11600:1272:2122:1 gene:Ccrd_006186 transcript:KVH91788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MYEKMKLKVENVVERGQISAEYIANEDERKAFDKWSEGFTRHDHPTIIQVLLESGQENEIEGHPMPNLIYVSREKSKIFPHHFKAGALNALLRVSAVMTNAPIVLTQDCDMYSNDPKTPQQMLCFYVDQSMRHNLGYIQFPQRFHGINSADIYGSEYKRLYVINPGGMDGLRGPCYVGSGCFFDRRVFFGGPS >KVD98168 pep supercontig:CcrdV1:scaffold_11606:691:1010:-1 gene:Ccrd_024134 transcript:KVD98168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQHLRNSLPDAVVVQRIDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGL >KVD98167 pep supercontig:CcrdV1:scaffold_11607:1078:1427:1 gene:Ccrd_024135 transcript:KVD98167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 LIIYVCFLLELQHLRNSLPDAVVVQRIDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGG >KVI00481 pep supercontig:CcrdV1:scaffold_1161:36243:42644:-1 gene:Ccrd_021271 transcript:KVI00481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDRNGGIWDFEHEMAAAGGDQDLILGLDGGTTSTVCVCMPVIPLTDNHLPSDPPPVLARAVAGCSNHNSVGETAAKETLEHVMADALSKAGSTRSAVRAVCLAVSGVNHPTDQQRILDWLRAIFPNDVRCSVHNDAVAAMASGTMGKLHGCVLIAGTGTIAYGYTEDGREARASGAGPILGDWGSGYGIAAMALTSIIRAYDGRGPQTMLTSSILQELKLSSPDELIGWTYADPSWARIAALVPVVVSCAEAGDEVANGILLNAVQELASSVKAVVCRLGLCGKDGKDSCPLVMVGGVLEADRRWDIGQEVISCISKEFPGMRPIRPTVEPAVGAALLAWNFIKEQS >KVI00477 pep supercontig:CcrdV1:scaffold_1161:104692:108191:1 gene:Ccrd_021275 transcript:KVI00477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MAETGGLSRKVIAIAAGEAHTLALTGDGNVYSWGRGTFGRLGNGSERDQLFPVKIEFKLTDKRDRVKIIGISAGAYHSLALADGQLGDDGENSFVPCLVKLPMTDGSVTKNETAPKISSVKAGSMMSLAINDSGSLWMWGNCPVQETSSEGGFSLTPTSTPISIWNFHGHTVVKVACGSEHIVTLVSAGEKREGGDLVCYTWGNNNHGQLGLGDTEARSNPRMVEKFNTGSPWAAYEVACGAFHTCVLAYRKTESVALESVCWTFGRGDNGQLGQGTTKKSLYPEMVEGLPENSLLLSVDCGLFHTSVVSSSGNVWSWGMENGLGLCPEATFTESDGGDILTPRLINEVFGAKFPEPVQVACGAAHTVLLADSGHKLWAWGRGRNGVLGNGQEIDFFAPKDVLWPQPDEKGLGTFANEVSVERDPEETTENEKKLSAVMQEMNLLQSKLSIMERYASILHGSIFGKPFEEDRDIPVSLRNSGTFEIAKEWENMLESCDRGKLIRLQMFYRNMLXGVEDKMTKQRIKEMIKECLESSASTTR >KVI00480 pep supercontig:CcrdV1:scaffold_1161:23309:30156:1 gene:Ccrd_021270 transcript:KVI00480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein MSRKGLMEQDLSKLDVAQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENSKMTLMRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVIPVSAQLKYNIDVVCEYIIKRIPIPERNFISPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILKGVLKVNQNIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPMLTRADRLVGQVNFFLLRRLLGVKTKGTERQGKVSKLAKGEILMLNIGSMSTGARVLAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGLTLEIPPCPI >KVI00478 pep supercontig:CcrdV1:scaffold_1161:62087:68226:1 gene:Ccrd_021272 transcript:KVI00478 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MASENFNSNGNASDLPWENGFMDALSLVNSEKAVKEIIEQPLVEGIEEHLVEFSEAMRTVSKALRRAAEGKASAQAEAAEWKRKYELEREQNSQLEHKGLQIREQNSQLKHKVLTYVELKNNCIEKKVENSVQHLEIVPDAQSQEYRGKSWIYTHEVLWDKEHNSCSSVRNKLIRKASFKLHWWAKGENNDQHKHDIVSFEKGDITTAERSSKQICLKWETPPQTVLIVIKPDSPPVKDLCAKMVRWLKEKKLNIYXEPXVRTXLVSESPYYNFLQTXKDEDDISLLHEKVDLVVTLGGDGTVLWTASMFKGPVPPIVPFALGSLGFMTPFRSDQFVERLKSILQGPISITLRHRLQCHVIRKGAKNEHETEEPILVLNEVTIDRGISSFLTNLECSCDGSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLIFPEYVTLKIQVPLNSRGKAYASFDGKGRKELVAGDTLVCSMSIWPVPTACQGDSTKDFLHSIQDGLHWNLRKKQSVDGPRKP >KVI00476 pep supercontig:CcrdV1:scaffold_1161:101068:102931:1 gene:Ccrd_021274 transcript:KVI00476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MRMLEKDKLFQLNTNALIKMDNLMLLQLNYVQLTGSYKNFPQELRWLCMHKFSLEYIPRDLLSQLENLVSLDMSYSNFKSLDMSYGKPQHHENMEKLSGSCLKDKRFLRSLKILNLSSCKQLRSLSGFVELPALERLILANCTSLIEVCGSIEQCDELVLIDLSYCNEFKNLLRTIGKLKKVKTLLLDGCNLGEFSIKKRDTESSEMLKANKSGINSQTSSVIVETIPRDFRSFVISSLSSLVCLSLKDNKLSNESFPMDFSNLSMLKELYLDGNSIVSLPNCVRGLPRLEKLSIEKCDRLKTLEHPPRTLKHLIFGFRYELEKVVFHQEMSPIMLSINTNLGSFIEGMFKVEDMANVEEDVLRSLGWTNLEFTKNTLIKTRPKVQMQYEFGIFSTVFRGKEVPNWISDRREGSSISFTIPSSPNNLRGLNFCYVFMNTGINGYILSPIIVSNVTKIRT >KVI00479 pep supercontig:CcrdV1:scaffold_1161:75458:89927:1 gene:Ccrd_021273 transcript:KVI00479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMENNENFATEFDVPSFPSPSNTISHFFDPLSEEEETSKKRKKITALSNQFDTIPSDSFPTLYNLEVLALVMECNEGRHRILMVSDFFYPNFGGVENHIYLLSQCLLKLGHKVVVMTHAYANRSGVRYMTNGLKVYYVPWKPFLMQNTLPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVLFTDHSLYGFADVGSIHMNKILQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTTMFKPAPERLSMDPIVIVVISRLVYRKGADLLVEVIPEVCHLYPNVISVKVRFIIGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAKVQSVLTSGHIFLNSSLTEAFCIAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPSDMVHAINKAIRILPQIDPQEMHRRMKGMYSWHDVAKRTEIVYDRALGCSNQTLLERLPRYLTCGAWAGKLFCLVMIIDFILWRLLQLWQPDSEVELVPDIKQVECKRGKPTIPVKPGLAHPTLLTPLISSSYPNFHNFNSKMASCFHHSTTLEDDSAALFTASDDSPDQSTTTPSSSSRHSPPSSSYPSKLSYKLTVKNLSYTINRDTQMTLPGLSWLLNKPKRVDILKSVSFVAQSSEIMAIVGPSGSGKSSLLHFVSGRVRDNTLDPKTTISLNDFPITSPSQMKKICGFVAQEDNLLPLLTVKETLMYSAEFMLKEMSSKEKEERVDCLLRELGLVHVRDSFVGDEDDRGISGGERKRVSIGVDMIPDPPILLLDEPTSGLDSSSALQVIELLSNMASSKQRTIILSIHQPSYRVLQYISNFLILSNGSVVHNGSLESLEETINQLGFEIPAQLNALEFSMEIKEVLEASYNSKEPVLTFRELVEPSFATVWAEQTNGTFQELLRRDQSVPRTRYKLFFHEILILCTRFWKTIYRTKQLFLARTMQAVVGGLGLGSVYVKVKNDENGIAERLGLFAFSLSFLLSSTVEALPIYLQERRVLMKEASRGAYKTSSYMIANTIVFLPFLFVVGLLFSIPVYWLVGLNPSFTAFAFFIFVVWLIVLMASSLVLFLSAVSPDFISGNSLICTVLGAFFLFSGYFIPKEVIPKYWVFMYYVSLYRYPLDSWLVNEYWGRRDVCFSGEGPGSTCLLTGREILKGRGLESDSRWMNVGIM >KVI00475 pep supercontig:CcrdV1:scaffold_1161:116573:117622:1 gene:Ccrd_021276 transcript:KVI00475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKELNLDGNSIISLPNCVRSLPRLEILSINDCDKLKTLEHPPRTLKELIFGFSDDNKEGKVVFDREMSPIMFSVGEGWGSFIEGMFKVEDMEDVEEKVLRSLGWSRLDFIQLTKSKVQMQYEFGIFSTYYDGNEIPNWISDRREGSSISFTIPSSSNDLRGLNFCFVSMPPESHGTYLWVDIKISNITKNRTWIYACSLVFESAIASGIYLSHWMFGKNEMEDGDQLTISIIKNHPVGSIITECGVSFVYNEDDDGKNKMDDDDEEEVLGYYKSWNHIIGGDLSAFRTTTPGEYFLNKLYFLGYNYGTDYVGMCYPCLLNIFR >KVD98166 pep supercontig:CcrdV1:scaffold_11613:1558:2100:-1 gene:Ccrd_024136 transcript:KVD98166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MMMFICSGYMSPEYALNGLFSVKSDVFSFGVVVLEIISGKXNTGYYQNQQAFSLISYAWGLWKDKTPIDLLDQALAESCNSSEVLRCMIIGLLCIQEDPQDRPTMMEVILMLGMDIESLPDPKEPAFVSKTRVDCMQTSSSKSEINQLTITDVEGR >KVD98165 pep supercontig:CcrdV1:scaffold_11619:1213:1393:-1 gene:Ccrd_024137 transcript:KVD98165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCHLKDKTPYDNRSTASHHQPIQCMANGDPEELSDEDEELARLNVSEKSGRMKNYLQF >KVI03367 pep supercontig:CcrdV1:scaffold_1162:62533:63000:1 gene:Ccrd_018334 transcript:KVI03367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAPPSIAAIINRTTNDGGTIILNKYQLTHILGHGRFAKVYHGRSLIDNSSVPVKVIEKPTISDPTMELRLVCEVVAMRRLNQPNILKLHEVLATKTKIYLVMELAVGARDLHSAPPGTDEGSHRPKILSTDRFHPQFLPPKRRRPLQSQTKQPVC >KVI03371 pep supercontig:CcrdV1:scaffold_1162:3239:7082:-1 gene:Ccrd_018330 transcript:KVI03371 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MGIVAKPPRFKIPLSVITVFVFSFTFIVLLYTERINLLSSSVFKSKSCAKRGYSKYIRKPFEDEKNSTKDDKFKSIIDDRFIFDPDECNVAQGKWVYNRSFKPLYTDLSCPYLDRQVSCVKNGKPDSDYRRWEWQLDDCVLPRFDARLALDRIRGKRMMFVGDSLQRGQWQSFVCLVEFLVPKGQKSMRRGRVHSVFKIKDHNATIEFYWAPFLIDSNTDEKIIGDPKKRILRVDSIEKHAKHWVGVDVLVFNTYVWWMTGSKIRSLWGSFANGEDGSEELDAAVSYRIALKTWANWVDSNVDPNKTRVFFTTMSPTHQRSADWNNKGGIKCFNETKPVTKRGHWGTGSDKRIMSVVASVVGKMKVPVTFINVTQLSEYRVEAHSSIYTEMQGKLLTDEQKADPFKFADCIHWCLPGVPDTWNRILLANL >KVI03369 pep supercontig:CcrdV1:scaffold_1162:36238:46027:1 gene:Ccrd_018332 transcript:KVI03369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKPEEPYQVNWYKDDEERKRNPIELKGCDCTNLVTTTQDSEGMYTRGGGFKPNGSSDPFMKKQDMIKPYVDASKEKAKAICNNYQKSRHFASECGSKPDLMHYQDGDVIDSNKFAQILVTFRTLKKLYNVDPNDMFSIYGMRIFGSSPLLEKWLLLLHVNDGRLITKTMKKA >KVI03370 pep supercontig:CcrdV1:scaffold_1162:26282:26977:1 gene:Ccrd_018331 transcript:KVI03370 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MSITPPRTVGRSNNNVRSFENFLQGWLVRQEHYLEELRCTLSTSHQSKDEDLRDLISRVLFHYQQYYEEKSRIAKHDVFLVFSPPWFSPFERSFFWIAGVKPGLAFRVVGSSVEDMSEEQVERMEKLKAETRAEEKELGDELARIQEGLAAPPVFEVARRVAVEEECGEMDSVMETLRVEMESVLANADMLRTRTAEKVAKILTAVQDVKFLAALTELQLKIRMWGSHLSR >KVI03368 pep supercontig:CcrdV1:scaffold_1162:48192:55511:-1 gene:Ccrd_018333 transcript:KVI03368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenoprotein, Rdx type MAMDRTQLLLVGLPLFLFCSDVFNLFAPAPAPANHAAPHHHIPPQQPQHFQASSIQQPLDFPAQKASGFGGAIGLGNTVKIDFCASCSYRGNAETMKNMLESNFPGLNVVLSNYPPPLPKRLVAKVVPVVQFGVIGVVMAGEHIFPRLGFAAPPPWYYSLRANRFGTISSTWLLGNFLQGFLQSSGAFEVSCNGEPVFSKLKIKRFPGEIELRDLVAKKIANSRVVDGVDGSLWS >KVD98164 pep supercontig:CcrdV1:scaffold_11630:1675:2055:1 gene:Ccrd_024138 transcript:KVD98164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPRSDDACMHAGNSTQMETLSKRCLLIVAIFLIVFQRTTTITQGSRLLLEKKAVHAEHNWIVVKGEGGDGMGPVTGGHEDTGNDGGGGGYGEGPGGGGDGGYGGGPGGGGDGGYGGGPGGGGDGG >KVH89265 pep supercontig:CcrdV1:scaffold_1164:60566:75956:1 gene:Ccrd_008747 transcript:KVH89265 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-splicing endonuclease, subunit Sen54, N-terminal MEIEEWAASSGGSSDSEVTAPDSDSEENCYAYGDLPKLQFRKDTSKACWKEELGMAEIVSNKGRMWTTTGIARGGKIYIFLEDILFLAEIGALHLLDDEDKCIPLEDIYKKVAEGVGGSSWESFEVYRHLKSLGYIIKRHGVCWSVKRDKRVPAFVEGESGSQRIINNGNADLSSITATTELFSAMQITELRPVFDVYPPNSKFRKSNPGNPIFVLCISTGSPPTKKQVEDLEGKCDGVSLKFCYQLTTVLRGSTAASAALRGSTAASAALRDLTTATTQLTAVLRGSTTASAALRGSVAASAALCDLTAATTAS >KVH89266 pep supercontig:CcrdV1:scaffold_1164:31355:40141:1 gene:Ccrd_008746 transcript:KVH89266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDNGIQEKLIRREKEEEEGDLKGRIWVESTKIWRVALPGVISRVCAFGTIVVTQSFIGHISDIDLAGYALVQTLSVRFVNGILLGMSSATETLCGQAFGAGQHHMMGIYLQRSWIVDLITLTVLLPIFIFATQIFKLLGEDAAVANNSGYISLWFIPFVYNFVFSLTIQMYLQAQLKNMVIAWLSIAQFGIHIPLSLLFVRKLNLGVSGAMIALSMSSWILVIGEFIYIFGGWCPYSWRGFTVAAFKDLFPVVKLSISSGVMVCLELWYNAVLVLLAGYMADAEVAISAFSICLNINAWEFMISLGFLGAACVRVANELGRGNAKAAKFSIKVLLGTSIAIGVFFFFLCLAFRKKLAYLFTNDKRVADTVSDLSLLLSFSVLLNSIYPLDFLKVNSIVSYFGKPTLISRIFHALIQIMLSLGVAVGAGLQGTVAIVNLVCFYLIGIPMGALLGYLTNLEVKGIWIGMIGGVVTQTLALVYMAWRTDWDDQVKRASERLNRFYLKSSDEPDEQTH >KVH89267 pep supercontig:CcrdV1:scaffold_1164:101434:108484:-1 gene:Ccrd_008748 transcript:KVH89267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative domain Wax2, C-terminal MAAVIHPFAEHMAYFALFAIPLTTISLTRTASLAAFGGYVTFIDIMNNMGHCNFELIPKSVFAIFPPLKYIMYTPSRITKRSAFNASNNTGFRLSHEAGYLIEWQREAINGLIEAAILEAEGKGIKVLGLGLLNQFFVRRNPGLKVRVVDGSSLVVAVVLNSIPAGTTEVVFRGNFNKVASYLALALCRRGIKVGISQKDHYQMLKSKLKSTDDQEKLFLSQTYSHKNWLPRRVMSAWRIAGIVHGLEGWNVNECGNQIFDIDKVWEAALRHGFTLNKSFT >KVH88322 pep supercontig:CcrdV1:scaffold_1165:126823:128173:1 gene:Ccrd_024141 transcript:KVH88322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-/4-kinase, catalytic domain-containing protein MLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHADQIILLVEMLQESGFPCFKSGPRTVENLRKRFHLSLTEEQCVSVVLSLITSSLDAWRTRQYDYYQRVLNGIL >KVH88324 pep supercontig:CcrdV1:scaffold_1165:77419:93760:1 gene:Ccrd_024139 transcript:KVH88324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MVVRILGLTRGEEESPREITGNNLLSDSGGENGWLIRFLDSAFFCEWIAVSYLYKHDHPGVRDYLCNRMYTLPLSGVESYLFQICYMLVHKPSPSLDKFVIDICSKSLKIALKVQWFLMTELEDSDDNEGISRIQEKCQIAATSMGEWVPLIRPQNESTSNVGKNQVLNRWLSSKQRLLSLTSSPQPQKSFSFSHPLENPFQDEGTSKVSADENNMFKKFILGPKVRDALLFRKSVDKDDEESDKDGFFMRLLQDSKDNEESEKDGFCKRLLRDSKDEDVGKSMERYDEDKEKDELQDSKDYEESEKDDFFKRLLRDSEDEEVGKYVERDDEDMEKDGSFRRLLKDSKDENELTSSSEKIFKRIFTKGDLESVEGDDREGFLWKFFRDKNDDEERGPKSAENDEKEGFFIKTFKEKFEDKKGAPAADDDDEEYKGDANGVEDAPSDVPLFHKLFRLHPDDANTVAATGSNNGSGLESSPGTEKFFLKLFRHHDRSVEDSELFGSKNKERHPGSLKQRNDKSNLKPPLPTMTASQFRKGTYHESFDFVLSLCETSYGLVDVFPVEDRKRALYESLTEINEHVVAAQSSGGVCFPMGRGMYRVVHIPEDEAILLNSREKAPYLICIEVLKSETSSNMKDGFGSQKFSKGGIPLANGDTYLAKPPPWAYPLPNRQDFNLSGYDRMSRSTSQAIDEAISQLWESKAKYVHVSLSMEDVLPCHAMNLQVHNPDFDTHCCQGRDCESYACRPEDGGDLERVRVVLRADSGVSMDDIEVQEPPRRREHRRVPSMIAFEEVKAAALKGETPPGLSLKRTGQDLSDVQRKDIDGGMPKTGDALSGELWETKKDRIRQASVYGKLPGWDLCS >KVH88323 pep supercontig:CcrdV1:scaffold_1165:111124:114354:1 gene:Ccrd_024140 transcript:KVH88323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGRNIWQCNLFLTFMIYSRKLDYLYGCAHMKF >KVH88321 pep supercontig:CcrdV1:scaffold_1166:14800:16186:1 gene:Ccrd_024142 transcript:KVH88321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid domain-containing protein MGKPLFYEILEKPATSCVIGICTVIWFYIQKKSIGYSHVGLSYETAVEGHHWRIITSAFSHISVLHLVFNMSALWSLGVVEQLGHMGLGVAYYLHYSLVLVVLSGLLVLGAYHVLINRFKIEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLNLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYSIAWGLIHGMNNYWAVSMLGWTLLVFIISLKQSGAYDFNFLEIESVTDPSLPGNGRMLQMSSLLDAHEELV >KVH88320 pep supercontig:CcrdV1:scaffold_1166:75301:89926:-1 gene:Ccrd_024143 transcript:KVH88320 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MNFDFPQPYTTIMSDNEENALGDSHTSSRSVTETVNGSHRFVIQGYSLAKGMGIGKHIASDNFTVGGFQWAVYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFYRRALLETSDYLKDDCLKINCTVGVVVSAIDCSRLHSIHVPESDIGSHFGTLLDNTEGTDVTFNVAGEKFYAHKLILAARSPVFRSEFFGQEGDDHEIVVTDTEPKVFKAMLHFIYRDSLVEDELVASNSPCESSVSDTLIAKLLAAADKYDLSRLRRMCESHLCKDISVNSVGRSLALADCHHAIQLKAVCLRFAAENLAAVMRSDGFEYLKENCPWLQSEILKMVAGCEDGCSSGGGGKSRSVCAQLSDGGDTNGRRVRQRT >KVD98163 pep supercontig:CcrdV1:scaffold_11663:1150:1550:-1 gene:Ccrd_024144 transcript:KVD98163 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone reductase complex 1 MLRQ subunit LYKTNKLRVSFLHHLRSLSPSISPASFPPHLKVSTMASSALKRWLRPEVYPLFAAVGVAVGICGMQLVRNISGNPEVRF >KVD98162 pep supercontig:CcrdV1:scaffold_11664:12:2035:1 gene:Ccrd_024145 transcript:KVD98162 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter, integral membrane type 1 MNAPEWKEALFGSVGAVLFGAVQPVYAFSMGSMVSVYFLMDHDEIKHKTMVYGLCFAGLGVFSVLINIIQHYYFAAMGEHLMKRVRERMLSKILTFEVGWFDQDENSSGAICSRLAKDAMTIAWTMGLVIAWRLALVIIAVQPLIIIGFYCRRVLLQNMSQKAMKSLDESSKLAAEAVSNLRTITSFSSQARILKMFHETLKAPMREGVRQAWYAGIGLGFSQSIMTCNLALNFWFGGKLISDGHLTSKALFQTYMILVSTGRVIADAGTMTNDLAKGSDAVRSVFTILDRNTLIEPDDSDGKKPEIITGNVELRNVYFAYPARPDIMIFNGFSIKIEAGKSTALVGQSGSGKSTIIGLIERFYDPMKGVVKIDGRDIKSYHLRTLRKYFALVSQEPTLFA >KVI11174 pep supercontig:CcrdV1:scaffold_1167:20032:84136:-1 gene:Ccrd_010418 transcript:KVI11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDLLLSSYVDPNADQTVFQSLPHMVKWTKDNPPKKITGDQKSNVICSVQQLHNNNETTKQLASQQVKDSDSSDDDDDDTPDDVEHLPCDHIRVLLEIMESST >KVD98161 pep supercontig:CcrdV1:scaffold_11675:3:683:1 gene:Ccrd_024147 transcript:KVD98161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein VEDDVFDDELESALKSYQKYYHLKATGILDEPTVSQMVIPRCGGPDKETHHHGSKSLHTVSHYQFFPNYPRWPPGKSDLTYAFASGFPNNRIPPIVRAFNRWSSGTRYFTFSRVNNIMGADIKVSFQRGNHGDGASFDGPGGILAHAFAPTDGRVHYDADDTFSNGPGPVPNVIDFETVSVHEIGHLLGLDHSNDMNAAMYAYIYPGVVKGLNADDIEGIRVLYGL >KVH89706 pep supercontig:CcrdV1:scaffold_1168:35228:41791:1 gene:Ccrd_008299 transcript:KVH89706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAHTHLHELLREDQEPFKLKNYIADRRSQLNLTETTTVTKRKPVIEPTTTLKFCINHACLFTFQDSPDVRNSPFIDFPSPATTSPCNAAVFLNVPTRTSAVLLDAAMRVQKQSSSKTKPQPSKNVGFGLLGSFLKRLKHKNTQTKRHEINSLNNTKSSIPTKQFRGKKTANDVEISLDANGCAYSKLSSADWSEKSSESETSCSSISVHESCEIQSLGLVAENHVSSLSAMCICSNPSSPFHFSLQKSHSTGHRTPDFLSPATSPSRRFRQVSIS >KVH89707 pep supercontig:CcrdV1:scaffold_1168:108235:122142:-1 gene:Ccrd_008300 transcript:KVH89707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRHTSVSRKIMFAELPPSIKTLLVEQFLMFITFALKGDGDRTLFFASIFALMDFSLISKKSGNTGKEYSRKIHRIKGTPLLRRNNQGILRDTSTKPSRMIAYPLRYVTAKTVTVAETRESRELSGEKQSFVDSFASSSLLSSDQLLKFQSNSNKTLKLVDTFKIYQNPRRILRRRWKLKKNFDGRAPGRRFFNKEEEAIDVDVVAEGGTDPSPIEIP >KVD98160 pep supercontig:CcrdV1:scaffold_11681:1670:2028:-1 gene:Ccrd_024149 transcript:KVD98160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCMFLVSMSILSMVIFACGDSDGHNARKRHNQHSGGGGGGGCGGDGGGGGGGGGCGGGGGGGCGGGGGGGGC >KVH96543 pep supercontig:CcrdV1:scaffold_1169:135509:135887:1 gene:Ccrd_001372 transcript:KVH96543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTCLGGNGVSCIQNKTCLGLYPGVGTREALFNMNSGRLDASFDGIKPILVPISPQMI >KVH96541 pep supercontig:CcrdV1:scaffold_1169:111658:114217:1 gene:Ccrd_001370 transcript:KVH96541 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MTKKRDRASIDMAVLDICRREVGHLSTRKFANRLAASEDLVLRLDLLRKLEKHRGCVNTVSFNADGDILVSGSDDRRVILWDWETGNVRLSFHSGHNNNIFQAKIMPGTDDRSIVTCAADGQVRHAMISERGEVEPKLLGKHQGRAHKLANEPGSPHIFYTCGEDGLVQHFDLRTGVATELFSCQPMPDRSFVGVVNLNSIAIDPRNPNLFVIAGSDEFTRLYDIRRYRWDGSSAFGKSADYFCPRHLIGDENLGITGLAFSDQSELLVSYCDDFIYLFSKDMGLGNDANAILDKHAAGSDSEIETDGKGSPQLYKGHRNCMTVKGVNFFGPKCEYVVSGSDCGRMFIWRKSDTKLVRVLEADKQVVNCIEAHPHTTMLASSGMERDIKIWTPSAIEKATHPTNVEKVCFLAKNYMVILEPVVSVHVLICQMIANNLKLKIVLLCLVLFICIRGDIFDQFRILVLFNAWPLFI >KVH96546 pep supercontig:CcrdV1:scaffold_1169:52813:61938:1 gene:Ccrd_001366 transcript:KVH96546 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MVMDSNESCSSRSLESSPPRTVGNNCNGHRHQMKKLEVYNEVLCRLKESNNEEANEPGFEDELLAHFNRLPTRYALDVNVERAEDVLMHKRLLHLAHDPANRPAFEVRLVQVSPTTDGSSDDLVYSSAPRQQSAQSIHPPPAFGSSPNLEALALEANESEVQNGDSAVNSYPTLPRPMHEITFSTDDKPKLLSQLTSLLAEVGLNIQEAHAFSTVDRYSLDVFVVDGWPYEETDQLQSALERELFKLEKQSLPNQHLLSPLSELDQTGTPCQLNHLTIPHDGTDVWEVDPRYLMLDHKVASGSYGDLYKGTYRSQEVAIKILKTERVNTDIQKEFAQEVYILRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDYLHKQKGTFKLPTLLKISIDISKGMNYLHQNNIIHRDLKAANLLLDEHDVVKVADFGVARVKAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKNTQPKLAELLERCWQQDPSLRPDFTEIIDILKQIAKEVGEEASAADDRRRDKGFLSVLRRGNQ >KVH96547 pep supercontig:CcrdV1:scaffold_1169:74331:77545:1 gene:Ccrd_001367 transcript:KVH96547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MDNGRESNDYEQLPKVSPTATGSHSDVIVKIDNGDDRFIKEDDGRTRLLRNLSYEFWKNGNSSEKFNDLLTDSPVRGVINNECINASDHHHHHHRTSDSKQSVETDDLEDAKGGSSDDDEEAEVGSQAQQRQRRRTSNSGSSINIHDHNEFGGIGGEVLKCTSFQRRATLARTKTQQSRLMDPPPDIPMYSSPMPKSGGGYTPTVVGHVSSRIENDDEEDFLFDEDNPDDLKHTKLDAYTILQWISLVLIMTILIGTFRFSKWKQRTFRGLFIWQWEVLVLILICGRLVSGWVIRIVVFFIERNFLLRKRLLYFVYGVRAIVQNCIWLGLVLITWNSMFNKTVEERHIYFLELINKFLVCMFVATSLWLVKTLIVKVLASTFHVNKFFDRIQDALFNQYVIETLSGPPLVEIQNNQLVEKTMSRRVWRPDGVQGGSDVGTFSFKARTSGRVIGSGRVQTSRGVSTRHLGCSKHEGKDQALTIDHLHRMNPDNVSAWNMKRLMRIIRHGSLTTLDEQLHDTYDDETGTEIRSEIEAKRAARKIFMNVTRRRSKYIYLDDLMRFLREDEAVKTMSLLIVVVTFVFGNTCKNIFESIIFLFVMHPFDVGDRCEIDGVQMIVEEMNILNTIFLRADNQKIYYPNSVLLQRSIGNFYRSPDMADAIDFLIHVSTPLDKIAIIKQRILNFVENNKDHWYPDPIVLTMDMQDLNTQKLSIWVQHKMNYQDITERLKRRGTIIEEMNRIFKELDIEYRTYPLDINIRSMPPATTNRVPSTWGPTN >KVH96540 pep supercontig:CcrdV1:scaffold_1169:118408:121902:-1 gene:Ccrd_001371 transcript:KVH96540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MAASVCSSEEDNNNISSSSGRCCEESYSLSADISESESSSGFSGCRYDDGASSSSLKSSSFAACHSVSGNDSIFPLPPFTFPLIGGNEGLMIWDKKKPQKQPDADLSEIDMMKEKFAKLLLGEDMSGGGKGVCTALAISNAITNLSASVFGELWRLEPLAPQKKAMWQREMDWLLSVSDSIVDFVPSIQQSPDGGTYEVMATKPRADLSMNLPALKKLDSMLISMLDGFCNTEFWYVDRGIVLAEGDSCEAFPSRICGGRPSIRQEEKWWLPYPKLPPNGLSEDARKRLQQCRDCTNQILKAALAINSNVLAEMEIPNAYLESLPKNGKACLGEIIYRYITAEKFSPECLLDCLDLSSEHHTLEVANRVEGAIHAWKLKDGRSHPKAKLSSWSGKIKGLVADGSDKNTYLTQRAETLLHSLRLRFPGLPQTSLDMSKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVMFVDDATKRSECLSTFKRGGLGGRPIQKRMSPSPFSIQHTPYSSPFATPTFCSSPILIRSPGRAHTTPIDDKLDIVKPGDLDKLWTYAAGSLNGGSVTERD >KVH96542 pep supercontig:CcrdV1:scaffold_1169:136692:137157:-1 gene:Ccrd_001373 transcript:KVH96542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCNPLAKLCKNRKEYLFWDIAHPTQYAASIIINKFQFGGPNYARPINWSKLASLRLYGHRVSIMSP >KVH96545 pep supercontig:CcrdV1:scaffold_1169:105550:108262:-1 gene:Ccrd_001369 transcript:KVH96545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MATTAGGLQLSLPPSFNHRYKTSLRIEQPISPSICAALSKQGHRFLTSVATTDDPATTDRLIRKFVASSSKSVALDAISHLVSPNNTSFSRLSPLAFPLYSTISRTSWFNWNAKLVADVIASLCKHQRFDEAQTLVSEVETKLGGRERDLCNFYCNLIDSHSKHRSKHEIFDSYERINQLPSHSSSNYLKKRSYESIIGGLSVIDLPHEAESFMVEMKNKGLRPSVFEYRSLSYAYGRLGLFEDMKRVICLMEDEGFELDVVSSNMVISSLGSHNELKEMVSWLQRMKNSKISFSIRTYNSVLNHCPTIMSFLEHPKEIKPTSLEALFQILKDDECILIRELVEPSVLAESMVLTSLELKLDLHGMHLGSAYLILLQWFDDISMRYATNNEGIPNKVTVVCGAGKHSVIRGESPVKELVKEMLIQMKSPLKIDRKNVGCFVAHGKVFINCRVFRRKP >KVH96548 pep supercontig:CcrdV1:scaffold_1169:7060:11474:1 gene:Ccrd_001365 transcript:KVH96548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MAFATSITSWPHLHIPKSFSVTQQKIRCSSTTSSISTTFDLKTYWSTLREEINQKLDEAIPVQYPHQIYESMRYSVLAKGAKRAPPVMCVTACELLGGNRLAAFPTACALEMVHAASLIHDDLPCMDDDPSRRGKPSNHRVFGMDMAILAGDALFPLGFRHIVSHTPTNLVPETQLLRVITEIARAVGSTGMAAGQFVDLEGPSNAVEFVQEKKYGEMGECSAVCGGLLAGASDDEILRLRRYGRAVGILYQVVNDILEAQSKSEEEEEEKKKGKSYVGVYGVEKAVKMAEDLRGEAKRELEGFEKYGEKVLPLYSFVDYAADRSFSFVDNI >KVH96544 pep supercontig:CcrdV1:scaffold_1169:83481:103012:1 gene:Ccrd_001368 transcript:KVH96544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MANLSSLVHELRERIAASSSTPPNRSEDASLELRFRAVIPNLLHAYVIPSSSANEREVIAVLKLLTHTVKNFPGVFFHGKASAILPIICRILPFLAEPSFCSRHGIIFETLGSLLSLLRTGDRDAYRQFFEDVMGVVEDLLTIASVVNNTSNPEPEVSLRCFCESLFGISTDSTVLSELPACNKPVDGFGIMINLTGLARWQPFATWIIKISCKCVTEGALNVEGLINVPFVLAACKLLCYGDAALQMACFDLVRILGAVVNDDIIPSENMILSISTILSEAEDGRPVFRHLMLIRHCKFTSTRRRGLKSGRLLSPCSVNKQMAHCTNNILEKPNRRMMLSQQLIIEYKLRREAVARWNVDKLWKARPKTEAWKMEVTGDGDVEDGALLSHASVFCIRNFGILESLENSSLRTLVTTIFCRDTVYDSSLGGCLQALYSSCTDDVIKLTAADIVNVFPESLQKTGSPELKAALCGAYVQIAKVCPPHIWKPESLINILCYPKPYYGLVECFQVALSILDPDLVGETTNMEDCSDASPSRASGCEPVRIGEKRPVHHSNILKVKRQKVDGSENFNPALQNVSKVDYLPCEGKTEYAEYISSSLRLFIECLEPPGGKANVLEPEISLTALSMLCIVFCKYHWAKFSIQISHQMLEWIPWICQQANQESLGRLDLSIYLEAFHSLLLKQRFLPVKDELFRDNGDATNLMQLVLKIPWNHSLLAAEPCLLWKTKCFCLQILSMMGPLSESGSDLDVLDLGLQDEAKEVRSEAIIAMPLIVLCRFGTVAQIYKRLEFLWKEENEKVKKSITISLGYLACLYGSSDDNARFSENKCKLFLKEENNKHSWTGDQLLRGFWCSMCDKSVLHNHESFSITSNLHNIGNVVAKLDCDYRDLLRPFFTLLHDESEEIRLSCVAIVGRILVHMNAATLNETKIEWIKSIDYLLLHRRKSVREAFSRQISCFLEHHILTCLFLDEESGKTKEQLFLDKIRHGYEAASDPEVFETLLEATAGIMVAADIHGQLFLSSLILLLDQLDNPSLTVRMSASKLIHRSCFFHLKGGLEHILAKFYHIRNEVYNYLSLRLVGSPKLIEEFATTLLDVKTEELVKRMVPVVLPKLVIAQQDDHQAVVTLEELARYLNKAVVELVVEWIPKVLAFALYQADGHKLDCALQFYRDHTGTDKKGIFNAALAELLDELVCSVDEGDSVDTSIRLSKVPLMLKEIAKILRGNEDLPGFLRTYFVGLLNSIDRKMLHADDISLQIQATKRIEMLINMMGSHLSTYVPKLMVLLMHAIGKEPLQSDGLAVLHCFVRQLAKVCPSSTKHVISQVFAALIPLLEKHKEHSSLHMITIVKILEELVFENKTVLKQHICEFPPLPNIPALAEVNKVIEEARGAMTLKDQLRDIVDGLNHENLNVRYMVACELNKLLKLRREDVTVMVNGEGDSDMDVLSSLITSLLRGCAEESRTVVGQRLKLGCADCLGLLGAVDPAKLKGFSNQRFKIACSDDDLIFELIHKHLARAFRAAPDTVIQDSAALAIQELLQIAGCKESLDEASSLQAQAHMSNANAHGIRSNESTKMDGRGQRLWGRFSNYVKEIIAPCLTSRFHLPKMADSASPGPIYRPSLSFRRWISNWVKKLTVHATGSREKIFKACRGIVRHDMQTATYLLPYLVLNAVLHGTEEARHGITEEILSVLNAAASENSTIPIPGISSGQSDVCIQAVFTLLDNLGQWVDDIEQELALSQSLRSSSSKQPASKLKDRSANYSLDPDQLSMQCKHVSELLSAIPKVTLAKASFRCQAYARSLLYFECHVREKSGSFNPSAERSGIFEDEDVSFLMEIYSGLDEPDGLSGLASLRKSKSLQDQLLINKKAGNWAEVLTSCEQALQMEPTSVPKHSDVLNCLINMCHLQAVVTHVDGLISRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLDGADEEGLLCSSSESNASFDKDVAKIFRAMRLKDQFSVSEKIALSKQALIAPLAAAGMDSYTRAYPFVVKLHVLQELEDFHSILNGESFLEKSCVGEPEFLKVTENWDNRLRFTQPSLWTREPLLAFRRLVFGASGLGAQVGNCWIQYAKLCRSAGHYETANRAILEANASGAANVHMEKAKLLWGTRRSDGAIAELQQSLMNLPVEVIGSAAMSSITSLSLVPLNQPPLPCNTQTSNENRDVAKMLLLYSRWIHYTGLKQKEDVISLFSRVRELQPKWEKGYFYAAKYCDELLVDARKRQEENSDTGPSMVSSTSTTLSSTSSNTEKPWWCFLPEVLLFYAKGLHRGHKNLFQALPRLLTLWFEFGSIYQRKGSSSNKDMKFVHGKVMGIMRGCLKDLPTYQWLTVLPQLVSRICHQNDEIVRVVKHIITSVLRQYTQQALWIMAAVSKSTVPSRREAAAEIINNARKGSNQGSPNSLFGQFASLIDHLIRLCFHASQSRCATINISTEFSSLKRMMPLEIIMPTQGSINVNLPTYDTSTIGLFSATDLPTITGIADEAEVLSSLQRPKKIILLGSDGVKRPFLCKPKDDLRKDARMMEFNAMINRLLSKAPESRRRKLYVRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYISSGKFDRQKTNPQIKRIYDQCQGKMAEDEMLKNKILPMFPPAFHKWFLNTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSMTGTFLKVCEITLSVVREHRETLMSVLETFIHDPLVEWTKTHKSSGVEVQNPHAQRAISNIEARLQGIVVGVGAAPSLALAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >KVD98159 pep supercontig:CcrdV1:scaffold_11692:1:1477:-1 gene:Ccrd_024150 transcript:KVD98159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MEKLEHLKIPLQKIREATSNFDKKHFIADGAFGSVYKGVLSWSNSKITXAVKRLDPKSNQGDHEFLMEITMLSSYKHKNLVSLVGFCDEEKEKVLVYVHESKGSLNNYICDLNLTWKQRLQISIGAARGLNYLHNDVGPQHRVLHRDIKSANILLNDEWEAKVSDLGLSKISPAHLQYTFLATNACGTFGYMDPVYYRSGILTKESDVYSFGVVLFEIMCGRMAHEVGKMFLGPLAETRYEENKLDEIINSDLRKQMKLNALNTFSAIAYQCLKNNRSERPTMAQVIEKLENAFEIQVSFKTPEIARVGTWGNKDIGDSQNHWDFLLEKDHKLKMITVDHGDLIYSLTFTTESKGVLYTSNKAGGWNGGDTVSKVMLEDDEEIIDINGTVGVSKGQYSGYTIISSLSFVTNKMIHGP >KVD98158 pep supercontig:CcrdV1:scaffold_11699:941:1742:-1 gene:Ccrd_024151 transcript:KVD98158 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase, family B, conserved site-containing protein MSLYTVISLARTATSYPTMSIQGKDEDYWNPPTNSAVQLAAAITANARIYMYPYISREDSYYTBTDSVVLGQPLPEEVISSSVLAVQLAAAITSYARIYMYPYISREDSYYTDTDSVVLGKTLPDEMISSSILGLFKLEDRISEGXFLAQKTYTYTNEKGKDIVKYKGAAKEKITAEWFKSQYADPSRKQEVEVEFKQRPTRF >KVI10165 pep supercontig:CcrdV1:scaffold_117:464855:468785:-1 gene:Ccrd_011399 transcript:KVI10165 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MYAETGLMFPYFQNFSSDVQQFDDFCHSQKPIICLDSLVQTSTISDYDLGGEGDLFKAPEPIIEQPFVSLAPMTSAMSMISCGEDPISISPQELKVTDIESLQNEELLNDVFYECKNILAKEVATGSSPLSEVLSFNFPVTTDENPIAKENVHPLCQIPKSMSYDSLNSRDWVNGTQIKPNFLDFSELDFGNAYGMRRAFSDGDIKVKFNLLFALCMHMTIEFVSTLADANPSLIHYPLGQPQLISERTTKERMQKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTDESDMLRK >KVI10164 pep supercontig:CcrdV1:scaffold_117:474917:479591:-1 gene:Ccrd_011398 transcript:KVI10164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLSDYKEEDQKPTENARKNRKIAQIAVEELYQSRSLRFSHGSMILNYPIEQFNLVVRLGLRKLVLYEVDKYGGAYGRIKWSVELSRIKWNGGIDSQDKRSVIEE >KVI10174 pep supercontig:CcrdV1:scaffold_117:417369:420056:-1 gene:Ccrd_011405 transcript:KVI10174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDEAIRAKTIAEKRLADKDFTSAKKFALKAQTLYPELDGIAQMFTTLDVYISAEKKVSGEVDWYGILGVNPSDDDDTIRKQYRKLALILHPDKNKSVGADGAFKLLSEAWSLLSDKAKRLAYNHRRSLSGSQQKVSSQSGDPSAASGVNSFHNLATSKPKSRRTITTARMGPASVFPPVPPPAPDPDPPPVIHRDDTFWTICHRCKMHYEYLKVYLNHTLLCPNCHEPFLAKETAPPVNFSWSAHQQHHDLSISSKPATNQYNPRRSPAAAHNSEGAPSSHRPSNNTNSFLGPFSGTNLPCNTDPSIALKAANVVQRVNERLRRDNTDPTSIASKAANIVQRVNEKLRREREELSAGWPSKDRKVDNSEQSSYSTPYQMSMGNDTGNISRLRTTVFETDGAYGFYSMSNKINSTRELSPLEIRNMLMQKAQKEICRKISEWLSEEEVEENKADHTKTFTGSNGNGNCTSSIKKGLCQTMDTQEHQEPAMMVPDPDFHNFDLDRTENSFQDNQVWAAYDDEDGMPRFYALVHKVLSRNPLKMKISWLNSKTTTEFGQIDWIGCGFRKTCGEFRVGRHEFYKSLNSFSQKVEWTKTPRGSVLIYPRKGEVWALYRNWSRDWNEHIPDNVIHQYEMVEVVDDYNEEQGVAVSPLVKFAGFRTVFHPRTEQSEVKKILKEEMFRFSHQVPKYLLTGEEAHNSPKGCLELDPAATSVNEA >KVI10208 pep supercontig:CcrdV1:scaffold_117:5266:7158:1 gene:Ccrd_011449 transcript:KVI10208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MSSGFSGGFPDFFNVNGGGVNNVAGRSMSMMNVNNVNRSDYRSQLAGILSDSSSMVSGSRSDLIGKRSLAEFQQRRQEYSVYLRNVKQRGYNQQASLVPPTDFLVSPEVSSVSNISSSSLSSVPRYGVPVLQQVRPPTAQAFNLGNGNFNGVMGSYQHNNRSNFSRISLPNLAAKQSPATQETEGKMMKRLQELEKQLLLDDEDGENDVSGVTNSEWSETIQNILGSTPVQKPDNTVSPSPTSSSSSSCASSSASPATTVCPKQLLSDAASVITDGKTDSAVEILTRVNQVSNALGTPEQRLSFYMAAALRSRMNANLTTASELYGKEHILSTQLLYDKSPCFKLAFMAANNTILELGQAEKKLHVVDFDIGQGVQYVYLLHEIAAARKVDKETPISLTLTTFTDFGNGGAERLKLVGDGLRSLSNKLGVLFSYNVLDFKLSDLSKEGLTVENDEVLAVNFAFKLNKLPDESVTTDNLRDEVLRRVKRMSPAVVTVMEQELNANTASISARVNDAFSYYIAFLNSLDATIPKENPERVKIEEGLSRRIFNSVACEGRDRVERCEVFGKWRARMTMAGFVSKPVSQLTAESLMSKLNSATRGNPGFTVKEDSGGINIGWLGRTLNVASAWH >KVI10203 pep supercontig:CcrdV1:scaffold_117:23743:25997:1 gene:Ccrd_011446 transcript:KVI10203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTEVEPPSHQLFGKHDAALSDVLVVEKSNGDSFGKTYESIQDLGCTEDLTGTKKINSKMSDGESSVSKSDVVDALVHPDKCEDFSTSTTSILREQTIMKCESDTIDNHLEHNNHKNTISQSDLQPVSEEVMQDGFPSPRLCTSYSDNKVGSEDPLSECCGSDVTQDEQGHMAEGDTTDKVQVGYDSPFEDGELREPIGWEENEVVEKQTIYYESDNTYKNDYGTIENSLPERIKTSHDHGQAMEDHPSLLVKEAVHNNDKQGAQADILERPNSLFEESDCGSGKEISGRKTADGESRQLKGNSLLIDESTSIDGCRNGAYIRHSRSSNIGDYYSRSGRDLGPCVDSSMRNCDLKSSHEYHRPRNGNLDPVARSGGCRSLDSHQFRSYDPRAAYRRPSPSERNNGYGVPRGPPVRSLSRDRYRGGSGFHPQGLRRTPDEEYHERKIRCFSPNFNRPGRRSRSRSRSGSPPIAWHFQKQRNLDTDDEARFPKPSSNSSEIETCDDRRLIDKKRSTVAMIRRTQRFESIGYPERLKSDDHFRITQRSGRFSQPSGPHIYKDHGDSVKKHDGHYEKSRRFRYMADRNLDRDGHGHGCLKEAAGGGMVTGESKSEEKGRSSVSRFSQV >KVI10179 pep supercontig:CcrdV1:scaffold_117:99894:105462:-1 gene:Ccrd_011437 transcript:KVI10179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein TLLKLQEINSHLTQLTWRGSECFPVVGCFKQSHINFTSFFHLSNRHCRTTHMVSLNPNPHEGFYSDSSAMVMASFSAPAVVVVPPSVNSSSADDASKKIRKPYTITKSRESWTDPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGINEHLPPPRPKRKAAHPYPQKAARNAPAISQVTASFKTSPALSEPGYVRGTNPCTLVMNPVSDAAVCSRTHNSMDFGSLPRMAKDDRTPGGQPAATNCCSSNESGLKTRPTSETHEQRTHGLPLRVLPDFAQVYGFIGSVFDPSTSGHLQKLKKMDPIDVETRQLLSTYEVDSEKENSDDVIDTLLDCQSGQDFSHLGT >KVI10167 pep supercontig:CcrdV1:scaffold_117:489153:492311:1 gene:Ccrd_011397 transcript:KVI10167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MEYGRLGKYQPGKNRPDAPLTPNNYAPHSTNSTSKIKLLLIFAFTLIVVSAVSVGLVARFGPKHSGGGPQSVVHPRPTEAMSRVCGRTRYQNLCLNSLLKFPGSLGASDKDMIHISMNMTLQRVGKALYTSAEISNLKMDTRVRSAYEDCLELLEDSVDQLSRSLFSVAPADSSKKGQHVGSTQDVMTWLSAALTNQDTCTDGLSEVENGYVKKQMEEKLKDLSELVSNCLAIYAAASDGDDFNGVPIQHRRRRLMSFPKWLGRKERMLLQMPVAGIQADIVVSKDGNGTCTTIAEAIKKAPEHSSRRIIIYVRAGRYEENNLKVGRKKTNLMFIGDGKGKTVITGGISVVANNVTTFHTASFAATGAGFIARDMTFENYAGPSKHQAVALRVGADHAVVYRCNIIGYQDTLYVHSQRQFFRECDIYGTVDFIFGNAAVVFQNCSMYARKPMLQQKITITAQNRKDPNQNTGISIHACRLLAQPDLEASKASFQTYLGRPWKLYSRTVYMLTYMGDHIYPKGWLEWNATFALDTLYYGEYMNYGPGGAVGQRVTWPGYRVITSTIEASRFTVAQFIYGSSWLPSTGVAFLAGLSE >KVI10194 pep supercontig:CcrdV1:scaffold_117:339145:342414:1 gene:Ccrd_011412 transcript:KVI10194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase MRLWGVVGSHCYRFRQQKPLIAVGGRTLLNGLGLKRNFSEMISDEESEMKDFNAYLDNLKNHEKSGVPRGAGTDSDDGFDLGRMKRLMQSLGNPQAKFKAIHIAGTKGKGSTAAFLSNIVRAEGYSVGCYTSPHIKTIRERITLGRLGDPVSAKTVNSLFQRIKVVLDQAVQLEMGCLTHFEVLTAVAFNLFAEENVEIAVIEAGLGGARDATNVISSSDLAASVITTIGEEHLAALGGSLESIAIAKSGIIKYGRPVVLGGSYLPHIELILCNKASSMCSPVISVSDPKNRSKIKGISNISGRPCQVCDIILHAERDLPLCIELYDVKLRMLGWHQLQNAATGTCAALCLRDQGWRISDESIRTGLERTQLLGRSQFLTSVEAEALGLPGATILLDGAHTKESAKALANMIQITHPEAQLVFVVAMASDKDHRAFAKEILAVRQPDAVLLTEVGIAGDRNRTASLSLLKDSWIEASNELGVIFSEFGVIEYRESLKDRSVRSDEQDMLVLSSSSLMESMKVGGEILGSRCKSGSGVLVVTGSLHVVSSVLCSLQK >KVI10206 pep supercontig:CcrdV1:scaffold_117:35697:40833:-1 gene:Ccrd_011445 transcript:KVI10206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLTAVRMVLLLVFVGWLFMWVMLPTKTYKDSWKLTLSARLGSTYFREQGTNLLLFSFPIMFLASLGCVYLHFQKKSCPESNLSRRSWLDSWNRPLIVMNPLGIVSAMELMFAAMFVVLLGWSLGNYLYVSFGHLHMHTASEKLVGLTSESSVKYHIWLGHLSMVLFTAHSVGFIIYWAITDQMALMLEWSRTYVSNVAGEIAFILALVMWVTSIPRVRRKMFELFFYAHQTYILYLFFYIIHVGVAYFCLILPGIFLFLIDRYLRFLQSRTNVRVVAARLLPCDTLELNFTKIAGLDYNPASILFLNVPSISKLQWHPFTVTSNANMEPDMLTVLIKARQEQQPDDEHHKLPKSVVLICAFKNSADLSMLDILLPLTSNLTSRSYLSEINLEIQAYITRETEQPLENTKKPLQTIWFKPHPSDSPISAPLGPNSWLWLCAIISSSFVMFLVLLGILTRYHIYPKERVPEGSVYNYTFKTLWDMFLVCGSVFLATSFVFLWQKKENNKKEGMKVQNLEGDHEVGEEIESVAHRSVLETTEVHFSRRPDLKSILMEKNKKGSATGVLVSGPRNMRHEVAKICSSPNQAKNLHLEAMSFNW >KVI10158 pep supercontig:CcrdV1:scaffold_117:160545:161179:-1 gene:Ccrd_011427 transcript:KVI10158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTQLGRSHRPPPQLLPFHSSLKSVLSPLSLPHPPLTPSPSPPLPPPSQTHPATFSPPPPPLFLEPDTPYSTFAQHTMATPPLAPQQPPLQVTSSTHNDSQTHPPSHDQESRAAVPILAKNPSGRKSSRSTSLEPELDPFSPSGGGFRTTHKNLCPLFSNPIAISFNCSFLNTPILPKHKNTTLLLGCESNHFKHSFLLGST >KVI10162 pep supercontig:CcrdV1:scaffold_117:201056:202398:-1 gene:Ccrd_011423 transcript:KVI10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSVESFSYGWLVNQNPPLDIADDASFIEMDPNLSPSKRFLVHQDFDFDLPTSQSPTNFVDADKLILNGILLPVNSYDAHSPATFNSIPVSPVSSITQRDVPLYRKSRSLSLRRCNRLPKRIIQKYMDLVRPLWCRMRRGRSDSSRVQGVENWEGSTASAPRRSEACWADHRRRSCDSESSIHEAAHPINPRLPFTYDEATNAFPPSQIDVNRIHTRAVSFSTAHR >KVI10190 pep supercontig:CcrdV1:scaffold_117:244537:250857:-1 gene:Ccrd_011418 transcript:KVI10190 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MADLWSSFCGCSDGSDKNCDSDLVFITNPSSCMNHILIICFDLLLLGMLLLNLFYKSPLKPGNRKSRLQLVSAVFNGLLGTLYSCVGFWTLKTTISTTHTVLPLHEWLLFLFHGLTWLAVSLTVSLWGKNFAKTPVQLMSIVAFLFAGIFGILSFIYSILNKRVSIKIALDALSFLGATLLLSCTYKGYKYEETDEKGLYTPLNGETKGIKEGYDDNLTPLAKAGFLNVMSFWWLNPLMKLGSEKTLQEKDMPKLCIEDRAESCYLTFLDQLNKQKHLHSSSQPSTLRTIVMCNLKELLISGFFALMKIITVSAGPLFLKSFIRVAEGNDSFSGEGYVLALGLFFSKILESLSQRQWDFRCRLIGIRVRSLLTAAIYKKQLNLSNAAKITHSAGEIMNYATVDAYRIGELPNWIHQSWTTILQLIFALAILFQAVGLATFASLGVIIFTVICNAPLAKLQHQFQSKLMIAQDERLKAISEALVNMKVLKLYAWEIHFKGVIEKLRTVEDKWLSAVQLRRAYNSFLFWSSPLLVSTATFGACYFIGIPLNASNVFTFVATLRLVQDPIRTIPDVIGFIIQAKVAFSRILNFLEAPELESAHVRQKVNKAGLDYNILIESASLSWEENLVKPTLRKINLRARLGEKIAICGEVGSGKSTLLAGILGEVPIIEGTLQVYGSIAYVSQSAWIQTGSIRDNILFGSAMDEQRYQETIEKCSLVKDLELLMYGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTASSLFNEFVMEALSRKTVLLVTHQVDFLPAFDSVLLDVFPQLMSDGEILAAAPYHQLMGSSREFQDLVNAHKETAGSERLAEVSSSTKWITSIKDVTNARTNKTSGASGDDQLIKKEEMEEGDTGLRPYIQYLSQNKGYLFFSIAALSHVIFVACNVCQNSWMAANVDNPEITTLKLIVVYLAIGVTAMVFLLSRSLFTVALGLQSSKSIFSQLLISLFRAPMSFYDSTPLGRVLSRVNVAEIISNVSVDLSIIDLDIPFNLIFALAATTNFYSNMGVLVFVTWQILFVSIPLRYYFSSAKMLMRINGTTKSLVANHLAESVAGAMTIRAFKQEDRFFLKNLDVVDINASPYLHSFAANNWLIQRLETISATVLSASGLCMVLLPPGTFSSGFIGMAMSYGLSLNMSLVMSIQNQCTLVNYIISVERVNQYMHLPSEAPIVIEKNRPPTNWPNVGKVDIQDLQIRYRPDTPLVLRGVTCTFQGGHKIGIVGRTGSGKTTLISALFRLVEPIGGKIVVDGIDISTVGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLCQHTDQEIWEVLGKCQLKEAVQDKTGGLDSIVVEDGTNWSMGQRQLFCLGRALLRRSKILVLDEATASIDNATDTMLQETIRSEFADCTVITVAHRIPTVMDCTMVLTMSDGKIAEYDDPMKLMRSDDSLFGQLVKEYWSHS >KVI10166 pep supercontig:CcrdV1:scaffold_117:494170:498262:-1 gene:Ccrd_011396 transcript:KVI10166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGETSENKKLKYSNNSTCKMTQADPALPNSTDGQVSFPTEKIVQYPLPGYGSPTSVTFSPDDNLISYLFSPDQTLNRKVFIFDLTTCKQELFFSPPDGGLDEDNLSEEEKLRRERSRERGLGVTRYEWVKTSSKKTTIMVPLPAGVRIRIYFMDRSLQPQLKLPSDSYPIVDPHLSPDGTMLAYVRNNELHALDLLYNRSKRLTSGANGSTKTHAVAEYIAQEEMDRRNGYWWSPDSKCIAFTEVDSSEIPLYRIMHQGKSTIGSEAQEEHAYPFAGASNVKVRLGVVPVIGGPVTWMDLCCGGNEDDEYLARVNWMHGNILIAQVLNRSHSKLKILKCDTKTGQGKCIFVEEHDKWVNLHDCFTPLDKGGGFIWASEKTGFRHLYLHDENGVCLGPLTQGEWMVEQIVGVNETSGFIYFTGTLDGPLECHLYRAKLLPQNPLESPVKLTSGKGKHVVVLDHQMQKFVDIHDSLESPPRASLCSLHDGSLIMSLYEQQASTIPRVKKLQLEPPKIFQIQAKDGTILYGALYKPDEGKFGPPPYKTMVAVYGGPGVQLVSDSWANTVDMRAQYLRSKGILVWKMDNRGSSRRGLAFEAAMKYNFGRFDAEDQVAGAEWLMKEGLAKAGHIGLYGWSYGGYLSSITLSRFPNVFNCAVSGAPVTSWDGYDSFYTEKYMGMPSENKSGYSFGSVMSHVGKLNGKLLLVHGLIDENVHFRHTARLVNALVGARKAYELLIFPDARHMPRPLKDRLYMEERIWDFIQRSL >KVI10183 pep supercontig:CcrdV1:scaffold_117:133697:135379:1 gene:Ccrd_011433 transcript:KVI10183 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDGEWDLHAVVRSCSTAAVKATTVDDYDDGRSYNLESLASGNDGNPFVYPTFGENARNISGGLEDVYNAGCGRRQPLPPTTSAAAIDIDGEGFVHEQMPMLTDSHSFSMHAMNSQSTRTRKRCSTTKACGAKKQVERNPMDPAIFIVSYSGEHIHPRPTHRSSLAGSTRSNKFNTDNNKPPAVILEHPPCSSSSPASGSSFSPTTSFKEDEGEINDEDEYNEDILINPNIVMNEEVLKRFRNLNGGGGGSF >KVI10187 pep supercontig:CcrdV1:scaffold_117:88070:91541:-1 gene:Ccrd_011439 transcript:KVI10187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MSIHVSSFTHHLSDPTRFFGLRIWMTVVICVVLLIVTLFFVSLCSSSCRRRRRRRKNSDKNYCLGSRRMMDRRLLPQKEPEHHAVYSDQSHCSRSKFHLVDMPPAVIGGAGRCKQYSANEIQLATDGFSHGNMIGSVEHRVVYRGDERVAVHVLINTSRTIKEFMEDVEAIWGIRHNNLVKLIGSSIDRSKSTLIYEYVDNGNLHQWLHQCAARTSPLTWDIRMGIILGITKGLAYLHEDSEPKVVHQRLKSSSILLDHQWNPKISDIGITKLLGLEQNLFVSRNVIGMSGYLDPEYVSTHNFSEKSDVYSYGVLVMEIISGRTPEYLVDWLKLMVSNQRFDDIVDDRLQEPPSIKELKRIILIALRCVDPVIENRPTMGEVIHMLEPRDLLLDDVLFSDSTRL >KVI10205 pep supercontig:CcrdV1:scaffold_117:58731:63227:1 gene:Ccrd_011444 transcript:KVI10205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRTKIPKKYARYVAIEIPKIPTRIPGTIKEPQPLATAIPDAVSKYHNKMHCYLNKSKPEDARRHVDNVPYAPTRTQYSKKYLFPHQNKPNANNTIKYNAQITLSAATPAATTDGETPSASDTATDELNEQLKEKLDYQRPKEPVAKRAVHMPHWSSGDTRGNFKLDLATTTRRLKLKLKVGVFCDDEKRDVSTMGYLKLKPTNVCNICGKRTMEAEMTMHKPSPSATPTTPLM >KVI10160 pep supercontig:CcrdV1:scaffold_117:217242:220667:1 gene:Ccrd_011421 transcript:KVI10160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYCSTTWGLLFTLLLEVVVCISGRVGLGSRLYANDNQVWASNNNTFAFGFAPTDSGLQDDRYQLGIWFADLPGDHAILELDTTGNLVLTDGKTTAWTSNTTGADVESAELLENGNLVLYTSDQNVAWQSFAHPSDTLLPGQPLTISTELTSSWLPSLAGGYYTLKMLQEPTSLTLALTYNLPADPYNLSMEAQSNYSYWATPEFSNVTGDVVAVLDQAGSFGVVYGGDSIGAVYVYKNDNDKGELSAASNKTNRPLVLRRLILETNGNLRLYRWDNDVNGSRQWVPEWAAVSNPCDITGVCGNGICNLDRTKTNASCDCLPGSSTDGKDFRCMMNSSFTGNCRVPVSHENRTSNFKIQTVNQTNYYFQESAVIANYSDIPTVSKCGDACLSDCECVASVYGLNQETPYCWVLRNLQFGGFEDSGSTMFVKVQSNGSFSRDLKNHTGMSSSTRAKVLVLPIVLSMFVLIGLLCSLLYIYVHKRRSLKRALNSSLIVSGAPVSFNFRDLQNRTNHFSELLGTGGFGSVYKGSLGDGTLIAVKKLDRMLPHGEREFVTEVNTIGSMHHMNLVRLCGYCSEGSQSCVLSLNANVVGKCRLLVYEFMKNGSLDKWLFPSHKTRERLLEWPTRFQIAVGTAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSQVVTMVRGTRGYLAPEWISNRPVTVKADVYSYGMLLLEIVGGRRNLDMSFDADDFFFPGWAFKGMQNGDAMKVADRRLEGAVEENEVLRALKVGFWCIQDDVSMRPSMSEVVMMLEGSVEINEPPMPQSVLELIEEGLDHVYKAMKREINQFSSFTVTNKTSQPSSRATCSYSTMSPR >KVI10189 pep supercontig:CcrdV1:scaffold_117:237750:241589:-1 gene:Ccrd_011419 transcript:KVI10189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MASHSSAFLLLLTLLAISFFKPSQSAGIATYWGQNSGEGSLTDTCATGNYQFVNVAFLTTFGDGQTPVLNLAGHCDPASTCSSLSSEIKSCQDQGVKVFLSLGGQNGRYILSSPENAQEVSDYLWNNFLGGQSNSRPLGDAVLDGIDFDIETGTGQFWDNLAKALAAHSSEKKVYLSAAPQCPIPDANLDAAIKTGLFDYVWVQFYNNGQCEYGANADALLARWNEWTQVNSPQIFLGVPAATEAAGSGYIPPDVLTSQVLPKIKGSPKYGGVMLWNRFYDKQNGYSDAIKGSAAGIAIYWGQRIDEGTLADACATGNYQIVNIAFLNTFGNGQTPVLNLEGHCDPDSTCSDLASDIQACQSQGVKVFLSLGGYVGTYSLSSPENAQEVSDYLWDNFLGGQSNSRPFGDAVLDGIDFDIESPGEFWDDLATALAAYSSPKKVYLSAAPSCYTPDPNLDAAIKTGLFDYVWVQFYNDENCQYGENADALLGRWNKWTSETNSPEIFLGLPAATAGANGGYIPPDVLTSQVLPEIKRSPKYGGVMLWN >KVI10175 pep supercontig:CcrdV1:scaffold_117:435901:441184:-1 gene:Ccrd_011402 transcript:KVI10175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MRSSQPLEVLRNVLDCPLFAETLSCRCRSVQLHLAQSSIMPQIIWHLSVFSASHKFHFLFSPIFELPVNTPSFWGQNFQGPYTTEAAVLASSNLHDKSLYISCGLDAKILVSGGKIVQAQRRLKRALPLTSIVSAIRNGNDEPERTVGAYAADQSLITSKNGRKSNDPAKEIVASSLYLSEDHLQNAQVLGNQGNLLDKLKAVHMHALAMEQWNASRLKLCHRRHATSAANLIHYLALRSLDVDQLKDDLSSVGLLNLETINPYVIASLSAGIQMLENLKSSSLDGDERVDGIANDKSSKNYSNSKFTIGMMTNRANSNRDILIGPPQDERTHIMVTVGEEAIANETFINDIIKTGATIIRINCAHGDPSVWSEIIRRAKVNSQMLEKSCRILMDLAGPKLRTGRMKAGPCVMKISPKKSAIGNVINSAQVWLAPEGAGPPPAHVSPDVVLYVDSKEFLTKLEVGDTVRFSDARGKQRSLMISKKFPVFTGVGFMADCTKTAYVQTGTELYIKGNKKKSSIGFVVDVPPTESFIRLKVGDLLVITRDSSNEQQQSTSSMVGTPRITCSSGYLFDSVKPGEPIAFDDGKIWGVIKGTSISEIVVSITYAGPRGTKLGPEKSINIPESKIQYEGLTSKDIMDLDFVATNADIVGISFVRDAHDIVVLRQELEKRKLKHLGIVLKIETKDGVKNLPIMLLEAMKLPNPLGVMIARGDLAVECGWEMMADIQEQILSICNAAHVPVIWATQVLESLVKTGVPTRAEITDVACGRRASCIMLNKGKHILEAIATLDTILKNSRSTKVKPEVRHLVLSNHLF >KVI10177 pep supercontig:CcrdV1:scaffold_117:449928:461215:-1 gene:Ccrd_011400 transcript:KVI10177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSVIPNLVIQTRSYPALINSFGEHNLNVSSHDGVGRNWIRGSVVKQSSLLKKKFYGARLSETFRQRHFEAPRCHPKLGIVRSSLSQVPEKPLGLYDPSFDKDSCGVGFVAELSGESYRKTVAKDVGFQLPPPGKYAVGMFFLPTSDNRREQSKNVFTKVAESLGHTVLGWRSVPTDNSGLGKSALQTEPVIEQVFLAPSSRSKADFEKQMYILRRVSMVAIRAALNLQHGGARDFYICSLSSRTVVYKGQLKPTQLMEYYYTDLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKKLGLSKKELKKLLPIVDASSSDSGCFHSMISLTGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDNNMDPCRKDLYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYITHSGRVVMASEVGVVDVPPEDVSRKGRLNPGMMLLVDFEKHVVVDDEALKQQYSVARPYGKWLDRQKIELKSIVESVNKCDRVCPPIAGVLKASTEDDNMENMGIHGLLAPLKAFGYTVESLEMLLLPLAKDGVEALGSMGNDVPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETSEEQCHRLSLKGPLLSIEEMESIKRMNYRGWRSKVLDMTYGKERGKQGLEETLDRICSEAHDAIKEGYTTLVLSDRGNPFETNSIIFQAVLEVSEAYIRSISPYLCLNYAAFSSKRVAVSSLLAVGAVHHHLVKKLERTRVALIVESAEPQVVHHFCTLVGFGVDAICPYLAVEAIWRMQVDGKIPPKVSGEFHSKDELVKKYFKGSEYGMMKVLAKMGISTLASYKGAQIFEAVGLSSQVIERCFAGTPSRVEGATFEALAHDALQLHDLAFPAGGFPPKSAEAVALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARGNNVSSYKEYANRINELNKNCNLRGLLKFKEASMKVPLEEVEPANEIVKRFSTGAMSYGSISLEAHTTLAIAMNKIKGKSNTESHKPLHIATYSIGEGGESPSRLEPLSDGSMNPRRSAIKQVASGRFGVSSYYLSNADELQIKMAQVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPSARVSVKLVSEAGVGVIASGVVKGHAEHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANGLRGRTTLMTDGQLKTGRDIAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEEMREIMSEIGFRTINEMVGRSDMLEVDEDLIKNNEKLKNIDLSLLLQPAADIRPDAAQICVQKQDHGLDMAMDQRLIELAKPALEKGILVYIETPICNVNRSVGTNLSHEVTKRYRQAGLPDNTIHVKLNGSAGQSIGAFLCPGITLELEGDSNDYVGKGLSGGKIIVYPPKGSRFDPKENIVIGNVALYGAINGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGIVVVLGKTGRNFAAGMSGGIAYVLDVDSKFRSKCNSELVDLDKVEEEEEDVLTLRMMIQQHQRHTNSQLAKEVLADFDKLLPKFIKVFPRDYKQVLASMKEKQIAKRSAKMTAEEAEVREEDELKEKDAFEELKKSAAKLVSEAVNKVQKIKTAEKVKKVEKAEPPVRPSRVPDAVKNRGFVAYEREGISFRDPTFRMNDWKEVMEEIKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVFQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECSIIDKAFDEGWMVPRPPLKRTGKKVAIVGSGPAGLAAADQLNRVGHSVTVFERADRIGGLMMYGVPNMKTDKIDVVQRRVDLMAKEGVNFVVNANVGNDPVYSIEKLHKDNDALILAVGSTKPRCSLSDLPVPGRELSGIHFAMEFLHANTKSLLDSNLQDGKNISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPKPPKTRAPGNPWPQWPRILRVDYGHQEAAAKFGKDPRLYEVLTKRFIGDENGVVKGVEVVRVHWEKDDNGRFQFKEVEGSQEIIQADLTIADKLGLEKDNRSNVKAEYGKFSTNVQGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKFLMVEEKDMETRLLDQQDSSNKTVRN >KVI10172 pep supercontig:CcrdV1:scaffold_117:384854:387563:-1 gene:Ccrd_011407 transcript:KVI10172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MSWDLTQTLEHVQTKEESDKIDGKEVISKVSEGILNSIGDNTVSKRRTINYRLDDKRRDFFHAMKKLNSLMVVWFVKMSFGNNGYNSLEMDYIKKHHKHQIADNQCTSVLLKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVAQGSLEIGSLREVDVKSGLPATTSTERLELLDDDQHIFSVRIIGGDHRLRNYSSIISVHPEIIEGRPGTLVVESFVVDVPEGNTKDETCYFVQALIKCNLKSLADVSERLAVQDRTEPIDRM >KVI10157 pep supercontig:CcrdV1:scaffold_117:165538:167580:1 gene:Ccrd_011426 transcript:KVI10157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MVPNNPYAHVNEDLSAVANEARRKTRRRCLLSVVIIAAFVAVIILLFSLTTGRFRTPKFRVRSATFGTFEVANSTTNPSFDIVMNTEFGIRNKNFRRFRYRSTTVDFYYRDRKIGEGFVWNEKVNSRDTRKFTVPVMLSSTNVTSSSELRNDLNSGVLPLRSRSRLTGKFKILVVFRKYKHVNMDCSMDLVIASRELRIISCR >KVI10188 pep supercontig:CcrdV1:scaffold_117:95878:98721:1 gene:Ccrd_011438 transcript:KVI10188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MAEGQMADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRIVPDKVNKTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTKDVNGEPLGRGTKMTLFLKEDQAQALRDSSMGSYMSSKKTMEINPDNPIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLEDPNTFGGRIHRMLKLGLSIDEEEGGEDAEMPTLEEEDVEESKMEEVD >KVI10184 pep supercontig:CcrdV1:scaffold_117:137504:142380:1 gene:Ccrd_011432 transcript:KVI10184 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETC complex I subunit MFLRRFARPSSLMMMAKVKETTGIVGLEVVPNAREVLIGLYDKTLKEIQRVPEDEGYRKAVESFTRHRLKVCQEEDDWETIEKRLGCGQVEELIEEAQDELKLIDKMIEWDPWGVEDDYECEVIENDAPVPKHVPLHRPGPLPEEFYKTLEAAMTGTPKATAKKDESTIPSS >KVI10204 pep supercontig:CcrdV1:scaffold_117:21104:21411:1 gene:Ccrd_011447 transcript:KVI10204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVRQYPTINTLHHFHLVLWSLEEQIRILRFQIFKRNLVLPSQKPKRSTIRSIWRMIDANQTTKSLTFLFMFKG >KVI10178 pep supercontig:CcrdV1:scaffold_117:443916:448460:-1 gene:Ccrd_011401 transcript:KVI10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthase family MEGDAGRDLGVQRTMDGNVNDEVTIPSCCLKARAFPLDPELEANCHATVVSGWFSGHKVGKNMYFNNPMWPGEAHSLEVEKILFKEKSEYQEVLVFESASYGKVLVLDGILQLTEKDECAYQEMIAHLPLCSIESPKNMIAKALRPGGVVCNMAESMWLHTHLIQDMVTACREIFKGSVHYAWASIPTYPSGVIGFILCSTDGPAVDFKVPINPIEKLKGAHRRELRFYNSEIHRAAFALPSFVKREVSCL >KVI10198 pep supercontig:CcrdV1:scaffold_117:285007:287829:1 gene:Ccrd_011416 transcript:KVI10198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSTRSCFPTLSAVPSPSTMDYDFRNRLNPPYNSQSSMYNRPTPSSSSSPMSSSTHQMYGPSSLYPKVGQSGGHSVVHPPSRTNTTSSSSGMGIRVTLKPEFRITPPPQMSPHIGEVPRSTFQFDFELERKILAEAEKENPNWSKLGLENHPHKTAKPISRPNSSNVDPVVGKYIATGLNREAVPIAVAKYGDNPTKVREFVHGYSVLREMGFEANSIAEALFSHDNDKEAAAHFLSASS >KVI10156 pep supercontig:CcrdV1:scaffold_117:171487:173117:-1 gene:Ccrd_011425 transcript:KVI10156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFSLLKFWRNAGIGDPITAGDFDISADDEGSFFDLVFTNPNNLEDNDSVDSPTVSVISGFHSDHYNQFSKMDGADSKSNHTYSSSHAVFFNNKSKILPLDSSNSKTPRSPFRVLMLGFQNSKTKSDKKEMKCEIEEVTISSLLKRDNSLRNKMRSEKFLEHDQMPSKRFSKDVVHKYLNLIKPLYIKVSKRSNEKVRFSDHSPMPSSSSPAASSVFSPRKEEKQGGRTMFKEVRKHLGKSRSSSSSSTSVKSIPSPATRRDDSALQQQDGIQSAILHCKKSYNSPSQGCNVLSRSGSAPSHGPRISVDEEKRSSI >KVI10159 pep supercontig:CcrdV1:scaffold_117:226406:229623:1 gene:Ccrd_011420 transcript:KVI10159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MAQVVATKLLHNSFVSTASSGSLNSQIEKIKPSGFASKVLSSYNGRISPSHHRVLTVTARRAADLQVVPVTPEDVPKIEEQMYQSKEVLPSSKGMWSKPIVKRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARMNMSHGDHASHQKVIDLVKEYNAQSKDNGPEVRSGDLPQPVNLISGQEFTFTIKRGVGTADCVSVNYDDFVNDVEAGDMLLVDGGMMSLLVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNQVDFYAVSFVKDAEVIHELKNYLKSCGADIQVIPKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVNVMHTVSLRTESSIMGGGTVSSLNQAYKNHMSEMFAYHATSMSNTLGTSIVVFTRTSTMAVILSHYRPNGTIFAFTDE >KVI10173 pep supercontig:CcrdV1:scaffold_117:423055:429182:-1 gene:Ccrd_011404 transcript:KVI10173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MICSSYLRTVILQAGHIGKPVEGLVQFANLKISLKTIPTVTFSSDQKFSTIRKIMMGAGATGSRNLIAMPERDGDGSYASGGWKSEDGQLSCGYSSFRGKRPSMEDFYDIKTCKIDGRTVCLFGIFDGHGGSRAAQYLKENLFKNLMKHPEFMTNTKVAISETYQQTDKDFLESEKDNFRDDGSTASTAVLVGNHLYVANVGDSRTVISMEGKALPLSDDHKPNRSDERMRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQEQELDEAFELLVLASDGLWDVVPNEDAVSIAQTEDEPEAAAKKLTETAFTRGSCDNITCIVVKPNHNPATKPECQNVTETKTQSQIEIKGEAQSKTENQK >KVI10176 pep supercontig:CcrdV1:scaffold_117:432861:437177:1 gene:Ccrd_011403 transcript:KVI10176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MTRFQDWKSDNSNGQYSTSNGGSSQRFRSTLGSVSNMFRKSLEHGSGGFKSLKRSMESHSLNRILSRGLGSGKKTLNPQGKFLQKWNKIFVLSCVIAVSVDPLFFYIPIVKDDKKCLDLDKKLQITASVLRSFTDIFYIVHIIFQFHTGFIAPSSRVFGRGVLVEDAWEIAKRYMSSYFLVDILAVLPLPQVFGAFWYLFSIERETTCWRQACGNISATIVDGTTPGCVHSSFYCQDESHPVGYLNSRCPIQESNNTPYDFGIFLPALQSGVVNSTDFPQKFFYCFWWGLQNLSSLGQNLKTSTFVWEICFAVSISIAGLVLFSFLIGNMQTYLQSTTLRLEEMRVKRRDAEQWMSHRLLPDPLKERIRRYEQYKWQETRGVDEDNLIRNLPKDLRRDIKRHLCLQLLRRVPMFEKMDDQLMDALCDRLKPVLYTEDSYIVREGDPVDEMLFVMRGKLLSVTTNGGRTGFFNSDYLRAGDFCGEELLTWALDPHTSSNLPISTRTVQALEEVEAFALMADDLKFVASQFRRLHSKQLRHTFRLYSQQWRTWAACFIQAAWRRHCRKKLEDSLREEEDRLQNALTGGGGSGGSSPSLGATIYASRFAANALRALRRNGARKTRISEKLPPLMLQKPAEPDFTSEDK >KVI10155 pep supercontig:CcrdV1:scaffold_117:188874:191642:1 gene:Ccrd_011424 transcript:KVI10155 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MEKSNDLGKDSPTEAVPSLIRSADGHQILGFDDDDTKQSDSNFQFMVSFQIKTQLVMEWRDFIKLINESALKMAVRVADNQWLDLINLVVIEFLFEFFQKPSGNDIESSSDSSSREATQSVSISMPHLRLDAERVVLKDEVEAAGNDSPENSGTHEVKFHSEPIIMGGGTDDQTVAGKTPEHRLILPVKNPRLDEFKDNRYNSFKTWSGRLERQLSTLRGKPRNEPEINPPQNLQGETLSVDRYFDALEGPELETLRPQEEIMLPEDKKWPFLLRFPISSFGICLGVSSQAIMWKNLASTASTHFLHISLRVNYTLWCISILLFAVVAVTYLLKVIFYFEAVRREYYHPIRVNFFFAPWIALLFLAIGVPDSVMRKLPHSLWYVLMTPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGATMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKINGSFDYGSRLAYFIALFLYFSLAVRVNFFRGFKFSLAWWAYTFPMTGAAISTIRYSSEVSNVVTKALSLILSTVATVTVTGLLITTILHAFVLRDLFPNDIGIAISDRKSKTQKKWYHRGTCGSAKDAEHQGKTRNSGEKEVRIPVIHPAN >KVI10191 pep supercontig:CcrdV1:scaffold_117:356201:358826:1 gene:Ccrd_011411 transcript:KVI10191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSGDRCTSLTDFFDSYAGQFEETNFLSPSTASTNDIFSILEVFEGGSDEFTSMMPLETGFHAKDHVHHEHPLVSQKSTSSCSVLQDLVEAELEMLSPKTKRQKLSSVDQGGANSDGQQKVSHITVERNRRKQMNEHLTGDQASIIGGVVDYIAELQQVLQSLEAKKQRKVYSDVLSPRLVSSSPRPSPLSPRKPPISPRPLLPISPRTPQPVSPYKPRFQQPATTNSSYIPPIPSPMAANLTPPSLEPSPSSSSSTSDIVNELVANSKSAIADVEVKFSGPNLLLKTISPRLPGQATKIVSVLEDLSLEILQASINTADETMVQSFTIKVSHRLKNCMHACAQLHVLMCVT >KVI10195 pep supercontig:CcrdV1:scaffold_117:289391:292092:1 gene:Ccrd_011415 transcript:KVI10195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEPFEDKQILVDFIQRISHSRAINWDMGSSACLNWTGITCNHDNSRIIAVRLPASSLHGPIPSNTLSRLPALQILSLRSNGLTGPFPFDFSNLRNLTALYLQSNGFYGPLPLNFSDWNNLSILNLSNNGFNGSIPPYISNLSHLTALSLANNSLSGEIPNLHIPSLQVLDLSNNNLTGPVPESLQRFPTSAFAGNDLSPLTSMPPVVSPSSQPSKNSSKLGEPAILGITIGGCALAFVILAFIMILRYSKDWKDVSERPKKEEKTTKLRSGSHNVNGNGSLVFFEGSNLAFDLEDLFRASAEVLGKGTFGTTYKAALEDASTVVVKRLKEVAAAKRDFELQMELVGNIRHENVVPLRAYYYSKDEKLMVYDYYDQGSVSSMLHAKRGENAGRIPLDWESRLRIAIGAARGIAYIHSQTGGKLVHGNIKASNIFFNPQRYGCVSDLGLATVMTPLAPPVMRIGGYRAPEVADTRKVYQASDVYSFGVVLLELLTGKSPTHATGGEEVVHLVRWVHSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMQCVARSPEQRPKMAQVVKLVEDIRRTTNTGASEVSTPATEMASSPVVAQQIGSSVVAM >KVI10202 pep supercontig:CcrdV1:scaffold_117:74774:79940:1 gene:Ccrd_011441 transcript:KVI10202 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MTEVMLHIYDVTNSGSDNTNNTIVQINKIFKDGIGLGGIFHSAVQVYGEDEWSFGFCEQGTGVFSCPARKNPMYTYRECIVLGKTDLSKYKVNQILRELSREWPGNCYDLLSKNCNHFCNELCERLDVPKLPGWVNRFANAGDTAVEIAESTAIRIVTASKVAYRFLSGIASNSTTPVSPDSPGNANRNSPRFQQPAWFKNLVAAGAKPSTSVTLENGDDEVVHPQRPQRQEYETASLRSLEM >KVI10161 pep supercontig:CcrdV1:scaffold_117:203965:210264:-1 gene:Ccrd_011422 transcript:KVI10161 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease MQQQQPTLIQQTLIDMSTSTSPFCQALSLRPSLPSTSRVEHKLYKPNSSLNRTARLSTFSSSRSLRNNWRFSCFKDENSSLDYTIPETAEDTLPGEALEPEPNQPNVKHDWPSNLRKAVDAIFRAEPWTVPWTAKTILQVMLLWIASFWLVGSWIIPFLAHIAGVRKESLTYRGQAFYSLLTDVAEGIAGIAILHRCLAPFRPLSSDWFKLSFKGNWQFDVGLGCLMFPLVNRLSQVNLNLLPMLPPAPVTVSNVEQSIVARDPVAMALYAIVVSVCAPIWEEVVFRGFLLPSLTRYMPVWCSILVSSLAFALAHFNMQRMLPLVFLGIVMGAVFSRSRNLLPSMLLHSLWNAFVFIDLMK >KVI10185 pep supercontig:CcrdV1:scaffold_117:143172:148352:1 gene:Ccrd_011431 transcript:KVI10185 gene_biotype:protein_coding transcript_biotype:protein_coding description:CS domain-containing protein MASDLAAKAKEAFIDDHFELAVDLYSKAIIINPNNSELFSDRSQANIKLKNFTEAVADANKAIELDSSNPKAYLRKGMACFNLEEYQTAKTAFQLGSRLAPEDTRFTDWIKECDKCIAEEIGELPMKSSDVTPTNVVAPSSLGKTDATAKNAEQVKNISSEVITTTPAKPKYRHEFYQKPEEVVVTIFAKRIPAESVFVNFGEQILSVTIDLPGEDSYIFQPRLYSVLTTKIEIRLAKAEPVHWTSLEFGKNSLVARRINESTGNQRPVYPSSKATKDWDKIEAQVKREEKDEKLDGDAALNKFFRDIYKDADEDTRRAMRKSFVESNGTVLSTNWKEVGSKQVEGTPPDGMEMKKWEY >KVI10170 pep supercontig:CcrdV1:scaffold_117:367614:371295:1 gene:Ccrd_011409 transcript:KVI10170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLATARESRMYGSRRNRERSEYTNAGLYVFSTIVLLCGFIAQLSREAKSGLVVLLIGLGLIIVVNIHDLFAHLAGIDYQMRLMELDTQLLLVEVAVPVVQAVGGLLFFIAILFLVLQADRGFGYDRIEVHALNLLIASTSLWLLGSIHNSCQIYEHADARIQILQQSVHIPFLVASLLFLAGAILNFQQQLGHHHHGLHLLVRLLQTYSWVWIGIIASSLLVIGGLTNVVKVIKMLQVDGIRLEKLRGGAQERLIEELEEKGETPFLIEEGQRRQTRPLDSPPLCSC >KVI10169 pep supercontig:CcrdV1:scaffold_117:376396:386758:1 gene:Ccrd_011408 transcript:KVI10169 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSALQAFLICKPPLISNLAPHSPRLQFHPVPSFNLGSLSSPIYRKKSRLFCTLHQDNVDSNSDLASSSVDNHNLVPESEHESQARVVDFREGEVSDSGVHLNEVEGEINGQVKEVEGSKGKLPLFVFLMGFFARMKTGFQKMLLSDGFSWWPFWRQEKRLELLISEADSNPKDAVKQSALLVELNKHSPELVIRRFEQRQYAVDSKGVAEYIRALVATNAIAEYLPDEQSGKPSSLPALLQELKQRASGNLDESFLNPGISERQPLHVMMVDPKVSNRSSRFAQELISTILFTVAIGLMWVMGAAALQKYIGGLGGIGASGVGSSSSYTPKDSNKEISPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPGKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVKGRQEILDLYLQDKPLAEDLTAYHESGHAIVALNTDGAHPIHKATIMPRGSALGMVTQLPSNDETSVSKKQLLARLDVCMGGRVAEELIFGRDHITTGASSDLQSATELAQYMVSSCGMSDVIGPVHIKERPGSEMQSRIDAEVVKLLKEAYERVRSLLRKYETLNAEEIKRILVPYEGGQGLVGVQELQQEEGELS >KVI10181 pep supercontig:CcrdV1:scaffold_117:111320:117911:-1 gene:Ccrd_011435 transcript:KVI10181 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MLVIEPATAFRIPAGRFARDCRLISHSRSFFIPCNKITRRKYLTKNRIRASAEELSGPVKQAKPQRYHPSEDISDSEESDENGDAILGPAETSRTVIEVNSKAMLMFSGLVSNGVHENIFWPDLPYVTDEHGSIYFQVKNDEDILQTLTSEDVLVEWVSLLEDEEDDDDSDGSLGDWAKLETMRSSHPMHFAKQLAEFVSDDPVNYMEQPPAGLAIQGLLRPAFVEENPVISKHMLDNGHRDVSGPSNDEEANQFSEGKEEDIGVINGHRDVSGPSQDAPDAEEELQKDQISGNGTSYYKLDMIKIHLISAHGNQTVVEVEDFRKARPDAIAHSAAKIISRLKSGGEKTTQALKSLCWRCKGLQVEEVAVIGVDSLGFDVRVCSGRQVQTLRFAFKKRASSEYSAERQLNYVLFPRIPGKQQKQKEAHQTEL >KVI10168 pep supercontig:CcrdV1:scaffold_117:502381:504944:-1 gene:Ccrd_011395 transcript:KVI10168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHAKLLNVQVPSAINYVGQNDSSHVATDGVANSALSQAESLVYSSKKLENELLMLGQNIKHHEENIKYLKTRINSLDDQITDMQVTLGTPRISSAPMTEDEDFSHKRDEQATVEHLMQHEKSAAGIVCQMKYLGTQADPTSSSHNVLGVVATLGKVSDDNLSRLLSEYLGLDTMLALVCMTNDGVEALETNDKEGFPSKSFGLHGLGASTGRTMDGVICLENLRPYVGEFMPDDPQRRLALLKPKLPNGESPAGFLGFAVNMIHFDNAHLNTLTTDGHGIRETLFYTLFSKLQVYRTRAHMLQALPFISDGAISLDGAIIRRNGVFGFGNQEEMDVKFAVRSYPPENLVETEKQMKKLKQKKETTTEDLQREEAFLAHVKYNFEVKKQEFVRFMAQSSPTQFKQLQGDRLLDDDDSMKAGNT >KVI10182 pep supercontig:CcrdV1:scaffold_117:118546:122937:1 gene:Ccrd_011434 transcript:KVI10182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFTLRYYSPPDFIGRLQFLEFPKKVSNCVVCAAKGPRPRYPRVWKTRTKVGTVSKSLKLVECIKGLSNVKEEVYGALDSFIAWDLEFPLITVKKALKALEYEKEWKKIIQVTKWMLSKGQGRTMGSYYLLLYALAEDGRIDEAEELWTRLFSDNLESMPRVFFDRMIFIYYRRQMHDKMFEVFADMEELGIRPTVSIVNMVGDVFQKLGMLDKYQKLKNKYPPPKWEYRYIKGKRVKIRSQNLKEPENGNKEVDEFSNESNRDDESNSDELEVDEYEVSEMDEPEPGESVTSPSAP >KVI10163 pep supercontig:CcrdV1:scaffold_117:150012:151232:-1 gene:Ccrd_011429 transcript:KVI10163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEPPSTTAAFARRLISKIRSLTTLPSSPFINSVTFSSTASAVTNPSDDILNATATFILSTNNTLLIFCSAYNGHATIGTPATTASSTEFHPQ >KVI10196 pep supercontig:CcrdV1:scaffold_117:317695:323755:-1 gene:Ccrd_011414 transcript:KVI10196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 [Source:Projected from Arabidopsis thaliana (AT5G53280) UniProtKB/Swiss-Prot;Acc:Q9FK13] MKWEMEMEMEEVEAVLEKIWDLHDKLSDAIHSISRSHFLHSVKAIRNSNDLFDRTAGNNKKASDDDVKGGFVYVKDCPLGEDDDSAIHEAKSLNSIRTALENLEDQLEFFHTVQTQQRVERDAAIARLEQSRIVLALRLADHHGKKYKVIEEARAFVGEVQNHSKFVSVENSYEFPSGSNHDVGKRSNILAKVIISSFNFAKKSLKVEHVGGVLGNAALFAVSMLALLHLHQVGDRERYISDLQQRHKDDNNNLNKNVTKVYLPNGSPSNGLDVLSARG >KVI10207 pep supercontig:CcrdV1:scaffold_117:12891:18112:1 gene:Ccrd_011448 transcript:KVI10207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MGALRLPSLTSIFAISSSRSSVFLRRSKQLHVRKFTATTKVFAQSTPATEVAPAESNQKPPVQEQGITPRSQDFNAWYLDVISMAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTVGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATPEEAEREAMQMIDVYTKFSYEEAAIPVIPGRKSRVETFAGADRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFADENGQRQHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRLASIQVVIVPIWKKANEKNEVLDAASSIKDVLHTAGIKVKLDESDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSRSVVISRRDIPGKPGKVFGISMEPSVLVPYVKEKLDEIQLSLLDKAKSFRDSNIVDVSSYDELKEAISQGKWARGPWVGSDEDEQTVKEETGATLRCFPFEQPQGPKKCLMTGSPADEVAIFAKSY >KVI10201 pep supercontig:CcrdV1:scaffold_117:80714:81635:-1 gene:Ccrd_011440 transcript:KVI10201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSGGLMGARHSLKLSPVLSVWECIVRKMSKAPSGVRKVKKKQVKDELDRIKQAEKKKRRLEKALAASAAIISELEKKNQKKKEEQQRLDEECAAIAEAVALQVLIGEESDKSCIQTMMQKDEEWYPWGCCTSNFDLFVGDSHARTTAAFIPHPHQLSAYPNGDMGPVSGSKCQWNGYGYGLSDGRRPYVVERGWEAAEVSEAALMAAQAVSSLQIADDGVNGFVFNRMLRG >KVI10171 pep supercontig:CcrdV1:scaffold_117:389874:399532:-1 gene:Ccrd_011406 transcript:KVI10171 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MVIFCLIGEEKMKSIERAKSICFAGNNVVESFDASRSGAEHPSAISSFQRMINASKGKQIAVFLDYDGTLSPIVCDPDRAFMSDQMRAAVRDVSKHFPTAIISGRSREKVYSFVKLNEVYYSGSHGMDTMGPTQENKSYDDKYHYKTIDEEGNEFIVFQPAKDFLPAIQKMLSEMRERTEDIPGVVIEDNRFCLSVHYRHVKDEEDYERLEEEVEAMLVSYPEFHMTRGKKVLEIRPSIEWNKGHALEYLLDNLGFNDNSKNILPIYIGDDRTDEDAFKVLRERGEGYPILVSSKPRDTMAFHSLHDPSEVLSFLIRLGRWGFDNHHHIQD >KVI10193 pep supercontig:CcrdV1:scaffold_117:327922:331728:1 gene:Ccrd_011413 transcript:KVI10193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein MTM1 [Source:Projected from Arabidopsis thaliana (AT4G27940) UniProtKB/Swiss-Prot;Acc:Q944H5] MVKNNDLNQYDRSNLFTNSDQSSGDATHEYELELISDSSTMIEPVKHPPQQPLPTKSQDKLGLPERALSAAGAAFLSAIIVNPLDVAKVSLKLRSWVVQDHGNDLVFCIVVISQTRLQAQAAGVAYSHPMTNLTSRMAVFGPNMMFADLRCSPSCTRAGIHGTVSICPPDCFEYKGTLDVFGKIIRQEGVARLWRGTNAGLALAIPTVGIYLPCYDIFRNWFEEFAAQNAPSMTPYAPLLAGSLSRSLACTTCYPIELARTRMQAFKDFHSGKKAPGVWKTLLDVLSNVQRSENTRKNVQNYRALWTGLGAQLARDVPFSAICWSTLEPVRRSLLNLVGEEANAASVLGANFSAGFVAGSLAAAATCPLDVAKTRRQIERDPARALRMTTRQTLMEVWRDGGIRGLFTGAGPRVGRAGPSVGIVVSFYEVVKEYGRRMLVKRNLNSVKE >KVI10200 pep supercontig:CcrdV1:scaffold_117:58671:63329:-1 gene:Ccrd_011443 transcript:KVI10200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASPMVMAYAWSFQLPSFVSRKYYTHSSVSTNNSKFKQKLSMFTLRYPIVETSRFSSSQNTPTFSFNFNRRVVVARSNLKFPLVSPDDQWGIWTALFATGSFGLWSERTKIGRMISAALVSILLGLAASNLGIIPHEARAYSVVMEFLLPLTIPLMLFRADMRNVIKSTGKLLLAFLVGSVATTVGTLVAFLIVPMRSLGEDSWKIASALMASYIGGGVNLDFLCIYFALPLLTHELIKSASINYVAVSDALGVSPSVVAAGVAADNVICALYFMVLFALGSKLPTEALVSTKDPANSSDSGSGDIVSVLQTATALAVSFAICKGGTHFVQLLRFQGGTLPTVTAIVVVLATLLPRQIGYLAPAGDAIAAITIQVVFFAVLGASGSIWNVINVAPCIFGFAFIQVTVHLIVILGLGKILNLDLKQLLLASNANIGGPTTASGMAVAKGWGSLIVPGILVGIFGISIATYLAYFFGIFVLKSM >KVI10180 pep supercontig:CcrdV1:scaffold_117:109841:110860:1 gene:Ccrd_011436 transcript:KVI10180 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MATVIGNLNPTAGGSPIFIDASKIQSVLPYRSLINHLQTSLASATSVIQSPIRHSHQTSSSSTLLLMPSWSLSSTTLPYIGIKLVTTHPNNSALNLPGVHAGYVLFNSITGQILASMDGTELTLRRTACVSAVASNHLSKKNSQILLMVGAGSLAPHLIKAHLTTRPSINHVMIWNRTSRKSRNLVEEIGKESGMEGLRFEVCEDIESGVAVADIVSCATNSETPLVLGKWLKAGAHLDLIGSYKPSMRECDDEAVKRGRVFVDNAAALVEAGELVGAFERGVMKKEDVEGDLVELIKGDKIGRKGEEEITVFVSVGSAVVDLLSAQLAYETLESNHKQ >KVI10197 pep supercontig:CcrdV1:scaffold_117:276820:282713:1 gene:Ccrd_011417 transcript:KVI10197 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4094 MQSKGSNYHRNATAGLVFRSPISALMLCTIAAIASFYVAGRLWQDAENRVYLSKELDRITGQGKSAISVDDTLKIIGCREQQKKLTALEMELAAARQEGFVSTHLSKTKTPPKKRPLVVIGVLTGFGRKNNREVIRKAWMTTGEALKKMEEQKGVIARFVIGRSANHGDSLDRGIDIENKNYNDFFILESHVEAPEEQPKKTKLFFAHAAERWEAEYYAKVNDDVYVNIDALGSALAAQLDNPRVYIGCMKSGEVFSEQGQKWYEPDWWKFGDGKTYFRHASGEMFVISKALARFVSINRSILRTYAFDDVSTGSWFIGLDVKHIDDKKFCCASWSAGGICAGA >KVI10192 pep supercontig:CcrdV1:scaffold_117:361598:365423:1 gene:Ccrd_011410 transcript:KVI10192 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MGVVTVSSSAARTPFGLSARFSTHSSPTKRTTILAFKTDKTKNTALVSSKESANLSVNTSKENPKRIRRNKKPSERVQALSTAEAPSCSLDLDYNEAAAELDRIYKLSPTTIESDTEDHDDQVIKRGRRGRRKISDANEKPESRTAVDIVRGQHKRLQRLSLEKRIQLKNKKDVAVITSKQKRKHGKINEDEKVNRLVRDYSASTDLGSLDWKKMKIPPVLPSSEHTRLFISLQTMKAILAVKEDLQTVLAREPTDGEVADATNMNVSQLRKQIGVGQAARNKLIKHNLRLVLFVMNKYFQEFANGRNFQDFCQAGVKGLITAIDRFEPGRKLQLSTYALFWIRHTIIRSMTLSSFIKVPFGLESVRIEIQKAKKKLWFDLMRPPTEEEIAKKAGISLQRYREVTRSSRPVLSLNRRHAVTQEEFINTIADTNAAGDDERRQPALLRLALDDVLDSLKPKESLVMRQRYGLDGKGNRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVEYLRHYIL >KVI10186 pep supercontig:CcrdV1:scaffold_117:143210:149564:-1 gene:Ccrd_011430 transcript:KVI10186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPEDLAMLPVVFMKFLYEMLSLSNKSVGMSEACMGGSPGISKSLMSPEVVVWMMGIYRREQRPEAPLSDGGEVVEGDGRDGAGDGVDVFSGGSRTGLRWCKSNEDDNRKGGMDRRKRNSDSFPYSNKNTDTKTFESDSTQVPIRLGLSTASRSSVFFVIGLRKERRYTGEVFEFYISLRTVGEKLGVVRVDDDGLRIEVNSEFKVIVDESLLRLCREIRRHRSCRTLWKMVVSEGKRTDDA >KVI10199 pep supercontig:CcrdV1:scaffold_117:70099:72534:1 gene:Ccrd_011442 transcript:KVI10199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MATHFIHSSILTLFLSSFFTFSMAFTPQDNFLINCGSNTNAMVAGREFVGDSIKPGSGFLSSGKSITLAIPNPNRNSSELYATARVFNSVSSYGFNINETGTHLVRFHFSPLDSQNYKLSSSNFSVSANGFLILNNFRAEFTVVKEFILMVDKIRLEITFDPLGKSGFAFVNAIEVFSAPNDLIVDGGAKSVGVDGIQEFKNLASQILETVHRINVGGSRLTPFNDTLWRTWIPDEEFLSIKSSAKIATTTQSPNYQKGGATKEVAPYNVYMTAQEMNRDNVTLNSFFNLTWGFPIDSGNGVRYFVRLHFCDIVSFSLNQLYFNVYINGFLAYKDLDLSVLSFHVLASPFYADFVVDSDSSGVLQISVGPSDLSTSLKKNAILNGVEIMKIVNPVGRKSWPKKKNIWVLICLILGSLIVVSCAVLAILILFRKKTRRKTRRAESNGWTPLRVYGGSSHSKLSEGTHNVGKLKIPFVDLQSGTNNFDKDLIIGSGGFGMVYKAVLRDNFKVAVKRGVPGSRQGLPEFHTEITILSKIRHRHLVSLVGFCEEQSEMILVYEYMEKGPLKNHLYGSNLPPLSWKQRLEICVGAARGLHYLHTGSAQGIIHRDVKSTNILLDETYLAKVADFGLSRSGPCLSETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLLGREEVNLGEWAVQWQRKGLLKKIIDPRIADQIKPESLKTYGDTAEKCLADYGVDRPTMGDVLWNLEYALQLQETETVGATSSNHTGAPEIAAGGGSSNVDGSVSGIRTSQVFSQLMTNDGR >KVH94570 pep supercontig:CcrdV1:scaffold_1170:56136:60038:1 gene:Ccrd_003359 transcript:KVH94570 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MLDLNLNFLNEEETTAFRDVEESGTSNSSVLNADCVTSSNAGDGDDDSSSAGGAGESFSCFNFDILKVGDAGVQSGNNYDEDRRSQVVTQSEFVTRHLFPVGHGGGGGGGGGGGGGDEPGRLNQAQQANSLLLCPNTNELQEMRLVQVQQQKATVQPNPVGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDMKQMKNFSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEADAARAYDKAAIECNGREAVTNFEASTYEGNTLPAPRHGSEHDLNLRLGISTPSLGEGSSRNENLEQTHLNYVHDTRRLQQLENPVSTTVGITALKGLPLTSGHPTPWNGVYPTFVPNYEGSATNTRTIAGSSQGPPNWAWQLHGHNHIPTAASSGFSAPAATTAVMTSGSHYSRDTISMAALNSHYSPATTATSSSQYYYQIRPPPPPPPPP >KVH94573 pep supercontig:CcrdV1:scaffold_1170:84304:93721:1 gene:Ccrd_003361 transcript:KVH94573 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MVLPSRTLLKTDHNPKTRQKPYNMGKNYPTVSDEYNRAVDEVRRKLKDLIAEKGSAPLMLRLATKTNPRWKGVSLIPMRVGTDHLRDVFVKTMGLTDEDIVALSGGHTLLPTDKALLGDPVFRPLVDKFAADKDAFFNAYAESHMKFSE >KVH94572 pep supercontig:CcrdV1:scaffold_1170:67253:77213:-1 gene:Ccrd_003360 transcript:KVH94572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYDICVWCWHHIIDMAEKDDTEGRCPACRNPYNKEKIVGTASKCERLMVEMNVEKKHKSQKGKTKTSEGRKQLTSVRVIQRNLVYIVGLPLNLADEDLLQRKEYFGQYGKVLKVSISRTAAGAIQHFANNTCSVYITYSKEDEAVRSIQSVHGFVLEGRPLRACFGTTKYCHAWLRNMPCTNPDCLYLHEFGSQEDSFTKDEIISEYTRGRVQQVTGATYDMQRRSGNILPPPADDYSNNSTSWAKPISKSTTNDSTISMKGSPPNSSSGRSVALPAAASWGTRASNSQSLAANLVDTSTTPSFSTAMVSPSSHGNEMLKKHCEESPTADGKDKLEHPECAKEVVGSDQRNMVSDIPASTLSSDLYTASPTDKTESISTVPNFSRSSEIYRQSSEVAPYKDLDVSDEGSIYNLSSDMSSLSISRNHGSQPCDAEKPSESLALNKAKKAANPTMDICDTREQSCLMPDLXNKVQEVAISEAEADLLSFNDQRLRDPEVVTQTGYVQNVPQSSHLNNSMGYSPRQRYVSVNGSLDRPFVNQNLEKGSLPNTFNMPVVNGHSSNLDGDSLFEGSNGHSYFPATEGSRKQMGIFDNTAEVKGDHNTALDLGENSIISNILSMDFDPWDESLTSPQNLAKLLGDGDKQQGSHRVSGSRKTQNCNQSRFSFAREDDCIDQGSNITPTFSDFGHGFNRQNVSRDFVSNTDVYQGQLSDANGFSSFSFEESDRTTSNHTYMSSNKISVSRSQISAPPGFLGPSRAPPPGFTSNERIEQTYDNLSGNHMYETSSLMRKMHQTPAPVYNGGVGDLDFMDPAILAVGGRVPGGISSPGLDMRSNFPSQHTSFENDNRLRLLVQRSFSPQNQRFSELGGDNFGQRTDAYGVPSRSPYQQQASFQQPRSSLMSNVHWDGWNEVQSGNDIAMAELLRNERLGFNKYYSGYEDSKFRLPSSGDLYNRTFGI >KVH94571 pep supercontig:CcrdV1:scaffold_1170:137255:138145:-1 gene:Ccrd_003364 transcript:KVH94571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLDLESLVAGGHGKDACETLVVEHGDAPEDPEIPDSTLVEVPADFPPESFWLSKDAEFDWFDRNAFLERKESTRGNSNSMNLNPNVNPGHSNPNSQRVRSKRCRSRRRSSAQASQPEKSASQRSGTSRGHKTGFMSRITSLFRSEGSRRRKNCKSSEKVKEPAENPAPRRICVTVKPVNSEPGTPSEPPALGGMMRFASGRRPEPESWGGSDDDVAGRHSLDSGRHA >KVH94575 pep supercontig:CcrdV1:scaffold_1170:111102:122135:1 gene:Ccrd_003363 transcript:KVH94575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MVVPDSEIMSPGEVESPLQSDQHQPRNNVHNALLPQLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLNSIWTAEENQAHAQVHPAAAATAGGSVSTSAAMAPNSASSSGQFLTGVNTGAAEPNMIVKQLSLPRQGSLNLLGPLSRKTVDEVWSEIQKTQHDHHPSNNSSNVQVPGSAQRQPTYGEMTLEDFLVKAGVVREQNHPNAPPVQQQPPPPFGLYPNGNNRVVGPPPGSTHVIRPLMAVSTGGGATTIQPYSPLIREAQGYPGGGKRSSTYQPQPPPCYGGMVGNGGGVAGGYGQGLGIGSPVSPVSSDGIATSQLDSGNQYALEMGGIRGGRKRIIDGPVEKVVERRQRRMIKNRESAARSRARKQVRFFSSNHRAYTVELEAELNMLKEENAQLKQALANSFWEVRSHMMATSISPSLIPLETRNSMGVLTVFGGRVSMETRLGRVSFLKMTDDKHGFLNTSHKISYGAQIRCLANSHNINQFNNKDQFLNLHPEVSMLRGEGNNTVINPRQDNNLSGNVTEILRDSTNSGNYNEAKIKVIGVGGGGSNAVNRMIESAMKGVEFWIVNTDVQAMRMSPVFPEQRLQIGQELTRGLGAGGNPDVGMNAAKESRESIEEAVYGSDMVFVTAGMGGGTGTGGAPIIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIASLRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMENAGSSLMGIGTATAISFSSCSPWCSINLCFLIGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTTGFKRQEESDGRNLQAGQADVVGMNRRSPAFTESGSVEIPEFLRKKGRSRYPRA >KVH94574 pep supercontig:CcrdV1:scaffold_1170:99399:104428:1 gene:Ccrd_003362 transcript:KVH94574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MADLNGGAQYTDFPAMPTYGGRFVRYNIFGNEFEITSKYLPPIMPIGRGAYGIVCSMLNSETNEMVAMKKIMNAFDNYMDAKRTLREIKLLRHLDHENICDFGLARPNADNDFMTEYVVTRWYRAPELLLNSSDYSAAIDVWSVGCIYMELMNRKPLFAVEEALEHPYLERLHDIDDEPVCANPFSFDFEQQVLGEQQIKDLIFQEALAHNPEYA >KVH96996 pep supercontig:CcrdV1:scaffold_1171:13565:13861:-1 gene:Ccrd_000909 transcript:KVH96996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASFDHHELGLASLKIRLKLDEEGEYPEKVFDYANKSLKILDEIDNDTSLPLAMNLQLLGSACYNLNRFNESLGYLNRANRILRKLEETISDNDFNI >KVH96997 pep supercontig:CcrdV1:scaffold_1171:72504:78398:1 gene:Ccrd_000908 transcript:KVH96997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF616 MTSGSLGLRSSSYGSLLQHQQQFQNGGVFLLQQKPNQSTPPIASRKTSKMFVNKEKENMLMWIFKFAHRKKVGMLFLCLVSIAAMLWVLYVGKGEVTQEVHPIQTLEFKNNSIRSFSPMSVDIENVKANDSSLMNHETRITLDDDKRITAAPPPPPPPQPPPSPPAYFTGYTLPPDHFCETFKMPPPPADKKRTGPRPCPVCYLPVEEAIALMPKAPSFSPVLQNLTYIHEENLTKSEFGGSEFGGYPSLKQRLESYDIKESMTVHCGFVRGDKPGRNTGFDIDDSDLFEMDECRGVVVASAIFGAYDLIQQPKNISETAKKNVCFFMFVDEETASFLRNSSDLDDSKRIGLWRIVVVHNLPYTDPRRNGKVPKLLLHRLFPNVHYSLWVDGKLELVVDPYQILERYYYLSKQ >KVH96999 pep supercontig:CcrdV1:scaffold_1171:9024:11090:-1 gene:Ccrd_000910 transcript:KVH96999 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase VII.1 [Source:Projected from Arabidopsis thaliana (AT4G04960) UniProtKB/Swiss-Prot;Acc:Q9S9U1] MNHKHACFYLLLLFFLLQNPPSSAVDFVINSFNSTTVSLYGSATIQSNILTLTNTTAVQTGRALYPDKIPTKNSSSLLPFSTSFIFAMARTRNVLPGHGLVFLFTPVTGIRNTDAAQNLGLFSRTVDGNSSNHVFGVEFDVFRNEEFRDINDNHVGIDVNSLTSLNSSEAGYYQDQDGVFRILQLNSGRNYQVWIDYKDSMINVTMAPVGIKKPTRCLICLPLNLSDVFEDEMYVGFTAATGALIQSHNILSWSFSNSNFSLSDSLITEGLPSFVLPGEPIYRSTGFIVGLTLGVFFIVVICGLVGFLWIKRKRRIAKEKANMEDWELEYWPHRIPFQEIDMATKGFSDENVIGIGGNGKVYKGVIGGTEIAVKRIAHDNNDGVREFLAEVSSLGRLKHRNLVGLRGWCKKEKGSLILVYDYMENGSLDKMIFNREDTKILEFDDRMRILTDVANGIFYLHEGWESKVLHRDIKSSNVLLDKEMNAKLGDFGLARMHQHGQVATTTRVVGTAGYLAPEVIRTGRASTQTDVFSFGILILEVICGKRPIKEGNIPLLNWVSQMMEKGKLVNAIDQRLIAKGGLDHEEVEIVLHLGLLCAHPDAKARPTMRQVVKTLEGRNKNEADESEGDDDMGVYLLERMKSKDLWSKYSEILMNSGSSSYSHPKFGEVRAGISSSMSLTLSDIVEGR >KVH96998 pep supercontig:CcrdV1:scaffold_1171:94824:101867:-1 gene:Ccrd_000907 transcript:KVH96998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MAGAKNMLASFSFPHKEMKTTINTLPVYPLNYQYVSGKTTTFTIPSFHSSCKWKTGCNLKVVKTSMAMEEVKVDASSFSKLTATVSIRISKEVNLVKKMIKSFPWLYSRNDSLDKFVVKGIGFQLVSTQLDPSTMKPKMSKESVKEWSKCTTDEKDNDRGCFTFEVELVIDADFGEPGAILVRNNYEEELYLDAISLEGFFHFSCNSWIQPAQTSKQRRIFFANKVYLPCQTPKGLRDLRAKELNNKRGDGNGLRLPSERIYDYDVYNDLGDPDKGAKHARPTLGGERNPHPRRCRTGRPSSSTDETMEKRIDTLISSIYVPRDEVLEGARRTEFLIGTWKGLLRNIPPLVATSRKSEISRDLSDVAGLYKGAPQVDQTSLKGFGLLNFLDISGYIKEIFKFETPKNILSGDTTSYVRDDELGRQTLAGMNPISIERLRVFPPVSKLDPSIYGPSESNLKEEHIIGHLNGLSVQQAIDENKLFILDYHDIYLPFLERINAQEGRKAYGTRTIFYLTTMGTLNPIAIELSLPPKDGSNIPSRQVLTPPVDATTNWLWQLGKAHVCSNEAGVHQLIHHWLRAHACMEPFIIATHRHLSSLHPVFKLLNPHMRHTLAINATARESLICAEGTIERDFSPGKYSMEMACAAYRDWWRFDLEGLPADLVRRGMAIPDESKPHGIRLLIEDYPYANDGLLIWSAIQELVLSYVNHYYPEENVVISDKELQSWYSEAINVGHADLREANWWPKLCTPNKLTEILTILIWISSAQHASLNFGQYHYGGYVPKRPPLMQKLIPQEHELEYAYFMGDPQGYFLASLPSLFQSTKYMAVIDIISAHSRDESYIGEIKDVITNWSGEPNIIKAFYRFSMKIKSIEDEIKRRNADMRLRNRCGVGVPPYELLIPTSKPGVTGRGVPNSITV >KVH88306 pep supercontig:CcrdV1:scaffold_1172:97454:100232:1 gene:Ccrd_024161 transcript:KVH88306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTYTPKYYSSLQYSIASICKNILPFSFKKRRLPAIAAAEQRLWKQQSDNLKWQQESFHQILNLMGLFKEGILAEAEVSAFRSHLLDTLIALPFDHEQPVILRDKLIFLQELLYANCISEEEYHTSKTPLLQRLEAQGIKIDPKDVVIAGPQQKNPNDEWSVIDLKDSGSKSKSKQQKSAIKQVKGAASIFGLSSSHKNGKDENVSDMGTQNLGMSQENPFWNCKMKEKDQENPTILMSESVIIEESPKKGKRRPFKGLDGFMKWKKSDDSEKDTVPLSLSDSINETYSGLGDGPDAKQIKRKLHKDGSSSDFFVDKVLGEKIKKELMKTKAELQLSDDQIEVISTRLPIDKADLKKFFAKKWCDQYGEIVLEVVRKEFKDHVDEIKNMSIKNMSSSAMKKEQKTSEEKWTTFDDDDENCHPNLFAKPAPVPSGFGSQKDDHNVRVSKNRNSTDRLFKNNPFFDY >KVH88315 pep supercontig:CcrdV1:scaffold_1172:82838:88194:1 gene:Ccrd_024157 transcript:KVH88315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQRLYGGCISTDEMVVRRRPYHSNCNCALHKFSGGGHCSSLGKVSYPIRRSWSEGSMVAMKSTALCSPSSSTVETPLTAAKDSPAATLPTCLDTIHILGGGGLVALGDWDKENEGYNLNPKQNK >KVH88309 pep supercontig:CcrdV1:scaffold_1172:100000:103439:-1 gene:Ccrd_024162 transcript:KVH88309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTTSKHPPPPNKTLKRTLSFIFICGSSSSTSPNEMEDDPIEDCHDRDNPTKIPRPTKEFALPSVAEHGSISPKSISQVFLDSNLVLNENPSPEIGPGTILDCRNGYGASTSNQDRVFPHPSSSKSRIDISFVDRNDDIVERITHSDRLLPIENEIPEREVILARNFSFKSVDDTCQETIPSNLGLSLPEREQEQRDETVNHDVASVSSDNLSRATTEVNTHELRSDTRSLIWDAFFRENGERHSDSQTFTFSSDDSDDEFGDDSLDGGARSDGRHLGSRTHNITELGQPSSSEIWESIRRGSDESHLRTHICPSGHHSYGTCFCDPTSMAENGRPPATLSRIIMLAETLFEVLDEIQRHPLSLPLTMVSLPAPDSVVDSLPVKNHKVKHKSESDIEQICPLCRGDVCEADATILSSEIALA >KVH88307 pep supercontig:CcrdV1:scaffold_1172:95657:96151:-1 gene:Ccrd_024160 transcript:KVH88307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQVFNVTNTTPPPIIMEHEQEGNDISGDYYNHHGEEDVSGNGCGCFHLFSYFDNHHRDGSETTAFIHRRSGETIEKESWLMIRFKSLKEFSEFVAGPGPRWKNFIRKFSKKPKKNSQFQYDQKSYALNFDDDGGGGDDGDGDLLPRSFSTRFAPPSRSMST >KVH88317 pep supercontig:CcrdV1:scaffold_1172:27476:29842:-1 gene:Ccrd_024153 transcript:KVH88317 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MARGLLQQQEWLKKEQITVGGSIFGYDIGISGISNSSHQFNQKPLLFCFSSSYTPEFVIQLVDHMIFKLNSTRGVTSMDAFLKKFFPSVYEKKMHSHESNYCKFNDQGLAAFTSSLYLAGLVATLFASPVTRNYGRRISIVCGGTAFLAGAALNASAAIPLYLSEMAPTHLRGGLNMMFQLATTLGIFSANMVNYGTSKLDQWGWRLSLGLAAAPAILMTVGGILLPETPNSLIEQGSKEKGRKVLERIRGTQNVDAEFEDMIDASELANSIKHPFRNILEKRNRPQLVMAIFMPMFQILTGINSILFYAPVLFQSMGFKGNASLYSSALTGAVLALSTLVSIATVDRLGRRVLLIGGGIQMIICQGYSILVVVVVCLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFIIAQSFLSLLCGLKFGLFLFFAGWITVMTVFVYVFLPETNGVPIEEMMLMWQRHWFWKKIISEDPEEM >KVH88308 pep supercontig:CcrdV1:scaffold_1172:114684:115382:1 gene:Ccrd_024163 transcript:KVH88308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQSQYMFPHFPPPPSPAGKPPSPPPSHASPPPPSPTRPSQPPPHIMVPPPHALPPPSPPHAVPPPPHTLPPPPPHKIPPPPPHTIPPPPPHTIPPPSPPHMIPPPPPPPPPSPGNHTTIIVVVFVSCGGVFFLAFIMAALWCFLKKKKKMVRKAENVHVDEHRTVKESIVQGPHGTETVMLSVEDDIHIEEDIRKSEIENFRKGLHLNSGVIDAASSSTGDHHHGQKL >KVH88316 pep supercontig:CcrdV1:scaffold_1172:4508:23074:-1 gene:Ccrd_024152 transcript:KVH88316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEGGGSSGSGEDSGKEEKVVGRPKFEHSGWVYHLGTRSVKRESCHRRFLHIKGKYVMMYKRDPDEHAGTKPTRRGVVGHTLVLEELGRRRVNDDDLYVLKFSNRLDEEKNGEIACATAGETRKWMEALEQAKKQAEYELSQGSNTRKKLSMENEIDLERHGHRRRLMRYASGVKRLMSTKHGPESLIRRSLSLGVNRRAADFCEGDAADVVEANEWKCIRTVNGTFKILGYDILMLFSLIMCWILGARIFEDVSGFKGSQGVLVKAVGVVELTPDSAFEMILSLDSRQRYEWDTLTSDLELVDSLSGNSDVVYGTYDPRYLTRQWFRGQDGGYTILQFPAVHKKKPPRSGYRRTKVNPSTWEIRILNTSVPANAGRCLITHMLEVHSKGWSKWKSNQSLKFEKTIPYALLHQVSGLKVYIGTRYAPKLESSTTLHKDVSSSVNEYEDDEVADQFYDAISAYSSEDDDSDYEVPDDSKSETDVDCWTSPSGKEFMIRGKTYLQNSAKSDAGKKLPFVFVMNLQVPAKPNYSLVLYFAADTPVVKNSLLGRFIDESDSFRLSRFKLIPSIVEGYWMVKHAVGTKACLLGKALTCSYLRRDNFLEVDVDVGSSSVARSVIGIVLGYVTNIVVDLAILIEAKEQEELPEYILGTVRLNRVRLESAVPLER >KVH88313 pep supercontig:CcrdV1:scaffold_1172:65750:68084:-1 gene:Ccrd_024155 transcript:KVH88313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MEIFDKFKIWVLLICLVFQSGHGFYLPGSYPHKYVVGDQLSVKVNSLTSIDTEIPYSYYSLPFCQPPEGVKDSAENLGELLMGDRIENSPYKFKMHTNESEIFLCQTKPLSSDEYKLLTNRIDEIYQVNLILDNLPAIRYTKRDTYFLRWTGYPIGIKVQDSYYVFNHLKFTVLVHKYEETNVANVMGTGDGAEAIPVRKQDSDVPGYIVVGFEVTPCSFKHTAESVKNLKTYGRYPSKIICEDNTVTMAIKENEPVAFTYEVAFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTHYEELDKEAQAQMNEELSGWKLVVADVFRAPGHPALLCVMVGDGVQILGMAVVTIMFAALGFMSPASRGTLVTGMLIFYMILGILAGYVAVRMWRTIFCGDHKGWVSVSWKVACFFPGIAFLILFTLNFLLWHSNSTGAIPFSLFVILILLWFCISVPLTFVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFVVLILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVALYIFLYSINYLIFDLKSLSGPVSATLYLGYSLLMVLAIMLATGTVGLLSSFWFVHYLFSSVKLD >KVH88311 pep supercontig:CcrdV1:scaffold_1172:127271:132493:-1 gene:Ccrd_024164 transcript:KVH88311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MASTSSSAIITSPSNYDVFLSFRGLDTRDSFTDHLYEALDRAGFHTFRDNDEINRGEELKPEIERAIRASKASIVVLSKNYATSTWCLDELWLILEQRRECNHFVLPVFYHVDPSDVRKQTNTFKIQVKPSTNWTNDNVNRWKAALMKVADLTGLVLSGPETKFLKSIVDNIYNKLACKIVNLPPNLIGMNARDKDINSWLKQFDIKILAICGMGGSGKTTLAQYIVSSNWRQFEIISFVEGINRIHEKQDSFHELYRKFVKDILGGGKKKVQQDRYKLDRALQTKKALIVLDDIVEPSQLQGSKTMEGLALDILKLDTQMSDIQMSWNDWHKIKVLQSLKILNLQGSGSLSEIHNINRLPNLETLILSDCYQLDYVCESIEGLENLELLNMTGCANLPWNLGTNTVNKKLKASTSACRGSSQQTSFSLPHSLVRLFLKNCNLECTEYSPLSFRVQPKLQYLDLGGVAKLDETDLGHMMWLKEYQNCHVSLVGDDDLTLGRSRCIQMLYEFGIMSTSLPYVKDPNMMLEYMSKSPYVSFGVPPCPKNKRLKGLNLTFKYTILSGQDWAWFAKISTSNDVDYMYNPHVFGDPGVRKVGVWLSYWPIGNKLNIGDKVNVSIIVMRGMGRYEDGERPVDLSHQIHHLRSRKSFRSHHP >KVH88319 pep supercontig:CcrdV1:scaffold_1172:92334:94771:1 gene:Ccrd_024159 transcript:KVH88319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MGCRCYTTTATLPPQPPPSTLLTKLHLHRRSLLFLSTATTSLSLPSLSTASPQQKQPDTTITDRIFMDFSICPSYFQNRTLGSDLALCPDAEPVGRIVLGLYGNLVPITVSNFKSMCTGGSGYYKGTLIQKIFPGQFFMAGRQGRRDKGEVKPPVGLVRNTESIDPKAFQLGHSKGGVLSLCLSENDDDDDIKLDPNYHNVEFMITTGPGPCPDLDGRNIVFGSVLEGMDVVASISSIPTYKPGERIRQYNDLAEFFGDGRAKNARAIWDRPQKTLYISNCGELKVTKPTLTPSLP >KVH88312 pep supercontig:CcrdV1:scaffold_1172:50261:52034:-1 gene:Ccrd_024154 transcript:KVH88312 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase, WSD1, N-terminal MKCKTPSLNPLRIQPTQDRNERFEEEEVHEQPLSPVSRLFHEPGANVHIITIIGMKTLINPDVFKANLVLDKENGKMTWVPTQVNLDDHVAVPKLDPNMESGDKFVEDYISNLSKSHIDYSKPLWDIHILNTKTLDAHGTCVFRTHHSLGDGISLINLLLACSRKASDPEALPTLPGSNKSGRQVRLVTDLRSLFMVIWNTIVALVVFISTVLFDLKDTKTPVTGYAGMEGKPRRFVFTRVSFDDIKLVKKALDVVS >KVH88310 pep supercontig:CcrdV1:scaffold_1172:136181:139573:-1 gene:Ccrd_024165 transcript:KVH88310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MNARDKDINSWLKQSDSKVLIICGMGGSGKTTLAEYIVSSNRQHFEIISILKNMGSIHKKQGNIDVLIQQFSKDIVGEGKPQTLCERYYIDRVLERKKALIGSKTMEGLALDMQVLRDDNPQILTSLDLKTDSLTKMDNLKLLHLNDVHLTGSYENFSEDLRWLCWRQFDLRAIPFGLFFKSLVAIDMRDSKLKVFEPPIVRNLTSSFLCIFIVLPLLKTLNLQSSESLSAIRSIYRLPNIETLILCQCYELVDICETIGDLMNLAQLDMSECPQAQLYEKLILQSTGASPLLIFFSLPHSLVWLSLRDCTLDVTKHFSPSSSIQPKLQYLDLGRCWFESLTSNNHLVNLRVLNLSLSHRLKWLLCLPSALAELYVYDCHSLEKITFESHRFTLHEFGYENCAQLSEVEDFFKLVPVARLDETDLGHLKWLKKYQHHEVFLVGDDQIIENRSQRIQMLYEFGIVSTCLPDIKDPNIXPEHISESTSLSFEVPSSPMDRRLIGLNLTFKYTILSGQDXAWFAKIHTNNGVDLMYNPKVCXDPGDGGAGIWLSYWPIGSKLLVGDEVNVSVIVMSGISKVHECGASLVYADADETMGINMPWIETLGGDLSAFKLITGAYYLCRRDFFKLMEVGRLAPGWLSILVGDTIDDIEQDVM >KVH88314 pep supercontig:CcrdV1:scaffold_1172:76853:77188:1 gene:Ccrd_024156 transcript:KVH88314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MASGAVVGDGFFRAGGFEGCIAYGDVEITRRPYHRNCSCALHKMHGKCSHVSLVTNVVSFPSRRAWSEGSLALVVSASRRGSSPYSSPAVGPTTDVSRGGRSLVEFDTHKV >KVH88318 pep supercontig:CcrdV1:scaffold_1172:90493:91617:1 gene:Ccrd_024158 transcript:KVH88318 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKTTKWIKNLLAGKKDKTTHQPPLATHQNTPSPFPTTPKEKRRWSFRRSSATTPNSTHITSGPPPSLPPETLKNNNHASSMVPKTQVDTNAMMSLTTTTTTTTATDATIVVQEAAAAIKIQSVFRSYLARKALSALKGLVKLQALVRGHLVRKQAAETLRCMQALVTVQARARAHRSRTQDHRFHQGVYNQEFIEENIKIVEMDISHPERSSYASPEPSKTNHLNFSQTTPSYYSKPNHSRPQSTYSVADYIETLDDFGGCPSYMANTKSSRAKVRSHSAPKQRPANLPMAHERHSYSCTKRRPSIEGRNMPRAVRMQRSSSHVGSGAETNHYPWSIKLDRSTVSLMESECGSTSTVLTNGGNYSKSVVGYGY >KVD98157 pep supercontig:CcrdV1:scaffold_11734:632:892:1 gene:Ccrd_024166 transcript:KVD98157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3511 MEGRPSNSSRVVSGKGKHQIYGIRSPARTSTSSSKPWGGLSRKPSDPETKRRKRIAKYKVYTIEGRVKASFRNGIRWIKSKFIHGF >KVH93666 pep supercontig:CcrdV1:scaffold_1174:110879:118693:1 gene:Ccrd_004280 transcript:KVH93666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 MAGIATSCSISPFKQPISLSHFQSKLFHLRLDFPNSSISRGCSITCRYGGGGGGYSRQGDSRRPKKNSSDDDPALDISSIRSSTVRLIDEEQNMVGVVSKTAAIQMAEDAELDLVILSPEADPPVVKLMDYNYNIDVHDYTVRLKAAKKFLKDGDKVKLIVSLKGRENEFKNNAIELIRRFRDDVGELAVEESKNFRDRNMTLVLVPNKAILQKEAPKKKEKSTETEVSASV >KVH93667 pep supercontig:CcrdV1:scaffold_1174:121877:125898:-1 gene:Ccrd_004281 transcript:KVH93667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MDNDLLLHMLLHCILSDLKQRPAYSIIFISNCCQDGSMWPNLNGLGVCETLETPRPPLRPAEKSNGTKCQSRTREVSSRYKSPAPRRYPSPNTRTVTTLSSPVSNRAVSAERRRSGTPQSPSRPSTSVHDTSLGMELAARKAIGSKLPESLWPSRMRSLSVAFQSVAFSLSTSKKEKPPPQALSDRTLNSSSNVLQKQLSASRSPPKGKHAIDRSENSKPLESVHARLLDQHRWPSRTGSKALNKSNNLPGKPMKTSIAPNRSVGASPLRRMLLPDYTSKPLEKYTSDPGRLLSSSADNRREEYHNLQRISNLVSSSLSEKTVTATSAARSQSLPATGAPPSLPNKPSGLASKSVSPSRTRIISLAPSRGASPSRIRSSSPLRSCNSNRVSVLTFAVDMKKGKRVADQIEDAHYLRLLHNRQMQWRFVNASAETALKSQKATSKKSLFNVWRSTSELRDSVAAKRTDLNQLRLKLKLHSVLNQQMAHLDEWTSIERENHFSLSGAIEDLQSSTLQLPVTGAATVDIETVKSSLCSAIQVMQTMGSSLHSTLSTLEGSNWLVSELATVAAQERALLDECEVKTLLLPEFARSDYVKYVLSPMSMMNRFVLRVFVIANCLYDGRKTLVLHLDHKF >KVD98156 pep supercontig:CcrdV1:scaffold_11745:3:1682:-1 gene:Ccrd_024167 transcript:KVD98156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MNSMAPVSYSSSTTDHNECKQGVIRSTVNFHRSIWGDQFLTYDERKDQAWEEEQAKELREKVRKELVITTLTKPMQHVKLMELIDAVQRLGVAYHFEEEIEESLNHIFVTYGDQWINDNLQITSLWFRLLRQHGFNVSSGIFKKYMDNNGKFLESLRNDVQGMLSLYEAAYLRVEGEEVLDAAIEFTTSELENIAKNHVGNDDSLKIQIQQALRQPLRKRLPMLEALRYIPIYEHESSHNEDLLKLAKLDFNLVQSLHRKELSQISKWWKNLDLSTKLPYVRDRLVEGYFWILAAYYEPQYSDAR >KVI11554 pep supercontig:CcrdV1:scaffold_1175:72304:76972:-1 gene:Ccrd_010034 transcript:KVI11554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MPPFPMLRRRFLCTQTSNLSLFNQKPVGRQWPIKQVTKSNFSDSLHELKNKISDSDFVAVSLQNTGSYSSPWHRLLPFDTAEIAYLKAKYAAERFQILQFSVCPFSVRASNKLTAYPFNFHLFPRDEHKIGMPCYSFSCQPSYLTSMAQEDFDFNVCIYDGISYLSRAQESAAKGQMENPVSSGYVAQSPSSRSVADNVFVERIKSRVGHWISAYVLVNSLRKLILGGEDYGLRPSLNIDVCSERQVQLVFEMVKSGYENVVPLIIPAKGGGAQAVRVVLTSSKEDRDLLEKELQNMEEEQSKRVRGFREVIDLISTSRKPVVAHKSLNDFTFIHSKFLAPLPSTMDEFRSSLHSVFPNILDVNHLMKEIGPLNKYTNVPAAISYLKRNFFAPIEIEIPQKASVEESKNHGHNVVRISELFAKLCFILKIAPETETHNPDGPSTLKCYSNVLNLGSNSFQDSNEDDDISAWTHNPTKISINNLLFLWGFRSGTSARRLKNLLCKSHEKLSEDVFDVRLVDKNCAVVVFWKTGLSEWFLTTIDSGDGELRELAAEGLMAVGYEAYKRVCKSEILGADLADSLAEFDREASEAHTEESAEVYWNNELMINLDDL >KVI11556 pep supercontig:CcrdV1:scaffold_1175:128760:135595:-1 gene:Ccrd_010036 transcript:KVI11556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MAGNYFGVLVSDPWLQNQFTQVELRSLKSHFMTMRRESRSLTLGDLPSKMSKLKNVGENLTEEERAAFLHDSYQNLGDEVDFELFLRVYLNLQAHATSRMGKGAKNSSAFLKSPTSTLLHTISESEKASYVGHINNYLGEDHFLKKFLPIDPSTNDLFEIAKDGVLICKLINVAVPGTIDERAINTKTVLNPWERNENHTLGLNSAKAIGCTVVNIGTQDFIEGRIQLLADLDLKKTPQLVELVGDNQDVEELMSLPPEKILLRWMNFHLKKTEYKKTVTNFSSDVKDGEAYAYLLNVLAPEHSNASTLVVRDPLKRATLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTPEKPVSFLEISPDEAQITREESAFRFWINSLGVATYINNVFEDVRNGWVLLEALDKVSPGIVNWKTANKPPIKMPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRFNMLQLLKYLRSHSLGKEFTDADILDWANTKVRSTGSQSCMKSFKVSHQMPDKSLSDGIFFLELLSAVQPRVVNWRLVTKGQSEEEKQMNATYIISIARKIGCSIFLLPEDIIEVNQKMILTLTASIMYWFFKQPMEDQRPCGSSDSDSGNQLETSSNSTTYDTESESSTD >KVI11553 pep supercontig:CcrdV1:scaffold_1175:40445:47766:-1 gene:Ccrd_010033 transcript:KVI11553 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MASLSLSPNPDCRINATIFSPRMQLPRRVVVVSGVSGIHLPAKSSLSASLEKNRKNKVAVVRMSSMSPALHSYDVVVVGAGIIGLSIARQLLIGSELSVAVVDAAVPCSGATGAGQGYIWMVHKVPGSEKWELAMRSRELWEKFAEDIKHQGMDPQEVLGWRKTGSLLVGKTSQDMAALKGKVDQLSKAGLKAELLSSIDLMEVEPALVIGEEGCAAFLPDDYQLDARRSVAYIEKENRKYAIEGRYGEYYNQPVTGWLRSGDGKIEAVQTSKNLLYSKKAIIIATGCWTGSLMQDLTRDLDIELHVPVKPRKGHLLVIENFNSFKMNHGLMEVGYIGHQDATLQPSNLDSGVDHHSETSSISMTATMDSLGNLVLGSSRQFVGFSTEIDKHIINRIWERAREFFPSLRELSLTELEKSREVRVGLRPFMPDGKPMIGPVPGLSNVFLAAGHEGEGLTLALGTAEMVADMVLCNPSKVDKAPYALHGHCS >KVI11555 pep supercontig:CcrdV1:scaffold_1175:120241:122017:1 gene:Ccrd_010035 transcript:KVI11555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MFNLQSPIVFLLWVLTISTIATSQETTTIYQVLKSNGLPMGLLPKGVTNFTFDASGRFEVHLDQACNAKFEDELHYDRNVSGTLTYGQIGGLSGISAQDLFLWFPVKEIRVDIPSSGLIYFDVGVVSKQFSLSSFETPRDCLASPNQLIAQAALPKNLSRKLRIRKNVHQEQLMTVV >KVI11552 pep supercontig:CcrdV1:scaffold_1175:9153:13247:-1 gene:Ccrd_010032 transcript:KVI11552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MELFSITFGTLNSYNCASSSQQAPICKDSMVLNKIIPSLLILFCVLNHPLVSHSINTDDETLIGMGIVLDMESLIGRSIHKGIAMAISDFYALNHSYRTRIVLHTMDSKGDPLEALSAVDHLLKNVKVKAIIGPETHLQPKLLSLFADKAKVPIFSIAASSSMEYPYLFHIKDDESMMAKCVAAVVESYKWRHAIFVYEDTEDGREILPYLVESFQDKSIQITYRNAISLLATSDEIIEQLHKLMNFQTTVIIVHMSPSLASRLFLNAKKLGMMSEEYAWILTEKTVDVLRSTDFEVIESIQGVLGFRPYVPASSRLHNLTARWHNFFYRKYPTSVTKEVPVFALRAYDTIWALAESFEKVEVLENGPFFLNEVLKIRFKGISGEFQLSEGKVISNGYEIMNAIDYGEKRVGYWTLSEGIKEAYPLINRGHRYSSMHTEAVIWPGRSTTAPKGRMLHTFPGKELNIGVLKIKNFKHFMDVENDVEKNVTNATGFSIDVFNACIRALTYEVPHKFILFENASYDDLVQKVYNQEIDAVVGDSTILANRSEYVDFTSTYSDLGVGTLARIKKNDMWFFLKPLDVGLWLTAIASLMVTGFVVWAIECMNQESESSPAQRIGTIFWLILLTIFFAQREKLSNNLSRFVMFVWLLVVLILITSYTATLASLLTVEQFELVSKGGIVGFHGGSFMRGVTVSNLHFEDNMKRAYYSYEDYAHALSEDGEADAIVDEIPYIKMFLGKYSSGDYALIFQKGSPLVQDVSREIAKMRLDGTLASLEKKWFENRLSIPSRNSTMSKALKLDRFGGLFIISGVTSALALMISVTCVVCARMEVQNIISLVVRCNLMGTI >KVH88305 pep supercontig:CcrdV1:scaffold_1176:45041:51713:1 gene:Ccrd_024168 transcript:KVH88305 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MSEDITSNSDDNNPSTPSNTPYHHQVKTEQDMMRLWGILIFGVIGATATTFAEHSGLLAHSLLSSFSNSRCSISDDDEQDCVHESQSSYRGTTNGSSRSSFQEEARKRYARRMQEEYEEEMERVERIRRMQSVFNREKNKYRRGYERWSEDSSDGYQQHFQRDDWYWKAETMYGNRSNFKEPPQAPANYSLSHHYAILGLNRSRKNPYTDDEIKMAFRNKAKQYHPDQNQENKEVAEARFKEVMSSYEAIKSERKNIKETNKQEMELKSCDVNLWKEALSAYESRIEAMDKPNLVSLDRFYCKELPSLIHQRNPNPHITTPELSKLMQWKLGRGKWRPRLLDFVSSLDEEMVKSASEKAFRSLPDVSKAISELTVLKGVGPATASAILAAYAPDIAPFMSDEAMVAALGNSKQYTLKQYLVFVEKLEAKAKELSAMGEVFTASDVERALWSGAKSKNNNDSDDIKSTSKKKRKR >KVD98155 pep supercontig:CcrdV1:scaffold_11768:480:1527:-1 gene:Ccrd_024169 transcript:KVD98155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10 MTVCADLVRGAKDKKLRVKGPVRMPTKVLNITTRKSPCGEGILQKRFTCFCTTIFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADT >KVH89704 pep supercontig:CcrdV1:scaffold_1177:17049:38010:1 gene:Ccrd_008302 transcript:KVH89704 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein RLIVFFFTFLNIWFYRFLNYGWLDIQSTPVNSALSTKMVNPLVERATSDMLVGPDWSMNIGICDSCNRDPGQAKDVVKGIKKRLGSKNVKVQLLALTLLETIVKNCGDLVHKHVAERDLLRDMVKIVKKKPDFHVKEKILILIDTWQEAFGGARARYPQYYAAYNELLHLGVLFPKRTERSPPVFTPLQTQPLMRNPQNGNDAVESSADAEVSNLSMTEIHNVRGIIDVLAEMLIAGLRQEVVVDLVLQCRTYKKRVGAFLPYLTWIENQVFDFLLL >KVH89703 pep supercontig:CcrdV1:scaffold_1177:53751:58071:1 gene:Ccrd_008303 transcript:KVH89703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DESLLCEGLALNDELQRVLDKHEALVSRASAPSQKLRVVAKNEALVSGVSVPSEKLEPKTSQLALVPVDAPFIDTRERRIPGGPSTTSVKVNPMFDLLSGDDFSIPIAENFLAIVPLDETQPATPVSRQNLVSQNSKPQNLAQEQTNSSSPQCQHDSSKQTSFKLNGSLPNYEPMSQNTQGSIPAAGQTYSAPPKFRESQQFPSQQTSFQLNGSIHNKITPRYEPVALYTQVSNPAARQRFSSLPQLEQSQHVPSQQPSFGPNGSVSVILSPQYEPRSQYTQGSSPTAEQTYSSSPHCQHFLTQPPTLNPNGSLAGIVPLHSEPTSPCSQESNPAWNGHTPQQKQPSSPVYGAQTRNGGLPPPPWETQSEDSNQLGGMHYPTPGNDNNQPMGKYMQQQITGGCLPPMSHHLQQHITSGSPPPMSHQIIHPQQHITGGSPSPMSHQAIHPQQHITGGNLPPMSHQAIHPQQHITGNPLSPMSHKATINPAQQQITGGPPLPMNHKAIHPAQQQITGGPPSPMIQQAIHLEQIPQQQFRGQHTMGVIPHYHMAYMYPQQMYGDPYGYGGGYRYSYGYTQPQHAHFLDHRMSWLPVRDDGFLNSTVYVNPSTSNASDVHMPSPNPLNPEDKLFQDLLDVTNKTTGK >KVH89705 pep supercontig:CcrdV1:scaffold_1177:4644:13810:1 gene:Ccrd_008301 transcript:KVH89705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGKYVEILDLGVRMVARFHSHCPQTARMYYHPPSNTVDSSCRSHAPPAIEGSAKMRRFQASMSFNTREIFMIMGKYVEILDLGVRMVARFHSHCPQTARMYYHPPSNSVDSSCRSHAPPAIEGSAKMRRFQASMSFNTREIVFSSAM >KVH89702 pep supercontig:CcrdV1:scaffold_1177:106132:117746:1 gene:Ccrd_008304 transcript:KVH89702 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVTGTITAIGSGLSMPLQTLIFGEYLSNMSTWLWGLVLQPLLNPYLLLVEVVCWMVTGERQAARIRSLYLKTILRQDVGFFDQEGKTGEIVERMSGDTVGKFIQLTSTFFGGFVIAFSEGWLLALVLLSSIPLLVISAAFMTVVMTKLMSRGQAAYSAGASVVEQTISSIRTVRILNTLPSWEKEAIAKYEKSLRNAYRAGVQEGLVAGIGSGIFMMCVFCCYSLAIWFGGRLIIEKGYSGGQVINVMIAVLISSFSLGQASPCLSAFASGRVAGFKLFQVIDRKSEIDPYDTRGRKLEDIHGDIELRDITFSYPARPQEKIFNGFSLAIPSGTTAALVGQSGSGKSTVISLLQRFYDPQKGEVVIDDINIKEYHLRWLRGKIGLVSQEPRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTVIVAHRLTTIQNSDLIALLQDPEGAYSQLIRLQELNKDSEKQEADITVTAASRESSNVGNSNRHSVSTAVSSYFEELKPDQNQPDSHTSSDVSLLRLAYLNKPEILVILLGSIAAVVNGAILPIFGYLLSNIIKIFFKPADELRKESDFWALMLLVLGFASLIATPLRTYFFGVAGCKLIRRIRLRCFQKVVQMEISWFDKAENASGLIGAKLSADAASVRGLVGDTVSLLVQNTATAVAGLLIAFLGNWQLALIILKLYEDASQVASDAVGSIRTVASFCAEEKVMKLYEKKCESPRRAGIQQGLYMQPASMLELVFLGLSMAAMGVSQSGSFVPDSGKAKTATASVFGLLDQKSKIDYTDESGTTLQNVKGDIEFNHVNFKYPSRPDIEIFRDFCLTIPSGKTIALVGESGSGKSTVVSLLQRFYDVDFGRITLDGFDIQKLNVKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEVLAAAELANAHKFVSALHQGYDTTVGERGIQLSGGQKQRVAIARAIIKAPKILLLDEATSALDAESEKVVQDALDRVILQQTTVVVAHRLSTIRGADVIVVVKNGMIAEKGKHEKLINMKDGIYASLVALHTNASN >KVD98154 pep supercontig:CcrdV1:scaffold_11770:4:1935:1 gene:Ccrd_024170 transcript:KVD98154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine racemase/group IV decarboxylase, C-terminal MAALSPPPGYTAWDSSLPAPAPFSGVPPLTDTVPDDVSSVTHWTPSHSSTLYKIDGWGAPYFSVNSSGNVTVRPHGAKTMVHQEIDLLKVVKKASDSKSNRGLDLQLPLIIRFPDVLKDRLECLQSAFNYAVKSQGYSSHYQGVYPVKCNQDRFVVEDIVKFGSSFRFGLEAGSKPELLLAMSCLCKGSSESLLICNGFKDAEYISLALIARKLSLNTVIVLEQEEELDSVIDISIKLGVRPVVGVRAKLRTKHSGHFGSTSGEKGKFGLTTTQIIRVVKKLEQCGMLDCLQLLHFHIGSQIPSTTLLADGVGEASQIYSELVRLGASMKVIDIGGGLGIDYDGSKSTDSDVSVGYTLEEYAMAVVQAVKFVCDRNSVKHPVICSESGRAIVSHHSILIFEAVSSGKYTVPTMSSFDIQRFIERLPEDAHSDYHNLSQSAVRGEYEACLVYADQLKQRCVEKFKHGSLDIEQLAAVDGLCDLVEKAIGASNPVSTYHVNLSVFTSVPDFWGIGQLFPIIPIHRLDERPAKRGILSDLTCDSDGKIDKFIGGESNLPLHELEGENGMKYYLGMFLGGAYEEALGGVHNLFGGPSVVRVSQSDGPHGFAVTRAVPGPSCSDVLRSMHHEPEIMFETLKHRIEEYVH >KVD98153 pep supercontig:CcrdV1:scaffold_11774:179:1911:1 gene:Ccrd_024171 transcript:KVD98153 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein VAFASFCTFVLIDSSNILDANKAFVSLSLFNILRIPLALLPMVVSYGANFIISIKRINKYLQGDEIDPETIQHEPNPHTPVVLRNGTFSWSKDDSSVLNGITLDIKDKSLVAIVGQVGSGKSSLLSALLGDLYKTEGYVNVYGKIAYVPQSAWIQNATVRQNITFSQPYIEEKYNKVLEACALTQDLKILTGGDLTEIGEKGINLSGGQKQRVSLARAVYANSDIYFLDDPLSAVDAHVSRHLFDKVIGPTGMLKKKTRILVTHRVTFLPQVDEIIVLKDGQITEQGTYHELLAKNGEFAQFLLQFVSEQHEELAEEDKEIIEQLKEKMGPEFEKRGSIVRSETLSNSSDGIRRRTMSNASRPSTKKGNTSRSMSQLREEPESTKGNGEKFKLVETEVAQTGKVKWGVYWEFFKACGLVSCLLVILAFCLSSTFNLLSSLWLTEWSNDSLNPAAANDTTLRNIRLGVYFGLGLSETTFTLTNSIILNFAILKGARLIHEKMLHRMIRAPMSFYDTTPLGRILNRFTKDIDVADVTLTFNIRLLISQSFRAIVAIIAI >KVH98697 pep supercontig:CcrdV1:scaffold_1178:64752:65108:-1 gene:Ccrd_023077 transcript:KVH98697 gene_biotype:protein_coding transcript_biotype:protein_coding description:MULE transposase domain-containing protein MLHSEPTDISWCLFLSLRLTTITAMSVGSALVGHEHVPNYKWLLQAFGKAHLKPPLMILTDQCPAMKQAIASVFPDSRHRLCIWHIMNKVPNKI >KVH98694 pep supercontig:CcrdV1:scaffold_1178:83243:90984:-1 gene:Ccrd_023078 transcript:KVH98694 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter A, ABCA RNFKTNLRLIAFPIVLCLLLVLLQAIVNNELDKPSNKCGCTCIDQDGDGQCERVCGIQYSDLDQVATCSIPSPPEWPPILQLPAPQYRAVRTDFLSFGDLPGDSCRSTGSCPTTVLMTGNNQSLGESLARNMFPSSSNFSSSNGLTGAILGSASETDIINYLEPAFFSSLPLYYVQSQCGANSTFSIPIPVAFLEVEKEIRCVQALHLWRNSSSDVNNELYAGYRKGNSEDKINEILAAYDLSNSNINNFNVTVWYNSTYKNDTGNGPSGLVSNAYLQLLVGPSTQMLFDFVKETPKPETEIRLDFSSLLGPLFFTWVILQLFPIVLTALVYEKQQNLRIMMKMHGLGDGPYWMISYTYFLAISLVYMFCFVVFGSVVGLKFFTLNDYSIQFVFYVIYVNLQISLAFLVAALFSNVKTAAVVGYITVFGTGLLGGFFFQFFLQDTSFPRAWIVVMELYPGFSLYAGLYEFSQYAFTGNYMGTRGMSWENLSDSNNEMARVLVIMVVEWLVVFVVAYYIDQVVTSGSGVRKGPLFFLEKLKRKPSPSFRKPSLHRQGSKVYVQLEKPDVSQEAIVCDNLKKMYPGRDGNPEKFAVRGLSLALSQGECFGMLGPNGAGKTSFINMKSVVVLTDDWAHQAKLWHSICSGLLWETLTGREHLLFYGRLKNLKGIALTQAVEESLRSVNLFNGGVADKQSGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKQAKQNRAIILTTHSMEEAEHLCDRLGIFVDGSLQCIGNPKELKGRYGGSYVFTMTTSSDHETDVENLVKSLSPKANKIYQISGTQKFELPKEDIKIADVFGAVEKAKSRFSVQAWGLADTTLEDVFIKVAREAQPLDVLT >KVH98696 pep supercontig:CcrdV1:scaffold_1178:17960:41083:1 gene:Ccrd_023076 transcript:KVH98696 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF627, N-terminal MGHKKRNFVTRSKTVTAAVNTPPPPPLSPIGNDGGDGVEVSSLSTEQNLSVDSSSVQLVQRKNEVPLAIESDGYSTVKVECEKALTALRRGNHKKALRLMKEMCGKHENSTHLALIHRVQGTICVKVASIIDDPNAKQRHLKNAVDSAKKAVMLSPNSIEFAHFYANLLYESANEGKDYEEVVQECERALAVENPVDPGKESLQDESQQKISTGDARIGHVQNELRSLVQKSNIASISSWMKNLGNGEEKFRLIPLRRVPDDPMDVRLVQARRPNEIKKASKTDEERKKEIEVKVAAARLLQQKLESSQSQADGDKGSEVSSGSGQRAGERRKSAKVRRNVSSAERKNCVHPYWKSMSLDSKKDLLKIGISDIKAHFRSLKDGLPYEVISEALSFGRANNSWKFWMCCRCNEKFPDPELHMQHVVHEHMGSLLPNLQEMLPPTVDNEWTEMILTFPWKALDVDAAVRMVEMQSNSLAANFVDRPHPKNNTELLDDCFTSNYCSDDVWDSSSGLEKYENPCNDPNVECREYTKIPGVTRECDQNQVFKGFFHPDSWPLAEDIERTKLLEKIQSSFQLLIKHKYLAASHLTKVIQFAVEELHSRLLNCGVDQSPICICFLGAPELRKVLKFLQELSHACGLSRYSEKGNVVEELNRSTLAIEMSEKIVFNEDGSCLLLDLCLPPCKISADTCQDTPAAGAIAVTSVSSENGLKYDADSLLSWIFSGPTSGEQLTFWMRSREERVHQGIEILQVLEKEFSHLHGLCERKLEHTSYEEALQQVEDLCLKEGKKREHATESAHRSYEFVLRKRHEELTGRGNDSTLPVNKFKVDALANVLKEAESLNVNQFGFEETYSGVNSHLCDLESGEDVDWRAKDYLHQVDSCIEVAIQKQKEQLSIELSKIDARIMRTVTGMQQLEAKLTPVSVHDFGLIVVPLVKSFLRALLEDLAEKDATEKSDAAREAFLAELALDSKKGTVDNSKQFNDKLKDKRKNREYRKIKDSKATNNSEAHVLAHANSEKAISSPLAVDGHQSETVAEIEDAFSQLKDEARRRKNELEAEERKLEETLEYQRRIENEAKQKHLAEQLKNNPDVTPMRKVPIETPAVYVKHNIDNHTDNELRPPRQEPLKQSNGLLSKLEGLSDKDREGAPRRTALFHGGIAEDGVLLSDQKTGRRSRRQKNATKLIDGKQQPVSLEMENIEVGQVTHKDGLKDDISIDEIGIDGPQAKSVGSWINNQFRPYYWIERVRKHNEGLEIPIPIATLCGGSGGSKTTTNGLFAGSSWEQLSALKQEGTIREYRHSFEILAATLLDLPEKVLERNFVNSLKTTVQAEMRLMRPQGLMRIEIAQLVEDHNTQVAGGYKATITLRQLQAEEDDEERFQADLLKAVRQSLVYFGPSAITSLWFIHLAANLDCCLWRSGIAFLKQGEDSRVTSIELSSDKANGSDVYGTGLKNEAGEYNCFLNVIIQSLWHLKRFQEEFLRRSTSAHHHVGDPCVTCALYDIFIALNMASMGTKGESVAPTSLRIALSNLYPDSNFFQEAQMNDASEVLGVIFYCLHQSFASGSGISDTELVESNVMCPESSFEELLNLVEMNHQLACDPEDGGCGKLNYIHHILSTPPHVFTTVLGWQNTCESVEDIKATLAALATEIDIGVLYRGLDPMNRHHLVSVVLVFWNTLGKGVVVYLPLELTLLGPGRVVNLLLGPNPHVLKVIMAKLALCKEVFDGRLITMTSTSLIGLIFAERSNGKALQKDEVEGKYDAIQTSNPKNVKHYKRGMLELIFMRAQVDGQQTKALVDTGVCYYGQHYHCFAYSHDHERWMMYDDNTVKVIGSWEDVLKMCEKGHLQPQVLFYEAVN >KVH98695 pep supercontig:CcrdV1:scaffold_1178:126183:133483:1 gene:Ccrd_023079 transcript:KVH98695 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MELQKGFRLLSQQYKALFTKNLLLAWRNKRATFLQVFSSIFFIFLLFIIQKAIEARFGSSTDFNSVRDPEPLINPPILPCDDKYYTRFPCFDFVWSGNDSARIGSIVDGIRANNPGRPIPLTKVKSFQTRREVDEWFLANPMSCPGALHFVERNASVISYGLQTNSTPAAKRGDFEDPTLKFQIPLQIAAEREIARSLIGDPSFSWVVNLKEFAHPVVQSFSSVGTVGPSFFLAIAMFGFVLQISSLIVEKELKLRQAMAMMGLYDTAYWLSWLTWEGVITLFSALFTVLFGMMFQFDFFLNNSFEVVFLVFFLFQLNMIGFAFMFSSFISKSTSSTTVGFSVYIVGFLTQVVTVFGFPYSDSYPNAYRIIWSFFPPNLLAKVLQLLSDATAAPEDPGISWSGIGKCAPNDTDCLITVNDIYIWLVATFILWVSLAIYFDNILPNSSGVRKPSFYFLNPGYWSGRGGDRVEEGRICSCMRSLPPMEHTAPDDEDVLQEENVVKQQHREGAVDPNLAVQIHGLVKVYPGTTNIGCCSCKKSAPYHALKGLWVNFPKDQLFCLLGPNGAGKTTAINCLTGITPVTEGDALIYGHSIRSSIGMSNIQKMIGVCPQFDILWDALSGQEHLYLFASIKGMPPASLKLVVQKSLAEVRLTEAARVRARSYSGGMKRRLSVAIALIGEPKLVILDEPTTGMDPITRRHVWDIIENAKKGRAVILTTHSMEEADILSDRIGIMTKGRLSCIGNSIRLKSRFGTGYIANISFLGNATVSREDVTAATHHEEVKLFFKERLDVLAKEENKSFLTFVIPHDKEGLLTKFFEELENRAEEFGISDIQLSLTTLEEVFLNIAKQAEFESAAAEGRFTTLTLSSGTSLQIPVGARYIGIPGTVSSENSRGVMVEVFWGQDDAGTLCISGHSNETPIPPNVQLGDVPSSHTRRNLLGRSEPARGIVIDPNEIGNTTSRGGEASVE >KVD98152 pep supercontig:CcrdV1:scaffold_11788:1:1914:1 gene:Ccrd_024172 transcript:KVD98152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein SLIANLTSLEYVDFSNNRFEGSFSLSLFSNHTKLEVVRFVSNNNKFEVETEEPIGWIPMFQLKVLVLSSCNIKRPKGSVVPRFLLHQRMLHFIDLSHNSLVGNFPNRLIENNTMLEVLNLRNNSLTGIMCMPRYRNANTRWLDVSENHMNGTIPADIQKFLPSIEYLNLSSNSLDGVISSSIGDMRQIFVLDLSDNEFSGEVPKALFSNISSLGILKLSKNKLRGEVLSGNLSLGNIEKLGFDNNNFTGKIGNWTFENNYMKSLDISNNLFTGTIPRWISDMMSYNFELIVSNNGFEGPFPCGKTSFSFLDISYNYFSGXIPSCLDFQAMTXLHLGSNRFIGPIPNAFHNLTSVLTLDIGYNSLSGRIPEFLGNLSYLRILILRKNNFSGSIPKPLCQLSNVNLIDLSSNSLSGSIPSCLQKIMTSVYPAFGLTMQXSYSMPLSYAYQRVIYDINFDDFSPISFEAQDEVDFTTKAFSHPYKGSILDLLTGLDLSCNKLTGKIPKELGSLNRIYSLNLSHNQLTGTIPMQFSNLEKIESLDLSSNWLSGEVPPQLIKLTFLAFFNVSHNNLSGRLPEMKAQFGTFTKASYEGNPLLCGPPLDKKCMTTSYVTDQSTKDGSDKWYDIDMASFYGSSGS >KVH97053 pep supercontig:CcrdV1:scaffold_1179:110664:114670:-1 gene:Ccrd_000854 transcript:KVH97053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate enzyme, C-terminal TPP-binding METTFAVGATTKPITTVSSSTGSLGGHLARRLVQIGVKDVFSVPGDFNLTLLDHLIAEPGLNLVGCCNELNAGYAADGYARANGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELQCFRTVTCAQAVVNNLEDAHELIDTAISTALKESKPEMLSGETAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMIRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNGQGKCWTCKVRTEEELVEAIATATGAHKDSLCFIEVFVHKDDTSKELLEWGSRVSSANSRPPNPQ >KVH97052 pep supercontig:CcrdV1:scaffold_1179:87902:94496:-1 gene:Ccrd_000853 transcript:KVH97052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MSLSSSSCWLFFLIIIFHLVSTSANNGCYTSIISFGDSLADTGNLKQLASIYHRSFPYFRPPYGETFFGRPTGRCSNGRLIIDFLAESLGLPLVPPYVGGDTRRMDDGVNYAVAGATALGSRFTFASAETSCKDFIGRSLILVGEIGGNDYNHPLILGKSIEEVESYVPFVVSTIVLAINDLINLGAKTLVIPGNLPIGCSAAYLTIYYGSDIKEYDNTTGCLIRLNKFAEYHNELLQRELNRIQDINPNVHIIYADYYNAAMQFYVSPSKYGFKNGVLKACCGGEGPFNCNPSVACANPSYMVCAQPDTYANWDGMHLTEAAYKLIYKSVFEGSCSIPRFNSLCPSLTLHKRDYGAF >KVI11408 pep supercontig:CcrdV1:scaffold_11791:236:1916:-1 gene:Ccrd_010182 transcript:KVI11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin SDFGLSKIGPSNLRNTFLVTNACGTFGYLDPVYYKSXVLTKKSDVYSFGVLLFEILCGRLACSEEYEDGKRFLGPLAKRKYEEDKLDEIIDPNLRKQMKLNSLITFSTIAYHCLKSNRSDRPTMAQVIEKLENAFSLQASLKIAEIARVGVWGTKSSGGPQNRWAFLLEKDHKLKFITIDHGDLIYSLMFTSESKGVLYPSKKAGGWNGGDTVSKVMFEDDEEIIGINGTVGVTGGYTIISSLSFITNKMTHGPFGRATDTPFWVPWGKGNFGGFYDLAGYYIDAIGVYMKASSEEFEIVRTGIWGTESLGGPQNQWSFQLEKNHHLKKITIDHGDLIYSIMFTTEYRGIEQPSNKAGGWNGGDKVSEVTFAWDEEINAISGTIGVSGGYTIISSLSFITNKQTHGPHGRASGTPFIVPWDKGTFVGFYGIFCYYIYGIGVYLKATI >KVD98151 pep supercontig:CcrdV1:scaffold_11798:1269:1664:1 gene:Ccrd_024173 transcript:KVD98151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLSSLFNAILIPTPTPTPTATVLLHFSADKMADSKIRNVINRIFTALLTCAFALGSFLLPQFSKFELVSQVLINQLGAGGAGIGIITGAIKGQTTETGLVRGAGVGAVTGAITALQLMEMMANGESFSK >KVD98150 pep supercontig:CcrdV1:scaffold_11799:1358:1901:1 gene:Ccrd_024174 transcript:KVD98150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVYPTPITSVVVSPPKSSAASKNPVVFTVWKKSLLFNYDGFPVFDSNGNLVFRVDNYVTGGNGEIVLMDAFGRSVVTIGRKRKSLSDTWQVYDGETTLVPRFSVTKHMNIFNTKSLAYVCKEGSSKNNNTRILMYEIDGSYAQ >KVI05234 pep supercontig:CcrdV1:scaffold_118:156303:165891:1 gene:Ccrd_016424 transcript:KVI05234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRNYLLSSLDMIAKETSVGKFTPASILPTARLDTENTYRSTIPKHQRVSLSRLPKGSLISGSQAARRLRVQI >KVI05272 pep supercontig:CcrdV1:scaffold_118:366142:381344:1 gene:Ccrd_016406 transcript:KVI05272 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing protein MAKSETVANAERLVEVMMKGNDASHDPAHAFRVRDLALSLAHEEVLSSSPISLEIVELAALLHDIGDYKYLRNPSEEKIVEEFLAKEGMDMDKSSKILDIIKGLGFKEELKGVLNGSYTPEFGVVQDADRLDAIGAIGIARCFTFGGSRNRVLHDPNIQPRLDLSKAQYMKKDDQTTVNHFHEKLLKLKDLMKTKAGKRRAEKRHKFMEEFLHEFYEEWTGKLNVGVKGE >KVI05271 pep supercontig:CcrdV1:scaffold_118:372037:373992:-1 gene:Ccrd_016407 transcript:KVI05271 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MFNSSISLSPATATATTTGHLFLPHLQMLELLVALLVFLVIHSLRQRQRQGLPVWPFLGMLPSLVLGLRVDMYEWISQVLCRQNGTFVFKGPWFTNLNCVVTADPRNLEHLLKTRFQAYPKGPYFRHALRDLLGNGIFNADDEIWQRQRKTASIEFHSSKFRNMTTESLLELVHQRLLPVLEDSVERSTAIDLQDILLRLTFDNVCMIAFGVDPGCLDPGLPEIPFAQAFEAATEATILRFVTPTLIWKIMRHLNLGSEKKLKQSIKGVDNFADEVIRTRKKEVFLQSEEKKLKSDLLTIFMGLKDEEGHPFSDKFLRDICVNFILAGRDTSSVALSWFFWLLDRNPTVEERILGEICQIVKDREDESESEDLIFRPQEVKKMEYLHAALSESLRLYPSVPVDHKEVVEDDVFPDGTILKKGTKVVYAIYAMGRMEAIWGKDCREFRPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILYRYKVTVVENHPVAPKLALTMYIKNGLKVKLSKRSKSELQMYHNIKY >KVI05246 pep supercontig:CcrdV1:scaffold_118:486939:490045:1 gene:Ccrd_016394 transcript:KVI05246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MQEYAGAMQELAKSIAGVLVTNMGVKRGLWEDDCNAGTCFLRLNRYPPCPISPEVFGLVPHTDSDFLTILHQDEHVGGLQLMKDSKWVAVKPNPDALVVNIGDLFQAWSNDVYKSVEHKVTVNREAERHSIAYFLCPSYESFIGCCKEESSIYKKFTFGEYRSQIQHDVKDYGHKVGLPRFLVST >KVI05267 pep supercontig:CcrdV1:scaffold_118:399766:404244:-1 gene:Ccrd_016403 transcript:KVI05267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKPQKKQVLLFYCMEAQDLARKIAAQSDHIQLQSINWRSFDDGFPNLFINNAQDIRGHHVSFLASFSSPAVIFEQLSVIFALPRLFVASFTLVLPFFPTGSFERMEEEGDERFYFGDNVLPCFETGIPLLKQRLHQLADSDNIVIAFPDDGAWKRFHKQLDHFPMAFAYFWITDSCSPTVKNIENKAPFEVLSLAGSIADALQI >KVI05253 pep supercontig:CcrdV1:scaffold_118:280106:285258:-1 gene:Ccrd_016413 transcript:KVI05253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MQTQRRNTRDNFWNHKLDRGLLMESSPPSKLVSNGSSSYSMQSSCDTSPGGGGSSVGDGGLGYIEHTVTKFDTLAGVAIKYGVEVADIKKMNGLTTDLQMFARKTLQIPLPGRHPPSPIMSNEYDSQGPKAANRTSDAEVGQNVAPLKLGDSLTIETSSGVKKSSSTPSFYDAYNSNNSSNCTTTSSIWPTSMLNLTADLQASTAAIARPIFDGLPKPMSGRKNKAAID >KVI05252 pep supercontig:CcrdV1:scaffold_118:295754:304759:1 gene:Ccrd_016412 transcript:KVI05252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDSKDLSSINTRSFSDGKLFDASQYAFFGRHSMDKAEFGCLEEDDDNPSTGIADDEYHLFDREEEPGAGSQSELDDLSTIFSKINRSVSGPRHPGVIGDRGSGSISRESEAFRRFLLLNNKFINKLFAGSSASDWVQDRDLPEWLDQHISDTESCQGSRRWSSQSHLTDSKPLYRASSYPQEQHQFFAEPVLVPSSSLPSFSPGGGHNNLPSPRQRSHLNLSSGIGSPQMPFSEPNLSPLSNSSNLLLGGIPHGSRYSGNRTQIVHPGLTQYTQPQNQWDNHTLHVDHAGLLTNSFQQKLLQNGFLSPHFMLPHSLRQPSFNPFALQPYLYDTLPSHPLHLSKYGVADVRDQRSSQKSKHSARLSRQGSDSSNQKSDKCRIQFRSKYMTSEEIEGILNMPHAATHSNDPYINDYYHQARLAKNSSESRSKNRFWPAHLRDSPLRNRSGSDSQPHINVDSHGRISFSLIRRPEPLLEVDPPSGSGDGGSEQKRSEKPLEQEPMLAARIMIEDGLCLLLDVDDIDRLLKSTLPQDGGSQMRQRRQILLEGLAASLQLVDPLGVSSNTSAGLAPKDDIVFLRVASLPKGRKLMSRYLQLLSPSSELARIVCMTIFRHLRFLFGGLPSEPGASETITTLAKIVSLCISAMDLNSLSACLAAVVCSPEQPPLRPLGSPAGDGASVILKSVLERATQLLTGVNSSLQNPTLWQASFDAFFGLLTKYCLSKYDSLVQAMYAQIPPSTEIVFSEAAKAISREMPVELLRASLPHTDDNQRKMLVDFSQRSMHVAGFSGHKGSGGQVTPESVRG >KVI05238 pep supercontig:CcrdV1:scaffold_118:195464:197895:-1 gene:Ccrd_016420 transcript:KVI05238 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MADLEAPLVRPKRKKVWVDYFVQFRWIIVIFVVLPISFTLYFLTYLGDVRSEWKSYKQRQQEHEENVKKVVKRLKERNPSKDGLVCTARKPWIAVGMRNVDYKRVRHFEVDLSAFRNILEIDQERMVAKCEPLVNMGQITRATVPLNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAYEIVLADGKVIVLADGKVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPVKEYMKLTYKPVRGNVRDLAQGYIDSFAPRFDDENNEMVPDFVETMIYNPHEGVCMTGKYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRFLLGWLMPPKVSLLKATQGEAIRNYYHENHVIQDMLVPLYKVPDALEWVYPLWLCPHRMYKLPCKTMIYPEPGFEHERRQGDTSYAQMYTDVGVYYTPGPVFRGEVFDGCDAVRRLETWLIENHGFQPQYAVSELDEKKFWRMFDAGLYEQCRNKYGAVGTFMSVYYKCKKGRKTEKEVQEAEKAQLETPCAEVD >KVI05245 pep supercontig:CcrdV1:scaffold_118:480797:485171:1 gene:Ccrd_016395 transcript:KVI05245 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding domain, CaLB MIPESLSASSDCSRISSPLICPCQKPIQLISGSGTKLNPSISIKKISRRRKRGVVWACVVPIDGRNQTLGLEFANSVTRGLKNSAVRRISDELDEANDSISEDSIQLGYSNDFNGFREDPIVGKLRTQLGVIHPIPAIPINRNIAGLFVFFFIIGVVFDKLWTSRKKMSNDDGGKLGIWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGLESWVTGLLQPVIDDLKKPDYVERVVIKQFSLGDEPFVVRNVERRTSRSVNDLQYQIGLRYTGGARMLLMLTLKFGIIPIKVPVGVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMGMFLKKLLTEDLPRLFVRPKKIVLDFQKGKAVGPVQNDFNSAEMQEGNKDFAGELSVTLLGDQVVHSKKNSKTTVTGPPGQPIWNQDFSMLVTNPRKQKLSIQVKESLGFLDLTVGAGEVDLGSLEDTVPTDRIVTLQGGWGLWRKGSAGEILLRLTYKAYVEDEEDEKSASDDEFFELQSDATIYDPSSRGTDKESFMDVLAALIVSEEFQGIVASETASSKSSNSSSDMRSTTSPRATLEGFSKLQQNQMSVKNSGEEDDTGSDNKKEESENQQISIESAIDEES >KVI05239 pep supercontig:CcrdV1:scaffold_118:168171:170843:-1 gene:Ccrd_016423 transcript:KVI05239 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein SYHLYNSNPPSSIHQCISSIFHRSKTTENDSENVEKTMGLLAAIARHLDTLVGPGVMLLFPLYASMLAIESSSKEDDQQWLTYWVLYSFITLFELSLPFWPYLKLLFCMWLVLPGINGAAYVYANIARKYVKVGSHVSSKYPEGQRKVLQMMSIDARKSVERYIEKYGPEAFDRVVKAAEKEANRK >KVI05263 pep supercontig:CcrdV1:scaffold_118:97293:106779:-1 gene:Ccrd_016431 transcript:KVI05263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase active site-containing protein MGVQVADDSSVVARGRLAVLSAHLSACLESSAFTPVLESSCTSAQVFVAPPPNLKGSLAIADERTGKKYRVQVSEEGTVRATDLKKITTGNNDKGLKLYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFLDVAYLLLYGNLPSQSQLADWEFTVAQHSAVPQGILDIIHAMPHDAHPMGVLVSAMSALSVFHPDANPALRGQDLYKSKPVRDKQIVRILGKAPTIAAAAYLRMAGRPPVLPSSNLSYSENFLYMLDSLYVLGDKAYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTALAGAVGALYGPLHGGANEAVLKMLNEIGTVDNIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAIALEQAALSDGYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLSHWRESLDDPDTKIMRPAQVYTGIWMRHYIPAQERMSSASTEAVVDKLGQLAVSNATRRRLSGSGV >KVI05270 pep supercontig:CcrdV1:scaffold_118:436447:439725:1 gene:Ccrd_016400 transcript:KVI05270 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase MAATATSASTFLHRLHDDTSLSRGMYRRSSSLSLLSSPTTSSKSARIQFFPKGLANPLFVTPAEKKKSSFQTEAVRQLTGSLTKAEGFRFAIVVARFNEIVTRPLMEGALDTFKKYSVKEEDIDVVWVPGCFEVGLVAEKLGKSGKYHAILCIGAVIRGDTTHYDAVANSAASGVLSAGLNSGTIYICVPCIFGVLTCEDMDQALNRAGGKAGNKGSETALTAIEMASLFVHHLKE >KVI05249 pep supercontig:CcrdV1:scaffold_118:460225:461238:-1 gene:Ccrd_016398 transcript:KVI05249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDKTHLTSHFKSIGKKPTHGQKGYQLPARFFDEKVILHLKTLTPPSRSSIISLSWLSKAVSFLSMVHSEAQDQISSLGSESDYYQALYMDYSVKVLDLCNLISSAVQQLTERRLLMNLGLRLINSSGQIPSPEKLKKAKDALIRSVHHRHDTSKEKGIRAKALIEELTSAINSLPLGKTSSAKDMIRRTLHGLGVLTVFIASVLVAVLYGQSDSVEVRVPDVFLWADSVNRMQTQIFELIKPKQTSDGGRTKGWLLELDDTASRSLAICDLLDEIVFDGDDNRSRLENGVKELGNAAANFSDVVDGLTNGVNGLFNSVLKTRNGVLDGVRKATW >KVI05242 pep supercontig:CcrdV1:scaffold_118:130489:138014:1 gene:Ccrd_016428 transcript:KVI05242 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily phosphatase, subfamily IIIA MVAGYTPPIGAHKTLEMLYTFAPPHPISHSCFLTNPIKILHSDLHHHLHLRLRPSHKRIPASHFTTAIVYTLSAVDSRDNEQHNKENHRNSHHDDDDDDSAFFNRFYESSPIYLNDRRGKNDRRQIIGDEIKESLDRDRGGLSTVNFANMWWADIKAALGQRINVEGLLFSVSVITRHKNWVMPHVSVPDIRYIDWAALKRKGFEGVVFDKDNTLTVPYSLALWGPLSASVDSCKSVFGNNIAVFSNSAGLQEYDPDGRKARALEYVIGIRVIRHKVKKPAGSAEEIERHFGCDSSKLIMVRKIELALVNRWLKKGLKPANERLLSDASDCVKELPPV >KVI05273 pep supercontig:CcrdV1:scaffold_118:378325:382180:-1 gene:Ccrd_016405 transcript:KVI05273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFGCFHVRDDLRPQINLVSHPITAIAKEPVDSLSSNGLWSVLVPAAEDSHHSQCRERKHGVVGSPLPDNELRDEAKFLKACGTLPQTPAEIRNTEKLKDSRPCNGDAEFTFRSWLPNTNYTSFEKLLEKQPDQLHSPTKLFEEWENGSDSSSHSPNSHITGKYTDSLCTSSSEVCGVGHAVKDTQALNSSTSCTPMVPSMQWKNKXVXFEGQNDAXSISSRSSSPKITNQNLKPSGSPFDHSVSKPSPYPTPLKLTDNMQTPGTVFPSYVYNKETGKNPRIKLQYVYSGVNPENFSQFKAPIESLFSSTECSALVEESVEQSDEETPQSQVKLEANASEKEVNGDATLSSWLPPKSSYEGCKDQSLVPISQDLPDFGRTPGERPILGMAAAHWNAEGTPVLPKWWDGNGIPNTTTKYKEDQKVSWHATPFEERLEKALSEDKFVNERKQVVETLPIEF >KVI05258 pep supercontig:CcrdV1:scaffold_118:218254:243381:-1 gene:Ccrd_016418 transcript:KVI05258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MTVQINGYFEVSSNRRGIWYGADMDRSGRIRSLWNRLLLEDVVASSFVKLLIQVQPILGPTNSYYSLWPTGSFEEPWSILVEHIYKNIGDSPVLHSELDGGKWVSLVEAFLHDDEFSKSNDLSKSLVQLGLPIVHLPIALRDMLLKFASSVRLKVVTPDSVRNFLRKSKAIDSISRSFKLVLLEYCLEDLIDDDVIRHAYDLPLVPLANGDFGSFSEASKAVSYFVCNDLEYMLLQKIPDRVIDQNIPHHILSRLASIAKISSANIFVFDIHCFLQLFPKIVPVDWKHKTVVFWDPESNSNHPSVTWFHLFWKYLHDNCESLSMFGDWPILPSLDGQLYRPSRQMKLLNVDKLSDKMQALLGKVGCKIFNSSNGIKHPDLLNYVRNADGSGVLKSIFDVITSNDGIKEIFLKTLEAAERDELRQFLLDPKWYIGNNMSDSDRRTCMRLPIFRVYGGESAENFQYSELQNQNFLPPSDCPESLLCGDFVNSSSSTEDEILNKYYGIQRMGKARFYKQYVFDRVKELQPEFRDSIMMSVLQELPQLSSDDPTFKGYVANLEFVPTASGSLKCPAVLYDPRNEELYALLEDSDSFPAGVFEESRTLDMLQGLGLRTFVSPEAVIQSARRVEQLMHGDQQRAHFRGRVLLSYLEVNAMKWLPDVPKDLFSRAASAFRSRNLKSDLEKFWNDLRLISWCPVLVSSPFQSLPWPVVSSMVAPPKLVRLYSDLWLVSASMRILDGECSSTALSHYLGWSSPPGGSVIAAQLLELGKNNETVTDPVLRQELALAMPRIYSILMNMLNSDEMDIVKAVLEGCRWVWVGDGFATPEEVVINGSLHLAPYLRVIPVDLAVFRALFLELGIREFLKPTDYAKILCRMARIKGSTPLNAQELRAALLLAQHLAEVQLYEEQIKIYLPDVSCILVDATDLVYNDAPWLLGPENTESSFGNASNVVFNMKRTVQKFVHGNISNDVAEKLGVHSLRRMLLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGTLFELVQNAEDAKASEVAFLLDNTHYGTSSVLSPEMADWQGPALYCFNNSIFSPQDLYAISRIGQESKLDKPFAIGRFGLGFNCVYHFTDIPTFVSGENIVMFDPHACNLPGISPSHPGLRIKFAGRKVLEQFPDQFSPFLHFGCDMQQSFPGTLFRFPLRSAKVASKSQIKKEAYSPQDVKSLLSSFAEVVSETLLFLRNVETIAIYMKEGAGSEMQLVHQVQKERIGEPGAETNTFQQMVNFMHEHQQDGSNKNNFLDKLRRPNDTGLPWKSQKLLLTEKSSSEEKSHIWLTSECFDGRRGKRNSEARDNRSHKFIPWACVASCLKTVEVDKELNNAKGGEDSRVVTSDMQEVSVASVEVKKNFEGQAFCFLPLPISTGLPVHVNAYFELSSNRRDIWFGNDMAGGGKKRSDWNIYLLEEVAAPAYGHLLEKLALEIEHSDSFYSFWPTTATSGPWASMVQKLYSFVADSGLRVLYTKARNGQWISTRQAIFPDFTFDKASCLVDALCDAGLPITTIPKSHVEKFMEFCPSLHFLTPQLLRTLLVRRKREFRDRNGMILALEYCLLDLKNPIQPDSFYGLPLLPLSNGLFTVFEKRGLSDRVYVARGDAYNLLKDSVANQLVDSEISDTVHGKLCDIARSECFNVSFLTCHLLEKLFLRILPAEWVHAKQVTWIPGHQGQPTLEWMKLLWNYLNSNCDDLSVFSKWPILPVGNSHLLQIVENSYVIEDDGWSENMSTLLLRIGCLLLRHDLQLEHPQLNKYVQSPTASGVLNALLAIACEPGKIEGLFVDASEGELHELRSFILQSKWFSGGLLNATHINIIKQIPMFESFRSRKLVSLTKPTKWLKPDGILEDLLDDDFVRIDSEKEGKLLKKYLDMREPSRVQFYKGYVFNRMPELVSQQGFLSAILDEIRVLIKEDNSFRKELTMTPFVLTNGGSLQEPHRLYDPRVAELQKFLHKDSFFPSDKFSDPETLEMLVTLGLRQTLGVKGLLDSATSVSMLHDAMDPDAVVYGKRLLGCLDVLALKLSTEEVEFSFNGFGTAGESDISLPDHEADNYSRNTSEAFHKGFPGKLIDEMPGEEFWSEMKAISWCPVYVDPPLQGLPWLVPSQEIAAPDTVRPKSQMWLASYMMHILDGECNSMYLQRKLGWTDRLHINTLSSQLVALSKSYAQLKMHPESDHEFEVSLQEHMPTLYSNLQEYVGTDDFELLKASLSGHSWVWIGDDFVTAEALAFDSPVKYSPYLYVVPSELSVYRDLLLALGVRLSFNVFDYAHVLLRLQNDVKGSRLSEDQLSFVLCVLEAVSDCQLDRGMFESSNSPLLVPDSQGVLMAAGDVMYNDAPWLDSNAPLGKHIIHSSISHDLANRLGIQSLRSISLVSEEMTKDLPCMDYAKICDLLELYGNKDFLLFDLVELADCCKAKKLHIILDKRKHPCQSLLQQNLGDFQGPALVAVLEGTSLSREEISSLQFLPPWGLRGDMLNYGLGLMSCYSITDLPSVVSGGYLYMFDPRGMAFAPPSSHSPAAKMFTLTGTNLTERFRDQFSPMFVGQKVPWSADSTVIRMPISSKFIDDGTESGCTGVRLIFENFIKQASRTLVFLKSVSEVSLSTWGEKEPQPSQDFLIYVDSSHATARNPFSEKKWKKFQLSNLFGSSSSAVKLHVVDINLHQRGMMVVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAVHILRNGHLAEARSMWSIMSPLPLSDCISMPVTVLGCFLVRHNRGRYLFMYQDSESLAKAQPDAGNQLIEAWNRELMCCVRDSYIRLVLEMFKIRRDPSTSTLESRATHAINLALSGCGSQIYSFWPTSSGKPILTDSENAHSLVSKKTLKADWNCLVEQVVRPFYSRLVDLPVWQLYSGNLVKAEEGMFLSQPGSRIGGNVLPITVCVFVKERYPVFSVPWELVSEIQAIGFNIREIKPKMVRDLLRISPTPIVVPSIDTYVDVLEYCLFDIQFLEPSNADGPSTSRESNEPGSISVPGSDPSRPPGSSMASSGSGGDPIEMVASIGKALFDFGRGVVEDMGRGGGSLTERYNISGVGFDGRNRSMDRRFLKVAAELKGLPCPTAMSHLTKLGITELWVGNKQQQSLMNNLASKFIHPTILERPILAQIFSNDNLLPLLKLQMFSPRLLSDHMSSLFHENWVNHVINSSAAPWFSWENNGSSGGEGGPSPEWTRLFWRSFSSSQDLPLFSEWPLVPAFLGRPVLCRVKHCNLIFIPPQSTDSDSETAVTEWGLEDADVACLDSLAEEVRPYMVAFKVTAKKFPWLYSLLNQCNIPILDAAFIEGAPPRTFFPALGQSLGKAIASKLVAAKTAGYLPELTSFLASDCDELVRLLASDFSSNGSEYAREDLEVFRDLPIYKTVSGTYKKVHTQDTCMIASNTFLRPYSEQCLSYGSESVESELLRALGVSELQDKQILVRFALPGFEEKPQSEQEDVLIYLYMNWQDLQQDPLVIETLKETKFVRSADEHSGDLYKPKDLFDPGDSLLTSVFAGEVQKFPGERFVSDGWLNILRKTGLQNTSDADIVLECARRVEFLGAESMKPSGFVDDFEEDFSNARTEVPSEIWSLAETLISAIFANFAVLYGNNFCNILGKIACIPAEKGFPSLSGKKGGKRVLCSYGEAILLKDWPLAWSVAPILSRQSMVPPEYSWGALQLRSPPPFTIVLKHLQVFKYLEKIWGTLSSSDISELQQVAFIPAANGTRLVTSNSLFTRLTINLSPFAFELPSRYLPFVKILKELGIQDTLSISCAMDLLLNLQKSCGYQRLNPNELRAVMEILHFLCNETIEQHKSDRSNWESELIVPDDGCRLVHANSCVYIDPYGSRYVKYIDSSRLRFVHHDVSETLCLTFGIKKLSDVVVEELDPVEHLQTLEEVGSVSLSTIRLKLSSKSFQVAVSSVLNSVPSNAAGSKIPDLQTLQRSLESIAQRLQFVRSLYTRFWLLPKSLDITRASKDSIIPGWENGSSHRALYYVDRLNTRLLIAEPPSYVSVLDLVAIVVSHALGSPVPLPIGSLFLCPEDSETALVNILKLSSDGRMIDGMSSGSGFLGRDILPQDAMQVQLHPLRPFYKGEIVAWRSQNGEKLKYGRIPEDVRPSAGQALYRFNLETSPGKTETILSSHVFSFRSLSIDNESSTHMMPVDSDSIVNSKRVEQPEGSGGAKLKNQVCRLTCFIQSPSKSASLGFPCSYCISETLSKIRQPIKELQHGRVSAEELVQAVQEMLSAAGIRMDTEKQSLLQSTLSLQERLKESQAALLLEQEKSDMATKEADTAKAAWLCRICLTNEIDITVVPCGHVLCRRCSSAVSRCPFCRLQVSKTIKIYRP >KVI05241 pep supercontig:CcrdV1:scaffold_118:117366:125736:-1 gene:Ccrd_016429 transcript:KVI05241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MPSMATENSDVSQAEQIKLQANEAFKAHKYSQAIDLYTQAIELNSENAVYLANRALAHTKLEEYGSAIQDASKAGYYRRGAAYLAMGKFKEALKDFQQVKRISPNDPDASKKLKECEKAVMKLKFEEAIAIPTTERRSVSESIDFRTIDVESQYAGARIEGDVVTLEFMKKMMDDFKNQKCLHKRYAFQIVLQIREILMALPSLVDVKVINGKHFTVCGDVHGQFFDLLNIFELNGLPSDENPYLFNGDFVDRGSFSVEVILTLFAFKCMSPSAIYLSRGNHESKSMNKIYGFEGEVKSKLSDKFVELFAEVFCYLPLAHVINEKIFVVHGGLFSTDGVKLSQIKAIDRFCEPPEEGLMCELLWSDPQPNPGRGPSKRGVGLSFGGDVTKRFLNDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPNMEPKIVTFSAVPHPDVKPMAYASNFLRMFS >KVI05255 pep supercontig:CcrdV1:scaffold_118:264590:273020:1 gene:Ccrd_016415 transcript:KVI05255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MEKTPAACAMDWSIRLDKALRSSNPGKRTEAIEEVGARLEWWSQEPELMMAEYDIIKLCFNSGDEDIRALALVLFGCWSDFAKDNAEIRYLILSSVVSCHVLEVKASLFAAGCFCEISDDFACVLLEMLVNIVLSSEMPLAGRLAGVRSFAKLGRSSAVSSRAYEVCIFLLCFSFLFYKVHSLQEGLKLVSGLADDTVPTMLISLSIIASRSALLISRQVDLLHSFLSQDKALPLQATSLRCLHIILSRRRFRFSPPMELMSSMFNMLDGELPPSMQCDALHILYEILMSKMLSFSPSEMHACFTKLLTVVEISMRSPFVSDRLFAMHVVADISGKFTRRRDMPYDGDDKTLASQAISFLVARITLLVNSVLKLNQPDMEMEKEIWSLLKITFFLLNECPDLGEFALHKLHLLINCLLNKDEVLSTGKEDLTGHVLIQCGRDHRRILSKFMVCVSKVIILCLKSMVKAGPLSNQVQNVVRLIIEDVCGCTYIDYYVHTVYYLLLQPHANCHYMLKEMEEGNALDKTLITSDHAYPIKNEILALERAKKLIAIKDNWSAYKTGRYAASQGAWFTAAFIFGELITMVYSDSCRHWLTSLALFACSEMKIQYCSSPKERSVLLTWLESNGSSALSIVGDIGEVGKTTTKEINLHHYIESLDEVCKILQASKELLFNRITPSGQHYFQMQFLSLRVNVIEIVLHAFKLLDTVSFQEDNIRNNRLKRSTVVQSAGHLHHAASLVQPLTQLSTRLMKLAQEYDLLATSFIDIDKRSAMIISAHALSCSALAFITGFSLFFANLDSLQQFPNLGLLKLQSNFHAMLIHDLVARLWHIDQETSKQLLLLLKTCFGQSKSCNVPQAKIGRLEYAYEVRSIIMICQSAVKGVVGIQNAAKRLHDGNDEIILQITKDGLGLQLDTVRNWLNISFRTPRYFFRVRPSVSCQLFAMNRDCGNGERISVLPECHLHLDLCLQLTDISPESRVRLTKLYCILQCKMSYQLPCQTTDSERKTQAVLPDQTDDNVLELNEKLVKYVNNYDRFGTNDKNHTFDGQIAESVVCFRLNGKGQGFSTCILDVSMFPLGSYKIKWHAGCMDIDGSYWSLNSLNSGPVVFTIQKSR >KVI05269 pep supercontig:CcrdV1:scaffold_118:431644:434169:1 gene:Ccrd_016401 transcript:KVI05269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTFAHVVCKHLNPLYHLIQIFPPIPHLLLPIYSPTHLTNRSHYSSLPSENLETVNKLVSIFSHQQPSSLVSTTELAAFGSKLTTDVVETTLKSFKSWETALRFFNWASTQTGYRHNCYTYNAMASILSGARQNAALKALYIDLVNSRCYVSPGALGFYVRCLGGLGMVNEANELFDQVKKLGCVPNDYSYNKLLEAIAKSGSVELMTVRMSEMRDNGWKPDKYTLTMVLQCYFMAGKYERALEVFQRIDELGWVDSYVFTIAVISLTKGGEVDKAIYLINKMDDFKISLNEKTFYVLIHGFVKEGKVEYSLSLLKKMQSLGFVPVISLYAVLIEGLCKRGEFTKALQLHSEMNEMGICPDLNLLQTLISSLADEREMICLLKEAKKHFNKKSMTTLHNAALSSLVKSGSIDKAYHLLRAMMKDDVGDDIKIDGCFGIQNFVPLDASSFGIVIDGLCQKGKLDPWIKHYTLLVKKLSTIGRVAEACSFLEMMIQEGFVPDMIAYSAAIDGWLRMGEVDYAWKMFKDISASGRCPDVVAYNTVINGLCKAKRLSEAQDVYDQMVQKRLIPSVVTYNLLIDAYCKNDDIDQAIGFFSMMAEKQRDPNVVTYTTLIDGLCNDGRSDEALLIWNKMVRQGKGCSPNKIAFMALIHGLCKCRKPDAALIYFEEMEEKHMQPDTYIYVTLIEAFLSVSNAPMALWILRKMIQNERVPNVLDKNCITLREAVRKLMDDALTSLEIETLIADNVLPIHLLQTGGP >KVI05243 pep supercontig:CcrdV1:scaffold_118:465200:466750:-1 gene:Ccrd_016397 transcript:KVI05243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAMAAYLSRMARFHASQQNTVRTFSSSSSSSPFSSFRDLKSAIKSEKDPLKVAKLFESSLSIPTFRRYRPLFTLSVHKLSRSKRFDLVDRILTNSITTSPAPQLSSEGFWLRIAMLYSQAGMVDNALQAFDKMLQQQNFTVTEKSLCGVLSVMLDNKIYHDNDKFQQTFEAFVKKTSVSPGVKSFNLMLKAHCKAGRLDEAHALIAKMESEANLQPNIDSYNILLGSNLHIKKRSEFDMVVKKIHEKGLEHNLTTYNHRITRYCKSKECVRARKLLDEMIAKGVEPNSFTYCAIIFAFCKVGDLESARKILEKMVSDGYVKAPSFGYMMLMKHMVEEGEFDGGLEICKDIIQKKWVPPFKATGLLVDGLVKDSKADEAKEIVEKVKRQLRGSAVESWGKIEADLPI >KVI05244 pep supercontig:CcrdV1:scaffold_118:472808:475171:1 gene:Ccrd_016396 transcript:KVI05244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MWSPLTRLASCRNFVKYSLNLRLKSVSSFSHLTCSDLSESSSDENNTNLSHHPLEKLDKFEVVETLHKLHREPDIALSYITQLKESGFKHDVVTYMAIVRLLCDWGMDMRLYNVFMDVIKDIDGEFFSFGISDLFEALLEEIKADGPKKLIKAVDLFVKVYSSVGRFEEAIDTLYKIRRGGLVLSTHTCNYLMNQLIERGKIDMVESIYKQLKRKGLVPNVYTYGILIKGLCRKGCLEEALDVFRQMGEAGVEPNAFTFGTYIDGLCSNRKVDSAFQLVKTLRESNTPVNVFAYTSIIRGFVKELKLEDAEVVLLDMKHAGVVIDAHCYCALIQGHCQILNINKALALHDEMESMGIKSNCVIVSSIMQCLCRLGMLAEAVYWFMDFMESRIFLDEVSFNIAIDALCKLGKMDEAVVLLQEMKNKKMEPDVVHYTTLINGYCLQGELWNAFEIVEEMKGNGLKLDIITYDVLAGGLSRSGLFEETIGLLHDMRAQGLEPTNGTYSVILMGLCKGGKVKEAELFFNSLEGKNLDNYAAMMNGYCEANNTRNAFELWLSNQGLIVKRASCLKLLSCLCAEGETERALTLFQALEASGPCKMMYSELVYLYCRVGDMRMARWVFDKMILKGLTPDVITYTMMLNGYCRINCLKEAQYLFNDMKNRGIKPDIITYTVLLHERCRGDDVLILSEIMEAGLIPDVICYTVMIDRRCKSGNLRDAIDLFDEMIDKGLQPNTVTYTALVCGYCSQGYMEKAETLVDEMISKGIQPNSRTMIALNTVKAKKMQFKR >KVI05274 pep supercontig:CcrdV1:scaffold_118:390584:397079:1 gene:Ccrd_016404 transcript:KVI05274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSIRNTFSLLLRNYSFNPIGLSSSPFILSLRSSYVLGTTKFFYDLSCWQKRKSDSIYLKSFLNFDNGNFAGADGVDANLAPSPAMGLFGLVVGSNSMEQHLENSISALQASPAPTVFQEVENAWGIRRRKEAYQASSDTSLFSSSLPVIPHEKMNFVDLEYNKQSLEGGFPQEDESEDTLGDMTTSLTGSMLPGDEDELLAGVMDDFDLGDLPTQLEDLEDDLFESGGGMEMDFDSQEALRFGLSTLSMSDGVPLIGIGRHELPNGGGSVVGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEEYGEIRNIYAACKHRGFVMISYYDIRHARAAMRELQSKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASISSDDLRQIFAAYGEVKEIRETPNKRHHKFIEFYDIRAAEMALKALNGSEIAGKRIKLEHSRPGGSWTHLSSPGERSSQQGFSWSSGMGTLSPVNSNNLRGLASILPTHLSNPVKIAPIGKDQGTRDSLIKSTQGLDYQQSRSFPENKTSTSPGNASSFVDSRFNQQSIGTLSGPEFLWGSPTTYSDLQSSSSWRASSVGLFTPTEQGPVYASPSQHGTFLGSHHHVGSAPSGTPFDRHFTSYPESLERPFMGPLVFNGVGSIVGNGSHMTNLSAHGTGFGPASPGDVLGSGSPISRMTSFPSKGPIFFGNGRGALNEGSIERNRIRRVDYPVNQIGNKQYQLDLDKIISGEDARTTLMIKNIPNKYTSKMLLAAIDESHKGTYDFVYLPIDFKNKCNVGYAFINMVSPSHIISFYEAFNGKKWEKFNSEKVASLAYARIQGKVALITHFQNSSLMNEDKWCRPILFQSEPQGKDDQGCILSHNFVDHNANGELVCCIEIDKLLHRKLRQG >KVI05237 pep supercontig:CcrdV1:scaffold_118:188054:193151:1 gene:Ccrd_016421 transcript:KVI05237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MDVTDSATTTLPPPPPSSESAPLLSDSTLQQASPQEDHCVASITEDHEVTCWGCGLCLILSPYTPIFKCGWCGAITNHNARKIDNTYFWWRRLRDRCFVCVLLLFMLFIIGGGIWAIYPIVFSFSYFCGIFHMTLSIILSIGNCVGAANHRVFIIFLISAVISNLYVSLISSFTAFHIWPPIRQVPIAVLSSPSDYMLVYSFLKETALSFSTSVESLSLRGFILIYLFLASVSVEIGLGVLLWQQLSYVYQGKTYLSHLSSRGTNRASKKDCQNIVRFFGCPYSATRCLLGFWNSRKTHKK >KVI05250 pep supercontig:CcrdV1:scaffold_118:323606:329044:1 gene:Ccrd_016410 transcript:KVI05250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTKKSASKVDSTAAVVVPPAKTIKKGKRDAEEIIDKQVASKKKQKIANAGVAQAAEKKTQKKNTKKRESSSSDAEDEPKKVVEKKPQKKTKKEESSSSEESSSSESEEEPKKVVEKKPQKKNAKKEENSSSEESSSSESEEEPKKFNGDVDQVVVKKPQKNTKKEDSSSSESEEEPKKVVEKKPQKKTKKDDSSSSEESSSSESEEEAKKFNGGVDQVVEKKPQKKNTKKEESSSSEESSSSESEDELKKAVEKKTQKNTMKEENSTSEESSSSESDEEPKKEEAKNKGTAATTNKPAVVAKNDAALVSKNESSDESDSEDSEASSDDDDVIVATQPKKPASGAVKNGAALVLAKKKESSSEDGSSEESDSDEEENNAKVTKQPNKLPSATAKKEESESSEDTSSEESDSDEEEACGTIYMFFFLLHFFVGFHLQRCNKFGNELI >KVI05261 pep supercontig:CcrdV1:scaffold_118:63587:80226:1 gene:Ccrd_016433 transcript:KVI05261 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription factor SIII, subunit A MMRSGHHTMEEWGTEQIRRVPSLVDLCVQKAVDNVRYLGDVGETDLHLLERFLPHCTVEQLTHIEDSTEERDLSPVTDKLWKNFYMLQFGSKSTNVVIERMKEKKVSFKWRQLYEAKVKDVDEAQQKSFERIKQLYKKEDAIQWRDSCGDDVSELNVLVVRILGLTCSTSTWTSLMYIIYNMKLKHKSLKGQSLKEENDSLIVEDVFSDDEWIANSNDEDNDMYQLKLARQLRIKAKVFMWIWKTKMIVIMNACGAMQFDHISRNSGLEAKSTSPGSSIGNTKSGLMKKAKLEFLNSREVKNLSAMKKTTVQYNQRVSPIKKPNHFPGKPSASSSKISSSTARRF >KVI05264 pep supercontig:CcrdV1:scaffold_118:12624:15054:1 gene:Ccrd_016436 transcript:KVI05264 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEPATTVVCVAGVASILALYILNLLWLKPKKIEKFLRDQGLEGTPYRLLFGDLKEMKKMMIEAIPLVHICDPIMIREVLANNYQFQKQRGGNPMTKLLARGLVDVEADQWVKHRKIINPAFHVEKLKHMVPAFYVSCSEMIHKWREMVTEEILCEVDVWPHLQTLTADVISRTAFGSSFEEGKKIFELQRELGELVMKAAMSIYIPGTKFLPTKSNKRMKEIDREINVKIKSIIDKRVIAMKDGESINDDLLGILLDSNYKEIKQHGNNNFGLSIDEVIEECKLFYIAGQETTGIMLVWTMILLSQHKEWQTCAREEVLQVFGDRRPDINGLNHLKVIHMIFNEVLRLYPPLGFLRRFVHEETKLRNITLPAGTLIHLNTLFLHHDQDMWGEDVNEFKPERFSEGVLKATKGQGSYVPFGGGPRICIGQNFSMLEAKMALTMILQHFSFDLSPSYSHAPYTIITLKPQFGAHLILHKL >KVI05256 pep supercontig:CcrdV1:scaffold_118:252476:263868:1 gene:Ccrd_016416 transcript:KVI05256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MAGVSIAAEWQLLGDKYYRKPELYQLRWKNIDLARNKVACAPFGGPIAVIRDDSKIVQLYAESALRKLRIYNSAGRQISETVWRNPGGRLIGLSWTDEQVLVCVTQDGTVYRYDIHAKIIESNVSMGKECFEQSVVECVFWGNGVVCINEAFQLFCIPDLKNPKPSKLADAGLEEFPLCMAVIEPQYTMSGNVEVLLGVGDHVLLVEEDGVQTVGDGLGPLQKMVVSHNGKLMASFTHDGQLLVMPTDFSNIIFEYSCEVELDLWREMLGRIYNDNIFQADENLRLIRPSLPEAVEACVDAAGHEFDPSLQQTLLRAASYGQAFCSQFQRESIQEMSKTLRVLNAVRSLETGIPLSIHQYKLLTPSVLIGRLVNAHQHLLALRISDYLGMNQEVVIMHWACSKLTVSSAIPDAALLDILLDKLKLCRGISYAAVAAHADQTGRRKLAAMLVEHEPLSSKQVPLLLGIGEEDTALTKAAESGDTDLVYLVLFHIWQKRPALELFGMIQARPLARDLFVCYARCYKHEFLKDFFLSTGQLHDVAFLLWKESWEIAKNPMASRGSPLHGPRIKLIEKTQSLFSETKEHVFESKAAEEHARLLRIQHELEVSTKQPIFVDSSISDTIRTCIVLGNHRAALKVKTEFKACIDADEKAEALKYITKLADPRERAEAYARIGMAKEAADAASQTKDGELLGRLRNTLQQNSAASSIFDTLRDRLSFPSVS >KVI05276 pep supercontig:CcrdV1:scaffold_118:352555:363274:1 gene:Ccrd_016408 transcript:KVI05276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MNYTSSTWRILLISLWWAGAVVSGRQQLSRHTLLEQDGDRVTDLPGQPPVSFNQYAGYVTVNESHGRALFYWFFEAAETPHEKPLLLWLNGGPGCSSIGFGNLEELGPFKTHKGKSELFLNRYSWNKAANLLFLESPVGVGFSYTNTSSDIKQLGDKITGHYVPQLAELIFDNNKIISEGNGINFKGFMIGNALLDDETDQTGMIDYAWDHAVISDRVLSDIKAKCNFSVAKPSNSCDEALSGYFQVYRIIDMYSLYTPSCVDADSNSTRPHMRGHVSPRIFSKNKGWHRKPTGYDPCQPKYTELYLNKPDVQEALHANTTRIPYRWTHCSDSITFWNDAPPSMLPTIKKLVAGGIRVWVFSGDTDGRIPVTATRLSLTKLGLKIVEEWSPWYSNHQVGGWTIAYEGLMFVTVRGAGHQVPTFKPKEALQLVDHFLSNQKLPSVPF >KVI05248 pep supercontig:CcrdV1:scaffold_118:445406:459815:1 gene:Ccrd_016399 transcript:KVI05248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MEMIYISSTDSDSSDWDLDKYKALSDSDSDISLRNSSALPLLGSSSGTSTTGLHGSSQWSLQPERKAAINGHSSHSSLDLDDQLQILSSSTNNFGSSNRHKFMDSKYAKLSLKNGFDNQLFRKDSERLNPSLQPSSSRSNFPLKSVSSSQTLETYGKPYAHAWPNHAKVHMEENFSRASNDVVKDSHGRVLTPSMNASATQYAGQSDLYRSGGVVEQAVGDERLIYQVALQDLNQPLTEATLPEGLLSVSLLRHQKIALAWMVQKEKSVACSGGILADDQGLGKTISMIALIQNQKSLSKPKSDDSRTSKAEALNLDDDDGIGTVVVDEEKQTGENDELKVITRVSSSTQEFRNRKPAAGSLVVCPASVLRQWARELDEKVADEAKLEVLVYHGGNRTKDPAELAKYDVVLTTYAIVAKEVPTKFLDEDDEDDQRNGDKYGLSSEFFNKRRKGPNPGKKKKSRKGIDGSAIDSNGTLAKVNWFRVILDEAQTIKNSRTQVSKSCCGLRAKKRWCLSGTPIQNSIDELFSYFRFLKCDPYANYKSFCNQIKIPISRNSMQGYMKLQAVLKAIMLRRTKGTLIDGKPIINLPPKTINLTMVDFSKEERAFYLKLEAESRTRFKAYAAAGTLTQNYANILLMLLRLRQACDHPLLVKGFSSESVSRVSTRMGKNLPKDMQVNLLNLLETLNICHLCSDPPEDAVITLCGHVFCYQCVSEYLTGDDNTCPSPKCKSQIGADLVFNNATLRSLISEDGEGPSSSKVDEKSIVLQLDYSSSKIKAALEIIRTCCRSKGSSSETPNLVRCNGDSFFSGNASSSSGIGGQVVEGPIKAIIFSQWTRMLDLVQMSLNQYSITYRRLDGSMSLASRDRAVKEFNTDPEVIVMLMSLKAGNLGLNMVAASHVILLDLWWNPATEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRKMVASAFGEDQSGTSTARLTPEDLKYLFMGAH >KVI05268 pep supercontig:CcrdV1:scaffold_118:412273:429796:1 gene:Ccrd_016402 transcript:KVI05268 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MSDKTTPFSSIKSRPADYRFMDSDGKSRNRNGSMISDNDGASRNDNIHQVDGSRYGHGSTLMMNERPSMDDKDVNPSTSHQKLILPSRGWDDVSVYNAKKAILVCHIVDILTFTKLFVYLLLFISVVVLIIQTQNAWFQAPDGNWELAKILSVSGTESLISYSEGKVLKVQSDSLLPANPEILDGVDDLMQLSYLSEPSVLYNLQYRYDRDMIYVRTCNSLMSKAGPVLVAINPFKNIPLYGDDYVEAYKRKSIDSPHVYAIADTAMREMIRGCLNIIVKSIIGFNVEHSCYASNLYPLFLPSGESGAGKTETAKIAMQYLAAVRGGSGIEYEILKTNPILEGFGNAKTSRNDNSSRFGKLIEIHFSETGKISGATTYLFIYDQSRVVQCTEGERSYHSFYQLCAGAPPSLREKLNLKSVHDYKYLQQSNCYTIPGVNDAEQFGIVMEALDVVHVSKEDQENLCAMLAAVLWLGNVTFAIVDNENHVEPVIDEALLTVAKLLGCGAEQLQLALSTRKMTVGREKIIQKLTLAQAIDSRDALAKSIYSGLFDWLVEQINKSLSAGKRRTGRSISILDIYGFESFDVNSIEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLSLFEKKPLGLLSLLDEESTFPNGTDLSFANKLKQHLKSNPCFKGERGKAFTVRHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCKCKLPQIFASNMRSQPEKPVAGSLNKSGGVDSQKLSAMSKFKAQLFQLIKCLGNTRSHFIRCIKPNNTHSPGIYNQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLDHVASRDPLSVSVAILQQFGILPEMYQVGYTKLFFRTGQIGRLEDTRNRTLNGILRVQSCFRGHKARHLLKEKKRGIFTLQSFVRGEKDRKEFAILVRRRRAAVLIQKRVKAMIIRKKFREFNGASAVLQAVIRGWLVRKCTGDIALLQFGPEKGNGSEDVLVNASYLADLQRRVLKAEVGLREKEEERDILLERLQQYESRWSEYEDKMKSMEETWQKQMNSLQASLAVAKQSLSMENPSDGSVNTTSSNNESLDTQSNGQSQSTAGLSLISRLAEEFEQRSQVFGDDARFLVEVKSGQVEANLNPDNELRRLKQMFEVWKKDYGARLRETKVILNKLGNEESGGGDKVKKNYWWGRLNSSRVN >KVI05262 pep supercontig:CcrdV1:scaffold_118:108840:116568:-1 gene:Ccrd_016430 transcript:KVI05262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase MVLASKSVAMSRTMFTRSLYQLQNLSRSNGLSLHSSSTNFKHAVLAAFQPLLHSRPYANNPQPAASASSVDQAELAKFAAIAESWWDAEGPFKPLHVMNPTRLTFIRSTLCRHFGKDPFSARPFEGLKFIDVGCGGGILSEPLARMGASVTGIDAVEKNIKIAQVHADLDPVASSIEYRCTTAENLVEEQMKFDAVIALEVIEHVANPDGFCKSLSALTKPHGATIISTINRSMRAYATAIVAAEYILHWLPKGTHQWSSFLTPEELVLTLQRASISVEEMAGFAYDPLTGQWSLTDDISVNFIAFGIKNSE >KVI05233 pep supercontig:CcrdV1:scaffold_118:160559:163096:-1 gene:Ccrd_016425 transcript:KVI05233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MMRVPWISCLFFAFFVILFCYSSSAQPFDYPTANLSTTWTNDESAPHSINFTDGSRVRSILLRGTYGHKFACGFFCNGTCTSYLFAIFIVQTNSVSNIVAPGIAFPQVVWSANRDYPVGIGATLNLTASGELVLQDVDGSIAWTTNTTKKSVVGMNLTDIGNLLLFDVDGKVVWQSFDHPTDCLVLGQKLFQGQKLIPSVSSTNWTTQKGMYSLQVTNQGLFASVESNPPQIYDDGLVTRTNPNKERSYVRFLNGSLSYFIVSTEPSDPDMWIPIPQASSVQYMKLMPDGHLKVFEWQNRWIVLADLLTGPLGECGYPLACGRNALCSGNQQCSCPVSTSPRKEYFRPMNDLQPNLGCTEITPLSCNSTQDQDFIALTNVEYVTFVANMETVNIEVCKQACMKNCSCKAAIFHYGSNSSRGDCYLPSELFTVTSIDPDVIHYNSSAFLKVQNIRPPPSSTSESPSPLAKRKNRVATVLGSTIGSIVLLLIVVIGFVIFVVHKRKMKAEMEEEYLDQVPGMPTRFSYEELKTATECFCKKLGQGGFGSVYEGTLTDGSKIAVKCLEGLTQVKKSFLAEVESIGSIHHVNLVRLRGFCAWKSQRLLVYEFMSNGSLDRWIYQGDRKHVLKWECRKKIVLDIAKGLAYLHEDCRQKIIHLDIKPQNILLDSDFNAKVSDFGLSKLIDRNQTQVITTMRGTPGYLAPEWLSSIITEKVDVYSFGIVLLEILCGRKNFDRSQPEESWHLLGVFQKGWEQGNLLDIVDKYSDDMQAHVEEVVEMMKVASWCLQNDFTRRPSMSTVVKVLEGVMNVESNLDYNFSHPSMQKTTVGHEKDLTPLLPSILSGPR >KVI05251 pep supercontig:CcrdV1:scaffold_118:305899:313964:-1 gene:Ccrd_016411 transcript:KVI05251 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MDIDLRLPSGENEKEEKEEAKEMSNMMDGEHKMHSDDVLGRDMVGIRDKLPPDSDGGVNSPSVDMVDFKEDINLEPIAGMEFESHGEAYSFYQEYARSMGFSTAIQNSRRSKTSREFIDAKFACSRYGTKREHDKSFNRPRSRQGSNQDPENASGRRSCSKTDCKASMHVKRRPDGKWIIHRFEKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDSNNPFEKGLACMPKKEAQEDMIITFRVSDFEKSMDFNVTYNESTTEVSCMCRLFEFKGFLCRHAMIVLQICGLSAIPSQYILKRWTKDVKNRCLISEGPEQVQYRVQRYNDLCQRAIKLGEEGSLSQESYNMALRALEDVYANCVCLNNSNKNLIEAGTSTAPGVLRIEEDNQSRNMRTNKKKNPMKKRKVNSEQDIMTVGAQDSLQQLEISAATLTVNQHCCHIPKTNTYHPFDTVLSVKSMGRGVVENGKGKGLKWEGSGLRDKLSSRSVTLDGYFGPQQSMQGMVQLNLMAPSRENYYGNQQAIQGLGQLNSIAPNHESYYGAQPTLHGLEEPNVRTTQLHDDGSRHA >KVI05236 pep supercontig:CcrdV1:scaffold_118:147904:154611:-1 gene:Ccrd_016426 transcript:KVI05236 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVAGLLPEMEVNAGHYAGVVVAVIVVWRFLNLMWFRPKKMEKLLRAQGLNGSSYTFMYGDLKEMAQMAIQARSKPIALKDDIVPRVLPFVHKSIATYGKICFTWMGRRPMVHITEPTMIREVLANHYQFRRLRGGNPLIKMLTKGLVDVEADQWVKHRKIINPAFHIEKLKHMVPMFYVSCNEMIKKWESLLIEESSCEVDVWPYLQTMSSDVISCTAFGSSSEEGKKIFELQHEMIGLIMKSARSTYIPGSMFLPTKRNKRMKEIDQKVKASIRSIIDRRVVAMKAGESNHDDLLGILLNSNYKETKKGTNKTSGLSIDEIIEECKLFYFTGQETTRDLLVWTMVLLGQYTDWQARARDEVLQVFGDKKPNFERLSDLKVVGFSSSSVNMIFNEVLRLFPPGPYLGRVVHKETKLGNIMLPAGTFLQMNIILLHHDRDIWGDDAKEFNPERFSEGVLKVTKGQACYLPFGGGPRICIGQNFAMLEAKMALVMILQRFCFEISPSYSHAPHVVITLQPQFGAQLILRRL >KVI05260 pep supercontig:CcrdV1:scaffold_118:85843:86784:1 gene:Ccrd_016432 transcript:KVI05260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MKPXLISGLLLAXVLLVSHYSVAAXRFDYPTAKLSTTWTNAKSAPHSVSFTDGSTVRAILLRGSYGPRFACGFYCNGTCTSYVFAIFIVQTNSXSGIVXPAIGFPQVVWSANRDHPVKLGATLNLTXAGELVLXDADGSRVWSTKTAGKSVAGMSITDKGNLVLFNAKNTIVWDSFNYPTDSLVPGQKLFQGQKLIASVSPTRWSKGSYSLQVTNQGLFAYIESKPRRVYYRYLINGPDRSKERSYIRFXNGSLALFVHSAEPSRPDGSIRVPRASSAQYMKLMSDGHLKVWEWQQSGWRVVADLFGXPRRSP >KVI05254 pep supercontig:CcrdV1:scaffold_118:275904:277570:-1 gene:Ccrd_016414 transcript:KVI05254 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MLNPIARNLTKLWSGVAAARPAINHQWRSYGLIPMVIEHSSRGERAYDIFSRLLKERIICINGPISDDTSHVVVAQLLFLESENPSKPIHMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGAKGERRALPNATIMIHQPSGGYSGQAKDMTIHTKEIIRIWESLNGLYSKHTSQPVDVIEKNMDRDYFMTPEEAKEFGIIDEVIDERPLTLVTDAVGSEGKGKGPE >KVI05247 pep supercontig:CcrdV1:scaffold_118:495106:499416:1 gene:Ccrd_016393 transcript:KVI05247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MDAFVSSMPMFLRAPLLLPSWQDGCYLNSDFLVTCNRSSGEPILFLGESETNLVITNISTSESEMEIMMFVARDCYNSSGPVQRTSSFLRSGDFWISTKNRFIAVGCDTNAYISGRRRNESGIGTGCISRCSSNIGITNGSCSGVGCCQVEIPQGMRSFDIRLRSYDNHTNILDFNPCSYAFVVEQEKYNFSTNHLLDFVSDQGSKMPMLLDWAIGNQTCQIASKEPDTFLCKGKGQYCVDAYGHPGYRCRCKDGYEGNPYIEDGCKNINECERKDPRLCQHECIDLDGDYECRCPKGYSGGDKKDGTGCTADESLVIKIVAGTLGAAIFLLVFIAWLYLGLKKRKLLMLKEKFFRQNGGIMLQQRISGEGNSHHDQAKVFTIEELKRATNNYDETRIIGKGGFGTVYKGVLSDKRTVAIKKSKLVDQTQSQIEQFINEVVILSQINHRNVVKLIGCCLETEVPLLVYEFISNGTLSDHVHNESRSLALTWDIRLRIATETAGALSYLHSAASVPII >KVI05235 pep supercontig:CcrdV1:scaffold_118:144280:145943:-1 gene:Ccrd_016427 transcript:KVI05235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNKGVNKWQKPLTKLRTIQLPLLITIIALMFVIVILGIDRKKPTSQFTVVNQIKNNLDESMVQFNPTVDFLNGTDIIWQIPNSPKSVLFLAHGCSGRAANFWDKSPNCAHCIGLPEERLIVLKALARKFAVITVSSRGKCWSLGKETLVVEGIIKWWVEKENLEKLPLVALGASSGGYFVSMLASKMKFSSIALMIAEGVFDRIDDTKNYPPTIFVHMPKDKLRKKAIDVNLEVLRSEGIDVAAVECMELPLSPNFLADRIPGLDLKISVELFNLFKEREFVNEEGYLVNDGRVIPWKAAMNEKKIQLPDKLLVKYIHEELNLAFAYHEMTSLQSDQIFDWFESHFR >KVI05257 pep supercontig:CcrdV1:scaffold_118:243390:246782:-1 gene:Ccrd_016417 transcript:KVI05257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MEEESSSRSLLLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKVCLCLDRRSHATTSLLSPKLAQWQGPALLAYNNGVFTEDDFASISRIGGSGKQSQAWKTGRFGVGFNSVYHLTDLPSFVSDKYVVLFDPQGDYLPNVSTLNPGKRIEYVSSSAISRYRDQLSPYCAFGCNMESSFPGTIFRFPLRNEEQASRSKLSKQAYMADDVSSMFVQLYEEGVFTLLFLKSVLCIEMYVWDTGMPEPRKTYSCSISLADSDTVWHRQTLLRLSKSINSSEYKMDGFLLDFLSEDISGDQSQKRVDTFYIMQTMASGSSRIGSFAASMSKDYDIHLLPWAAVAACISDNSSRVMVISSKMAGHFVSSPCQ >KVI05259 pep supercontig:CcrdV1:scaffold_118:210865:216817:-1 gene:Ccrd_016419 transcript:KVI05259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase MRSCINHRSAPFFTFPAIILHKIPVFLVKSTHNMASSKAITDFFPPAKRSKAASSFEPSLKRNFLDVPQKPTTDAVPSSLPESIQDDEPTTVQTSPSSTLTAEQKLKVQFNQSLAKAKRNLRICAERVSNSTSGEGLRFLKLQELLVEETWLEALPGEFQKPYAKKLSEFIESEIQGPIPIYPPQHLIFNALNSTPFDKIKAVIIGQDPYHGPGQAMGLSFSVPQGIKVPSSLVNIYKELHQDLNCSIPSHGNLERWAIQGVLLLNAVLTVRQHQANSHAKKGWEQFTDSVIETISKKNKGIVFLLWGNYAQAKSRLIDETKHHILKAAHPSGLSAHRGFFGCRHFSRVNRILEEATTPPINWQL >KVI05275 pep supercontig:CcrdV1:scaffold_118:331997:333420:1 gene:Ccrd_016409 transcript:KVI05275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MVDAPSSVKVPQTPLTPQATGSKTLFMGNLSFSIEEADVRHFFKDAGEIIEVRFAVRDDRFAGFGHVEFATVEAALEALKLNNEMLLGRPVRLDVAKERGAYAPGSSNEKPYQKGQQAQGTVYVRGFDASDSFENIRSALEKHFGNCGEISRMAIPKDYESGASK >KVI05265 pep supercontig:CcrdV1:scaffold_118:54861:59914:1 gene:Ccrd_016434 transcript:KVI05265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MVILFSQAGSSTRRKMLSSVQNPRFLLIQCRRSKPFHNRYHQYPKSIHATSQVQSVSTSLPTSQIQKIQKSILSREKTARQFAEEFLNRLRLTEPHVKSFLHVSQTVLNEADEIDRKIDRNEDVGPLAGVLVAVKDNICTADMPSTAGSKILENYRPPFDATAVRKLKEGGAIIVGKTNLDEFGMGSTTEGSAYQVTANPWNLECVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRYGLVAYASSLDVIGCFGSSVADAGILLQAICGHDKLDATSSKREVNDFTSQFVAKDIFESQPLKGLRVGVISETLGDGVDQEVVSSIRGAISHLEELGCIVTEVSLPSFSLGLPAYYVLASSESSSNLSRYDGVRYGNQIVSDELSSLYGGSRANGFGPEVKRRILMGTYALSAGYYDAYYKRAQQVRTVVQKSFKAALDEHDILISPAAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVKNGTASLPVGFQMIGAAFDEEKLLRVGHIFEQTLEGCSFIPPLIADEFKC >KVI05240 pep supercontig:CcrdV1:scaffold_118:181299:186561:1 gene:Ccrd_016422 transcript:KVI05240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B MGWIGEQIDSVKSMQFRQLFTQAISLGMIVTSALIIWKGLMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKEPIRAGEIVVFNVDGREIPIVHRVIKVHEREDTGEVDVLTKGDNNFGDDRLLYAQGQMWLQRHHIMGRAVGFLPYVGWVTIIMTEQPLIKYILIGALGLLVITSKE >KVI05266 pep supercontig:CcrdV1:scaffold_118:3093:49913:-1 gene:Ccrd_016435 transcript:KVI05266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein SVYGTLISWTRGIDLDSAQFYDLESDLNTGKTANNERLGFQRDPSFSGWCDKDGIYSPIGLQEDDTVNTSEQDDNFELPVLQEVGLRSEHQAGGKGQHSQSQLHGSDKTDMVSTPSPRRGNKNENHASFDIEYAYDRELDSPNTNFAYKHEAVRSSSNSPFAISDILKTLFFILMWYIFSTSLTLYNKTLLGDDMGRFPAPLLMNTVHFTMQAVLSRGITYFWSQRSEPTIAMSWKDYFMRVVPMSLATALDVNLSNASLVFISVSFATMCKSASPIFLLIFAFAFRLETPSVKLLGIILIISFGILLTVAKETAFEFWGFIFVMLAAVMSGFRWSMTQILLQVLHMFNSNYGFCNDQKEVYGLKNPLKLMSYVAPVMAVATALFSLIMDPWDEFRKSSYFDSSWHITRSGLLMLLGGTLAFFMVLTEYILVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGVGLFTIIFGVSLFNWYKYQKLQNRIVSEDDTAESGVPAKYVILEDTEDMDDEESTMKPSLISGLLLAFVLLXSHYSVAAHRFDYPTAKLSTTWTNAKSAPHSVSFTDGSTVRAILLRGSYGPRFACGFYCNGTCTSYVFAIFIVQTNSASGIVAPAIGFPQVVWSANRDHPVKLGATLNLTPAGELVLRDADGSRVWSTKTAGKSVAGMSITDKGNLVLFNAKNTIVWDSFNYPTDSLVPGQKLFQGQKLIASVSPTRWSKGSYSLQVTNQGLFAYIESKPRRVYYRYLINGPDRSKERSYIRFXNGSLALFVHSAEPSRPDGSIRVPRASSAQYMKLMSDGHLKVWEWQQSGWRVVADLFGXPRRSPYQKLQNRIVSEDDTAESGVTAKYVILEDTEDMDDEESNGNIILQLLFTNSTCQKELLMISTNGSRSLVAVFYFHDEFTWLKGVGLFTIIFNWYKYQKLQNRIASEDDTEESGVPANYVILEDTEDMDDEETTRYDLQMYTHNENAGKDCGSGIVAPAIGFPQVVWSANRDHPVKLGATLNLTSAGELVLRDADGSRVWSTKTAGKSVAGMSITDKGNLVLFNAKNTIVWDSFNYPTDSLVPGQKLFQGQKLIASVSPTRWSKGSYSLQVTNQGLFAYIESKPRRVYYRYLINGPDRSKERSYIRFSNGSLALFVHSAEPSRPDGSIRVPRASSAQYMKLMSDGHLKVWEWQQSGWRVVADLFGAPRRSP >KVH88304 pep supercontig:CcrdV1:scaffold_1180:116150:118753:1 gene:Ccrd_024175 transcript:KVH88304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEIMKDSKPQSNHNKVFTSSQVMEELKELLSMAIPITAMNCLVYLRAVVSVLFLGRLGSLELAGGSLSLGFTNITGYSVLVGLASGLEPVCSQAYGSNDVNKWEQLSASLLRMIFILLMAIIPIGLLWVNLGPIMLWMGQDGAITSTAATYCMYSLPDLITNCLLQPLRVYLRSQGVTKPMMWCSLVAVAFHVPLNYVLVVVTGLGVSGVAMASVLTNLHMMVLMAGYVWVYGRWRWTWKWTDGMSALLRLAVPSCMGICLEWWWYEIVTVLAGYLANPQLAVAATGILIQTTSLMYTVPMALAGCVSARVGNELGAGRPNKAKLAAMVALSCAFLVGFINVIWTVVFRYKWSAIFTHDHMIQSLISSVMPIMGLCELGNCPQTIGCGILRGTARPAVGARINLGSFYFVGTPVAVGLAFYFNVGFIGLWIGLLSAQIACAVSILYSVVVLTDWEAEALRAERLTNSVLVFEMVTEHEDEKGFMVIS >KVD98149 pep supercontig:CcrdV1:scaffold_11805:62:1240:1 gene:Ccrd_024176 transcript:KVD98149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant VRDLNPRAFNPRVVSIGPLHRNDENLLPFEPQKAIFLRDLLASSPQHTLETCVHGVNASIDRIRASYEDGMKSYSDDEIARMMPSHPPQSDFSNSTIHSAVELDRAGVNFKPHHQDPKWCLAIELESPTSVCFSWKWPWAWGKPTLRMPVLCIHDETELVLRNLIAYEQFCPQVRNYITSYAVAIDMLINDQEDVAXLXESKVVXNSIGSNEEAATMINHICKESSFVDFFYPKEWQELDTYYNGYWPRNLAWLRRTYFSSPWNMIALLAGILLFLLTVVQTYFTINPV >KVH92206 pep supercontig:CcrdV1:scaffold_1181:122690:127204:1 gene:Ccrd_005761 transcript:KVH92206 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein MSESKKTSSPYVVLDNRSLDQWKVTELKEELKRRKLMTKGLKDDLVRRLDEAVRSEVEEANQNHDIGVNDITQPEVPSDDATVETPVAEKTISIMDNSSANNESLKEEKITETFDKNVSLEKDSLAEKMDSDGSLEKDGLVEKLDNNESSEKHNITENLDDKNRINRDVPVENDVLQLSAKKLVTEGEHGIVSTGIEGFQVLQEVSAETSVLVSEGMVSEELGQQDLQNVEINHEGEVSNLQPEDGESKPESQSSKEEVKPNSSHIGGQVVEVSLVKSDSISIDTMSITEKIELKDNVIADDVKLELDVKLEMVQPSSSKVVLDDAKTESVVVDEPHEETFTTEEKDVNNAESINICKKNDSDDVGSPEKLNLDRSSGDDSMEEDALESKQIDSKFNSQDLGDISKKTELPLVKEDEPVDVMVEDTPAAKNTKSTKDNSDPSVVPIKRKLRDQEAVTNVEVVKRQRRWNSESLKVPEQHSTNPSLSTTPKDAFQASMKHSFSRSDSSVSHEEPKERVVPPSSKPPTTSLRIDRFLRPFTLKAVQELLGKTGTVVSFWMDHIKTHCYVTYSSVEEAVETRNAVYNLQWPVNGGRLLLAEFVDPSEVKSRTEAPLPSPRTPVTPTLPQPTPAAAQPPQQLPPPPPLPLPPPPPLSLPPQSREPTLPPPPPLHEPPIMTLDDLFRKTRATPRIYYLPLSDEQVAAKVKGQGKTAKQ >KVH92208 pep supercontig:CcrdV1:scaffold_1181:1503:4624:1 gene:Ccrd_005758 transcript:KVH92208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLMYNLSILPVGFEFPIPAPHALIRRRFKFQALKMHYHRSYQMTIQAPLKIISQDNDSSSQYDIGYGSVQGTFLLPVRILSLKIIFPIPFSIHRASTDSIRDRLHLLRIELPTEDIKFQLDIRMEPGCFRYRWASVCKNGF >KVH92205 pep supercontig:CcrdV1:scaffold_1181:89381:105474:1 gene:Ccrd_005760 transcript:KVH92205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MNSSSTQYLNSKRMDVYEPMHQFSMWGDFKGNIYQDASATMIIEVDAKLDNQSEDTSHTTIGPSNQCDQEASKPGDKVLRRLAQNREAARKSRLRKKQLEVSRLKLLHLEQELEQTRAQCALMSGGVNVCHLGFPGGANSVTPAGIAAFKMEYERWVEEQNKKTNALKTALHSPLPDMELHILVDDTMNHYANLFTIKANAAKVDVCYLISGVWKTSTERLFLWIGGFRPSELLKVLVPQLDLLDQQSHDLSNLIQACQQAEDSLSQGMEKLQQTLAEAVAFAHALGGGCYEMTNAMDKLEELVRLVIQADYVRKEALQQTIRYLTTRQAAQGLISLGEYFQRLRDLSSAWAMRLCEPA >KVH92207 pep supercontig:CcrdV1:scaffold_1181:24927:27364:-1 gene:Ccrd_005759 transcript:KVH92207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFLQKKKKWTLNGLRFWMKTEISTNVGWLPKIQLKVIFHGGLSCIAMVDARSKKVEIFLYGYRSDIPSGCQGTENKYVDYVELEKTKVLSMVPNGADLVLKW >KVD98148 pep supercontig:CcrdV1:scaffold_11814:995:1323:1 gene:Ccrd_024177 transcript:KVD98148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate-sensitive fragile site protein Fra10Ac1 MASFGSIRSAVFDREEKKHQYQAHILGLNAFDRHKKEDYRFIRSEEDDMDSSWEQRLVKRYYDKLFKEYPLEVGFMF >KVD98147 pep supercontig:CcrdV1:scaffold_11826:620:1129:-1 gene:Ccrd_024178 transcript:KVD98147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATGFFSSDHFTNPNTFIKILILSFFSLSLFFAVKHFSSDATYSQELSLPFSSSITNATTAVHVAFNSTTNSSPNSSSPPPNAVSPPPPRPPPENVVERTGIVDENGAMTNXFVIGDYDSSLLENEVDESSVERGGESDHKWEKIEKFRVCDESRREYIPCLDNVDVVS >KVI01534 pep supercontig:CcrdV1:scaffold_1183:123543:133906:1 gene:Ccrd_020192 transcript:KVI01534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MSSIYVSEPPTKGKVVLHTNYGPLDIELWPKEAPKAVRNFVQLCVDGYYDGTIFHRIIKSFMVQGGDPTGTGTGGESIYGGPFGDEFHSRLRFSHRGLVACGGTSNSNGSQFFITLDRCDWLDKKHTIFGKVTGDSLYNLLNLGEIETDKDDRPVDSPPKILSIEVLWNPFDDVFPRAAPAKSLTSTTMETSNKDTKKKATKKLNLLSFGEEAEEEEKELAAVKTKIKSSHDNPSEEKARRDLQMSVRGALSSKKEELLKESDADSVDNSDDDEANFDARMRQRILKKKKELGDIPSKQKVHKERSMPRSQEKSPPRSKAESPDDRPKVDKLFLKKKGIGSEVRAERTANADADLQLLNEHERERQLQKQKRRRRQGREEDVLAKLEKFKSSMFTQPAAPNGESKGKSDEDLSDWTNVKLKFAPDKDNMSRRNDPNDYVVHDPLLEKGKEKFNKMQAKQKKREREWAGNSLT >KVI01535 pep supercontig:CcrdV1:scaffold_1183:34416:67236:-1 gene:Ccrd_020193 transcript:KVI01535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNLSDSSPTIKIMIHTSSHENDGSLGMPNPPMNQVAVGIDDDKHSPHAVRYAIDNLMFNNSSILLVHVKVPRFNSHVSAGNGKYESSDFETQQLFNRFRTFCTLKQIPVTNVLLIDNDVPKAVVAYVRENKIENLVLGASTRSGIARKFKSSHVPYTIMKRVPDFCSVLVISKGKVVERRLATRTLVNLSAPPKLSTSSNLEEDRPAPMSGDHKNSPNTNRPQKSTGTVSTENIELPKGGKSPSALLGVEIPATVPFGSMDLIGHDLDFNLASNFNESRSSTSSSPSDIEADMRRLKLELKQTMEMYNEAYKEAIAAKKQASELHQFQMQDACRIEQARLSEEEAAKIVEMEKAKCKAAMEAAEKAKKLAEIEAKRRKHAELMAEKAKKQSNNHVFYRKYTIEEIEEATNNFEPLLKIGEGGYGPVFKGKLDHTPVAIKVLRPDAAQGEKQFQQEVEILSRLRHPNLVLLLGACPEYGCLVYEFMNYGSLEDRLLRKGNSPSIPWETRFRIAADIGTGLLFLHQDKPEPLVHRDLKPGNILLDCNYVCKIADIGLARLVPPSVADSVTQYYMTSAAGTFCYIDPEYQQTGMLGVKSDIYALGVILLQIITARSPMGLTHQVGRAISKGTFADMLDPTVPDWPVEEALRFAKLALKCAELRKKDRPDLGSCILPELDRIKDLGMSSRLSKDDNHRPVPHSRDPSPRESSPYSYQSSLPAPLMGVLYKVTKQVSTISFSPPPPPSTIHHPPPPPLLISTFSKVLPCKLMEQLHTLAFCFLLVFIFAGVASSPTPDANLLCISECGTCPVICSPPPRPVESKPPPSPVTSKSPPLPTPVDSEPPPYLTPIYDATPPQSYSPPTPPPPPRPRTKSCPPPSYITMGTNAPPPPPKLVVVPSTQIPAGGGIGQKNYSYPYYYFYASKGVAFSLEFSGFLIVSFHIIFLFFG >KVD98146 pep supercontig:CcrdV1:scaffold_11837:519:1508:-1 gene:Ccrd_024179 transcript:KVD98146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase MDSLQEQAILVEKVCHFYDKISKLETLKPSKNVDTLLTQLVLTCIPPSSINVSTLPTNIQEMRSKLVRLCGEAEGHLEAHYSTILASFQNPLHHLHVFPYYSNYLKLSLIEYNILNQHYSAQSGPPKRVAFVGSGPLPLTSIVLASHHLKDTTFHNYDIDPLANSMASCLVSPDPDLSRRMIFHTTDIMDVTDELKDYDVIFLAALVGMHINEKVKVVQHLAKYMEPGSILMLRSAHGARAFLYPVVDPEHLQGFEVLSVFHPDDDVVNSVVISRKNPVTPNIDHRHHHHHQLGIESSIMPSSCKYCEFQMFNNPLNQMSMIEELGTDD >KVH91285 pep supercontig:CcrdV1:scaffold_1184:5167:8620:-1 gene:Ccrd_006694 transcript:KVH91285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrrolo-quinoline quinone beta-propeller repeat-containing protein STGWTNHGGDLKNSRYADGETKISPETVANLRLKWKFVAGKDISATPAIYNKTVYFPSWNGKIYALNESDGSVIWQHDIGELTGLKSTGLVFGVNETVSRSTPTVADDLLIVGIYGPAYVVGLRRSDGELVWSTQLSTHNASIITMSGTYYKSAFYVGTSSLEESSSIEACCSFIGSFSKLNANNGSILWETYMLPDNNGSIDEYAGGAIWGSSPSIDIHRNAVYIATGNMYSAPLNVTQCQEAQNNQTTPTDNDNCIEPDNHSNSVLALDLDSGEIKWYKQLGGYDIWIFACNNVSTSGCPPGPNPDADFSEAPMILTIDVNRHKRDIVVAVQKSGIAWALDRDNGSILWSTEAGPGGAGGGGFWGSATDGKTVYTNIANSLRSTFTLKPSNIATNSGGWVSMDAASGNVLWSTANPSNATASGPVSLANGVVFAGSTNGTGPIYAISGATGEILWSYDTGATVYGGMSINDGCVYVGNGYKVSFGFFDPTYTPGTTLFAFCVLP >KVH91284 pep supercontig:CcrdV1:scaffold_1184:24959:31112:1 gene:Ccrd_006695 transcript:KVH91284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEKSEQLRSVASDTRGKHRISAELKRLEQETRFLEMVCKFMSVFHGNALLLIGRMGRRIHRGIDGSRDLKISQVADAGYCNNILRKFYLISPCDGVIVQSRYRYREQSSLPRSEGKRHPNR >KVH91283 pep supercontig:CcrdV1:scaffold_1184:61670:65081:1 gene:Ccrd_006696 transcript:KVH91283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MSDNKGDKPPKKQHSTPSPKDNPPQESLEEPPVVVPGAPTFLTPPPPQPPSQLYISTEPLNPKRPRYTSATGQWKLLPSPSQKQISINVVGSEKTPSPSSNQPPTHGAVAAASSSDTASSPSHSPRPSVSGQETSKPEGEQQYTQHQLRKGKYVSPVWKPNEMLWLARAWRIQYQGGGGSSGSDHASENPITMEANLIPPAPPSQLQGRGKTRAEKDREVAEFLQRNGVNRDAKTAGTKWDNMLGEFRKVYEWERGGEREQIGKSYFRLSPYERKLHRLPASFDEEVFEELAQFMGSRMRTPQNSICRGTSPFLLTSGSGGSGDQETRLAVLDAKSFPPPPFRDDDLPFSSSGRAGRHLIMAGTEGYLHGVRGSLLGIEGSPVDVGGVGQYETSSTSTSKELRRIGKVRMVWEELVSLWAEDGEHHRGRVKVQGTSFLNADELTFLDDSMVACTLESFEDGNSPLKGFSVDRFVPGQQVKVFGRRKSSFSAPHPSGTGLITERTQPPSEPSIRSIPPWEFQDPTDYYLGCLRVQPPTLPSLIDLPWYLQEPPSEELRFPLRKDLYRDLPQGKELFFTTSSEPLDCRSITFEILSPIARSNPTLTTSTRESFIGLWDDCINRVVSKFSNLEMVCIRKPPYPPSSNDGIQDQWPNVTGFVRNFCLWRGEETDKLREDNNLDPSSLMVQKMLWSYLDLPYILGYYTVGYIVTFCALSRTHDRLIRTDLHTVDLSTPGDRIKAFVPCWRIAGLLSLLADRCANFQQISKAFPYSDFERIDLGNGTVIELTPNYMTRVFSSKRKWVTVKEIYDFLDHRIPHSEYIFQSSERDLSLSFKPRGCKLKLSNFEQLVEALKHVTKALVALHDLSFMHRDLGWDKVMQRSDRENEWFVIGFDEAASAPQIYPPQQEVGVAAATTVGGGGGGDRQAPEMKGRGMHGVKVDVWGVGQMLKTCGLVGLPKALRELQNRCLDQNPEQRPTAADCYHHLLQLQSSMSAAAGDY >KVH91288 pep supercontig:CcrdV1:scaffold_1184:118436:120333:-1 gene:Ccrd_006699 transcript:KVH91288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MAIDCMINTPSSVSSIKEEHKEDQQRSLVFDASVLQHEANIPQQFIWPDHEKPNSQKSEALEVPLIDLGGFLSGHSSSANEASRLVGEACQKHGFFLVVNHGVDANLISDAQRYMDLFFELPLSEKQRAQRKSGESCGYASSFTGRFSSKLPWKETLSFRFSAEKNSSNIVKDYFNNTMGKEFVRLGNVYQEYCNAMSRLSLVIMELLGMSLGVRRAHFKEFFEENNSIMRLNYYPPCRKPDLTLGTGPHCDPTSLTILHQDNVGGLEVFVDNEWRSITPNSSAFVVNIGDTFMALSNGRYKSCLHRAVVNNKSPRKSLAFFLCPKKDKVVRSPDELVDENNPRIYPDFTWSTFLEFTQKHYRADMNTLQAFSIWVQQKTSRT >KVH91286 pep supercontig:CcrdV1:scaffold_1184:1828:3389:-1 gene:Ccrd_006693 transcript:KVH91286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKISKQAASLVRPNQFKVLKLDSGIDSSPTSVGTFCSNSGSIRKLLFEEITKLDDALNMFDEMTQRQPLPSVVKFTRLLQAVTKMEHYSCSIDLFKQMVAIGVPVDVYTTTIVIKCFCQMSCTNEAYGFKRGVVPNVITFSMLLSGLILEDRILEVERLFKKLIREELCEPDVVVYNTMIKGICKFGSNNTYIGLLRLMDERGCKPNVFTYSTIIDSLCKDKMVDDALKLFNEMTLHKGILPNVVTYSSLIRGLCNLGRWDEVCKMLKEMEEKRIYPNAVTFSTLLNGLVIEDRIFEVERLFKKLIREEICEPNVVMYSIMIKGLCKFSNNDAAIDLLRLMDERGCKPNVFTYSTIIDSLCKDSMVDDALKLFNEMILDKGILPNVVNYSSLISGLCKLGCWDEVSKMLGEMEDEGISLDVPIFNILVDSFCKEGIVEDAEAVMNIMVKRGNDPDVVTYTSLLYRYCKNINITKAMHMFDEITEKVWNRM >KVH91287 pep supercontig:CcrdV1:scaffold_1184:1295:1648:-1 gene:Ccrd_006692 transcript:KVH91287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGDNNLNSDIFVYTILVNGASRCRRLDIARDVFSYLSAKGLQPDVRTYNAMINGFCREGLMSEAKDLFLVMEERGCLPNSVTFNVLLQGFLKSQLHDEVEKLLLQMKERGFSHDATA >KVH91289 pep supercontig:CcrdV1:scaffold_1184:117858:123766:1 gene:Ccrd_006698 transcript:KVH91289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYTVSIVFVLACQRGRESTPDEMDVVSSSAGLLLNPDGESLEGIHVSSVMLLSELEKGRPCKIWIYPRSLTMLDEFFSAENRKESVSFQGSLEENLPKSTSQWRFGVSSGSLIVFYHCKNSIISIVLCKGFELKGEISAVGTSGGGPPLKDWSSLADLNGQLISVGLCATKSSASWGVGLSYQFGSPVGSAAVYLFWKFHQNAHSFEHIILPPCPNIHQPPPFPSDYRKDSLDLDVGFTGFLLAFGSYQLFFICMLRSISSQINIDDLEVQANWGAINGVCRTTINQRRSDVQENHKHVHRKDLFVKLFQYLIADVDDDPTSLD >KVH91282 pep supercontig:CcrdV1:scaffold_1184:81394:82380:1 gene:Ccrd_006697 transcript:KVH91282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MSDHQSPAGDNQPFIVLNPDGSCTRFISFPCSPSTPDQDSNNPVLSKDVAINDNLCKTGVRIYLPKETLITSVGKLPLVVYHHGGGFVLFSAATTPIHNFCNHLAAHLAAVVVSVDYRLAPEHRLPAAYDDGMEALHWIKSSKDPWLTDFADFSDCYLMGSSAGANLAYHVGVRASRQLHDLEPLKIKGVILHHLFIGGVERTGAEIRLADTGGMLTLSRCDAMWDLSLPVGSSREHEYCNPMAGGGLDDVMGGMKKVGWRVMVTGCYGDLLIDRQMGFAKMLELKGVENECFLGEGYHGIELYDELKANELFDAISDFMSSITIHKH >KVI00798 pep supercontig:CcrdV1:scaffold_1185:75001:79987:1 gene:Ccrd_020948 transcript:KVI00798 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MSKVLVGILKAVEAKLRKSQASAKKGAISIFTTMSVAHVDDEASDADVCQTEKIFPNGDIYIGQWATDYPHGNGKYLWPDGCMYLGEWYKGKIRGKGKFSWPSGSTYEGQFKNGYMNGGGTFTGSLNDTYRGSWVMNRKQGKGTQSYANGDHYEGEWRKGFHDGQGRYHWSSGHQYIGQWKKGKMNGNGTMIWANGNRYDGSWEDGLPKGNGTFHWQDGSFYAGVWSRDQKEQSGKFYPSTSQDPHDDWDPNQLFSVEMGECLICEGENISIFPSDKTFSWSSGEGKPPRKNSSGNDTKGRRVSVDGRLSNCDTLTSEGNSGNGLFSGSRKIRTQPTKRQGVTICKGHKNYELMLNLQLGIRHSVGRPAPTKSLKLKPTAFDAEQKLWTKFPPEGSKHTPPHQSCEFKWKDYCPLVFRTLRKLFNVDTADYMLSICGNDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLKRMLPAYFEHVKSFENTLVTKFFGLHCVKLSGPIQKKVRFVIMGNLLCTEVPIHRRFDLKGSSHGRITDKPESEIDANTTLKDLDLKFIFRLQKDWFQEFCSQVNKDCDFLEQERIMDYSLLVGISFQDTEATEGKDEPDCIRTSSISSTDVDLNPTRWASVRLGINMPARVEFTVRSHETQLVGEPTGEFCNVILFFGIIDILQDYDISKKLEHAYKSFQYDSTSISAVDPRLYSKRFRDFIFRVFADDS >KVI01692 pep supercontig:CcrdV1:scaffold_1186:29572:31458:-1 gene:Ccrd_020029 transcript:KVI01692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MYLIGETVGEVKAVAHMNQRKAEMAKHSDAFIALPVDIISGIEFDKIGNHLATRDRGGRVVLFEKLIE >KVI01691 pep supercontig:CcrdV1:scaffold_1186:28793:29419:-1 gene:Ccrd_020028 transcript:KVI01691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MDCSSSRHPEFRYKTEFQSHEPKFDYRKSLEIEVKINKIRWFQTANSAIFLLSTNDKTIMFWKVQEKKIKQISNLNVEPSRPMSNGFISSLNVPTSIKACSANGA >KVI01693 pep supercontig:CcrdV1:scaffold_1186:102511:104597:-1 gene:Ccrd_020030 transcript:KVI01693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGVEIGNLRTGGGGGRSPHAWPIIIDFGQITTQWPESHHRSLRRDLPLRRPGLSENEVHYDSAHFFTHMPFSNLMLYFLQNENVGCVQSELRCPQRVGGIIADPQTDWSFDELLSEIIAVDQRFQASSLVSLPFTKTHSWYHIPSCSDLSVVSHAGKNRKSFVMHVSMMKVTMMMREIIADPWPWVVGLLARNDDADFDNKSTTGAQWFLMDEGGVIEGALIELSHEHQITVAKKRNLPMPFPELKRARRHDVKALDNHLTDIQRHHEYKS >KVH97135 pep supercontig:CcrdV1:scaffold_1187:34211:42031:-1 gene:Ccrd_000766 transcript:KVH97135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex, subunit 4 MASSPSATEEEETYNSSSSVKFGTAEALEHVQELTDVGAMTRLLHECIAYQRGLDLQLENILSLRPDLDKHLSFLQKSADVLDIVKAESDHMLSNVDSTCILADQVSSKVRELDLAQSRVNETLLRIDAIVERSNCIDGVQKALDNEDFESAANYVQTFLQIDAKYKDSGADQREMLFASKKQLEAIVRRRLSAAVDQRDHPTVLRFIRLYSPLALEEEGLQVYVSYLKKVISLRSKHEFEQLVELMEQNQSQVNFVACLTNLFKDIVLAIEENEEILRSLCGEDGIVYAICELQEECDSRGSLILKKYMEFRKLSKLTSEINSYKTDLTAAGDEGPDPREIELYLEEILSLTQLGEDYTEYMVSKIKGLSHVDPELTPRATKAFRSGNFSKVIQDITSYYVILEGFFMVENVRKAIKIDEHVMDSLTTSMVDDVFYVLQSCCRRSISTSNINSVIAALSSAVSLLGGEYNDALQQKMREPNLGGKLFLGGVGVQKAGIDIATALNNMDVSSEYALKLRHEIEEQCAEDHAKKFFYQMLYILYSSSWMGSSHGLPKSSSDVRLKMWLKPSCDRERIKSCLSEFGEMSNGFKKALVAGLEQLVGTMTHRIRPVLDSVASVSYELSEAEYAENEVNDPWVQRLLHAVETNTGWLQPVMTGNNYDSFVHLVIEFIVKRLEVIMMQKRFSQLGGLQLDRDVRGLVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGMRVDFKPEAIAALKL >KVH97133 pep supercontig:CcrdV1:scaffold_1187:15390:16854:-1 gene:Ccrd_000764 transcript:KVH97133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGSMANTNWPPYNGYRDCSQGICSMYCPQWCYIIFPPPPPFQSQDDDGSGMNFSPLIIVVIGILASAFLLISYYTIISKYCKRRSGHQLNPSSQDSDHRNQSSTTHDQWQHAATGLDESLIKSIAVCRYRKGDGVVEGSGDCAVCLCEFQEGESLRLLPKCSHAFHLPCIDAWLRSHSNCPLCRSHVMPGIPVPFRRPLESEITSSNLHVSSLVFQRRNDLVLVVEETPSGDHRDEAVVVNVVSNEILPKYPFHDQLHFCNNLDPITNGR >KVH97129 pep supercontig:CcrdV1:scaffold_1187:139817:141041:1 gene:Ccrd_000773 transcript:KVH97129 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MGKAGKSPGTSSVFERVLRRRVHETFGNATPSFDEIVDRLRATFPEYGGHKLQPFTRMVKQALDSSNSKDKNKSRRNDDDDRRSIFNDDDDGTSPSLRSPASKKAKKIDSREQRLQMLEMKHVARRRIKLQSTSESSXSSSSDVEEDRSAVSTSDNEVYSLQFEPEFDLTKSMLRNKYSGSKIDGKVDEKRKNVELEVVTNSNNKVNTKVDLMKEDRRVKPVVKAKAQKQKNLSNDDGVDDNGKDDGPRFKDLGGMDVVLDELKMEVIVPLFHPQLPRSLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFFKISTTELVSGISDSFNIENLSLLTMVTLLV >KVH97132 pep supercontig:CcrdV1:scaffold_1187:27764:33105:-1 gene:Ccrd_000765 transcript:KVH97132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MGIFGGLGLCSFSSLILTANDVVPLLFVDQPVRYVPSTVDRLAPSLKHNRVHSGFKRVSPPPPDCSESVIRVSNNITHLGRPKAGYKPRQLLSLPPFPSHPLPGKNVSPDSHTTAISWMKYYFADVAGSVIQSHFNKGLVKMECHYAGKQGQTKPVRIKHNEVMKTGSTIYVPVSVAESKISRRFDTIPSGSLCPNADEIEYLQRLDSALLVLNKPPKVPVKGNMAVHNSMDALAAAALCYDYDEGPKLVHRLDRESSGILLMGRSKESISHLHWLFSDAGKTKSSLKAWNDACEATYQKYWALVIGKAERVMLAYGSGLEASQEAVTEYRVLGPTISGCSWLELRPLTHHKHQIRVHCAEALGTPIVGDYKYGWFVHSRWKQMPRTDFEPTSGEAYKMRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNVAKHLEVQNTLKSKTNCKKLLNLKGDVLRFVASMPSHMKISWNLMSSYLV >KVH97136 pep supercontig:CcrdV1:scaffold_1187:116230:121386:-1 gene:Ccrd_000769 transcript:KVH97136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMETPPVGLRWACENLLCGPSAASSDVLESSLVNDHPAVSMSNTEEELLTDDINKKVKPCCTEHFLSRADQDYMEKIRELHDRLDMVMTVFFEEERSFQLKKKKSGFITDDEPPKYLRKEGNGN >KVH97130 pep supercontig:CcrdV1:scaffold_1187:116782:117828:1 gene:Ccrd_000770 transcript:KVH97130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MGEFVKKYPQVLHASVVVELVPVIRFLRGLDVERQDIGYVLVKYPELLGFKLEGTMSTSVAYLVSIGVNPRDIGPMVTQYPYFLGMKVGTMIKPLVEYLISLGLPKKVLARMFEKRAYVIGYDLEEMVRPNVDCLISFGIQREALASIIAQYPQILGLPLKAKLSSQQYFFNLKLKIDPNGFARVIERMPQLVSLSQKVIMKPIEFLVGRGISTEDVAKMIVKCPQLAALQVGIMKNSYYFFKSEMGRPLKELVEFPEFFTYGLESRIKPRYQRLQHKGIRSSLSWFLNCSDQRFEERLYADYIETEIEGPSFVMGGKLELSGDHDQMVSDEEDESDDEKLYRRTVSL >KVH97137 pep supercontig:CcrdV1:scaffold_1187:103886:107741:1 gene:Ccrd_000768 transcript:KVH97137 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 SLQITQLIISSSLSLSFSLSPHTHTHIYIYILYIYIYIVWNLICEMGFEEVGGMSYYVGILLGLVLVLKWVLKSVNSWMYESGLEKKTRERLPPGDMGWPFIGNMWAFLRAFKSTNPDAFMSDIVRRYGTEGVYKSFMFGSPSIIVTTPEGCRKVLTDDDAFKPGWPVATEELIGKKSFVGISYEEHKRLRKLTAAPINGHEALSIYMQYIETNVVSTLEKWSQMGRIEFLTELRRLTFKIIMYIFLSSESEEVREALEKEYTVLNYGVRALAINFPGFVYYKALKARKNLVTVLGKIVHNRRMKREEAQGMTKKDMLDALLDTKDENGKKLDDEEIIDTLVMYLNAGHESSGHITMWTTVHLQEHPEFFQKAKEEQERIVKNMPPGQKGLTLKEYRQMEYLSKVIDETLRLLTFSVMTFREAKKDFEMKGYTIPKGWKAVLWFRSVHHNPEIYPDPKAFNPSRWDDVVPKAGTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYKLERENPECPLMYLPHTRPTDNCVGRIIKVSK >KVH97128 pep supercontig:CcrdV1:scaffold_1187:131944:140202:-1 gene:Ccrd_000772 transcript:KVH97128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex, subunit 4 LIRLRATCFISNICNLCSLLSIFFAFLLAGLRSDGDVPSSSSLNIDRRSSSSFLLDLFLSLLLLESRACFTILVKGWSLWPPYSGKVARRRSTISSKDGVALPKGFFMVENVRKAIKIDEHVMDSLTTSMVDDSCCRRSISTSNINSVIVALSSAVSLLGGEYNDALQQKMREPNLGGKLFLGGVGVQKAGIDIATALNNMDVSIEYALKLRHEIEEQCAEISMFTCD >KVH97131 pep supercontig:CcrdV1:scaffold_1187:122029:130158:-1 gene:Ccrd_000771 transcript:KVH97131 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGKAGKSPATSSVFERVLRRRVHETFGNATPSFDEIVDRLRATFPEYGRHKLQPFTRMVKQALDSSNSKEKKKSRRNDDDDRRSIFNDDDDGTSPSLRSPASKKAKKIDSREQRLQMLEMKHVARRRIKLQSTSESSDSSSSDVEEDRSAVSTSDNEVYSLQFEPEFDLTKSMLRNKYSGSKIDGKVDEKRKNVELEVVTNSNNKVNTKVDLMKEDRRVKPVVKAKAQKQKNLSNDDGVDDNGKDDGPRFKDLGGMDVVLDELKMEVIVPLYHPQLPRSLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATELLWSLSDSFNIENLSLLTMVTLRLVGAGYHVLQGENIGDQGGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRIAKPDDTPKNAKTSDGKPGYVLVIGATNRPDAVDPALRRPGRFDREITLGVPDENARVQILSVLTRNLKLEGAFDLVRISRATPGFVGADLAALVNKAGNLAMKRIIDGRKLELSRESTDTEPNEDWWRKEWTSEEMEKLSITMYDFEVTLSEWFSELCSSVATKLVQPSSRREGFSSIPNVKWEDVGGLDLLRREFDRYIVRRIKYPDEYEQYGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLTKYVGESELAVRTIFSRARTCSPCILFFDELLIELDGADQRKGVYVIGATNRPEVMDRAVLRPGRFGKLLYVPLPNQEERGLILKALAXKKPLDADVDLFAIGRSEACANLSGADLSALMNEAAMAAVEEKFMRCEAATAAAXEGXSVSSPESSLEHTIKAIHFEQALRKISPSVSDKQKEYYHRLSESFKAT >KVH97134 pep supercontig:CcrdV1:scaffold_1187:46744:66072:1 gene:Ccrd_000767 transcript:KVH97134 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGKAGKSPATSSVFERVLRRRVHETFGNATPSFDEIVDRLRATFPEYGRHKLQPFTRMVKQALDSSNSKDKKKSRRNTDDDRRSIFNDDDDGTSPSLRSPASKKAKKIDSREQRLQMLEMKHVARRRIKLQSTSESSESSSSDVEEDRSAVSTSDNEVYSLQFEPEFDLTKSMLRNKYKIDGKVDEKRKNVELEVVTNSNNKVNTKVDLMKQDRRVKPVVKAKAQKQKNLSNDDGVDDNGKDDGPWFKDLGGMDVVLVELKMEVIVPLFHPQLPRSLGVRPMTGILLHGPPGCGKTKLAHAIANETGVPFYKISATELVSGISGASDENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRIAKPDDTPKNAKTSECKPGYVLVIGATNRPDAVDPALRRPGRVPDENARVQILSVLTRNLKLEGAFDLVRISRATPGFVGADLAALVNKAGNLAMKRIIDGRKLELSRESTDTEPNEDWWRKEWTSEEMERLSITMYDFEGSLLTKKESNYMIKHLRKSIVLMKNNLVKRVD >KVI00775 pep supercontig:CcrdV1:scaffold_11879:328:1694:1 gene:Ccrd_020972 transcript:KVI00775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MYDDTRNWANVDGLANNLIFGNEQKSRFLIANCEAQRQFGETSLNDRSSRSHQIIRLTIECSSLYEESRCGRYLLASLSLVDLAGCEAQTNADGTRLKEGSHINRSLLTLTTMIRKLSGWKARLIAVTTTGGISLVIVSVLCYAQVLVTAVVVGLVAHFVCGQLGPAAIVI >KVH89549 pep supercontig:CcrdV1:scaffold_1188:58398:65248:1 gene:Ccrd_008461 transcript:KVH89549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGCSCFGTSHVKRQETSAHRELEGHSLDNIRNFSYKELRLATDDFNKSTKIGRGGFGVVYKGILKDGTQVAVKSLCAESKQGVHEFLTEINTISNVRHLNLVELIGCCVEGSHRILVYEFLENNSLDNALLAPEYVLGGQLTLKADVYSFGVLILETISGRSSSASSWGTMPKAWELYGEGKLLELVDPDLKTYAEEEVIKYIKVAFFCTQATASRRPMMSQVVDMLSRNIRLNEKELTPPGFFQDSNDNKKVSDASTSRQISSFPTTITQLTPR >KVH89551 pep supercontig:CcrdV1:scaffold_1188:108769:118205:1 gene:Ccrd_008458 transcript:KVH89551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRSSSNSRSSNSLTFDDADGFDDSLSQESPQELDNNGDIFSFAFPSQESIKNPWSFDSDPYSFDSSQDSRKLAVLPPRSKKPRTEVNEKKKDKKGKKAKKPVVMFTETSTLMETQEFGEMMEHVDEVNFALDGLRRGQPVRIRRASLLSLLMVCGTLQQRRLLRTHGTAKTIIDAVLGLNFDDTPSNLAAVALFFVLTSDGQEDYLLDSPSAIRFLLKLLKPVTVHAIKDKVASIGSKLVALSKDAPIFNDSKGEESTSAAILHKVQEVLISCKELKPRGGSDSETKRPELNPKWVALLTMEKACLSTISLEETTGTVRKTGGNFKEKLREYGGLDAVIDIIRECHAVMEGWLERYSSPTPESQKIVNLESPMLLLKCLKIMENATFLSNDNQSHLLGIEEDFGYRHVSQSFTKLILSVIKILSAVDCNEIIYISSSIENCSMDQIPSQKTSKKTRTLSHKQTGSSKAVSGVDGHLLKTKAKSSTSKSSSSTSSGLKNGGNHGSWIKLGKKTERTKCTTTFDFEDSQDSFGSEDPFAFGEEENKPSKWDLLSGKKNVSQSQKSSSVVEEDDYGSQSLMVLSQQESSNVERHDSPQVLMNLTNDNSVGCRQIAACGGLEILCALIAGHFPSFSSYLPTFSDLRDKSLLEIDHQDNKRLTDQELDFLVAILGLLVNLVEKDGHNSEITDVIPLLCSIFLANQGAGEAAEEGRQSALNDEDPVLEGEKEAEKMIVEAYSALLIAFLSTESKSIRNAIAECLPNRKLAVLVPVLERFVEFHMSLNMISEETHSTVLEVIESCRML >KVH89544 pep supercontig:CcrdV1:scaffold_1188:11570:15922:-1 gene:Ccrd_008464 transcript:KVH89544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGSMRKSFKDSLKVLEADIQHANTLASDLRREYDGGSVQMRMSYSCAAQFLLFFVYVDGTTTMSTNERKASIREFYGTIYPSLMQLQSGVSNSEDRKQKKICIERRTRSLSCPFCRVSLKRIDPDELWVYVDKKEAIDMATITRENLKRFFMYVDKLPLVTSDSLFNAYESHLR >KVH89546 pep supercontig:CcrdV1:scaffold_1188:33772:41699:-1 gene:Ccrd_008462 transcript:KVH89546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF974 MSSTQALHSLAFRVMRLCRPTFHVETPLRFDPFDLIVGEDMFDTPSAVPSLLLHPHSASMEPSPADLTYHNRFLLHDDSDAMGVPGLLVLPQSFGAIYLGETFCSYISINNSSNFEVRDIIIKSEIQTERQRILLLDTSKAPVESIRAGGRYDFIVEHDVKELGAHTLVCTALYYDGDGERKYLPQYFKFIVSNPLSVRTKETTYLETCLENNTKSNLEIFKPPILLRAGGGIYNYLYALKMSAAPAKVEVSNVLGKLQITWRTNLGEPGRLQTQQIIGNSTMRKEIDLKAVQVPSAIILEKPFTVHLSLTNLTGKKLGPFEVLLSLSDSREEKAVMISGLQRMNLISAKLGVQKITGITVFDTMEKKTYDPLPDLE >KVH89552 pep supercontig:CcrdV1:scaffold_1188:122243:132048:-1 gene:Ccrd_008457 transcript:KVH89552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIKHNYIEVNGIKLHVAEIGSESSPAVVFLHGFPEIWYTWRHQMIAVANAGFRAIAPDYRGYGLSDIPAEPEKTSFFDFVHDTASILDSLAISKVFVIGKDFGSMVGYIFSLFNPEKVAGIVSLGVAFRPPGSRTHLVLPEGYYIQRWQESGRAEADFGRFDAKTVVRNIYILFSRSEIPIAGENEEIMDLVEPSTPLPSWFTEEDLAVYGDLILKDKFEPPKEAPKDLKIEAPTLLIMGEKDFVFKIPGTEEYIRSGAVKKYVPKLETIYLPEGSHFVHEQFPDHVNQLILNFLDCNKQLLQRIQAKMDQIVHKFVEVSGVKLHLAEIGDESSPVVVFLHGFPEIWYSWRHQMIAVANAGYRAIAPDCRGYGLSDPPPVTEKFGFSDLVDDLLAILDSLGIAKISIIAKDSGTRPAYLFALLHPTRVTGVISLGIPHTPFGPPNFLKELPEVASENQEIMDIVEPSTPLPSWLTEEDLSAYGTLYEKSGFLNSLKVPYRSMDEEYNIVDPIIKNPMLLILGEKDYFLKFPGVEDLIKSGIVKHFASNLEIEWLPEGSHFVQEQFPDQVNSLILAFLRKHS >KVH89547 pep supercontig:CcrdV1:scaffold_1188:17934:20160:-1 gene:Ccrd_008463 transcript:KVH89547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRFLASEISDLCLGKPPLRSLPTVATVADAVFALKKSGEIYVSIWSCDHSNSVAACNDTAGCRCVGKICMVDVIVYLCKEENLLHPLDALQSNVLDLVPKVKGQIRHLEPNSRFVYMIFVLFLYVIDLELFSSMEFAASLLDSLLEAIDCILDGAQNLVIPIYSNARRNLRKPQLTQPNSLLCPTLHYGQEFCWLTQEDVVRFLLNSIGVFSPIPTFTIEALDIIDPATLTIHFDDPASSALPLISRSLMDQTSIAVVMKDNRLIGEISPFTLACCDETVAAAITTLSAGDLMAYIDYGGPSDDLVHLVKMRLQERNMTPMLDLMDDYYNPLSSSSSCCSSDEEFGSAKTGCVGRLYPGRRSEAIVCHPWNTLMAVMVQMIALRVSYAWVVEHDYTLVGIVTFAEILAQFRSIAGTR >KVH89548 pep supercontig:CcrdV1:scaffold_1188:73927:80263:-1 gene:Ccrd_008460 transcript:KVH89548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain MSSFDTFSVDGEESTTIPSSGQFDEGYFDNNDSYSNFSTADTPAYEFPAEGEHVPHQSVDSSDPFGFGSHPDPDPYSQPPQTSSPFDSSSIPISNGVSQDYDVGEDADGIFSSDGPVLPPPSEMREEGFALREWRRLNAIRLEEKENKEKELRSQIIEEGEEFKRAFHEKRLRSMETNKLTNREGEKLYVAKQEKFHKEADKQYWKAIAELIPREVPNIEKRGKKDKDKKPGITVVQGPKPGKPTDLARLRHILVKLKHTPPAHMVPPPPPPAATKDGKEGAKDDKVVAKDGKDAAAAAATTAASTETVPAKDAAASNGGVEAPAAPIEQPAA >KVH89545 pep supercontig:CcrdV1:scaffold_1188:1009:9592:-1 gene:Ccrd_008465 transcript:KVH89545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent hydrolase, composite domain-containing protein MESGTWRLLISMMPLILYLLFILYSNHTSQKGQMKNGPVIDYGEAVVMPGLIDVHAHLDDPGRTEWEGFPSGTKAAVAGTSIRREEAAIRELLTVSKDTRIGGPAEGAHLHIVHLSDSRSSLELIKEAKGNGDSITVETCPHYLAFSAEEIPEGDTRFKCAPPIRDAPNKEKLWEALMDGHIDMLSSDHSPSVPKLKLFAEGDFLKAWGGISSLQFVLPVTWSYGLKYGVTLERLVSWWSEKPAKLAGQDMKGAIAIGKDADIVVWEPEEEFNLDENHHVHLKHPSISAYMGSRLAGKVLATFVNGNLVFDDGKHAPDACGTTILA >KVH89550 pep supercontig:CcrdV1:scaffold_1188:88199:98162:-1 gene:Ccrd_008459 transcript:KVH89550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MYKSVVAVCDGEKVLGDVEVYFNPHLMNLNMREKLKDKIRISYYSTPSERCSPLAVLHTITSPTTGVCLKLECSNTINSNSQLHLLHSSCLRENKTAVVSLGGEELHLVAMRSRRNDLFPCFWGFNVAPGLYESCLVMLNLRCLGIVFDLDETLIVANTLRSFEDRIEALQRKVSCEVDPQRVAGMLAEIKRYQDDRNILKQYAESDQVVDNGKVIKSQSEAVPALSDNHQPLVRPLIRLQEKNVILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLISTKELLNRIVCVKSGLKKSLFNVFQDGNCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANSAVPILCVARNVACNVRGGFFKDFDDGLLQQITEVAHEDEIKDIPLPPDVSNYLISEDDASALNGNKEPLGFDGMADVEVERRLKDAIAASTISPVIPTLDPVLKTTFQYNTAPAAMPQPTIVPPTIPGPIVQYPNKQLPQATPVLEPFTKVNLPETSLHSSPAREEGEVPESELDPDTRRRLLILQHGMDMRDQISSEPQFPVRPPPMQVSAPRVDSRGGWFPMGEEIGPRQLNRIAPPKEFPLHPEPMHIEKKHPLRAPLFVQKVETSLLPDRVLENQRLPKEALQRDDRLRLSHSPPVYPSFPGEESSLGQSSSSNRDMDIEAGNSDSYAESPAEYLHYIAYKCGTKAEFKQALVPSIELQFSFEVWFAGERIGEGSGRTRREAQHQAAEASLMNLAGDVADKYLSRLKSGTSSVHGDDGRFCMMEGLGMTFQPQPQASTNMGQNNELYAQVEIDGEVWGKGVGLTWDEAKMQAAETAFQNLKSRIGQYPQKHRFKECQRNGLGQSIREFYNECHLQHDILRTLLLFLDNIQTELLI >KVH88300 pep supercontig:CcrdV1:scaffold_1189:5466:17663:1 gene:Ccrd_024181 transcript:KVH88300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACSQFVTSATTLQPLNKMKCSKLNELKEFKARLFLDNRHQSFLKKTGVADEPSLEDYVEAIKGRFGERVYKDPMADLKGLILYGSLQDYLKKFDVLPHKVTLSEEYALSCFLSGLEDKTIIPLCMFGPKSLQESYHNATKTSKPAIINP >KVH88303 pep supercontig:CcrdV1:scaffold_1189:99096:113950:1 gene:Ccrd_024184 transcript:KVH88303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILKAHVEDDGAARTKLLDHLGFSLPAEVNETIGEDLSQDMGSLSLKESRAADEGFMGGNQMSPTDNGEDFFNNIPSPKADTPVSTSGNNLGIEGSVPGAEEPTKESDSLDGITDPSFDDAVQRALVVGDYHGAVAQCIAANKMADALVIAQVGSASLWESTRDQYLRKNSSPYLKVVAAMVNNDLVSLVNTRPIKSWKETLALLCTEWTLLCDALASRLIAAGNTLAATLCFICAGNIDKTVEIWSKNVSTEHEGKSYVDLQLMGTEDLSPELVILRDRIALSSEPGMHYYIRFKLAEQDDAAYHRQNYQQPPGPSYSTQYQQQQPTSFVPSPAAHILPVGFAPQPAATQPAPRLFVPTTPPIMRNADQYQQPLSMGSQFYPSQPQVKANSNYQVGPPAPGSLGPVPSPMIPTPGQRILQDGAPTPQVRGFMPMSSNTGVQRTTSGHVQPPSPTQPAGLPAPPPTVQTADVSNVDLTTSDWDECSFWLATLKRMIKIRQTSSWDLVKLMIMKTYGVHMET >KVH88301 pep supercontig:CcrdV1:scaffold_1189:3621:27590:-1 gene:Ccrd_024180 transcript:KVH88301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQEELIEHFVNQASTFQGSSLATVIIAATSHPSLFAFSEILSVPNVIELQGTEHSIYLDLLRMFAHGTWSEYKSSLALGKSVIYYFFWHLSHFGNYMPAIASKRFQLLMRSHELVRKLQAFEHILHAKLHMWNACLGSASILPPFAPDQVLKLKQLTVLTLAETNKFMGLFQVLPYDVLMQELDVVNVRELEDFLINECMYVGIVRGKLDQFRRCFQKVTIVNSQLLFSLDCFWVTGIADGVVKKGAARVGAICGREGSKAWTIGEHDTHIIKLVSLELFSDCSFCIWLSTSDNLLISIQEKIKWADTMSDLDKKHKKEADERMEEVKKALSLKATTSIGLRRAGV >KVH88299 pep supercontig:CcrdV1:scaffold_1189:91569:98955:1 gene:Ccrd_024183 transcript:KVH88299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEEENLLLCVIVRFYTVKLRTKDVTWEGSGSATQGEISFLSWNKKVQPILASTSFNGTTVVWDLRKQKPIISFSDSVRRRCSVLQWHPDFATQLIVASDDDSSPSLRIWDMRNTMSPLQELAGHTKGVVAMSWCPNDSSYLLTCAKDNRTICWDTGSAEIVSELPAGTNWNFDVH >KVH88302 pep supercontig:CcrdV1:scaffold_1189:115097:119596:-1 gene:Ccrd_024185 transcript:KVH88302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGFTMGLNLLLLVAMVATNILSLYHLSTTMISTKPTTPLNPPVPDHLLRQLQTIRATINHLTRLQPNPSLHNNPSTAAAIIPSDLLLYTQLSPIASACRHHPDLLHQYMNYTPFTLCPPPSSAAAEPLILRGCHPLPRRRCFSPTPNKLPTSLPTNPFSPVPENSILWSNYKCKNLDCLNPDLGFDLKKEKSKFLSYKTDLDLPISQLTEIAKKSKSVLRLALDIGGGTGTFAAQMKQENVTVLTTTMSLSAPYNEVAALRGLVPLHAPLQQRLPVFDGVLDLVRCGHAVNRWIPVAAMEFLLYDVDRVLRGGGYFWVDHFFSKEVDLDKIYGPLIGKLGYKKVKWAVGKKMDSSGVKNGEVYLTALLQKPVSR >KVD98145 pep supercontig:CcrdV1:scaffold_11890:369:1808:1 gene:Ccrd_024186 transcript:KVD98145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MILMAYLSYILAEVFGLSGILTVFFCGIVMSHYAWHNVTMNSQVTTKHAFATMSFIAEIFIFMYVGMDSLDMGKWRFVNDSAVLLGLIMIGRACFVFPISIISNLTRKATNDKIEFKQQVTVWWSGLMRGAVSVALAYKKVTEALNN >KVD98144 pep supercontig:CcrdV1:scaffold_11891:408:1316:-1 gene:Ccrd_024187 transcript:KVD98144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSGQQIESSRTDPSSGKLSVSPSDRYAVVPDETVQARTKGNDASVPENDLKRIRL >KVI06971 pep supercontig:CcrdV1:scaffold_119:73914:76436:-1 gene:Ccrd_014674 transcript:KVI06971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAILLVFFLTIITTVSAIPTTAPAKSDSFTPPDNYLLDCGSSSQTTLPDRRIFSSDSTSSQYLKYGGREIAIVDQSADVPLPIYKSAKVFDAEATYSFHITNTGWHWVRLHFFPIRNNEFKLQQTKFTVVAADSLVLLHEYGPKEMEMQEYLMNVTSERLNLRFSANKDSPAFINAIEVVSAPDMLITDNSDALFPVGQKVDGMTKYSFQKMYRLNVGGPTIGPGNDTLGRTWNSDEKFLKSAAMAKNVSIAPSVVTYLEGGSPLIAPPTVYASLMEMGASDTIAPNFNITWEFDLDHAYPHLVRLHFCDIISKSLNELYFNVYVGGKIAISALDLSTVANGLAVAYYKDVFVKANMVSKPFLVQVGPLNEPMGTKNAILNGLEILRMNNLVNSLDGEFGADGRSTYGPSRGTVAAVGFAMMFGAFAGLGAMAVKWQKRPQDWQKKNSFSSWLLPLHAGDASYTQSSKTSLGSKKSAFHSSTMGLGRYLSFSELQDATKNWDSNSVVGVGGFGNVYFGVLDDGTKVAVKRGNPQSEQGINEFQTELQMLSKLRHRHLVSLIGYCDENSEMILVYEYMEHGPLRDHLYGKNLPTLSWNQRLEICIGAARGLHYLHTGAATAIIHRDVKTTNILLDENFIAKMADFGLSKDVGMGEAHVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLLEVLCARAAINPSLPREQVNLAEWALQWKRRGLLDKIIDPSLVDQIKPESLNTFAEAAEKCLSEYGVDRPTMGDVLWNLEYALQLQDQGKTEDGGSGLALANGAPLAPTVDNRPVITQAQGNQNSAQVQIIEEHSGTAMLAQFANLNGR >KVI06985 pep supercontig:CcrdV1:scaffold_119:296137:300758:-1 gene:Ccrd_014660 transcript:KVI06985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MQTEATVGVVEGGGPARKLVAHHQRPVQHQQSQVGTVSQLIAGGVAGAVSKTCTAPLARLTILFQVQGMHSDASTLRKASLWREASRIVSEEGFRAFWKGNLVTIAHRLPYSSISFYAFERYKNVGTNLLQLITGVDSHGTNISAGLFIRLAGGGLAGITAASVTYPLDLVRTRLSAQRNVIYYRGIWHALRTISREEGIFGLYKGLGACLLGVGPNLAISFSVYDTARSYWQLQRPEDSAVLVSLACGSLSGIASSTVTFPLDLVRRRMQLEGAGGRARVYKTGIFGTFGQIIRAEGIRGIYRGILPEYYKVVPSIGIVFMTYEKLKQVLSNIDPCR >KVI06970 pep supercontig:CcrdV1:scaffold_119:27486:29758:-1 gene:Ccrd_014677 transcript:KVI06970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 MTHVREITNVFHYKNNEAINASLKVTLVVFVVASISFVSLSAFRSPPSRWSAAPGCLLPPITQVPDSSPGVSEPPTGISHLLFGIGGSVRMWRDRRHYTELWWQPNRTRGYVWLDEEPDPELFSTCNSPPYKVSEALTGLKNAGSSPAVRISRIVMESFKLRLPDVRWFVMGDDDTVFFADNLVSVLSKYDHRQMYYVGGSSESVEQNVMHSYDMAFGGGGFAVSYPLAEELARIFDGCLDRYRYFYGSDQRVWACVTEIGVTLTKERGFHQLDVRGDAYGLLAAHPMTPLVSLHHLDYVKPLLPNHTKYESLNTLIQTYRLDPPRAMQQSFCYYNTWWHRWSISVSWGYTVQIYPSLLTAQELEMPLQTFLTWRSFKDGPFTFNTRPLNANPCELPAIYYISEVQDAGNDTVTTYVRDESAKKCKKGDYPHTIDTVVVLASKMNPNYWTEGARRQCCEIRGWKYNSMQVRVRSCKDGETIAS >KVI06967 pep supercontig:CcrdV1:scaffold_119:146775:149825:1 gene:Ccrd_014670 transcript:KVI06967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase METSAPLNFAGLKGNSRWSLAGMTALVTGGTRGIGYAVVEELAELGAVVHTCSRNEVELNQRLQEWSAKGFSVTGSVCDVASRPQREQLMEKVSSLFSGKLNILLENIVANGLRVVLRSILSNKNSFLQINNVGTYFMKPTTEYTADDYSFLMATNLESCYHISQLAHPLLKASGFGSIVFISSVAGLTHVPVGSIYGATKGAINQLTKNLACEWAKDKIRTNNVAPWATKTSLVEDLFANKEFMDAVISRTPLKRIAEANEVSSLVAFLCLPAASYINGQIIAVDGGLTVNGF >KVI06978 pep supercontig:CcrdV1:scaffold_119:478355:481520:-1 gene:Ccrd_014644 transcript:KVI06978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVPTSNKQSEEAIVTAAETKVGNEREMDQSLSGFSNFLWHGGSVYDAWFSCASNQERLNVFWFVLKVAQVLLTLPYSFSQMGMSSGIILQVLYGLLGSWTAYIISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPHWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAVAALIHGQDEGVVHSGPKELVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATFYVFTLTLPSAAAMYWAFGDQLLNHSNAFSLLPRTVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKSSARRNAAEKPPVFLPSWTAMYIVNIFVVVWILVVGFGFGGWASMTNFIKQVDSFGLFAKCYQCKAAPPPRRSPPAHH >KVI06996 pep supercontig:CcrdV1:scaffold_119:343943:344716:-1 gene:Ccrd_014657 transcript:KVI06996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMKLVHLFGGVLVVLVIITGLSEVNGAGECGKANPDMEAFKLAPCASAAQDVNAFVSGRCCAQVKKLGRNPKCLCAVMLSNTAKSSGIKPEIAMTIPKRCNIADRPVGYQCGAYTLP >KVI06993 pep supercontig:CcrdV1:scaffold_119:378942:382003:-1 gene:Ccrd_014654 transcript:KVI06993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MLFHLTAVLAILYYRFINLIYGDVPTLPWGFVTLSELIISFIWFLTQAFRWRPVVRTVSLHNLPDDDELPSVDVFICTADPSKEPTVEVMNTVLSAMGLDYPSDKVAVYLSDDGGVQVKETSNNSSGLETLTTWEQCFIYAILNNA >KVI06986 pep supercontig:CcrdV1:scaffold_119:256655:270358:1 gene:Ccrd_014663 transcript:KVI06986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MKDLSTMKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFETKLGIKITCSQETEPLGTAGPLALARDKLADKSGEPFFVLNSDVISEYPLKEMIAFHKSHGGEASIMVTKINAGIYLLNPSVLDRIELKPTSIEKEIFPKIALAGQLFAMVLPGFWMDIGQPKDYISGLRLYLDSLRKKTPSKLASGAHIMGNVLVDENAKIGDGCLIGPDVAIGPGCVVESGVRLSRCTIMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKASILKPEIVM >KVI06989 pep supercontig:CcrdV1:scaffold_119:439061:443668:-1 gene:Ccrd_014650 transcript:KVI06989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKKHLCGSDFQLRTGYLWVWFEGHKSSSGVSIRTGLRVSAEAMYTILVEMHGRKEALMLLPEHQQFIVGAGALPHLDDQNVRTVNSAIRKAADAITNLAHENSIIKTCNLRQTLDDLTRNGFSVVSPLISDATSIVCESYLYCSF >KVI06972 pep supercontig:CcrdV1:scaffold_119:469380:470510:-1 gene:Ccrd_014646 transcript:KVI06972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MSHSLSLLLLLSAVATASATTIGVTYVPTPTLPPPEQVATALRSLKITAVRLPVAEPSVIRAFFYTNISLFLSIPNSQLHSISANRSAASLWLYTHVVPFYPRALITAISVGSNVLADGDPTSGDVLLRAVRNVHQSLMDLGIRKITVSTTFSFVNIMMTSFPPSSAEFEEPTNNAVLKPLLQFLTETNSSFFVNLYPYVVYKLRPEIPIGFALFQQQAYNFRDDAITGVRYRNLFDLMVDGVIAALTISGHENIPIVVTETGWPSSDLSNEAENHQIYAEMYLRGLVYHLRSGRGTPLRKDGVAEAYIYEVFDTNTSLENQAAMRVGTGQNWGFLHPNLSLKFEINFSGGFPTTTAALAKVVLGFLLLVTGLLVF >KVI06995 pep supercontig:CcrdV1:scaffold_119:347428:349792:-1 gene:Ccrd_014656 transcript:KVI06995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MENSSDKSMKNDGFDSFDSTTSSSNSDTSSKECCSSPTPLGWPIRKAQLMEKCGDVSEDKVKINPQLDDDSKLKKMGSRISEMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATAFGQLWRLEPLRSEKKQMWQREMECLLCVSDHIVELIPSWQTFPDGIAPEADGAGSFRKPLQRHQEKWWLPVPRVPAGGLQEDTRKQLNHKRECTNQILKAAMAINNIALSEMEVPESYFESLPKNGRTCLGDVIYRYISSEQFSSECLLDCLDLSSEHVALEIANRVEASIYVWRKRVHSRPLPNPNRSAAKASWDMVKDLMADGYKRDSLAERAESLLMCLKHRFPGLTQTSLDISKIQHNKVLESRNLANFLGNLLNYLDVGKSILESYSRVLESLAFNIVARIDDLLYVDNLTRQSDNPSTTADVIAHKRVSIPCISSSGTPYKSAFGTPKFSPGPIAPPATGDRTPSLNGNGSNKPPRRGFGVKRALTSYLAGDTKVKSNCQLLEGPGCLSTRNSEMPPARSSIDGPLSQKENQNPSRLPTIER >KVI06990 pep supercontig:CcrdV1:scaffold_119:432792:433452:-1 gene:Ccrd_014651 transcript:KVI06990 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF223 MEFVGLQNLVLDNESWVVKIRICRLWESLNTKRNGELISLDMVVIDENGSLMTVMVRKNLVNKFNHLLKEGNVYILKNFKVVENSGAFKIIDSKLKIMFTLLTKVEKVDTYVPSIPMHGFQHASEKTVNDRLNDDNILTDIIGCLTAVGDVETVKGGFRKRDLEIISK >KVI06974 pep supercontig:CcrdV1:scaffold_119:491897:492656:1 gene:Ccrd_014643 transcript:KVI06974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MGKVSKADKKIAYBQKLCQLLDDYTQILVAVADNVGSNQLQNIRHGLRGDSVILMGKNTMMKRSVRMHSEKTGNKXFLNLIPLLVGNVGLIFTKGDLKEVREEVAKYKVKFLLVIIQLSARFN >KVI06982 pep supercontig:CcrdV1:scaffold_119:63150:63713:1 gene:Ccrd_014675 transcript:KVI06982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MWVKSKNSILKNSFSHSWEEQAFAEDARGPLGGFVWPPRSYSCSFCRREFRSAQALGGHMNVHRRERAKLKQSLNGTQQNPNSQQGFSKSLLESSNPSFFPSPVSSSMVSSIPILCQENTTVGSPSDAKSCVCDEVLVLGPVETNLYLGFDGCDVEVMNCKRQKTVVSPLFMGSMEDQVDLELRLAR >KVI06992 pep supercontig:CcrdV1:scaffold_119:387407:423583:1 gene:Ccrd_014653 transcript:KVI06992 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MKSEELVPGLEPLKVKDLPKFMTSEPVETCKLLELMVQGTKRAQAVIWNTFKDLEQVELSTLISRGFQKPHFMIGPFHKYFPTSPSSSLLDEDQTSISWLDTHPHKSVLYVSFGSLAMIEESQFLEMAWGLANSKQPFLWVVRPGSIRGSEWLEPLPDGFLARIALGRGYIVKWAPQQEVLAHPAIGGFLTHNGWNSTIESICEGVPMICAPCFGDQPVNARYVSDVWKIGVELENGLERGGIESSIKRVMVDEEGIEMKDRVLKLKEKVKVMVATVRCEEIANEKYSAFVANKVHRFHVSLFTGISSLEIQSARVRYGEVNAEKEKSIIDVYSKIDDIISIAHNDQSILDRLGRNLEKFMVDIEKEVPYEDPSQQKLDAIRDHLGVSIPDKVDILPPSGIRNKGCGTGKRLRRKVAHKHNRGKRHMGIITTTTTTTVLPRSNGGRRRLVLLPLPFQGHINPMLQLANILYSKGFSITILHTRFNAPNPTNYPHFNFLPIPDVALNNNHSLSDIADVITLLHYLNTNLLHPIRDCLARLMHEDPGVSCLVTDAQWYATDSVADQLKLPRIVHRTSNVSSFLSFAAFPLLRDMGYFRPFSGEEMKSEELVPGLEPLKVKDIPKFLTSDPVGSCELLELMVQATKRARAIIWNSFKELEEAELSTVRHGFPYPHFLIGPFHKYFPASSSSLLAQDQTSISWLDKHPHNSVLYVSFGSLAMIEESQFLEMAWGLANSKQPFLWVVRPGSIQGSDWLGPLPDGFLERIAQGRGHIVKWAPQQEVLAHPATGGFLTHNGWNSTLESICEGVPMICSPCFGDQLPNARYVSEVWKIGVELENRLERGEIESSIKRVMVDKEGIEMRKRVLNLKEKVNLCLKEGGSSYTSLEDLVDFIRSF >KVI06968 pep supercontig:CcrdV1:scaffold_119:125847:131443:-1 gene:Ccrd_014671 transcript:KVI06968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYKRIASLCFLPVPDKASSTHGRGRGEARGRGQLQNPEYITKEDLAIELGLVVDSEISKENVNIKFTGRLKFVDV >KVI06997 pep supercontig:CcrdV1:scaffold_119:336120:337286:1 gene:Ccrd_014658 transcript:KVI06997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENXKQQSGGSSSSSFAETLFGPKDGGASSSGLFGSVFGPSSTVCLYIFRLISSSICILIVDLKTQRNKGEKERNPIYQTETAEPRYLSSSIYYGGQEVYPPTSHNTCFQRTFKKSGGDEDPNGSSASRGNWWQGTTCP >KVI06980 pep supercontig:CcrdV1:scaffold_119:189056:191769:-1 gene:Ccrd_014669 transcript:KVI06980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MLTYDRQMKGEDLQGLDLKGLDKLEAVIESGLVEVVKTKVRNDLSYNCMSFRCDHEHVLEVDDLRQLIGGTFKGKKNI >KVI06987 pep supercontig:CcrdV1:scaffold_119:446331:448076:-1 gene:Ccrd_014649 transcript:KVI06987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF620 MRTLCPNLANQDALETVLEVPIPEESFHATNDTNSTASWRSMKPPITPHSTDYGGRNAEIQLLLGVVGAPLIPLPIPSGHPNFINPNINDHQPIEASMAKYIVQQYIAATGGERALNSVDSMFAVGKVKMVASEFIAGDGISMNCNGLSLGGSVMKIKSVRNGGGEMGGFVLWQKRPNLWSFELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPRSTANLFTNSICVGEKTINGEDCFVLKLEAEPSSLKQRSSSNVEIIHHTVWGYFSQRTGLLHHLKDSHLIKIKALGSDNVFWETTMESLVQDYRVVDGINIAHGGRTTVSLFRSGEKSESHSRTKMEEVWMIEDLDFNIKGLSMDCFLPPSDLKKVVDQETDDVVSSDTRNAQFTSKNRGMRFGVSKVMDFDPTR >KVI06975 pep supercontig:CcrdV1:scaffold_119:496674:498153:1 gene:Ccrd_014642 transcript:KVI06975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MEGREDGVRLGTHRFGERRPLGTEAQIHDKDYQEPPAAPLFEVAELFCWSFYRAAIAEFIATFLFLYITLLTAIQVSNSPSKCSSVGALGVSWAFGGMIFVLVYCTAGISGGHINPAVTFGLFVGRKLSLTRTLFYMVMQCIGAICGAIVVKGFEGNSVFELHNGGANVVSDGYTQLAGLGAEIIGTFLLVYTVFSATDAKFSARDSRVPILAPLPIGFAVFLVHLATIPITGTGINPARMDILGGTIRRGSVSGLLPPSCDKGISIQV >KVI06981 pep supercontig:CcrdV1:scaffold_119:162342:196029:1 gene:Ccrd_014668 transcript:KVI06981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAAPPSIAGNSRWSLAGKTALVTGGTRGIGCAVVEELAQLGAVVHTCSRNEAELNQQLQEWSAKGFTVTGSVCDMASRPQREQLLEKVSSLFGGKLNILVNNVGTNYPKPTTEYTTEDYSMIMSTNLESCYHMCQLAHPLLKASGVGSIVFISSVAGLVHTSIGSIYSATKGRYGCMIAFQIVYNVSRKKGCNSVYFASTGAINQLTKNLACEWAVDNIRSNSVAPWVIKTSLIEKLKQIIDGDKFTSDVESRTPLKRLGEANEVSSLVAFLCLPAASYITGQTITVDGGFSVNAGMTAVVTGGTRGIGFAVVEELAELGAMVHTCSRNEVELNQRLQEWSAKGFTVTGSICDMSSRLQREQLMEKVSSLFDGKLNILINNVGTTYTKPTIEYTAEDYTILMATNLESCYHMSQLAHPLLKASGVGSIVFISSVAGLVHVNVGTLYGAAKGAINQLTKNLACEWAKDNIRSNSVAPWFTKTPLVEQVMDDTKKYMGDVESRTPMKRIGEANEVSSLVAFLCLPAASYITGQTIAVDGGFTVNGGTSTTLSSTRSDAQSAPPELRLGSGKLKQGVWCESSGKKHIHSMATAAPGRFGGNFRWSASGMTALVTGGTRGIGYAVVEELAELGAAVHTCSRNEAELNQRLQEWSDKGFNVTGSICDLAYRPERERLMERVSSIFNGKLNILINNVGTNIRKPTTEYTADDYSFLMATNLESCYHMSQLAHPLLKASRVGSIVFISSVAGLTHVFVGSIYGATKVRNVCLMKVLDNKEFLDNVASRTPLKRLGEANEVSSLVAFLCLPAASYITGQTIAQKEPQKMTTTAAAETGSATRNSRWSLAGMTALVTGGTRGIGYAVVEELAEMGAAVHTCSRNEAELNQRLLEWSAKGLTITGSVCDATSRPQREQLLQKVSSIFNGKLNILINNVGTNIRKPAIEFTAEEYSMLMATNLESCYHMCQLAHPLLKASGVGSIVFISSVAGSIHISSMSIYGATKGAINQLTKNLACEWAKDNIRTNGVAPWYTRTSLVKHVICFHLLSSSLYHHLNFSSSTLPDFFTYQMQYSCYKLIVLASILLSNEEFVERVVSRTPMKRVGEANEVSSLVAFLCLPAASYITGQTIAVDGGFSQKEPQKMTTTAAAETGSATRNSRWSLAGMTALVTGGTRGIGYAVVEELAEMGAAVHTCSRNEAELNQRLLEWSAKGLTITGSVCDATSRPQREQLLQKVSSIFNGKLNILINNVGTNIRKPAIEFTAEEYSMLMATNLESCYHMCQLAHPLLKASGVGSIVFISSVAGSIHISSMSIYGATKGAINQLTKNLACEWAKDNIRTNGVAPWYTRTSLVKHVICFHLLSSSLYHHLNFSSSTLPDFFTYQMQYSCYKLIVLASILLSNEEFVERVVSRTPMKRVGEANEVSSLVAFLCLPAASYITGQIIAVDGGFSVNGF >KVI06966 pep supercontig:CcrdV1:scaffold_119:93723:100845:1 gene:Ccrd_014673 transcript:KVI06966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTTPAPAAESPGKSRWSLAGTTALVTGGSHGIGYAVVEELAALGAVVHTCSRNEAELNQVLEQWSAKGFAVTGSVCDVTSRSQRQHLLEEVSSHFGGNLHILVNNVGTCIYKPTTEFTAEDYSIMMATNFESSYHICQLSHPLLKASGSGSIVFISSVAGLVNALSGSLYGATKGAMNQLTRNLACEWANDNIRTNCVAPWVIKTPLVQPLVDNKELMDGVVFRTPLKRPGEPNEVSSLVAFLCLPAASYITGQTIAVDGGFTVNGF >KVI07000 pep supercontig:CcrdV1:scaffold_119:275329:278179:1 gene:Ccrd_014662 transcript:KVI07000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein MALNSLLRRSASTVAPLTARLLGGQRTFNHHCGGSLFTAVNHTRKIISNNSFLVPSVSRFSYSASPALKRPTSDESLLRVIESEIKCSEESFEEGEGVPDGFPFELNDNPGQQTISLSREYQGETIHVEVEPSSLVTGQEEDDDDDDDDTEKDNQSSLPMIVKVSKTGGPCLEFGITAYADEIVIDSLSVKDPEMADDQLPYEGPRFDELDENLQKAFHKYLEIRGIKPSATNFLHEYMVNKDHREYTNWLKNLKKFVEA >KVI06969 pep supercontig:CcrdV1:scaffold_119:38927:43083:-1 gene:Ccrd_014676 transcript:KVI06969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Tnp1/En/Spm-like protein MDLMDHKILPKYLISKNSPNTQIRLRLAIATIIDRIRLRLAIIIENRIRLRSKHLNPENLKAWLFAIDSSALFEIRQALGANMDNSWMLLPRMTIEYQRFLEEFLGLMFSNECSSGQMLCPCIKYSNEAWVTQEEEQMLDLNFQLPEGSLDELGPNDVFLKVMGKDKHGSARMYGLGVRGSDIWGVLPSRSACYRENMLWKRAYKDVSNEVAELKAMCSHDINKSSSYHELQPLKVGDVVHLKSIINSTEIVARGRVKSLDPDELVGGEEIGPNWCEVHVLVAIRRRERLVRPYGLFITIEDAIGATITWPCPFTVIVHDGD >KVI06976 pep supercontig:CcrdV1:scaffold_119:464208:468907:1 gene:Ccrd_014647 transcript:KVI06976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MATSFSHLHNILILLSESIRESLSRTSYKPSDLSNVSIKLLLESLLPPRNSHDDCDVQSQLTNFSLLCAALASSHTSTYDQLSWIPVSLSNSADSAFRELCKAFSDDQEKDLVIELMPTLLPLLKTSIKESSIDKVDADGDEVSAASAGVPVAYAIVAAYQLKWFVTQVDLSQLGKVCSLIIPCALTALDHWSPEVKGQGMITFIHLAKHVNSGEFSLYEDVILDACCQNIASSDEIWEHVVEMSVLLVTCTQQNNPRSPWFEKLVNEMLSHLWRQPSSKERRISWLMHIEPLLRGMGLVLLAHFRRLFPLFFKWMHADDDETVLLVLERMKTVIKFTWIRYSPYYDRLVDELVILYKDASLKVERQDIRARIVDILILLRQCKAQQFEAAWVKHKDDPNLPTLLSPKTPNTCPIST >KVI06979 pep supercontig:CcrdV1:scaffold_119:242778:243907:1 gene:Ccrd_014664 transcript:KVI06979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPPNSIVFHSTEIRKSKRSFLYDQLQHIYIVKEDTHFLINLHSCHGKTISRVITKIKIMHRSLLGIESKPSMFITFHKRASYSCFVPKDWKAPFGDDGLRYMLHEPQICSDCCCILCSVRVGSAHGGKSFMAYATAVKDGFICGKICCIDCALRSYMVGTVGGTIGLDLKYYCRRCHDRTT >KVI06983 pep supercontig:CcrdV1:scaffold_119:231710:232030:-1 gene:Ccrd_014665 transcript:KVI06983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRKNIITASGGSNFQMPLHYPRYTKEEYKELPEWMLDRLLAQYGLSASGHRDLESKREFAMGAFLWPPQHQPPQSTNYHAHRLNGKICSGSPAVWSFLRKKKV >KVI06999 pep supercontig:CcrdV1:scaffold_119:286037:290029:-1 gene:Ccrd_014661 transcript:KVI06999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MDPVALDRIIERLIEVRSTKPGKLVQLSEAEIKQLCVASREIFIKQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPQANYLFLGDYVDRGKQSLETICLLLAYKIRYPENFFLLRGNHECASINRIYGFYDECKRRFNVKLWKAFTDCFNCLPVAALVDDKILCMHGGLSPDLSNLDEIRNLPRPTAIPDTGLLCDLLWSDPSKDIKGWGMNDRGVSYTFGPDKVSEFLSKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKNKFLMSTKM >KVI06965 pep supercontig:CcrdV1:scaffold_119:114960:121160:1 gene:Ccrd_014672 transcript:KVI06965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTTTTPLNFAGPMGKSRWSLAGMTALVTGGTRGIGYAVVEELAELGAVVHTCSRNEAELNRCLQEWSAKGFCVTGSVCDVSSRPQREQLMEKVSSFFGGKLNILINNVGTYVMKPTIEYTADDFSMHMATNFESSFHLCQLAHPLLKASGVGSIVFISSVAGLVHILSGSIYSATKGAINQLTKNLACEWAKDNIRTNSVAPWATKTPLIQDLLDNKKIMDEVAHRTPLKRPGEANEVSSLVAFLCLPAASYITGQTIAVDGGFTVHGF >KVI06973 pep supercontig:CcrdV1:scaffold_119:457801:460094:1 gene:Ccrd_014648 transcript:KVI06973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35A MVKGRQGERVRLYTRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVSWYQGKRMAYIYKAKVKRNGSHYRCIWGKVTRPHGNTGIVRAKFKSNLPPKSMGSRVRVFMYPSNI >KVI06984 pep supercontig:CcrdV1:scaffold_119:197263:201431:-1 gene:Ccrd_014667 transcript:KVI06984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEDLQGLDLKGLDKLEAVIESGLVEVVKTNVKKHSTKAHNACCVGSSLGDLKSITAVIFGELFCEGQESCYNCHRSNSVKLSSQKQEPKL >KVI06994 pep supercontig:CcrdV1:scaffold_119:371198:378976:1 gene:Ccrd_014655 transcript:KVI06994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylyl cyclase MWPLHILFDKLLNGGSNVPEEIDDMSLVESYNFKSLLKNEQGKKEVLGRSHFIDVPHIKQERTWDCGLACVLMVLRTLSIKYYDIKDLEEFCCTTSIWTVDLAYLLQKLSISFSYITVTLGANPTFSLETFYEKQLADDIERVNMLFRGSQEAGINIECRSIKGDEIAFLILSGKYIVIALVDQYILSRPWTENVYASDFYSDSSGYTGHYIVICGYDAHTDEFEIRDPASSSKCYCIPISSAFETVKTGVKRQSRQDAWKRLANPLAQMKISS >KVI06977 pep supercontig:CcrdV1:scaffold_119:475630:477710:-1 gene:Ccrd_014645 transcript:KVI06977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMALTIASLPIASASASLSFSRSCSPTVIPLSSSISLTSSIQPLIYCGRGDKKTAKGKRFNHSFGNARPRNKKKGRGPPRVPVPPAPPRKDRFDDGEVVKIEINESLFSDN >KVI06998 pep supercontig:CcrdV1:scaffold_119:319919:323204:-1 gene:Ccrd_014659 transcript:KVI06998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFENVLRWLRELRDHADSNIVIMLAGNKSDLNHLRAVPESDGHVLAEKEGLAFLETSALEAHNVEKAFQTILLDIYQIISRKALAAQEAAAAVPGQGTTITVTDSGNAKNKQKTCCSN >KVI06991 pep supercontig:CcrdV1:scaffold_119:431395:432477:-1 gene:Ccrd_014652 transcript:KVI06991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold VLNFATSSASKVYINLNADYVLALADRFANVCPRLHLEVSSGKVKRTVEEEMFENRMNIQQLLQAEWSNKPKGYIITILGVIEHIETQYGWFYLGCQGCCRKVNPIDGVYKCASCNVAYKNALTLFKLHLSVRDDTGVVNCVVFHKLAERMVDYSPLKLLNKSDPDKDNLPREITSVCGQKFVFCLQLSDYNIKHGSNIFTVSKVFNPDYVLEKEYKPVDSTTETALSDMKNLDDIGGTPPCNNATPTTTRKRKFIVND >KVI06988 pep supercontig:CcrdV1:scaffold_119:225265:226784:1 gene:Ccrd_014666 transcript:KVI06988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MAGMCCGVVGETETPSTVEPSGGRQARRRRVDIHQLKFLASDVVAPLPGSGRKRRKVESLEMIGNNVDAKALTTPLECEKVVQPCHMKLKEEGHLGSSSETETVLNPCKLLSETVGETVRDEESSRFGITTVCGRRRDMEDAVAVKPSFSSDLHFYGVYDGHGCSHVAMKCKDRMHEIVKEEVENSGESLEWKETMAKSFSQMDKEVTEWSEGASSSNCRCELQTPQCDAVGSTAVVAVVTPNEIVVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELTRIEEAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVIPEPEVTVTERTAEDECLILASDGLWDVVSNEVACSVARMCLNSQKPPSPLRSPGNEVNVAGGEYSDKACSDASILLTKLALARRSSDNVSVVVVDLRKNL >KVH88291 pep supercontig:CcrdV1:scaffold_1190:55987:59109:-1 gene:Ccrd_024191 transcript:KVH88291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGSCGRNGAVRQYIRSKVPRLRWTPDLHHSFVHAIDRLGGPEKATPKLVLQMMDVRGLTISHVKSHLQMYRSMKSDGNKQEDEDLNSIGSHRRQSLEDHHDGCLDHEHHLKPTIQDSDSHFIYTLPSKRCRMETRNGKHEWWENGEQIEQEEAIGNLTWQQPSLSFSFPHFLMHPSFTHVNALHPESDFLKIFEHCGSPKRRKLEGSRSTEDEDGLLKLSLHHHSTQRSSNGSSSSEMSEVYSRPNVNDGSLNKCSVNLDLSIALSGN >KVH88295 pep supercontig:CcrdV1:scaffold_1190:102147:105990:-1 gene:Ccrd_024195 transcript:KVH88295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MLLDRILNDQEEKESSSKMEGGGVLSATDQQTMVSSFLEIAVGQTVDTAKQFLQATNWKLEEAIQLFYVGNEVGAASLSPYIPPLENEAVISDQGLGVSDNHMGSNNFIENDGIDVRAPLPVKRDVLYDTSMLYGASRLGVSPHEPHTTVPFRNFEEESKRPGVWEADQGSTSTAETSRDNLASLYRPPFALMYHGSFEKAKEAANAQDRWLLVNLQSTREFSSHMLNRDTWANEAVSQTITSNFIFWQVCDDTEEGSKISTYYKLDSVPVTLVIDPITGQKMRLWRGMIQPESLLEDLLQFLDGSPKDHHFNLSHKRPRESSNTSPPKIQDETDEEDEEMKLARALSMGTMEDSDGIKEKEKHNVYPPLPEEPKGDRNLVCRVGVRLPDGRRLQRNFLRSDPIQLLWSFCAANCGDERPFRLTHAIPGAVKEMDYGSVSTFDESGLSNSMISVTWSEV >KVH88298 pep supercontig:CcrdV1:scaffold_1190:1049:11106:-1 gene:Ccrd_024188 transcript:KVH88298 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, UvrD-like, C-terminal MATHEWINKKKMEKLRRLAFDFVRLMMEIRGSFRKKKKGAFRPCQDRHDFSQLIFSWSLDDILNEELYKFQVEEIPLTFQSVEHYLSSFVYPILEETRCELASSMDSMSNAPFAEISSFTKVKGDKEMMYGVNVVTWKNRFSERGKEPYRTLPGDLFILADGRPESISDLQRVGRTWAFALVSKIADENIKHDSNENEDDXTSVYFEVKASQHIESQHGLFVVFLMNITTQKRIWNSLHMHGNLNIIKEVLSSDSVVKDRCDICSFGCNIDSHGQHLLSKMNESQRDAIIASLCKTECYHNSCVERIWGPPGTGKTTTVSVLLSILLQMKXRTLTCAPANVAIVQVASRVLNLVRESFETRLQSGDSFCSVGDMLLFGNKERLTVSTDIEDIYLEERVERLTECIGSMSGFIRSMTGLLEDCVSQYHDFVENVLSNEEQHAKENHTMTSKENQTKPEKFEVKSFIEFLRDRFNASAPKLRSXIITFCTHIPRNFMGEYIFRNMVSLLENLVALESMLFQENLVSEELEHLFTSKPLQADFVEFEDKLSINYFRIMSVSVLKTLQTSLGSLDLPSVKNFWEVKDFCFKRASLIFCTASSSYKLHAVEMEPLKLLVIDEAAQLKEAESTIPLQLFGLKHAILIGDEFQLPAMVSSKNXILDGENVTCTSYEKQYLSGPMFGSYSFINVLDGREEKDDDGQSQRNLVEVAVVIKIVQNLYRAWTSSRKKLTIGIVSPYAAQVVSIKKKLAPKYEELPGFSVKVKSIDGFQGGEEDVIILSTVRSNRYGSVGFLCSPQRTNVAVTRARERTLANSDSIWRELVCDARSRHCFFDADADESLKXTIIAAKKELEQLDDLVLFSDDFRRSFAKLAGSXLKXLVLXXLXKLSSGWRPKNKSVDLYCENSSQILKKFKVEGLYVICTIDIIKDFKYLQVLKVWDILPLEDIPKLSKRLDSIFAAYTDDYINRCTEKCLDGDLEIPRSWATTQEVSRFRNLSNSCEGDSEVSLNPGDGRIYVENSKVSESLLLMKFYSLSSGVVNHLLSGKEYDLPMQVTDEQMDIILFCKSSFIIGRSGTGKTTILTMKLFQNEESFRIASEGIYAAERSLIRDAEVVDGSEENKPTVLRQLFVTVSPKLCYAVKQHVSQLTSIALKGNLSTEINLDDAEVTSEFKDIPDTFVDIVHTNYPLVVTFDKFLMMLDGTLGNSFFERFPEARELSCGSCISSRSVALQTFLRSKKVTFERFCSLYWTRFNSDLKKKLDPSRVFTEIISHIKGGLQAGESPDGKLSFEGYSLLSQSRASTLPKEKREIVYNLFQAYEKMKTERGEFDLGDLVNDLHHRLRNGKYEGDQMBFIYIDEVQDLSMRQISLFKYICQNVDEGFVFAGDTAQTIXRGIDFRFQDIRSLFYKEFLSNRTTEKQEKGLVSEIFQLKQNFRTHAGVLELAQSVIEILYSYFAHSIDVLEPETSLISGELPVLLESGNDENAIVTIFGGSGGGNGGEIIGFGAEQVILVRDDCDKTEICEYVGKQALVLTIVECKGLEFQDVLLYNFFGTSPLKDQWRVIYGYMKEKNLVDGKGCHSFPSFSEARHNVLCSELKQLYVAITRTRQRLWICENKEELSKPMFDYWKRRGLVQXRKLDDSXAQAMXVASSPQEWRERGKKLYYENNFVMATMCFERAGDKMWEKLAKASGLRTSAEQMRGMNPEAASSYLREAATMFESIGKFEPAASCYCDLGEYERAGRIYLDKCGQTEAAAECFTLAGCYSDAAEAYAQGDKYSNCLSVCKKGKLYGKGMQYIEHWKEHANVQNKELEQIKQDFLESCALDYHKQKDLISMMKFVRAFCSMQTKRAFLRSVGRLDELLLLEEESGSFVEAAELVRSWGDVLKEADLLEKAGHYKDATLLILWYVFFSSVWGNGSKGWPLKQFAQKEQLCKKAKSLSGKDTDNFYDFVCRELRILTDQPSSLPELKKHLQASQRHESLRGEMVSNRKILDAHLKLNSSKYDWDDELPSDLTQYAENKIFWNRVSVKTLXFYWNMWKDHVVDIFQSLETFDGGEANEYEGHIEFILNYFGLRKQCVXGNVVYLLVSKDADWIKNGGHKGLHQDGKRLTLDSRQLVFAIRFYWQLELVSVGIKVLETLGALHKFMSQGSAFRKGTCLLHIFEVSKFLLDYSYLNLTYSNKQTLENYLGFSTTYFDLVFPLDWRSSISADFVSLKETDVSVNLLNEIIRFMIKGNLTYXXIGRVMMICLGSRKPTALYNIIHTGVEWNLSWKSFVEKFQNGCWEDVYVALQNALRDTYRANWRLPGYISPHSFVYLLDRLFFMASFSSGIIFTTKSSFVEWFTHHHFAPNTAHSNPPDESFYVVMVREILYNQEETVAWIKKSDMNPRFYHPVFVLKLVMILCLICLKVSDCSEVLRDLLFGMNNIAYLLPKEFVGGLLKRRKSRYLNLNADVVAEAFLTIEDPLLIVSTENASPRIHAPCAMFVDLRRSRDEIMNVLFPKKNTHSDSTSEAPSSNPLPDSNFNVDPADGCKGELVMKWNILDDITEVINGEKGELQSSFSDYAMIKKELDKIVHAIATALTNAKKCAGEYATLLREVRCAFDELELLSCWFDTSGLEVKNLVFSSDETKSLQEVVEVLQSRRPMMDDFLNQFGMREEPQKLVSESRNTSRVNNDGSGCNHSSVLEVVPEKHKKDGSTQEAVAKKGKGNKEKKKRKNNRKKC >KVH88297 pep supercontig:CcrdV1:scaffold_1190:17683:24696:-1 gene:Ccrd_024189 transcript:KVH88297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSMKLFATVLLLVMCLMANEMVVEGRTCESQSHKFKGTLQIRGSKMTDFKFQIRGSETTMHITLVFLFEIGKIQQQPAVWLQEQQ >KVH88292 pep supercontig:CcrdV1:scaffold_1190:67199:71168:-1 gene:Ccrd_024192 transcript:KVH88292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGSSKPMIATQQEMVKAKVPLAYRDQCAHLLIPLNKCRQSELYLPWKCENERHSYEKCEYELVMERMIQMQKLKQSNKQGKGSPIPLIPKTANA >KVH88294 pep supercontig:CcrdV1:scaffold_1190:87894:101950:1 gene:Ccrd_024194 transcript:KVH88294 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MELPSMAINISRGLSSPSLCPKCSFHCYYRFHRQFPLRRLKLCGNFKRPRGMQSSFERHSSSSSPILNLEGAMDLWEETEIVEVIGIGSRKDAVLDFCLRSASLSPCLRFWNIIMEETFKVQLQQRLLGDDITKTIVEEPVAMASRSKAVILVAGASYGSDLTTVHDIFEAIKLANGFVVTIILKPFRFEGRRRQDEIEALCRVHDSSLEGIEVALQVPMVDADALLEKDLVTLDEALQIANNAVLMALNAVSVLTSVSSSCTSYIQMLVVLEHNRKFLDIPHEGMKELGVIDLKKILGGYEEAKIGYGVGYDIETSVVQAIYDCPFLSSGVKDINGIVVFILASSAVIDSHEMHGILRTFRQAAEWEGDIITSLVHEPDMSPNSFATTIFTIGPQSQLDIIQENSSLDSTFSPQGMKPEKYENPNVGSISGSVEGFHNWSSELQSILSDATDAKTLLRDHGVTEQSNAEFPQATFYNESAEGSLTLQRELLINRRPGYLIAEEAANETNKSGDALMLGNLNIYKLPVGVKLSGDLKDGPNGSRVMHYPEENSEPEMKDETRFSVSMSFDESPDASLQTAMDFSSSVSARQNRVYPDGSKKNGTLSARAASMLESERESQKKWSPVVEINYRGGIYVGRCQGGLPEGKGRLSLGDGSMYDGLWRYGKRSGLGTFCFSNGDVFRGSWRDDVMHGKGWFYFHTGDRWFVNFWKGKANGEGRFYSTNGDIFFGHFKNGWRDGHFLCIDVSGSRYVEIWDEGMLVSRKQLESDDSAG >KVH88296 pep supercontig:CcrdV1:scaffold_1190:121587:124721:-1 gene:Ccrd_024196 transcript:KVH88296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MEEEEEEASTCSKSKPAPSPSPKLLAFPTSKDRLHHGLCLKFDYDASVNDTLGMVGLSKIPFIALALISLGSQVKSDASDHCYSDGDAVPIYANKVGPYSNPRETYAYYDLPFCSPDVVKEKKLNLDEMLNGDRFVSTPYKLEFLVHKEFDVLCKKKLRKADVSKLRSXIEKDYYTQLYYDDLPVWASXGKIKRDYTDESIKHKYFLYKHLDFEVFYNKDCVIEILYQNKTEDKEIDVDFTYSVRWSVTETSFDQRMEKYIDSSISXXGYSITNSCFTILILIVCLLIYYRRLIQLFSLVHENERYASNLVDEVAANQEETGXKNIHGDXFRFPKHKSLFAAXLGSGTSWVLWLFASQMKNLLLAGRIHFGPLFLVFGFNNTVAIFYGSTAALPLVAIFTLSLLWIFGAFPLLLLGAITGKRHVSDFRAPCRTAKCPREVPQLRWYKGLLPQMAMAGFLPYSVISTQLHDILSTVFGRGIYTSYNSMSMMFVLLLIMTALVSVMLTYFQLAVEDHKWWWRSFFCGGSTGLFDYGYAICYYFWLSDWNSFMQTTFFFGYMACLSHGIFLVLGVVGFRASLLFVRYLYASIKCDQQK >KVH88290 pep supercontig:CcrdV1:scaffold_1190:27086:38910:-1 gene:Ccrd_024190 transcript:KVH88290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MQRSLPKSKYSINGRINVDDGKMKKIKPSGEDSILVMEARIKEMAVDVLSDENLSYEILKVSCVSSDNHLLALSLSCLLQESHVLCFDASLTSTVIMLDTHALVHDFLNKLKRRKIEGSKGTAKLTAELLRTVISQQRIPNTNQAGALVDAIKAIGEQLVAANPVELAVGNVVRRVLHIIREEDLSLMTSAIGGPSLSVISDDENDVDRDDYPVLSAAAVAASARNALRAPSLQTLLEDMSHSAAVHHSSSSVDDSEEKTKSTDKNSRSRKLKHNVIETVNELIQDIAACHEQIAEQAVEHIHHNEIILTLGSSRTVIEFLCAAKEKKRSFRVFVAEGAPRYEGHILAKELIGRGLQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGIHKLCPLYPHKPEVLLNELRAPSELLDFGEFSNCMDSSTGTGFPLLHVANPAFDYVPPKLVSLFITDKNLEKLHTKICLKKSSQDVEPALNFRSQNASYYSFCFAS >KVH88293 pep supercontig:CcrdV1:scaffold_1190:71769:84756:-1 gene:Ccrd_024193 transcript:KVH88293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFEVAEETTDKKIRKDDSPGEMKPWEQHSAVISIPRYDYKAPTSMLHRSFAGFLVTCPIKREKSATKEAMSILYKYVGSINTCSSEGEEDPQNVVPKRRKVVVSEAKEECTKHTENKILDETTGAKEVSEGSCLSSSKSDANIERSDPLSLVKLTKSGLLLLTFSCGHCPDVVDIVSNIMQSLESGSLKAPLWCHRILPIQTTCVLHEKELCTLTESKGANGNSNPVAMLDRSKCFEVVAAAVKSIVSDSVVDLKCPELSVLIELLPISQLPKGSSHVVAVSVLPRNLIMTKPRLCIKALVPDTKSKK >KVD98143 pep supercontig:CcrdV1:scaffold_11907:79:1116:-1 gene:Ccrd_024197 transcript:KVD98143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MAKFKLRIFQSCRSKDPSTLPEHPVPAFQRHNIFTVDFPPPPTSKQQQQPHRSSFKSQVSSAFGCGSKSGDQSDSEYFQWQEDDQWHVVAKIYDVESPRRKIYNSSVSGGDTDDDGFPLPLLPLPPVDKKKRRRGRRVKLNKLRNISTSSVDSGLFSSEYSIDERGEGGDGEGDGEEDETETLISSSRSFSTDSSTDFNPQLETIRESAPISLSNRYKLKKKRTSSSKRNNRDGGGGGMMMMMCRGGSGTSPEWGSPARLSAFNKLIPCKVEGKMKESFAVVKRSEDPYEDFKRSMMEMIMEKQMFEENDLEQLLQCFLSLNSRFHHGVIVEAFSEIWDTMFRDH >KVD98142 pep supercontig:CcrdV1:scaffold_11918:1:1677:1 gene:Ccrd_024198 transcript:KVD98142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-like protein MRSVLLIFLTVNWRLDEWVRPEQLDLDSVENDVDEKVEDKVTGLKMTRHQKRKINETHVEVCVTILYLSIFVVTAQL >KVI06720 pep supercontig:CcrdV1:scaffold_1192:105518:108647:-1 gene:Ccrd_014926 transcript:KVI06720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MHQVIMDLITLFHMPIFFLFISNPCVSSATLRNIDTDIQVLISIKSQIITQPSDALATWDQNSTSPCNWTRVVCGDHGRRVVALDLSNLHITGLVSPYIGNLSFLRSLQLQDNHFQGKLPETITNLFRLRLLNISSNSIQGTIPANISRCLQLRVLDFMQNQISGSIPEDLTLLPNLQALNLAKNRLSGSIPPSIANLSSLSTLNLGTNTLSGPIPGDLSRLRNLKNLDLTINNLTGTVPLSIYNMSSLESLALASNDLWGDIPYNVGDTLPNLLVFNFCINRFTGTIPGSLHNLTNIRIIRMAHNRLHGTVPPGLGNLPELEMYNIGYNNIVSSRGEGLGFLNSLVNSTKLDFLAIDGNHFDGVIPESIGNLSKQLRILFMGSNQVSGGIPPSIGQLKGLGLINVSYNSLSGEIPPELGQLEDLQELVLSKNRLSSNIPNSLGNLGNLTKIDLSNNELGGSIPISFRNFTRIISIDLSMNKLSGSIPTEVLDLPSLTTILNLSSNSLTGSLPREIELLERVVTVDLSDNHLSGNIPNSIQNCKSLEQLILSENSLSGNIPSSLGELKGLAFLDLSSNLLSGSIPLELQNLKALQFLNLSFNNLEGKVPSDGVFSNLSRVHLEGNPKLCLDAGCRGGGSHKAVVISVAVIVSVLVMLLSIVLFFYFRRNNATIIDTPYSFKGQHHMITYDQLRLATGNFNEENLLGRGSFGSVYKGCLNLEGRSHEIAVKALDMEITGSLPSFVAECTALRHLRHRNLVKLITSCSSLNHKNTEFLALVYEYMKNGSLENRIGNGMRLLEGLKVAIDVACGLTYLHHESAVAPVVHCDIKPSNVLLDEDLTGKIGDFGLASMLVEKDQSISSAHVLKGSMGYIPPEYGVGAKPSTKGDVYSYGIMVMEIFTGKSPTHESFVGGLSLKTWVQSAFPTNLDRVLDPDMLQELEDGQSMNMKIQLDCLKTVIGVALSCTNESAEGRITISEALCKLKSVHDMCHKSNV >KVI06719 pep supercontig:CcrdV1:scaffold_1192:14216:16750:-1 gene:Ccrd_014925 transcript:KVI06719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MADQENKRVTRMAKKRAIEAIQSQLQPAKKNRVVLGELSNNATVSRNDKIGSHMAKIQKTKFRSKKVKKPVKAAVEKQELIVEKIEKLSDPQLCEAYVADIYEYLNNMEKEAKRRPMAEYIVKVQKDVTENMRGVLVDWLVEVAEEYKLLSDTLYLTISYIDRFLSGNALNKQRLQLLGVSSMLIAAKYEEISPPHTEDFCDITDNTYTKQEVVKMEADILKALKFEMGNPTVKTFLRKLMRIAQEDHEMPNLQLEFLGYYLAELSLLEYGCLKFLPSMVAASVIFLSRFTVNPSSHPWNSGLERLSGYKPSDLKECVQMLHDLQSSKRAGNLVAVREKYKQHKFKCVSELSSPSTIPASFFDDVQER >KVD98141 pep supercontig:CcrdV1:scaffold_11923:1049:1297:1 gene:Ccrd_024199 transcript:KVD98141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGGDASRSYYQSQGQRSDQRLGSEKQGNDLRSQPREEDMEIGYEDNLGGQTFEGLEQRFYDDILKLSKEQNDAEDAENARHR >KVH96379 pep supercontig:CcrdV1:scaffold_1193:104944:107937:-1 gene:Ccrd_001533 transcript:KVH96379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase, type 5 MVNHLSNKSLFITSLLLNSVFCTFLVPVSSTLQRFHHHTNNNGSLRFLVIGDWGRKGWYNQSQVAVQMGIIADRLNIDFVVSTGDNFYNDGLTGVQDPAFDESFKYVYAAPSLQKQWYTVLGNHDYRGDVEAQLDPMLRKRDSRWRCLRSFIVNTDIAELFFVDTTPFVEMYFDDPKDHKYDWRGILPREVYLANLLKDLRSALSESKAKWKIVVGHHGIRTTGHHCDTPELVTHLLPVLEEHHVDFYMNGHDHCLEHVSCQNSKIRFLTSGAGSKAWLEANKPQDEKCGVKFFHHGQGFMSMKLTKNNARFVFYDVFGKPLHRWRTSLKKLHTSM >KVH96382 pep supercontig:CcrdV1:scaffold_1193:65562:72347:-1 gene:Ccrd_001534 transcript:KVH96382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIVLLLLYMAATVAVVVAELQRFEHPVKSDGSLSFLVVGDWGRRGLYNQSNVAYQMGDVGEKMDVDFIVSTGDNFYDSGLIDEDDPAFFESFTVYWVTMTTGVMSWHNLAQPSNKEIANGFALDLLSSMLDVDAALKGSSAKWKIVVGHHTIFSAGHHGNTEELVDKLLPILEANKVNLYINGHDHCLEHITSPNSQLQFLTSGGGSKAWRGAVKPFNLDAMKFYYDGQGFMAAEITENEAYVAFYDIFGNMLHKWSSYELTHTAS >KVH96381 pep supercontig:CcrdV1:scaffold_1193:43887:54498:1 gene:Ccrd_001535 transcript:KVH96381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMAMPSGNVVLSDKMQFPSGGGVGLAGGGVAGSGVGWYADERDGFISWLRGEFAAANAIIDSMCHHLKSVGEPGEYDEVIGSIQQRRCNWNPVLHLQQYFSVAEVLYALQQVTWRRQQHNRGGYYDPVKVGGPGKEYKRSAGVGSRQGQGQGHKVEIALKEGHNSTVANGSSNLVKNEVLKSDEKDVTLAKYNNGSTSKFMQYVFHRQEKVFMAGAQSLLKNDSSSTHTPYQKPNVSLVAKTFVGTEMLDGKEINVVEGMKMYEELFDDSEVGKMVGLVNDLRNAGRQGKFQGKKGLMKIEFLIFGWKLSMRVSIDRRIEPIPSLFQDVIEGLMAMQVLTVKPDSCIIDIFNEVKNSLSLVLLNTTV >KVH96380 pep supercontig:CcrdV1:scaffold_1193:120151:122925:-1 gene:Ccrd_001532 transcript:KVH96380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MNSTVPVSYRSSAIDHNECKQGVIRSTVNFHRSIWGDQFLTYNEREDQDWEEEQAKELIEKVRKELVITTLTEPMQHVKLMELIDAVQRLGVAYHFELEIEESLNHIYVTYGDQWIDDNLQSTSLWFRLLRQHGFNVVSGVFRKYMDNNGNFLESLRNDVEGMLSLYEAAYLRVKGEEVLDAAIEFTTFELENIAKDHVGNDDYLKIQIQQALQQPLQKRLPRLEALRYIPVYQHESSHNEDLLKLAKLDFNLLQSLHRKELNQISKWWKNLDTPTKLPYVRDRLVEGYFWILAAYYEPQYSDARIFLMKTCNLVIILDDTYDNYGTYEELEIFTEAVQRWSISCLDKLPEYMKFIYQELLDVHKEAEELLEKKGMAYRSYYTKEMVKEYTRNLLTEAKWVKEGYIPTMEEHMSVTLVTCAYAMIIAKCYVHGHDLVTEDTFKWVSTYPPLVKASCLILRLMDDIATHKEEQERKHVASSIQCYMKQHGVSEEETREIFSKQVEDAWKVINQESLRPTAVPMPLLMPPINLARVCDVLYKHGDNYNHAGKEMIHYIKSLLVNPINE >KVI06671 pep supercontig:CcrdV1:scaffold_1195:5281:5455:1 gene:Ccrd_014973 transcript:KVI06671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLNQEITPHVRNYRRSPLRSNNSIELSMIVCVITSTIPGILMNPIIAIAQDTLF >KVI06672 pep supercontig:CcrdV1:scaffold_1195:6745:32873:1 gene:Ccrd_014975 transcript:KVI06672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MALRFPRFSQGLTQDPITHRIRFGIATAHDFESHDDITEERLYHNIFASHFGQLAIIFLWTSGNLFHRHLYFIVDHEHPQLVTQCTTLSSKPLYKRFYTKVNLQNYPFQVVVDGMSIHYHVVFYPNHSSPLSIDVAIDVYV >KVI06670 pep supercontig:CcrdV1:scaffold_1195:138473:139819:1 gene:Ccrd_014978 transcript:KVI06670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPKYRCCFGFAHLHKIYSLMPYDQARQPFIPETVNYIKSLDAEEDIALLNFYGWNLSSGCAGTFRISTMLLKKGVEKGFTPFSIGNIMCRENLNKQSVIEEIVQEADDLVLPGSSETAFIETVSQIMDRRLGLFA >KVI06673 pep supercontig:CcrdV1:scaffold_1195:109824:113722:-1 gene:Ccrd_014977 transcript:KVI06673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHLSGRGFRDSFSSRGPDPDPNNNSTVLRSGGQHPISKKVEKAKAIIEYTDAETDGENNQTLDSARSSFSQALKECQNRKSRSEILLRKSDRSRPTSLDLNNQAINVTSSSPRLAMRNSISNRRTSMFPSPVTPNYRPASNGFQKGWSSEHVPSHINTNRRQVSSALMSYNSGRTLPSKWEDAERWICSPVAGDGALKPSVQQRQRRPKSKSGPLGPPGSAYYSMYSPAVHMFDGGNVGSLVTGSPFSSRVNAGDGLSIRYHERQDSSGNFPSLTEPCMARSVSVHGCSESLSQSLLRITQDGKIGCVMDAATNISRDVSRRDMATQMSPEGSPYSSPRRRNSISLPSSILAVAELQQTHSSKADVRDVQVDDQVSLSRWSKKSRARIPGRRSGSELVDDWKRKALEVRSAEWEVSEMTKSLSMVKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRGSVLSNQSHQVPRSSSSSHKAISIIRTPQIGSLSGCFTCHAF >KVI06674 pep supercontig:CcrdV1:scaffold_1195:40304:41071:1 gene:Ccrd_014976 transcript:KVI06674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDIEFLKSISEDQTEMMMMMQLEKLPDFCGIYADDQTPSTEFTDQGSSSSTNLNANMSHLMEDSHFGSPTFMNPSTTISFTSPTQPPGGEMQFLSSSRWRSGVDGESQSTVEQKRNSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKNQVQSLEKASENRPATTAGIGFPVPMSSGNYISMGTSAKGYQQSAQNVQNYLGA >KVH89884 pep supercontig:CcrdV1:scaffold_1196:11198:13871:1 gene:Ccrd_008113 transcript:KVH89884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGCVYCKCCPRLPSSSPSDGKKFKPKRGKTAAGADELLEVVHIPSHDFKLEYSVLTRRGYYPDMPNKENQDCFCIKTSIQGNPNAHFFGVFDGHGHSGKKCSNFVKNRLLELLCSDDSLLEDPVKAYTSAFCTTNNELHDNPEIDDVMSGTTAITVLVIGDKLFVANVGDSRAVISVKEGDHNRIVAQDLSYDQTPFRNDELERVKDCGARVLSVDQVEGVKDPSIQSWGDEESAGSDPPRLWVPNGMYPGTAFTRSIGDSLAEKIGVVAVPEVCMVQLTANHPFFLLASDGVDRYIDPRNACCAVSAESYKLWLEHENRTDDITIIVVHINGLSNSDVNDVEEAGGSTLRPRMLKSDINPEGGVVIPVLEKPVSPPGSEMFQSVVSDIAQDQKGGVIHFLQKSDTSDMFQSVVSDITQDTEGGVIPPLQKSQVTPEMYQSNGKDFLEPRPLRQVASTNDQNPTVVQARE >KVH89889 pep supercontig:CcrdV1:scaffold_1196:79859:91102:1 gene:Ccrd_008118 transcript:KVH89889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSWCRMKQAQLRALSSQFRHCYFQIHGPSLVIREASIGAVTSPSMAIHKTGSELGNYVRYYAAPVQVKPKYEEKDKDKGRPRMNEQISAQYVRLVTDEGHGVVSRHEALDRARRLGIDLVEVQADANPPVCKLMDYNKEIYARQAKEKELTKKKSDVVLRKGSMKEVRITCKIDGHDLQTKADGVRRLTERGHRVKCMAVGTADQDLGGLLTRFAALVDEFTLVESGPRVEAKQAYIVVRHVKFGPLKKGPGKKKVAAMLNEKVEQNLDESGSEEDMSCEEAFEVDSPPETSNMDTTLSENRYASKRQTNASAPGPDNRYAATRPTNGSENRYATTRPTNVSGSGSDNRYAATNASGPGSENRYAAMRPVNASDPGMRRRFEPESQNPGSSRQFGQSEPNNDPRRENRYKKGPTSPSDYHVNKGGRGDFSRENANLNPGGIRDGFRR >KVH89892 pep supercontig:CcrdV1:scaffold_1196:117745:127522:-1 gene:Ccrd_008121 transcript:KVH89892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MDMVTRMASEKPVVIFSKSSCSMCHTIKSLLLEFGVNPTIYELDEISKGREIEQALLIVVQNPMVVPAVFIGGQFVGGANEIISLHLQQALQPMLKDAGALWLGVNPAVYDIDEIPKGWEIEQTLLRRGRNPPAVFIGGEFVGGANRIISLHLEQSLQPMLINAGALLEFE >KVH89887 pep supercontig:CcrdV1:scaffold_1196:12663:22652:-1 gene:Ccrd_008114 transcript:KVH89887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit, C-terminal MSIDNSTGVIFDVKLNILNETCGTIPQPKFGCRTFPEYFKHHQKSAMPSSCSIRALWILNNQDTVVFSRRFSVVERRWSVACKKANEKSTDNQDSSVLFSSLPTDLELAAAFADRKKREGSAHGYGIRVKQSIQGSDSWVDDPIMRHIVSLHVKKEEDGEDHTFWPFVLHTKGQYYILVLPFVEPVHFKAYSIICRRPDCGNAVGVDGSLSSLLLQLPCITGAFMVAHVLGDIITSDIPEPEVVVSAAPSVGGLLDSLTGSIGISARPKPVAAPVAASTNSSTVAGSSGSLDSPKIGPRNPEKDALRSFISTAMPFGTPLDISYSNISAIRTSGFSSADVPPVDSKQPAWKPYLFKGKQRLLFTIHEIVNATMYDRDEIPDSLSISGQVNCRAELDGLPDVLFPLKGLNSKQVEILSFHPCAQVPEQQGGDKQSVMFSPPLGNFVLLRYQAYCSLGIPIKGFYQLSMVSENEGAFLFKLSLMEGYKSPLTMEFCTVTMPFPRRKVLAFEGTPSIGTVSHTDHSVEWKIITSGRGVGAKSIEATFPGTVKFAPWKTQRPATSGSLQGIIAEEDSDTEMESANNMVNVEEYLMEKMNKDLPAVDLEEPFCWQAYNYAKVSFKMGGTSLSGMTIDSKSVNIFPSAKVPVEFSTQVHSGDYILWNTLGKVPVAATPKN >KVH89886 pep supercontig:CcrdV1:scaffold_1196:47644:60652:-1 gene:Ccrd_008117 transcript:KVH89886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MRNPPPTSPPPPPPPAFNMDFIDESSTSIWDWNQLLDFNIDDHLPLPTDHSPLIPISPELHPIDNSHVEPVPDSNTNDRVRKRDPRMACSNFLAGRIPCACPELDAQLLAEEEETAPGKKRSRTITARTVASSRCQVPGCEVDISELKGYHKRHRVCLRCANATTVVLDGHNKRYCQQCGKFHVLLDFDEGKRSCRRKLERHNNRRRRKPSDSKASGLQSADYDDGYDEAGKGAKCTSSEAAGEKLFLAAEGHNTIPSSTQAQNIYSDSIPSLAASGETQTDEEKEKGVPSPSYCDDRSAFSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPVELEGYIRPGCVILTLFIAMPRFMWVKLSEDPVACILDLLASPSNLLSGRDTFFVNLNGNIFSVMKGGRSVIKIKAGERSPKLHCVQPTCFEAGKPIEFLACGSNLLQPRLRFLVSFAGKYMTNDVHVSPSCNKNEASTTNFDHQLLNIRVPGTELDVFGPGFIEVENESGLSNFIPILIADEEVCTEIKIMQMKYYSILHSRDSESTATTVSCEVAVFRQNEFSELLLDMAWLLKQPVVEEMEYAMMSLQLQRFRCVLNFLIEYESTTVLKRVLHCVKTRIIKSDDIIEADWTLLQGTVNHATEVLCQRLEKKLNLGLHPRDFSSEENDESCRYQVLPSTPTINQDMEVVADNTPIPTLGQKSENVPLLQADCIMSVTPCKEQSEKRSNHMFTYNTNRLFSSHPLILAVALVTLCFGICAVVLHPQKSTAIAITIRRCLFD >KVH89891 pep supercontig:CcrdV1:scaffold_1196:134650:134955:1 gene:Ccrd_008122 transcript:KVH89891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MDRVNMMVSDRPVVIFSKSSCILSHTVKSLFNDFGVNPTVYELDEIARGREIEQALSGVGCSTMPTVFIGGELVGGANEIMSLQLKRVLKPMLIRAGALWV >KVH89883 pep supercontig:CcrdV1:scaffold_1196:4567:5589:1 gene:Ccrd_008112 transcript:KVH89883 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme 4 [Source:Projected from Arabidopsis thaliana (AT5G18930) UniProtKB/Swiss-Prot;Acc:Q3E9D5] MAVSGFEGFEKRLELQFSSHDPVIGIGLRQLDFESVEQVLQEVQCTVVSALGNQHFDSYVLSESSLFVYPTKIIIKTCGTTQLLKSVRPFIHHATTLNLHIMELRYTRGSFIFPQAQPHPHTSSQEEVVYLEDNIPSSLCYRKASVIPSNLTSHSWHVFSAGAVEYSDNLHPLDLYTVEVCMTDLDQTLARKFFRNPNDGKNGDSAGREMTEITGINRINLNAQICDFAFDPCGYSMNGIDGDRYSTIHVTPEDGFSYASFECVGSIYNDDMADMVKKAVKVFGPGTVSVATTAASHDMCTRIKAAVEQLGMKCRSYSMDEFPAAGTVVFQTFTTRRNRI >KVH89888 pep supercontig:CcrdV1:scaffold_1196:26992:33616:1 gene:Ccrd_008115 transcript:KVH89888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MDLRVADSLVDALKQSRYHAKRCFERFTAHGQRLVKPQELIYAIEKTIDDKQERTKVLEGSLGQTLSSTQEAVVIPPYVILGVRGSPGRREYLQVNVDDLSVQPITPPQYLKFKESLYDNEWVKDENAIEVDLGAFDFGMPHLTLTSSIGNGLDYISKFTTSRLGGRLENAKPLLDHLLTLNHHGEKLMINETLDTVSKLQKALIVADVYLSAHPRNEQFRSFEQKLKEWGFEKGWGDTAERVQETMKILSEILQAPDPISMESFFGRLPVIFNIVIFSIHGYFGQSDVLGLPDTGGQVVYILDQVKALEEEIIRRIKQQGLNAKPRILVVSRLIPDAQGTKCNEEMEPILNTMHSHILRVPFRTSKGVLRQWDAASKVVEVMEGKPDLILGNYTDGNIVASLMAKKLGVTQGTIAHALEKTKYEDSDVNWKKFDKKYHFSCQFTADLISMNAADFIITSTFQEIAGSKQRPGQYESHATFTMPGLCRVVSGINVFDPKFNIASPGAEQSVYFPFTEKEKRLTSFHPEIKELLFKKEDNDEHMGYLADRTKPIIFSMARLDTVKNITGLVEWYGKNKRLRSLVNLVVVAGFFDPSKSKDREEMAEIKKMHELIEKYKLKGQIRWIAAQTDRNRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFVTNQGGPAEIVVDGVSGYHIDPNNGDESSNKIADFFTKCKVEEEFWDRVSRAGLQRIYEWQINKENKQAKQRYIDLLYDLQFKKLVNAIEIPDGATTKPQEQVRTKPAKLTQLTTTSETAKPPTVVPQEPVQAVEPKETRPPQTMLTKPDEPTPREPSLGSIRLNTVTTAGKPLLVIVSVMIMVYASKNLYRYFK >KVH89890 pep supercontig:CcrdV1:scaffold_1196:91414:98711:-1 gene:Ccrd_008119 transcript:KVH89890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAEEEKKEGVIFAVGNQRILFSDSSVPLSAEDKDGDNSDESSLNDVEGKCESEPASPKDSGASGSSSPTLGISGLVAQIDPKTHPKQWSGFLHKFKKAPSATLHTFNPGIPSIPSIKKLTKKKSKKLMQSMPEMSSHLDAELYCFEASWTNYSLKELKDATNNFSRENIIGEGGYSEVYKGHLQDGQIIAVKRLIRGTPEEMTSDFLSELGILVHVNHPNISNVIGYGVEGGMHLVLPLSHHGSLASLLSGQKEKLDWRIRYNIALGTASGLSYLHEGCQRRIIHRDIKAANILLSEDFEPRISDFGLAKWLPDQWMHLTVSEFEGTFGYLAPEVFTDGLVDEKTDVYAYGVLLLEIITGRPALDESMQSLIMWAKPLINTKNFEKLLDPHLAGACNLEQLNDMVWIASQCINEDPTERPKMSQIYRMLSGDEGIPDCGKKFIKRAAFRRRKSSQISDEEHLVPQTPVQHEMKF >KVH89885 pep supercontig:CcrdV1:scaffold_1196:33749:38327:-1 gene:Ccrd_008116 transcript:KVH89885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDLYSSKRAVGWAAASRKGSGSGTRDTDCSRDEAAQFCNRLGCSGRLNYTKHTRSSLLNQPKPFRSSSRPSHSKEVASSLINVKKPLQESRKKLSSGTETSSTHSSSVSEESRIQVLNASAKLKSKSRGTESNKVGFTPVGRTVEMGSSNTKIRKVYGQKSGLPNQDAQITSFDSGRRRNVVKKRLTEGETGSSARGKKISGPSSDEGSSISDSRRSTNWTACSGSNVSSVRTRRSVNVDLSTRCIHQLHRNNPSPVQSTRVTPDMSQTEICWLSEETSSNGSTPNSGCTSSDTLSSMMPVASTEQSVGHFNDRHCSGRYNVDAIANVLLALERIEQDEELTYEEEFMAGDEIGRLSCAHGYHAVCINQWLQLKNWCPICKASAKPSPSA >KVH89893 pep supercontig:CcrdV1:scaffold_1196:106039:110180:-1 gene:Ccrd_008120 transcript:KVH89893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFDLPPEVLEVLPSDPYEQLDVARKITSIALFARISVLESESSELRVQLADSDVVVDDLQAQIDSLNSSLSDSLDKLSRVDQEKEILLNENASLSEQVKKLNRDVAKLESFRKTLMMSLQDEEGNSAKDPPHVVASTVPDLASLSSQSFSGEDEETVPPSSAYPIRSQSLDVHNSYQDEPVTDASRPRMSPGLLIASQTSTPRLTPPGSPPSLSTSGSPKRTSKPVSPRRHSISFSTTRSMSDDRSSAFSSATSSPYGSMSGRTRVDGKEFFRQVRGRLSYEQFGAFLANVKELNSHKQTKEDTLQKADEIFGPENKDLYVIFEGLISRNTH >KVH99438 pep supercontig:CcrdV1:scaffold_1197:15745:74160:-1 gene:Ccrd_022328 transcript:KVH99438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing Prp18-interacting factor MATASVAFKSREDHRKQMELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMTHTTKTCMERPRKLGAKWTSKNIAPDEKIETFELDYDGKRDRWNGYDAASYAHVIERYEARDEARKKFLKDQQLKKLEEKNNSENVEVSDDEDNEDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNEKFYAVSGGDNQNRVSGQALEFKQLNIHAWEAFEKGNDVHMQAAPSQAELLYKNYKVNKEKLKSKVKETIVEKYGNAATEEVLPRELLLGQSEREVEYDRAGRIIKGQEMALPKSKYEEDVYINNHTTVWGSWWKDHQWGYKCCKQFVRNSYCTGTAGIEAAEAAADLMKANIARKEATEDVIAPTEEKQLATWGTDIPDDLVLDQGRLAESLKKEEQRRREEKDERKRKYNVKWNDEGISNMATASVAFKSREDHRKQMELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRNWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMTHTTKTCMERPRKLGAKWTSKNIAADEKIETFELDYDGKRDRWNGYDAASYAHVIERYEARDEARKKFLKDQQLKKLEEKNNSENVEVSDDEDNEDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNEKFYAGDNQNRVSGQALEFKQLNIHAWEAFEKGNDVHMQAAPSQAELLYKNFKVNKEKLKSKVKETIVEKYGNAATAEVLPRELLLGQSEKEVEYDRAGRIIKGQEIAIPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQFVRNSYCTGAAGIEAAEAAADLMKANIARKEASEDVNAPTEEKQLATWGTDIPDDLVLDQGKLAESLKKVTAEDMEAYRMKKNLKVDYVIMHLLNYLMMVTNLIQVNSYIVLSTYPYYSEHKQIDGCPFVFFILPYAALQARIKLLDIPCYQQQPMLIDIFSNTDQKMFTRVHSCLEDTQVLRIVNTLVATTSKYFV >KVH99436 pep supercontig:CcrdV1:scaffold_1197:113007:115516:-1 gene:Ccrd_022330 transcript:KVH99436 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCP2 sterol-binding domain-containing protein MASSDLKSAALMDQMKAHFSTDAGKAITKKIGLVYQINIAPKKIGFNEEIYVVDLKKGEVTKGPYEGGKPDATFSFTDADFIKIATGKMNPQFAFMRGAMKVKGSLSAAQKFTPDIFPKPSKM >KVH99437 pep supercontig:CcrdV1:scaffold_1197:99465:102964:1 gene:Ccrd_022329 transcript:KVH99437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MDEVKTLEENVTTNGDGSANLVKNEELEPQTQVREMDANNGRDVIGEENPSDGPEIEQKASTHPKPLDSPIDDRLTPSPEPLVQQSGENPNDLLSGREEDGLMGRDHVQEDVMPSEPERAQDHVQEEVMPSVVSEPSKPEPKLESNDLTGSAHVNVIPSVVSEPEQALNDPIDVTEDVMPTVVSEPQPSKPESESNDEMGSAHVQEDVISFVPSETSDSEQKPNDLTSQTEKDALMGRVHVQEDVMPSVPSGGTSESKPNDVLSETGEDGLVGLDHVQKDTISSETPEPNDPKPREDGPTARVIVQEDVISSSVESETSEKKLESNDPETREDGPQAQGQVQEDVISSKQEPKPTVDGVLPNDSLKHVQLRANCQPKSPLGPVSGKITQNREEASSREVSPKSDKVGSEKQLEKSNIKIGDIDTTAPFESVKAAVSMFAGIVDWKAHKIRIAERRKYVQQELRKAHYEMPLFKKKAEAAEEEKRQVLKELDDTKRLIEELKLNLERTQTEERQAKQDAELAKLRVEEMEQGISDDSSVAAKAQLEVAQARNQAAIAELKRVKNELENLQKDYDLLLMERDIAIKNAEEAVSNSREIEKTVEGLTIKLITTKEALESAHAAHLEAEEHRIGVAMARDQDASNWEKELQQAQEELEKVNRQIISTEELKSKLDTASALLQDLKLELAAYMGEEKESNESQKINNSTHKDIQSAVDLAKMNLEQVKKEIEKATEGINHLKLKATSLNSELEKEKATLVAIRRTEGMASVTVASLEAELKTMTSELDLVRKKEKEAREKMAELPKQLQKAAEEADRAKSLARAAREELQKAKEAADQAKAGENRMTTRLNATQKEIEAARASERLALGAISALHESESARSNKNELEYGVTLSLEEYYELSRKVQEAEDQANLRVTEAISQIDVAKESETKALAKLGQINTDLVSRKEELSIAMQKAQKAKEGKLVVEQELRTWRADHEQKRKARDDGCQRGVVQGSNTKGTTDMQPPSHSAHNHKPDTKPETNNNGTGSSRETKPRKKKRRSFFPRFFMFFSRKKHSNKST >KVD98140 pep supercontig:CcrdV1:scaffold_11970:1:525:1 gene:Ccrd_024200 transcript:KVD98140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTVIGCDDYAWLTSETNSRYVSTGCATRCPTPKDVVGDKCLGNGCCQSSISKDINYYTTRVYSMDESYNMSYTRSFNPCTYAFVGEENVFKFNGATDLNNTSLKKKIEANVPIVLDWAIGNLSCTEAEATDGFACRYSNSSCVNSPRESGGYRCICSEGYEGNPYLSPGCQGTV >KVD98139 pep supercontig:CcrdV1:scaffold_11971:1251:1700:-1 gene:Ccrd_024201 transcript:KVD98139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epidermal growth factor-like domain-containing protein CATRCPTPKDVVGDKCLGNGCCQSSISKDINYYTTRVYSMDESYNMSYTRSFNPCTYAFVGEENVFKFNGATDLNNTSLKKKIEANVPIVLDWAIGNLSCTEAEATDGFACRYSNSSCVNSPRESGGYRCICSEGYEGNPYLSPGCQGTV >KVI07983 pep supercontig:CcrdV1:scaffold_1199:20191:21300:1 gene:Ccrd_013652 transcript:KVI07983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILIFNVIVYNYLISSYRTVDMNKDHRVMKERAEALEDLKFTYVVSCQIYGAQKKSGDNRDQSCYANILNLMLK >KVI07981 pep supercontig:CcrdV1:scaffold_1199:3507:16250:-1 gene:Ccrd_013651 transcript:KVI07981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLNEQKRKNIGVEGEIRENTMDRTQKIFDGKDRSKKSKMVCVACLLPLFLIPIVNLLPVLFDIIMVEVDSNIYCFQARVYRILGWEYRKPERAPAACPYKPVANKTNESCRLSGEGQEGFLSLLPMLQESIITLRLLILLIRHCQQTLTGNLIEVDFFLNGIYLKFLCIPEIS >KVI07982 pep supercontig:CcrdV1:scaffold_1199:59499:65402:-1 gene:Ccrd_013653 transcript:KVI07982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1624 MEDPKRMEEGFRQVPLVAGEKPEPPVEQREDIRNERKMVTTQQHEESNGGEKPKEKSKRIATLDAFRGLTIVLMILVDDAGGVYARIDHSPWNGCTLADFVMPFFLFIVGVAIALALKRVSNMKYAVRKIIIRTLKMLFWGILLQALIETLTTKLRPTVVQPGPFSIFSAYKWQWLGGFIAFLIYMITTFALYVPDWSFTIRHHDRLESFTVECGMRGHLGPACNAVGYVDREVWGINHLYSQPVWSRLKVLSKILICKFYDPNNMRTFIGACTFSSPESGHLRPDAPNWCRAPFEPEGLLSSISAIVSGVIGIHYGHVLIHFKGHAERLKQWISMGFGLLIIAIILHFTDAIPINKQLYSFSYVCFTAGAAGIVFSAFYILIDVWGKRTPFLLFEWIGMNAMLVFVLGAQGILAGFINGWFYKNPDNNLVNWIQDHIFNDVWHSERVGTLLYVIFAEIVFWGVLSGILHRFRIYWKL >KVI07980 pep supercontig:CcrdV1:scaffold_1199:89520:94276:-1 gene:Ccrd_013654 transcript:KVI07980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDEALALSAADKFDRRSQFFPMTAAAIGAANTALVKDPKLQFKSFDGLRNNSCYSSTYSLLLDKRIHPISSVSSSNSPAVIRAVSTPLKPETSIETKRSKVEIFKEQSNFIRYPLNEELLTDAPNINEAATQLIKFHGSYQQYNRDERGARSYSFMLRTKNPCGKVSNQLYLAMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPFVREDYLFAQQTADNIAALLTPQSGFYYDMWVDGEKFMTAEPPEVVKARNDNSHGTNFPDSAEPIYGTQFLPRKFKVAVTVPTDNSVDLLTNDIGVVVVSDDNGVPQGFNIYVGGGMGRTHRMETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRRYSRMKYLIDSWGIEKFRSVVEQYYGKKFEPSRELPEWEFKSHLGWHEQGDGRLFCGLHVDNGRVKGTMKKTLREIIEKYNLNVRITPNQNIVLCDIRPSWKRPITVALAQGGLLPPTYVDPLNITAMACPALPLCPLAITEAERGIPDLLRRVRGVFEKVGLQYNESIVVRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPAQTTLARTFMNKGVPKSSSRYYLKLFTDKETYETVDALAHIENKTAHQLAMEVIRNFAASQQNGKSK >KVI05729 pep supercontig:CcrdV1:scaffold_12:730043:733942:1 gene:Ccrd_015945 transcript:KVI05729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MIKQILSKVSRKQSKSSKNQESSGNLTPNLSSSTSKRSDVGSGNSRKLVNADISSPYSVSSNPRFNQSEKSFRNGVTVNASYEALPGFRDVPSSEKQNLFIKKVNMCCMIFDFTDPTKTLKEKEIKRQTLLELVDFVASSNVKFTESVMQEFVKMVSANLFRELTPQPRDCKILESYDLEEEEPSMDPSWPHLQIVYELLLRFIASPEADAKIAKKYIDHYFVLKLLDMFDSEDPREREYLKTILHRVYGKFMVHRPFIRKSINNIFYRFVLETEKHNGIAELLEILGSIINGFALPLKEEHKLFLSRALIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADMVIRGLLKYWPVTNSSKEIMFLGELEEVLEATQQPEFHRCMVPLFKQISRCLNSLHFQVAERVLFFWNNDHIESLIRQNRKVILPIIFPALERNGRYHWNQAVHSLTLNVRKIFFDLDPELFNECLLNFQEDEAKEEKMKSRREATWKRLEEIGADTASSNEAVLVH >KVI05704 pep supercontig:CcrdV1:scaffold_12:790119:797245:1 gene:Ccrd_015954 transcript:KVI05704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDAGGHDGEPNQNTVFLDTSLGTHLATIVSDSDTVSDLKKKIMLEHHQCFPAIGDIKIHCLKVKRRGNFYHLSDSMLVKSAFGTTKRNWFVSADASRLEQCDGIQQFDKRKVGDQLALPWVTDSRSIDKHDSLADRPSKQSLVNGSPSMHPKIVPFVNQKVPSVAHLTSGDSCKDLSENVEEHKSSNDEHWRNPQPDSEKQVDSKIEKLYTFNNGIKSKKRIRDVRNESLQEDALRSGPSVKKKRRTQRIDLNAKASEEDRDVIDDTDKVKHKGEGIPDENSFDHISKGKDATSDGLVTKALSDEHTNKGIDQAATVVPSSVEDVGVETHPPKNLEASETVPDVAMVVEKNIQQEMPFEISLKEKDDELVQKAVTGIEIPSSSMRTADCECDAPVVIAEGDLKSAKRSTKHKAAEKEVSSTPFTKKLQKINKDATDSSQQDVGSTGQELAADQIDKRREDREFSLNQGPKVKLPERSKETMVYENTGGGKKRKAKKYAKNKDESLVKHADIHVGDISSSVPAPVFDDKIIDEREIDKFSMGSAERNEVSEKTLVDDPLVYVSKKGDDPTVKEVEVSEPTESHGRQVHVEDVEEKQKKETENCVGSRRKKKVARRSVSINDESVAKCNGDAATGTNEENSLPRSERKESPETMSDVDRGRSDDNPMDIVVEIPRSEKNRNQVNTEDLNDNSKKEDEKMDGIKKSKRKRKTKTFAARHEDESIMKHGHVDTGGMSTAVSNSALDGYLQETAKQGENSLPDSDRKDNPKEKLADSSQLAVGTDGDKDGMDGKSRDKQNSASQTLSSVPIEQQLNKVDKVQDGQGSDEGLVRKAKKNQKFSVRTRKGLQNKHQPTELELEPEKSKGIPEETLKNVAVSEVSKVNSEVDIPKERSHGIDFMDYFVPGDQPNKIASIDNVKDSTQSGKEKKPKKKTKGNLPLVETSADLGKSQVSFGNKQNGEQDDSRNNITIQSQKSVTKNEHSKLLLSSKKTSEVSTNVVKDPNITHIDQIKTPKKTRMIDTPKTNKSAHANKGQDGPSSESSSSSATFGRSFRYQKLNKQQSVQGQPHVKTLKKSVPVVNNSQHVKGLLNTPGTIFGDGSDGNSSDDSETVKSDSSTRTPSKSSSSTSNDDVNVSGSRLVKRMGSGGKNSMNSQFKKAKMNASQVVDDMESEPVDFVPDSQPIAK >KVI05770 pep supercontig:CcrdV1:scaffold_12:900341:904207:-1 gene:Ccrd_015966 transcript:KVI05770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter MTTSSYCFSSTRNPITHSNFLFLNSNLYLPKHRNHSVFTYEPKKDFILKIPKSSVSLLNPKHYHKPIHPFASLSSFAENQDGEEGSESSQTQETVKEEGDLPGMAQAFHISSSTASAISICIAVAALALPFFMKSLAQGMGLKIKLLSYATLLFGFFMAWNIGANDVANAMGTSVGSGALSLRQAVLTAAVLEFSGALLMGRHVTSTMQKGILVANVFQGKDTLLFAGLLSSLAAAGSMVGFGLVYGGPGAVFWSSIARVTSSWVISPVVGAAVSFLVYKCIRRFVYSAPNPGQAAAAAAPIAVFVGVTAITLAAFPLSTTLPIAIAQSLGCGVLGAFIVNNIIRKQLGHLLAKSKSTQQEPHEELNPKGIGFLSDIAGPTGTQLEIVYGVFGYMQILSACFMSFAHGGNDVSNAIGPLAAALSILQGAATGGEIVIPMDVLAWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVTSWVVTIPVGAIFAVIYTWILTRFLAHIF >KVI05690 pep supercontig:CcrdV1:scaffold_12:94919:95891:1 gene:Ccrd_015887 transcript:KVI05690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSLAVAHTHGSDSDKTSTVPATATATAQHQKEVTSGGMMGSLRWIELQLVAFVMVFSAIGLVPLFDLLFPAFTTAYLLILSRLAFPGATTCKEIVQGSSGMLRVYAIMGTVIGLFLPLAYVLGGYARGDEKAVRSATPQLFLLSFQILTENVISGLSLFSAPIRALVPMLYTVRRLFVILDWIQDVLLNKSLNTNAPIEDIAWYWFGISLAVTNLIYFSINIFAFLIPRFLPKAFEQYFKERNETQAKLAEDKRQSEQPKDKKFD >KVI05746 pep supercontig:CcrdV1:scaffold_12:922578:923312:1 gene:Ccrd_015968 transcript:KVI05746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MDVSEVVLLDFWASLFCMRVKIALAEKGVQYDCKEENLANKSQLLLQMNPVHKKIPVLIHHGKPISESNIIVQYIDEAWQNKHPPLLPSDPYLKAQARFWADFVDKKVPIICHIFLLRFSIDLICVEKNTQINDGARRIWSTKGEELEKAKREFIEWLNVLEEQLGDKAYLMGESFGYADIAMVPFYCWFYALETIGKMSIEKECPKVVAWMKRCMERESVSKSLPDPHKVYEFRLQKLNNKTT >KVI05731 pep supercontig:CcrdV1:scaffold_12:376936:385196:-1 gene:Ccrd_015913 transcript:KVI05731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dullard phosphatase domain, eukaryotic VILFKKFQLDCLYFRFIVFFCFLVTVASIHLVRTVIASEVCYSAARLIELESGKGENVSFIGEWPVMVLQRLVKIFPKFEMQTKKVAMGRNSSKGLGSPKVSRTQKKVSEDVNDQENKVSQMITSSARKQKPGTFVIVTSSYTIIYTATCTRKCKESVDTTNLNARGISEADTNATVCLDNDSYLAINDMSVDAEGYNVTDPFVNGTIFSPAFHISRAAEGEIADGETLVHSTLEPCDDADFTFSVNFNLEDHKVYVRCRPYLKEFMERVASLFEIIIFTASQSIYAEQLLNVLDPKRKVFRHRVYRESCVFLEGNYLKDLSVLGRDLSRAFGFQIDNGIPIESWFDDRSDQELLSLLPFLESLVGVEDVRPFIAKKFNLREKIAAAVCPLGEAFER >KVI05760 pep supercontig:CcrdV1:scaffold_12:643476:644231:1 gene:Ccrd_015937 transcript:KVI05760 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM/LAG1/CLN8 homology domain-containing protein MEVNQENLDPFLLPSFTLIFISIYLLGYTTLFRNWDSKQRPEASSCLISLAHGTPAVLLSIYATFLHQQPPHHHHFAAKNIPFQTLVLDFSIAYFFTDTSHYFIFIPNDHLFIAHHLAVLYVFITCRFVVGSGGSAVLLLLMLAEVTSPCQNAWSLARFRKDDVASAARFYGGLSPYFYGFYTVVRGVVGPLVVHKMVVFYLNGGGSGKIPVWAWVSWMVVIVNAILVSVLWVSNLWLNLFKERSKIRKLS >KVI05691 pep supercontig:CcrdV1:scaffold_12:57147:58952:-1 gene:Ccrd_015884 transcript:KVI05691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase 2 MNMNSTWLNPSVSTTNPFFFSSPPVPIRASQMAATTTTTNKIIDSHLHVWASPQQMIIMFVDSVLKKHPSKFVGCCLANPAQDATGLHQLEHLILEDGYRAVRFNPYLWPSGQLMTNEIGKAMFWKAGELGVPVGIMCMKGLNLHISEIEKLCTEFPKTTLVLDHLGFCKPPTSALFLLPSPLLFLLEHHLISLAVLVYVKFSGLFRVSRNPFPYQDLCPLLSQLVSSFGANRLMWGSDFPFVVQECGYKQAKEAVYVIANQLPLSSSDLEWIMGKTAMQLFQGQS >KVI05757 pep supercontig:CcrdV1:scaffold_12:338528:339840:1 gene:Ccrd_015909 transcript:KVI05757 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MIHTRKRSKSLSGTPVATVSGRQCDFLDTLPDDIVLFILAKVGSTATCPADFISVLSTCKRLNELGVHSLVLSKASPKAFAVKSKNWSESSHRFLKQCSDAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAINSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNIPEGRRFLVQANARELATVLSTTPSALISGNWLTWNPLPHLRHGNVVGPGCPLLSDFGCNVPAPEPHPSNVFLTDWFSDKVLSPGLRLCSHAGCGRPETRRHEFRRCSVCGAVNYCSRACQALDWKMRHKMECTQAERWVDEGDGEDNGNGNEDDGMVVDS >KVI05752 pep supercontig:CcrdV1:scaffold_12:964898:965906:1 gene:Ccrd_015972 transcript:KVI05752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MFFSVCLNGIRVSELFGPVALGTPSIDLGGSFSTQGEYEYLDVIVEGGDRVLIDIDFRSEFKIAQPTGNYKLKPNESLKKSTKRQK >KVI05700 pep supercontig:CcrdV1:scaffold_12:831492:837256:1 gene:Ccrd_015959 transcript:KVI05700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 3 MKSLLHNTIPSINNPSRIKTSSIESHNRSYLQFPPTIDPKCLLYRQRRRQLTIKAVLNSASIDQYFGLTESDARNPTLSTTFRRPRHREPNKTVLEAQTRVCTGPTQTKPLTEEQAFKVLDTMLRSAKGELKDEEQVSKAQLGAFFAAMTIRANAFPEPTQWSEGERRAMDAYWPHLVRTLPSDIIFLADPEGSIMRLGSSIGPQYVGNGSYEMRLVGALREILAGGHLGYEEVQGVLKEVLPLKLEHGTPDVVSESLLSAFLIGQRMNRETDRELKAYCLAFDDELGPVPVADVSSLTHYGEPYDGNTRFFRSTLFVAAVRSCYNEASLLHGGGITEEQMLKFMGANTNITPLQAKKLLEDEAVGFAYVSQRDARPSLYSLIEMREHIKKRPSLATTEKVQQFVRATGKEAIVAGFYHEGYEEPLLMLMRRRGVQAGLVVKGEEGALSMTTRLRSVNASKGLPVNYCSGFRSLNTPSAYDVDGVSRENFSLIVDAKEYGFEPLETPRTDRSVSKNIELGLAALHGEKGPAYDRIVLNAGMADHLLGCKGAQDVSTALDRARDAIDSGNALNRLMNYIKISQKVK >KVI05710 pep supercontig:CcrdV1:scaffold_12:282245:283084:1 gene:Ccrd_015905 transcript:KVI05710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis protein MKNNLPHLPERRLFIALSAITLIAAGLLVFTFTGPGNRRFFCNQPISDNFHSMTSPIQLKAILHYATSRIVPQQSFSEITISFDVLRSISPCNFLVFGLGHDSLMWASFNSRGRTLFLEEDPTWVQTVLKDAPDLNAAVVKYRTKLSEADELMNTYRSEPECAPAKSYIRGNTRCRLALTSLPDEVYDNEWDMIMIDAPRGYFDAAPGRMAAIYSATVMARNRKKSGVTHVFLHDVNRKVEKAYANEFLCQKYLKHAVGRLWHFEIPPASNVTDGGWFC >KVI05783 pep supercontig:CcrdV1:scaffold_12:492491:493111:-1 gene:Ccrd_015924 transcript:KVI05783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MLAINSVEKPLEDYIPNSISFRLKFNSKVSGKHAYNPYLYNSYFHSPIRSIIIIIYPFNLLLIFKIMNKSSDCKRIFDRFDEDGDGMISPLELQRGVGLIWEEEVRIEEVEAVVESLQVNNGQLAFEDFVSLMESQKEEEKLEDLRRAFRMYEMDGTDCITPKSLNRMLNRLGESTSVDQCVGMINQFDINGDGVLNFDEFRIMML >KVI05735 pep supercontig:CcrdV1:scaffold_12:140583:141883:1 gene:Ccrd_015891 transcript:KVI05735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFYNPFRVPIFFSSHFHFHFHFHFIPIPIPIPIQIKMKNYATLFPSSSTSTSTSVSSHLSLSLMNDYSPLAYTNDSYDHDQLPLFEKSLNCSSDQEIVVVSPTTSSGLGNSTSGSGKISVKKGEKKIKKPKCAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHHGCNVKKQVQRLSKDEGVVVTTYEGTHSHPIEKSTDNFEHILTQMQIYSSC >KVI05772 pep supercontig:CcrdV1:scaffold_12:860048:862050:1 gene:Ccrd_015962 transcript:KVI05772 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSDRTWPNRSEASHFLTLPFPIKNPIRKHENTSKSTGIQQFRPSSSSRRSDQHRDFAALPNDVLTKIAANFSLPHLQTASLVCKSWRDGLRPLREAMLFLRWGKRFKHGRGGVKPNLEKALESFLKGAARGSTLAMVDAGLVYWEMGKKEEGVALYRKAAELGDPAAQCNLGISYLQAEPPNMKEAVKWLYQASVAGYVRGQYQLALCLHRGGGMNQNMSDAARWYLRAAEGGYVRAMYNVSLCYSLGMGVSQNHRQSRKWMKHAADHGHKKAQFEHGLALFSEGQMMKAVVYLELAGRGGETAAAHVKNVILQQLSPPSRERAFRLADTWRALPSSR >KVI05743 pep supercontig:CcrdV1:scaffold_12:226865:231540:-1 gene:Ccrd_015899 transcript:KVI05743 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated interaction domain-containing protein MLRQLIGQVQELLDLYGTPLPPPLPAVHLPYIFHHQPPTILGQPPQLRNNRWCFLNLEDNPSEDDCYNLVMKAGRFQMLEPGKAPPSKRARKERSRGKLAETSSSNETMEEEIWKEFPEDLHEAVIARLPVATFFRFRSVCQKWNSLLTSNSFSLQCAQVPQPQPWFYTRTHENVNTGAMYDPVSRKWHHPTVPAIPTKMIILPVASAGGLLCFLDIGHRSFYVCNPLTRSFRELQARSVKVWSRVAVGMTLNQKSANGGYQIMWVGSDGEYEVYDSTKNTWTCPGSMPSCIKLPLSLNFRSQAVCVDGSMYFLRSDPDGIVSYDMETGVWKQFTVPAPVHLSDQSLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHIKMSCLGNRGLLMLSLRSKMMNRLVTYDILRKEWLRVPNCVLPHSRKRQWIACGTAFHPCLTAKA >KVI05709 pep supercontig:CcrdV1:scaffold_12:290282:291931:-1 gene:Ccrd_015906 transcript:KVI05709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase, major region, subdomain 1 MGRNAQEQVILQQQSKMDEIEIAKSMITSLPTSPNHNIGSRPTSMVMKKAHTVFPAHLVAEAISTLHGLDLRWSGPITPQEMEYVKQYIFAKYPEYCNGLIVEEGDKIDLYNICSNDESSLEASIISDDKRKYSPRVITIKDSSSPSSFSPTYDLDGTQLEPSKLLEILNKKSSFQGNFMSIPEIQVRNKALQNCGLQEDEYLVLFTPTFKEAMVMIGESYPFFRGNYYMSIINEKEDSVREFVGTKDSKVVAAPESWLDLRIKGSQLSQYFRRKCKYSPKGLFAYPAYVNGTSYSMHWISEAHRNSWHVLLDATELEPTKERLTLALHRPDFVICTVDNTHAQPSKIICLLVRRKSFETTQPLVSDS >KVI05688 pep supercontig:CcrdV1:scaffold_12:987557:991142:1 gene:Ccrd_015975 transcript:KVI05688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTVVCVIGVAGILTIYIWRLLNFLWFKPKKMEKFLRDQGLKGTPYRFMVGDSKELENMINEANSKPMSLNHDITPRVLTLFHKSIITHGKTCFTWMGTRPMVNISEPTMMKEVLANYHQFQKPKGGNPLIELLGRGLINVEADQWIKHRKIINPAFHVEKLKHMVPAFFVSCGEMIHKWDEMVTKESSCEVDVWPHLQTLTADVISRTSFGSSFEEGRKIFELQRELGEMTMKAAMSIYIPGSKFLPTKKNKRMKEIDREVKASIKSIIDKRVVAMKAGESINDDLLGILLDSNYKEIKQRGNINFGLSIEEVIEECKLFYFVGQETTANMLVWTMILLGQHKEWQTRAREEVLQVFGQERPDIDGLNHLKIGNMIFNEVLRLYPSAILLRRSVHEETKLRNIILPAGTLIQLNTLFLHHDQDIWGEDVNEFKPERFSEGVLKATKGQASYVPFGGGPRICVGQNFAMLEAKIVFAMILQRFSFDLSPSYSHAPHAIITLKPQFGAHLILHKL >KVI05756 pep supercontig:CcrdV1:scaffold_12:240816:250280:-1 gene:Ccrd_015900 transcript:KVI05756 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MDSYSLKSVSDLPDPFRSTFSFRYFNSLQSECFSACFHSDMNMVISAPTGSGKTVLFELCILRLLSKFISQEGRFIHMKGTLKTFMAARVRILLYLALSSRVKIYISPSKALVQEKLRSWNQKLGSWGINCLELTGDNESYSVRNIQEADIVLTTPEKFDAVTRFGIKDGGLSFFSDISLVLIDEVHLLNDPRGAALEAIVSRIKMISCSPQMGKSPLAHVRFLAVSATIPNIDDLAEWLMVPIQGIKRYYLIACNGWVSISANEMTLYHLYRFGEEMRPVKLTTKVFGYAPAKNDFLFEKVSGKSALVFCSTRKGAQEAAQRLSQTVMTHGYSNPFIKSRDQQERLKEASLSFGYHNGGLSPKDRNLIEGLFLNGDLQVLCTTNTLAHGINLPAHMVIIKSTQHFNKEKGIYMEYDRSMILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLGGCELVESQLLSCVTEHLAAEVVQLTVPDITRAIEWMKCSYLRNEKKLLNDINTDKDGRLRFHILGDKGKKKRRIQTREEKLFILANDCLTGDPLAHDLSLTQDMNSVCSNGCRIAKCMKEYFIYKMNYKGALNSILLAKSLHQKLWEDSPYLLKQLPGIGMVTAKALQSMGVKSFETLSEADPRKIEMVTGRKFPFGNHIKESLLSLPPKVDMKVEEISCPNYGKSKLVMTLTRLSQSPQATKRHYADMSGRIYKVWTCFFCEVQCRVPVLKCPYSATILVTSPLQGKLTVKADLIFEEFSEDINSHIYHDHGMKKLSLPQPSNVYIVDPDNDHLPQSPTRKPQKSLKSKTEEASMPSFKLLDEDSDEGERVVENNDDDCKIITEITVFDHIREKAKSLPFLAATPSTEHPPSLETLSLIRKRTHNRQLVLNELLESSNNSSEESSEPDGGTSTRSNMLTGDTIFEHIRKKSKCFPRVDEIKSLTTKSSIPDFDFDFDLSAGAESNDQVHKGTLTILDLEAVKPKGKANNEEKSPGLAKRQSCSLATAGETDPFLGFKSVFSFL >KVI05765 pep supercontig:CcrdV1:scaffold_12:571063:574596:1 gene:Ccrd_015930 transcript:KVI05765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase VCVCVGVFYSVVGTPTAPIYNDRYSIRKLNHLSTTTMATTTSLEDVPSLDMMTELLRRFKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAGELVSDDLVVGIIDEAMKKPSCQKGFILDGTKIDKVLDFAIDDSILEERITGRWIHASSGRTYHTKFAPPKVHGIDDVTGEPLMQRKDDTAEVLKSRLQAFHKQTEPVIDYYRNKGVVAKLPAEKPPKEVTVEVQKVLSE >KVI05771 pep supercontig:CcrdV1:scaffold_12:863760:866824:-1 gene:Ccrd_015963 transcript:KVI05771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAVLGNLALLLDVTSPRTTVLDRSKVRLLPSDILLNLFKKDPVTPISNLYVSLPSKGGSEFEKDATTAPHRVVRGRKANSKFNEVDYESSSDEDNGNGYGDDEDVDDERKKRLDWEKEMRMRVKEIEDMRELEKKAEELQSRGEGDEGESGDEGEGESEGREETEEQKRMRVRRELEKVAKEQAERRKMAQLMFDLGQKAYGKGMYGRSIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHKDCIDLYQKLERGHPSVSIRRQAKDLRYILQAPKLKISQEEMVTIPLIGSSYDSYAGSWSDKNKDKDERINTTNQVSSGRDYLGDFLVWRPPGELGKNQAFWAALALWVALVGAALFLQ >KVI05695 pep supercontig:CcrdV1:scaffold_12:35627:36754:1 gene:Ccrd_015880 transcript:KVI05695 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MLIDRPTFVEIWKAKSTKGFESLPYVVSLFSALLWLYYALMKEGNTFLLITINALGSLVEAVYVIIFIIYATSHAKKHTCKIVIGTMALWVVICVGSFMLLEGAKRALVVGWLCVGVSICVFAAPLTILCEVVKTKSVQFMPFPLSCFLTLSAIMWFVYGMLTLDLCVTVPNIVGFVLGMIQMALYQYYKERSKVASYIIHAVSEHTVNIKLSNSEVYPMDSGGREDQEHNNDNAGVEVVNLKPH >KVI05761 pep supercontig:CcrdV1:scaffold_12:612460:618658:1 gene:Ccrd_015934 transcript:KVI05761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MGVLGITRRRFDTFFHIKTRIRRKVSCDYIQKRELSSNYLKNDSILPVLIVGAGPVGLVLSVLLTKLGVKCAVLEKSMTFSKHPQAHFINNRSMEVFRKLDGLAEEIQRFQPPVELWRKFIYCTSLTGSTIGSVDHMQTEDFDKIVSPVSVAHFSQYKLNGLLLKQLETIGFTIRNHEGLDHRPLGEREILMGHNCISVDATDNVINVTTSFVKEGKHIKKQIPCSFLVGADGAGSTLRNLVGIEMRGEKDLQKLVSVHFLSQELGQYLMYEKPGMLFFIFNPEAIGVLVAHDLKQGEFVLQIPFYPPQHNFEDFTSETCKRLIFKLVGRELADVNVVDIKPWVMHAEVAEKYLACEDRIILAGDAAHRFPPAGGMNTGIQDAHNLAWKLAAIIALFNTSLSIQNFQAAMAVPTALGLDPTIANSVHQAINSSVGTILPPGLQKTLLDGIFSLGRAQLSDFVLNEDNPLGSSRLAKVRRIFEEGKSLQLQFPAEDLGFRYREGALLPEPNDEGFVPNKPEPPTGRRQEYIPSADPGSRLPHMNVRVLSEVTREATISTLDLISRDEVEFLLIIAPVDSSYRLAHAVLNVANELNILVKVCVMWPDGLVNVDARSKESLAPWENYIDVVEVRRESSSSSWWGLCKMTHMGAILVRPDDHIAWRVKSAMVGDIQLEMKRVFSAILGIGS >KVI05696 pep supercontig:CcrdV1:scaffold_12:37532:39623:1 gene:Ccrd_015881 transcript:KVI05696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MEIYSTKAAANKNRRKSNLDGDIVDANDESLLDVYHQNISADIDRLAHEFSTLHEKCLEPEIPDTVDTFVRIIELRIKRYNSTKPGMRCGKMVTDQEDQYFTDAITRLSKLKIVLSEFPNTTPSLDRITDVLQQAMTLMQDEFQALLLDYTAPSEPITICKKPNSCTSLEPDNNQQDFPGYSKDNMILMQKIVAVMIHAGYQYECCQVYSTVRRDALHEQVKRFGFEKVNVEDVHKSKWASLEPDISRWVNLANYSSDVLFPAERKLGETVFSNHISVFTGLFINLIHGVTALLVDFATAVAMTKPKAKRLFKFLDIYEALGGIGRALVDESNSHVKLEEYCNLQSEISSVRGSMGETVVIIFNDLNNSIRNDTNKTPVQGGAVHPLTRYVMNYLKCAFDEYKDTLEHILRQHVHEASSQVVEDKSSLSKQLFSVIELLDANLEVKSGLYKDPSLRCIFLMNNNRFILQVVKGNKEMKEAMGDNWCRRKSSDVRNYHTSYQRETWNKLLQCITQEGVQVNGKPNRRIVKEKLKNFNGMFDEIYKTQSTWVVNDEQLLSEIRVSITAVVSPAYRSFIGRYGEGRESNEWHTSSINREANSGSL >KVI05775 pep supercontig:CcrdV1:scaffold_12:838029:848472:-1 gene:Ccrd_015960 transcript:KVI05775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase domain-containing protein MNCTLSGAIRNVKNKGSKDYLPKPLWESKLRNWNNGKCVFPLLSTTHARLSGKVKKGNHYYQSHLHLREAFHNRKTRIYHQKTRESGEYLPNRRHQMAASPAPPPPSLPYTNKPLIKTATALFHSSFHRQRFLSRNRARIQCEQSGFENGSHHHHQQQQQLQWTVDCVAGSDPIHIILKPPAATASPMPMNSGLKSSKKVCLFYAAEMEALAKRIAAQSDAIELRSINWRKFEDGFPNLSIPNAHGIRGEHVAFLASFSSPGVIFEQLSISYALPKLFISSFTLVLPFFPTGTSERMEDEGDIATAFTLARFLSNIPISRGGPTSVVIYDIHALQISIAFPDDGAWKRFHKQLQHFPVIICAKVREGDQRIVRIKEGDPKGRHVVIVDDLVQSGGTLIECQKVLAKHGAKKVSAYVTHGVFPKRSWERFTHDTGGHPDSGLTFFWITDSCPLTVKEVKNKAPFEVLSLASSIAATLQI >KVI05781 pep supercontig:CcrdV1:scaffold_12:478680:485058:-1 gene:Ccrd_015922 transcript:KVI05781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup54, alpha-helical domain-containing protein SFQQDTRSVSKIWRQYILFHLGLFPFSPPCSVTMFGAQASSPFGTPSATPAFGTPSSTFGTPSSTPAFGTPSTTPAFGTPSTPSFATGFGTSLFSTPFSQQQQQQQQQQQQQQQPSLFQQQSSSPFGFSTPFGATPQANSNLFGQTTPAATPFNAQLTTQMAPVAPLPFSLADRDIQAILDAYKDEIANPKFAFKHLLFSVIEPQFRTKPAGVSDIMWTEAMGKLEGLESSHRERLWPQLVKGFKDLSERLKLQDEVILSDAERLQMTQTNVKVLMRILEALEAKGFRLPLTKGEAELAEKLATIIRQLKGSGAELSRRVQNLLTVARVQANGHSGASVCLPGSTKIHEQSLADMHEVLQQQTEAVSRLGNVLKRDTRDMEIIMAEDTQMADV >KVI05711 pep supercontig:CcrdV1:scaffold_12:272695:274221:-1 gene:Ccrd_015904 transcript:KVI05711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MWIKNGFAVLITVVWMSGGVTAAEQSLGEQCASKLTAVMTCVAFATGKEAAPQQKCCDSVKEMKDSNPACLCFVIQQIHNGTNPALQKMKIQESRLLQLPAACKIANASITDCPRLLKLPANSPDAAIFTSNSSIVPTTAGGMPSSTSSSRSDAVKYDAPLVVASIFIPVTLLLATNLLFPNLDG >KVI05719 pep supercontig:CcrdV1:scaffold_12:345846:349348:1 gene:Ccrd_015910 transcript:KVI05719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRLASLLLSCPLFFIVFDQANRFVSFCLLKAGSVTIEPINMSFIMEFAENLILRMMEDPVERDRKFREHTYHMKERCAKTKEMWSYPIRPYGFWTFDRHNAQIFWDAQISQVEGRRDPYDDLLQDHQAESSTSSK >KVI05742 pep supercontig:CcrdV1:scaffold_12:185743:191955:-1 gene:Ccrd_015896 transcript:KVI05742 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHASE-like protein MSFIHLIGFGLKVGQLLLMLCCSFLSVISTNCFTNGVEMKPKSGFIGISTSTRKIWMKFLEILAGNSSKIHHHYYQYIGSRKVRKTWWRKLLILWVAFGTIVSLWFFWYLRSQAMEKRKETLANMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKKPSAIDQETFAKYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKKMTPVNKDEYNPEELEPSPIQQEYAPVIFAQDTVAHVISLDMMSGKEDRENVLRARALGKGVLTAPLDLIKSNRLGVILTFAVYKRDLPSNATLEERIQATDGFKQKQPWQILALSTSFGIFVITLLVGHIFHATVNRIAKVEDDYLEMSELKRRAEAADVAKSQFLANVSHEIRTPMNGVLGMLDMLMDTVLDVTQQDYVRTAQASGKALVSLINAVLDQAKIESGKLELEAVHFDLRAILDDVLSLFSGKSQEKGLELAVYISEKVPETLIGDPGRFRQIITNLMGNSIKFTEQGHIFVTVHLVEEVMESIGVETEPSLKDTLSGLPVADRNRSWDGFRTSSQMVGPCDNITLIVSVEDTGVGIPLEAQSRIFTPFMQVGPSISRIHGGTGIGLSISKCLVNLMNGEIGFTSIPKVGSTFTFTAVFSNGCSNPSEQQVNTWSKSEFRGMQAIIVDPRPVRAAVSRYHIQRLGMHVDIVPNLTNTFSMLSNGSKVVHMILIEEEVWDKDLSSSAVFVYKLRTVDHKIPWKLFLLANSIGGSRGISCPPVITKPLRVSMLAASLQRAMGGNKSTARNGEVPKLSLSKLLVGRKILVVDDNNVNLRVAAGALKKYGAEVVCADSGRKAISLLKPPHSFDACFMDIQMPEMDGKVVVGRIYWCT >KVI05736 pep supercontig:CcrdV1:scaffold_12:114141:119037:-1 gene:Ccrd_015890 transcript:KVI05736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MESWIFPAILRLRASANSFLSQFEPLAIVLAPLLSFLVARFLQTYIQAVQDKGFKATLIGFFMTWLKLVPGVKKYIDAEKHKVVDKLQSSSKSKRDGWRSDLPRTGLGAGVLEQMKEEKQKDAAWQGKCSGTVYIGGNESEGHFSLINEACSMFAHTNPLHLDVFQSVVRFEVEVVSMTAALFGSKEKTSGGQICGNMTSGGTESILLAVKSSRDYMKAKKNITCPEMIIPESAHSAYDKAAQYFKIKLWRVPVNKEFQADVKAIKKYINKNTIMIVGSAPGFPHGIIDPIEELGELAFSYGICLHVDLCLGGFVLPFARKLGYPVPPFDFSVQGVTSISADVHKYGLAPKGTSIVLYRNHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGSLIAGAWAAMLSLGQEGYLEHTREIMEASKRLQKGVKEIPELFIIGRPDMTIVAFGSNVIDIFEVNDILSSKGWHLNPLQRPNSIHICVTLQHVAIVDNFLKDIKDSVKTVKERPGPVSGGLAPIYGAAGKIPDRGMVNELLVDFMDNAC >KVI05694 pep supercontig:CcrdV1:scaffold_12:53600:56952:1 gene:Ccrd_015883 transcript:KVI05694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGPGMNIDVTNSLARAQNIDIQDSNAQLHHANQVGRFPSSVFPHQSQHPLAPSNVRPSHLDVMEGPWSENNGHISNGWMESRIQHLHINNERQKRDMEARRTSEDPRLWMSAGTSDDTSKRFLMELLHQKPGNQPTEPLDINNGTPFERGIPSGPYSGTISSSRSFNLAEQQVGLNHPFVVGSYGSNAGASMDETIVSLKGNDRLDLGSNSGAMHEESPLFGVNGSSQTVYTNSMEGMLPMEREFLDVEGKRRSHKDEVSIMKGQAAEAQESMAQEGGVPAVDHSGMSIDAIGRHNSPGFGGNAGLYVDKVGPTDSFSGEAKDRMTATSRWPENILLKLPAVARAASSHEGLSEMALDSDVRGRNVPTMISHEGGRREAGGGENSKEVRFRRPSSCSDADVSFSEMLRSNGKSHATASGLEGKNGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQHIED >KVI05706 pep supercontig:CcrdV1:scaffold_12:806717:809252:-1 gene:Ccrd_015956 transcript:KVI05706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWWISLVGAAVPALVAGQAIRMKNRRAEEQRLKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPTPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLKLSNTAAVSS >KVI05727 pep supercontig:CcrdV1:scaffold_12:667510:673240:-1 gene:Ccrd_015940 transcript:KVI05727 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGPILITRFVNYLSEDDRDSNYKTGLILSSIFFLSKTVESLSQRQWYFGAQRIGIRIRAALMVLIYKKSLSIKYGTMSNGKVVNLINVDVEKIGEFFWHIHGIWLLPVQVLLALVILYMNLGFAPSMAAFISTVLVMVSNTPLANRQKDYQTKVMESRDSRIKATAEILKSMRVLKLHSWESNFKKKLIDLREKERNWLKKYLYTCSAIAFLFWTSPTVVSVSTFGVCIFLRTPLTPGVVLSTLATFRILQDPIYNLPELVSMVAQTKVSLDRIKEFIIDQDGKQSVEPQSLEPSSSVAIEIEPGEYAWDTNDSDQRRPTIKISSKMKIPKGFKVAVCGSVGAGKSSLLCSILGEIPRISGRRIKVFGSKAFVPQSAWIQTGTIRDNILFGRQMNKPFYDEVVDGCGLDRDFETWVDGDLSIVGERGLNLSGGQKQRIQLARALYNASDVYILDDPFSAVDAHTGAHMFKVQTFLKNFHSKCLINLLDQKTVVYVTHQLEFLSASDLILVIKDGGIVQSGKYDELIANPASEFARQIAAHSKSLNQVNPPNEPQTLTMYPQAIQGSHSERKHEPSQTHGRSLETKPQEESQSGRVKLSVYSTFIFSAYKGALVPVIVICHVLFLLLQLGSNYWMAWATEDEGRFSSKTLIGIFVLLSGGSSVFILGRAILLSTIAIETGQNLFLQMITSVFRAPVSFFDSTPSSRILNRSSTDQSTVDVDIPYRLAGLVFAMIQLVIIILLMSHVAWPIFLLCVIIFAISLWYQAYYITTARELARMIGIQKSPIQHHFSESISGASMIRCFNQEVRFLTKCLNVIDDYSRVTFHNTATMEWLCVRINFLFNLVFFLLLVALVHLPRSSINPSKLLYSLQIKYLTELFGLAGLAVTYGLSLNVLQAWVIWNLCNVENKMISVERILQFANIPSEAPAIIENCIPETNWPSRGEIELKDLHVQYHPALPTVLRGITCTFPARKKIGVVGRTGCGKSTLIQALFRVVEPTKGQILIDGLDISKIGLHDLRSKLGIIPQDPTLFQGTMRTNLDPLEQHSDHEIWEVESLIAFENLRVVWYRVSGLIFVCFNVLNKCQLADNLLKMAKTGALDNGNSALLQKRTILVLDEATASIDTETDNVMQRTIREETSRCTVITIAHRIPTINDQETMI >KVI05768 pep supercontig:CcrdV1:scaffold_12:867707:877266:1 gene:Ccrd_015964 transcript:KVI05768 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MASILSSHHHPSFIYSNIHPKKLNFTTTQRVRFSCRKANRRLVHFSPVKSASANGYSLQNHDDSPEVAVADGMRTDFGEDNVGGVSERLLRFIRLIPSVLPGGKWWSFSDEVEIVANAKPVTLVRALQRMWGLISNDRWVIFAAFSALVLTALTEICIPHFLTASIFSAQSGETIVFRGNVRLLVFLCVTSSICSGLRGCWFGIANMILVKRMRETLYSTLLLQDINYFDSESIGDLTSRLGSDCQQVSRVIGNDLNLILRNLLQATGALIYLLFLSWPLGLCTLAICSTLSIVMLRYGQYQKKAAKLTQEFTASANEVAQETFSLMRTVRVYGTEHKELKRYNNWLEKLADISLRTSAAYGIWNFSFNILYHSTQVIAVLIGGMSIMAGHITAEQLTKFILYSEWLIYSTWFVGDNLSSLMQSVGASEKVFQMMDLVPRSKLPILKGRIEFVNVHVLKGINLALNPCEVVAIVGLSGSGKSTIVNLLLRLYEPTSGQEPRLFHMDVRSNIAYGCTRDVSQQDIEWAAKQAYAHDFISSLPDGYNTIVDDDLLSGGQKQRIAIARAGVLHAAGDNFKRTVIIIAHRLSTVQAADRIVVMDRGQIIEMGNHKELLLKDGLYARLTRRQVDAVG >KVI05763 pep supercontig:CcrdV1:scaffold_12:590461:594043:-1 gene:Ccrd_015932 transcript:KVI05763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNKYMAAGRHGGYRDNEFRERESDLDVSKREFSYSKGEYDRVRNGGNGAYERGKNRARDSRGGGRLRQKDIKERELVNGGGYRSASSRSYSGGSGEGGDSRHGDYHLRVGDREPGELSSESGSDEAVDSEIHGKSNEGSKMDNSSQSSLYSKKRKFSPIVWDRDDKEAGNSSMTRSSPANTTLPPPPPVPKSHRRSPNFVPAAVVQNFPVESNKLHSAHQSPGNTPIDIGKHDSSGFETHVGLSSSPVQEEHVGLSSSPAQEEHAVYIQDVVQPEDVDYLPTRTIRSSRWANDANSPADEGELSDASGDNRIQQRTGSVEIRLQKQSSSPEVEEHHQEGTEVIRGRSSDSNEGGYRVRSSSVEDFPDNDLGRKDYMEIDNEHKKDGASLSLSESDSENGDGSPGTPEPAGPPQRCFNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETMKQPFSQSEVKCLMLQLLEGTKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKQPLFNGKTEFDQLDKIFKTLGTPNETIWPGYSKLPGVKVNFVKHQYNLLRRKFPATSFTGSPVLSDAGFDLLNKLITYDPKKRITAEEALNHEWFREVPLPKSKDFMPTFPAQHAQDRRTRRVMKSPDPLEEQRRKELQQAEFGTGGLFG >KVI05776 pep supercontig:CcrdV1:scaffold_12:565275:568744:1 gene:Ccrd_015929 transcript:KVI05776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFNAGDCTGGSPYVDVKQVFPVEDYQEKVSQRLIEAVHANDLKAAFDCLLDPFVDVNFVGTVCLNSKKTEIVLHDESPSEVRVEFEEFKTDVTALFLAAHVGNVTLVRKLLTAGANVNKKLFRGTATTAAARGCHIEILDLLLEGGASQLACEEALMESSHLGLARPTKALMASDLIRPNVAVHALVNTSHRGFVDVVETLLKVHILLPPFQSLLALKVDCGVDMNGTARVLLRSSKPFLHADVSCNALVAATVNRHISVVQLLLLAGVRTDTKVRLGAWSWDMATGEEYRVGAGLAEPYSVLWCAVEYFEETGSILNMLLQHISPNIPHFGRTIIHHAILCANLKAVEVLLKCGADPEFPVETVKGTGFRLIHLVARLGYYGVLQHLVNACCNLDSRTESGETALMICARHKHVECLKLLAGAGADFGLVNMANQCVQSIAGSVRWTLGLRRAILDVVQSGKVPRSTDTSIFSTLMFVTRVNNIEALKKLVDQTGVVDLDEQDENGYSAVMVAVLNGHMEAFRLLVYAGANVKLQNKYGETAISLSESSVDCGAFEKVILECAQAKGHSKDHLNSCNNGFYTLHRAVSRGDYDAVETLTNGESNVNAPDNDGYTPLMLAAREGHGKICELLISRGAICDIENARHETALRLSRKDGVGNDAERVLLDHLARGLVLGGGRVKKHCKGGKGCAHNKRLSMVEGSGLLRWGKSSRRNVVCKWAEVGPSSAFRWNRRKKTDWDEAGLFRVVTTRNKEVHFVCEGGVEMAELWVRGITLVTREAIFGKLDHVR >KVI05703 pep supercontig:CcrdV1:scaffold_12:782327:786994:1 gene:Ccrd_015953 transcript:KVI05703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G protein signaling MAGCDVIGGCPSDYVALSVAILSFVFLFLRSTLPFLIHKVPRPKGSSFWIPAIQIIASFNLLLSLAMSLNVVRFHRRHWWQSCYLWGVWVNGPLGFGLLLSCRIIQATHLYSVFVKRRLFPIRSYISLPLALLPWVAWAALLHMEQPLNNRCHMGTRWAVPYVCFPVFYIAALIGITGAIHHIEFRFDELKDLWRAILVTTHEEVMWLQVTTRCVLLVVASSLVVAFFSISFSQPLVSVMSLNKKESFELKTMGQALGIPDSAHLSHNDPTLDVDPNEPLDKLLLNKRFRCSFMEFADRSCSCSNLDQVVNLYNGPHSSCQISCLAGESVHFYNEVEQLERIPVNDPVKRIYMARHIIEKYINTGAPMEVNISHRTRQEILTTLDLAHPHLFKNA >KVI05717 pep supercontig:CcrdV1:scaffold_12:415713:429181:1 gene:Ccrd_015916 transcript:KVI05717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 MSRLTSGDVAGFKLLFSMAIMYGVLSMVAYYVTHLKFITPLSIDAPLDRFSEARAIEHIRVLAHEIDGRQEGRQGLHDAAKYIRTQLEMLKDRAGSNVRIEIEENIVNGSFNMMFLGHSLSLGYRNHTNIVMRVSSLESKDGDPAILLNGHFDSPPGSPGASDCGSCVASILEVARLTIDSGWAPPKPLIFLFNGAEELFMLGSHGFITTHKWRNTIGAFINLEASGTGGLDLVCQSGPGSWPSQVYAQSAVHPMGNSAAQVFTLLYSFKNNFKVSWCLNLCFIISLTMLTYQKGMMKITVFFLALLSINGALVPSDIFAFVPGDTDYRMFATDFGSIPGLDIIFLHGGYFYHTSTDTVERLLPGSIQARGDNLFSLLKAFTNSSKLENAHDRGLNRGSGVGSHDEQPMQGLVFHSIPVAIFLLTPFFLRFSKFGLLCSFAALFDFIKGIFFHVIGVIFAVILPIIFSISRFARPYLAYMMFVPCSLAGMLIPTIYWNFFPLSQAGYLLKSSKEELADQARFWGAFGLYAFISMAYFFAGLSGGFLTLSLAAFMLPAWIFFHLSVKHYGRESLMSAACFLLPSLPCLLHSVYFSGFLAQFLIEKMGMMGSLPLPHGYFIPDVIVAATIGAVTGVCVGPILPVTGHWLARSSIMQFLLHTSVIALALTSQFFPYSTDAPKRVVLQHTVVTSDAWQIDDTSYALSVLDSNALPFLFKHAPEVAKELNVDSDFSFDTANQSSREAWMMKSRSITILSKRLPQSMVPAEFTWNFHWAPEIVKGGPPSYICRLSGVAQENWTFWLETNSSGAIHIDVGVVEQYLMESMKKLKDSFPTWVDVIAFSNCIAYVTVFQD >KVI05714 pep supercontig:CcrdV1:scaffold_12:253834:257485:1 gene:Ccrd_015901 transcript:KVI05714 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MAKNTQNSTKEDDEEIVFQSRYPSVPIPDDLTLPEFVLKDAESYADNVAFVEAATNKSYTYGQVAKDVKRFSKALRSLGLRTGHVVIVVLPNMAEYAIVALGIMAAGGVFSGLPVIILGEGRVAGTIWWDELLEAAERASNNGIESVVNQSDLCALPFSSGTTGLSKGVMLTHRNIVANLCSTLFSVGPELIGKVTILGLIPYFHIYGLTGILCATLKNKGKVVVMGRYDLSTVLKALIEHEVTFAPIVPPILLGLVKHPIAEDLEKLKLRSIMTAAAPLAPEIYEEFQKKFPQVEVQEAYGMTEHSCITLTHGDPRKGHHIAKKRSVGYILPNLEVKFVDPDTGRSLPSNTPGEICVRSQCVMKGYYKNEVETAQTIDEHGWLHTGDVGYIDEEGDIFIIDRMKELIKLPDEEAGEIPGANVVMCKDAKESEEEMMKYVAHNVAQYKKVRVLHFVDKIPKSPSGKIMRRLIKDAMLDKITKSQSSAVAQ >KVI05734 pep supercontig:CcrdV1:scaffold_12:442597:445955:-1 gene:Ccrd_015919 transcript:KVI05734 gene_biotype:protein_coding transcript_biotype:protein_coding description:EngC GTPase MSSFSISIIRHHYNPFIPNTVHRCASGFRSLWIAASRNHNRENVSRKSQQPSKHLLKARDAVKHFSSLSPSLTSTDKFSLSPDQAIGKVASAQANFMRVVVESAKSLSPEEEKGNGVELLCVVRNLLKKIKRRVLVGDKVLVGSIDWIDRRGMIENVFERKTEVLDPPVANVDHLLVLFSLDQPKLEEFSLTRFLVEAESTQIPVTLALNKVELVDKETQVSWKSKLRSWGYEPIFCSVETKTGLDSLQFNLRDQTSVIVGPSGVGKSSLINALRSNHFGFHAADMNDWPNSISGSKWLEDQRVGEVSARSGRGKHTTRHVSLLPLSGGGYIADTPGFNQPSLLKVTTQSLALCFPEVRKILSASEPSRCAFSNCLHLGEPGCLVKDDWERHPYYFQLLDEVKIREEFQLRTLGTKREGDVRYKMGDMGIKQAEPRLEMKKHRRQSRKKVNQSFLDDLEEELEDDDESFLEDDPIIRAMENENQ >KVI05723 pep supercontig:CcrdV1:scaffold_12:749154:751405:1 gene:Ccrd_015948 transcript:KVI05723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRQPCCEKNGLRRGPWTIDEDHKLMTFILNNGIQCWRLVPKLAEKPDILNDKTPTIEDIGLMMMSHDHDHEDHHHRLESLNTCFELELPQNWIDNPGFQWDVFNDPGLGFH >KVI05702 pep supercontig:CcrdV1:scaffold_12:763795:767705:-1 gene:Ccrd_015951 transcript:KVI05702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MNARFLSLPLLALVLFLIPSPTFAHRTPHNKPKPQPPPSDIPPIDPNPQTPPVDPNPQTPPVDPNQKPPPVDPNQKPPPVDPKPKTPPVDPNPKTPPVDPNPKTPPVDPNPKTPPVDPNPKTPPVDPNPKTPPVDPNPKTPPVDPNPKTPPVDPNPKTPPVDPNQNPPPPEDPKKKSPPPQDPKKKTPPPQDPNQKPPPTEPDQKPPPTEPNQKPPPTKPDQKPPPTEPNQKPPPTEPNQKPPPTNPNPNSPPVDPNPKPPPVDPNPKPPPVDPKQKPPPEEPPQKPCPFQDRYKGHFTAVYAFGDSYTDTGNAQYMGGLTLSFSGSLSSPYGSTTFGKTSNRLCDGRLVLDFVTDSLGLPTLPPYQSTSSNFTNGVNFAVAGSTSLAGDMISKIVRHFLWKGSLLGVWTQIDWFQKYQRSHICMGLDPKACANKLSTSLFWIGDIGITDYSRAAGSTLSLSNIAKSSVGYTIQILRTLIRAGAKNIVVQGLPPVGCLPIDASICPLRQLDKIGCSTIINGGIIIHNDILKHKLNLYRKLFPDTNIIYADYWNAYYAIVDNPQKYQFQEVHKTCCGAASGKSGNLNFNLQSLCGSSGTSVCNDPSKYINWDGIHTTEAMNLQVTDLLLNQGYCQPSFEQVVKKTTIVVTETTTKTTS >KVI05740 pep supercontig:CcrdV1:scaffold_12:164996:167910:-1 gene:Ccrd_015894 transcript:KVI05740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3339 MLDWGPVFVSLVLFVLLTPGLLFELPGHRRCVEFGTFQTSGAAVLMHALLYFGFICLFLFAVKIHLYLGPAAAAAANGSV >KVI05705 pep supercontig:CcrdV1:scaffold_12:804886:805491:-1 gene:Ccrd_015955 transcript:KVI05705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT1G67740) UniProtKB/TrEMBL;Acc:Q549N8] MATTSSTMSMLDARFLISASAKVFKPIPTKPISLLSIQNLPKGLTTTNPPPQTAAIPLQTAIAGAIFSTLSTCDAAFAAQQIADIAEGDNRGIALLLPLVPAIAWVLYNIFQPAVNQINRMRTKGVVVGLGIGGGLAAASGLFTPPEAMAGEIAAVAEAAAAASASDNRGQLLLIVITPAILWVLYNILQPALNQINKMRS >KVI05715 pep supercontig:CcrdV1:scaffold_12:387543:393148:-1 gene:Ccrd_015914 transcript:KVI05715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRSGGGSCIAIRTVPSISSRFYHVSASFSDPTTCGAPTWMRKGLPCVCFKGKGNYARICMNEERLGRLKNRTKIYFDANRIEHQGSWIHLLGEPTLFCKDILRKISFQQLLRKQGARGAAWEYPFAVAGDVLKSTRMQLEKELLLDDVLRIEDMPSFRLLY >KVI05780 pep supercontig:CcrdV1:scaffold_12:491255:491584:1 gene:Ccrd_015923 transcript:KVI05780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGLRTRSFRNEDYNTRRAFLTSYPLNFDRQETPVPTPASVQVDGDRDRHTKHKKKKEAMKKIVVAMVEWGGGRWVVIRRFKHKVSFYVVACFPLVFKPPKSFISAAP >KVI05716 pep supercontig:CcrdV1:scaffold_12:399996:404649:-1 gene:Ccrd_015915 transcript:KVI05716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MVGKSVISLVIFIIIIFTTYLITTGGLGAGRIGTVDLRSQFSFLSPSRNDTFIPCRSGSPLRVFMYDLPKRFNVAMLSKKFTGEDDNSPVTARNLPPWPRNSGLKQQHSVEYWMMASLLCGNCIDSDSNEAVRVSDPEAADVFFVPFFSSLSFNTHGRNMTDPDTEIDRQLQIDILKFLRQSTYWQRTNGRDHVIPMHHPNAFRFLREEVNASILIVADFGRYSRVMSNLRKDVVAPYMHVMGSFLDDDPPDPYKSRTTFLFFQGRTVRKSEGVVRAKLEKILKGYKDVHYEASYATRESITASSKGMRSSKFCLNPAGDTPSSNRLFDAIVSHCIPVIVSDQIELPFEDELDYTKFAVFFSVEEALVPGYMVQQLRKIPKDRWLQMWRRLKEVAHHFEYQYPPKKDDAVNMIWRQVRHKVPAERLDVHRSRRLKVPDWWR >KVI05773 pep supercontig:CcrdV1:scaffold_12:811208:822314:1 gene:Ccrd_015957 transcript:KVI05773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertase OXA1/ALB3/YidC MANSIPFGSSTVLSPFPDSTRFRTLNPILRGTQVGNFSKNHKPFLRGSTLTVARFGPGQVQFPDPENFRDLIDRAEGLLYTIADAVVSATPDSGITTTTGAKQSNDWLSGITSYMETVLKDGLSTLHVPYAYGFAIILLTVLVKAATFPLSKKQVESAMAMRSLQPQIKVIQERYADDQERLQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLAEGFFWIPSLAGPTTIAARQSGSGISWLFPFIDGQPPLGWSDTVAYLVLPVLLVVSQYISVQIMQSAQSNDPNMKSSQVITRFLPLMIGYFALSVPSGLSLYWLTNNILSSAQQIWLQKMGGANNPARKLNFENLKEDQSKVQEPFKQLKEQEARRKQQQEAEKAKEISLTGEVKVENDSMKSVNGDPSSSSVSNQSSILRMDDGEGSVQYNSDSRRDQQHIDENLEKVTRT >KVI05708 pep supercontig:CcrdV1:scaffold_12:297419:300898:-1 gene:Ccrd_015907 transcript:KVI05708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRALIKAVSLEELWRTSVDRTSFSLYLSRQKFQTPISSGRCRCKIITNVRDCCSERRIDPQINCSRDENLSSSSSVVAENSHEPPQEVDLQIVSDTSKTEGRVGQTTNMVFGGTVNTYPSVRRFTAIGIGGDDFVQSMVGAVESVIQHSIPQVHVACNCRTYRKIMAHVKQRVSSGGKYVSVNIGPVQVISREQM >KVI05782 pep supercontig:CcrdV1:scaffold_12:495578:497934:-1 gene:Ccrd_015925 transcript:KVI05782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLKLYINGVLSFFLFLNYASSLTTDGLSLLTIKSAVDGGATVFSDWNENDSNPCRWTGVTCANISGVSDPRVVGLALAGRNLRGYIPSELGNLVYLRRLNLHGNNFYGSIPDPIFNATSLHSIFLYGNNLSGELPSSMCNPSRLQNVDFSHNSLSGTFQKFLGTCRQLQRLILAGNKFTGEIPSGIFPELANLVQLDLSSNSLNGSVPFDIGQLKSLSGTLNLSFNHFSGKLPESLGDLPLTVSFDLRHNSFTGEIPQTGSFANQGPTAFLNNPSLCGFPLQKTCRNDNSSTPPGTQSFTPAIDDGDSKKGLKPGLIILISVADAFGVALIGLIIVYLYWRKKDSNGCSCTGKRRFGGNQKSKFCSFPCVSGFPSTDSEVESEKGSGVSGGGSGGGGGVGGGSGGGDGGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGEGGEQRYKEFAAEVQAIGRVKHPNVVKLRAYYWAPDEKLLISDFISNGNLASALRGQASAVLSWSARLRITKGTARGLAYLHECSPRKFVHGDIKPSNILLDNEYQPHISDFGLNRLINITGNNPSSSGGFMGGALPYLKSTQSENINNYRAPEARVSANRPTQKWDVYSFGVVLLELLTGKSPELSSPTTSTSTELPDLVKWVRKGFEEETPLSDMVDPTLLQEVHAKKEVLTVFHLALACTERDPDLRPRMKTVSESLEKIRS >KVI05758 pep supercontig:CcrdV1:scaffold_12:301670:305285:1 gene:Ccrd_015908 transcript:KVI05758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MYRSDSVNDDSDRTAFRKTEKKYKLYYDPNTKSSKRKKQPRPVDLSEVIDFKSITESFATNGDLPVGVSRLECHFDRPVFCLDDCPGFYFIPAALSIEEQCRWIKESLVSFPQPPNRTNHNAFYGAIPDLFNAAEDRRLLVEEEKIAGEDNSTDVDVNVSSHRWASSEELASSLSGNTCKSISASVLLRKLRWSTLGLQFDWSKRSYNVSLPHNKIPDALCLLAKKMAGPAMSVGEEFQPEAAIVNYFGSGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSRNDEPLAMFLRSGDIVLMSGEARERFHGVPRIFTDTENAEIGPLEKQFSGEDDICYLEYIKTSRININIRQVF >KVI05755 pep supercontig:CcrdV1:scaffold_12:983236:983568:1 gene:Ccrd_015974 transcript:KVI05755 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNALITSIIDKRMIVTKAGENSKDDLLGVLLDSNSKEIKKDGSSNSGLSIEEIIEGCKIFYIAGQETTVNLLVWTMVLLGQHTNWQARARDEVSLVFGKGKPNTEYRIPN >KVI05784 pep supercontig:CcrdV1:scaffold_12:548306:552564:1 gene:Ccrd_015927 transcript:KVI05784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MENSEIEENLFALGEPKLHGGMCKTLSLIYVKVLSVFPELEASRPRSTSGIQALCSLHIALEKTKTVLQHCAECSKIYLVPFLFFSSTLGHRRSYTDVSILQAITGDSVVLKFEKARSALEDGLRRVEDIVPQTIGCQFSLDPLEKQIGDEIIGLLQQGRNFSNNTDNNELETFHQAASRLGITSSRAAVRERRALKKLVEKARIEEDKRKESIVAYLLHLMRKYSKLFRNDFSDDNDSQGSTPCSPTIHGSFEGYSGSGTHAFERQLSKLNSFNFKPNFRRSGQVPMPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHNTCPKTQQQLAHLGLTPNYCVKGLVASWCEQNGVMVPEGPPESLDLNYWRLSLSESESVNSKPMESIGSFKYKGMKVAPLPLEESDIIEEIEGDEVEVAFEHDGNVFERYDGFLMVLHTEENLRKKCRVVEQIRRLLKDDEEARIYMGANGFIEALLRFLESALHEQNENKETMLAAGILSLLVQMIEGLKSVGAAIALYLNLSCLDQAKAVIGSSEAVRFLIDVLQGTFDSQCKIDALHALYHLSTCHSNIPRLISSGVIDSLQPFLVDSDSHSWTEKAIAVLINLAVTNSGRDEIIEASGLVSGLSMLLDIGEPEVQEQAAACLLILCTGSDKCCEMVLQEGVIPSLVSISVNGTMRGKQKAQKLLMVFREQRQRDPVVVQGGIHRCEGGGDDRKTLSKSTSRRKMGRAWSFWWKNKSFSVYQC >KVI05759 pep supercontig:CcrdV1:scaffold_12:637977:640669:1 gene:Ccrd_015936 transcript:KVI05759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRDTNCRSSSIGRRRRKINAVRMNGKSKSAVGKQRRPVVGSLPGDLLIDVLARVASSSFTDLFNAKLSCRDFLGAAEDEYIFQHVSIDKFPVIHWFPPSDELLSFLNQCIDKGNPEAMFRQGMFGTDRNGTRVLEKGVGKRPCRGNICIRYDSTL >KVI05739 pep supercontig:CcrdV1:scaffold_12:176963:185084:1 gene:Ccrd_015895 transcript:KVI05739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDLIREAHIRWLKPGEVLFILQHFEESQLTHEPPQKPPSGSLFLFNKRVLRFFRKDGHSWRRKKDGRNVGEAHERLKVGNVEALNCYYAHGEVNPNFQRRSYWMLDPSMDHIVLVHYRDITMGGHSAGPISTVSTGSSTLIQSSNSYVTQFTQSAAAFSQFREPYESTSSPGSVEVSSDVVIKSNGTSPLTLAEVTEEIGGSPNFEIDQALQRIREQLSLDEDNLKDIGAFYSENEISNESGITVNEQDYGGSGGMHDGSINYTSEQYPGEYGTAHQYQQQSEGEFSITSQQTSIWDDVLIYNGNAVGDGSQKNFVYPSDRNGVLLPQPRRDPVEEQEKYNYGYTSANNDSSILLPHELEDFKFPAYTPARNLSDSYPDFYSTMFGQGQGGMPLESASSLTIAQEQKFTIREIAPQWGYASEPTKVLVIGTFTCDLAKREWYCMFGDTEVPVEIIQEGVLCCYAPPCLPGKVSLCITSGNRESCSEIREFEYCDKPSSYIHTNQTDKKFSRSSEESLLLVRFVQMLLSDQVRHKEGKTRIDLLVSSMASEDSWSQVIEALLDGSLASSNTTDWLLEELLKDKLQQWLSSRLQDESAVPALSKREQGIIHMVSGLGFGWALTPILNSGVGVNFRDINGWTALHWAARFGREKMVAELLASGASAGAVTDPSQLDPTGKTPASIAATCGHKGLAGYLSEVSLTSHPTLKLQQSELSRNSADLEAERTVDSISKLNLVSDEDPSLRDTLAAVRNTAQAAARIQSAFRAHSFRKRQQKESTRYATGDEYGILPSDIEGLSAASKLTFRNGNDHNAALSIQKKYRGWKGRKDFLALRQKVVKIQAHVRGHQVRKNYKVFCWAVGVVEKVVLRWRRKGVGLRGFKQELGTLDDGEDEDIVKVFRKQNVDVSIDEAVSRVLSMVDSQQARQQYRRMLQKYRQAKVGPLHTAPIFVSYFSQLFKDSMMRLAEHERLESEAASTSENEELNKFIM >KVI05692 pep supercontig:CcrdV1:scaffold_12:62258:78895:-1 gene:Ccrd_015885 transcript:KVI05692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNEEIESSDDNDLPSSAPRVGRKYGPVVAHDNDPVVLEMSSFDHGSSSNSLNKVKTTFQPNAGAGAREESIPNDRGVNGEHKESKLELFGEPIPVPTSPRGHEDSSIAAQHPTARASLAFLFFLASHGEIGRGQGEDELSFPVISSGFSWFMGSKEKTVGESLNGCDIVCLNGYETGKDDETHMGWVGFDEIHPCWERDEREGEEGDQTSSVVKSGTLMGVFIPCLQNILGIIYYIRFSWYVSSVCGLCVLIVGMAGIGGSLAVVALCGLCTFLTAVSLSAIATNGAMKVETYYFLLSLLPIPSVSTSIKGCAICIIMAIVFSTLFMKYSFFKYGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLNAVPKAGLFRETITEVNGTAIAEPITSPSLHDLQVYGIIVTIILVFIVFGGVKMINKVAPAFLIPVLFSLLCIFIGIFVAGKDRPAVTGLSLRSFKDNWSSDYQTTNNAGIPDPNGEIYWHFNALVGIFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTALYFISAFLLGSVATREKLFTDRLLTATIAWPSSAIIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVADGSEPHIATFFTAFLCIACVLIGNLDLISPTITMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGASLCIGMLPGSIQPIWFLFSLFYLSVFFVLLSDCVYIIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGVLVDLKSNIQFVCGTLHNASLSTSIPDDSKRLFISSESAWFFAHQLFVLQLAMFIQRTEDAKIACKNLATYIEYKRCEGVAEIVVAPTMSDGFRGIVQTMGLGNLKPNIVVLRYPEIWRRENLTEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKEMFESCKIQVFCIAEEDSDAEELKADVRKFLYDLRMQAEVIVISMKSWDDKDTQDDSVEAFTAAQGRIKNYLSEMKERGEREGKPLMADGKNVVVNEQQVDKFLYTTLKLNSTIMKYSRMAAVVLVSLPPPPVNHPSYFYMEYMDLLVENIPRLLMVRGYRKDVVTLFT >KVI05699 pep supercontig:CcrdV1:scaffold_12:823286:828893:-1 gene:Ccrd_015958 transcript:KVI05699 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex, mu/sigma subunit MAGAASALFLLDIKGRVLVWRDYRGDVSAVQAERFFAKLIEKEGDPDAQDPVVYDNGVTYMFVQHNNVYLMTASRQNCNAASLLLFLHRVVDVSTVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVGLSCLVQVFLDVVESVNILVNSNGQIVRSEVVGALKMRTYLRCVRLARFENDRTISFVPPDGAFDLMTYRLSTQKSTATNVEIEFPVPADATNPNVRTSMGSAAYAPENDALCWKIKSFPGGKEYMLRAEFRLPSIIDEEAAPERKAPIRVKFEIPYFTVSGIQVSLSRSLPKDHREKRVPGSSMGAIYNDGRRIRTKTYVK >KVI05745 pep supercontig:CcrdV1:scaffold_12:925063:933096:1 gene:Ccrd_015969 transcript:KVI05745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mak16 protein MQNDEVIWQVLRHKHCSFMTKITAGIFCRNPYNLTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEMALEIIDKNLMYWPKFLVHKAKQRLTKMTQMRIRMRKLALKTREKVMTAPRKEKKREARREEKAERAAVLEKNIEKELVERVQKGMYGDLYNYHTEAFNKFLDDFEGPEKDVNEDEKEVETEFVEWNDEYEDEDDLEDFNDDDDGDDDDDDAEEVAVDRKRGRTDSKYALKKQEKEAKKKKGRALVEVEHEDSDRRQKATI >KVI05713 pep supercontig:CcrdV1:scaffold_12:261464:264519:1 gene:Ccrd_015902 transcript:KVI05713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MEWNTKWDWENLDVFNSKGAASSKKLQSAYWAIGEGEDIEGSFNLSGVVAGDGGSASDVGNNSSTKSSISASTESSFKDGMKGSNISFEGFGCFPDSYSKEKEFDRGELNVTSPLEASVCSGEPFIGLELGKRTYFENTYVKSNNKSSSILGIPVSSVSMGKKVKSSCQSTPISHCQVEGCNLDLSSAKEYHRKHRVCDTHSKCPKVIVAGLERRFCQQCSRFHSMSEFDDEKRSCRRRLSDHNARRRKPQQEPNQFNSRSPYSSFYGVQLSDALNMPTLALNRSVPSKGARAEIFDLGFEEPLSSNVDAVDLRRALSLLSNNNNSWGSCDPNSIVLDHHHRMQHSASPSMPQPAVPALSSQDYWQADQQSMDPSIHVKTNNHFQEFQIFKSPYENSFLFHPNG >KVI05774 pep supercontig:CcrdV1:scaffold_12:848333:856687:1 gene:Ccrd_015961 transcript:KVI05774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MSRKALDYDQINENVKKAQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQAPFLLDDPNVGLVFPADAIAKAKMYLSYTAGGLGAYSDSRGLPGIRKENAIIIGDSDPELIYLTDGASKGVMQMLQTIIRGNGDGILVPVPQYPLYSAAISLFGGALVPYYLEETANWGLDINNLRQSVRAMVIINPGNPTGQCLSVDNLQQILRFCHQENLVLLGDEVYQQNVYQDERPFISSRKVLLDMGPPISKEVQLISFHTVSKGFLGECGQRGGYFEMTNIPPQTVDEIYKVASISLSPNVPGQIFMGVMVSPPKPGDISYDRFLRESKGILESLRKRAHMMTDGFNSCKNVVCNFTEGAMYSFPQIKLPPKAIAAAKSAGKVPDVFYCLKLLEATGISTGFPPEDDHFAGRGGHACNHGEFQEVQ >KVI05764 pep supercontig:CcrdV1:scaffold_12:597011:598689:-1 gene:Ccrd_015933 transcript:KVI05764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MRSIEDKGCLNHVSIQETSGGGANRGCSQHHHRTALGKPTPSKWDDAQKWLVKLSRGGEKTHWNTEPRNSNADDRRLIASASQKEHPSSEEDCRDGSMVNYGGIETKKVDCDDSAWRSSDHSGSIVRSICVRDMGTEMTPMASHEPSRTATPVRATTPAARSPIASGSSTPVRPCPNGGHTVDAGGTTRFGRERVELNGENVPENKTLDQDVKLNPLETRAVAWDDAERAKYMARYKREEVKIQAWENHEKRKAEMEMKRMEVKAERLKSRAQEKYTNKLASTRRIAEEKRAKAEVNLNEQAGKTSERADYIRRTGHLPSSFSIKLPSCCW >KVI05732 pep supercontig:CcrdV1:scaffold_12:735579:740676:1 gene:Ccrd_015946 transcript:KVI05732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCMSLKRHALVPTPSINSKNLRTIDDSHLIRHSFSSSQIQRKQQLNHGKRLMVVEAKGKRGMQARQFQRPMPAMPKIEDDGNPRFVIFIRMANVYLWYPVSIVAGGTTAKILVSAKDNFVGKYIYKDTLSKNIAGVIYKDEKEVQKTAIRQHRVLRSATEFRYGYKIVLPTRAEMKTVFDRVLDFFGDAKESFGKLTDIKPTSETEPEERSKAEPEAGRRIYGFTIPAYNRNTR >KVI05779 pep supercontig:CcrdV1:scaffold_12:448620:461941:-1 gene:Ccrd_015920 transcript:KVI05779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 MFRLSSGDVAGFKLLFSIAIMYGIMSMVAYYVMHMKFITPLPIDAPLDRFSEARAIEHIRVLAHEIDGRQVGRQGLHDAAKYIRMQLEILKDRAGSDVRIEIEENIVNGSFNMMFLGHSLSLGYRNHTNIVMRVSSLESKDTDPSVLLNGHYDSPPGSPGAGDCGSCVASILEVARLTIDSGWAPPKPLIFLFNGAEELFMLGSHGFITTHKWRNTIGAFINLEASGTGGLDRVCQSGPGSWPSQVYAQSAVYPMGNSAAQDIFAFVPGDTDYRMFATDFGSVPGLDIIFLHGGYFYHTSTDTVERLLPGSIQARGDNLFNLLKAFTNSSKLENAHDRGLNRGSGTSDDGQPMFFDYLSLFMVYYSRRQGLVFHSIPVAIFLLVPFFLRLSKYGLLCSFAALFDFIKGTLFHVIGVIFAVIFPVVFSILRFARPYLAYMMFVPCSLAGMLIPRIYWNSFPLSEAGYHPKSSKEELVDQARFWGAFGLYAFISMAYFYAGLSGGFLTLSLAAFMLPAWISFHLSVKYYGHESLKSAACFLLPLLPCLLHSVYFSGHSAQFLIEKLGMAGSLPLPHGYFIPDVLVAATIGAFTSVCVGPILPVTGHWLARSSIMQFLLHTSVIALALTSQFFPYSTDAPKRVVIQHTVFTSDGGKIDDTRYDMSVVDSNALPFLFKHAPEVAKELNVDSEYSFDTANQSSREAWMMKSRSITILSKRLPQSMVPAEFTWNFHWAPEIVKGGPPSYICRLSGVAQENWTFWLETNSPGAIHIEVGVVEQYLMESMKKLKDSVPKWVDVTAYSSFLSTYNF >KVI05733 pep supercontig:CcrdV1:scaffold_12:440267:440545:1 gene:Ccrd_015918 transcript:KVI05733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal signal peptidase 12kDa subunit MDWEGQKVAEQLMQIMLVVFAIGAFVTGYVVGSFELMLYIYAGGVILTTLITIPNWPFFNRHPLKWLDPSEAEKHPKPQVTTSVSKKKPIKK >KVI05766 pep supercontig:CcrdV1:scaffold_12:574656:583711:-1 gene:Ccrd_015931 transcript:KVI05766 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MAISDPYSSVNPNNGFCSKTYIYHSLRPPYPLPSQSSPFSVTGFIFSLLQTTVTPTASLIDATTRRRILHSDLQLLVRNLSFSLRQPPLSLSHGDCAFVISPNSSHLPILYLSLFSIGVVVSPSNPVSSVQEISRQIELSKPVVAFATVESIQKLLEAGSSNPVVLIGSSEFESMMRDGQSNGGDLKLKFGSMIRRRFCIPRGLRGRTGKVKGVKLTHRNLISAIAGAVSGRQTTLSREVYLCTVPYFHIYGFTLCLRMVAFGVSIVSIAKFDLRLMLRSIEEFSVSHLAVAPPVVVALVDGNNDDLVEGSNWSSLETVSSGPYENTKIGSVGRLVSHCEAKIINPETGVGLAPGNPGELWIRGPFVMKGYVDDKEVTDTTVDSNGWLKTSDLCYFDNEGFLFVVRNYSFQTLSGTLLVNGVPPVELEHILHLHPDIIEAALHIKTKMATSDSPSSINLQNGFCSKTRIYHSLRPPSPLPPLSSPFSITDFIFSHLQTTVTPIASFIDATTRRRILYSDLQLLVRNLSVSLRQPPLSLSHGDCAFVISPNSSLLPILYLSLLSIGVAVSPSNPVSSVLEVSRQIRLCKPAVAFATAESAQKLLEVGFTNPVVMIGSNEFESMMRDEPNGGRPKTEVSQSDTAAILYSSGTTGKIKGVKLTHRNLISMIAGAIDGRRARLSPAVYLSTVPLFHIYGFGLLLRLVAFGESMVSMRRFDLRLMLRSIEEFSVTNLAVAPPVVVALVDGKNGDLVDGINWKSIESVLCGGAPLTAYGMTETTGGVSRVVGPYESTIVGTVGRLIAHCEAKIVDPNTGVGYVDDEEVMDTMVESDGWLRTGDLCYFDNEGFLFVVDRLKELIKYRGYQVPPAELEHILHLHPDITEAAVIPYPDEEAGEVPMGFVVRRRGTTIDEAQVAPYKKLRRVRFVNSIPKNAPGKVLRKELIKLALSDLTSKL >KVI05737 pep supercontig:CcrdV1:scaffold_12:158710:164808:1 gene:Ccrd_015893 transcript:KVI05737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPETNVSAREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSCKELESLERQLDTSLKQIRSARTQFMLDTLTDLQKREHALNEANRTLKQRLMEGSQVHWHPQEMGYDRQHEAQHQSDEAFFHPLDCGPTLQIG >KVI05720 pep supercontig:CcrdV1:scaffold_12:351316:354785:-1 gene:Ccrd_015911 transcript:KVI05720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor MSSKEVEIEESTSELKHLRFLKEIALKFLAVLSNVYDFAKENVGVLETKIVSVENAVVSAVGPVFNKLKDVAEQIIVFVDDKLEKYAPILAKSLIDNIQSLIDKIIPLVEELLTKAKSLLTPLITTVISLLKEVIKTVKSLLQKILTIIESLPFFDKAEALFDKGLDTIKSLPLVAKALSLLQNPADLAKQALDTTKSVVGGIPVVGNVAQSLLDLVDDNPVLGVVSSAAQNIVKQVQATGLKATLKSAYLSFNVVGLPVIAQFWYKANTYPLFHSLAELILPVVEKLSEYYNKLVRYMDGQGYELFDYLPLVPIDEMKAAYKVVKMAMDGLSAVGDLAGAVTGNNNNSN >KVI05722 pep supercontig:CcrdV1:scaffold_12:753065:753885:1 gene:Ccrd_015949 transcript:KVI05722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MAQSQMTLPLFSQRITTPKSNPSSVILIPNTHKKNPNFTKIIKSAAANGDHLQSTGIKNQQQKQLIKKRPTQSLPIGLWDRFPTARTVQQMMDTMERLMEDPVVAYGVGGGGQFAGDSTSSYSRGRTPWEIKEGEDEYKMRFDMPGMKREDVKVWVEEKMLVLKAEKMSSDSNGESNGGASAAVDDGDWSAKSYGKYSFRIALPENIQFEKIKAEVRDGVLYVTIPKAPLSSKILDINVQ >KVI05687 pep supercontig:CcrdV1:scaffold_12:935539:937531:1 gene:Ccrd_015970 transcript:KVI05687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEDTLKIDDDGDELNREVVNILNKSSEEIIDRVDLSGHQLKFFPEEFGKLTALVHLNLSNNHLQVLPDSVAGLLKLEELDVSSNLLESLPDSIGLLTNLRVLNASSNKLNTLPESIAFCNLNEIRFLPPTISELVSLRYFDAHFNKLHGLPSSIGKLTNLEVLNLSSNFSNLTQLPDTITDLANLKDLDLSNNQIQALPEAFGQLQNLIKLNLDQNPLVVPPIEIVMEGTEAVKGFMIKWTLKNIAAEEQRRAVEANDRDQGGWLAWGTNMVNAYLGQGKPSGSKDSYLDQLL >KVI05721 pep supercontig:CcrdV1:scaffold_12:369048:375415:-1 gene:Ccrd_015912 transcript:KVI05721 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA MVVAQKMKESEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFSDKGVPALGVYDALQKKYLKTLMFCVCETVEGPMIEEYAFSFSYSNADSQEVSMNVNRIGNKKQGETFKCNSATEITPNQMKSSACKMIRTLIQLMRTLDKMPEERTILMKLLYYDDVTPTDYEPPFFRGCTEEEAHHPWIKNPLRMEVGNVNSKHFVLSLKVKSVLDPCEDDNVSLGDDSMQRDEDSEADSEASISDDDYIVAPVDKQKEKQDATMVDEDDTQDAAEDEQQLNRVKDWISAYHLDNLDVTDVLSNFPDISLVLIEEIMDKLVSEGILLKAGNDSFNIRRAGVVFYFLYKLGGEANQTTVRKLMDKMTKEGYIEATNNRRLGKRVIHSDLTNKKLAELQKALDFDAVGLIVGTPPHLVHSTPSDQISHGQGVDLICIRMGPTPVTQLCLKSYTAGIEKGRNGKITEEDKMDTVVCSRSTLDKRSRKASTVKEPILQSMKRQKSISTS >KVI05728 pep supercontig:CcrdV1:scaffold_12:740667:743621:-1 gene:Ccrd_015947 transcript:KVI05728 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSIATLIYLLHRRKTSIPATHLPPSPPKLPIIGHLHLLTNMPHRAFSRLADQFGPIFYLQLGQVPTVVVSAAQPAELVLKAHDHVFSNRPQLVAAQYLSFGCSDVTFSKYGPYWRQARKICVTELLSPKRVNSFEVIRDEEVTPLLHVVSTRSGLEVDISALFFHLANDMLCRVAFGKRFLQEQSRGNEEKDLNRILSETQALLAGFCIGDFFPEWEWVNSVSGMRRRLMKNLKELREVCDDIINEHMKTRKESTSGDGDARKEDFVDVLLRVQRQHDLEVPITDDNLKALVLVLFSSHTLLFSLLFSYFSKYNDRYSKNRLITVQCGWWDMAETKGEIEETELHHFRYLKAVIKETMRLHPPVPLLVPRESMQKCTLQGYDIPERTRILINTYAIGRDPNSWPNPMVYDPERFVETEVDFRGQDFRFLPFGGGRRGCPGYAFGLATIELTLARLLYHFDWKLPPGTGPDDVDLDEIFGLATRKKTALKLIPTENRNYGLKKDGPRVSPNAT >KVI05730 pep supercontig:CcrdV1:scaffold_12:710583:723340:1 gene:Ccrd_015944 transcript:KVI05730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein RQKQRGPESSESTIGGYQQLTLATDGQVQPDRKMATQKGDRKNLLAITGAAAFIAVAVKFVIDAINSKKNQLKKKDLRGSNVRANLSASEIVKLADRLIANSKAVHDTVASVPLDKVTYTNVILPLEQLEAYQFPLVQSCVFPKFVSTSEEIRKASAEAERRIDAHASACSQREDVYRVVKAFAAKGEWTSMELKRYTQFLVRDFERNGMNLTLTKREELQRLRAQIDELSMRYIQNLNDDKSFLLLDHSELLGLPLEFLKSLDKSENDKYKISLRRHHVSAVLDLCKVGLTRRVVAVAYGRRCEANLPILEKLVQLRHKSARLLGYTNYADFVVDRRMAMSSSKVFEFLEDISASLNDLASRELTLLKDVKKKEEGEIPFGIEDLPYYVKKVEEEQFDLDFEAVKQYFPVSLVQSGIFKVCQDVFGLRFEKVDDAEVWHSDVQLFSVFDLNSTDLLGYFYLDMYTREGKYGQTCVVPLQNSSVTNGSRQIPVALLVTQIKKEVGGDPVLLRFSEVLKFFHEFGHVVHYICNHASFAKFGGLQLDPDFVEIPAQIWKPLMNRCYEMPSLKLISGFHQDITKPINDDVCKSLKRWRCSFSALKLKQEILYCKLSLFKKVIGLFDQIIHSTENVDIVGLFKHLHPKVMLGLLMLEGTNPASCFPSTAIGCEAACYSHIWSQVFAADIYASKFRDDIFNQHTGMHFRNKYPLASHALNLVPENLILAALTTCTL >KVI05689 pep supercontig:CcrdV1:scaffold_12:87040:88882:1 gene:Ccrd_015886 transcript:KVI05689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MPKNKRRDNTEEQNHDGNSNDEDSNDSKTTTNKQSKGAIVLGVYLHCQGCVETVVKSLRGFDGVEEIEPNTRDHRVIVKGNNADPIRVAERVRNKLGKHVELISPLPRKQPEKKVEKKPETPKVVETVLKINLHCEGCAKDVRRCILKMKGVQTVNVDMKKSHVMVKGSFDPQSLIAYISKKGGRHAEMVNVKNKQSKNDGEQREGDQHENSKKEKEKEKEKEREKEKEKDGKIAYPNVPPGLVYAPQLFSDENPNACSIM >KVI05712 pep supercontig:CcrdV1:scaffold_12:266999:271774:-1 gene:Ccrd_015903 transcript:KVI05712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSQVDNRNSSAAKRARTDGVSIHIGVSKVDNCLQAFSDMSLLELFMILGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAPKPMQTPQAYSSAAPYVGPGAPSSMYIGVPPYGSSLFNGSSMPPYDVPFSGGSAYHYNYGSRISGGSPYRPLHLSAPPPYSGGSMIGNDGMCHSNSSTFDSFVYFYSGTIVGIHLILLPIFYVVQDLTTNVMFFSASFSGMYGVPPLMDRYGLALPMGHNAMGPRPGFYPEEKVPKKDGKSDNDWKCPKCGNVNFSFRTVCNMRKCNTPKPGAQAAKPGKSSSKLIFLYFAKPDMPDGSWKCDKCNNINYPFRTKCNRQNCGAEKPSESQKSPSEEAEENDQVCLVICLVQLNLLSTRTCSRVVEQLPSIGLKVGHVVVVVFFMLLRFSSYSLMVCVVNLY >KVI05707 pep supercontig:CcrdV1:scaffold_12:1012490:1015464:1 gene:Ccrd_015976 transcript:KVI05707 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEETPVIYVIGVAAILTLYVWTILNFLWFKPKKMEKFLRDQGLKGSHYRFMVGDLKQLEKMTKEAKSKPMSLNHDIAPRVLTFFHKSIITHEVFSNYHQFQKPRGGNPSIKLLTTGLIDVEEDQWVKHRKIINPAFNVEKLKHMVPAFYVSCSEMIQKWEEMVTKESSREVNVWPHLQTFTADVISRTAFGSSFEEGRKIFELQRELKQMIIIAEMSIYIPGSRFLPTKSNNRMKQIDQEVKAMIKSIIDKRVVAMKAGKSINDDLLGILLDSNYAEIKQEGNSNFGLSIQEIIQECKLFYFAGQETTANMLVWTMILLGQYKEWQTRAREEVLQVIGEKRPDIDGLNHLKVVNMIFNEVLRLYLPAVLLRRFVHEETKLKNLILPAGTLIQLNSLLLHHDQDLWGEDATKGQASYVPFGGGPRICVGQNFAMLEAKMALTMILQRFSFDLSPSYSHAPYAIITLKPQFGAHLILQKL >KVI05762 pep supercontig:CcrdV1:scaffold_12:619891:626325:-1 gene:Ccrd_015935 transcript:KVI05762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPAMRYSPGREPRGDNHKRGRSLENGIVFKERDDDLALFNEVQTRERDDFLLQSNDDFEDTFVTKLRHFSDHKLGMNIASRGESSDLLNTEEEKNDYEWLITPPDTPLFPSLDDEAPQIILAQRGRPRTQPMSISRSSTMEKSHRSSRGSPSPNRLSPSPRSGNTTFQPRGRASSGPSSSPPATLRPTTGSRRLSPPSSKPSSPAPRESTPTSRRLSTGSTSTMSPGARGTSPVKTSRGNSASPKVRAWQANIPGFSTEAPPNLRTSLADRPASYIRGSSPASRNGRHSKSPTASRSISSSHSHDRDRLSSRSKGSVTSSADDEVESLPSITLDGPERSNSRKVSGFRNNKALFSQKPSRPISSSSAPKRSFDLALRQMVFNHLLNYIKFFLLLRSVHVTMRGPQNMFRPLLSSVPSSTLYAGKASPAWNSSVTTSSNASSDLDMGGAHDIEESELNQDDATSGCVKVPLPDSNVDDEVFMFEKSEDMGHETHDISPSVQLDFRGDLTLHSRQDGFESLVERDSATSVVSDDFPEVKDMLICSRCGCTYSVIRPTEEEIKLCANCRKSYSSMSISDSSMNISDTVTSGVTKGTSQAEPAISDEDHDLFKTLKPEMRLVESPEVTSKIDAHKDLVTDAEPILNVVSESSLSESRSEEQEPMQVSHPVIGQPSIDFARSKVDFIGEGISTVMKRSSSLKGPVFRSGNFSASSISYDDLSYVRGSTNSMRSSVGRGSVSASSSVDFGPAMHTDPRLHRQLSSRKSDIENHKYQRSVSSLSGTSSHAFRPSSVGTSTLDSFETSIVRGVKDVAAATAATVGSQENSGENEDVNNVRVEIASTPELPTIGQTALDSPESSNLEEPASFQKVEESGKDTDALTACTSEEDTSLDPCVESIDVAEVQLGQGGIKGRSLTLEEATDTILFCSSIVHNLAYEAASIAIEKETQPNNNGSWPLVPAVGKGYSARKEVQIRKTSSKGSSSKSQKARQKKATKAAAAEVPNNTNIGEETDLPKPRIVFPNNKENTKPPKLESKCNCRIM >KVI05701 pep supercontig:CcrdV1:scaffold_12:760146:763419:1 gene:Ccrd_015950 transcript:KVI05701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMMYYPLGRCSYEDSLKVIEADIQHANALAAAIPRAKDGARFQMKLVHDQLTPLIMFLLQWIDSSCTCLLPRYLNLFHVIVYKVYTDGRPKISRHGRKATVGDFYAVILPSLRRLHYDLVELDDVAKVGSLELKISGQRNLEKDDGNSRSASCPFCRGNIKRVKSRDLWVLTCNDEVIDADLVSKEDLVRFYLYINNLPKDSPDALFFMFYEYLI >KVI05753 pep supercontig:CcrdV1:scaffold_12:968850:972527:1 gene:Ccrd_015973 transcript:KVI05753 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKVSVTXCGVGIVVIVLVVWYGWRFVNWVWLRPKKMEKYLREQGLKGSSYKFLFGDMKEMVKMTKEAKKSGPMINLTNDIVTRIQPFIHKTVSTYGKNCAFTWLGPRPCVHITESTMIKEILANYNKFSKQTEGHPFKKYLGTGIVATEGDRWVKHRKIINPAFHVEKLKHMVPEFYMSCAEMIKKWEERLQNEGSCEVDVWPYLQTLTRDVISRTAFGSSFEEGKIIFELQQELLGLVLESIQSIYIPGSNLLPTKRNNRIKKINREINALITSIIDKRXIAMKAGENXKXDLLXVLLDSNSXEIKKHGSSNSGLSIXEIIXECKXFYIAGQETTGNLLVWTMVLLGQHTNWQARARDEVSLVFGKEKLNIDGLSRLKILNMIFNEVLRLYPPVATLGRTVNEDTKLGNIILPAGSYVFLHMLLMHHDEEIWGDDVKDFNPERFSEGVSKATKGQSSYFPFGGGPRICVGQNFAFLEAKMTLAMILQNFSFNLSPSYSHSPHTILTLQPEFGAHLMFHKL >KVI05698 pep supercontig:CcrdV1:scaffold_12:104263:107004:1 gene:Ccrd_015889 transcript:KVI05698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPDWMQHKFRQTNNEHLTEFAPRNSCACLMGKPSLDDLQYYPKSHYHAKAPSNTHIDNQFRKSFACMETARADDERMEEESGAAVSELFHGFLAIGTLATETITTEPATPTFATAVENIMGKETEATENELKLINDELEKVLGPEGKEDRSNDSSGRNSCVNVGRSSPGGTITLGGRPLENNENGAAVCPLQGYLFGSVIGLPETATAKKEHRTSLGELFQRTKMVEEVTGPKSNIGEKQKQKETDKSAVHLMKKILKGRKLYSSSWRSTASSGGTLDSASADKKPRKVQALDFCILQMFHRKVHPEGLVVAPKSENHSKHVTVGNFTNAEYKYRNQMLSEDITVFPLVDASKRSANCTKSNIPHSAYCMSESDGSRECWIKSDADCKPGAGTVEEEVECNVELGGIGLEEVCYATVIV >KVI05744 pep supercontig:CcrdV1:scaffold_12:216132:225697:1 gene:Ccrd_015898 transcript:KVI05744 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MECNRDEAKRAKEIAERKLYAKDIAGAKKFALKAQCLYPGLDGISQLLATLDVYVAAENKINGESDFYGILGVSPSADDDTVRKHYRKLALFLHPDKNKSVGADGAFKHISEAWSLVSDKAKRSAYDQRRNAKLFKQNGGTTAPPPPPGQNGFYNFTRSTTTRTKGTTTTPKDNTSATTSTGPTSDPLSSNKQTNLKTFWTVCHGCKMQYEYLRMYLHQNLLCPNCHEPFLAMETPAPNTKISTKASKSSKGASKNSSNPGRHRTTSQKGESGHFGNPSNHTGFQWGPFSKTTGPASTAQAASMVQRAYERVKREREEAQAAIRREEALRRKKNSKRAANLSSSGHLNSVKRKQGAEDVNSTSLYGLKQGNIGLVDAMKGVSITDIRTQLVEKARTEIRKKLQKWSSESVLNSKVGMEDRVTEKAGRKEEEKDGDDSINGDSTKNAIEPLMIHVTDPDFHNFDRDRSERCFGEGQVWAAYDDDDGMPRHYALIRKVISMDPFKIKVCWLNSRTNSELDVFSGFSKAFGEFRTGKHEILSVSNYFSHKVHFSKLANGTLQVYPRKGDIWALYRYWSAEWSEETPNEVKHKYEIVEVDELDEEAGGFTVTQLVKVAGFKTVFHRHLNPKEARTIPEGEIFRFSHQIPSYLLTGQEAPNAPKGCRELDPAATPDEFLQIIADVQEAENIDDENDEGLGKDFASKSNNESAVLADVKEVVNLDDE >KVI05718 pep supercontig:CcrdV1:scaffold_12:431674:434137:1 gene:Ccrd_015917 transcript:KVI05718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MHVTDISGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KVI05725 pep supercontig:CcrdV1:scaffold_12:694784:697524:1 gene:Ccrd_015942 transcript:KVI05725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNACFISLRCFHHPKRKKLRVDLFRPLSTSVSPLHRAPLTSLVHHSQPLTLTSQLSPSDAPSQRSEEWFALRRDKLTTSTFSTALGFWKGRRRYELWHEKGQMEVMNREWVDLYCWTPNGSTIFRVCRDQEYWALIHGILREFWWENVVPAREALSMGSEEEAKKYEPTSTHDQTESVIRKSKELASKKMEVFNSKGTSWAEQWDPVQDPPVNEKKNEDKKKKEETRGKGAMKSILSLAWMKNLGKKSEK >KVI05724 pep supercontig:CcrdV1:scaffold_12:699284:701588:-1 gene:Ccrd_015943 transcript:KVI05724 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MALNYSQRPIFPAHTSEDNLVSPLRIVNGGYAVEGMSEKGGEGFVKPRNGHGELHDRFGHGREIVDKSGSADSVPKDIIDLLPSDPFGMDIDISTTFTAITGWLGDLEVDYGEYMRNKIVNHKEDYGLFAGFNFIWNSAMRFQSFPSNLTCNDKVGATCMVDLHMKTGEFGDLGNDVVNPAQNAEDMRGFNCASASIDIVSCRDGIGGDPHEAFVLALGYLGTRDLLVVERVCRSLCYATRNDSLLWRNLLIDQPLNERITDDILVQLTSRAEGNLQCLSLIKCPKITDDGLRRVLETNLKLTKLSVPGCTRLSIEGILNNLKAFKSSTETAGIKHIRTGGFYGITLEHFEQLKYLLGIQKNDSSPHYYHRGNLYLPSDDDRAIDVEVCPRCENLRLVYDCPAEGCQAKDECRACIICIPRCAECGRCVHNSEYEETFSLEYLCSECLKQLPRCQEVVAQEMKLSDANCLHG >KVI05693 pep supercontig:CcrdV1:scaffold_12:50127:53571:1 gene:Ccrd_015882 transcript:KVI05693 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF-like protein MRAPSSLSLGSSADSNVKEWLPDGADDKKDWRKVASETESGRRWREEERETGLLGRRERRKTDRRVDVRETTDTRAPPPSDRWHDAGNRSSGHEEDGHGDTQTHSSSTRLISERDPDSRNKWRPRHRMEANSTGPGSFRAAPGFGLERGWTEGSNMGFTIGRGRSSGTARPSSTGFVEKDESVPGKSCFSAGMFFYPRGKLLDIYRTQKLDPSFANMSEKITQEPPITQVMPAEPLGFVAPGKEEEAILGDIWEGKVTSSELSYNSLGKVRSPENIADVGDFGSTAGKDGILSLMELVDPNKQTHQADAESTPQLNGPSTNLTDEQDTSWNRDQRVSEMVAQMESRYEVKTPRSKSGIVGPNHGDPFNFKDVKHQLFDEVQSSAFDTNTAHPNDSNALFVMPSSEQYWTGNMQPLESNTNKHLASDIPPEELSLYYCDPQGEIQGPFLGVDIISWFEQGFFGSNLPVRVADAPEGTPFEELGVVMPHLKTTHDYTTMNGPSLNIDHAGAFEGNLDAGLSVSAPVSEMGISAADPHWQFGGNNGLSTKHAQLRISEHEVPLQLPCSEDQGFHDEEIVFPGRPGSSHDVIGKALRGVPSGNFVNNHTVPTDFTEPGTRSQNDNKLHPFGLLWSELDGSSLRKNLPSKTPFTGGIQRQLMNAGCGGAASLDAMADSTHAAVYRRNAHSESNLYQDAFDAGQSLHMDQEANHFDLAEKLRAQHIQQQLLQQHNLLSASHLNEPVLDQLPGQNQQLAGQTGQDLEHFLALQLQQQQRHIQLQQPLQLHPQQFHQQQMLLKEQQSRQQMLLEQLVQNQMQDGRGRLHNDVVRSNNALDQILLKHQILSELQQHSQHQQRHVDPSIEHLIQAKYGHSPHQGHPNDLMDLMVRARHGQVPSLEHQMLQHDQFHGRQLPMGLRQRVEMEEEMQLGSTWPVDETSQLLRNNVGLHRVNSVGLNPLDFYQQQQRPSPEDLSRIEQNLSVQERLQRGLYD >KVI05767 pep supercontig:CcrdV1:scaffold_12:770169:779955:1 gene:Ccrd_015952 transcript:KVI05767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNDLEQVTGSPTAGENGFVHIESADSAPTDGGSINQVDHLEQDDGVVITGVDTVQYERHDARTTEDGGHDEFVDCPDDLVSNDVRSPVGGNRASQQPFGNDMEDIQYRAPDDEKEIFPQDYEQERRMLMKEVTNLHHQLKALSKQQLLIGGIDAGFSSDQLTSETGEGGEKALLPLHEMVNECFKLIELALNERSQAEGTIRELNATLHMKDKEIEDLMARLNEHSISQDVVAKSDEVSSVEATADRILFSLATALGDAELSDTSVSGKVSHLEKSTSLLLEKYHYFLSEVEMLSHCLSEVKSDFYMQNDMETVFLSVREELFALKRKELELANKNSHLEYQHGQLMEQLNKGRETVELLNAEIGKLKGEVEQERTRYTNTKEKLSLAVTKGKALVQQRDSLKQLVAEKTSELERRLIELQEKSSALEHAGLRNDELTRTENLVNSLQEALSQRDMILQKCGEILSLSGAAGELQPSDIIERVAWLANEVSRLAPLSWEFQRLTELLSSLELPEARQPPNLESQVSWLLESYNLGKNHYIKLQHQNDATREAAHAQIDRLTASLLAEALEKHFFIEEFEDLKYKYEGIVGEKKQMVALLLDASGFSIDGFEENFNLQSDMAVVIGRCFSKIKEQAITSTDSSSMDKEVLEKIQNLLYVRDQESKLYEQILEEEKMYRLERDNRSNELVKVFEELRASKDEKNSLQINLQRAEEKASLLREKLSLAVKKGKGLVQERESMKQLMAEKNAQIEALMLDSQKQESTLSECRDQINILSTEVKKIAKLESDLLRSKEERDQIEQFLVQSNTLLQQVIETIDGIILPVDLKEPVEKVKWLATYLSECQVAKAQAEQELGDVKDEAGMLASKLTEALATIKSLEDALSVSEKNVSQLAEEKRELEFSKTCMGEELQKAIDEREVSKTQAEQEMQILKEEVSTLNKKLVEALKTLKSLEDSLSGSEKTISQLTEEKRELEIAKSRVEEELYKAMEEATSQSSKFQEASANKKSLEEALSLAKNNISVLLSEQEEAQASKAAAEMELQKVKLEVSAHAINLDEAHQTIKSLEDAMSQINTNVSQSSQENETLTSRNVLESEIKKLKEEAKYHERKVVDASATIKTLEDALLKVENTVFDLVGEKKNAELEISALNTELSTCRQELAAKHDKWASELSSFFGNLEVLLKDGSLLSLFKQSFERKIKSLKEIDRLLNEMKDNFDSEKLQDHPAIKENFQSTFLPADDNDWTTGMIDDEFNAKDIDGFGSYAGKTLDNLNTRNQILVDQFGSFSTVIDDMIASLLIKLEAIRNTVPFMVQQTKALQEKLKSMQLDMSTTIEELKGELEKSKSLNDIAEEENDALQRRVFELETELEASGNMCNEMSFKLEDYQAKEDKWKEREAELSVQSTRFQERVFKLETELKESVKDYEGDAPNALLSASQIKALFDKIDGIAIPFPNLVVGNIHPQDSDPVKKLFYIVDSVNELLDQMTLLSHAKEELRSTLSKQALEVEHLKGEFKEAMKDKQEAEKTGRVLFDLSIGLQSIIQKLGGDESVGVKKSADVAGLLPVLERLVQGIVLDSDNSRSKAQDLSAKLLETQKVAEELASKVKLLEDFIQNRTGAPNTIQEKSVFASPSLPSKSEISEIEDQVPVGKIGLPLVPSAPHVRSLRKNSSDQLAITIDSESDRLLGRKETVEDKGHVFKSLHTSGLVPVKGKMIADRLDGIWVSGGQALMRRPRARLSLIAYWLFIHLWLLGTIL >KVI05751 pep supercontig:CcrdV1:scaffold_12:2775:20178:1 gene:Ccrd_015877 transcript:KVI05751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 MDAVSSVCPSTPQWNISRPFLTGQFHQEVESTPGLAEYKGNSVDLGLESEKPIGCYSASVQELIVIDDLLSALIGIEGRYISINRVRGNEDSFSFHVDGSMDLALQARIFPYVESSKRIFPLCRSYLLINQFVESRSQFKSGLVNHAFAAALRALLLVSIYVQAYQIVLLQMLLNVLVKHFLPNSSSFFIGVPNPCILAQDYQAMVAQLEHQFRLGRLSIQGLWFYCQPMMGSMLALSIVIRKASSCNFVGSAVLNLLQNQAKVMAGNYLVRSLLEKMIESANSAYLGILERWVYEGVIDDPHDEFFIAENKSLQKESLTQDYDAMYWRQRYSLKDDIPSFLANSAETILTTGKYLNVMRECGHSVQVPALESSKLMNFGSNDHYLECIKSAYDFASSELLNLMKDKYGLVGKLRSIKHYLLLDQGDFLVHFMDIARDELAKTPNEISVEKLQSLLDLALRSTAAAADPLHEDVTCSVDTCSLLKRLGTLKDLQMGETVPETDALEESLSITGVETFSVNYKVQWPLSLVISRKALTKYQLIFRFLFHCKHVHRQLCAAWQVHQGARARDMHGTGISTSSILCRNMLKFINSLLHYLTFEVLEPNWHVMHNKLENAKSIDEVIQYHDFFMEKCLKECSLLSPVLLKKLEKLKLICLQYAAATQWLMNSIESPYTNKSIDGLHSLENLKVLKLRKPSKKPNSPTEESTVIECVLKFEREFTAELQSLRPILSSRAQAEPYLTHLAQLILGVGMDQQM >KVI05749 pep supercontig:CcrdV1:scaffold_12:25694:32303:-1 gene:Ccrd_015879 transcript:KVI05749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGILSQKLGAARGLSSQVVGNYVLRLRLAPSAMPMRHYSSATKEMTVRDALNSALDEEMAADPNVFVMGEEVGEYQGAYKITKGLLDKYGPERVVDTPITEAGFAGIGVGSAYHGLRPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGPAAGVGAQHSQCYGAWYGSVPGLKVLVPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPISAEALDSSFCLPIGKAKIEREGKDITITAFSKMVGYALKAAEILEKEGINAEVINLRSIRPLDRATINASVRKTNRLVTVEEGFPQHGVGAEICATVVEDSFGYLDAPVERIAGADVPTPYAANLERMAFPQIEDIVRAAKRACYRSVPMAASA >KVI05697 pep supercontig:CcrdV1:scaffold_12:97727:98353:1 gene:Ccrd_015888 transcript:KVI05697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKGKVYPSSSSSCTPFPAEDLDTDSLSVLKLLPATILGLALLLSLQDRQVLAYMITRSINSTHPSVDKKKPSNNHNPPPLFYCDCFDCYTSYWFKWDSSPNRELIHQAIEAFEETLTHGEQSRKKAKKRERIYSPPPERLQVVEEKAEEDGVLNIAAEEKADAQPPEAAVLVAASQHKGLARKVLPDVIGLFHWRLWSLWSPNVSF >KVI05778 pep supercontig:CcrdV1:scaffold_12:474062:477825:1 gene:Ccrd_015921 transcript:KVI05778 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAGTWWVDWSIFWVRSNSENFELGAIRGGRKNGNYSRNRTIGMEFESDWTRHKKSSAFTTRVISTATSCMHWPRKIPISEGEIGVKDPSMCISKLVKKTGSCLHSQVDDDFDCPFLPGLPDDVAKYCLALVPRSYFPTMGGVSRRWRSFIKSKELIIVRKLAGLLEEWLYVLTMDSEGDGTRWEVLDCFGHKRYVLPPMPGPVKAGFGVVVLNGKLMVMAGYSMLDGVGSVSSDIYQYDSCLNSWSKIANMNMPRYDFACAEVNGLVYAVGGYGIDGESLSCAEVYDPETDKWTLIESLRRPRWGCFACGFEGKLYVMGGRSSFTIGNSRFVDVYNPEKHTWCEMKNGCVMVTAHAVVGKKLFCMEWKNQRKLAIFNPEDNSWKMASVPVIGSSSVGFRFGIMEDKLLLFSLEEEQEGFSTLLYDPNAAPGSEWQTSKIKPSGLCLCCVTIKA >KVI05777 pep supercontig:CcrdV1:scaffold_12:557789:563491:1 gene:Ccrd_015928 transcript:KVI05777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain YFPLISLPFRCEKRIKNTTFVFEECTICETYGTTRRETNINHTKEEDSPGRKTVQIEFDSQISPLQHSKHRFFIPAGIPGDGRCMFRSVVHGACLRAGRPVPTENVTKELADDLRTKVVNELIKRRAETEWFLEGGFETYVSHMQRSHVWGGEPELLMSSHVLKVPITVYMRNKKSKSIKVVAEYGQEYGKENPE >KVI05750 pep supercontig:CcrdV1:scaffold_12:22799:23514:-1 gene:Ccrd_015878 transcript:KVI05750 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein MAAITASLQRSLESFSLNTTTTTTTTSGGEGLGLSRCHHSSDATLELNSNITLPYHWEQCLDLKVNVTFLCNTGEVYYINWRTGMKSKEDPRTVDDGFSGYFYSEDDDDDEEEEEEYESEGSSVESSAAASSSRKEEEEEEEEEEKQVDENEEEEEEEEEEEDEDEDEDEDENRKGGHVLVVAGCKGCFMYFMVPKQVEDCPKCC >KVI05785 pep supercontig:CcrdV1:scaffold_12:515676:520919:-1 gene:Ccrd_015926 transcript:KVI05785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, beta-type subunit, conserved site-containing protein MKLDFGGLESSAPLYGGSDDVLSDGFSAAPSFDLPVTSDFDGFQKNSIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDEKGPGLYYVDSEGGRLKGTKFSVGSVYHVGPTGWTKLSGDDVGELHYSYYPVEPAIVEQEMTEAVVA >KVI05769 pep supercontig:CcrdV1:scaffold_12:917161:918784:1 gene:Ccrd_015967 transcript:KVI05769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLGLKKQTNTASSSLFSPMDTTTTKFLCSYGGKILPRYPDGKLRYHGGQTRVLAVDRFISFSELMVKLGELCGSSVILRCQLPTEDLDALVSITSDEDLVNLIEEYDRQATQQSKSLKIRAFLWLPKRLSPTSSTASASGSSSSTSTVTHEAGSPKSPISYTSYSVTRFPVIATNRCIHHLSKPPVKIPVRYNKSAGKLPYHAYGNCSRSYLVHSGNHWQ >KVI05748 pep supercontig:CcrdV1:scaffold_12:656910:660716:-1 gene:Ccrd_015939 transcript:KVI05748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISTDYMVLSSVCVFVLITMQVLMFTSDSTYMFKYDSVHGQWKHNELKIKDEKTLLFGEAPVAVFGFRNPEEIPWGSVGADFVVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPVLNGKLTGMSFRVPTVDVSVVDLTVRLEKKATYEQIKAAIKEESEGKLKGILGYIEEDVVSTDFIGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVVDLICHIASVEA >KVI05741 pep supercontig:CcrdV1:scaffold_12:206010:212063:-1 gene:Ccrd_015897 transcript:KVI05741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTCYRLERKVRPDSSMNQFQIIFFRMHPAIKLNGFTSRHLRLIKSHEAGYDVVMTGCIFAQACNHLDIDFYSHSVLMKEEKLQKYINLLYLSWTCGDINYNRIFSIQDFQNPTPKDFVSKYCHDLGFPCKLKATEIKGSLCKVVGLTTGTSVDHLDKIDVFVQFSKFELVSNFLELKAIIEKKNDANYVFHLSMI >KVI05754 pep supercontig:CcrdV1:scaffold_12:941197:947699:1 gene:Ccrd_015971 transcript:KVI05754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MDVLSFFLLLTVTLSFIHLIKSQMGLSTKTLISIGYPSQSIKINNIKTKAGVDKREPISEEDKIVEVVLLYGGKVVSWKNSQGEELLFMSRKAAGRSSKGGISLCFPQLGNTGTIKEICSSKNNVRSLDNIPLRLTPTGNPSSVDLTLKTTANNSNMWPRSFEFRLRVSLGPDKMTMISHIKNTDSTSFSFTIALQNYFSVSDIRTPGNIAIIDHDKKRTIVIRNEGLPDAVLWTPWDNAAKAAASGFCDKDYKMMLSVDSGVLEKLIILKPSEEWKGYQELSIISSSYCSGQLDPKMVAFYAKP >KVI05738 pep supercontig:CcrdV1:scaffold_12:146745:153060:1 gene:Ccrd_015892 transcript:KVI05738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVRSQKKPSSAAASSDVVSNIPLYRSPPTLEVRLEDFERFAVDRLLVLRGISDGLARGKKPDEMEKLVSDLWKANMRHPQASEVINKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLENSDVHRVLMTELGIPYKVVTNAEFEVPFEEVPELVASRRVFIQKGYAYVALNQDLPTAQLLLPRKWTSMIREQEKDRLTPIVEALSTSYLGPDYSQAKELGEMSLKDIDQVARTSFPLCMRHLFDTVSDLMIESCFMLHAHLSQEDHHMKHGGRMQLGLFLKGAGLKLDDALAFWKAEFSQKVGAERFDKEYAYGIRHNYGKEGKRTDYTPYSCQKIILSTPGVGDHHGCPYRHFSEENLRAALGKMGVSSRALDDVIDKAKTKHYQLACTLTYEAVHGSSCDAGINHPNQYLSDSQKILEAKSTA >KVI05747 pep supercontig:CcrdV1:scaffold_12:644803:647719:-1 gene:Ccrd_015938 transcript:KVI05747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MPPSYFPLRWESTGDQWWYASPIDLAAANGHYDLVRELLHFDTNLLIKLTSLRRIRRLETVWDDDEQFADVAKNRSKVAGKLLLAGEHNNGNGHGHNSLIRAGYGGWLIYTAASAGDVGFVKELLKRDPLLVFGEGEYGVTDILYAAARSKNCEVFKVLLDFTIWSKGDEIGSVFKWEMLNRAFHCAARGGSLEILKQLVEDCGDDVLMYRDLQGSTLLHSASGRGNTALHIAAYNGHLRVVKLLVSSSPSLIALTNSYGDTFLHTIVAGFRTPGFRRVDQQIELMKHIVSGKIVNVDDIINVKNNDGRTALHVAVIENIHSDLVELLMTVRYIDLNIKDVDRMTPLDLLRQRPRSASSEILIKRLISAGGISDYHDYMTRTALASHLKTHGIGGSPGTSFRIPDAEIIFYTNPDKDSMYSGELSRYDSPARSNSEYGQRESGSSAARRLKLLFGWARKKEDDDDRDSLDSFRLRKGTLENSPISIRERYSKCSIPNNKRVLGPSPSTKKKFSQGLTHGVLQVHSPSSQFSGSSWSSGSADEDDEHKPTNVDVMTYMRSSSSPWANHNKPKFHRRQSSLSKVLMNQVFCFGAQGIAADEPVRRPNPRQSYIGSVV >KVI05726 pep supercontig:CcrdV1:scaffold_12:673310:675492:-1 gene:Ccrd_015941 transcript:KVI05726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSLIESGFDFGFKKGFIEAMESVSMAAILNTSNVCFFSWFIVWGFLDILKQRRGGGGGERTRFLRNLPLFSIITLVSSFIIMISHMGFCVCKFLKHEVVTCESVILAFTWCLATVVTVYSLVNRRVGQTRRWCMVLVLFWVFSGILDLVLVTFIIFDYFESKNMHILGSIANIIDIFTLPFLILLCSNGVQFCVTKKHKELEEPLLQENGQENLGDTSAFTKAGIWKRVTFNWLNPLFVLGRTQKLEFNHVPSIPESETAEEAAFWLEESLQKQKTRVSTVEGKEDCINQ >KVI06926 pep supercontig:CcrdV1:scaffold_120:281765:296524:1 gene:Ccrd_014711 transcript:KVI06926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc-finger domain-containing protein MVENATTTSSPPTTSNHDTNAKVLTNTSKMREEGELSASENEEFAGSQFPESTTLPGALLNKDNDVVEMGKSVVTHNPTSSAVARTSVHLNNRKGTEKYRVPFVISFSDDDSGSDSEGERKGNTMDSDDTTRGVIENRKLSTSLGNSRLMQQTAKTNTRIPKNFSTSRTFVSSMNRVNGTSFKSGGGTFVGPKSQPKKSSAPGKNKVGQNVHINSSKLQDLRQLIAIRENELKSRAGKHDKEVASSSLKNSANATLKSTAVRSRDSAERLLVEPKEPEKKRLKVSEPPTNTLISVGQHDRPSTESTLVARISALESDGLKGRYDGNYCDKEILTGTRQSSAMQQINTVKNHTPSTNLPSGTSIVRNSRRHNRITNLAESSAPLTAKTSPHRQVRGCLNNSSFWNHFGATNMSKTGDMDIKSLLEIEELQDKELDEAQEHRRKCEIEERNALKAYRKAQRALVEANARCSYLYHKRELFSANLHSHVMEDSSMFWSNMPLEHTGAHVNTMTNMSENNMHLVPISRHQLQNDFHLRDQHQYGLNVRSTDDLREDGKNLVSESCGEPDTSASEPQEEEKTNDVCSSLHETNTLGEDEQTSAFELKAGDSSLDSQGEGICSERTKEINDDTMTLDPTEDSLLLEATLRSQLFARLGIKTSKKNELGQSMETAVEREDGEIMEGAGNIPSPETEKDQLNDFEGTYVGRSEKSISEYPMGIKDQCPAEKFSVNNGSSLAEPILRSSFGYAKFTSDMNSMPSHTKNLQIHTNDIYDEKKVVDGVCGATLTDIVSNANKNSLLDMYVPEVGSCSNNLAINPFWPFCMFELRGKCNDDDCRWQHLKDYSSRSIDCNNSDNVLGSSLQMRNGATIVSKCLGSLPLAPPTYLVCLDSLKGDSHPYKYLVAHTVKQRWQKYFSASLVVSSSLLGDLHSDEPCLHGPEARIEIHGVWNRQSSFFHGKNVKEWSFMRLASLDEPKDAEYMSSELCLQPLGLSDQLMDDTNQPLEIALLTLSREVNKQKGRREALIVIARALEEHPTSALLWIVYLHIYYGNQKSIGNDDLILALRYWTCLPNGPNLSFLNMLNRYLTDSRALPPSEPLSRSFIKSIRNPKTQKLVNDLLTPISSDFSLVNLVLESCFGPSLLPPQSSERVTDIVDFAEALMEMRPGNYQLALCICKTYSGVNASISFWASAVLMDSLFQAVPVAPESVWVEAAGMLKRLADFTSMLESFHKRALSVYPYSMRLWKSYVGLYGNNSDEVNRVMEMARKKGIKLLIDQIGGWL >KVI06928 pep supercontig:CcrdV1:scaffold_120:232110:235725:1 gene:Ccrd_014713 transcript:KVI06928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSWEDVVLIEEGKNSDEPTVVTVNCPDKSGLGCDLVRNVLEFGLYVSRGDFSTDGRWCYIVLWVVPRPSLLIIDWDGLKKRLVACCPSCLPAFYLNQLPDSSKPPPIYLLKVFSLDRKGLIHDVTQVLCELELTIQRLKVMTTPDGKVLDLFFITDHLDLLHTKIRREETCTHLSGVLGECCISCELELAGPEYKIQQGFCSISEAIADELFSCELSTRENRSQALSADVSNVKKAVITVDNLMSPAHTLLQIQCLDQKGYRSLDLFIQKDDGKKILDVENQATLCSRLKEEMLHPLRVMITSRGPDTELLVANPVELSGKGRPRAEVGRHSTSDREWEVYRFRLDETRGVPSTSNRAKLDIVDKVRRTLMGW >KVI06931 pep supercontig:CcrdV1:scaffold_120:218372:225202:1 gene:Ccrd_014714 transcript:KVI06931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MTNSTLQFHVIDPGSTRIAIATVHLIPQQLAETKLSRPKQKIPKSFPLYKPYRTHKLSQIQKPKGVHFKKWFLQQCQAVVRAERGSEREEQSVIGKCSFQEVVSATMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVI06934 pep supercontig:CcrdV1:scaffold_120:112647:114062:1 gene:Ccrd_014719 transcript:KVI06934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MRIINEPTAAAIAYGLDKKGSSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKRSVHEIVLVGGSTRIPKVQQLLQDFFSGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVCAEDKVGGKKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYSYNMRNTINDDKVSSKLPASDKKKIEDAIDQTINWLDANQLAEVDEFEDKMKELEGICNPIIAKMYQAGGGPEAAAGNTGPPPAGGPKIEEVD >KVI06921 pep supercontig:CcrdV1:scaffold_120:323743:325860:1 gene:Ccrd_014708 transcript:KVI06921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MANAASGMAVHDECKLKFLDLKAKRTFRFIVYKIEEKQKQVVVEKLGEPAESYEDFTACLPADECRYAVYDFDFVTAENCQKSRIFFIAWSPDTARVRSKMIYASSKDRFKRELDGIQVELQATDPTEVGLDIIKSRAN >KVI06942 pep supercontig:CcrdV1:scaffold_120:70884:72401:1 gene:Ccrd_014722 transcript:KVI06942 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MDSPSFHKLKKQASFFLKEKIKTARLALTDVTPTELLTEEATDEDSWPPDTHTMGLISRSAFEVDDYWRIVDILHKRLWKFDEENWRGSYKALVVLEHLLTHGPQRVSEEFQCDKEVIKEMERCRFNWGLRVREKSERILKLLDEDRSFLKQERSRARKLTSGIKGFGSFTQKNVGRRLTESRSLTCFKSDYNDDHQDEDEEDDNLDYTDHPFRVDGHQDTDPLT >KVI06938 pep supercontig:CcrdV1:scaffold_120:16647:27731:1 gene:Ccrd_014725 transcript:KVI06938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MKAKSPILNPSVVTIEHKRDAYGFAVRPQHVQRYREYSSIYKEEEEERSDRWKDFLERQSDSAKLPVIGLTADENKAAPLAHTFEQEADDSSDKATGDDLSGEKPGCDDRQKEEVPPTHQAQIWAHIRPSLRAIEDMMNARVRKKIGVAKTEKDHGAEKPAYEDARPEKTGIEEDSEEEFYDLERSESDPTADVPSSDAVNIQTAPPESSSPWKDELECLVQGGVPMALRGELWQAFVGVKARRIESYYQNLLDPDTKNDNGMEHQIQAEEASKTSTAESACMPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALMGILDDYFEGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQIAWVSGPWFLSIFMNMLPWESVLRVWDVLLFQGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYHNVNESRLQVLRDKHRPAVEAALEERSKGLRMWRDSQGLAGKLCGSKGNKTEKTGDKTTNGVLSRMNSESSNGDELYMGVSGDVEIDSVPDLQEQVTQFLILTYSNGALSSIVLCFDYYKILWLKNELCMILEEKRSATLRAEELETALMEMVKQDNRRQLSAKVEQLEQDLAELHQALAYKQEQENAMLQVLMRVEQEQRVTEDARRYAEQDAEAQRYAAQVLQNRLVMAESMLEATLQYQSGQHKAQPSPRSTNQDSSTIRTSQELSQEIPARKISLLSRPFGLGWRDKNKGKSAEEANDEKSSDGIDSLQKDTNGHQMEVSLG >KVI06922 pep supercontig:CcrdV1:scaffold_120:309111:309908:1 gene:Ccrd_014709 transcript:KVI06922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKDVGRSEKRISEHPMGIKDQCLAEKFSVNNGSSLAVPILRCAFGYAKFTSDMNSMPSHTKNLQIHTNDIYDEKKVVDGYSVLGSSLQIRNGATIVSKCLGSLPLAPPTYLVCLDSLKGDSHPYKYLVAQTVEQRWQKYFCASLVVSSSILGDLHSDDPISAWP >KVI06932 pep supercontig:CcrdV1:scaffold_120:175855:178211:1 gene:Ccrd_014717 transcript:KVI06932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MGSPSQASLLLQKQLKDLCKNPVDGFSAGLVNESNLFEWSVTVIGPPDTLYEGGFFNAIMTFPQNYPNSPPTVRFTSEVWHPNVYPNGKVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRRDDFRKKVGRCVRKSQESI >KVI06930 pep supercontig:CcrdV1:scaffold_120:183714:191484:1 gene:Ccrd_014715 transcript:KVI06930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MAARLQHSLQFQTNSLTTFIFSSSFSNSIQIDFRSKWFSSSSSSKRSAASLYCTCSNTSSSNAFNSAPVTTKDDPFVLTTPLYYVNAPPHMGSAYSTIAADAIARFQRLIGKKVIFITGTDEHGEKIATAAAAGGSLPKGHCDAISQSYRELWKDVNLVTDKSFPCYLTYSWIFIHNLVFCFLTFEFQLDISYDKFIRTTDPKHEAIVEEFYSKVLANGDIYQADYEGLYCVNCEEYKDEKELLENNCCPMHLKPCLKRKEDNYFFALSKYQKKLEEILEHNPDFVQPVYRLNEVQNWMKTGLRDFSISRASVDWGIRVPNDPKQTIYVWFDALLGYISALSEDQDQPSLQTAISSGWPASLHLIGKDGMKMGKSLGNTLEPNELVHRFGPDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCQSTLVADSSIAAEGNAFKDSVEKLYESLLLSSACETVLEIGNAGNLYIDEHAPWSLFKQGGTSFDSAAKDLVVVLEAMRIIAIALSPVAPKLCLRIYQQLGYTEEQFNAATWNDTKWGGLKGGQVMALPNPIFAKIEIVSESEDGPVVEKKISKKKQKRPQSTVGA >KVI06936 pep supercontig:CcrdV1:scaffold_120:442535:447366:1 gene:Ccrd_014702 transcript:KVI06936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MVEPMSGDTDHGGSEEESLLTDVNIGSGGGCTGGCDGSWRLNFDGFQLSSEHKEKPPRGLHDCLGVLVPEDNVAEYYQQQVEMLEGFTEMDALAQRGFVPRLSEEEKATLARRETLAIRISNVANMVLFVAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTQNPYQYPIGKRRMQPLGILVFASVMATLGLQIILESVRTLASDEKDFNLTKEQEQWVVGIMLSVTLVKLVLCIYCRSFTNEIVKAYAQDHFFDVVTNLIGLIAVLLANYISDWMDPVGAIILALYTIRTWSLTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKSIRHIDTVRAYTFGSHYFVEVDIVLPSEMPLQIAHDIGESLQEKLELLPEIERAFVHLDYEFSHKPEHAQTHH >KVI06939 pep supercontig:CcrdV1:scaffold_120:4993:6187:1 gene:Ccrd_014726 transcript:KVI06939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKVDIFASPKQPSLFPLTIECPNISKEDYNLFYQHERRLFTYLLVFLHRDIVESTLVLGFFIWLEREGYTSKNLVRTMVDSLSLSTISIIADEVVTCLKCIEKKGNKFILEGSVKYDISLLNNLLDRKRICLKELQENQNWIFREVSQIANDVYGKALKDILQQFIRYGTRSTMVPPKEVITGGSRYLGHLVPNPTYEINSYLGFRPELGFATHQDWPMVAFERANGALLTPMLDFGQHKDLCLNSPLTIRDLDHEIPADDRTVFLTFSKGYPISESEVRDYFTRNFGDFIEAIHMHDVGPEEQPLYARIVACSPSMVNTIVGRDGPEGKSKYNINGKHVWARKYVKKKPIRLSLTQPTSSE >KVI06919 pep supercontig:CcrdV1:scaffold_120:325012:327620:-1 gene:Ccrd_014707 transcript:KVI06919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPKPNPRQERSGDEHLCKELQGCGRRREAEAAATRGGGDERRRRRTTAATRRRRSITQKTSQLIPEFIEFLRKQLERRGSPFNASVSTAFNNIKAHLSRIGCLQLYLNAVKFSLEPVLRACIDHFAPHPCKNSALLAIFCCHKIKIIDSVSAFISREACCEIFITFSWFSQLFHHNLLLFLLNFVNDEAKGPLCLQV >KVI06937 pep supercontig:CcrdV1:scaffold_120:33495:51497:-1 gene:Ccrd_014724 transcript:KVI06937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGRLLRPRTTTFYTIRTFCSSYSTSSPPSSSEIGVIEPLPAFGKITDGRNVQWVFLGCPGVGKGTYASRLSKLLCVPHIATGDLVRDELSSEGPLSSQLAEIVNQGKLVSDEIIINLLSQRLEAGEAKGESGFILDGFPRTIRQAEILEGVTNIDLVINLKLREEALLAKCLGRRTCSQCGGNYNVACIDIKADDGNPAMYMPPLLPPANCASKLITRSDDTEEVVKERLRVYNEKSRPVEEFYRRRGKLLEFDLPGGIPESWTKLLQLLNLDDHEDTRSAAA >KVI06929 pep supercontig:CcrdV1:scaffold_120:242233:246807:-1 gene:Ccrd_014712 transcript:KVI06929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like protein MDGMQARNSQAGQATTLVTENVHKQPFVIGVAGGAASGKTTVCDMIIEQLHDQRVVLVNHNLQVFMSTTSIILANSFDNEKLLSAMEMLKPGEAXGIPKYNFRSYKNNVSKGLKFYHIQRVYKSAPMHSKPKLQDDDRDRPRGEPFGLEDPHVPYHPSGLDGTACPSATTPTSAAIIVGTLHLSLISQSGH >KVI06923 pep supercontig:CcrdV1:scaffold_120:401490:410501:-1 gene:Ccrd_014703 transcript:KVI06923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MEERRLQMYKEVVGKDVASEMDSYKEYRSHGMQMARRIKTITGTKESVSSRICYYQYTDFELRLSPLESLFSWQGGPIIMLQIENEYRNIEDSYGQKRKDYMKWAANMAVGLGPGVPWIDACNGYYCDGYTPNSKKKPVIWTENWDGWIKKGR >KVI06933 pep supercontig:CcrdV1:scaffold_120:176790:184132:-1 gene:Ccrd_014716 transcript:KVI06933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccaryltransferase MTNLKSSNSIGGNGGVCTAHALELEVLLQVQYKEAADLLEEEEEENHFERKSIWMEFEKDDEKMKVYSISFLNTSSFTAQLIGVQARVDYVSSICLKLVLPRSPTRQQQYANLWMMSDGDLGFMANFLGIFIFALVIAYHYVLADPKYEAN >KVI06920 pep supercontig:CcrdV1:scaffold_120:382884:393497:-1 gene:Ccrd_014704 transcript:KVI06920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MVVKMEGINGTFGSVDHLVATLPRSTHTSPSFFSDLPPKMSPKFPIPNLQSPRYFFTLLSILLYLTPIISSRTLPTIASFSHLSHDSSITYLWPLPSEFTSGNETLAVDPDLSLSVSGNGGNSVIITEAFERYRTIIFKHVGSSKFGGIRTLGYDISKLTIVVNSNDEELQLGVDESYTLLVAKNNGLSVIGEVTIEVSSHVRKHSLWCIAWIRGMITTGHSCATFSQLCGFDYGTKTVQIYKAPWFIRDKPRFVFRGLLLDEQSFPLEVPAYPKLWEGAYTKWERYSVEDAYEIVNFAKMRGINVMAEIDIPGHAESCIQKSSCFLCRGNGYPDLWPSDSCKEPLDVSKNFTFEVLSGILEDMRQIFPFELFHLGGDEVNTG >KVI06943 pep supercontig:CcrdV1:scaffold_120:61489:70451:1 gene:Ccrd_014723 transcript:KVI06943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 2 MELWGWRWTMMFELVVIVTLVIGFGLVVAIAVETFRRRFNHAHLEAHPIFEDPNSFEQVQCPYINDPAEKYISLIIPAYNEEYRLPAALDEALNYLQQRSKKDESFSYEIIIIDDGSSDRTKRIAFDFVRKNKVDNVRVILQRKNQGKGEAIRKLLAYAKALQKQGMLHSRGELLLMLDADGATKVDDLEKLENQILAVAKTKGQVASDSSKGIADIPIVAFGSRAHLEKKALATRKWYRNFLMKGFHVIVLLAAGRGVRDTQLFTNIRLKRWCFDVELVYLCKYFHIPILEISVNWSEIPGSKVNPLSILNMLWELALMSLGYRTGIWNISS >KVI06940 pep supercontig:CcrdV1:scaffold_120:96885:100554:1 gene:Ccrd_014720 transcript:KVI06940 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO domain-containing protein MKYMVQPSPLLSSSPFGRSFSPPVIRHRSSVVSLSSQEEGVCLRVLICILIFSAIVALMRKLTKNVVLISPELGTTFFSCKQRSLPPKLGLLRYNPQQDLGFLDSLKFNGKKSRHQRIYGVPSPNICRAQSLVVKCCNNPQNVDLPRYYSKKEKKPFPVPIVELRRAARERMKSRKGQPKRSAPPPKNGLLVQRMIPLAYATLNARTTLINTLKKLLKVVPVQACKYCNEIHVGPVGHPFRSCQGQNSSIRKGKHEWVDAVVDDILVQIEAYHLYDRLGKRIPHEERFSVPRIPALVELCIQAGVDLPEFPTKRRRKPIIRISKSEFIDADESELPDPISQDPKPMLLTEIPDWEIVPPSNAEQTVLLAEETLQAWEKMRGGANRLMKMYPVRVCGYCPEIHVGPTGHKAQNCGAYKHQQRNGQHGWQSAVLNDLIPARYVWHVPNLEEPLLQKELRNFYGQAPAVVEICVQGGAAVPEQYKPTMRLDVGIPSSVREAEMVV >KVI06925 pep supercontig:CcrdV1:scaffold_120:455604:469023:1 gene:Ccrd_014701 transcript:KVI06925 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MEITYASSSLPDLSSMARTPATVTTRPVKVIPFQHPYSAADATPTSSSSPSSPFSRWRTKMKRMKTVDWIDFFLPCSRWIRTYNWHENLQPDLISGVTVGIMLVPQSMSYAKLAGLQPIYGLYTGLVPVFVYSIFGSSRQLAVGPVALVSLLVSNVLGDVDSSAELYTELAILLSLMVGILECTMGILRLGWLIRFISHSVISGFTXASAFVIALSQAKYFLGYSVDRSSKIIPLVKSIIAGADKGKTRKKLRYLRAGGPLTAVVLGTAFVKIFHPSSISLVGDIPQGLPPFSIPKEFSHLKSLIPTSLLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANICGSFFSAYPSTGSFSRSAVNHESGAKTGLSGIVMGIIMACALLFMTPLFEFIPQCALAAIVISAVIGLVDYDEAIFLWRVDKKDFFLWAVTSTTTLFLGIEIGVLVGVGLSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANTSYIKDRLREFELVVDQSCKRGPEVERIYFVILEMAPVTYVDSSAVEALKDLFQEYNSRDIKIAIANPNKDVLLTLTKSGFIDQVGKEWCFVRVHDAVQVCLQHVPTAPKVPESSLDKTSRFLERLGMRRKEDLSTSEMESGERDTVISKDSDPQLEPLLSRKSHK >KVI06924 pep supercontig:CcrdV1:scaffold_120:478066:479618:1 gene:Ccrd_014700 transcript:KVI06924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP01589, plant MGDSSASYIHMVQHLIEKCLIFHMSKEECMEALSKHANIKPVITSTVWNELEKENKEFFESYLQSQSSSGVNRMSEAETSELIQKIISDNQSNKDD >KVI06935 pep supercontig:CcrdV1:scaffold_120:373672:376142:-1 gene:Ccrd_014705 transcript:KVI06935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAPAKADVSKKGDAKAQAPDGTKKAYVRLTPDYDALDVANKIGII >KVI06918 pep supercontig:CcrdV1:scaffold_120:364690:369549:-1 gene:Ccrd_014706 transcript:KVI06918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MKAPEEKRTSVIYEEEPNDSVDKVSQKLSTRSILKYTMKQFPNQKGFWGVSLPVSIPSPPPFPLRFASIVSEGFCSMVLSNKKLKQRIRAQLAESLAKSESQANKSDLNDSQTHQSIKSLLNSATQKPKLSKREKRRVNIPSLQGTSELQNHENGVEERESEEGNGGLKKNKKRKREKDGVEVKDVDNEVKDLSEKNISKKKKKKKKKNKKKKVVKKEEEGLQKANGGSEEIVVAEAVQTYTSNEDGISTKVYVGGIPYYSTVDDIQSYFEGCGSITEIDRLKFPETGKFNGIAMISFRTDAAAKRALALDGSDMGGLLLKVQPYKQIRDKKVSDFAPAMLEGYNRIYVGNLSWDTTEDELKKLFSDCRISSIRLGKDKETGEFRGFAHVDFADSLSLTMALKLDQKLLFGRPVRIRCAVPPKSVNPSSKLEPAVGENEANVFNAPVGVTSDTVVNDDVARKAKRQTCYECGHKGHLSSSCMNRKAANVAHTGYETNDVAFDITNTLASMLRRRTCYECGERGHLSSLCPKKQATDVANMSKESIGMEIEKFEEPKTIAAVGNEAGGNLVSNVSDGKLRRRTCYECGERGHLSSLCPKKQGADVANMSKEANGMEVEKSEEPKTMAAVGNVSDGKLRRRTCYECGERGHLSSLCPKKQAADLMSSSKEAINGMEIEKAEELKPVEAVGNEAGNNVVSSVSGGKIRRRTCYECGEKGHLSSMCPNIKADFMKP >KVI06941 pep supercontig:CcrdV1:scaffold_120:73383:78031:-1 gene:Ccrd_014721 transcript:KVI06941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin/actin-like conserved site-containing protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDGLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTXSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KVI06927 pep supercontig:CcrdV1:scaffold_120:300947:307245:1 gene:Ccrd_014710 transcript:KVI06927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydantoinase B/oxoprolinase MGSVDEGKLRFCIDRGGTFTDVYAEIPGQSDGKVMKLLSVDPSNYEDAPVEGIRRILEEFTGNRIPRTSKVPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLTVLKPSNLYEEVIEVDERIELVLKQDSEIHEPESAGSNVVMGISGEQVRIVKAVDEATLRPLLKGLLDKGIKCLAVVLMHSYTYPHHELAVNKLAISMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFISKFDDLGRVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFGLETNKPLIGFDMGGTSTDVSRYAGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLILGYVIPDYFPSIFGPNEDQPLDINKTREEFGKLAKQINSYRNSHDPLAKEMSVEEIAQGFVNVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAIARSLGMNEVLVHRFCGILSAYGMGLADVIEEAQEPYSVAYGPESVVEASRRESLLLKQVKQKLIDQGFGESSITTESYLNLRYEGTDTAIMVKGKRNEDGSGSDYAIEFVKLFEQEYGFKLENRNILICDVRVRGIGVTNILKPRATKSSSGTPKAQGEYEVYFGNGWHKTPLFKLEDLEYGHLISGPAVIMNGNSTVIVEPNCKAIITKYGNIKIELESSLVSVKVSEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFDPDGGLVANAPHVPVHLGAMSSTVQWQLKYWGQNLNEGDVLVTNHPCSGGSHLPDITVITPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVERGIFQEEGITKLLRFPSSDESSHKIPGTRRLQDNLSDLHAQVAANQRGIVLIKELIEHYGLEMVQAYMTYVQQNAEEAVREMLKSIPAKVSSESVDSLTIEEEDYMDDGSLIHLKLTIDSKKGEAFFDFSGTSPEVYGNWNSPEAVTKAAVIYCLRCLVDVDIPLNQGCLAPVKIYIPPGSFLSPSDKAAVVGGNVLTSQRITDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIAGGSGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFGLREKSGGGGIHRGGDGLIREIEFRRPMVVSILSERRVHAPKGLKGGKDGARGVNYLLRKDKRRVYLGGKNTIKMEAGEILQILTPGGGGWGCI >KVI11200 pep supercontig:CcrdV1:scaffold_1200:99899:100561:1 gene:Ccrd_010396 transcript:KVI11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MDSPSPAVLPVSNSKTGGADESQPPVATPAFRSFINHITGTVRSGLSERRAWSELVDRTAFSKPESISDATTRIRKNYAYFRINYFTVVAAVIGVSLLTNPFSLITLLGLLAAWLFLYLFRPTEPPLVILGRTFSERETLGLLIVLSIIVVFLTSVGSILISALLVGMGIVCTHGAFRAPEDLFLDEQDPSGSTGFLSLLGGAASTAAASAAPMIAPTRA >KVI11198 pep supercontig:CcrdV1:scaffold_1200:86432:92649:1 gene:Ccrd_010394 transcript:KVI11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MSSCCLFHHQNLLFPSPNLQHDIRYFKVESIRQVSGCSIRFRTLPKSSSRQNPSTSSPQLPVEKPGFESRIDSEDDENLDSYLPSASTSFNPFVSFIQGFREKLKFDELGMEIMSIALPAALALAADPITSLVDTAFVGHLGSAELAAVGVSISIFNLVAKLFNIPLLNITTSFVAEEQAVLVKAGMADNQNKKFLPSVSTSLALAAAFGIAETIALSLGSGFLLNTMGIPVDSPMRIPAEQFTSIKALGAPAIVLALAAQGTFPAGNLLNVILDLILIFFSGLGVGGAAIATVISEYLIAFILLWKLNEEVVLVTPNVDGEKVGRYLKSGGLLMCRSLAVLVTMTLSTSMAAREGPVAMAGHQICLEVWLALSLLTDALALAGQAILASSYSQKNYSQARRVIYRCLQIGLTAGVGLTFILVFGFGQLSWLFSTDSEVLKIARSGTLFVAASQPMNAIAFVLDGLYYGVSDFKYASYSMVAIGVVASAFFLVIVPEFGLPGVWTGLFLFMTMRVVAGFWRLGTKEGPWKLVYSETDQES >KVI11197 pep supercontig:CcrdV1:scaffold_1200:92731:97102:-1 gene:Ccrd_010395 transcript:KVI11197 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAM175 family MDAISLHKIQISGPTLASLIQRVSSAAGDVDGLLFGRVSHITPLTLSDDSSAATTSDQPTLIATVTSFFSFTSTSTFYSASGQLDTPALNNLLSTSSSDDRLIGWFSGRRKTHLRPSMRESSVTSALASSIQFSSQVQNSHESHTFPPCIFFLLTTPFQDQLIHTHEYKAFQFQSSTDSFDPKTLDVVNLGPAFRGHYGNFTPNSPFPDLPFQVKGLNCESMVEDEGEKEKEKFGTLCDEGFKIGRLKILMGSEAANYTAELEELYNNMIKKLNGLAKLVEQSSARVLEQENHNMKLRFVKREIIESKTGSWSRKIQLVFEKPAVVLVGKNQDSCCVYFSGVPLNRQQVSSV >KVI11195 pep supercontig:CcrdV1:scaffold_1200:71494:73991:1 gene:Ccrd_010393 transcript:KVI11195 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MIQRWHKKTQLPLLALVFFLFVLCTIFINERRFQEIHRKEIRKGDDVATRNDVKKTFSSTSTPSSLNSSHPKDRSERTHFHLPHSHEFCANLGKIDLLSRSTLVTSSGDSIIYLYSCDGIILSGNYGGNPVVLDRFSTCKSTVKYSGKKAGWDIHFDKQEERKDSCDVFSGRWVFDNTSYPLYNESDCPYMSDQLACLKHGRPDLGYQYWRWQPHGCNLKRWNATEIWDKLRGKRLMFVGDSLNRGQWISMLCLLQSVIPAEKKSITPNAPLTIFRAEEYNATIEFQWAPLLVESNSDDPVNHRLDERIMRPDSVLRHASEWKHADILIFNSYLWWRQGPVKLLWSTEENGVCEEAQGLEAMELAMDAWADWIGSNVDPLKQKVFFVTMSPTHLWSREWEAGTNGNCYSEKSPIEDEKYWGSGSDLDTMRMVENVVSWLKSKVSVINITQLSEYRKDGHPSVYRKFWEARTDEELANPMSYSDCIHWCLPGVPDVWNQLLLHFLL >KVI11196 pep supercontig:CcrdV1:scaffold_1200:39145:50858:1 gene:Ccrd_010392 transcript:KVI11196 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MRLLEREREREMRKKKQLGKYVWDVKHMVESIFIMFLIMGALATIAYYYVNIDHDQPHPAAVFKFYTPNPNTTSAYESLDGCDLFSGKWVHDNHSYPLYKDLDCPYIFGDFACGQYGRMDSNYQQWRWQPHGCTLPRNQWVSMVCMLQTVIPLGRKKMQKVPNVSMLTFKALEYNVSIDFYWAPLLVESNGDHPTKHKTNDRIVRIKSIEHHAKNWVNVDVLVFNSYLWWRTPALKILNGSSEDSKQYNIVDNHRGYRMVMKTWSDWLYTHINHTRTQSYFISMTATHHRGVEWGSKSDENCLNETEPITKDDFWESGSDLKMFKILESSLNKLKAKGVKVQLINITQLTQYRKDAHPSIYRLHYSPLTNTQLSNPSSYADCTHWCLPGVPDVWNELLLTYILRKHK >KVI11199 pep supercontig:CcrdV1:scaffold_1200:100082:103100:-1 gene:Ccrd_010397 transcript:KVI11199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor MAKFKVRQPIVSVLSKMPCLNLSTNVNLDAIDTSSILSEATSTVAKLIGKPEAYVMIVLKGSIPIAFGGTEEPAAYGELVSIGGLNADVNKKLSAEIAEILETKLSVPKSRFFLKFYDTKASSSKSRKCTVSACFTPVLDHVYHHRVLEPIPDHPMGGWCCHKDFAPNGDQARVGAIIGAAEAAAVEAAPPSNDRNPGFTLGKRTAENHQRWFGGAEEVEEEPGGEEAKEGDEREGICKERNADDGGHDGEVVDAEVGIVLADPCCGVGDGFRF >KVD98138 pep supercontig:CcrdV1:scaffold_12014:963:1287:1 gene:Ccrd_024203 transcript:KVD98138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEVPAEAVNEMLANFVLPKSKDMPGTDEYFDQVITLKMCFFNIILKSCSKLASNLSSSNQSFASSTVVNWTAT >KVD98137 pep supercontig:CcrdV1:scaffold_12014:628:950:1 gene:Ccrd_024202 transcript:KVD98137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRATVIFNTLLARASKTPRNFIIYLTNVYKSALVVFPRPEDLKSRSA >KVI00828 pep supercontig:CcrdV1:scaffold_1202:16423:19971:-1 gene:Ccrd_020917 transcript:KVI00828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRVVFTRIQNLDPEYASKIMGLLLIQDHGEKEMIRLAFGPESLVHSVILKARKELGISSGNAPSTPSTPSSPSQFSSHSLSRQNSANSSTTSRLVNGGVTNLPSPLSIPSLSSSWANSSFSDFQPTEDHHLISNGGLTSIGIGSSPSTMNSSAPPFYGGGGEPDLIDELQIQDQLSFLNDGSPTLGPKATDFFYPDLVSPNGSGDMFSPWGGGGGGPHRRSSSVNDICLGASGEDPNGWKPCLYFARGYCKNGASCRFTHGGGEADMAAMAVGSLSKLDALEQCQELLRSKSAHQQRLLMAAAGSSPNFPFPNSPKSMNFLLQQQPLQSDSPRMAAAAAAALMMGEEMNKFGRSRNDFSMGMLNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYAETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKFRKQQQQQMDRGEFSSCGSPTGFDSRDPFDLQLGARILYNSQDLLWRKKLEEQADFQHAIELQNRRLMDLQLLDVKRSHHHHHRALSSGAAIPSPTCYSPSYLNHSSVFASDNRSSSSPDSEDGLISKMVTPVTINVDEPLEATSNLEKEKESLEFKDENSNGKSSPHHEDVDLPESLEHNLPDNLFASPKASGEYRTVFSNDDDEITDKNSPVSSAPFSSNNGNLITSPLLPATSTLDLASLKSCYFQFPRKKLNICNKKAYPDEMFSKIITTTNNRHRPPPATAMNTTYYHTKLYR >KVH93267 pep supercontig:CcrdV1:scaffold_1203:65253:72315:1 gene:Ccrd_004681 transcript:KVH93267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain-containing protein MKAMETLQDLIEEAKLRTVWWALCIFAVSYFLAHTSKSMWMNVPIAILLVSGSRILLNEVEFRWKIRKTRPETYLSHLEKKQLSVNDSRLSTLPPPPKWKRKIDSPVVEAAMEDFINKLLQDFVILLDLVGVHLELFRKNQAAIGVEVMVTLSSEERDERLKHHLMASKELHPAVISPESEYKFLKRIMGAVVAVVLKPREAQSALVRCIARELLACLVMEPVMRFASPAYINELLELIFIANEGGKEADEDQSANSKGHKQDQAVATSSAKRPDLSYSHESDVKLSRHDNEIALPLVKSCNISTGLIQEETKHPAAADWARILEAATQRRTEVLQPENLENMWTKGRNYKKKALKNAAKGVQSSTSAGVGIDGGIKQTKGKEISPKKLETSTSVGIPPKPHLDGQRKDHLFDVDQSNASNFDGGGVEEKSIVGGGSKNIFRKSNSASDLNNQTQIETAYPEVSRSIITEFYSANVGKRDVHNTNAGFDKVLRIEGYVPKLKCRVTTFSFMFVSLLSNIVLGAYFEKLGSKSFAVYSIAVTAAENNTWFVKRRYRNFERLHRQLKDIPNYTLHLPPKRIFSSSTEDAFNYSFGKSSSVMRTLAVNVDDAVDDIVRQFKGVSDGLMRKVAGPSFTYEPVSSATSRSLTWKADELSNSFTRQTTSESANSLSDNEDHDKEETIDHDETDSSAPLNGWHSDSELNSKGFPPRWTPPNVSVPLLNLVDKIFQLNRRGWLRRQVFWISKQILQLMMEDAIDDWLLRQIHWLRRDDIVAHGIRWIQDVLWPEGVFFTRLNAQTQTGSQSDQDSPRTASHPSSSRVNKQGSFEEQLEAARRASDVKKMIFNGAPSTLVSLIGHNQYKRCAKDVYYFLQSDVCLKQLAYGLLELVIITVFPELHDIITDVHDKKQFPPV >KVH93272 pep supercontig:CcrdV1:scaffold_1203:75703:76257:-1 gene:Ccrd_004683 transcript:KVH93272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFDSDGKLQMLSNTFPVNKLKEMSNSWTIFNLSKLLGRGPTIMLLLTSKSVMPCSIPTSSGRQPARRLLDKRPCSKYGMCPKLLGMHPLNLLLDKTSMEAGEFPMFSGRREWKRLLFTTMASSSLSKSSGGNAPSNSLYLISRNFKEGIPKITLGKGPTKRLSLASSSNKSIRFEKLLGKIP >KVH93273 pep supercontig:CcrdV1:scaffold_1203:58557:60476:1 gene:Ccrd_004680 transcript:KVH93273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKSCKLSDLRTLKRLNCITIFGSTQGQYTLHISSLSISETESTNTKSTHIQPVTPQSLKKSISCSQWHFIEYISDTLTPTTISSALYDLRASPDLVLKFTEYFNPSNTDVECYCLSIAIICQLPSPKLSSQFIKTVISSRRFSYKDVFDGLVDARERLGISSSIMFDLWIKGFCELKRADEAFKCFYLMKQKGVLPKIETCNHILSLFLRFNHTQTAWILFAEMFRLKINSTVCTYNIMINLLCKEGKLKKAREFVGNMETMGLKPNAITYNTLINGYCMKRNLDGAQRVFEGMKAKGIQPDTYSYGALASGMCKEGRFKDASDLLVKMEELQIVPTAITYNALIDGYCNKGNLEMAGVLPDNVMFNALIDGHCANKNMERALLILKEMDRLKVYPDEVTYNTLMQGHCREGRVEEAIKLFDEMKRRGIEPDHISYNTLISGYSRRGDMKEALMVRDVMLNRGCRPTRVTYNSLIQGLCKNKEGHHAEELLKEMVGKGINPDDSTYYSLIEGIESVDKFLENDI >KVH93263 pep supercontig:CcrdV1:scaffold_1203:123100:125463:-1 gene:Ccrd_004690 transcript:KVH93263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MAQAHPDEKLQEKLEKETITTTAKRDEKREISSFKFNAQAPEFVPSSRTQSPVSGYFYPYFNYLGMGGNDGSGSGDWIYAGAGDQDQQMHLFTNPNVLIPNYSKNVLTDDLQQKIIKQVEYQFSGLSLLANESLVKHISKDPEGYVPISVIASMKKIKSCITNNHLLAQALRSSSKLVVSNDGKKVRRKHPFTEKDKEELQSRTVVGENLPEDHSHQNLEKIFSVVGSVKAIRICHPPEPNSSHSKGDYVFSNKLHALVEYETTEIAEKAVDKLNDERNWRKGFRVRLLIRRSPKSVLKTRKSDFEGFLDDDDDAFDLSEESSQPSSAETVVDNNGEENSKKGWAARGRGKSKSRTPSHSSRGLLSPSPQTSSSVVHLEASSTKQSAKGPRMPDGTRGFTMGRGKPIASNPPILTGIP >KVH93270 pep supercontig:CcrdV1:scaffold_1203:77053:78422:-1 gene:Ccrd_004684 transcript:KVH93270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MFILCGLYRAITFISTKVAKSTNTKVTNPTPISLEVKVRLPTRVIFGDDQTLRRFDPSELVQLPKNRLGEGSLGTLYKVVLDCGLTITIRRIRKEITSVGDFEYWVRQQITLGAAKAVASIHSRFTETGEPLVCGVIKSSNFLLQADFSPRLSSYETPYFISPSTIIRRNCGRMAPELTRTRRISKSFTQASDVYSFGILMLELISGKKPSVTNLGQYVAEKRKREGPKGVPDKRMSDVTENVSLMIIIAGHCLSSDPKQRPSMGRIVEMIQAALE >KVH93264 pep supercontig:CcrdV1:scaffold_1203:30331:39341:-1 gene:Ccrd_004679 transcript:KVH93264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome assembly chaperone 2 MEFNLEEGKHLYDECSTLILPALSIGNVGQLAVDLLIASTNAERIGCLDDPNVLPCVGNDAYIPTPQGDLALPLEAYESPSSALTLIQQRSPVVKGRMIEYAKNLADFAAACGKKHVIILSSLDFGRWQTIDMSSGQQIYYLSNTTVDGADDQCEKLGWKRMKEYDPNQKLWKYLNTLAEGSVSQDDISLLEDDLGEEDYYPSLPFAAMFSCFKAKGLKVTCLLCYCSEGDNIPDAFHLAEAACNLVGLRPDTFKGNEGGGWVIPFSWQSVYGPPPDMSLF >KVH93268 pep supercontig:CcrdV1:scaffold_1203:116552:121554:1 gene:Ccrd_004689 transcript:KVH93268 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g49510 [Source:Projected from Arabidopsis thaliana (AT1G49510) UniProtKB/TrEMBL;Acc:Q9XIB8] MATLVSLNQSTCLQHSSRMPLKLTSPSLMVFKGWSRQSNMLSSKPKGLTYGKTCSKILSVMNMNAAQPDKDGKSNIDLVIDKARKLWDNSPQEVKSFPWTRALENFTQLIIDIILVVTQYLYVPVMAITSVSEMSYCAHERKLFITPLPLLIGVAVAGLLRSRALKLSPFLKDAKVPWHLYANMLFFTLIKLPGPYYPYWGRILIPHFANGGLFRTLWFLFLWYRMPRKDVHT >KVH93271 pep supercontig:CcrdV1:scaffold_1203:84378:97580:1 gene:Ccrd_004685 transcript:KVH93271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANATIKSSSTDEIQPVEDKVPVEHPKEDDHAGGGWGWGFSAFSVLSDLQKAAEEISRNAAEVAKTAANSISDLQNELEDSESSKEDHPEASDKDQESEDEDDKKRKAALEKLEKAIFIFMLQGIKAIDTSVENFASGAWQALGNAWKGGSSFVQKLENSIQQGGIPAAGSVTPSLLETGRAFTAKGMQVLEYVGKETVDLLITESGMEIDKNAGEGGHETEEDQLLEEVTFDRCFYIYGGPEQLEELEALSNHYALLFNRRKAKLSTEQKSAYDVKLKEVQQMLSLDTGSDGNSAESEKGKNVENIYDSSYDEIKSFHSSSVSKAAEMAAGFANALAGLPPSDIVQRTGGRLDSLHSEGIHRLSEMCCIAVSQLLVLGKSVIHNANKAQDANADEEIVKFEWPEDCIEKAKTIRTKTQSMTGYLEAVAISFVTGISDVAEAYAAAIKSATADSPDVVVPEKSIQDKVKSFSEDIRVNRTTAMDKIQEGLRFLAYVILSTSMPPAAAAATT >KVH93265 pep supercontig:CcrdV1:scaffold_1203:2856:4298:-1 gene:Ccrd_004678 transcript:KVH93265 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MISSSSDHPESCSDLPLREIPGDYGWPFFGPIKDRYDYFYNQGKDDFFKTRIQKYNSTVIRTNMPPGPFISSNPRVVAVLDSKSFTVLFDNTKVEKKNILDGTYMPSTAFFGGYRVCAFLDTAESNHHALKSFFLSFLASSHKKFIPYLRTSLSELFVSLENDISDKKSADFNDNSDNMAFDFVFRLVTGVNPSDTKLQSKGPGTVSTWLALQLAPLGTAGLKYVPNFIDDIIHTVRLPFFVIKSGYKKLYNAVYDSAASLLDEAEKSGINREEACHNLVFLAGFNAFGGMKVLFPSLIKWIASGGESLHNRLAEEIRTVVKEEGDITFSALEKMPLTKSVVYEALRIDPPVPFQYAKAKEDIVVESHDAAFQIKKGEVIFGYQPLATKDPKVFINPEEFVADRFVGEGEKLLQYVYWSNARETDAPTADNKQCPAKDLVVLCSRLMLVELFLRYDTFTAEVGTLALGTSIKITSFTKAT >KVH93266 pep supercontig:CcrdV1:scaffold_1203:101458:103895:1 gene:Ccrd_004687 transcript:KVH93266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MGEVDPTFIQELQHRPKPAVIEAHGIPQIDLSTLQNSSSPVGSDLEDLVADVRNACKNWGFFQVINHGVPIESSEKVKSAAKRFFDQTAEEKRKVRRDEANPLGYYDTEHTKNVRDWKEVFDFTVEVPTTMPASHDPDDEQTTDLNLPANRLEPFFSKDQTTFVRLNHYPPCLAPDLALGVGRHKDAGAMTILAQDDVGGLEVKRKTDGEWIFVKPTPNAFIINVGDIIQVWSNDTYESVEHRVRVNSTRERFSIPFFVNPAHYTVVEPLAELTSESNPAKYKAYNWGKFFATRKVSNFKKLDVENIQIYQFKKPNARKIESLVV >KVH93269 pep supercontig:CcrdV1:scaffold_1203:98048:98269:1 gene:Ccrd_004686 transcript:KVH93269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAVEDVVDQVHACKDWGFFQVFNHGVPLESRERLMTVAKRFFDQPMEEKRKVRRDEVDPQGYFDNELTNNVKD >KVH93262 pep supercontig:CcrdV1:scaffold_1203:133068:135566:-1 gene:Ccrd_004691 transcript:KVH93262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGSLNPPPQLSLDFKPTFIPKTITQFLGQVSRIGSPSDKILKVDDFVSRLETEMRKIDAFKRELPLCMLLINDAIIVLKEESMVLKKSSNAEPVLEEFIPLKKTCDEDEQLEMNGKEKGAGDKRNWLSSTQLWNTNDNTPNTNQTQNWKPNSIQQITKKRPLEEDDPYEPCRNPGRAFIPFKGCCAITMVGTPREEDREELQVSGLSLITPGSKNPVRGNVLLSKSSADSRLVPCSAPNSQSNLRIDGPQVQPPHQQTSRKQRRCWSTELHRRFVNALQQLGGSQAATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPSSNTSSANQSGVVLGGGLWMGGQDQYVESSKHGNISQSGSPDGPLLISTIGGTSTTGDNSMDDGEDEKSENYCWKGHLHTDDV >KVI03133 pep supercontig:CcrdV1:scaffold_1204:57405:83981:-1 gene:Ccrd_018573 transcript:KVI03133 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein MWVFYLISLPLTLGMVVLTLKYFAGPDVPRYVFFTVGYTWFCSISVIILVPADISATIIGHDNGGISFFWSWSYWSTFLLTWLVVPLIQGYEDAGDFTVRERLKTSIHVNLVFYLILGLIGSGGVLGLAMACSNTFGLVTGAFLLGFGLSEIPKTIWRNADWTSRQKVLSHKIAKMAVKLDEAHQELSNAIAVAQATSKQMSKHDPLRPYMNIIDNLLNQMLREDPSFKPQGGCLGENDMDYDTDEKSMATLRRHLRGAREEYYRYKSEYLTYVMEALKLEDTIKNYNQRNETGWYGKVGQTEDEGFRFWFRLEDFLLLLFFVISIRVSSSIIPLSIFHIKLLEVFLLVAVIECKKSKGKMASNFVAARVACLKVCQLLSESGFTSFRTNETFWLLSSSDASTKVLKNGNEPRGISYVRREPHKNKTTNS >KVI03134 pep supercontig:CcrdV1:scaffold_1204:26866:48465:-1 gene:Ccrd_018572 transcript:KVI03134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRQLEKLFAAFLGCMSAAILLAEATLLPSGVDLSVFSFLINSVGTEEMLVQVVAFVPLFYMCICTYYSLFKIGMLMFYSFTPRHTSSVSLLMICSMVARYAPPVSYNFLNLVRLDENKKTIFEKRMGNIDNAVPFFGKGFNKLYPLIMVVYTILVASGFFHKIINIIGKWKRIAFHNEEDDLDGIDPSGLMILQKERAWLEQGHNIGEEVVPLARYFNDTSVDMEPGNSSTTMISLSKESTSRHQREDVRRYSGSREAISSKYAAIRQQTKPVGNLASAKVSLLDGDNRSPSSRLSSTWSSMKSNLHSLKAKNYIPLLQVDDSRIGPRNSSSDSLDEIFHKLKRPAFEHD >KVI04530 pep supercontig:CcrdV1:scaffold_1205:40168:46967:-1 gene:Ccrd_017153 transcript:KVI04530 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MMEFTEPFKQTGPCCFSPNARYLAVAVDYRLVIRDVLSFKVVQLFSCMDKISYIEWALDSEYILCGLYKKPMIQAWSLAQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLLNTACIHVQWPKHASKGVSFTKDGSFAAICTRRDCKDYVNLISCQTWEIMGVFAVDTLDLADVEWSPDDTAIVIWDSPLEYKVLIYSLDGRCLSKYQAYESGLGVKSVSWSPNGQSLAVGSYDQMLRVLNHLTWKVFAEFMHLSTVRAPCSAAVFKEVDEPLQLDMSDLSLTDDFTQHNSEYGPQGLIDVRYDVMELPITLPFQKPPADKPNPKQGIGIMSWSKDSQYICTRNDSMPTVLWIWDMNHLELAAILVQKDPIRTAAWDPTCTRLVLCTGTSHLYMWTPSGAYCVNVPLPQFSVMDLKWDFNGSCLLLKDKELFCCAAVPLLPDSNSDYSSDD >KVI04526 pep supercontig:CcrdV1:scaffold_1205:137082:139810:1 gene:Ccrd_017157 transcript:KVI04526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MENTHKLVLIVTLSFSFAIFIVLALICVYRRKGSQKDESWDKEASLEHKEKGVQEMEINGDLIRFHGGEDLTSVDILDAPGEVIGKSSYGTLYRANLVRNGSVVCLRFLRPTCTEKVQDLMPVIQLLGSIRHPNLVPICGFYSGTRGEKLLVHPFYGRGNLAQFIKDEKDECHKWTVISRISMGIARGLDYLHTGFQKPIIHGNLKSKNILLGQNHQPFVSDFGLHALLNPSATQEMLEQAAIEGYKAPELIKIEDMNELTDIYNLGLILLELLTGKEPVNNKANPDQDSYLETSLRNAILDHRISDLYHPDILLDENSGNGSLINEDRVGEIYHTMMGDQQPLYGNNTVDARETDQKHQVSEGL >KVI04527 pep supercontig:CcrdV1:scaffold_1205:67244:74246:1 gene:Ccrd_017154 transcript:KVI04527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MYFIGSDHHQRPDSDQHFQYRQHQTCSQGHTSSLILQTLEGGSICLVCLSNLISNPNSPTVHVSYALSQLSHAISQPSFLHSLLTFHPHFLISPLMSALSSIEDEPLAKQMIDVIYELCTSGDCSIYSEFVARVSDRLSSGSLYWSQRQTYMLHCLGVLLDNQKNDPYSHIKDKDALLLNLVTGLQLPSEEIQGEILFVLYKICSIQHACIGNSEGGVLYGHSLRILYLSLEILLKSHRDDVRLNCVGFFENAFENDVTFSLKEYPEADNFMETTEHELQKTPLNLLFAEAIKAPLLSSDCEVQTATLDLIALYLSCGGVSEKEVQVLVEENIADYVFEILRLLGCKNDSLVSSSLQVLDLLSVAEVAFKQRLAIGFTTLVPVLRHVAEVPFHPAQSRSIKLISECVSNCPGIISSSNVEEINLVMTGMLKKHIDGEANMLPETFTMVCSVLVALMKSPSSHGTPSIAISLQDISRYTIATCLSSYREHSFQMLHSLYLLKEAYEYSFEGNPTNSIYMGLRDCILNICEAKLLPWISMSINEIDVDIALGVLEIFHTILLHSDFQPKEFVHVLVSSSWFSFSFGCLGLFPTERMKWRVYFLLSSILDVLLGNDSGQSIRDVALHLPFDPIDLLFLLGQKGSHNQEVFCCQSAILLILYTSSLYDDRGLAKINYQIPYSPEAESTLFYIVAEKQCELLFKRIHTTSLKWLFQQERICKYLSSEVLRWCRHCIVYGNQIVDCNDTETVKFRELAELVASGDNYAAKLLVCLLRELVEESGQKHDIVLLLNTIAAITALFPAASGQLSLNEIAFAIQDLYNHVHDILPGIENAQSLLDSDNRKQQSLSYISIHCHDLSRLLHFGSSPVKLVSSYCLLQVFQRITEEKRKESGKVTHHSHHIRSMTSILDGLIFHSDTRVAMNCALCIAMIEDWEKHDKETQVVKRDNWYRLITEEMVMTLAVPKNLASKSIMIHHMPAAHIAVSMLKLQQVPPWISVVFDDSCISGIIQNLSPSNITHEMVMLFRELLHSGYLESKHIACLNQLFQACRKRVYSDDIQDSCTEDTKNSVIFPDDPGKVYEASAELLQAPRDDAQPMILSCCMSTQFASSLYSHPAKGARN >KVI04531 pep supercontig:CcrdV1:scaffold_1205:11675:19161:-1 gene:Ccrd_017151 transcript:KVI04531 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:Projected from Arabidopsis thaliana (AT5G61580) UniProtKB/TrEMBL;Acc:A0A178UCI3] MDLSLASSSNSHFSRIQTSTFSPRFHKPLPFFTNFTYKPKTYSRKSLITGYYPIRAQSLNQNNTPSDDDDDGFVLEDVPHLTNYLPDLPTYPNPLESSQAYAIVKNTFVSPEDVLAYQIVVQKGSPRGVHFRRAGPREKVYFKPEEVRACIVTCGGLCPGINTVIREIVCGLNIMYGVNNILGIEGGYRGFYSRNTMELSPKIVNDIHKRGGTILQTSRGGQDTNKIVDNIQDRGINQVYIIGGDGTQKGAAAIYKEVEKRRLHVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGFIAMFATLASRDVDCCLIPESPFYLEGQGGLFEFVQQRLKENGHVVIVLAEGAGQDYLSGSVNAPEEKDASGNRLLLDIDPTYMIRAVPSNASDNIYCTLLAQSAIHGAMAGYTGFTVGPVNSRHAYIPIGRVTEATNVVKLTDRMWARLLASTNQPTFLNKHE >KVI04525 pep supercontig:CcrdV1:scaffold_1205:113584:127816:1 gene:Ccrd_017156 transcript:KVI04525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome c chaperone, CBP3 IKKKLNPPYHRLFHTHISTTRALPHCLLPHRLLPPPPSISGLRPSTKIDGKSPFASTDSYTFVATAMMPRWSRTLANIYRVGRQTKSDMASDLFVFPCRRISRIAAVEVPVASDASEKNYTAKSELFIFVLINVHCFPQVNLSKMFRSKPCSLALPPESPLRIEEPNYEGIRQFLLKLMLFYSKQSTSIRAANVIYRRVVSQADKPAIYDVFSLEKTFRTTFCMLVLHMWLCLRRLKAEGKEGVELGQYVYEIYNHDLEMRVSKAGVNLLLSKWMRELEKVFYGNIVAFDTAMLPEAKPDELQKAIWKNVFFSEDGPSKLDPAALPAVLAFTRYVRRECTCLSLTAYTFVGISSKQVKLRYAIEVSGQQLTLQQAVQLQLGSQPSSEQANLLRLPPLELKACNRKTEVVAAVSLDVDE >KVI04528 pep supercontig:CcrdV1:scaffold_1205:81815:112176:1 gene:Ccrd_017155 transcript:KVI04528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIASQLQAIRSIVKADTDPLKRPFTRPSILFDAKEAADIDLDTIFSLALSGLEVLVSLDERFGNYKNDLFTHKSRELDRELLGIEDNNHINASISSYLRLLSGHFMLPSALRTLEYLIRRYKIHMYNTEELILCALPYHDTHVFVRMVQLLDTGHGKWKFLEGVKISGAPPPRKVIVQQSVHDMGVLEALCNYASPTKKLQPTRPVISFCTAVVIEVLGSLAVIDTDVVKRILPYVAGSLMIVGLLANRSALSPDLVKSLIRSIADVAREDAEQSTDLQMFRVSIMALVNVVQLQSVAVLPKKVVDILKDISDLSGILSGLTKEFNIDKFLAVFLESLMEHSADDDQCHQVLLSMIKTIPINALVGRLVSRLLSTCMKSSKKGSKTSSSAPGSKVKEILVSINEHYMLELREAVQSFLEDLEGQPKKDSSAYEVLCKTLDGGLDSSFALSDSKIWFGLEHPQARVRRATVVSLGADPVLRDKAVNSQKIQTVHDALLRRLYDDDLSVVQAVLKASDNTTLATDVTVSCLEHVTSSFLGEEGYANDLAAMIFPLVLFMPKTQSVNLKALDAAKELNWPLYQRLVTISTPGKASLSVECVVYLQVADSKLALKSTSAVNMDNINVMAESFLANSDEYMQWFVGCCQDLELSKTLFFLILLHSLTQPQKGKLVYLAIGLAFIYLFCFVRVPLMEYTVYRRAIALEELINLLILFHHDSEFSVTHGKDFGQFSALYESCFPVIIEAWEKLQTTGFGVATEESNRRMLDRDCKAFLDQMYDVKLEELNAEILICIFWRLLEAFIATAFEDVSKAVFKKHLHHLVANHKVSPARFLSSFFTDEGVAVSVQTESLRSFAFLCFQLEEGIRFKNEIDEGLLLQLPAEFPSVLVPMSSDDQGIRAAAMSCIEGLFSLWPHVSLSGGKNGRSAAWSHFLGDLLALIVQQKKLILSDTDFLSDFFTTLLSSSHHSLLVPQSVGERFDRPTKDGVLKFVLGSALTLSPYGMLKVLSLLKGLGSQVMVVKEVNSVLTELLERRSYIHSGYDTSRQALSKIEVKILCLLLECCTKSTSAAGGHAVELYILKALQVDRSYSEDPSVIQPCVTVLRCLNGSLYGGFKREIQELLFQELVYLFRSYNGDIQNAARVALLQINVSTSTIQRMLDFVLEKAAPSHGSPHGKKKKKAMTHLKSESNNDAGQRRCSKLSFLSSLLDILLLKKDVVNRIALVEPLFKHLSIVFMDKEWICEAVKQDEEHAEASSDISQSTASAICYVQQNLLSVLEDISNSLVAIDSIQDGIVQSFDIKLLVSCARSTEDSATRNHVFSLLSAVAKVIPDRILDYILDILTVIGESAVTQWDGHSKKVFEDLISTIVPCWLSKTENPDKLLQIFLNVLPNVAEHRRLSIVVHLLSFIDLTSLLYRVLGESGSLASLLVLLFRSLASKQNLFGRDINNTLGHLSTTVCMQWEYAFALQISEQYSCMVWLPSLVTLLQKIEMGAWDQKLFVQLLVAMQFISDKLQDPEIAFKLKSGEDVNSIQATSGALMENVVTHLQLIGSRTKQHGVPTSVGKELKELMHVVLTSVRKGLLPSTYFKVMIILLGHTDRNVRKKALGLLCEMAKECSTLTQKHHRKVLNPSVRSSWLCFDELTLQCFEKMCHEILKIVDDRANSLNYGSLRLAAVSTLEVLVTVFSSSDSIFNVCLATVIKHIHSDDLAFSSGCFRTVGALINVLGPRALSELPSIMDHVFRRCHSVSSCKKPEIKDTDDISSSISSNSEEPLFMSILVTIEAVIDKLGGFLNPYLGQILELLILRPQYANNSSSKLTLKADAVQKLITGKIPVRLSLPPLLKIYSEAIKCGNSALSITFQMLGNFISTMDRLSISAYYLNIFDLCLLALDLRRQHPASVENIAAVEKDVINAMVALTMKLTENMFKPLFIRSLFVPYFKYFLNGLVRHLSDDVARPGPTRKKKAKLVDPNINKGQENSSLSVGKWHLRALVLSSLHRCFLYDTGNLEFLDSSNFQELLKPIISQLVVDPPSSLEQHPDVPSVNEVDDVLVSCVGQMAVTSASDLLWKPLNHEVLMQTRSEKVRSRILGLKIVKYMVENLREEYLVLLPETIPFLGELLEDVEPSVKSLAQEILKEMETMSGESLRQYL >KVI04529 pep supercontig:CcrdV1:scaffold_1205:24795:31294:-1 gene:Ccrd_017152 transcript:KVI04529 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF676, lipase-like protein MKHGDISSEAAPGGENIFSCDEADVSSADHLVVMVNGILGSSADWKFAAEQFVKSLPDRVFVHRSERNAASQTLDGVDVMGERLSQEVLEVIKQKPNLHKISFVAHSVGGLVARYAIGRLYRPPKENTEDLSVENCEEGKATIGGLIPMNFIAVATPHLGSRGNKQVPFLFGVSALEKAAVLVIHWIFRRTGRHLFLTDNDEGKPPLLKRMLEDDGDCYFMSALRSFHRRVTYSNVGYDHIVGWRTSSIRRNTELPKWEDSVNEKYPHVVYEERCKACDFDQDLTSSNDTKDVEEELVTGLSRVSWEKVDVKDHNLHTDGADEKRVQNEHRKKEGVMPCTRSCKRVVKAYFLF >KVI11386 pep supercontig:CcrdV1:scaffold_1207:87698:107261:1 gene:Ccrd_010204 transcript:KVI11386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MEASSIICASVPLQVKSKSPFTSSSSRNPNSVPSNRFIPTKNNKTVTLRCFHSHGRRAAAASPRYFTTKTAWDRCTSPSDKPLIQRPLLDQCTRFSCFHHGRRKRGLICKSTPRVFSDKSRFHLSKHGVDNIFVSSLVVVSWMDNLLEKQVRVRCAAVGPDEPHAASTAWPEGVLEQQSSDYMVDTESSEWRELQGFLGSELPSHPKLYRGQLENGLRYLILPNKVPQNRFEAHMEVHAGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLRTGARSNAYTDFHHTVFHIHSPTCTQDVDDDLLPVVLDALNEIAFFPKFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSRRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNIAKTVHHIEAVFGQKHVDNETPVAPKPSAFGAMANFLVPKFTGGISVDSSHDRSPLPVDQSKVLKKERHAVRPPVEHNWSLPGRNEDPKSPQIFQHELLQNFSINMFCKIPVNKVQTYGDLRNVLMKRIFLSALHFRINSRYKSSSPPFTSIELDHSDSGREGCTVTTLTVSAEPKSWQSAIKVAAQEVCTFRQSSIVSSIKVRRLKEFGVTKGELARYLDALLKDSEQLSAMSDNISSVDNLDFVMESDALGHRVMDHKQSHESLIAVAGTITLEEVNFVGAQVLEYISDFGKASAPVPAAIVACVPKKVHVDGAGEIEFKISPSEITDAIEEGLKEPIEPEAEFEVPKELITSTELHDLKLQLNPSFVPVGLDLNDTKLHDRETGITQRRLSNGISINYKISKSETCGGVMRLIVGGGRAAETPEARGAVVLGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFLCMEFRFTTRDNGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMIAMLDGDERFVEPTPYSLENLTLQSVRDAVMNQFVSDNMEVSLVGDFSEEDIESCVMDYLGTVRATRGAARALSYTPILFRPSPNELHFQQVFLKDTDERACAYIAGPAPNRWGFTVDGTDLLESISNMSVKNDVQPETEGQLVKAENINTDMQRRPRNHPLYFAIAVGLLAEIINSRLFTEVRDSQGLTYDVSFELNLFDRLNLGWYVISVTSTPSKVHRAVDACKSVLRGLHSKRIAEHELDRAKRTLLMKHEAETKSNAYWLGLIAHLQATSVHRKDISCIKDMTMLYGVASIEDVYLAYEQLKIDDQSLYCCIGVAGAQAGDEVTGSTQDEEYVGGLPGVIPVGRGSHTMTMPTT >KVI11384 pep supercontig:CcrdV1:scaffold_1207:113969:132148:-1 gene:Ccrd_010206 transcript:KVI11384 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MPMKAEAQARVSGRHRLTPHLPCDPDYEPLRELFSHHIHSFDHLVEYGLEKALMNIKPVEVVDPNTKLKLRNILLLCRQAKISYTGKFLVDVCFKYGDGPGGAVIREKINFGQFPIMLKSKLCHLRDADHQKLVACKEEPSEMGGYFILNGLERVVRLLNVPKRNYPTSMARSAFRNRREGFTDKAVVIRCVREDQSAVTLNLYYLSNGSARVGFRIRGRENLLPIGLVLKALIDATDHEIFMSLISVYNDKYEKAKGSVGTQILSERAKIILNEVRDLSLFTRIQCLHYIGEYFKPFMDGMENESHSAVADAVLREFILVHLDNNHDKFNLLIFMVQKLFSFIDQTSVPDNPDSLQTQEVLLPGHLITIYVKEKLQEWLLKTKRQLQEETKNRKKNFEFGSLADVKKALDKNPAKQVGLSVENMLKTGRLVTQSTLDLKEKAGMTVQAERLNFLRFVSHFRAVHRGSSLAGLRTTSVRKLLPESWGFICPVHTPDGSPCGLLNHMTASCRITSYYDSEGIIRDFSKIQKSILSVLVGAGMIPALPKLVKAGPPEVLHVLLDGRVVGAIPTDRVEKAVSHLRKLKLSATSAIPEDLEVGYVPISMGGAFPGLYLFTSPSRFVRPVRQKFLPPEGNNNIELIGPFEQVYMEIECADGGNGGRLNEFPATHEEIHPTGILSVVGNLTPWSDHNQSPRNMYQCQMAKQTMGFSSQGINCRADQKLYHLQTPQTPIVRTATYEKYRIDDSPLGTNAIVAVLAYSGYDMEDAMVLNKSSVDRGFAHGYIYQTESIDFADEKIKSDRGQRVFRRNYTKTETHSFIDSDGLPYIGQMIKPGEPYYSTYNEVTSKASDARLKGSEPAIVDYVAVDVKNKKQLQKANIRLRRGRKPVIGDKFSSRHGQKGVCSQLWPDVDMPFSAGGSLHGNFVNATPFSSSVKKPDGTPENESDSLVDELGSLLTARGFNHYGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTVDQVTRQPIKGRKKGGGIRFGEMERDSLLAHGAAYLLHDRLHSSSDHHIADICSICGSILTTTLIERQKKTMRETKGLPPAREPKKVTCVSCQTSKGMETVAMPYVFRYLAAELAAMNIRMTLQLSNGAGA >KVI11385 pep supercontig:CcrdV1:scaffold_1207:112855:113666:-1 gene:Ccrd_010205 transcript:KVI11385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNGGFWSRLLLCDCYGSILLLISSLQTFPKAFTDSSPSSPSSTFSPSFPSSTFTIAVATFFTWLANFKLLFTFNHGPLYPPKSLIQFIVVTFLPVKIKPQFFNPKNKSSVYLWIESMLFPLLINFAYNYKHELNPHVLLIVYCFIMFILIDLLVFVSNAVIGAVAGVELEPLLDLPSPLDEPYLLTSLQDFWGRRWNLMPAHTVYKPVKYVLPAKEWATAMAVMATFIVSGLMHELMFYYVIPVSPTWEMSFFVFHGIRLIMELK >KVD98136 pep supercontig:CcrdV1:scaffold_12075:790:975:1 gene:Ccrd_024204 transcript:KVD98136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MSNSYPKVLLSSTVNEAVKSMNDGQQSCVLVVDREDHLEGILTYGDVKRGILKTPNGSSDS >KVI04411 pep supercontig:CcrdV1:scaffold_1208:75855:79815:-1 gene:Ccrd_017275 transcript:KVI04411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, NF-X1-type MLEENGLVWRPRIHKWPIKTRFDRSLRLLPKRSSISLSVSPIIKLTAPILCASPFISAFQFSIRFRISDPSFSFSNTAESNLSAIPLSKSPPTCNQVDMSSHVRNDRRDNRSRFPRRQEWIPRGSTATVSTTVVDASSPSTVASNPDGNSEEPNIRSGPQPQNGLNRGNFGVQRRQHIARPVNQSRDRRRDPLCEERVSKGTTGALPQLVQEIQDKLLKGTIECMICYDMVRRSAPIWSCSSCFSIFHLHCIKKWARAPTSIDLSAEKNQGFNWRCPGCQSVQLTSSKDIRYVCFCGKRQEPHSDPYLTPHSCGEPCGKPLEKEVPGSGVSRDDLCPHRCVLQCHPGPCPPCKAFAPPRICPCGKKIITTRCSDQKSVLTCGQRCGKPRKCLRHRCEKTCHVGPCDACEVLIDASCFCKKKTEAVLCGDMAVKGEVNVEDGIFSCSSPCGKPLACGNHVCKEICHPGLCGDCDLLPGRITSCYCGKSSLQEERQSCLDPIPTCSQICDKTLSCGLHRCKETCHPGECAPCRVMVSQKCRCGSTSRIVECFNTTRGVEVFTCDKPCGRKKNCGRHRCSERCCPLSNSSNASRPLIQGWDPHLCSKPCEKKLRCGQHDCESLCHSGHCPPCPETIFTDLTCACGRSSIPPPLPCGTPPPSCQYPCSVPQPCGHVSSHSCHFGDCPPCSVPIPKECIGGHVVLRNIPCGSKDIRCNKLCGKTRQCGMHACLRTCHPSPCDSSGGSTSGVKASCGQTCGAPRRDCRHTCTALCHPNNACPDARCEFPVTIACSCGRITATVPCDAGSSSNSGYNADTLLEASAVQKLPVPLQPIEANGKKIPLGQRKLMCDDECSKMERKKVLADAFGVTSPNLEALHFGENVMVSDMLGDLFRRDPKWVLSVEERCKMLVVGRGRGGAAALKVHVFCPMLKEKRDAVRLIAERWKLSINAAGWEPKRFVVVHVTPKSKAPARILGSKGMNPTNLIHPPNFDPVVDMDPRLVVALFDLPGDADVSALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDHGSVYYGATVLATSTGGNAWGSTTPKDNHMQTNPWKKAVVQESSSDWGESSWNVAEENPETTTTSSSTSSSWKVKEAAPITASANRWSILESEASRSEESGKAIGGSNVMNEPSSSGSNKQDEDSDVVDWETAYD >KVI04412 pep supercontig:CcrdV1:scaffold_1208:17462:28361:1 gene:Ccrd_017274 transcript:KVI04412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF639 MAAVSKTRNMIEGFVRDGSFKWLLKNRNPFHEEFEEMKMSPSGDKNWIYELSPVANIVVRRCSKILNIPTRLLQENFNEEAPDVTKDPSRYARNFLEYSCFRALSLSTQISGYMEDKKFRRLTFDMMLAWEIDEDATVGIEAFSRIVPAVPIIADVIISDYIFEFLTASTGGRLQFSTFEKYLSGLERAVRKLKSQSESSLLSSQRSGRGERVLEVDGTVTTQPVLQHVGISTWPGRLTLTDHAMYFEALRVVSYDKPTVYELADDLKQFVKPELTGPWGTRLFDKAFLYKSVSLSDAIVMELPELKGHARRDYWLAIIREILYAHRFIRKFQITGVERDEILLKTIFGILRVQALKDISSAIPLSFEAGLMFNVCDQLPGGDRILETIATRSTTRELDRNSNTMSTSGMYSISASTMASSLGFVFGTSSNVPNKSGIIVGDVSVGELTPLEKAVKESRSNYKMVTNAQAAVDGVKVEGIDTNLAVMKELIFPVTELGNRLVKLFYWEEPVKSLAFCLVFTYVIYMGWFSYVLALLLAFLALFMMVTRCCSRGRPVDEFKVTAPPPMNTMEQLLAVQNAISQAEELIQDGNVASDRFAVALGMSAVFVAFVPIRFVVLLVFLEEFTKYSPMRRSSTERWNRRLREWWFSIPAAPIVLEKLKEDKKKK >KVI04410 pep supercontig:CcrdV1:scaffold_1208:82363:93467:-1 gene:Ccrd_017276 transcript:KVI04410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C (PP2C)-like protein MVADVDFTDCVELQPEFKRLKLRKNNWDSDTYESMMCSLSLHHRSVLQPSRRREAASAVPEIPAGILGTSIRLFPSTSLASLPRRLSLQNYLPNMIFPPQIEFPKTTIQAFKTTIQASKTTIRASKMNRHRSCTRIINISIQCFIVIFFNLQSSGFVIIFFNLQSNASIVQSIFLQPSIHLPGYLGYILHKVKSTLREDVVSLAQTKDEPEAAAKRLTETVFNCGSCDNITCIIVKPNHNPATKPECQNESETKRQSQIEIKGEAQSKTGNQG >KVH92048 pep supercontig:CcrdV1:scaffold_1209:90423:95956:1 gene:Ccrd_005927 transcript:KVH92048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MSLDTRTVICDNGSGFIKLALPLFPLFSVFHLEEGSKAKRAADSPAALHAGLTSTQPASATDPAEVAVDGPDTIFPNIIGSVRSRSLFYSAQYQDDAPYIGKRALANHYMLNLDRPIKRGIITDFEKMESVWEHTFLKELEIDPSEYDVIITEAANSHRRQTEKMVEIMFEKFEFRSVLVARPPVLSMYSVNRLTGVAVEIGESLTQVLPVNNGVCIPLGLQVEEVGGSDITDDLMNLLGKQAHELTMTHRREDINGIKEMLGYVAQDFAEESRAVQQETYLLPDGLQVRLASEKYICGERFFRLHGDGSKVGIHNLILQSIYRTPCIALNPDLLNVMKRNVVLGGGSTLFRGLAQRLTREMEDTMTDKEGKMMVYEGRGGRSAMIGGRMLSFCHDFREVTFGVLFNDEKCENMFEALVGTLMAARRRQILTFEGERLLDIHHHKVVIELKPTHPTPPAAH >KVH92046 pep supercontig:CcrdV1:scaffold_1209:65468:68097:-1 gene:Ccrd_005925 transcript:KVH92046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFHPKWLVIFSYPEAFLPLKTQSSCNKAMNADAVKKTVEEDIEKLIAEIQRLGSKLEDGSYKVTFGVLFNDEKCENMFEALVGTLMAARRRQILTFEGERLLDIHHHKVVIELKPTHPTPPAAH >KVH92045 pep supercontig:CcrdV1:scaffold_1209:54902:58114:-1 gene:Ccrd_005924 transcript:KVH92045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MYSCIKMANYQPLVCDIGTGMMKVGFAAEDAPRAVFSSIVDIPHHTGVRVGMNQDEGQSKRHAISLKYPIERGIVKNWDGMEKIWHRTFYDELRVDPKQHPVLLTEPPLNPKADREKMAELMFETFKVPAMYIALQAGLSMFAGGRTTGIAVHSGDGVSYAVPCLIGGYFFEAMTRVDVAGGDITDYLMTTLTERGYDIAERETVRDIKDKFCYVAGNCEQEFERSSSATKEYLLPDGQAFAIGAERFCCPEVLFRPSLIGREGLGIHEMVYSTIRKCCAKILNIDVCGKLFNNIILTGGSTMLPGFADRMEKEITVLDRQEKKCPLTDLRKRIIATPERRWSAWIGGSMLACLSTFPRGNFWRLCKSCGWVAEDLCTF >KVH92044 pep supercontig:CcrdV1:scaffold_1209:5594:25028:1 gene:Ccrd_005922 transcript:KVH92044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MVVRILGLTRGEESSPREITRNNLLSESGGESGWLIRFFDSSFFCEWIAVSYLYKHDHPGVRDYLCNRMYTLPLSGIESYLFQICYMLVHKPSPSLDKFVIDICSKSLKIALKVQWFLMAELEDSDDNDGISRIQEKCQIAATLMGEWTPLIKPQNEPTSNGGKNQVLTRLLSSKQRLLSLATSPPPQKSFSFSSSAANPSQDEGSSKASPDDNTIFKKFIPSPKVRNALLFRKSIDKDEEEPDKDGFFKRLLRDSKDEDESDKDGFFKRLLRDSKDEDESDKDGFFKRLLRDSKDEDESDKDGFFKRFLRDSKDEDVRKSVERDDEDKEKDGFFRRFLKDSKDEDEVTSSSDKFFKRIFRDNKGDLEEKTVSKSAEGDDKDGFFRKLFRDKSEEEEKVTNSVEDDEKEGFFRKIFKEKFEDKKDEAASEEGNGHANGVDEEPSDFPLFRRLFRMQSDDTKTVPTNENINGGGLESSPGTEIFFRKLFRDRDRSVEDSELYGSKKNKEKNPGSKQRNENSSNKPPLPNATASQFRKGTYHESLDFVHSLCETSYGLVDVFPVEDRKSALSEVVLVFSLNTRRVLSHGEGDVSCGLVFMSLFSSTVKDGSSSQKLSRGGIPLANGDAFLPKPPPWAYPLSNGQDLYHSGYDRMSRSASDAIDQAMGQLWDSKAKFVNVRLLVENPLPCCSKNFEASNSKFDIHHCEGGKCASYPSRAADGSDTEWVRVVLTADSGVSMDDIEVQEPPRRREHRRVPSTIAFEEVKVHSAYLDYYCLSFFLSATEVQGTGQASSDAQPKDIDGATPKAGDALSGELWQAKKDRIRQASVYGKLPGWDLCSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRFPNITSLRDFFIAKYQENSPSYKLAQVPVNYYRSTLGVCVNYFDWLIAYPIILTSILSVISSLTIIESGDVGIEEVAHTKERNFVESMAGYSLVCYLLQVKDRHNGNLLLDEDGHLIHIDFGFMLSNSPGGVNFESAPFKLTRELLEASDGFRCRGSSKRVLRLFQSFVHSRVPNMSTPDSRASKQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >KVH92047 pep supercontig:CcrdV1:scaffold_1209:72175:86412:-1 gene:Ccrd_005926 transcript:KVH92047 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MAEIENYNDEKMKSITCEEDEMILMEASITCENTRNDKKMKSIYGAWSCESVWRKEAKQSGRSPTHVASSFSFSSFPLRDLDIEIAGIYVHKRFNQQYKATIGADFVTKELHIDDKIITMQIWDTTGQERFQSLGLVSDVNVMRSFDTLDNYHEEFLKQCSQYLVFVFGVTTTNQAITTLKKMHLRENRNRFDLVIRDVHMPDMDGFKLLELVGLEMDLPVISESQYTFFNHIIMDNRTLPSRRANSKVTGLKVPVGVKNINNWDGNHTRNPNPSLQLTELKTSNELQPLDMFGSSRLA >KVH91231 pep supercontig:CcrdV1:scaffold_121:6982:11972:1 gene:Ccrd_006762 transcript:KVH91231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDRTPNDEPRDNPTGGSTPQLGSDLNTHNIPGSQTRAATEESLGSSTESLSIDSLNQISNSTERATSMQLMSSTEVATGIQPNDENHSSSLRSEGSSRLPSSSSESRRSTGVSAITTTTTTFVDPTMYTILVEMHGRKEALMLPPDHQQFIVDAGTLPHLVALLKRRRDDQNVRTVNGAIRKAADAITNLAHENSSIKTCVRLVSLHAFQLQLKIVVSMAVMFHFMKPLGLMPVFFLNHQYCLFFLLQNLRQTLDDLTCNGFSVVNPLISDAASIVCESMSTPSKDPLLQVETTCGSLLYELQVFF >KVH91235 pep supercontig:CcrdV1:scaffold_121:357008:363019:-1 gene:Ccrd_006741 transcript:KVH91235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MAREQNGNIEYSKRRQLLVFLISSGLFPTLPSSGKTKVKNPYDEKRLLEQNKRVQRENNAPDDFPSFVREGFEVKVVASNNYIKSGSGLIYRDFEVGTGDFPKSGQQVTFHYIGYNESGRRIDSSYQQGSPAKIRMGTNALVPVTKRVDTGFEEGIKDMKPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDVELLGVKDCTRRTIAFYSDVVCD >KVH91233 pep supercontig:CcrdV1:scaffold_121:43618:45108:1 gene:Ccrd_006760 transcript:KVH91233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKECVVCVATSAFRTRFSDASNVTTASNTRIVATTTVNHRSRRSSVTGAKRTKPKPPNTLVLPRNQAQNPMPESAIDRNIQTTRSSNTIVKRVVRRKGSHPPELLLRGLVLEGTSFSRMSCVKIYKYK >KVH91226 pep supercontig:CcrdV1:scaffold_121:147807:148749:1 gene:Ccrd_006753 transcript:KVH91226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLVASLSSDTEVWDAILRNNAVQDLQGLLPNTGTKERATSNDEELDSTTVIVKWIFAFMRLKFMEFIEKLEVFVIGAVQSMSKNGNSTSKVDDMLEEKVRSSLLLSVVVLLVVVITRSMET >KVH91225 pep supercontig:CcrdV1:scaffold_121:150936:154970:-1 gene:Ccrd_006752 transcript:KVH91225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MQAKTFNFIPLKPSSPAIKLRRPQAISFPDSVPRNISITIPTQEVSTSVQDEKVAAYWDYQFLFVSQRSETANPISLRICEGSIPANFPLGTYYLTGPGLFRDDHGSTVHPLDGHGYLRAFTIDGSKGEVTFMARYIETTAQTEEHDRETGKWRFTHRGPFSVLKNGKKIGNTKVMKNVANTSVLKWGDRLFCLWEGGAPYEIESGSLDTIGKFDLINGDDGSCRSDDGDAVVEGGGRLLDLAASILKPILYESLYAGVFKMPPKRLLSHYKIDSSRNRLLMIVRLQFQDGREERIQDPRTSNDPRLDQGEIGKSPLKLLLKCGFFMSEIRSNTKMVTETCRSRSKRVVVRINGSIFRKCSDTIGKVAIINQDFGGSNNKYVYAATSSGVRRELPHFPFDTVVKFDTVTNSTHTWSTSARRFIGEPIFVSNGNKEDDGYLLVVEYAVSKQQCYLVILDAKKIGETNAVVARLE >KVH91243 pep supercontig:CcrdV1:scaffold_121:259065:260474:1 gene:Ccrd_006748 transcript:KVH91243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTPHIAIFPSPGMGHLIPMAEFAKRLVKHHHISATIIIPTTGPPPKAQTSVLESLPENIHHIFLPPVTTVADLPAGSRPEVKIFFIIQASLSSIRDALTSLKSKTHLVALVFDMFSHDSFEIAQELNLFKFLFFPMNAMALSFSFILPNLDKEIVCEFKDLPHPIKVPGSVSFHGRDLMAPVQIRTDEAYKGYLQLSKRLTSLDGILVNSFQELEAETFQVLTAGVTGQSQTPIYPIGPLIRSDPSNGSDRHESLKWLDHQPTKSVVLVSFGSGGTLSLDQIHELATGLETSGQRFLWIVRSPNEKASNASFFTASSRTDSLGFLPQGFLARTKNQGLVVTSWAPQIEILSHGATGGFLTHCGWNSVLESVVHGVPMIAWPLYAEQHMNAKVMTESLSLALRAETDEHGIVRKEVIEKVVKDLMEGDEGKRVSNRLNELKVAAMKALSDGGSSMESLSKFAVRLISK >KVH91223 pep supercontig:CcrdV1:scaffold_121:143742:144887:-1 gene:Ccrd_006754 transcript:KVH91223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MGEKSYVKLTREQEASLQDVTPGELNQPIDIHELHARRCPECGQSLPASYESPADEDWSTGIFSCADDPDSCMTGLFCPCVLFGRNIENLNDEIPSNNACMCHVLCVEGGMALATIIAFVPGIDPSTSCLITEGLFFAWWMCGIYTGMARQSLQRKYHLKDSPCDPCGVHCCLHWCAICQEHREMKMHLAANVEDTLVSPPRIQEMKAIEQNKTNAESTSSSSSYSSSPQRNSEDDTSMELQVIER >KVH91222 pep supercontig:CcrdV1:scaffold_121:97937:98239:1 gene:Ccrd_006757 transcript:KVH91222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKTSCAFLAMMVVAATILTMEVRVGMAADCEVLKLTSCLPAFTGGPIPAPDSPCCQNLRSQQDCFCDYMKDPAYNKFLSMPAAKQVAQACSVTIPSPSTC >KVH91234 pep supercontig:CcrdV1:scaffold_121:408618:412991:1 gene:Ccrd_006739 transcript:KVH91234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MYIEELKEGGDGDGDGDGCRDVYVEFSGDGAVVVSNTKRVLVGAGARALFYPTLLYNVVRNKIQNEFRWWDRIDQFILLGAVPFPTDVLRLKELGVNGVVTLNESYETLVPTSLYHAQGIDHLVIPTRDYLFAPSYDDICKAVEFIHENAFAGKTTYVHCKAGRGRSTTVVKHKQMTPDAAYEYVKSIRPRVRLAASQWRAVQDYHLRIKEHPKVSTFTPKLDFEPFDDAAVVLVAESDLEGYNESFDNYILAELSLVCRAQFASQAAMARLSCLWLRCHDAVQKIPIPIPTKELGGSEQIQVH >KVH91232 pep supercontig:CcrdV1:scaffold_121:12578:14663:1 gene:Ccrd_006761 transcript:KVH91232 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF223 MEFVGLQNLVLDNESWVVKIRICRLWESLNTKRNGELISLDMVVIDENGSLMXAXVRKNLVNKFNHLLKEGNVYILKNFKVVENSGAFKVIDSKLKIMFTLLTKVEKXDTYVXSIPMHGFQLASEKTVNDRLNDDNILTDIIGCLTAVGDVETVRGGFRKRDLEIISELKVNHIDGVYKCASCNVAYKNALTLFKLHLSVRDDTGVVNCVVLHKLAERMVDSSPLKLLNKSDPDKDNLPCEITSLCGQKFVFCLQLSDYNIKHGSNIFTVSKEMASSDMKNLDDIGGTPPCNNATRTTTRKRKFIVNDDDEAND >KVH91229 pep supercontig:CcrdV1:scaffold_121:79020:80093:-1 gene:Ccrd_006758 transcript:KVH91229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein MSPIPTMFFSFFLLLLFYPSCFPARTAPLSSSPEPATTINHNSTWPNFQKFLDASKGRHFSGISELKKYMQRFGYLQVTDTTSGDEFDERFEFAVARYQRKLGLTVTGKLDSDTISEMTLPRCGVSDTLPTSNLHAVRHYNYFNGKPRWSRAIPTTLTYAFSPNCMASNLKLSDIRLVFRRAFSRWSAVIPVNFTEAETYGFSDIKIGFYTGDHDDGEPFDGVLGVLAHGFSPESGKLHLDAAETWALDFESEKSRVAVDLESVATHEIGHVLGLAHSFDKESVMYPSLKPREKKMDLKVDDIEGIQQLYGSNPNFNIKTLSQSDTSSNHGIDSKIELRSSRWSFAIAMLAIMFVVC >KVH91240 pep supercontig:CcrdV1:scaffold_121:310384:312736:1 gene:Ccrd_006744 transcript:KVH91240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCMPPTRNILWPHRSHQFHLLRPNPQTKRSVPITLV >KVH91242 pep supercontig:CcrdV1:scaffold_121:238525:244410:-1 gene:Ccrd_006749 transcript:KVH91242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal MFGRVPKKSDNSKYYEILGVPQSASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPEKREIYDEYGEDALKEGMGGGGSSSGNPFDVFEQFFGGGGFGGGFGGGGSSRTRKKQGEDVKQILKVSLEEVYNGTMKKLSLSRNILCKKCKGKGSKSGASSRCHGCQGSGMRVTTRQIAPGMIQQMQHCKGKKVTQEKKVLEVNVEKGMQNGQKIPDTVTGDIVFVLQLKEHPKFKRKQDDLYVEHNVSLTEALCGFQFVLTHLDGRQLLIKTNPGEVIKPDQYKGINDEGMPQYQRPFMKGKLVIHFNVAFPETGALTPEKCKILETILPPASTSSSEMAVDDCEETILHDVNMEEEMRRKEYQRQQEAYDEDEDDEPGMQRVGCNQQ >KVH91241 pep supercontig:CcrdV1:scaffold_121:265442:284049:1 gene:Ccrd_006747 transcript:KVH91241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0565 MGSTSHTLMDSISFNETFVKDTFDEIGAINAMNEEELIRRNQTIPEPSVSINDGVSADFDDDDVASLSNDSEVPDLKHESLVIDGVEMHLFSLDDIFMQYLLRYITQVFLYFGHLNMLTGQSVMDRWSGILKVPMFPGSRGHYKVAASLCISTSSRNPTVPSMNAIFFNGDRVRGTGNPVIDRLSDLERIAEIIVSKLGENVNAWVIEASKFNGPFAVYKDFIPSVNQWGEPKSYNATGYPASTSIVSLLSSCLTEAKNIISNRLEEPSAIEAPGSCFSQPKTLLFGFSKGGTVLNQLVTELAFSELNSITVPSQDKKEIIPTSKESLLNSITEIHYVDVGLNSNGAYITDQDTIGRISKRLSEGATGIRFVLHGTPRQWRDSMRVWVGKEKDTMVHLLDAESQLCGEKLSVCERFYFANKPANMQMHFEVIESMDIS >KVH91227 pep supercontig:CcrdV1:scaffold_121:215116:215832:-1 gene:Ccrd_006750 transcript:KVH91227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAAQLDILSSSSTVISAATTHSKRSKRSQQDNNGNEQKKRHENRVGGDGSDGGGGHYPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFSTAEMAARAHDVAAIAIKGRSAHLNFPELVHLLPQPATTSPKDIQEAAAKAAASCGGDGDRPPSQETLSDSNSSNTLSSSDNNTQESSMASPSTEEDDTFFHLPDLLLDNTNWTRDDFHYYPSSWQPMAEAVTSFRLEPDEPCSILW >KVH91238 pep supercontig:CcrdV1:scaffold_121:338483:353774:1 gene:Ccrd_006742 transcript:KVH91238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MSASPPSSFFSHFCSSALRTKPLSNSSDVVRINSGEGLVRRLGPFDLILLGIGASIGAGIFVVTGTVARDAGPGVTISFILAGASCVLNALCYAELASRFPAVVGGAYMYTYTAFNELTAFLVFTQMMLDYHIGAASIARSLASYVINILELIPFLKNNIPNWVGHGSKEIFGFISINLLAPVLLVLLTIILCRGVGESSLVNTIMTTTKIVIVLVVIIVGAFEVDSSNWSPFAPNGFKSMLTGATVAFFAYVGFDAVANSAEESKRPQRDLPIGIIGSLLVCIALYIGVCLVITGMVPYKFLGEDAPLAEAFKSKGLNFVSVLISIGAVAGLTTTLLVGLYVQQSRLYLGLGRDGLLPAIFARVHPTRHTPIQSQIWVGFIACILAGTLNVHLLSHVLSVGSLAGYSVVAACVITLRWKDNVGSQVLATSMSHRLEGIICITVIACCGFAAGILFRYVVSALAYLFLIVPVAIAILAAAALRFRQVHTDLPGFSCPWVPMLHYEAWVRFVVLSIVAIGVYAFYGQYHSNTVSDHEVVTYHKAPEEEAL >KVH91228 pep supercontig:CcrdV1:scaffold_121:179611:181070:1 gene:Ccrd_006751 transcript:KVH91228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone/stilbene synthase, C-terminal MSNTNGNGVAERRDSSATRRAPTPGKATVLAIGKAFPSQLIPQDCLVEGYFRDTNCADFAMKEKLARLFLFTSSTIFPFCLFLFLQMVGKTTTVKTRYTVMSKEILDKYPELATEGSPTITQRLDIANQAVTEMAKEASLACIKQWGRPAGDITHVIYVSSSEIRLPGGDLYLASELGLRSDVNRVMLYFLGCYGGVTGLRIAKDIAENNPGSRVLLTTSETTILGFRPPNKSRPYDLVGAALFGDGAAAAIIGADPMTKVESPFMELSFAVQQFLPGTHSVIDGRLSEEGINFKLGRDLPQKIDDNIEGFCQKLMEKAGGLEDFNDLFWAVHPGGPAILNRLETTLKLRGEKLDCSRRALMDFGNVSSNTIIYVMEYMKEELMNRENGEEWGLALAFGPGITFEGILLRNLN >KVH91239 pep supercontig:CcrdV1:scaffold_121:299915:306837:1 gene:Ccrd_006745 transcript:KVH91239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl protein thioesterase MASATLPRFFIIFTLLPLITHSIPFVVFHGVADSCTKKGVTHFTDLLSNWSNTQGYCIEIGNGVWDSWFMPFSEQTEIACEKVKSMSELSQGYNIVGLSQGNMVGRGVLEFCDGAPPVKNFISVAGPHAGEASIPFCGTGLMCIILDSLMKLAIYSTRLQEHLAPSNYIKIPTDLDGYREGCTFLPKLNNEFEKNATYKERFTSLQKLVLIMFDEESVLVPKETSWFGYFPDGAWDPILPAQETRLYTEDWIGLRTLDEAGKVEFVNVTGGHLDISDDDMKKYMVPYLVDEDAPESSIRLESDSRGPPSSTSSGAMGSVRKLIGQQDLQLNVIHRP >KVH91224 pep supercontig:CcrdV1:scaffold_121:136445:142585:-1 gene:Ccrd_006755 transcript:KVH91224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim21 MIRSSSRSLYKLRALCRSSSSVPSYRSPLSSPITATAIRRFTADLGIGNKRETGYFSSLVSLQSRFHVSRVNGASKVSSFETRSFASRASRSKSENASETRKEVSTVEDPFDAPTYNIPEKPMTFTEGASYSVVILIGLGIAAAAGYGVFKELIFEPKEYKVFNKALRRIQDDSQVSVRIGSPITGYGQESRNRAARQRIPNRVWKDDEGVEHVEVNFYIRGPHGAGKVFSEMFKDKEDKQWKFMYLQVEIHSPSPSRMLLESYIPA >KVH91236 pep supercontig:CcrdV1:scaffold_121:390152:392483:1 gene:Ccrd_006740 transcript:KVH91236 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP protein, N-terminal MGEKDDGLGLSLSLKCPENYPPPPPPPVDASSSGVTRFPLNLLPSPPLSFVQQRHHHVSNHQKLSFMINHALPPALPPPGEYESRYTCRDKAIIRTHARVQVQIHPNLFEKNPKISRVFRCLIGNADLNAETRSYARGIDVNRPPSTSALVALTAERDDEALVSSPNSTVSSVSGKRIEREENEAERESSSHGISGEEEEEGGDASAARKKLRLSKDQAAVLEETFKEHNTLNPVSGIFVISTKTQWHFQFLKQKLALAKQLDLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCDTLTEENRRLQKEVNELRALKLSPQFYMNMNPPTTLTMCPQCERVAVSSSSSSSSAAAATSSALGSTSLPKALHHGSMTTNHQKQNNPFLPRATIIPHRPLDTHHPRW >KVH91230 pep supercontig:CcrdV1:scaffold_121:55874:67679:-1 gene:Ccrd_006759 transcript:KVH91230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 51 MVVDDVPMDDKVKRTRDLLSSFYSQDASHTSAPVNTTSRFATLDTINTTSFDADQYMNLLVQKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKNNIVGMEVNMEQLLEKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPTTLGKCIKSEAYGDAVRFYTGAMPIFKAYGDSSFQDCKKASEEDKVSSDSESIQARAEAVMLLKQLDFPVENLKSKLLEKLEQFLGELDLSKEISIGSAIPHESTNEGSESDPVTPLPEVPTREFVEAVHAYHVIFPDSEQQLVKLVQDLTTRHFEAAKQQIREQISSAKLANRLRFIWTDVLLINEVLPEAALQDFALEAACVAVKQYVASAYFHLLHEISDTLLQIQKDGIGEEYPLRAALEASKNAVIQGSTKVLSDFCCLLDEDLGLILKLRDMIISWVQEGFQTFFKQLNDRLLLLSGKNVPMAHEQFLAERLQADKVPAGLVLVISQLSVFIERDAVSRITEARADEIVSSLSAGGVQLYEHGPAFVPAEVRHTFRSAGEKFLQHYINMRTQRISVLLRKRLTAPNWIKHKEPREVHMFVDLFLQELGAVGTEVKQILPQGLPRKHSRTESNGSTSSSRSNTLRDDKLGRSTTNRARSQLLETHLAKLFKQKMEIFTKVEHTQESVVMTIVKLCLKSLQEFVRLQTFNRSGFQQIQLDMQYLRPTLKDTAEDEAAVGFLLDEVIVAAAERCLDPSPLEPAILDRLVHAKLARTTD >KVH91237 pep supercontig:CcrdV1:scaffold_121:310312:322577:-1 gene:Ccrd_006743 transcript:KVH91237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRVRRKCARGSQRLDLPNYPETEDADNLKLSEEGVVDWTRLPDDTVLQLFTHLNYRDRANLSSTCKSWRSLGASPCLWQSLDLRAHKCDWGITDSLASRCSNLQKLRFRGADNADSLINLRAKNLKELSGDYCRKLTDSTLAVIVARHKLLESLQLGPDFCERVSSDAIVAIGFCCPNLRKLRLSGIRDVNGEAVNALAKHCPNLTEIGFIDCLNIDEVALANVVSLRFLSVAGTTNVKWGSVGEHWSKLPNLKGLDVSRTDVVPNVVVRFFSSLKSLKILCAFNCSSIEEDTSVVIKSKSHGKMLLSFFNDTFKDISPLFPDTEKERDVFSDWRKESKKKDENLDEIMTWLEWILSHSLLRIAESNPHGLDQFWLSQGADLLLNLMQSSQEDVQERAATGLATFVVIDDENANVDVGRAEAVMKGGGIQLLLGLARSWKEGLQSEATKAIANLSVNPAFAKSVAGGGGITILASLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKALERAAGALANLAADDKCSMEVANVGGINALVTLARKCKHEGVQEQAARALANLAAHGDSNTNNAAVGQETGALEALVLLIRSQHDGVRQEAAGALWNLSFDDRNREGIASAGGVEALVALAHSCSNASPSLQERAAGALWGLSVSEANSIAIGREGGVAPLISLARSQTEDVHETAAGALWNLAFNPGNALRIVEDGGVPALIHLCSSSLSKMARFMAALALAYMFDGRMDEYALIGSSSEGSLKSVGLERARRMALKHIETFVLTFADPQAFSAAALSSAPAPLAQVTDSARILEAAELKSDVSGAPRVLRAAAAAASAPLEAKIFARIVLRNLEHHQIESSV >KVH91221 pep supercontig:CcrdV1:scaffold_121:113264:136126:1 gene:Ccrd_006756 transcript:KVH91221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MQSLARRQRDCSGFFLNEFKRRTRTLSSSSSSSSSSSSSFLSNHSLTDDPDSSVLVEGNAGSRTVVLNRPSFLNALNTSMGSRLHKLYKSWEDNPDIGFVVMKGSGRSFCAGGDIVSLHDMAKKGNIEGCKEFFWTLYNFMYHVGTYLKPHVAILDGITMGGGAGVSIPGTFRTLIGFHPDAGASFHLSHLPGYLGEYLALTGDRLNGVELVACGLATHYSLSAVGFFGPFLTCVIIIFPGFMRNLSPIEECLRNLITDDPSVIETSLQKHSDLDYPDNASVIRRIEMLDKCFSYDTIEEIIVALESEAAKTNDGWCHSTLKKLELASPLSLKVALRSIREGRFQTLDQCLIREYRMSLQAVSGQISSDFCEGVRSRVLDKDFAPKWDPPSLEHVSQDMVDQYFSPLTALEPELDLPTKQREAFTLQKAYESWEDTPDVGFVVMKVAILNGITMGGGAGISIPANDPPSPDNVSVTQRMETINKCFSHNTVEEIIDTVIREGRFQSFDQCLIREYRLTSRAVACEISSDFREGVRARMVDKDFAPKWDRPSLDHVSQDMVDRSFSPLGAHEPELDLPTQQGEAFRKQHH >KVD98135 pep supercontig:CcrdV1:scaffold_12100:360:1248:1 gene:Ccrd_024205 transcript:KVD98135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MPMVFSDVDMRDKLTSFILKNPPEQKKNLKDKKAMRRAEKERLKEGEAADKVQKKLTKDVKKGSSSFKDGHVKTTSSKKKGNAADEDHTSPTVSQAGDKDSEEDDVQWKIDTSVEAARQRIQEQLSTVTADMVMLATVEPMKKVAKPEENSNAKDNLKKGVGPKELMALLSGSRQENVSTLYEALLDSVEKGFAKYGADSQMLLLREVEELCRKANANVVKEVPHVQKALYDVDILEVEYVVKWYEEGCSGGNKSSLIWKNAKPFVEWL >KVH89942 pep supercontig:CcrdV1:scaffold_1211:127078:128670:1 gene:Ccrd_008067 transcript:KVH89942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MDQNHMKKQINSARNSLFQQGYLDEQFIQLEDLQDDANPNFVEEIVTLFYSDSTRLIRNIETALCIGIFRQVKHEHATLKRKLETYFQVSPSNSLENRHTLQRNTQLCAAD >KVH89944 pep supercontig:CcrdV1:scaffold_1211:89572:101812:1 gene:Ccrd_008065 transcript:KVH89944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MMRLQTYAGLSVVATLAVIYHAFSSRGQFYPATVYLSTSKVCLVLLLNMGLVIMCIMWQLTKRIFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVMFLAMVTALLLIKSLHWLAQKRVEYIETTPTVPMLSHIRIVSFMGFLLLVDCLFLYNSVKYLIQTRVASVSLFFSFEYMILATTTISTFVKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFVNYGVPLHLIRELYETFRNFKLRIADYVRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKRLVCGHLFHVHCLRSWLERQHTCPICRALVIPNESGTSASRSRAGVHRQGANSANTSSQDQNGDGAGSGNISRHQKRVQAAAAAASIYQKSFVYPSPSTLTWSSGYAVLPNKSTHGTDVNNAASSGEHGNSIPNNPANFPSFQFPQPYFIPVDAPSVSPNHEDGWSTNLQMSVSQLEAQKKIIQHQIEVLQNQLESLQKPASNKTSVAESSSGGGGGSGSWEAGLNTTAPDSKGKAVLSSDGEQHQKEVADDTNVAAL >KVH89940 pep supercontig:CcrdV1:scaffold_1211:38840:44590:-1 gene:Ccrd_008061 transcript:KVH89940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGVSALGLQRKNQTSKVPLLMERTESHTESEHVIDISNSNEASSSSSTLARPVSGSNVRQNEDLLSTNVQAPVFQHSFSTTNGTNSRNTSFARRGNGHGRRRSPLNSGYWISVELVITVSQIIAAIVVLSLSRHEHPHAPLFTWVIGYASGCVVTLPLLFWRFHNRNYGSNQEPSQARQSSTPGSLSAIASSFTSPSNGRISEGDTRNTTGTSSRRFTLGSLGARIKVLAEYFKMGLDCFFAVWFVVGNVWIFGGATTESINSLPTYKFKKNKHTNDKETNLGTCEGGVVAAGTEKERVISGEDAYANDDELRELPCSHFFHTDCVDKWLKINASCPLCKTEVGETILSSLTEATASLRRSAVY >KVH89943 pep supercontig:CcrdV1:scaffold_1211:72146:79929:-1 gene:Ccrd_008064 transcript:KVH89943 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MASTTTSDPEHHHTFSSIGKAGKSSGEIEGMDVPLINGRRQSENYSVVAAILPFLFPAFGGLLYGYDIGATSSATINIKSLTSSGVSWYDLSSVQVGLITSGSLYGALIGSILAFNIADFLGRRRELMVSAASYIIGALVTTLAPGFAIMVIGRFIYGVGIGLAMHAAPMYIAETAACQIRGRLISLKEFFIVLGMVLGYVVGSLLVDVNAGWRYMYATAVPFAVVMGIGMWWLPASPRWILLRAIQGKGDMQDLREYAIYCLRRLRGEAINNSASQEVQEILVELSYISEENEATLGEMFQGKCLKALTVGGGLVLFQQITGQPSVLYYAASIFQTAGFSAASDATRASILLGLLKLVMTGVAVMVVDKLGRRPLLLGGVSGIVVALFLMGSFYTYLGNVPAVAVVALLLYVGCYQISFGPIGWLMISEIFPLRLRGRGLSIAVLINFGANALVAFAFSPLQDLLGAGAVFFIFGGIAVLALVFIFFIVPETKGLTLEEIEAKLL >KVH89937 pep supercontig:CcrdV1:scaffold_1211:46760:55219:-1 gene:Ccrd_008062 transcript:KVH89937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MHHSMARQADMNKENVPVANIQEPTARITRARAKALGISGRLPPLHPLVKQESNQALQSNSKRGSSDNKPTTDVGNGIQSKRRAVLKDVTNMPFDDLNMKVINEIKIQTSKQLRSTAKKNDMVEPAVCMGLQDQMGKAKATEDMTKQSIRELREITSQLKLVNDLESEPSIYRNPKAGCMPVLVPGKSTSNNQSGLESCLPKGEIKLSNKVEASDDQAILDIDCKHKDPKMCSLYAAEVYNNLRVTEDLYLNGKKVITFSMFAHSKVRLSLQLKWRPSADYMKTVQRDITQEMRGILIDWLVEVSEEYRLASETLYLTVALIDRYLSKMYIEKQRLQLLGITCMLIASKYEEISAPRVEDFCFITDGTYTRQEVLDMERQVLDILSFHLSVPTVKKFLRRFILAAQSSYKVPVIELEFLANYLAELTLTEYGFLKFLPSLIAASAVFLAKWTLDQIEHPWNPTLEHYTNYKASDLKATVLALQDLQLNDAAPLRAIRQKYRQKQK >KVH89947 pep supercontig:CcrdV1:scaffold_1211:1292:6834:1 gene:Ccrd_008057 transcript:KVH89947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MDGAAVLANAATTTTTAGRGVRRESANGGSLSRSPYLTTNFSFLPHNSKLQNQLRFTLKNAPTTYGISNARAVRVDSPLSSVPSEAVDIDWDALGFGLVHTDYMYTMKCSLGGNFSNGELVPFGNIEINPSAGVLNYGQGLFEGLKAYRREDGNILLFRPKENATRMMMGAERMCMPSPSVDQFLQAVKATVLANERWIPPPGKGSLYIRPLLMGTGSILGLGPAPEYTFLIYVSPVGNYFKEGLSPINLLVETDMHRACPGGTGGVKTIGNYAAVLKAQGAAKAKGFSDVLYLNSVNKKLLEEVSSCNIFVVKGNTISTPEIEGTILPGITRKSIIDMARDQGFQVFEKPVTVDELLEADEVFCTGTAVVVSPVGSITYLGKRVTYGSGGVGVVSQRLYSELTRLQMGLVDDKMGWTVELK >KVH89939 pep supercontig:CcrdV1:scaffold_1211:27373:29519:-1 gene:Ccrd_008060 transcript:KVH89939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFAYYLMAISSIFSFDVCDRLII >KVH89946 pep supercontig:CcrdV1:scaffold_1211:10254:16889:-1 gene:Ccrd_008058 transcript:KVH89946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich METVLSPSHSRAISNHPNLSFFRDQAKISSSNQKICKSSKKFVSSSCEFSEPTSQNGFCRRDIILLGFTASVATVFPVEGSGADEELKMAPIVDDLNAYSYLIPLEMPSKKFFFKWVESRKPERYSSAAPLSPNARLRIVSERVDFIDNLILSITIGPPNPIFLKSEDKTTWEAKDVADSVLSDKSALRVTSSQRMAESSVLDAHTSEIDGEPYWYYEYLVRKSPTKTVQDSNIFRHYVAASVERDGFLYTLNASTLNKQWDMMGPVLEKTVASFRLLPPTENYVPPYKDPWRFW >KVH89938 pep supercontig:CcrdV1:scaffold_1211:68223:71242:-1 gene:Ccrd_008063 transcript:KVH89938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTVNLAPLSLRSPEITAGNNSSLKSGISFTKKRQMLAFAANNSTEEPPTIKPEIQLEFIAPKAGGDGKYPVDRAAAVSGDKLLRTIMEDNKLELYGTYTHETKALNVEKFVFEGKVDELRRWWKLRHLHRGGCRRKGAFKRANKYRTQILEEACQTIVGNKENSGKVVIQRLPQRKK >KVH89945 pep supercontig:CcrdV1:scaffold_1211:20964:25794:-1 gene:Ccrd_008059 transcript:KVH89945 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPOC domain protein MFSSLTSQPLSRPLQKMEPVSNQDLSLLNMQTGITAPMSTNPASHFVVPNQQNTASCLVSNVSETGNIMVSDKQLMPNQKAGEMGTMRSNVGLQTALLPSKRKAAAEPFPNNSLPQQTLAPNKRMVQMEAHVNSPRLSPLSAQNKKHVQLQSVPNSQGSLGSSNKRMMRNESMPGKTGSPRVQTSKSKTAPTEVSPKIQSESYEAVRMKMRETLAAALSVGSQNKEEGPNKEVASSIPMQSHVNSQSASVEADAAPGSHVELKETPDNKQEDRGSAAGETAPEMNIQNLDQTGKSDGQKPQYNYVMPDTDSSFGDTFFVKDELLQGNGLSWAWDMEVAELKEVQTDEKSNSVSMDVGRYGTEQSQGAEREKLDDMGMSGSGIEQVISSPQDLAFKIEAELFKLFGGVNKKYKEKGRSLMFNLKDRNNPELREKVLSGKISPERLCSMTPEELASKELSEWRMAKAEELDKMIVLPDSDVDMRRLVKKTHKGEYQVEVEQDDGVSVEVSVGSSSLTQFRPKKKKTDHISSAAEEVKEKVVAEGDKAASEKLDATSSVTVSTDGTDFMQELIVDEFKDEGFLPPIVSLDEFMESLNTEPPFENLPVDGKETKLPSVKDNSETGGETGNGKATPGITSANPVETGARTNDGSNVKTTEVLSSAKRNGTSAERKLLPGEYLWEGDLQLTLSSAVSVVGLFRSGEKTSTKEWPDSMEIKGRVRLDAFEKFLQELPMSRSRAVMVYYQVVLLFILITFDLLLGSPFMAIYSSQETVSTIVMANGIHYTNENYTTLFLLDHQLILEQSPILGKWSNYTFQLFVVHFVLKDASSDIHRASLSEAVDSYVAEERVGFGEPIPGVELYFCPPNKRITEMLSRLLSKDQTDITKPTDNGLIGVVVWRRPHPTMLPNSSSHHKHHRKHLSSRRQENINANTNSKSLTFGHGQPPRDSRLPPQPNDGGGGGDDDDDDIPPGFGPGVVARDEDDLPEFSFSKGSNSSGQTLPAQSVFGSRTVPSNPPPRPVAQMRQLIYEYGQTGTNPAGSGAGAGAGAANWNGNRGSGIENRPWRQDEDDDIPEWQPQLQNRQQPPVPDHGVQELSRVHLVNQIRPAMDQTVTPVMPIRPPVNPLQNSWVQPPLPHGLPPNTAVPGQYYGGQWRHDEPRGRGF >KVH89941 pep supercontig:CcrdV1:scaffold_1211:102492:111762:-1 gene:Ccrd_008066 transcript:KVH89941 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGKEESKVDSRKATTTKELSSMDEGGVATMGSLVVENEQQRWGRRTFYIFIGFNKILIVGRLFISFFMTSSSLIPGFRFHPTDVELVMYYLKRKLLGKKINTNAVAEVNIYDFCPWDLPGCMFCSPYKGIRLWLNVSADVLFGQLEDSSLSNRATETGFWKATGRERPVTYRERTVAMIKTLVFHLGNAPNGKRTDWVMHEYCMKDGHLDNGGIVQDAYVLCKIFQKSGAGPKNGAQYGKPFNEDEYDDDDVAICSEPQAVIGPDGTTNTLNHKQKGPATMTLTEPGSSTVTFSANETTNTSNHKQKGPAIMNTAEPGSSMVTFSANETTNARNHKQKGPATMNTSEPGSSTVTFSANGATNAWNHKQMGPAIMNTTEPGSSIGTFSANETRNARDHKQKGPATMNTTEPGSSTVTFSANGATNAWNHKQKGPATMDMIVPQRTCSLIQPGPSTFMFSANKRCADVPANDDVLFLEDMDLFMRESSTDDDDDGLFSEDVASIMGVSTEDVNGNNKKEGFEKGKSVAANDENGIYDNLDDLCNLDDLAFKTDAAEHTLGTSTLTVDDLRDFYVD >KVH89236 pep supercontig:CcrdV1:scaffold_1212:61944:87675:1 gene:Ccrd_008776 transcript:KVH89236 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MSKKFGEMDWERDAKDVIVVVCLTGRNIVAFSGTQESLTDLQLHQRSRPNRFTVVLMRTPTNRTVKVIPFQHSYTSGDTTPATCSSSLSGWRAKLQGMKMIDWIEAFLPCSRWIRTYNWREYLQPDMVSGITVGIMLVPQSMSYAKLAGLQPIYGLYTGLVPIFVYAIFGSSRQLAVGPVALVSLLVSNVLANVDSSGELYTELAILLSLMVGILECTMGLLRLGWLIRFISHSVISGFTTASAIVIALSQAKYFLGYSVVRSSEIIPLVKSIISGADKFSWPPFVMGSTFLAIMLTMKHLGKTRKNLRFLRAAGPLTAVVLGTTFVKIFHPSSISLVGNIPQGLPSFSIPKEFGLAKSLISTTFLITGVAILESVGIAKALAAKNGYELDSNQEHKIAIGFKVHYCAFFDDQAFYKQPKNKLFNFFLDLCLLFGLGVANIFGSFFSAYPATGSFSRSAVNNESGAKTGLSGIIMGIIICSTLLFMTPLFEYIPQCALAAIVISAVIGLVDYEEAMFLWRVDKKDFFLWTVTSATTLFFGVEIGVLVGLCWGGFLAPLFIETSNSTQKHIHTTGLLREYEISVDQSGGKRGPEVERIHFVILEMAPVTYADSSAVQALKELYQEYKSRNIQIAIANPNRDVLLTLAKSGFIDLVGKEWCFVRVHDAVQVCLQHVQTSTSSSLKAPQVTLHNSSSYLDILKERRKADLTADEMESGERERLTSKDADPVMEPLLSGKSHK >KVH89243 pep supercontig:CcrdV1:scaffold_1212:8982:9754:-1 gene:Ccrd_008771 transcript:KVH89243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MVSMILFNFCFDSLRLGKLTYDAPNTPSGLVSAALAHAFALFVAVSISANISGGHVNPAVTFASFIGGNISFLCAIVYWIAQLLGSVVACLLLRRNGKNKTSSNLGSKRFMVVILEKLQLIPIKYGTKLQETPAFGLSSDVTVGNALVLEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILVGGAYDGASMNPAVCFGPAVVSGTWKHHWIYWVGPFLGAAIAALIYDSIFISDDHESLPVTDY >KVH89238 pep supercontig:CcrdV1:scaffold_1212:52154:53543:1 gene:Ccrd_008774 transcript:KVH89238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MTNSEMTLKLLVDKRDGKVMFAEASKDFVDFLFYILTLPIGSITKLLAKEPLSGSLGDLYQSIENLNNIYILENKTKDTVLNPKSLSYIPNQDLLLLSKDSPTIKKRFYTCSNVNRRCNIVTEEPKSACPNCRYAMSNEMTYFVPEAAKVAATTEVGFVKEVVTYMVRDDLVVQPMSTISAITLLNKLSIKDVCVLGEKEVHFGMAEGLKLLKASLECKNVLTRVFLDSEDQVKIV >KVH89240 pep supercontig:CcrdV1:scaffold_1212:11966:25942:-1 gene:Ccrd_008772 transcript:KVH89240 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MMARGLFGWSPPRQQPLTPVSEVSEPPESPSPYMDPGIDAVPPEVDDVMDETEEIEPPPEAVPFSRLFACADRLDWVLMVVGSVAAAAHGTALVVYLHYFAKIIQLLSHADESPDMQFHRFKELALTLVYIAGGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLTIGFINCWQIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAASVAEQAILYIRTLYAYTNETLAKYSYAASLQATLRYGILISLVQGLGLGFTYGLAICSCALQLYVGRFLVTRGKAHGGEIVTALFAVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSSSTEDHDGNIPDSVHGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDATPLQIEDAAKTAHAHTFISSLEKGYETQVGRAGLSLTEEQKIRLSVARAVLSSPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADFIAVMEEGHLMEIGTHDELIASDGHYAELLRCEEAAKLPRRMPVRTYNENATFQIEKDSSASHSYQEPSSPKFAKSPSLQRASNLHAARSPDSAYNSHESPRNPSPPEKMVENGSHLDGTDKEPSIRRQDSFEMRLPELPKIDVHSIRRQTSHGSDPESPVSPLLTSDPNNERSHSQTFSRPNSQFGDDPVKVKAVKEKQPRKEPPMWRLVELSFAEWLYAVLGSTGAAIFGSFNPLLAYVIALIVTEYYRKEADRHMRHEVDKWCLIIACMGVVTVIANFLQHFYFGIMGEKMTERVRRLMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSTAIVVALLIGMLLQWRLALVALATLPVLTVSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVSFCAGNKVMELYRLQLRKIFTKSFLHGMGIGFLFGFSQFLLFACNALLLWYTALSVKNGDVDLSTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDISALKPPNVYGSIELKHVDFSYPTRPEILVLSNFSLKVNGGQTVAIVGVSGSGKSTIISLIERFYDPVAGQVILDGRDLKQFNLRWLRNHMGVVQQEPIIFSTTIRENIIYARHNASETEIKEAARIANAHHFISNLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAVMMRHVDNIVVLNGGRIVEEGAHDSLMSKNGLYVRLMQPHFGKGIRQHRHI >KVH89237 pep supercontig:CcrdV1:scaffold_1212:109799:116823:-1 gene:Ccrd_008777 transcript:KVH89237 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MGSAPIPSETYKLGFIGAGKMAESIARGVIKSGILPASRIKTAHKGSRRTTFESFGVGVVEHNNQVVENSDVVIFSVKPQVVKDAILQLRPILSEKKLLVSVVAGVKLKDLQEWAGHDRFIRVMPNTPAAVGEGASVMSLGAGATQEDGEIIAKLFGAVGKIWKADEKLFDAVTGLSGSGPAYIFLAIEALADGAVAAGLPRELALGLASQTVLGAASMASHAGKHPGQLKDDVTSPGGTTIAGVHELEKSGFRGILMNAVVAAAKRSRELSQNN >KVH89242 pep supercontig:CcrdV1:scaffold_1212:6325:8777:1 gene:Ccrd_008770 transcript:KVH89242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQENNPIDECSRSEITKLPDEILADILSIYPLDSGSKFVALVTGLCNRTCIKYGGPAVQVQDFESEVRKLFLMFDENNPLKSPRKLEFHFNRGLITASIGLKKKLHLDFSKGNRDYPRQFGWGIVLNTMDFAQFSPNLFSVKTLKLTSVNYLTCELVSNLINKFRYVECLIIDKCDGLRSLRVEGLALVSNLINKFRYVECLIIDKCDGLRSLRVEGLAKLTXLIVRDCYDLKSVFVESLELKXLRYXGXLCWFSFKNVMYLKDVILDCEGPGFKHLNHQLYDPLLRAIRDVKVLTLRGWMFKEVFGPWLFSEEHEEHFRFSRLEDLWWIDSCMDDHNINWLFCFLKFCTSLKRFTIDPRSRSAGDXQXSXRVENGRLRKLKVVKLEGLKKEEDIMLFKERLMEVFGAEPQVVDVRQGMHERCLIRIPKRQAIGKPTGSDKLKFCYKFVEEVFGNRGLCSKHPHMV >KVH89239 pep supercontig:CcrdV1:scaffold_1212:52154:59259:-1 gene:Ccrd_008775 transcript:KVH89239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWRSASWSSSHVSLPPLNPDSVKDGVDLNASNGGQNRRCPVPLTPRSNSKGRSCLPPLAIARRSLDEWPRAGSDDVGEWPIPSTPSGRDLNNGGERLKLDLSSIQRIPDKNAGLAKRDKIAFFDKECSKVAEHIFLGGDAVARDKDILKQHKITHVLNCVGFVCPEYFKGNFVYRTLWLQDSPSEDITSILYDVFDYFEDVRGQGGKVFVHCCQGVSRSTSLVIAYRMWREGQSFDDAFQYVKAAREIADPNMGFACQLLQCQKRVHAFPLSPSSLLRLYRIAPHSSYDPLHLVPKMLNVPSPAALDSRGAFIMHIPSTIYIWIGKKCESLMEREARGAVCQIVRYEKAQGPIVVVKEGEEPSYFWDAFSTLLPLMDKSGIDIVELSKATPGERIVDSYNVDFEIIQKAIIGGFVPPFASSETDQETHLPARENSWSVLRRKFAYGNMKEFVLASKSAPSRVYPDSALLVGSERSFNPLSKPVLFSSTSSLSPSFSSGSSSSSSPPYLSPDSISSDSSISSKCYSDSPVASPSISSYTHAFSSTLSTLSNLSLVPTKLSPHSISKTSEFIDVNFTSNTSFQSVLSPSKRSSLSIAERRGGTLKCLKLPIPCDDSQGQEAHSSYVAGGEANACLATESDENNVLRLKQKGLNQESLLQGYCSSCSNTLDGGDGFDQTSKASEENWPSKRKETHNFLVPVESESAHHDVKQQVVVLEWPSLEKVLRFYTDDMDSRCMFVFVTPGSGSVKDGDSVLYLWVGKAFRHDNGSLLETNKRCTELPDLAMKEVINKVLSEMGLSKDTHVELTHLLHKLYCLKIDGKAVVFAIMFTTTGAIIGSHTISPTSSISHFCTLGNIPAEDAGKKRRECIKGSVESLQTILHQSAAPFVF >KVH89241 pep supercontig:CcrdV1:scaffold_1212:44088:44488:-1 gene:Ccrd_008773 transcript:KVH89241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWMLQTRLIVVSFAVYSWN >KVD98134 pep supercontig:CcrdV1:scaffold_12127:89:769:1 gene:Ccrd_024206 transcript:KVD98134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MRNLHNAIRAARLGNQIKVSTATYTGLLATSYPPSNGVFHDNVRGFIEPIIRFLAHCNLPMLANIYPYFGYLGDPNGNLPYALFTAPGTVVSDNDHHYSNLFDAMLDAHYAAQARIGGKNVNIVVSETGWPSAGGRGASVENARKYYANLIRHVKGTSGTPIKPGRSIETYLFAMFDENRKAGAETEKHFGLFSPNKQSKYQLSLN >KVD98133 pep supercontig:CcrdV1:scaffold_12129:888:1508:-1 gene:Ccrd_024207 transcript:KVD98133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MRNLHNAIRAARLGNQIKVSTATYTGLLATSYPPSNGVFHDNVRGFIEPIIRFLAHCNLPMLANIYPYFGYLGDPNGNLPYALFTAPGTVVSDNDHHYSNLFDAMLDAHYAAQARIGGKNVNIVVSETGWPSAGGRGASVENARKYYANLIRHVKGTSGTPIKPGRSIETYLFAMFDENRKAGAETEKHFGLFSPNKQSKYQLSLN >KVI04212 pep supercontig:CcrdV1:scaffold_1213:37612:38973:-1 gene:Ccrd_017478 transcript:KVI04212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MASLPILTVLDHSHVSPPPATVADASLPLTFFDILWLTFSPVHHLFFYELPSVSKTHFIEDIVPNLKQSLSITLQHFFPFTGNLIVFSSPTRKPEIRYVDGDSVAFTIAESALDFDDLTGNHPRDCGKFYHLIPLLPEATKESDHVKIPVFSVQVTFFPNSGISIGMTNHHSLGDASTRFCFLKAWTSIARSGSDESFLANGTLPIFDRVVNYPKIDELYLKNLEIETTFNKDYQPPRLSAPTDKVRATFILTRTVINRLKKWVSTELPTLPYVSSFTVLCAYIWSCIAKSRNDELEIFGFSVDCRARIDPPIPAAYFGNCVALCMSIAKTDLLTGNDGFLNAAKLHGENLHKMLTDKDGVVNDKWPFGGLLSQGTPTTMMGVAGTPKLKFYELDYGWGKPRKHETISIDYNDSISLSACKESNEDLEIGVCLSAIEMEAFIPIFNKGLEACF >KVI04207 pep supercontig:CcrdV1:scaffold_1213:56281:57315:-1 gene:Ccrd_017480 transcript:KVI04207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MPPVHNLYFYELPAVTKTHFTETIVPNLKQSLSITLQHFFPFSGNLIVFPTPARKPEIRYVDGDSSTLDFNDLTGNHPRDCDKFYHLIPILPDSAKESDHVKILVFSVQVTFFPNSGISIGMTNHHSLCDASTRFCFLKAWTSIARTGSDESFLANGTLCFFDRVVNYPKIDEIYLKNLEVETTFNKEYQLPRLCGPTDKVRATFILTRTVLNRMKKWVSTQLRTLPYVSSFTVACGYIWSCIAKSKNDELQIFGFTIDCRARTDPPIPAASFGNCVTLCTAIAKTPILTGKEGFLTATKLLGENLHKILTDKDLEIQWYQIWWYILLSSLTKKTMI >KVI04210 pep supercontig:CcrdV1:scaffold_1213:101792:103147:-1 gene:Ccrd_017483 transcript:KVI04210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MASLPILTVLEQCQVSPPPATVNDRSLPLTFFDILWLLFPPVHHLFFYDLPLTKTQFTETVVPSLKHSLSLTLQHFFPFVGNLIIFPTLNKKPEIRYVEGDSVAVTVAECNLDFDDLTGNHPRDCEKFYHLIPLLGQAAKVSDYITIPVFSIQLTFYPNRGISIGMTNHHSLGDASTRFCFLKAWTSIARSGTDESFLANGTFPLYDRLVNNPKLDESYLKYAKVETFNEEYRPQSLSGPTDKVRATFVLTRSTINLLKKQVSTDLPTLAYVSSFTVACGYIWSCIAKSRNDELELFGFAVDCRARLNPAIPAAYFGNCIGGCMAMAKTTLLTGKEGFVTAAKLLGENLHKLLTDKDGIVKDFSWYGDLFSNGVPTTMMGVAGTPKLKFYDMDFGWGKPRKHETVSIDYNNSISLSACKESNEDLEIGVCLSATDMEAFIPIFHDGLEAYR >KVI04211 pep supercontig:CcrdV1:scaffold_1213:119020:128193:1 gene:Ccrd_017484 transcript:KVI04211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKITDRIHLPYIHFPPYAQVLEQLPRYRASYEQVLLVFFSTVTQWAQNEEANHSSFNKTADSSRRRDARRRERSDQRRRRRRRHSAVDGQPATITPASRHGERLMIPRPRNMWLSFVFIVSLQLQLHLMHSESIIKKLPGYPRDLPFKLETGYVGIGKNEEVQLFYYFVESTTNPEEDPLIFYVPGGPGASALITFLDEI >KVI04206 pep supercontig:CcrdV1:scaffold_1213:51288:51491:-1 gene:Ccrd_017479 transcript:KVI04206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MPMTAMGVAGTPKLKFYEMDFGWGKPRKHETISINYSDFISLSACKESNEDMETFVPIFEKGLEAYV >KVI04208 pep supercontig:CcrdV1:scaffold_1213:65335:66708:1 gene:Ccrd_017481 transcript:KVI04208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MSHEMTNSLPILSVLEHSQVSPPPATVGDNSLPLTFFDIYWLNSPPVHHLFFYDLPLTKARFTEIIVPNLKRSLSITLQHFFPFAGNLIIFPTPTRKPEIRYGEGDSIAVTVAECNLDFDDLTGNHPRDCDKFYHLIPSLGPAVKVSDYVSIPVFSIQVTLFPNHGISIGMTNLHALGDATTRFGFLKAWTKIARSGTDESFLANGTLPLYDRVVQNQKLDESYLKRVNVEAFNEEYRPQNLSGPTDKVRATLVLTRTTINRMKKRVSTNLPTLEYVSSFTVACGYIWSCIAKSRNDELELFGFAVDCRARMDPPIPAAYFGNCVGGCAVMAKRTLLTGKDGFVTAAKLLGENLHELLTHKDGIVKDIFAMIDDLLSNGMPTTTMGVAGTPKLKFYDIDFGWGKPKKHETISIDYNNSISLSTCKESKEDLEIGVCLSAMEMEAFVHIFHEGLEAYL >KVI04209 pep supercontig:CcrdV1:scaffold_1213:90304:92017:1 gene:Ccrd_017482 transcript:KVI04209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein VGANGQQVSILIYCDGDNGQHVSILIHRDDDNGQQGDGKLYIFLELVMEGSLAKLYERYELQDSQVSIYTRKILSGLNYLHDRLVVHRDIRCANILVDVSGSVKLABFGLAKLTTSILLRNAYQYPSPLELESFQVHITLPSLSLRLYPQISPKDFVGGTQPTGILDYSPFDPTEIA >KVD98132 pep supercontig:CcrdV1:scaffold_12132:766:1026:-1 gene:Ccrd_024208 transcript:KVD98132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3511 MEGRPSNSSRVVSGKGKHQIYGIRSPARTSTSSSKPWGGLSRKPSDPETKRRKRIAKYKVYTIEGRVKASFRNGIRWIKSKFIHGF >KVI08185 pep supercontig:CcrdV1:scaffold_1214:64108:92428:1 gene:Ccrd_013443 transcript:KVI08185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase domain-containing protein MGHQPVLHTSIFGGGVKFPLEPPVGRWEHHHSWISLMRSQLFRKHPTSFRKCSTDSISCLSEHLSAPCKTNESTAKVIYSVAPAVGHNKEAHPECSSRIPAIVSALEKVELTPKVKMTTFTFNLAIHFEDDSNFNRLQLCPSFSSNFRGSEIIQLQNFRTATPEDIASVHARSYIFGLEKAMEQASEQGIIHIDGSGPTYATATTFQESLVAAGAGLSLVDSVVAASKISQNPPVGFALIRPPGHHAVPKGPMGFCIFGNVAIAARYAQRVHGLRRVFIIDFDVHHGNGTNDAFYDDPDIFFLSTHQDGSYPGTGKIDNIGCGNGEGTTLNLPLAGGSGDIAMRTVFDQVIVPSAQRFKPDIILVSAGYDGHVLDPLANFQLTTGTYYMLASSIKQLAKEMCGGRCVFFLEGGYNLKSLSTSVAESFRAFLGEPSMAAQLDEGGFLYDEPSFKVKQAIQRIKHIHSL >KVI08188 pep supercontig:CcrdV1:scaffold_1214:98493:109870:-1 gene:Ccrd_013446 transcript:KVI08188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAKKRKARASQATAEQLQVEDQTNDAAAAAAAEEQEQGDEQLQNLEENKEDDEQGEEDFDEDEEEENEEETTRNEAKEVKVETNGGGGQETECLAEEPVDKLLEPFSKEQLVLLLKEAVSKYPGFIESVEKIADADPAHRKIFVHGLGWDTNTETLISEYGRYGEIEDCKAVVDKVSGKSKGYGFILFKHRSGARNALKEPQKKIGNRITSCQLASAGPVPAPPPTAPPVSEYTQRKIFVSNVSAEIDPQKLLDFFSKFGEIEEGPLGLDKQTGKPKGFALFVYKSLESAKQALEEPHKNFEGHTLHCQKAIDGPKPGKGFYQQQHQHHHQHQQYGGHHHPSKKSKYSGAGSVAGQGHLMAPSGPSVGYNPAVPPALTPALGQALTALLATQGAGLGNLLGLGGPLKRRVLLWILEMDFVHFELTLVNIMEMKIRKWEQRRRNREQGFFGEAQQMKRNRRIEGSGVGQHSIYHQAAHEKFLSPKQSKCKWEVCKSVVTASSALLLFSS >KVI08186 pep supercontig:CcrdV1:scaffold_1214:69257:69706:-1 gene:Ccrd_013444 transcript:KVI08186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MSSQENYMPPVTFIVVQKRHHTRFFPARHGDRQSTDKSGNILPRTVVDAKFVIQQNLVSMWYSGNKSSNALSCVVFQICLHCTLAYYAHLVAFRARYYMEGEFSDSESHGQRATTERIAEARHVL >KVI08187 pep supercontig:CcrdV1:scaffold_1214:95440:96069:1 gene:Ccrd_013445 transcript:KVI08187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MKTWKMGDMEKEAKKPLSSKNNNNDNNNSSNNNKEQDRFLPIANVGRIMKKVIPANGKISKDAKETVQECVSEFISFITGEASDKCQQEKRKTINGDDIIWAITTLGFEEYVDPLKQYLLKYRELEGDKVNNNNNNVPKQQQCIEQQQQHNATNGLPYENVYSSSAPSIMSQPPFVTASDQPFALPFSPNSIQTQIHHQQESIDSVGHW >KVH88289 pep supercontig:CcrdV1:scaffold_1216:121355:123538:1 gene:Ccrd_024209 transcript:KVH88289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MLDGEVAAIIVKIPSDEICGDIGNSNSTVVILPDGAHSLPNVIKMKSQLPQIVSTFVTRVSLCKISLVSLEDGLYSLEYDPSMSLLQAFYICVAVVSSQKLTRSHPLHLWL >KVH98716 pep supercontig:CcrdV1:scaffold_1217:127379:130060:1 gene:Ccrd_023056 transcript:KVH98716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKALNCHHFDFKVSVYATKFFSSLVTPSILPAKPHNPSNLSLTFNPYQFLNESAKSRNFTLEETKIIHTQFLKTNNFYTNPEFSNFLLRSYCKASAFVYALRLFDEIPHPDTSSWNLVITSENQNLRYEDSWGTFCRMHSLGFVPNEFTYGSALSACISLNFVNCGKLLYSLALKHGFSLDGYVRSGMIDLFLKSCNFNDALRVFYDESCGNVVCWNAIISGAIKHNEDDLGLNLFQQMHRGFPSPNKFTFPSVFGACAKCEELNLGRGVHGLVVKYGEDEDVYVGTAIVDFYAKCGQVDEALKKFSRMSVRNVVSWTAIITGFVQKSEFESALQLFKEMIILKEEINNYTVTSVLSACVNPALFREALPIHSWIYKTGFYSDSSVKNSLINLYSKTGAIESSEQVFRDAKDMMNPSTGAAIITAFCQNGTLEKAFGFLQKMFQEGLIPDKSCIPSVLSIIDYLELGKQIHCYTLKTKICYDPLVGCSLFTMYSKCGSLKDSYEIFQRIPDKDNVSWGSMIAGFTEHGCPYQAIELFREMLLQDVILDETTLTAVLAACSSLRALKIGKEIHGSFIRQQDSKAIYGGSPIVNMYSRCGALKLAKRVFRMMPSKDQISCSSLVSGYAQSGHIKEALHLFLELITSGLEVDSFTISSVLGSVGDLNHSDIGIQLHARVLKLGFESEASVGSSLVKMYSKCGSVDDCWKGFEQIDKPDVISWTAMIQSYAQHGKGLEALKVYELMIKSGTKPDPVTFVGVLTACSHSGLIEEGYSYMNSMVKDYGIQPGQRHYACMVDVLGRSGRLKEAERFINSMGIEADALVWGTLLAACKVHGEVEIGRIAAEKYMELEPSSDGGYVALSNICADLGQWEEVLKIRTEMKGTGIKKQPGWSYI >KVH98717 pep supercontig:CcrdV1:scaffold_1217:132713:138880:1 gene:Ccrd_023057 transcript:KVH98717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRLKSPWSRRKRKHALTPQQWKSLFTPEGKLRDGVKFLKKVHSETFKHGNTHNTKGTYQTCGLKECNEQLSPEQLIELCSHFKQQTSKI >KVI03016 pep supercontig:CcrdV1:scaffold_1218:8387:11258:-1 gene:Ccrd_018693 transcript:KVI03016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVELLPKEFGFVVLTIIAYCFLNVFMQIQVGRARKKYNVPYPILYATEADSKDYKIYNCIQRGHQNSLEVLPIFFILMVLGGLRHPITCSVLGVVYIVSRFFYFTGYASGDPKGRLPLGKYNVIAILGLMFINIWFGVSLLMA >KVI03017 pep supercontig:CcrdV1:scaffold_1218:453:5670:1 gene:Ccrd_018694 transcript:KVI03017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNQGIQSKNHFGAFITPLQVSEMVADKGKKPEIGEKIMEDNTEQIMSLFYPLQSCRRSKMNLKRYKWVEGIEEILKPSRSESDGSSIELPAKGEENKSRNCASNNKQDDWSWSKEAIGEVNELKTLIVSSTRKKAPTTSCCNVGSQLNECEEIAKKQLFGGT >KVI03014 pep supercontig:CcrdV1:scaffold_1218:103721:105176:1 gene:Ccrd_018691 transcript:KVI03014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMEMGSSSLDQENKIKMMGYEDDDSFSSDVSSLVSSDDESDDEESNSSSGGGSSPPRTTALGDMSDLLQQLPSKRGLSKHFQGKSQSFTSLSKVMCLEDLAKPENPFNKKMKSCKSYVGLSRVLPPPTRSSSSSKLFNKKAPSRASCSSLSIGRNGSYLGLSNNSRPPTHPSHNNGACF >KVI03015 pep supercontig:CcrdV1:scaffold_1218:25288:34856:-1 gene:Ccrd_018692 transcript:KVI03015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEATNDRNHHTAKRKRDGNYDGEDSFAIANGGVDLSLLEEIEKSQHSVEVVDVKTLKKLVLSFERRLRDNIAARLKYPDQPEKFADSEIELHEEIEKLKILAGAPELYPDLVNLNTIPAILDLLTHDNTDIAVDVVGLLQDLTDEDVLDENDEPAQILVDCLIENNVLESLVQNLLRLSESDPDEVAAVYSTLSTIENLIEVKPAVAEMVCERTKLLRWIIGKIKVREFDSNKQYASEILAILLQTSVANQKRLGQMNGVDVVLQAVAMYKSRDPRSLDEEEMVENLFDCLCCLLMPLENKERFLKAEGVELMIIIMNQKKLCYGSAIRALDFAMTNYPPACERFVDIPMLKNKKKRSKEEFEERLISLVASLFGGILRGSRRERLLSKFVENEYEKIDRLMELYMRYSNRVKEESERLNALELDDLEMDEEEKYNRKLESGLYSLQLIAVILGHLWTSELPRIRARIELLLKQQKLTKKDEYHDNIGDLEGPDEKEKAQAKIQKFIAAL >KVI03013 pep supercontig:CcrdV1:scaffold_1218:123640:125830:-1 gene:Ccrd_018690 transcript:KVI03013 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MDRLAKCPANYVPLTPLTFIKRASVVYSNRTSVIYDGVRFNWRQTYERCCRLAYSLRSLNVTKNDVVSVLAPNVPALYEMHFAVPMAGAVLNAMNTRLDAKNIATILIHSEAKVFFIDYEYVPIASEALRLLVARLECTSSTEYVMPLVIVIDDIKKPTGIRLGELEYEQLIRHGNPRYIGEDLEDEWDAIALNYTSGTTSDPKGVVYSHRGAFLSTMSLIQGWEMGTEAVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTTAEEMYRSISQHKVTHMCCAPIVFNILLEAKPHERREITSKVNILTGGAPPPAVLLEKMEDLGFHIMHAYGLTEATGPALVCEWQSKWNQLPRDHQARLKARQGVSILTLADVDVKNKDTMESVLHDGKMMGEIVLRGSSIMKGYLKDEXETXKAFXXGWFXTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLFKHPAILEAAVVAMPHPRWGESPCAFVVLKNTGSTSESKILRYCQKNMPKFMVPKKVEFVKELPKTGTNKVLKGELRKIAKTLRISENTSPTNSKRSEKEVHMEQTRYYQDEDHHQEKVMAMSRL >KVD98131 pep supercontig:CcrdV1:scaffold_12182:15:131:-1 gene:Ccrd_024210 transcript:KVD98131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEGKVVCVTGASGFIASWLVKLLLDRGYSVHATVRSL >KVD98130 pep supercontig:CcrdV1:scaffold_12186:483:884:-1 gene:Ccrd_024212 transcript:KVD98130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFCDLLWSNPFKDVKGWGMNDRGVSYTFGAKIVTGFLQKHDVDHGLSKNQNIDNQFFPNGGSTRDGP >KVI04638 pep supercontig:CcrdV1:scaffold_1219:36719:37628:1 gene:Ccrd_017041 transcript:KVI04638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII ATVPHILDNTEEVFFTRNLGILKQSLDICFKKIKEIPCLTCPQKPQGASYSKHKILIVADEIDGHLAFGDNPFVPMGVFGSMVPVLTLGSLSKRWIVPGWRLGWFVTGDPKGIFKNTKVKLNVSLLKDISDDIEFCFKLAKEESVILLPGDKHTAYNSFKMH >KVI04643 pep supercontig:CcrdV1:scaffold_1219:4306:6025:-1 gene:Ccrd_017038 transcript:KVI04643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin head, motor domain-containing protein MFSQHSNLLLIEHSIPILEAFGNAKTVRNNKSSCFGKFVKIQFDQKGRISGAAIRTYLLERSCVHLERNYHCFYMLSVVPPEVTSLHLTGTGV >KVI04641 pep supercontig:CcrdV1:scaffold_1219:122439:133765:-1 gene:Ccrd_017044 transcript:KVI04641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHSNHQYIIARSSFIVFFNRASMVFFMLRIRLGFQSRISIPDGLQEEYVIIRFDYFEATTVDKLPTDMEGMEATMERMLALIDDTYKYVGDVVKCLVAPDNNVGKFISETILRGSMKN >KVI04637 pep supercontig:CcrdV1:scaffold_1219:14471:14722:-1 gene:Ccrd_017040 transcript:KVI04637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSMSIFTPFDALCSEFIARKLLVSNTNIKTQKVSECMKIKDSHDHPSPSADIKKPSKLQMKPRFAPEFDGVYCFETILPYY >KVI04639 pep supercontig:CcrdV1:scaffold_1219:73671:76124:1 gene:Ccrd_017042 transcript:KVI04639 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5, heme-binding site-containing protein MASDPKNFVFEDVVKHNKTKDCWLIINGKVYDVTPFMEDHPGGDEVLLAATGKDATDDFEDVGHSDDARDMMHKYYIGEVDRATVPKKRTYVAPADRSYNPDKTSDFIVKILQFIVPLIILGLAFAVRSYTKEKSA >KVI04642 pep supercontig:CcrdV1:scaffold_1219:5905:6444:1 gene:Ccrd_017039 transcript:KVI04642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MFRPHESYPDYLHVLLGEGYYAERTSKQTIEILKRRGKDLESQIETLNAVIKDLKFEATFFGDTTIEAAQDFGQEQQ >KVI04640 pep supercontig:CcrdV1:scaffold_1219:80451:84194:-1 gene:Ccrd_017043 transcript:KVI04640 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase, DevB-type MASSSQALSAPLRTRAPPIPFFSRTSSSWFPVTNRSFRGSSGLFSTQASSSSISVIRANNPEKFSCLKTKVSTSANMATEKKAQVFDSEEALSVSLAKYIADLSEKFIKQKGSFTVVVSGGSLIKSLRKLVEAPYVDSIDWSKWHMFWVDERVVPKDHPDSNYLLAFDSFLSKVPIPPGNIYAINDALSAEGAADDYETCIKHMVHNGVISTSEASGIPKFDLMLLGMGPDGHVASLFPGHPLLQEKSKWVAFIKESPKPPPERITFTFPVINSSANVVLVVAGAGKAHPVHVALGDGQDPELLPVQMVSPEGELTWFLDKDAASKL >KVH88980 pep supercontig:CcrdV1:scaffold_122:284138:291534:1 gene:Ccrd_024228 transcript:KVH88980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITGPHSSALLRRASRSSANRHFHSSISGKSPSPSPSPSPSPLASHSRSHATASAAFRSLRSPWSHGVDWKSRSSQIRTTASPVLDRFHRSLATIASKNVFNGILTGLPKPGGGEFGKSDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWEKTAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMKNLGGDSDKINPLVPVDLVVDHSVQVDVARSENAVKANMELEFQRNKERFAFLKWGSKAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQHGVVGKFVEFHGDGVGKISLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDTVAMIEAYLRANNMFVDYNEPQQERVYSSYLELDLSDVEPCISGPKRPHDRVTLKDMKADWHSCLNNKVGFKGFAVPKEAQDKVAKFSFHGQPAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLKVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGYGCTTCIGNSGELDESVGAAITENDIIASAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKDVYFRDIWPSSEEIADAVQSSVLPAMFKSTYESITQGNPMWNDLSVPESKLYSWDTNSTYIHEPPYFKNMTMNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLEHGVDRKDFNSYGSRRGNDEIMARGTFANIRLVNKLLNGEVGPKTDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADTLQLTGHERYTIDLPSNISEIRPGQDVNVSTDTGKSFTCIARFDTEVELAYFNHGGILPYVIRQLSTQK >KVH88987 pep supercontig:CcrdV1:scaffold_122:167707:170619:-1 gene:Ccrd_024224 transcript:KVH88987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQWGPQIDCVTNDNVIVSVVNSLDEPFLITWNGIQQRRNSWQDGVYGTNCPIPPGQNFTYILQVKDQIGSFFYFPSLAFHKAAGGFGGITISSRPMIPVPFPPPAADYTILAGDWFKQNHTVSSLSLVLLLTTDSSFDAVDRNPHENFQDLKAILDGGSDLPFPDGLLINGRGSNGFTFNVDQGKTYRLRISNVGMSTSINFRIQGHKMLLVEVEGTHSLQNTYSSLDIHLGQTQNLTASGPRPNPQGSYHYGMVNFTRTIRLANSAPVINGKQRYAVNSVSFIPADTPLKIADYFKISGVFSLGSISDSPTGGGGYLQTSVMAADFRGFAEIVFENSEDTVQSWHIDGHFFFVVGMDGGQWSASSRTSYNLRDGISRSTVQVYPNSWTALYVPLDNVGMWNIRSQNWARQYLGQQFYLRVYSPVNSWRDEYPIPKNAITCGRASGRKTRPL >KVH88981 pep supercontig:CcrdV1:scaffold_122:292928:295998:1 gene:Ccrd_024229 transcript:KVH88981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFGGNGGIRVSRDHPSPSSVSESDIIPSQIQLNIKQAQSPAAALDLLPLLAPSPLMPFTNLTLPHLSATTAIDCWSSLAPYLANVVCCPQLDAAIKILIGQSSLSSETLAVGLPHANDCLSDIAQILQARGSNNDLLNICSIYPSELTQSSCPMVRVSDIENTLSNVPTILESCERIDPVKECRHKVCQMAITDAAVEIASTNYSMPDMVGAEPLSPVRVAMIDDCRKIVLRWLASRLDPADGNKVLRGISSCKINKVCPLVFPDLKIVTKECGDKISNETTCCDAMEKYIARLQVQSFVTNLQAFNCAYSLAANLRKANVSHNVYNICGVKLKDFSLQGLCSLYLPRFLVFLSVSRLTKL >KVH88975 pep supercontig:CcrdV1:scaffold_122:299762:299977:-1 gene:Ccrd_024230 transcript:KVH88975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MRLVHHPNVIQLKKVMATKTKIFLVMECVRGGELFAKVAKERLKEDLARKYFQQLINIVNYCHSHDVSHHD >KVH88972 pep supercontig:CcrdV1:scaffold_122:400639:406816:1 gene:Ccrd_024237 transcript:KVH88972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer alpha subunit MLTKFETKSNRVKGLSLHAKRPWILASLHSGVIQLWDYRMGTLIDKFDEHDGPVRGVHFHILQPLFVSGELGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHESPWIVSASDDQTVRIWNWQSRSCLSVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLRKKNVSPADDLMNLSQLNSDLFGGIDVVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRLLKLWRMNDTKAWEVDTLRGHVNNVSCVVFHSKQDIIVSNSEDKSIRVWDVTKRTGIHTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSMFYAKDRFLRFYEFSTQKETQVLPIRRPGSTSLNQGPHTLSYSPTENAVLICSDVDGGSYELYIVPKDSISRGDTVQDPKRGAGGSAVFVARNRFAVLDKSTNQVLVKNLKNEIVKKSGLPITADSIFYAGTGNVLCRAEDRVVSFDLQQRIVLGSLQTSFVKYIVWSNDMENVALLSKHSIVVASKKLVHQCTLHETIRVKGGAWDDNGVFIYTTLNHIKYCLPNGDSGVIRTLDVPIYITKVLGNKIFYIDRDGKNKIIVIDATEYIFKLSLLKKKYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALDSGNIQIAVAAAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNIEKLSKMLKIAEVKNDVMGQFHNALYLGNIRERVSILENAGHLPLAYITASVHGLQDVVERLAAELGDLPTLPKGKIPSLLMPPTPVMRGGDWPLLRVMRGIFEGGLENVGKGVPDDEEEVNDADWGEELDLVDVDGLENGDIQAVLEDREVDEDNDEEGGWDLEDLELPPETDTPKASISSHSSFVAPSPGMPVSQLWIQKSSLAAEHVAAGNFETAMRLLHRQLGMQNFAPLKSMFIDLHVGSHSYLRAFSSVPVLSMAVEREWTESAAPNVRGPPALVFNFSQLEEKLKAGYKATTAGRFSEALRLLSNILHTIPLIVVESRREVDEVKELIVIVKEYVLGLQMELKRKELKDDPVRQQELAAYFTHCNLQVPHMRLALLSAMKLSYNARNLNTAANFARRLLETNPTNENQSRTARQVLQAAEKNLTDSSKLNYDFRNPFVICGATHVPIYHGQKKALCPYCSSNFLPSQEGRLCTVCDLSVIGMDASGLLCSPSQIR >KVH88969 pep supercontig:CcrdV1:scaffold_122:479937:481588:-1 gene:Ccrd_024241 transcript:KVH88969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVPQKPQQNSPIDQQDDEQESSSSTTFTCEICIEPVILPNKKFKNSNRCVHPFCTDCIIKYIQVKLEDNVSDIKCPAITCDHSLEPLSCRSKVGHQLFDKWCDVLCESAVLGLDRVYCPNRDCSALVVNECGGEGNLKRCVCPNCKKPFCFRCKVPWHAGYRCEESGEMRDRNDIAFGVLSERNQWMRCPMCRHCVELVKGCAIVRCRCGIEFCYRCGKKVDHHWCNCRRSSTFCMWLFHLCIVILVLWPFFLLFTAITRKSHH >KVH88968 pep supercontig:CcrdV1:scaffold_122:457102:459946:-1 gene:Ccrd_024240 transcript:KVH88968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDHRFFLLLAVSLLAVFSLVSGDDDFVGSGDDVFIRQVVGDEDPLLKAVEHHFGAFKRKFGKLYASQEEHDYRFSVFKTNMRRAKRHQKLDPSAVHGVTQFSDMTSSEFRKHLGLRSRLRFPADASKAPILPTNDLPDDFDWRDHGAVTDVKNQGSCGSCWSFSTTGALEGANFLATGKLESLSEQQLVDCDHECDPEEEGSCDSGCRGGLMNSAFEYTLKAGGLMSEKDYPYTGTDRGSCKFDKNKIVASVSNFSLVSLDEDQIAANLVKHGPLAVAINAVYMQTYVGGVSCPFVCSKRLDHGVLLVGYGAAGYAPARMKEKPYWIIKNSWGKNWGEKGYYKICKGHNVCGVDSMVSTVAAVNRH >KVH88967 pep supercontig:CcrdV1:scaffold_122:55022:76359:-1 gene:Ccrd_024218 transcript:KVH88967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MVMETRDSDSAPLHEKIFFKNTTPRAIELVILALLVSLLVYRVVTFKDQDNYFPWLLALLCESWFTLYWIIIVNAKWTQCMNKTYPERLLDRVNESEFPAVDIFVTTADPVLEPCILTMNTVLSLLAVDYPANKLALYLSDDGCSPLILYSLVETTKFAKLWVPFCKKYNVQVRAPFRYFTPKTPSMEDESSEFKQEWKTMKNEYGILYKKIHLAAQRTFPCDRDSDFAVFADTHRSNHPTIIKVVSENKEGTPNDLPHVIYISREKNPKHHHHYKAGAMNVLTRVSGVMTNAPLMLNVDCDMYANNPQVFLHAMCMVFSFKNEEDCAFIQFPQAFYDGLKDDPFGIQLYIANGISAIQGSFYAGSNCFHRRKVIYGSSTNDTIKTGNYTDNEYLHTMFGKSIEMSESAAQALSGSNAKLENRRIPSSFIEAAIQVTGCSYEYGTAWGKQIGWMYGSTAEDILTGLSIHGKGWKSVFCVPGPAPFLGCAPLTYPGALIQQKRWATGLLEVLFTNKNPLLLTLKGKLWVRQALVYMWLCSWGFRGVPEICYAALPAYCIITNSRFLPKISESAFLIPTAILVIYTLYGIWELKPLGISVRMWWNLQRMGRVNAMTALLSAWPSVILKLLGLSQTVFHVTPKDESSSDGDDNDDANAGRFTYDRSPTIVPGVVILLVNMTALVSGSWRLVKMGSREDLTAVLGLGEVFCSVFVSLCFWEYLKGMFRNGKYGIPWSTIWKSANSGSPPVRERIVINNKIPRAIELVILSLLVFLLVYRVVTFKDQDHCFPWLLALLCESWFTFNWILVVSTKWTQCMDKTYPERFLDRVNESEFPAVDIFVTTADPILEPCIITMNTVLSLLAVDYPVNKLALYLSDDGCSPLTFYSLVETMKFAKLWIPFCKKYNVQVRAPFRYFTPKPSLTEDESSEFQQEWKKMKVIEIAAQRKFPCDRDSDFVDFTDVHRSNHPTIIKVVSENKEGTPNDLPHVIYISREKNPKHHHHYKAGAMNVLTRVSGVMTNAPLMLNVDCDMYANNPQVFLHAMCMVFSFKNEEDCAFIQFPQAFHNGLKDDPFGSRMMHLFVQFTSFSSCFNQSMLNGVSSIQGAMYSGSNCFHRRKVIYGSSPNDAIETGDTDNEYLRNMFGKSIEMRESAVQTLSGSNATIQNRRIPSSFIQAAIQIGWMYGSMTEDVLTGLSIHGRGWKSVFCLAEPLPFLGCAPVTYPSALTQKKRWATGLLEILFTDKNPLLLTLNGKLWFRQALAYIWVCLWAVRSVPEICYAVLPAYCFITNSRFLPKISESAFLIPMGIFVIYTLYGYLEFKLLGISLRMWWNLQRMARVNALTAWLVACLSVVLKLLGLSHTVFEVTQKDRTSSNGEDNDDANAPRFTYDRSPMIVPGVVILLVNLTALVHGMVNIGSRENWMYMPRLGEAFCSVFVLLCLWEYLKGLFGNGKYGIPSSTIWKSYKHMNMN >KVH88976 pep supercontig:CcrdV1:scaffold_122:322553:327205:1 gene:Ccrd_024233 transcript:KVH88976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MRSVKPAIIAAVDDVNSNPFILGETHLNLLLHDTNCSGFLGTVEALQLMEKNVVAAIGPQSSTIAHVISHVVNELHVPLLSFGATDPTLAALQYPYFLRTTQNDYYQMSAIANLIEYFEWKEVIAIYVDDDYGRNGISSLGDSLAKKRAKISYKAAFPPGAPVTDIGDLLTEVNLMESRVYVVHVNPDSGLEIFAIAKKLGMMNTDYVWITTEWLPAVLDSSETPDSDTMALLQGVVTLRQHTPNSNSKKKFASRWKNIKQKETSSFNSYALYAYDSVWLLANALDTFLKTGKNITFSLDPKLQNTTGSELRLSSLQTFNEGPQLLKILLSTKFTGLTGEFRFDLDKNLIHPAYDILNIGGTGLRTLGYWSNYSGLSISSPESLYAKPPNSSTSTKHLYSVIWPGETSSTPKGWVFPNNGKPLRIAVPYRHSYKEVVTKDVRSPQGVRGYSIDVFEAAKYDAAVGDVTIITNRTRIVDFTQPYMESGLVIVVPVKKSKTSPWAFLKPFTIEMWLVTGGFFLLVGFVVWILEHRLNHEFRGPPSQQIITIFWFSFSTMFFSHRENTVSTLGRLVLLLWLFVVLIINSSYTASLTSILTVQQLTSRIEGLDSLISSNEPIGVQDGSFAYNYLIQELNVAESRIRSLKDKVDYLNALHLGPKRGGVAAIVDELPYIELFMTYTKCEFKIVGREFTKSGWGFAFQRDSPLALDLSTAVLQLSENGELQRIHDKWLASSSCSSQVAEIDDNSLSLSSFWGLFLICGIACFISLSVYFCRVLCQYRSFNPNEEVDHEIPEPEPARRSVRRALRSTSFKDLIDFYDKKEAEIKEMLKRNKRQVNGDNASLS >KVH88986 pep supercontig:CcrdV1:scaffold_122:231465:235085:-1 gene:Ccrd_024226 transcript:KVH88986 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4094 MKERTTMKSRGDQQASRSVISQKWTLLLCIGSFCAGLLFTNRMWTMSDSKLITRPTAIESEGLKLVSEGCDLRKLQMKNVKRDSKQIFGEVSKTHQAIQTLDKTISSLEMELAAARSIQESIVNGSPISEEDTRSAPERRKYLMVVGVNTAFSSRKRRDSRKKLEEEKGIIMRFVIGHSATVGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKTYFATAVTMWDAEFYIKVDDDVHVNIATLGHTLVRHRKKKRVYIGCMKSGPVLAQKGVRYHEPEYWKFGESGNKYFRHATGQLYAISKDLATYISLNQLSFACSIVIKTLSIYLSYWFLMFVLKNRLRHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSVDRIKEVHRRCGEGENAVWSATF >KVH88971 pep supercontig:CcrdV1:scaffold_122:335827:339822:1 gene:Ccrd_024234 transcript:KVH88971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho-like protein MWGLDLKKTILWRASLLRRCARSLWHQFLACWIGKSIRYRHLLHSGSGPLIPTSHRRPRRPERTTTAMDVATVYHQFPSVEMTASSGDGFASGEMTSSCGGSDHDKEGSDLVALKISLLGDQHIGKTSFLAKYVGKEKNEEELKDRGINQMDKTLCVRGARISYSIWEVGDGDLRTSIPAACKDSVAILFMFDLTSRYGDTGYGREQARTYAKAINATLFFSSASYNINVNKIFKFVTAKLFDLPWVLERNLTIGEPIIDF >KVH88988 pep supercontig:CcrdV1:scaffold_122:209347:209583:1 gene:Ccrd_024225 transcript:KVH88988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKTSSWSDQWGTGVFDNEEKDLEKPNNKSNNKKMEQMKAAASTGLVKAKSAAVVGAHKVKKGTSTGVKWIKNKLSK >KVH88963 pep supercontig:CcrdV1:scaffold_122:29896:35091:1 gene:Ccrd_024216 transcript:KVH88963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDVPTDLINRAQIGFREGVGLSSFDPEETTLPSLPTLEACISTLDSSPSYLRCKFCKGKLLRGLQSLICIYCGENQKKDLHPDPISFNSSNGYRWLLQSLNLSGSGRVGSLAEGSGIHRGQSPAEDEVTLSDLLDLQISWQDKPKKPENSFDNKTSEHGSSLNVGTADLDSFFIESKRAIVSDASEEQPVTSKNDQNKAFGGQENLTLFQNVLPLETSVISSIDVSGDASSGWNAEFQSADTKIEDENSKSVDPFVGAEADLSVHMDAVFGQIKRLNNTKLNDDSVTAPSTGKDWIPDDLFANMSSATFPQAEQLESVAEAKDGLSGHQNDISSEGIDGDWFNDGIWQTSSANNAAVAQQADLLDLVAKHNEGSSQDKSNDSFTEGVSIDWFENTNWLKSTANNTATDKDENSFDIKPQVDAVSSPTLVNDLIQNDLLYNASSQVSSHTEKSEFDNSNKHYSDTTDWFQDSQWPFGASSATTMAASKDDDKFDEWNDFTSSTGNQGSFPDSWKQNSNENVIASEKISELNLFTSTTDPKEVDFGNFSQSDLFSGSSSNKNPNDTQEVYNIFSEVSTASRKNSNGEGGKKEDVEMVLSQMHDLSFMLKSELSVPSRPQGSS >KVH88979 pep supercontig:CcrdV1:scaffold_122:425538:426763:1 gene:Ccrd_024238 transcript:KVH88979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSMVLASSFTGEAFESIEFDVSDSDSWEVIDPSDSDDGNFSYGDVTSDSDEVGADVGDRLQHPYGSPLSDISMQSLVDEISHHCQELKDACEIDHNHQVIHDKAIVKDDGMIDGEDDPYDEDDGEEDEDDDDDDDDSDLDDELVPKWVNNKFERQRMRKLGKRDYPKMKRSKRIAYQYNRPGCVHGKHGLGLKHNLIW >KVH88965 pep supercontig:CcrdV1:scaffold_122:7295:14882:1 gene:Ccrd_024214 transcript:KVH88965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive linolenate hydroperoxide lyase [Source:Projected from Arabidopsis thaliana (AT4G15440) UniProtKB/Swiss-Prot;Acc:B3LF83] MSANTMAVNRITASSAPPPPKTSLTSQPTPTSLPLRSIPGSYGLPLLGPLVDRLNYSWFQGPQTFFKKQIEKNQSTVFRTNVPPSFPFFLVNPNVVAVLDCKSFAHMFDMEIIEKKNILVGDFMPSTKFTGDRRVCAYLDPSEPKHQQMDMLKRSSTVWIPTLTSLLDTMWDNIESQLASGPVSYVVPIQKFHFAFLSRCIAGADPQNSPDMAENGYLYMDRWLALQILPTVPINAFQPLVEIFLHSFPYPYFLVSRDYNKLYEFIQKEGKEVIERGQTEFNLSREDAIHNLLFTLGFNAFGGFLIFFLSLLSTLESDKTGVQEKLRNEVREKAGSSGLSFGTVKEMELVQSFVYETLRLNPPVPLQYGRARKDFELSSHDSVFEVKKGELLCGFQPLVMKDPNVFDDPETFVADRFTKEKGKELLNYLYWSNGPQTGETSASNKQCAAKDFVPLTAALFLAHLFLRYDSVTIAGTSFSAVEKAKN >KVH88966 pep supercontig:CcrdV1:scaffold_122:120619:122668:1 gene:Ccrd_024219 transcript:KVH88966 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAKGSSGLSFDSDPIRLLFPHKPTRINSFSDNNNHHHHHRHTWTLMDAAGNRSSSPPPPTTIQFPLNLNCNHDRPHDKRINEMDFFADKKNEDDRSRLPSDPAAPLTELDFNINTGLHLVTGNTSSDQSLVDDGISPNSEDKRAKNELAVAQAQLEKMNTENQRLREALNQLTVNYNTLQSHLVTMMQQKQREEQSADDHKTSNDGGGGGGEVMIVPRPFMDLGLAAPTAVETDENSQSSSEGRSHDEHSRSPPIHTTIGQMEEGSEHGSQVIRTGSNKVPRLSSSKNNNTTNIDQATEATIRKARVSVRARSEAPMVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMPSSDGLMNSNFLARTFLSCSSSMATISASAPFPTVSLDLTQTPNPLQFQRGPGQFQVPFSNPQQQNFTGPNAALLPQIFGQALYNQSKFSGLQMSQDMEGGASSQL >KVH88973 pep supercontig:CcrdV1:scaffold_122:385882:386744:-1 gene:Ccrd_024236 transcript:KVH88973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIQCDVCHKAEAQVYCTADEASLCSACDHRVHHANKLANRHPRFSLLHPSLKDSPRCDICQERRAFLFCKEDRAILCRECDIPIHKANEHTQKHTRFLLTGVKLSASLSCYDDLSHQTPSSSNSNCSIEIESTKGSGVSIDQKSVSITNHSDYSVSFSQEEGASMEARSISEYLMETLPGWHVDEFLDPYGFCKFLKLFEFGTFSFKSYTFYPYNLLT >KVH88982 pep supercontig:CcrdV1:scaffold_122:145778:146198:-1 gene:Ccrd_024222 transcript:KVH88982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFFQDYFGGPNATMKPVTFSLDPWSFKQFGTIFYTDDPINMGMDQESGQVARAQGIYEFDGSTLEVQGASKQFERVREVAVVGGSGRFRLARGYATLGTVHLDLSLSYSIIEGNFTVWHY >KVH88970 pep supercontig:CcrdV1:scaffold_122:364804:377971:1 gene:Ccrd_024235 transcript:KVH88970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MAASSSRGRSSSPFHHRKPSSTFSSSSSSSSFKQLIPRSCSSSATTSFYPASGNGYGSRSITPGPTRSDSMYSKGGYGGRSPVAFPSPDELIGEPVDSVPRSGGGDSISVTIRFRPLSEREYQRGDEISWYADGDKLVRNEYNPVTSYAFDRVFGSSAVTQEVYEVAARPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDHHSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLRRGMLVGVNPEEIMSLKQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTLPGLTDVSAHQRSFSTNEDDNASMLLQSENLNDSPSELSYELKHRRNSSNLSAAGSTITESTQAGDLINGSAGGAKLLTGGISIDQMDLLVEQVKMLAGEIAFSSSTMKRLVEQSANDPESSKTQIDNLEREIEEKRRQMRVIEKQIIESNEASISNTSLADMQQTMMRLMTQCDEKGFELEIKSADNRILQEQLQNKCSENKELQERILLLEQQLAVAKSEKPLPSSGPHVSEEYVDELRKKIQNQEVKNEKLKLERVQILEENSGLSVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLHNAKLEKELVAARELVNSRPGNGGNRKYGDATKPGRNGRLSGRVNDGYNDFDSRNLDPEDLKRELQARKQREASLEAALAEKELIEDEYRKKVDESKKKEAALENDLANMWVVVAQLKKEAGGVVPESNTNNEGHAERNENVNEPQIDNGDFNNTVLKERQILDVQQMAHDVPKEEPLVARLKARMQEMKEKEVNYNGNVDANSHVCKVCFESPTTTMLLPCRHFSCKSPNPHIAISTLCVNLAQLHVPSVQFAGPRFQIEFLLSPLDICTFK >KVH88983 pep supercontig:CcrdV1:scaffold_122:146675:149796:-1 gene:Ccrd_024223 transcript:KVH88983 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MIYLFFSLLFVEMVTILLLLFKTPLRKLLIIGIDRMKRGRAPLVVKSVGATVFVIMMYNVYSVTEIQSRPPDALNPTDQIILAYHMLEASLMGFSLFLSLMVDRLHHYIRELRILRKTVEAAKKQNRAAEDVKNKGADEVKILNEETSRLQSEIKRLQSEYETKVKEVKSAEANSIALKNQSEGFLLEYDRLLAENQNLRDQLRSIDECLSHSNGKKDT >KVH88962 pep supercontig:CcrdV1:scaffold_122:49658:58769:1 gene:Ccrd_024217 transcript:KVH88962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSIDFGIGNGRGILEFQGSHIVFMLCMFLVSMSILSMVIFACGDSGGHNTTRKKHNRHSGGGGGCGGDGGGGGCDFGDSVKLINSFSSGLRPVKQLYQLLNFRTISCGEVCDKPRSLRTTLRHATNHAVNAFTRAIL >KVH88978 pep supercontig:CcrdV1:scaffold_122:439982:454622:1 gene:Ccrd_024239 transcript:KVH88978 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, subunit 116kDa MADHGGGGGCCPPMDLFRSEPMHLVQIIIPIESAHSTASYLGDIGLIQFKDLNAEKSPFQRTYAGQIKRCGEMARKLRFFKDQMSKAGFTPSGKTDAQVDINLDDLEVNLGNLEAELIEINANGEKLQRGYNELLEYKLVLQKAGEFFRVAHSSAVAQQSESALDQAPEESLETPLLMDEESKTDQGKQVKLGFLAGLVPKEKTMAFERILFRATRGNVFLRQATVEEAVTDPSSGEKVLKNVFLVFFSGERAKSKVLKICEAFGANRYPFAEDLSKQEQIIAEVSGRISELKTTIDLGLVHRGNLLQRIGEQYERWNLLVRKEKSIYHTLNMLSIDVTRKCLVAEGWSPIYATEKIQDALERATIDSNSQVGAIFQVLHTKELPPTFFQTNKFTDSFQTIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGIILLLSTLFLIFKEKKYSTQKLGDIMEMTFGGRYVILLMSLFSIYTGLIYNEFFSVPFELFSPSAYVCRDAACSEATTIGLIKGRDTYPFGVDPAWHGSRSELPFLNSLKMKMSILIGVAQMNLGIIMSFFNAVYFGNAVNIWFQFIPQMIFLNGLFGYLSLLIIVKWCTGSKADLYHLVLLLLSLIAVPWMLLPKPFILKAQHKRTHEGQSYTPLEGEDNSLQVEANHDSHDHEEFDLAHSELSTVFYEKVLLLAWGYNNILILIVGIIVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFHPFTFASLGDDEE >KVH88984 pep supercontig:CcrdV1:scaffold_122:125415:131857:1 gene:Ccrd_024220 transcript:KVH88984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIFKVSLLLLFLLSPTAYPASTGGLVRVGLKKRKVNILNHPGEHAGSNDSNARRKYGVRGNFRDSDGDVIALKNYMDAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSVACLFHSKYRSSHSTTYKKNGKSAAIQYGTGSISGFFSQDSVKLGDLVVKEQDFIEATKEPGITFLAAKFDGILGLGFQEISVGDAVPVWYTMLNQGLVQEPVFSFWLNRNADEQEGGELVFGGVDPNHFKGEHTYVPVTQKGYWQFEMGDVLIGDKTTGNFVELVFILFFFWEPCGFVFLRYCSGFYRVSEISISISGFCASGCAAIADSGTSLLAGPTTIVTQINQAIGAAGVMSQQCKSLVDQYGKSMIEMLLSEEQPEKICSQMKLCSFDGSHDTSMIIESVVDKSKGKSSGLHDEMCTMCQMAVVWMQNQIRQNETEENIINYVDKLCERLPSPMGESAVDCSSLSSMPNIAFTIGGKTFNLSPEQDLGRCFYGSIPYGV >KVH88974 pep supercontig:CcrdV1:scaffold_122:299986:300180:-1 gene:Ccrd_024231 transcript:KVH88974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIRTGTGDRQVVFGKYEIGRLFGPKNVKVYHTRDLVMAEGVVVIVIKTEQVKKEGLMVKSPG >KVH88964 pep supercontig:CcrdV1:scaffold_122:22318:25208:-1 gene:Ccrd_024215 transcript:KVH88964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1068 MALNRGGSCNPAVVKVGITLLGVCLVGYLLGPPLYWHFLEGLAAVRRSSSAASCPPCNCDCDSQPLLSIPQDCAKHDPEVNGDMEKNFAELLSEELKLREAEALESHQRADMALLEAKKLTSQYQKEADKCNSGMETCEEAREKAEAALVAQKQQSEMWELRARQRGWKDGGGKSVNTV >KVH88985 pep supercontig:CcrdV1:scaffold_122:140164:141252:1 gene:Ccrd_024221 transcript:KVH88985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEWTRGSVLGRGSSATVSTATSTSTSGEVFAVKSVALSQSESLQKENHFLSILKSSRVVGYKGCEISREDGKMVYNIFMQFMAGGSIIDLLNRSNGGGLTNLEIQRYTKHIVEGLDYLHSNGVVHCDIKGRNVLIDESGAKIGDLGCAKWVDDDQVASPPICGTPMFMAPEVARGEEQGFPADIWALGCTVIEMATGGSPWPNANDPVSVLYRIGFSGEIPEIPDGFSNQAKDFVRKCLIRDPKQRWTAKQLLKHPFIGEFDDHPKEIICEKMISTDSPTSILDQDVWNSMEESLSLSLSLGSSVDCEFPQSTCSLRQRIKQLADKSEIPKWRCEKGESDWMTIRRNEDGDGKAMVGRWQVR >KVH88977 pep supercontig:CcrdV1:scaffold_122:301010:308716:1 gene:Ccrd_024232 transcript:KVH88977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MESTLGLLLTLFISLLLNTCAYSERPTVVNVGAIFSFDSVIGKEAKAAMEIAMLERDVVAIIGPQSSTVAHMVSQIANGVQVPIISYAATDPTLSSLQFPYFFRTTQSDSYQMAAMANLIDFYGWKEVIAIYVDDEYGRNGVFSLDGEVEKRMSKISYKLALPTNFDLNDITDVLNKSIPYGPRVYIIHIHPDPDLKIFEVANKLNMMTDDYVWLATDWLCTTLASFTPSNQSSFGIIEGVVGLCQHIPQASRKKNFGVYGSYAYDAVWTVAHTIDSFLNQQKNMTFSFVGSQFGKLKTFDGGKQFVKILLETNFNGSTGPIQFNADRNLVPRGYEVVSIHQLAIHNVGYWSNHSGLSIVPPESIKVNKSMSYPLDEKLGVITWPGGKTDRPRGWVIGDKERPLRIGVPKRASFIEFATELSNHTIQGYCIDVFMQALKLVPYDIPYRFVPFGDGQSNPSYDQLVKFVADDVFDGAVGDIAIVTNRTKIVDYTQPYATTGLVIVVPINNSKASTWVFLRPFTKEMWFVTAAAFVLIALVMWLLEHRVNDDFRGPLRRQLVTIFFYTASLTSILTVEQLSSPITGIDSLVSSHLPIGYQVGSFAYTYLAENLYVPRSRLIPLGSPEAYDRALRLGPHNGGVAAIVDELTYVELFLSEYADYGIVGQPFTRSGWGFAFKRDSPLATTLSLSILQLAENGKLQEIHDNWFCKQGCMNEAIKNMFKKDDHPEASAHAV >KVI04863 pep supercontig:CcrdV1:scaffold_1220:45270:48108:-1 gene:Ccrd_016812 transcript:KVI04863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MIGPERPQIVLFGSSIVQLSFSNDGWGATLADVYARKADIVLRGYYGWNSRRAIKVLDQVFPKDSANQPSLVIVYFGGNDSMGPHSSGLGPHVPLPEYVENMRKIATHLQGLSDTTRIIFLSTPPVDEVRVRENTSKIVVEEILKVLKDAEWKPSLHWKSMPTEFSEDSPYDLVYTDGKTTLNPSDWTFHREIKWD >KVI04864 pep supercontig:CcrdV1:scaffold_1220:60029:88689:-1 gene:Ccrd_016813 transcript:KVI04864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MAVRRSLWPSKWKIAFAATALGCGAAAATIANSDDPATSLKLCTAVPVRLFRLSVTAATIAFDYEYSLMGLPEDSDERVRVKHEVHTRSARRLEELCFKNGGIYIKLGQHVGQLDYLVPLEYAKTMRESMLNRCPTSTYDQVCQVVKKELGGAPEEVLNISYHFPDSYKSPTYIFLPILSHETESSFITQIFEEFDPVPIASASLAQVHVARTHDGQKVAVKVQHTHMTDTAAADFATVEVIVNTLHRFFPSFDYRWLVDEVRETSPKELDFLNEAKNSVQCMDNFRRLSPHIADYIYAPTIYWSLSTTKVLTMEFIEGAQVNDLKSIKKLGIQPHDISKLVSQTFAEMMFKHGFVHCDPHAANLLVRALPLGRSSIFGKEGEGTYLLEEIKTRVWTPSPPAPVHRRRRCRRLKSLPPPPSEVADVAAAALPSLRSSCCLLAERDEKKKEALVEIPESGDDSTDSGSSGDNSNAKPAKKSLGEKKQTSKKFLTGLVLISIQIGLGEKNETGEFNMFAVFSLCAKEDVNRNWYSLTMVFTKSLTLTQGPTMPHYGSSLENGKELLKEKRNAKAKSSAEMRLVLQALVLADVSAIKENCMKFGAGEDLYAFFTSILTMKPWERVIDPAVDHLAIHDLSELQVTELLRRLPRVILLMMKTNDCLRSVDNALLQRPSLGSFLIIGRVSSEAVMKEKLSHVKSLFSLISIWLEEISLEARFLIMQVALWVLQFRKSLSL >KVI04859 pep supercontig:CcrdV1:scaffold_1220:127722:134965:1 gene:Ccrd_016815 transcript:KVI04859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MMKMGSIIATKRLLGSDCVDLLLIESSQDCPSCSLFTSSSMGRLFLVTLEGKIYSCKHCKTHLALCDDIVSKSFHCKHGKAYLFSKVSNVTVGVKEDRLMMTGLHTVADIFCVKCGSIVGWTYNRHTSKHFNLELCRSNVTVRVKESRLTMTGLHTVVEIFCVKCGSIVSWTY >KVI04861 pep supercontig:CcrdV1:scaffold_1220:1663:5444:1 gene:Ccrd_016810 transcript:KVI04861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MPTRSKITPVVILWGFIIFGTLVLFLNRISDHGISSGEKALNGQXEXPEGDLDEVTHRVYFDVEIGGKPMENFRALCTGEKGIGKSGKPLYYKGSAFHRIIPSFMIQGGDFTRGDGRGGESIYGEKFADESFKLKHTDPGILSMANAGPDSNGSQFFITTVITSWLDGRHVVFGKVVSGMDVVHKIEAEGTQNGTPKIRVVVVNSGELPL >KVI04858 pep supercontig:CcrdV1:scaffold_1220:90406:94916:-1 gene:Ccrd_016814 transcript:KVI04858 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MITMDDLFLSLLFTLSLSTIIFFLLRTTTASRRKGRMPPGSIGLPLIGETFQLISAYKTENPEPFIDARVSKYGTVFTTHVFGERTIFSADAETNRFILQNEGRLFESSYPGSISNLVGKHSLLLMRGSLHRRMHSLTMSFANSTIIKDHLLVDIDRLVRLNLDSWTGRILLMEEAKKITFELTLKQLLSIDPCEWTENLRKEYMLVIEGFFCIPLPFFSLTYRRAIQARKRVTEALNLVVRERRRERERGVKKNDMLAALFDSDGDDVIFCDDEIVDFLVSLLVAGYDTTSTTMTLAEEHDAIRAKKGTSVALEWDDYKSMPFTQCVVNETLRLSNIISGVFRRAMTDVTIKGYTIPKGSKVFTSLRAVHLGQDNFEDARVFDPWRWQKTSDPTNFMPFGGGPRRCPGYELAKVALSVFLHHLVTRFSWKPAEKDKLVFFPTTRTQKRYPIIMEHRNVVG >KVI04862 pep supercontig:CcrdV1:scaffold_1220:6058:6456:-1 gene:Ccrd_016811 transcript:KVI04862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1313 MTTLDSSSAAESSMDESSNLQQPPSATNDRRFDGGGLSDEEQNSKGSQVVWSNFTDSFREVQSVLDRNRVLIHQVNENHRSKIHENMVINVALIQEINNNVSKIVSLYSDLSTNFSSVFHQRNSETDADKPE >KVI04860 pep supercontig:CcrdV1:scaffold_1220:132148:134252:-1 gene:Ccrd_016816 transcript:KVI04860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MSTNVGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRIKILFTSLCHTDVYFWEAKGQNPVFPRILGHEAGGIVESVGEGVTDLQPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMIHDQKSRFSIQGKPIFHFVGTSTFSEYTVVHVGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNANRFELAKKFGVTEFVNPKDXKKPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPHKDAVFKTNPVNLLNERTLKGTFFGNYKPRSDLPSVVEKYMNKELEVEKFITHEVPFSEINKAFDLMXVKVFVASFAWMHRYLFKVL >KVH96764 pep supercontig:CcrdV1:scaffold_1221:111939:115400:-1 gene:Ccrd_001149 transcript:KVH96764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MCKLFNDYFILEFWGLASEAKRNWIRNLRTGNKANFLALQESHLKDEVDFDSSNLSGVKVDFAILDGFVAVWGRWVSYSIPCGFINVYAPQSSVSKLRFNDFIRDSGLIEVRSGGRKFTKMSANGAKHSKLDKYLVSSNFLSHWPMPSAMILPRYLSDHCPILFRSALVDFGPIYFKFFNSWLGQPDFNLLVLDSWNSSPSTIYCLPIKYFINIKAENTPLSLEEIASRKDILMKIKPLDEENVKDLKQKARLRWVVDGEENSSFFHGIVNSNRRSNFIHDISSNGVWITDPSEVKQTAFNFVSERFRSRCGRFSGLWRNILKIHKEYNKLNLPFADWFQREQSSNRIDFSWRWALDSHGTLPVSSMRAAYDDLSLHQVSFQTSWWVNWVPTKINILAWRLLHKRLPTKNNLIKRGVVCLSSLCPLCECAEEDEEHLFIGCSISRQLLKDLCIWWKVDIGQVNSIGNLLDRSSEVAATSMCKKAFIGVVYGFFWIIWILRNHKIFLAPNQNSASFLVGQLQAYSYFWFKNRASKDVMANSWIEWCNSPMSCF >KVH96759 pep supercontig:CcrdV1:scaffold_1221:123349:125292:1 gene:Ccrd_001150 transcript:KVH96759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRVLGVHSRSHLFQDQSFNIHQEVAISKNNLTSINRKLLASLSGGENDGSNRITDAKCSEKDIYIFQSPTTPLPNGIPTYTVEIHNVCITDTCTISDIHLSCGWYSSARLINPNIFRRIAYNDCLVNNGNPTTPGQTISFQYANTFPYPMTLASYTCNCA >KVH96761 pep supercontig:CcrdV1:scaffold_1221:88220:90341:1 gene:Ccrd_001147 transcript:KVH96761 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFQSPFSVIIAFLVFLFFFLFQLVKVMKRSSKPKLPPGPWKLPFIGNMLSMISSELSQEILRNLARKYGPLMHLQLGEISAIVVSSTQVANEIFKNDVSFANRPEILLAKIVLYNSTDIGFAAYGDYWRQMRKICTLELLSTKKVQSFATIREQVARGVVDSIRESSGFPIDLTEIIFISTNTVTSTAAFGEKYKDQEELLRLLKEMGETASGFDVADLFPSYKILHVLTGMKPKLEKLHHKLDKILNDIINMHEENRAGLKSRIGDAGEEDLVDVMLRLKVSGDLEFPITSENIKAIILDVFAAGTDTSSSTVEWAMSEMVRNPQVMAKAQAEVREVLKGKEVVNETDFQRLNYLKLVIKETLRLHPPIPLLLPRECRERCEINGYMIPVKTKVFINAWALGRDPEFWIDAENFVPERFENSHLDFTGSSCEYIPFGAGRRICPGRTFGLANVEFLLVNLLYHFDWELPNGMEHGEVDMSVNIKTGGRKKNSLCLVPIPRLE >KVH96762 pep supercontig:CcrdV1:scaffold_1221:10048:16826:1 gene:Ccrd_001145 transcript:KVH96762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAYTYGFCSSPSISFSQRSFNYPIVFQSRIPQLRITQISLQESVSEVTHNLHPKDSNLSQLANDKRKTFIWVNPKSTKASHFRQKSYDSRYTSLTKVAETLNSCLPVEEDVFNILDSNLGSKLVEQDGVIVLNNMSNSPTALIVLKYFQDKCKLNREVVLYNVTLKVLRKCKDLDGAEKLFGEMLQRGITPDNVTFSTIIGCARLSSLPRKAVEWFERMPGFGIQPDDVTYSVMIDCYGRVGNVEMALKLYDRSRTEKWRIDAVTFTTIIKIYGTSGNFDGCLTVFEEMKALGVKPNLVCYNTMLDAMGRGRRPWQVKSIYQQILSSGLTPGWATYAALLRAYGKARYGDDAMNVYKEMKAKGMELTNVLYNTLLSMCADVGFVDEAVEIFEDMKRSKDCQPDSWTFSSLITIFSCCGKVSEAEAMLKEMFEAGFEPNIYVLTSLIQCYGKSNRTDDVVRTFDQIIELNITPDERSCGCLLNVMTQTPREELGKLTRCIEKANPKLGNVVKLVVESNVEDETFKNEACEVLAHVGADVRKAYCNCLIDLCINLDQLEKACELLELGLMLKIYNDIQSKSPTNWSLHLKSLSLGAALTALHIWINDLSKALDEGDEFPPLLGINTGHGKHKYSEKGLAGGLESHLKELNAPFHEAPEKAGWFLTTKVAAKSWLEARRDNAVAAA >KVH96758 pep supercontig:CcrdV1:scaffold_1221:126854:129324:-1 gene:Ccrd_001151 transcript:KVH96758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISFNLKFICKLLKIPSRVCTKQVTTSTVEKQLRTEQHLLEIQKTKESKMSFQDVNSIHRPNILAFVQTEQAHILQKRAQQNHELQPKNTSFGQYFGGLGGFETGLPNY >KVH96763 pep supercontig:CcrdV1:scaffold_1221:100186:100675:1 gene:Ccrd_001148 transcript:KVH96763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMFRLVTRVYGFWWHSTLGANCFGYPIIVARGIKGMVTRT >KVH96760 pep supercontig:CcrdV1:scaffold_1221:13401:16915:-1 gene:Ccrd_001146 transcript:KVH96760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin II heavy chain-like protein MVGFDECLPLSQADPVILELNRLHNLLKGVLTSVFWPICIVEKERELGIAQTEIKALKAADKLATEKKEAFAAQFAAEATLRRVHASQKDDNSVPIESIIAPLEADIRMNKNEIAVLQEDKKTLERHAKLKEAALLEAERILRSALERALIVEEEENKILEKTNRQKVLEVEKLSETIKELEEAILVGGAAANGIRDYRRQISELQEEKRTLERELARANVSANRVATTVANEWKDKNDQVMPAEMQRLRDKLTISERTAKSEAQLKDKVKLRLKTLEDGLKQSTCGSPKLEKSNHFFGILSSNGGRKRSMSQPRGGSSTISQKSDVDSQIAHASGEMRQVNSVNKKHASGENLLKKSLWASRSKVVDGGDEKENNEMILENTSGMNLNKFKDERSTVLKGRETASGGSEERQERDSRSSTDDVVSGFLYDRLQKEMLMKKVENLIKALEVESKKWKREATTRDKNSTSIKTEENKPVRNLNSSKRDDAEPVGAWQLIVIIILYAYHYHYDAA >KVD98129 pep supercontig:CcrdV1:scaffold_12214:867:1412:-1 gene:Ccrd_024242 transcript:KVD98129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type LNAQPSVEYVDQVVILNTPFTYGHVHPLVTIDQQPVYSYTQILQVPDRRPENIFLDTYGTTSDLPPPIHPFEAYLENTSLRIEQEESLVLEEAVNNSIITGSGLSKEAITHHIQVKTAQENTGCNCKGEEESDICAICLDGYDEYKKMARLDCGHGYHAQCIKTWLLRKNVCPMCKATALKI >KVD98128 pep supercontig:CcrdV1:scaffold_12218:327:1545:-1 gene:Ccrd_024243 transcript:KVD98128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDVEEMLNRDHIGMNLQTSSSAIVEAIPRAFESYLIFLPSSLVCLSLEDNNLSNESFPMDMSSLSMLKELYLDGNLLVSLPNWVKSLSRLEILSICENFGLKSLKHPPPTLKELRYGFDGDGEVIFNREMSPILLSRCLRFIGLGYNVEGMVKEEDMRDVEEKVLRSLGWGHLVNLDFTKIQPTNVGGERRVKMVYEFGIFSTWYVGKGMPNCVSDIRWEGSSISFTIPSSPCNLRGLNFFCLFTSGDDKKLLAYISKIRISNVTKMCTWIYYTSGGFSGRREGKTYLSHWMFGKNEMEDGDQITISXLDYYYHGIKECGVSFVYDEDEDEDVLGYYKSWNHIIGGDLSPFQSTTPGEYFLQRCRFTGVAPGLVFGYRYV >KVH90141 pep supercontig:CcrdV1:scaffold_1222:40015:42043:1 gene:Ccrd_007859 transcript:KVH90141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSAIESENNNHSLPLESVNTTGSSEENSSSSPPENCSTLSDEPEKLFESLCEDEKLLSYLLGDDEPPLVDTTSWDLPNNAHNFNNCTGSFTSWDDCATWLLDCQDFGVNDFGLDCFNQVEMSILDTLE >KVH90146 pep supercontig:CcrdV1:scaffold_1222:68685:80154:1 gene:Ccrd_007861 transcript:KVH90146 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEELASAVPESWSGWWGISNAGDQVDLILVIAVAVFSIFWFVWMWTSKNGGSNHQLPPGPPPLPLVGNLLSLDTDLHSHFATLAKTYGPIMTLWLGRKVTIVISSPALAHEVLKVHDTTFANRDMTVAGNESSYGGNDIVWSPYGDQWRMLRKICVRQMLSNEVLDSVYSLRRKEIRKTIKQLYSQGESPVNIGDQMFLTFMNVVTGMMWGGTVKEENMVSLGVEFRQASNELTELLGTPNLSDLYPSLAWFDLQGIMKKTKVKDDGDYKTPFTMNHLKALLKDMAIGGTDTTSTTIEFALAHMIDKPEILKKAQQELDTIVGKNNIVEEFHIKNLPYLHAIMKETLRLHPILPLLLPHCPSKSCVIGGYMIPKGARVFVNAWAIHRDPTIWENPLEFIPERFVDNKWDYSGKDFNYFPFGAGRRICAGTTMAERMFMYSLASLIHSFDWKSGEGEKIELGEKFGTVLRKKKPLMAVPTPRLYESMIISDLGYNIYLTIHSYWLWWFDISNQQDELSRAILTFSIFFFMLLWYKWLFSSSKKGGLQLPPGPRGLPVVGYLPFLQPNLHKQYTQLAHRYGPIFKIWLGTKLYVVVSSSDLAKTVDQIFANRDPPIAALAITYGGINIVWSDNGPYWRKMRKVLVHEVLSNANLEACRAYRRDEVSKSIKDVYTKIGTKVNINEVAFFTELNVITSMLWGSTYAEGEKTGNLGAEYRAMVFKIIELLGAPNVSDFFPILARFDLQGVDGVMKREWAKIDLILDQIIEERIISNTSRMKKMADQKERSKDFLQTLLDLKDQNDAAKSFNFTQIKALLMDIAIGGTDTTATMVEWVMAEILHHPEVMKRVQDELSEVVGIDNTIEESHLPKLHYLDAVIKETFRLHPTLPLLTPRCPNQSCTVGGYTIPKGAIVFLNVWAMHRDPEKWENSSEFIPERFLNYKDKRDYSENSFSFFPFGSGRRTCPGIPLAEKMLVYMLASLLHAFEWSVPKDEELHLSEKFGIVMKKRKPLILEPSISIKHPWLMVAGGRERRQERRRPSSCTTCCHHGGAATSFMVQKVNFQLTKWRTTITTRSLRPSSCGLLSISWPKLAPRTAQTGSSNLHIVVNSADLAKVVTGEQDESFANRAQHIAGLATSYNASDIAFADNNANRRKLRKVLVHEVLSNVNLEASNAYRRREVRKTIKNVHEIIGNEVDINEIAFSTVLSVLTSIVFGKSMVKGAKYSNLVAEMRKFVSGVVEIAGELNISDFFPMLASFDFQGVEQRMKKQMKLFDQIFESSVEERINSRSAIKEEAVKEEGRKDFLQILLELQEQNTETSITMTQMKALVVDVFLGGTDATSAMIEWTMMEILRNRQVMNKVQDELAEIVGLDNIVEESHLPKLKYLDAVFKETFRLHPPLPFLLPRAPNKTCTVGGYTVPKGSTIFLNVWAIQRDPQYWENPSEFNPERFLNYKGSEKWDYAGTNSKFFPLGSGRRRCPGVSLGEKMMMHILASLLHSFDWRLPTGQKLDVSDKFGIALKKRKPLIAVPSPRLKDVSLYS >KVH90147 pep supercontig:CcrdV1:scaffold_1222:62922:64123:1 gene:Ccrd_007860 transcript:KVH90147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFTIQISGNLVKQLADESEEENQETKTKDTENSIPQQNQAKPTNQKEIHDESQSNPKRCGIPATAGWPPMYLPIPPPANPQVDAIRSVLEDSERVLEKLKKQEEEMVVELEVKQKAKELHDKEFKLPQPKPMAFV >KVH90137 pep supercontig:CcrdV1:scaffold_1222:9977:10766:1 gene:Ccrd_007856 transcript:KVH90137 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 TFRIFCFIPVFLHTVSTRNISDTWSPFCNEDSKYDGLKDERGPYADMVEVLKIGLDDDGFNYAEKMLKHFRTLIKNLDKIDXGKMKREQKLAFWIKLHNALVMHVRDYKAQNVFQDLRLAKQEFI >KVH90138 pep supercontig:CcrdV1:scaffold_1222:12838:16456:1 gene:Ccrd_007857 transcript:KVH90138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MVPSSSPMLLVIAVMVMLVTAQAQTQTASCASKLVSCIDYLNATTTPPSTCCDPIKEAVEKDLPCLCNLYKNPSLFTKLRINITEALRLPSLCGIPNDVSACNADSPSGSKSPLGSAGSDNGVAKIASSGIVGLFLISVCFMLF >KVH90143 pep supercontig:CcrdV1:scaffold_1222:120500:123562:1 gene:Ccrd_007864 transcript:KVH90143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A MGDARRSTSFFTEQHLSYADILPPLQIKRKASNSRVNQGLLTDVSWIFLSHAFCTKSLTRENTAKSFIRDVVALLRCSRFSLGIMASSRGAVAGRLLLQEPNEETVDCTTCGSSGYAISGDLDLLEKLVMKTDAHYIFVIEKRLAEDRVFNQIPCILITAKGYPDIATRFFLHRMSQAFPEMPILGLVDWNPAGLAILCTFKYGSIGMGLEAFRYACNIKWLGLRKDDLEIIPEESLIQLKPRDMQIAKSLTSSEILQDNYKEELAVMVESRRKAEIEALYFHGYDFLGKYLAKKIVQAHYI >KVH90142 pep supercontig:CcrdV1:scaffold_1222:124868:131000:-1 gene:Ccrd_007865 transcript:KVH90142 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein MEQPGERRRQLLVGGAAMAAPEAPLCYVGVAKKSAAFRLMKQMGWEEGEGLGKEKQGIKGHVRVKNKQDTVGIGLEKPNPWAFDTTQFDGILKKLKVFFCLWYLAVDEKDDDLELDSAKEDSKTTIKATRPQGRYKRREKGKHVHAYSAQDLEGILVKKVEDSPDPGPEPFCYQDGDLDLVEPSESDTVNVKAKDREEVSPEWWGNKFGFVSGGFLGAQSRRRKSSTTEDAQICTKRTVFHEEDQENLYNLVQDKATSGKQGLGIKDQPRKVAGVRFQGKRTSFSENEEEGSESEEDCHPSAKRKFDDMSESQKTIESKPKLKKLCKKLLSQVPEKSLKLKQLKALVDEHSSIFSDFSSKKDALGFLRKKLEGSETFTIEGKRVRLSSK >KVH90145 pep supercontig:CcrdV1:scaffold_1222:89581:90261:-1 gene:Ccrd_007862 transcript:KVH90145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MAEDDQEKPLAPTTPRPRLLPMTVDEALSTELKKQHQGFQKRTVWCGIVTAVILIIAVVMLVLGFTVFHIKNPKIKMNSVRIIGLDGVNQTDIFTGKANLTVVADVSVKNPNVAAFKFEKSNSSLLYHETVVGVADVPGGIAKARRTMRLNLTFQVMLPQIIGNQQFLTDSAAEILPVKSYTKIHGRVKITKLIKRNVTVTMNCSVDVSLTNWDIVRQSCIRHVSF >KVH90140 pep supercontig:CcrdV1:scaffold_1222:18903:23066:1 gene:Ccrd_007858 transcript:KVH90140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock chaperonin-binding MDAAKLEQLKLFVSQCKSDPSILSDPSLSFFRDYLESLGAKLPSSAYKSESKSYVVEEDDEDVEEPQEEEEDEIIESDIEFEGETVEPDDEPPQKMGDSSVEVSEENRDASQEAKAKGMEAISDGKLEEAIEHLTEAILLNPTSAIMYATRASVYIKMKKPNAAIRDADAALQINPDSAKGYKSRGIARSMLGQWEEAAKDLHVASNIDFDEEISAILKKVEPNAHKIVEHRRKYDKLRKEREDRKTERERQRRRAEAKANFIADIAAYEKAKKEEKASSSERAGGMPGGFPGGMPGGFPGGMPGGFPGGMPGGFPAGMGGGMPGGFPAGMGGGMPGGFPAGMGGGMPGGATAGGGMPGGFPGGGMPGGIDYSKILNDPELMAAFKDPEVMAALQDVMKNPANLAKHQGNPKVAPLIAKMMSKFGGPK >KVH90144 pep supercontig:CcrdV1:scaffold_1222:113556:114944:1 gene:Ccrd_007863 transcript:KVH90144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLKSLSGTVHEERCQPSNGGDGATHCGDDDGGGGGGPRSLTVWRKSLFVGCSGFTVINSDGNLVYRVDNYGGRRRQELVLMDASGIPILTVARSKKLKMVDDWLVFKGEISSNKQKPICCARKHLDILRPKVKRLARVYRDPYDESNGYVIEGSYMNRSCKVLDESRNVVAEIRRKETAMEGVSFGQEVFVLIVNQGFDSGFAMAIVLLLDQMFL >KVH90139 pep supercontig:CcrdV1:scaffold_1222:8276:9304:1 gene:Ccrd_007855 transcript:KVH90139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHWFLVWFSTNMAENGEEKQKARKTDGDEVSVVVMKKKMPTPPENKSIHLSALNSNVGRDSGFTASQRRYLPFNLTSSPLTFTCDKIRNWNG >KVD98127 pep supercontig:CcrdV1:scaffold_12238:730:1542:1 gene:Ccrd_024244 transcript:KVD98127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein MGNIGNPTKLSSIESIKQLKGCCDKGSKAQGLHQLKLYLARFGYLNYQHTPEHTNAEDDKFDDELEAALKSYQKYYRLNATGTLDEATVSQMVIPRCGVPDKEIHHHGSKSLPTVSFYRFFPNNPRWPPGKSQLTYVFASDYPNNHVPPIVRAFNRWSSASDYFTFSQVDDVTYADIKVSFQRGNHGDGGSFDGPGGILAHAFAPTDGRXHYDADDTFSDGPGAVPNVIDFETLSVHEIGHLLGLDHSDDVNAAMYAYIYPGVVKGLNSDD >KVD98126 pep supercontig:CcrdV1:scaffold_12239:381:854:1 gene:Ccrd_024245 transcript:KVD98126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEEGGGENLGGTDGGGDGLGGDEGGGDDLGGDEGGGDNLGGTDGGGDDLGGDEGGGDDLGGDEGGVDGLGGNEGSDGDLGSGVGRDSNNRIGVGNDAKHGVRSATKHVVAVVTGDPAKTITKTATAHTVMYVARIIFPYSKNAKREINTTLEELCFL >KVI00686 pep supercontig:CcrdV1:scaffold_1224:91133:100397:1 gene:Ccrd_021066 transcript:KVI00686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMAQRGGGSQQNPDQQKAQDEAKREADEQRQMMLSQILSSQARERLARIALVKPEKARGVEDVILRAAQMGQIAEKVSEERLISLLEQINNQTTKQTKVTIHRRRSVLDDDD >KVI00687 pep supercontig:CcrdV1:scaffold_1224:55646:56496:1 gene:Ccrd_021064 transcript:KVI00687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTHFKLSICFPPFAARIGNPVFYISIRLSHWCKRKFFKSLLFDSDLLVFSELYDASKQTANPWIDEIRIFVDNSKTGLESNLRILLILNRSLIES >KVI00684 pep supercontig:CcrdV1:scaffold_1224:25458:47588:-1 gene:Ccrd_021062 transcript:KVI00684 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, DnaB-like, C-terminal MLLRHRSSLHGLFFSNAVCPIMGSNYFLHFKTTPSSLNNKSNIHKFISSGLPSNSVPKLVSLSLKPSDLSFCSHASISRPVSKVCLEKPDEEIVDSQQLKLLRLKLEGIGIACGSCTPGQTYGLLCPMCKGGESGEKKLSLFVAEDGNAAVWTCFRAKCGWKGSTRAFADVKSSYNTMRKLPRVKRIKEIAEKDLKLEPLCHDLLEYFSERMISEETLRRNSESDTEKILYGLDDIEGESDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPSKVSSKELPSHEQDTKYQYLWNCKEYLEKASRIILATDGDQPGQALAEELARRLGRERCWRVTWPSKNETEHFKDANEKLFCQVLMFMGPAVLREVIQTAELYPIKGLFNFRDYFSEIDAYYHQTLGSELGISTGWKAMDDLYNVVPGELTVVTGVPNSGKSEWIDALLCNLNESVGWKFALCSMENKVREHARKLLEKRLKKPFFDVRYGKSVERMSPEDLEAGKQWLSDTFHLIRCENDCLPSITWVLDLAKAAVLRHGVNGLMLTSVKRFAQHHSCHVWFVAHPKQLQQWTGKPPNLYDISGSAHFINKCDNGIIIHRNRDPEAGAMDLVQICVRKVRNKVSGTIGDAFLTYNRVTGEYLDIKPKDLKIISTLPTSLLKTPRTERTHITNFNT >KVI00683 pep supercontig:CcrdV1:scaffold_1224:51660:52622:-1 gene:Ccrd_021063 transcript:KVI00683 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase chain 4L/K MTRLIRSWLMMXEHVLVLSAYLFSVGLYGLITSRNMVRALMCLELTICAETEIITFEKTRIHGIVHLHAFIDVVCQLEGQELTIETLDYPDRYFVKWFMHQKEGNEYSI >KVI00685 pep supercontig:CcrdV1:scaffold_1224:58589:60434:1 gene:Ccrd_021065 transcript:KVI00685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLVIPDARQINKKRPHRLSLSRLSKIAVMFGILFTHFKLSIRFPPFAARIGNHLSAPHLATLPPRDGRIGI >KVD98125 pep supercontig:CcrdV1:scaffold_12240:1:1605:1 gene:Ccrd_024246 transcript:KVD98125 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter, integral membrane type 1 SDPARVTGSTLIAVYVALAVGCALCILTRGLLLATVAYKAATLLFHKMHLAIFRSPMSFFDSTPSGRILNRVILFFVPVAGMCIWFQQYYLPSAREMARLVGVCKGPVIQNFSETISGSTTIRSFDQQGRFKNTNLKLNDDFSRPKFHAMAAMEWLCIRIAGVAVTYGLTLNGLQAWVVWTLTNLENKIISVERIFQYSSIPTEPPLVIESNRPDDQWPSHGELEIHHLQVRYAPHMPLVLRGLTCTFEGGKKT >KVI00796 pep supercontig:CcrdV1:scaffold_1225:97295:98446:-1 gene:Ccrd_020951 transcript:KVI00796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVELLVLKGIFLYKL >KVI00797 pep supercontig:CcrdV1:scaffold_1225:21642:44101:1 gene:Ccrd_020949 transcript:KVI00797 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPLQTEAHDDIKYEVLHGGEHICPERNANIFSRIYFGWMTPLMEQGYRKPITEKDVWTLDTWDQAEILSRKFQKCWEEESQKPKPWLLFCLNNCVGGRFWFGGLFKSLQRGDPSWIGYIYGFSIFVGVVAAVFRKSLRLTNEARKNVASGKITNMITTDANALQQVCNQLHGLWSAPFRIVLAMILLYQQLGVASLVGSLLLILMFPVQTMIVSNMRRLAKEGLQYTDRRVGLMSEILAAIETVKCYAWEQSFQSKVQNIRKDELLWLWKSQLLGALNNFILNSLPVLVAVISFAFFTMLGGDLTPARAFTSLSLFTVLRTPLNTLPNLITQVRTKVTDKALIKSSNHTTKSKAMSSGSLCWNLRFCHQQWLSDTFCNGFNDFTGFSSLRDCSSDFTSKTTLADINIDIPVGSLVAIVGGTGEGKTSLISAMLGELPPLKDAGVTIRGTAAYVPQISWIFNATVRENILFGSKFEASRYWKAVAVTALEHDLDILPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDTHVGREVFENCIKEELRGKTRVLVTNQLHFLPQVDKIILVSEGMIKEEGTFEELSQNGFLFRKLMEKVGKMDDHMDGSKGRTNPDHGSSKQCTDEVVDELVNDPSITTKRNRKSVLIRQEDRQTGIVSWKVLARYQDALGGLWVVLILVACYISTEVLRILSSTWLSYWTEQSSSTTRGPGFYILIYALLSIGQVLVTFANSFWLISSSLRAAKRLHDTMLYSVLRAPTTFFQTNPLGRMINRFANDLGDIDRNVANLANNFLNQVWQLLSTFVLIGIVSIISLWAIMPLLLLFYTAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRMATINGKSLDNNIRFTLINFSSNRWLTIRLETLGGIMIWFTATFAVMQSGRTHDQVAFASTIGLLLSYSLNITNLMSNVLRQASRAENSFNAVERVGTYIDLPSEAPSIIESNRPPPGWPSSGLVEFENVGFRYRPGLPPVLHGLSFTISPTEKVGIVGRTGAGKSTMINALFRMVELEKGRILIDNYDISSFGLTDLRKVLGIIPQSPVLFSGTVRFNLDPFNEHGDVDLWEALERSYLKNVIARNALGLDAAVCFLFYSLMLLYHEGMIVGQRQLLSLARALLWKSKILVLDEATAAVDVRTDDLIQKTIRKEFKSCTMLIIAHRLNTIIDCNRILVLENGQILEYDSPERLISHEGAFFKMVQSTGAANAQYLQDMVLGREGINKFIGEEPAEPFIHERLLKSSQGTQINLDINLDDVQTDGN >KVI00795 pep supercontig:CcrdV1:scaffold_1225:67382:76583:-1 gene:Ccrd_020950 transcript:KVI00795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MNGEDIVKTPKGTHALVVLQSTHTDLSNFGHQIPNGDNCNCYRSYSLLLYHPFFYGKSFDSGCTEAMRKKLDTRFPAARIKKIMQADEDVGKIAMAVPPKRWNCSCKIFVIAHMISLSVEELRLKHCVQSYNVFDFLREVVGKVPDYGHSEAGADDRNMAKRKKAAADEVNDSDEELKRNRTNEAGHTSSSGRGRGRGRGRGRGRGRVAAEREPPQIEPESESCTLSFQQVSKQNLSPQGAPDNHSEPKAEMAPDISPSTGALNFDLNAGFDENTDKGSAASDGGAAPPPPPPPQAAAAASSQPPQTAPAPGGSSSAEPSEVANSEYPGWSVSEIDRITIDPHLAQINSKLDEDEDYDEE >KVH88288 pep supercontig:CcrdV1:scaffold_1227:126147:129716:-1 gene:Ccrd_024250 transcript:KVH88288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSLFISAATITNQLCSPPHHQNDNFSRNLSIPTKSHTHTSAHQSLTVSSWTSSIGRYCRNGRLDHAAAEFTRMRLAGVQPNHITFVTLLSSCADFPLHALSFGVSLHALVCKLGFHADDVKVGTAIIDMYCKCNRVDLACLCFGKMGFKNKVTWNTLVGGLMRNGEINRAVQVFDGMPERDVISYTALIDGFVKKGHYEHALEWFQEMQTSGIEPDYVTIVSALSACANLGAGGLGLWLHRVVLEKNMSGNIRVNNSLIDMYSRCGCIELARQVFHSMAKHNLVSWNSIIVGFALNGNPEDTLKYFHWMQKDGFKPDEVTFTGALTACSHAGLVTECLKLFDTMIKVHRISPRIEHYGCLVDLYSRARMLEEALNVIENMPMLPNEVVLGSVLAACRAAGDIRLAEKLIRFISELNPGGDSNYVLLSNIYAAGGDWQKASGVRKRMKNRGIEKIPGTSSIEINGIVDQFVAGDRPIDLLWSHSLYLKNDSLQVHDSPYFSGLRGEQLILLNGELMTEWNMPSRRDMMRMFLALS >KVH88287 pep supercontig:CcrdV1:scaffold_1227:104649:106107:1 gene:Ccrd_024249 transcript:KVH88287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTIHLPIRHPPRLPIRHPARLRPTKE >KVH88286 pep supercontig:CcrdV1:scaffold_1227:75438:79990:-1 gene:Ccrd_024248 transcript:KVH88286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MCRAAFVLPQNGLVRSNLLAYNMITAAFHSQIRFTSPSLTPQTYCDLTESLLSFNRMVESIPVPRIREFNHILIKIAKLKQYPTAVSLILDHDLLGFNSFVKPNLYTFGIAINCFCHMDRVDFGFSVYGKMVKLGYKPDCAIINTLLRGLCANGDISHAVMFSNEIMSNGLRPTAVTFGTIINGICKTGDSQAALFLLRDMEETERCQPSTTEYNMIIDGLCKDGHVIQAQEIFSEMVKKGILPDLVTFNSLIQGFCSSGLWEEAQRLLNEMQAQGISLDVVTCSILVDFLCKQGEVGEAHKMLELMLERGEKPDTFTYTALMHGYCLLGKVNDARKIFENEKLDEALILLRTMEDIGLVPDIVLCTSLIDGMCKAGKVDAGHELFRKLPARGLQPNRQTYNVLMGGFFKNGNLKDAKDLLQEMMVGGCMLDGVTYNLVIQGFLRYSETRMALLFLERMLGAGFSANAHTSTILVNLLATKELDDASKEVLKKFFWLTAKKQTLHGFVEGLLLRCEIGFPNADMRY >KVD98124 pep supercontig:CcrdV1:scaffold_12274:18:1566:1 gene:Ccrd_024251 transcript:KVD98124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Development/cell death domain-containing protein ATTFGGSNIDPTAWEDKKCKGESRFPTQVSVRAITPRLPLFLYNYTTQCIATTFGGSNIDPTAWEDKKCK >KVI08207 pep supercontig:CcrdV1:scaffold_1228:89210:91056:-1 gene:Ccrd_013424 transcript:KVI08207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDMRSNEPNENSNALVLSRIRGGLEVAVDNVFGRSQNELNLKSTIHRHYKQMVFLILLTRLHQSPPPLQLLLSHDQQLWPPIDRSAFFSINHTRMLRHRIHTKSRRWDSNAETFRTQNFDFNSTDEDDDDFEEEDDDDDDDTIQWLDILEDFIDGVWIFKVFRSFGWMLPAIISSLLLTSGPKAFLMALAIPLGQSVLSLVFQTVWGRPKNRTKSQGKKSKSKRRPPQPPPPQSAATSVDIDDEQEDKYMRGERKRAATGYQTWVAGDGGSSSNGSSSSFGGWEELDRRGGSKSNTDRRRKTSKSTLSRRERRSQTPLLLRLLIAVFPFLGSWTRLL >KVI08209 pep supercontig:CcrdV1:scaffold_1228:82550:90420:1 gene:Ccrd_013423 transcript:KVI08209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGVITGLAVPNQPSPPFYSKTLPEMTSQPPKKKQRRRKSGRGDTGQLERFDSLPWTSSLPDTDDDPFSALAGLNDFEGGVLSLEEIDESEYGLELPKGNQKGGKKQEKPTKRKRKESDGDEVIGKEDKDGEARKEDKDGEARKEDKDGETGKEVKRNKKKKKKKKKAKKSKESVENEEETATVAETNGKNDVEEDQIDDTEYHAWYELRLHPLLMKSISRLKFKEPTPIQKACIPAGAHQGKDVIGAAETGSGKTLAFGLPILQRLLEERDKFDRQVEEKGQADEQVVSRGLLRALVITPTRELALQVTDHLKQVATGTDIKVVPIVGGMSTEKQERLLKARPEIVVGTPGRLWELMSGGEIHLVELHSLSFFVLDEADRMIDNGHFHELQSIIDMLPMIREGHPEDTQNCVTASSFQRKKRQTFVFSATIALSSDFRKKLKRGSMKTKIDGELNSMEALSERAGMQSNAAIIDLTNASIMANKLEESFIECQEEDKDACLYYILSVHGQGRTIVFCTSIAALRHISSLMRILNVNVWTLHAQMQQRARLKAIDRFRGNDHGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASADGCSIALISPKEASKFSSLCKSFSKESFRQFPIEASYMPEVSKRLSLARQIDRVMRKESQDKADKSWFQRNAESIELIVDENDSEEERVNSIRKKKASSANLKKLQQELNSLLSRPLQPKTFSSRYLAGAGISPLLQQQFEELARQKLGDTGNPGDNKKKMVVIGQDYVEPLQALRSSGQEVIVDPKGAADKRRNLDTLRRKRKEEKRRLHDQKRKQRKMLKAGGG >KVI08208 pep supercontig:CcrdV1:scaffold_1228:61754:62872:1 gene:Ccrd_013422 transcript:KVI08208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MNSDDPADAADRLQVPLLNLSKVRSRIDHVQRFLSDSLNSNTVIGEAQMEIVSKEISSAINQVIVNGTALLSCSRLPKSKSEKTNASEPSTSLTFDGKNLSESDLNLNNLKHDMELGFPKTEVFDDENGVDWDIVELDAVELLAEHLHFCNICGKGFKRDANLRMHMRAHGNKFKTLEALAKPEKSVLASESGRGGRTRFSCPFGGCSRNKLHKKFRPLKSVICVKNHFKRSHCPKMYSCNRCHKKNFSVLADLKSHLKHCGETKWKCSCGTSFSRKDKLFGHMALFEGHMPAMPEEVVAEEDEKAKGVAALVVENAEDGSSNPVKGMDWVDDNMDDGFFNGLLDGLGSIGDDFCIQDILGGSSNIGTDWSL >KVI06330 pep supercontig:CcrdV1:scaffold_1229:32530:33527:-1 gene:Ccrd_015326 transcript:KVI06330 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIF system FeS cluster assembly, NifU, N-terminal MPFRTLGKETTSVVVTVSEGGMEKEREIAKHLSLPPVKLHCSMLAEDAIKAAVKDYETKRGKSVETASSTTVKA >KVI06325 pep supercontig:CcrdV1:scaffold_1229:123909:124291:1 gene:Ccrd_015330 transcript:KVI06325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFLVFLIFASGMINFPQGTYADCQCHKLRDCPQLKCPTFAFPVCMLCQCTCFGREAWRKQMTLGTNKATNADVGKTQQHLQVKS >KVI06328 pep supercontig:CcrdV1:scaffold_1229:8401:9282:-1 gene:Ccrd_015323 transcript:KVI06328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MQSNTLPGISPSFTAHSSTNLADVAARVVEEFRHENGDDYDDIFNFNGYDHDYDDGRFAVPADDKADTDTPSEKLKDENSDTDDDDDEFEFAVVSSHVNSSSISADEISQGHISPRYPLFDRSLLLDVDPNLIKVVNGSPETDCKPSLVVRLPLRKLFSEERDFSSWSSSEADDLDGVNPGTYCVWKPKTESPGRCKKSNSTGNSSRRWKFRDILYRSNSDSKESPFLLFKPLISTNKKINNEKVEKSAKVASAVGGTAENGGSRRRSYLPSGQALVGAFTNVSRSNRNLRPF >KVI06326 pep supercontig:CcrdV1:scaffold_1229:96335:99489:-1 gene:Ccrd_015329 transcript:KVI06326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MFGGLTNAHPEEHRSQYDMSALPQLQLFGKFPVGCTIDNINFVGNEHGVASSRPIKRAREPGPMSAQQKLHISLNNNFCQDDTGRTRTSMNPNGVSTGLKLSYEEDERNSSVTSISENLKALHPVTHSLTNSIRREMDRHKELLDHYVKEENMMKGIRELNQKHTASILNTLEKEVGKKWREKEMEIENMNRKNIELGLKIKQVTMEAQSWHYRAKYNESVVNALKNNLQQVMAQKPVEGKEGYGDSEVDDTASYTNVNATNQTKALNCRACNAKEVCVLLLPCRHLCLCKDCQVFTENCPVCQATRTESLHVFMS >KVI06332 pep supercontig:CcrdV1:scaffold_1229:10742:13656:-1 gene:Ccrd_015324 transcript:KVI06332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase, catalytic domain-containing protein MLRLFPAAVDRRSVPDGEEISLSSVREDDIHQFTEEDSVSGESSEDEGDSSSVSDCESVTSAKRSIEHTRIQADGLVRLDESDKLHGIIGKKFISRLSVHGVNAQVQYIHRNLFNAGSISLARLRSFQIFTKAVEKKNGGDANIKYGWFGASKDEIKKIILHGFGHDNIKNSGLFGHAVVLSADHSPLESMESASLDDDGIRHILLCRVILGKTELVNPFSTQCHPSSEDFQSGVDNLASPKKFVVWSSQMNTHILPEFVISFKTLSTINRPQLDGVHLRKPVSPWIPIPDLIAALSKLLPPESMKEITKYRRSYIEHKISRRDMIQGIREFTGDRLLLMVLKDFTEQRRHGLGGKFESRSDLFK >KVI06331 pep supercontig:CcrdV1:scaffold_1229:17150:28012:-1 gene:Ccrd_015325 transcript:KVI06331 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF89 MDGLRKDQSLVGATDNINEETNNITQKVISEGGEETMVPPVGNSIHRSSSRPQLDLSGAAIQGNFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRVVNDKRKKSAKERFGVSNGNIRRTFPILGGRLHFVKFETAKINECLEFIHSKQLHRGDNDNAVIKVYTMFEKATGGGAYKFADLFKEKLGVSIEKEDEMDCLVAGANFLLKAIRHEAFTHKEGHKEFVQIDHNDLYPYLLVNIGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNSSIDMLVGDIYGGLDYSKIGLSASTIASSFGKAISENKEIEDYRPEDISLSLLRMISYNIGQISYLTALQLGIKRIFFGGFFIRGHAYTMDTISFAIQFWSKGGAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKARWIQGPDLVCSNQKGTYFICNLLQISWMEKFVRKGIKITAPVPTASPRTTGLGGFEAPSSKGDTLRCDESNLNVGVLHLVPSLEVFPLLADPKTYEPNTIDLADPNELEYWFTVLSEHLPDLVDKAVASEGGTDDARRRGDAFARAFSAHLARLMEEPAAYGKLSLANLLELREECLREFNFFDAYSTIKHRENEASLAETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRKKMQRPWRVDDFDAFKERMGFGDKKHQRHKRALLFVDNSGADVVVLVANSLPALNDVTAMELPEIVAEAAKHCDILRGAAEAGGLLMDAMINIQDSPREKSSSTPLMVVENGCGSPCIDLRQVSSELAAAAKDADLVCCFNILYIYLVILEGMGRALHTNYNARFKCDALKLAMVKNQRLAEKLIKGNIYDCVCRYEPAN >KVI06327 pep supercontig:CcrdV1:scaffold_1229:80984:85871:1 gene:Ccrd_015328 transcript:KVI06327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, C-terminal MEETFVPFRGIKKDLKGRLLCYKQDWTGGIRAGIRILAPTTYIFFASAIPVISFGEQLERDTEGSLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFKFAKDQKDLGQPLFLAWSGWVCVWTALLLVLLSILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGVVEEFGIPKSEDPNQPAFQPSWRFGNGMFALVLSFGLLITALKSRKARSWRYGTGWLRGFIADYGVPFMVVVWTGVSYMPVNDVPKGIPRRLFSPNPWSPGAYTNWTVAKEMLNVPPVYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRSKLVDTARKSISKNSNLSELYENMQQAYNEMQTPLVYQNPSSLGLKELKESTILQASSSGYINAPVDETIFDVEKDVDELLHVEVKEQRLSNVLQALMVGGCVAAMPVLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPKTVPFKTVAAFTLFQTVYLLACFGITWIPIAGVLFPLLIMLLVPARQYLLPKFFKGVHLQDLDAAEYEEASATPYNMSFGDDNAHSRNPQVDSAEVLDGIVTRSRGEIRHTSSLKVTSLTQTPLEDMKPVCSPRLSQRVYSPRLTELRVAKSPKSPGKGLEIKKTPTPSLHPSILGQTSNGSSSC >KVI06329 pep supercontig:CcrdV1:scaffold_1229:38967:41266:-1 gene:Ccrd_015327 transcript:KVI06329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:Projected from Arabidopsis thaliana (AT4G22220) UniProtKB/TrEMBL;Acc:A0A178UZH3] MLKHATKRILGLGLSETRSSAPAVTRILPRFYHERVVDHYNNPRNVGAFDKNDPTVGTGLVGAPACGDVMKLQIKVDEETGKITDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNT >KVD98123 pep supercontig:CcrdV1:scaffold_12299:380:886:-1 gene:Ccrd_024252 transcript:KVD98123 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNEFQKPRKNNPYVKILSTGIIDYEGEKWSKHRKIINPTFHAEKLKLMVPAMCLSCCEMIKRWETMFSNEKSLELDVFAHLQKLTGDVISRTAFGSSYEEG >KVI09949 pep supercontig:CcrdV1:scaffold_123:91656:95118:-1 gene:Ccrd_011652 transcript:KVI09949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEPSDIEAVLNFFRKNHLSVSESALIHDILDKSQLGSSDFHNFLFPPPLSPLKIPGRPPPPPEDLETSDDSSDQEFVSLGSSTTDLCSSDLTNPYGLKTLIRASSHASSDLLSQFGTARDYHEFDMQNDLCWYSQKDEDYAMPSCFHSSDPLGGPTEDKFVMTLEKENQIDDRILDSLEKTNSLDKACDLIKSATLCSSLEGIDLNGFHDIDIGIRSKNGVSCFEENDRSSNDYLIGDSKMIHEKDLEFNINGDYDAAKVEETDAIDTNDESLMNANGDEYEIFNLRIIHRKNRTGFEENKDLPIVLNSVIGSRYVVAEYLGSAAFSKVVQARDLQTGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPADEHHILRLYDYFYFQEHLFIVSELLRANLYEFQKYNRESGGEPYFTLTRLQVITRQCLEALDYLHGLGIIHCDLKPENILIKSYSRCEIKVIDLGSSCFQNDKLSLYVQSRSYRAPEVIIGLPYNPKVDLWSLGCILAELSSGDVLFPNDDLVFLLARVIGMLGPIDTDMLMRGQETSKYFTDEYDLYRINQETNQLEYIFPQETSLEEHVQVSDTLFIDFLRELLEINPRRRPTAREALQHPWLSFPYET >KVI09952 pep supercontig:CcrdV1:scaffold_123:146287:148725:-1 gene:Ccrd_011657 transcript:KVI09952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWVLSECGVRPFPRIYPKPRTGLSNSSFSNPDSIEIAPSRTSNPITICTSFSRVGRYRNWGLKVSAPVKIQLSDEDEKEINNDGDGEFDPGAPPPFTLADIRAAIPKHCWVKDPWRSMSYVLRDAVVVFGLAAVAAYFNNIVVWPLYWIAQSTMFWALFVLGHDCGHGSFSNNGKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDSATRKLRFTLPFPMLAYPFYLWSRSPGKKGSHFHPDSDLFLPNEKRDVITSTVCWSAMAALLVGLSFTMGPLQVLKLYGIPYWGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLIEATEAAKPVFGKYYREPKKSWVLPFHLLGVLARSLKKDHYVSDEGEILYYQTDTARN >KVI09932 pep supercontig:CcrdV1:scaffold_123:407827:418955:-1 gene:Ccrd_011678 transcript:KVI09932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTTSLLHHSGIYFTFSARESHSLFFNSHKSLRPSSNKLPLTSLSSQPSLPSGRRRTLVSTVPVEYVPPVPDFDFGNELARLRILRSRLSTSKSLRDKIHVVDSDAKVKRFFRRGHHKNGFARVLEKLKLDDYELFLLKCTVAAGQEHVLGPGYDTDSDFNGDFESARGSLKTALYTLVEIIEKWEGNDDREIHEEVDGVALRSLLKTLRDVEEFYDCIGGIIGYQLTILEILSQSVHGEKNINFQHINNSNSMGCQLLEIHPPSVLDLSQDTEYAAQAALWGIEGLPHLGEIYPLGGSADRLGLVDTVTGECLPAAMLPYCGRTLLEGLIRDLQFVIHRVCQPLVPAISAEDGQWLVKGQFVPVCKPGGHGVIWKLAYDKGIFQWFHDHRRKGATVRQVSNVVAATDMTLLALAGIGLHEGKKLGFASCKRNSGATEGINVLIEKGKPDGTWTYGVSCIEYTEFDKFGIADGSPSPNRRFLSYTPINHGHLLSLQTEFPANTNILYVDLSAAELIGSSKDETSLPGLVLNVKKSINYVDHFGVQHGVPGGRLECTMQNIADNFVNSFSSQCFEGVEEMLDTFIVYNHRRKVTSSAKKKRKPDDMSLHQTPDGALLDIIRNAYDLLSYCDITLPKIESNDKYAESGPPYLILLHPALGPLWEVTRQKFHGGSISEGSELQIEVSEFLWRNVQLDGSLMIVAENVMGSQIDKNHESILQYGYRCARCKLENVKIRNKGIDWNSDKNLYWKHDVQRLEALKVVLHGNAEFEAVDVNLEGNHVFEVPDGYKMMVTSGNSGLSVHLNPIRKEFMDSGSWVWKYILKGKHIQLELVEF >KVI09926 pep supercontig:CcrdV1:scaffold_123:404605:406689:1 gene:Ccrd_011677 transcript:KVI09926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDVTLPPPLMVSSGFLPTGSLLESLIQISNEVTTMEKIPSTQTKNVSTMIRRIKLLSSLFEEIQETNSQLPPSSILCLTELYSVIRRVKSLIQACKEGSCLWNLVRAESISNQFYVIVKEMSRALDILPLSLLKIAADTREQVELLHKQVKRVDLLVDSREIQRSEELLQVMMASSCAGKGSKSKGIIDFEKLKVIMTQIGLRTPLDYEEEISKLEAEAEKQAGTGGLIVVSNINNLISLVSLSKSMVFSKEDHKTAQEDDTRQCMGQNSQQHHYSSTRSMVINIPDEYRCPISLDLMRDPVIVASGHTYDRVSIAQWINSGHHTCPKSGQRLIHMALIPNYALKSLIHQFCQENNIPITDPATSSSSSSHLERSPSIKRKLPEKALDYISATKAAMDAVKMTAEFLVGKLAMGSLDIQRQAAYELRLLAKTGMDNRRIISEAGAIPFLVTLLSSHDPRIQENAVTTLLNLSIFENNKVLIVAAGAIDSIVDVLGSGKTMEARENAAATIFSLSIIHDYKVIIGSRPKAIPSLVGLLKDGTTAGKRDAATALFNLALYSVNKINVVLSGAVPLLIDLLMDDKAGITDDALAVLALLLGCSEGLEEIRKCKVLVPILIDLLRFGSPKGKENSITLLLGLCKDGGDEVARRLLMNPRSIPSLQSLAADGSMKAQRKADALLRLLNRCCSHSRNPVE >KVI09924 pep supercontig:CcrdV1:scaffold_123:365391:366446:-1 gene:Ccrd_011675 transcript:KVI09924 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDTSNDPHLPASPPPPPPPTVITATHGGNGSGSGSGSPQRNNNNRKSKGKGGPDNSKFKYRGVRQRSWGKWVAEIREPRKRTRRWLGTFATAEDAARAYDRAAVILYGSRAQLNLQQPSGDGNNTTATATGSSSSHPSSSSSSPRGGAGSGSSSSSSTTQTLRPILPRPAAFNLTFSPPSQASPSGVPVLANYMPYPFYPTVHQGTTSGGSIVQYALQLVQPNHQYLPYSNMNKFSEDPTFRMTTTPTSYDPNANTNPSNNNCQWEQQPLQECQNHQPNVKEDINSLVGSVGSSLSLVSNSSPTMVVGDSVSDPIMAVGGEPSSPSLWSLTNDDEYPPPSIWDYGDPSFDF >KVI09950 pep supercontig:CcrdV1:scaffold_123:84135:90543:-1 gene:Ccrd_011651 transcript:KVI09950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dullard phosphatase domain, eukaryotic MAEIGQAATDVYAPTKTLQVWRTLLNWLTFFFQIFLQIIRGTPSVASLINYSSSSSFMPLPVVEFPESSEPTALATASVHIPSVVADYDCLEKLTVVLDLDETLVCAYETSSLPETVRKQATEAGLAWFELECISSDKEIEGKPKVNYVTVFERPGLHEFLAQLSKFADLVLFTAGLEGYAKPLVDIIDAENRFSRRLYRPSTTSTEYREHVKDLSFVSTDFCRVVIVDNNPFSFLLQPANGIPCIPFSAGQPRDDQLLEVLLPLLKQLSEQRDVRQILYERFHMPEWFEKHGIPASAWATKG >KVI09938 pep supercontig:CcrdV1:scaffold_123:310509:323394:1 gene:Ccrd_011668 transcript:KVI09938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MAAANAPITMKEALTLPSIGINPQFITFTNVTMESDKFICVRETSPQNSVVIIDMSMPMQPLRRPITADSALMNPISRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVTTFCDSVPVKMFDRTANLSNNQIINYKCDPSEKWLVLIGIAPGSPEIINYKCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVPGNENPSILISFATKSSNAGQVTSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSIGGFYAVNRRGQVLLATVNESTIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFVQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYEPDYLFLLQTILRSDPQGAVNFALMMAQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPDLPEHAHLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKAKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIPRAVEFAYRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRAAEDTECYHDLVKYLLMVRQKTKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLHNVGDRLFDEALYEAAKIIYAFISNWAKLAVTLVRLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIVVKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHTRVVDIMRKAGQLPLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDKVYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRPDVALELAWLNNMIDFAFPYLLQFIREYTGKVDELVKDKIEAIKENKAKENEVQDVIKQQNMYAQLLPLALPAPPGMGGGMGGGFAPPPPPPMGGMGMPPMPPFGMPGMSSY >KVI09934 pep supercontig:CcrdV1:scaffold_123:23004:37094:1 gene:Ccrd_011646 transcript:KVI09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLNLIGGISSPLPIPTGSFMMNWNKSKDCCTWNGVICSQYTGDVIALDLPCAMLRGTIHPNSTLFSLPHLQSLNLAFNNLIDSQLPHEIGMLSNSLTHLNISYCGLTGQIPLEISLLPKLVSLDLSRNLMKLEPHVFYNLLHNSTSLEELLFDQVNISAVLPTYLNISSSMKSLHLSSTHLLGKLCDNIFNLPYLEELDLGSNININGQLPKVYTNTNIPLKFLDLAGTNLSGQIPDSIGHLKYLNTLILFDCSLMGPLPKSPVNLRHLTTIDLAFNKLNGTLPSWLFTLPSLETILLSNNMFSGSLPTELFNHQSLKILSLGANQFVGEIDMVDQGSTTQVFWKLNNLTELDLSFNNFTGVWELDTLLSSLRTLHSLSLSYSGLFVMTNNASRYVNPNFGSLKLASCKIKVPSCFFKCPELEVLDLGNNRLTGAFPDQLGRLPNLKVLVLRSNKFHGLMERSSSMIEHPFPSLSVLDLSQNEFGGHLPGKYFQNFDGMKNVVKDGKNTYLSTYNFYSIIVVVKGQQLWFEKISNDYTIIDLSGNKFEGEIPNEICTLNSLIVLNLSNNHLNGRIPRAIGNLSEIESLDLSWNQLSGKIPQSLADITNLEVLNLSQNLLVGRIPGGTQLSTFNTSFEGNPGLCGFPLPKKCEHASAPQLEVDGEKESVFTWKVVMLGYGCETLVGLLLGYFMLSTGRPKWLNAIVDEIEHPIMMNWNTSIDCCKWDGITCDRFTGDVIALDLSCGMLRGTIHPNSTLFNLPRLQRLNLAFNNLTNSQLPREIGRFSNSLTHLNISDCGFIGQVPTDVILLGKLVSLDLSWNDLKLEHHVFYNLLQNSTTLEELLLQKINITSYLPAYLNITSLKSLKLKSTGLQGKLPDNIFNLPYLEELNLAFNDLGTDRFPKVNTSMNSPLKWLDLSYTNLSGEIPDSISHLKSFNHLVLSRTYLSGKIPNSIGHLKSLNHLVLSHTNLSGEIPESIGHLKSLNLLDLSHTNLSGNIPDSIGHLKSLNRLSLSHTNLSGEIPDSIGHLESLNHLDLSYINFSEEIPESIGHLKSLNTLLLNSCGLMGPFPKIFFNLRNLTMLDLSSNMLNGTLPSSLSTLPFLEAIYLQRNIFSGSLPAELFAMQSLKRLSLGHNQFVGEIGMLDQGSTLQTFRQLVNLTRLDLSFNNFTGVWELNTLLSSLTSIEELDLSYSGLSVVTSNDTYYVNPNFSLLYLASCKLKTFPNSIGAMKNLERLDLSNNEISGQIPDWARVIGGNELVHLDLARNSITGLPQFQWNGLEYFGMQSNLIQGTFPTSICNMRNLEYLDMSNNSFSGVIPECLGKMITTLKMIHMGHNHFHGTIPNAYKDCGQLGGLILNGNQLEGEVPIWLSKCQSLKVLDLGNNHLNGTFLHWSSHLSHLQVLVLKSNKFHGPIESSSMIQHPFPSLKVLDLSENKFVGHLPGKYFQTFDAIKNVAKKGTKPEYLSLGGGKFYSIVVAVKGVQLSFPQFFVDYTIVDLSNNIFEGEIPNIIGSLNSLKVLNLSHNHLNGRIPNALGNLLEIESLDLSWNRLTGQIPQSLANITALAVLNLSHNQLVGRIPDGTQFRTFEATSFEGNPRLCGSPLSKHCEHLSAPHLEVDEDEESGFTWKAAMLGYGCGTILGLVLGYQMLSTGRPKWFNAIVDEVGHMIQMKAKQEKMRID >KVI09935 pep supercontig:CcrdV1:scaffold_123:45327:48644:-1 gene:Ccrd_011647 transcript:KVI09935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MTGREGLSDHAGFWIVLTLGFGSHSWMTVVYNLVTLGFGSHSWMTVGFDSGFWFTEMGNGTGTKKGKGLQVRGSLRSDFFPEESFKSWSNYGKALLETRSRLKERVMARSSDDQEIHGMRSRSQHQMKRTLNWFDLIWFGVGAVMGAGVFVLTGEAAHGLAGPAVLISYLISGTAALLSVICYTEFAVELPVAGGSFAYLRVELGDFVAYIAAGNILFEYVVAGASVARSWTSYFATLCNHRPNDFRINIPSMGEGFDYLDPMAVGVSVVICIVASFSVKGSSRFNSIATIIHIAVLLFILIAGATKADPANFRPFAPFGIRGILKASSVLFFAYVGFDGVATLGEETKKPGRDIPIGLVGSMIIVITTYSLLATVLCLMQPYNQIDVDAPFTLAFEVVGMNWAKFLVGLGALKGMTTVLLATIIAESRYFTHIARTHMAPPILAVVHKKLGTPVNAAIIMTSANCLVAFFTSLEVLASLLSIATLFIFSLVAIGLLVRRYYSTGITSDHDRNKLVLFLVLIVTSAMGIALLWAWGVNGLGAYLIMVGIWFMSTLGIQVMVKQARNPKLWGAPLVPWLPSCSIGLNLFMMGSIDGASFLRFLVWTAILLAYYFLVGLHASYDASKETIKMEGDDGVERGSSEDRVSTPSETVELNTITTSRT >KVI09955 pep supercontig:CcrdV1:scaffold_123:115015:122348:1 gene:Ccrd_011654 transcript:KVI09955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3594 MATISSSPRTVEEIFKDYSARRSGIVRALTYDVDEFYSTCDPEKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMHRRDWLSLIAVHTDSWLLAVAFYFGARLNRNERKRLFSLINDLPTVFEVVTERKPIKDKPNMDGGNKSKTSTKRSSDGQVKSTPRPYDESYVEDEEEHGETLCGSCGGNYSGDEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCSAKKVRP >KVI09958 pep supercontig:CcrdV1:scaffold_123:476735:480821:-1 gene:Ccrd_011684 transcript:KVI09958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGSLERENTPYSSSLTALLYYSESTQLVDRRFRESLIVRGGCVVVEFLFDSSTLIFSADKIPKGFTFVNLRNMCLGGTLAPDLGNLAHLKSIILRNNSFHGTIPKKIGQMKKLKVLDLGYNNFGGPLPSDLRNSQLPKILSLDNNELLDSISPEVQQLTLLPEAEQRSYRNRREMTGNIAQTGVESHRKLLVTVDDNISVPPVTVLLPPPLPSPPPISPSPSPSKFSPTGVPKLKRSELEAACEDFSNVIGSTSFGTIYKGTLSSGVEIAVASVAAPSAKDWSKHLESLFRKRIDMLSKVNHKNFVNLLGYCEEDMPFTRMIAFEYAPNGTLFEHLHSDTRSRAFGLGNEDENCNGDGILS >KVI09963 pep supercontig:CcrdV1:scaffold_123:472169:472687:-1 gene:Ccrd_011683 transcript:KVI09963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MANKQFITIIAILLPLTFLAAAATAHGEDKTATVVVEGMVYCQSCKYFGSWSLTGAEPISAAKVSVICKNHRKRVSYYNTFETDKSGYFHAELKDFKMTHYLLDHPLHSCHVKLVSSPLQTCNLLSNVNNGINGSPLRFENKVFYGKNYEAVVYAAGPLAFRPTNCDPETTP >KVI09948 pep supercontig:CcrdV1:scaffold_123:109213:114847:1 gene:Ccrd_011653 transcript:KVI09948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing protein MPTPVNIARQCLAPEAVQALDEAVAVAHRRCHAQTSSLHAVSALLSLASSPLREACGRARNSAYASRIQFKALELRLGVSLDRLPSTPQRVEEPPVSNSLMAAIKRSQANQRRQPENFHLYQQTAACSPSSASVSVVKVELQNLILSILDDPVVSRVFAESGFRSSDIKLSILRPIQRQLLRCKGLPIFLCNLTNNYGFPYHGFLENNEIYKRINEVLVRKPGKRRNNPLLVGASAIDAVRIFLETLQKRKNGAFSPPELSGLTVICIKDEILNFVSGNSDVSLLQMRLDEVGSVLKQSIGPGVIVEYGDLKALIGENSADAVTFLIRKLGGLLSLHSGRFWLIGAAESHETCIQFFKKFPTVEEEWGLHVLPINSIRPAMAETFPKSSLMESFVPFGGFFSVPTDIKTPFRIRNHFGSLCHICDEKFKLEVNAVSKGLNGSVSDHHRSSLPSWLRTSQDVVQAQDDPVVASAKVIGLQKKWHNICQRLHQGEPYVQMLPKSTYTVGPHVPSVVGFQVVESSKQNVGDHNASSIESGSITISKSNSKNVKDSPIVCSLSGSSEDTASPVSGTSVTTDLGLGVNRSSSSFPVSRGQFDQKDLKLLYSSLFTKVGRQGEALGVVNQTIARCRTRPGSNQGGIWFGFMGPDRDAKKKISVALAEVLLGGRENMICVDLSCQDFMDGFDIKLRGKNVIDFIADELMKKQLSVVFLENVDMADTLTQHHLSLAASTRRFSDSHGREVSISNAIFVLTSKFFGLHELEGVDYTEEIILKAKHGSIRLLTGFDLGDMKPSPKAVRVTRNEHTGSPVCKNKRKLDGSLEAAKRGHRTTTNNLYLDLNLPAEEGDTDYDSAPENSHSWLEDLLQNVDEKVVFKPFDFDAVAEKILKQIGDCFEKSVGLDCLLEIDFKLMEQILKNSCFLETLKTEDWIKEVLGEAFAEAGRKYDLGSHSVVKLIAGEVAEEQPSGGGVLPDKIIAHMERRSKSMLLKTLQDMSGRPPLIVHYTAHKKAEY >KVI09925 pep supercontig:CcrdV1:scaffold_123:353804:359289:1 gene:Ccrd_011674 transcript:KVI09925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDKIGEDAPVKMAKKSKKSKNKTNDMLDVGDDNCHVEDGGVPKKKGHKHKEKKRKSESNEDQRDNGNLEDDCNLQKKDKKRKRDSSENNHPSDKTQIEENEQARDNEPAVEKDCDPKPRKEKKRKHKHHSHESELENDGKEEDCQEDMFKKVDTKKKTTKTDDQNSDAEMNGITHEESGNKGKKKSKSIEKNSEKQKAEGKEKTPKSVENNTEKPKTKGKAKKVSFSGRVEVFPSSDTEPEKQKMKGDGLVRGKRFTPEEDAIVKEAVLNYIEAHGLGDEGLKMVLNCKSHAGMKKCWQEIATCIPYRPSSAVYYRAHILFERAESRAWTPEEIERLKEFHEKDGNKWKMMAEELGKHRFHVKDTWRRIKLKDLKAGKWSQEEYQSLYDLVNLDLQMKITSEVKQSKHGMLRDNIPWTAISEKLSTRSDATCCYKWYNQLTSSLVAEKKWCDADDYRLIGKLYELDAACVEDVDWDNLLEHRPGDVCQKRWNQMVCHIGHQGSKPFSEQVDTLAKRYCPDLADIREAWDNKPVV >KVI09941 pep supercontig:CcrdV1:scaffold_123:220052:232253:1 gene:Ccrd_011663 transcript:KVI09941 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like protein MGKYWELFLLRVVFFSNEENETVTCPRSKALNLTVSLLMFVEGELEVSNRVAVLLNPARRPHERLVPATVEEESTWYTMRKRMRLTQNMDNHHDFNSDDFLSRLPDSILVSILSGLPLKDAAATSQLSRRWRYLWCQTVRLDIDNDKRLDYIAAPSKLRLIGRNKYINWVNRVIRQHRSLTIEHFRIHYDLDMDSKGAITKWIEFAISRNVQNLVLDLTETSDYRSNPARNFVFPNNILDRKSRTSSLKQQSYFNVPGVPSTEVMEIKFLKALTLKGVNIGDETMSKILMSCRALEYLSIYNSGDMVKFKISGKELKLTTLEIVLCPGLLSIDISNSNLACFTYLGPVVRIKLNELPRLEKISIGGLGTTWIDNNLFQRISSFFHLQVLELNFPRPKEDMHLVPLPEMPNVKQLIVMADAWEDESLLGFTWVVRACPNLQRFVIELSWMPTMKRRIRKRSVVKHLHQHLERVEIGGYYGRMSDLELALYFIENGIALKKMVIDPHDQGFKRTPIRETLVKRAARSSETLKVKTARFRARHWLVPKMPPGVELSLSIFSLHFRLQDEEEDAAGTKHVNADIFRVFIKGDRHDLNSNDFLSRLPDEVLVSILSSLPIKDAAVTSQLSRRWRYLWCQAVRLDFEDNRRLDNNIVAKPKLRLLERNKYINRVNRVLRQHRSAMIEEFKICFDLDKYCKGAISKWIEFALSKNVQKLELDLIDKSQMRSDPVLNFVFPNKILDRISRSSLKRHSYSSVPRMPYAKVMEIKFLKVLILKCVNINDEAISKILVSCRLLEHLTIHESEQLVNVKICGQQFKLINLQITYCPLLESVEICDSNLAYFCYYGLAINLKLNKVPELKKISIGEGSTWNENTLFQQISSCRLYLQVLELTIYYPKQNMHVLSLPELPCVKQLILSVGAWEDSTLLEFTWLARACPNLHKFVIQMMWMATIKRTNRLMNMVKHPHQHLEVVEIGGYYGRNSDLELALYFIENGIALKKMVIDTYEYHLTSRTPIATETLEVTAARFLAHVQLASIMRHGLEL >KVI09947 pep supercontig:CcrdV1:scaffold_123:256787:287744:-1 gene:Ccrd_011665 transcript:KVI09947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 1 MASIGVRHLTVLGEFKPFGLIAEALDGKPADEVTDEYEYFLFDPEVTRQRDEAEIDDNEASSSLSDRSDHELFIRGNRIVWTIGARVYKRFTLPAPVIMACWCRMGDMSEAFLCVLQVDSLTIYNTSGDVVSIPLPHAVTSIWPLPSGLLLQQTHESNSLTHSRFSSTSPLLTVHDIPRSKKDMGYSPQQTVNLRSSWDHMVKVDGASTSSHLILKDPLEEPQPIHIEERGKLNTMKEFDEKTIWTSDLIPLMASYHKGKMQHSLWTAEVINSNLEAADSVLPDVMLSKKFFFRRIWQGKGAQAAASEVFLATDNDATPIICFLLQEQKKLLAVRLQCVELNNEILYDIRPDMSWSIPAITAAPVVVTRPRVKVGQLPYRDIIVLAPENTLVLYSGKQCLCRYVLPPFQGDNAVSHNVKPLEAPTVFQDVNIIGLADAVEGRINVVVNNGQMFRCTFRRYPSSSLANDCITAMAEGLEANLYNRFVVLLWGNGNSAYLSRVDSPVDSEWESFSAVILEIFGKYRKIPQRHSSSVSGSSWEFLVNSSFHEKYSKSHFMSGFSSRMSLQNNESCSTSYGTCSLQLDTSYSFESLIEILDLLHAVYESLKLNHLRKSLGSFRNLPKINLVRRNPSFRSRTLIVPKCSVSAPRDLGLLVALLCEVSNFLGEAGYLDVYIRDFPALSRKYCIDETPLSQRTPPSLFRWLENCLRYGCTFASTADLPALMREDGNSVVSWARKIVSFYSVLXGAKLLGKKLSSDVYCKFATGSASTPEELTVLAMVGESFGLQQLDLLPAGVSLPLRHVSNALKLVGLVSNYIRTRELDLTENHTSIQVTDVLVVLDKCRECPPSDWPASAYVLLGREDLSLSCLAHSNKFTEVKSSNNVTSVSMSTPYMLHLHPVTIPSSITDATGLDNSKLEDTDSMDGSTIDGMEHIFNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTPVNPTASDQDLQQAQLWQLAQRTTALPVGRGAFTLSTTCTLLTEALPVPKLILAGRLPAQQNATVNLDPSIRNIQELKSWPEFHNAVAAGLRMAPLQGKMSRTWIIYNKPEEPNVTHAGLLLALGLHGHLDVLNITDIYQYYSQEHESTTVGLMLGLAASYRGTMQPAISKSLYVHIPARHPSSFPELELPTLLQSAALVSVGLLYEGSAHPQTMQILLGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGLDAIGFMDTLVERLFQYAGGKEIHNERSIFSNTSIDDHNRGSGQMMDGTQINIDVTAPGAIIALGLMYLKTESQAILSKLCIPQTHFDLQYVRPDFIMLHVISRNLIMWSRIHPSEDWIQGQIPNVVLGGVKGLKDEMGDSDEMDCEAVVKAYVNIVAGACISLGLRFAGTKDGSAQHLLYSYAVAFLNEIKPLSVKILHGFPRGLLQYVDRGTLETCLHLIALSLSVVMAGSGHLPTFRLLRFLRCRNSADGHVNYGTQMAVSLAIGFLFLGGGMRTFSTSNSSVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIATEARWVQTVDVDTGLPVYAPLEVTVKETEHYAETSFCEVTPCILPERALLKTVRVCGPRYWPQVIELNPEEKPWWYAGDKNDPFNSGILYIKRKVGACSYVDDPIGRQSLLSRAMHKVFGLASLRACTPSNNDGDAAVTVNQLVSTFSSDPSLIAFGALCSDQSWNIKSDTDFQEFCLQVLFECVSKDRPALLQVYLSLYTTVASMADQVVSGIPVPYDSQFLPSLKLALAYNDALLKGKLTSSRGGIVQSTFLGSLNRRVEELLVFSPSLKTHIHDYVKSGKWSEDDNSCTLLSWYLQWYGVPAPSLVQAGIQKISSLNLPSSIPLLRLLFPGTHINAINEIDHFLRSSSESSR >KVI09962 pep supercontig:CcrdV1:scaffold_123:469086:471994:1 gene:Ccrd_011682 transcript:KVI09962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mad3/BUB1 homology region 1 MANNSTTTNDNLFSSLISDIKTYNGSDPLLPWLRGIRTMIDALPPQLLKQKLPRFLQKCAQTFETDLRYSNDLRYLRVWMKLLDFVDNPGAVLENMKANHIGNKRSLFYQAYALYYEKMKKFTDAEKMYHLGVQNLAEPADELQKSFDQFLHRMERHQKKRTQRQQRRSTGDLLGDGIKDKAEKISVKGRPTESLLKDKKVISHKEKEKGNMGNTGCESDLEQVYRTEAGLDVHAGDNTVVLKFAKTAIVGKSEAEDARHHGLVEPTINTKEAMDAINSMFREPLGPEPFQKYSSYKSKANGGDCSKDSFKVFNDDDSGIGVESSNENQPLEEPFQIYCDDDDDDDEKAKFDNKMAPNMTKGAFVFPHPKDIPLDCSKDSDNTDRLPQAKFKEDTVVFRFVGSTISDEPKVENACHHGLVEPTVNLKEAMDDINSMFGKPIEFVRKRRPRKQEKPFEEKQNCSSFLILPDDDMDHHQQKICQPTGSSSRKENDLFEQTVCTKEAMDDINKMFAMPLDF >KVI09939 pep supercontig:CcrdV1:scaffold_123:324274:327800:1 gene:Ccrd_011669 transcript:KVI09939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MVMVMVNLTIIRILLVSAIVSLTVRLILILTVCRSNRKRAVGFFHPYTNDGGGGERVLWCAVKAIQEEQPDLDCVIYTGDHDASPDSLIRRALDRFGVTLISPPKVVHLHKRKWIEETTYPRFTMIGQSFGSIYLSWEALNKFVPFYYLDTSGYAFTYPLARLFGCKVLCYTHYPTISLDMLSRVHSRSSMYNNDSVVANSFLLSQCKLVYYKFFSWMYGFVGSCANLALVNSSWTQSHIEKLWRIPDRIKRVYPPCDTTGLQALPLEREATPPKIISVAQFRPEKAHTLQLQAFAHSVKNLNADIPRPKLQFVGSCRNDADETRLQNLKDLAIKLKVEEDVEELVGLLGSATAGIHSMMDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLPEEGKQTGFLAETVEEYADAILKILRMSKSEKHEIAAAARRRASRFSEQRFYEDFRAAIRPIINHSFG >KVI09937 pep supercontig:CcrdV1:scaffold_123:60242:73527:1 gene:Ccrd_011649 transcript:KVI09937 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MLFGRAQRDCLAHEEAVGRSSKQLLFGRASDGNGCCLAAAVWSHIRRKRVAVLACAAAEDQAASSCCFARAAAGDQATSSCCLLKRDWTRATTIRERKRSRRMKAGYDSYNFFGFNRKFKITERKPPPDVVDFFSLCTNGESQMSPNQFRRFLIEFQGESGVTIDDAQRQMEQALHLIRPNFNRCSFTLDEFFTYLFLDDLNGPIISQVHHDMTAPLQHYFIYTGHNSYLTGNQLNSDSSVVPIITALQRGVRVIELDLWPNSAKDGIHVLHGRTLTMPVTLYKCLKSIKEYAFVNSPYPVIITLEDHLTRNLQAKAAGMVTEIFGDMLYCPKAGGSDEFLSPEALKHRVILSTKLPKEYLESKQMGGESSPIVGKDLFEVELFVGEDAPSSFKTGTATDERISSNQDEDDDDDDDDDEDEDEDEDVENEKPDQKAAPVYNQLIGIHSGKAKNGLRKALMVGLGKGKRLSLSEQALERAASLYGTNIIRFTQKNILRVFPKGSRVTSTNFRPLTAWMHGAQMVAFNMQLYMVGVPADVTKKKTRVIEDDWMPVWDEEFTFPLRVPELALLKIVVREHDASEKDAFGGQTCLPVSELRSGIRSISLHNKKGEKFASVKLLVRFFESRIIKDAHQNHRSLFLHNTKMTSTVPHRPPPSHPSDSDSSDSDSTSTTPKPQHHTDFSNTIFRSYIEISGLESPDLTKIRSFLTSSRSGALSCLICLERIRPSDPTWSCSSRCFAVFHLLCIQSWARQSSDLSSLRAASRGADDRNSTWNCPKCRIEFPKTLIPKTYTCFCGKVENPPHDPWILPHSCGEICNRSLKYDCGHKCLLLCHPGPCPACPKLIKSRCFCGGVQDIKRCGFKEFSCNKTCSKLLDCKAHCCLEICHDGDCPPCRAEGVYKCHCGKVQEKRECFQRDFRCETPCEKLLGCGKHVCSKGCHEGECGDCPLQGKRTCPCGKRIYEGMACDVVVPSCGGTCDKKLICGIHRCPERCHRGPCVETCRIVITKSCKCGSLKKQVKRNASECGIVDAMLASGGVVMVIVLLVQRCHGPIPPPNPEFTLRPKKKKPNLPIESTPGSVCPPCPELVWRSCVGEHVGAEKMMVCSNKAKFSCDNYCGNLLPCGNHFCTKTCHALKIPGSGRYEKGEQCEECNLRCQEERKPMCPHPCPLRCHPGECPPCKVLIKRSCHCGAMVHVFECLYYNSLSEKEQIAVRSCGGPCHRKLPNCTHLCPEICHPGHCSSPEKCSKKVVVRCGCQTLKKEWACQQVQAAYHSSGRDPKDISKNQFGLGLLSCNSDCKSKVKVDDTDLHLRKSKAPEKIMEFLRKLLVVLVIVASLIAVAYYGYKGLLWVNDWMNEIEAQRERKRYPRM >KVI09929 pep supercontig:CcrdV1:scaffold_123:328619:331796:1 gene:Ccrd_011670 transcript:KVI09929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSLNSFVSFTSTANHKNVPRVCIGASMLKSSHFSSPSRTLLISRPIRSRNGRSLLVANGDRLATEISTKTSESTETEPVKKNPEASNGSISASEPIQETDNAEINAIPKRSKLTAREKLRAARVRSRNSEPKPVVKAELGSKVLEALRENDKITGKKRTGLPEAPTNLFDDSKRGMPKKGLTFELPVGWDVFLIILSVVVISTVMFTTTFVVWKMGAIHFNEY >KVI09957 pep supercontig:CcrdV1:scaffold_123:163768:165189:1 gene:Ccrd_011658 transcript:KVI09957 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like protein MEMINDMFCQISCCVPYLQILELDLYRLQVSIELLPFPKLPKLKGMTIRVVEQGEDGVLALTSLVEACPNLQRFSIMKTSLFSAGKMDREVRQMAKKPHQHLEVVEIDEYHGGTSDLELVMYFIQNCVALKKLVIEATMEDEDEDEDEDGGRYRAQQQLEPRIPDGVELVIL >KVI09928 pep supercontig:CcrdV1:scaffold_123:336773:340764:-1 gene:Ccrd_011671 transcript:KVI09928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L41 MRAKWKKKRMRRLKRKRRKMRQRSKWYCWLGDREMEINRQSHHALSSNITCRRFKSSNTVLFSIDASEKYFKILLIFEVLLRSWAAHWKICPIRGPLLSRISLMYIRTSSAIMRFIIVTRHVSSISAVDLSSTSSSTSSFFSVTCSTAFISPGGKSVSTVAGPSQLLWSVEVREHCLFNGGSDWVESLKGSANGRFITPEFEFDPSETSPGGNPRRRRVEFQWFLIALSVRPGRSLEMVDHLLPWIACARRITSSSSRVNGRCSTSGLSWLHHRRRHDFPERPGILELMRDQLRGPCCSTSFTRVASSCGLQEPLILPPATPPPPPSIASI >KVI09961 pep supercontig:CcrdV1:scaffold_123:460401:467162:-1 gene:Ccrd_011681 transcript:KVI09961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKEDPISEVAEDEEDYDEDEDEEEEEPPVTSQSRMRNDRERMEGLLRRMSSERVPLRVHDVIIKGNNKTKESLIEAEVQALKTVTSMQELLQAATIANARLQKLAIFDSVTVTLDSGPPDLPGTSNVIVEVVESKNRVTGDIGIFTKPEARSWSLESSLKLKNMLGHGDIWDGSLSYGWDQTSEISTGVALPRFMKLVNPVTARVSLLSQDWLKFSSYKEQALGLSLGLLSTRNHDLAYNLSWRTLTDPSQMACKSVRSQLGHNLLSHLKYTFKIDKRNSPLRPTRGFAFVSTSQLGGIFPDYRSVRFIRQEFDLRYALPLGFARAAINFGVAGGVIFPWGGGFVNTPVSLPDRFFLGGNSSPVCSLGGPTSLLGFKTRGLGPSEPRREVGEKAGDAGFDPSSERDFLGGDLAVTAFADLSFDLPLKVLRDSNIHGHAFACAGSLVKLTENSFRDLSFQKYRDSFRRSAGFGLIVPTKLFRMEVNYCYILRQHEHDRAKTGVQFSFSSPL >KVI09959 pep supercontig:CcrdV1:scaffold_123:491047:493643:1 gene:Ccrd_011685 transcript:KVI09959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MWSCGLEFPDALSFFHLADCVMMEKLVEVSDQVVCIDFVLGTKCRATVRLRSLSATTPIAFKVQTSSPHKFLVNPPSGLIPPLSQSTFQIILRPQPQIPSDFPRSPSDRFLIRTSRAGSAVDINTITSWTYDVKLKVAFVGPFLLRHAVNNGDCDAVRSMVKFQRTILTELSIREAESVHRVATRLDNSVEMVGLLLEAGLKVESTPRSLEEVEESRWAEKGWSELHAAVAFDRTDEVSRLIKMGKRETLDCKDREGRTPFYLAASKGFERSVKMLAGAGANVDAKRNDGWTALYRAAAKGDRRMVKVLIELGADPSITADNRNPSAIDIARDEGHKEIVEILERGEEVLNAARRGDLMHLEFLLERDASVDFRDQYGLTAIHMAAIKGHKDVVMLLVEFGSDIECTEAEGRTPLHMAAVGGSKDTVEVLINRGANVNAKCNRGATPLQVAQTMGYEIITQFLLLQQPTS >KVI09940 pep supercontig:CcrdV1:scaffold_123:215389:217896:-1 gene:Ccrd_011662 transcript:KVI09940 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MDYNFQSDFEDFFRGWLTRQELYLHQLLHLLQFPDEQHESKLQQLINQVMTHYHDYFLAKARVSHQNVFLVLSPPWFSSYERTFLWLAGFKPVLAINVVTRCGVVLSSDQAERMASLLVEIKNEEKEIAERVVRLEQQVASPPMVALARMGGREVNGMVHDVDTAVERMAAAMEVLVGRADYLRVKTVAKVVGILTTAQTVRFLAGMAQLQLRIRRWGQLRAGIGNLSSWWVGGXAKARVSHQNVFLVLSPPWFSSYERTFLWLAGFKPVLAINVVTRCGVVLSSDQAERMASLLVEIKNEEKEIAERVVRLEQQVASPPMVALARMGGREVNGMVHDVDTAVERMAAAMEVLVGRADYLRVKTVAKVVGILTTAQTVRFLAGMAQLQLRIRRWGQLRG >KVI09945 pep supercontig:CcrdV1:scaffold_123:301766:303663:1 gene:Ccrd_011667 transcript:KVI09945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MPCAVAVTNSPVFLPSPMFRKPMISPSSSLSLHHTAPTSSPPSSSLHKHTNGYSEGPTINCDSNSSSSVVLKRKRPARIQIPFAPLSFVDEIAKPDDNLSEIDEEGEEYSVYCKRGKRGAMEDRYSAVVGLQGDSKQAFFGVFDGHGGAKAAEFSAKNLNRNIMSELADKHEDEIADAVREGYLATNTEFLKEDINGGTCCVTALIRKGNLIVSNAGDCRAVMSRNGVAEALTSDHKPSRKDEKDRIEGMGGYVDSRNGVWRIQGSLAVSRAIGDKHLTKWVIAEPETRIVNIRPDCEFLILASDGIWDMVSNQEAIDVVRPFCVGIEKPDLFSACKKLVGLSTTRGSYDDMSVMVIRLPRFSSLTYNSS >KVI09931 pep supercontig:CcrdV1:scaffold_123:422887:425986:1 gene:Ccrd_011679 transcript:KVI09931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MASSLSTYHPISSHLSKQNHTSNLSSSFLSSPSSIPLSSNSNVSLQFRCNNKQLCSSTPRPLTVIAMAPPKPGGKAKKVTGIIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKAGYIIPVEITVYDDKSFTFVLKTPPASVLLLKAAGVDKGSKDPQREKVGKVTIDQLRGIATEKMPDLNCTTIESAMRIIAGTAANMGIDVDPPILTPKVKQVL >KVI09936 pep supercontig:CcrdV1:scaffold_123:51145:54519:1 gene:Ccrd_011648 transcript:KVI09936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYDYFKMFGFNRKFKISERRPPPDVIDVFNLFTNRDSQMSPPQFRRFLIGYQGEQGVTIDDAERIMGQVLRHFRPTFTHCSFTLDDFFRYLFHVDLNGPIIDQVHHDMTAPMQHYFIYTGHNSYLTGNQLYSNSSEVPIIKALESGVRVIELDLWPNSAKDGIHVLHGRTMTTPVTLSKCLKSIKEHAFVNSPYPVIITLEDHLNSNLQAKVAKMVTEIFGDVLYSPEAGDNDEFPSPGALKYRIILSTKLPKGCEGSKQLGGESLPKVSRDSSEKEMNSNQDNCVSSSTQELSQFLDIEFEDEDVKYEQKASPEYNQLIGIHAAKVKDGLRKALMVGSGKGKRISLSEQTLEKGASLCGTDIVRFTQKNILRVFPKGTRVTSTNFKPLTAWMHGAQMVAFNMQGYGRSLWMMHGMFRSNGGCGYVKKPDFLMTKGPNNEVFDPKGTLPMYMVGVPADVSKKKTRVIKDDWIPIWDEEFTFLLSVPELALLKIVVRDHDVSDKDKFGGQTCLPVSELRNGIRTVPLHNKKGEKFKSVKLLMKFQFE >KVI09960 pep supercontig:CcrdV1:scaffold_123:437365:439151:-1 gene:Ccrd_011680 transcript:KVI09960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKKSGSFNYEEDAEEDYLTKQNPSFHEIEQVDDDDDDDDSTEDDDVEEDESEEVDHDSSGGHRPKNGSTSSITVEEISDKKASSLVTGSVRPYVRSKNPRLRWTPDLHLRFVHAIERLGGQERATPKLVLQLMNIKGLSISHVKSHLQMYRSKKIDDGSNQEQGILNEGDDLHIYHLSKLPMLQSYNQRSLSNFRCQDGLWSPQTNLNYNPFMNGLRHGVFGSMAERMLARNNIRNGHSSFNHDQASWRRLQSNNIELGLFKNLERLSMPNQIRRNTTQMDMNTIPKDQEHEEKRVLKRKEIDQESEHLDLNLSLKIKLPKEDHMISRKRNEEFDENSLSLSLNCISNSSRVTRSKHAKTMGSSSSTASLDLTL >KVI09954 pep supercontig:CcrdV1:scaffold_123:127481:129326:-1 gene:Ccrd_011655 transcript:KVI09954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MTCPGDWPEPVVRVQSLSESGKPVIPDRYIKPPPDRPSANSSPPHINIPLIDMAGLTSDDLAVREATLKEISEACREWGFFQVINHGLKGELVDGAREIWREFFHESMEVKQKYANSPKTYEGYGSRLGFQKGAILDWSDYYFLHYLPSSLKDHHKWPAKPPSLREVVEEYSKEIVRLGSVLLEVFSINLGLKHDYLQNAFGGEDIGACLRVNFYPKCPQPDLTLGLSSHSDPGGITFLLPDENVSGLQVRQDQQWVTVKPARHAIIVNIGDQIQVLSNAIYKSVEHRVIVNPDKERVSLAYFYNPKSDLLLHPAPELVTPATPALYPSMTFDEYRLFIRTRGPQGKSQVESLRSPK >KVI09942 pep supercontig:CcrdV1:scaffold_123:182118:192219:-1 gene:Ccrd_011660 transcript:KVI09942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFYQKNESKNDGKPSQVAPEWVPSLLPENRGEIGLKSGQIGSGCEPELGPAPATGYRICMLDMSLLSFKYNNVKGMKCFICLWVISLDTGIDVGKPQQCMMRKKRQLAQNKDALQDLGSDDLLSRFSDDILVSIISRLPLKDAVVTSQLSRRWRYLWCQTVRLDFEDKERSNKLMDFAERNKYINWVNYIIRQHKSSNIDEFKICFDLDKNGRGAIGKWIEFAISKNVKTLELDLRHKNGIISYGWRNYVFPNKIFDRKCGSSLKRQSSNFPARPYASVMEIKFLKTLILKCVDVNDEGLKKILNNCPVLEHLSIHGSCHMVKAKIHGKGLALKTLEIRSCVGLESIEICDSNLVSFTLKGSPITIRVDNLQKLEKISIGEGYSWKKINRLFSEISCCVPYLQVLELDLYRPPVPPFPELLKLKQLILKVGAWDNDSVHVLTSLVEACPNLRRFKIQLIWSIWVRPNGEVRQVAKQPHEHLEVVEIGGYYGRTSDFELAMYFIENGVALKKLVIDPSDLSMKRSRLVANEIMENGARYHAYQQLKPRTPVGVELGRDLEDMGEFRERNHQQHATGRDLEGGSNWVVGTRSEKIEIPLLIKEKINRVNNHGQLSKVKPQGQRTEGMTNKKHQLQQQITQIVGIERSEKSNQKERVIVDFSCGEYSKNNKANRPFIPSKYKEEILRERKKLYSPLEKRLKHFH >KVI09951 pep supercontig:CcrdV1:scaffold_123:78215:80587:-1 gene:Ccrd_011650 transcript:KVI09951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSAAAVIFVVILIFSVVCNVHGLGGSATTVSVSYGTTVTVCGIVAGQPSQRIQCWRDGQVFDVFSNISFESIAGGRDVFCGVRSGGSSLVCWNPSLTPKRLYYNETVLLRQLTIGDGQICGITNSSGLNVECWRSRDELSIQNRQFQSISSGLGFTCGVVANNADEILCFGTNSELARDIQGNFTDFRMLNVAVGGNHACGVNSTGFLICRGHNDYGQINVPKHSPFEFSALALGSNHTCALRKMNSHVVCWGGGGGILSDYAVGVSFESIVAGLDFTCGLTTNNLSVICWGEGWVRNSSRQASRAFRRQRSGTSSKHADREEEFTFADLALATDNFSQNNKIGAGSFGIVYKGKLLDGREVAIKRGETSHKTKKFQEKESAFDSELAFLSRLHHKHLVRLVGYCEEREEKLLVYEYMKNGALYDHLHDKKNTEKSSSLLNSWKMRIKISLDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWVARILDKRVGPPEVNEAEAVELMAYTAIHCVNLEGRERPTMSDIVANLERAVTLCDDSHGSISSGQISIVSE >KVI09930 pep supercontig:CcrdV1:scaffold_123:343954:344412:-1 gene:Ccrd_011673 transcript:KVI09930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MATPDSQTFHWRYDELDDKNFQIRGRTLFFIIVLFAVILLVTLLFLYARWICRSSSASSAAVSQHIQRFSRPQGLDSAAIDSLPITLHQRPSTSSTDSLECCICLGVFEEGEKVKVLPKCSHAYHCECVDKWLTTHSSCPICRAALLVDSPV >KVI09927 pep supercontig:CcrdV1:scaffold_123:377985:379316:-1 gene:Ccrd_011676 transcript:KVI09927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MTNMESSAAQVHVKEAALVVPSDPTPTHLLKLSSVDSQLFLRFTIEYLLIYRFNGLHTDHVTARVKSALARALVPYYPLAGRVRVRPDGSCLEVVCRAQGAVFIEATADFTLSDFERAPRYVTEWRRLLALQVADVLKGAPPLVVQLTWLSDGAAALGVGYSHCICDGVGSVEFLNLFAALATGRRHGGGLEFKPKPIWQRHLLDQTPFKQPRPRQHLEFSRVTDHCQFMTRFTPDQLTPTAVTFDEWRLNELKNSITPTSQLSKSSLTSFEVLSAKVWRSWAKALNFPPQQILKLLFSIDIRHRVKPSLPTGYYGNAIVLGCAQATARDLTEKGLAYATELIKEAKNRVDDQYVKEVVNSVSLNGARGVPDPVGVLILSQWSKLGLERVDFGIGRPVQVGPVCTDKYCILLPVDDHSRSVKVMLAVPSVAVDKYVDLMRAVQ >KVI09953 pep supercontig:CcrdV1:scaffold_123:137837:139910:1 gene:Ccrd_011656 transcript:KVI09953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKIQENKNGFFLKCSILLELAAIDDVAGFVFEVERKGMSLDEVSFWYGRRNGSKGKMGFEERTPLMIASVYGSTHVLKYLIGTQKVDVNKASDSDGATALHCAAAGGSPMSVETVKLLLEACADPNLTDDNDNKPVDLIARGIKSSKRKALEMLLKGLTIEEGSDKEESEETEIITAKKEYPIDVSLPDINDGVYGTDEFRMYMFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKHQYSCVPCPEFRKGSCVKGDACEYAHGVFESWLHPAQYRTRLCKDETGCARKVCFFAHKVEELRPLYASTGSAVPSPKSGSVSSMEMGSMSPLGLGSTPPMSPSIAPVNGNMWQNKFVHLTPPALQLSGSRLKTSLNARDLEMEMEMEMLGLENIRTQQQQRQQLIDDLSNNLYNNSNRFGEMKPTNLDDVYGSLDPSILSQIQGLSPKVSSPSGHQQIRQNSNPLRSSYPTSNYPSSPGRKPTTFGFDSSAAVAQAVMNSRSGSFAKQRSQSFIDRGAGATMNLRSMNQSSTYSEWGSPDGKLEWGFNCEEANKLRRSASFGFRSGNNGSPPMKGNHEADGSWAGAGLYSSSEKVPQWVEQM >KVI09956 pep supercontig:CcrdV1:scaffold_123:180645:183067:1 gene:Ccrd_011659 transcript:KVI09956 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like protein MRKKIRLAQSKDDLHDLGSDDLLSRLSDDILVSILSYLPLKDAAVTSKLSRRWRYLWCQRVCIAFEDNEGSGKIRREQDSSTLNEWNKYTSFCLAERNNYINWVNRIIRQHKSPIIDEFKICFDLDKNAKGAIRKWIEFAISKNVQRLELDLRQKNGLISYGWKNYVFPNKIFDRKCGSSSKRPSFNALVRPYATVMEIKFLKALILKRVDVDDEGLKKILSNCPVLEHLSIQGSCQLVKAKIDGEGLALKKLEIGGCSARLESIEICDSNIVSLDCWGSNCKRFPISLRLDNLQKLEKIFIRKCYSLKIINHMFCCLPNLRVLELSLFSTQRAIELLPFPELPKLKKLIINVEVREDVSLLVFTSLVEACPNLRRFKIELMSSMRRRKENREERQVAKKPHQHLEVVEISGYYGMTGHLELATYFIENGVVLKKLIIKPLILASPSADGTLEVENGPRYHAQQHLEPRTPVGLELVIL >KVI09946 pep supercontig:CcrdV1:scaffold_123:250932:253433:1 gene:Ccrd_011664 transcript:KVI09946 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MSLCVSHHYITTASSTVSLSQNPPFLMHPLRRKVSLFSSYTTAMKHRKNNNVSVFVVVFSVFLFGFFMYNEDVKSIAEFPFSRPKVVQQVGIHENPLQKPVDEVVEINSRTTLVVESKTEGDQLIELPVPVAAAVVEDLEDEEEIELPPEECNLYDGNWVYDDSTHPLYKEDECEFLTAQVTCMRNGRKDSMYQNWRWQPKDCNLPTAKLLLEKLRNKRLMFVGDSLNRNQWESMVCMAQSIIPPGRKSLNKTGSLSIFRIEDYNATVEFYWAPFLVESNSDDPTMHSILNRIIMPGSIKKHGKHWKDVDYLVFNTYIWWMNTFTMKILRGSFDKGATEYDEVDRPVAYARVLRTWAKWVDKNINPNRTTLFFSSMSPLHIKSLDWNNPDGIKCAKETKPILNTSMPLEVGTDRRLFYAATDVIRSMKLPVHFLNITSLSEYRKDAHTSVYTIRQGKMLTDEQKADPNTYADCIHWCLPGLPDTWNEFLYTRIISHS >KVI09944 pep supercontig:CcrdV1:scaffold_123:290530:290968:1 gene:Ccrd_011666 transcript:KVI09944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress induced protein MASQQQSKKLHDQISEEEKRELDQRAAQGETVIPGGTRGKSLEAQERLAEGRSRGGQTRKDQLGTEGYQEMGKKGGLSTTDRSGGERAADEGIPIDESKYTRKSG >KVI09933 pep supercontig:CcrdV1:scaffold_123:9407:12259:1 gene:Ccrd_011645 transcript:KVI09933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMNWKKSIDCCTWNGVTCSQYTGDVIALDLPCAMLRGTIHPNSTLFTLPHLQSLNLAFNDLTDSQLPHKIGMLSSSLTHLNISDCGFTGQIPSEISLLPKLVSLDLSWNNDLKLEPHVFYNLLHNSTSLEELLLDEVNISSTLPTYLNISSSMKSLHLWSTRLQGKLPDNIFNLPYLEELDLGYNINITGQLPXVYTNTNIPLKLLDLSYTNLSGQIPDSIGHLKSLTXLDLSGINLSGHIPDLIYHLKSLNTLILGDCSLMGPLAKSLANLKHLTTLYLSNNKLNGTLPSWLFTLPSLETIYLDNNMFNGSLPTELFNHQSLKILSLGGNQFVGKIDMVDQGSTTQAFWKLVNLTYLDLSNNKFRGVWSLDTLLSSLRTLEYLSLSYSGLSVMTNNVSRYVNPNFQTLRLASCKIKVFPESLRAMRKLQVLDLSRNEIDGHIREIGGNEMSVLDLSRNIITGPFPPSIWNMDNLEYLNLSNNRFSGVIKPGDMKFSPAVIDMGNNSFNGTIPHVCGENLIGLILNGNQFEGKVPSCFSKCRELEVLDLGNNRLXGAFPDQLGRLRYLKVLVLRSNKFHGPIERSSSMIEHPFPSLXVLDLSQNEFGGHLPGKYFQNFDAMKNVVKDGKNTYLNLYDFYSITVVVKGQQLFFEKLSNDYTIVDLSGNKFEGEIPNEICTLNSLIVLNLSNNHLNGQIPQTIGNLSEIESLDLSRNQLSGKIPQSLADITSLEVLNLSQNLLVGRIPGGTQLSTFNTSFEGNPGLCGFPLPKECEHASAPQLEVDGEEESVFTWKVVMLGYGCGTLVGLLLGYFMLSTGRPKWLNAIVDEIEQMIQRRQNKRR >KVI09943 pep supercontig:CcrdV1:scaffold_123:211331:213409:1 gene:Ccrd_011661 transcript:KVI09943 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like protein IFVVKVKADIFRVFIKGDRHDFNSDDFLSRLPDEVLVSILSGLPIKDAAVTSQLSRRWRYLWCQAVRLDFEDNKRLDDNIVAQPKLRPKLRLLERNKYINRVNRVIRQHRSAMIEEFKICFDLDKYCKGSISKWIEFALSKNVQKLELDLIDKSQMCSDPALNFVFPNKILDRISGSSLKRQSYSTVPRMPSTKVMGIKFLKVLILKCVNINDEAISKILVSCRLLEHLTIHESEQLVNVKISGQQLKLINLQITYCPLLESIEICDSNLAYLCYYGLAINFKFNKVLELNKISTGEGSTWNENTLFQQISSGSLYLKVLELTIYYPKQNMHLSLPELPCVKQLILSVGAWEDSTLLEFTWLARACPNLHKFVIQMMWMATIKRTNRFMYMVKHPHQHLEVVEIGGYYGRNSDLELALYFIENGIALKKMVIDTYEYHLTSRTPIATETLEVTAARFLAQNQLASIMPHGVKLVIL >KVH88285 pep supercontig:CcrdV1:scaffold_1230:39999:42018:1 gene:Ccrd_024253 transcript:KVH88285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRSVAIRPTCNSSCSIQEEEDVHRDVYEMVFDMKLDPI >KVH88284 pep supercontig:CcrdV1:scaffold_1230:42972:43484:1 gene:Ccrd_024254 transcript:KVH88284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MIFPKLAPFIIFLFFISTSINAITTPRTRSQLYIIKQFLTLQNKARATQRLPPLVWDAGLARYADMYARQRRQDCLLKHSNGPYGENIFWGSGDRWTPAQAATAWVAEQRWYNYGLNSCGGGRECGHYTQIVWKKTKRIGCARVTCFGGRGVFMTCNYFPPGNYIGEKPY >KVH88283 pep supercontig:CcrdV1:scaffold_1231:77461:78098:-1 gene:Ccrd_024255 transcript:KVH88283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold IGHNSQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKENTKIVQDSKHSHLCIGEIVSYFVPKLFIKHKLKQVKSKGIKPKIFGLELLVLVLYLSNFYL >KVD98122 pep supercontig:CcrdV1:scaffold_12312:1174:1563:-1 gene:Ccrd_024256 transcript:KVD98122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGGGAGGGLGGAGGGGLGGGAGGGLGGGSGLGGGAGGGGGLGGGAGGGLGGGGGLGGGAGGGFGGGAGGGLGGGGGLGGGAGGGGGLGGGAGGGTGGGFGAGGGFGGGGGLGGGGGGGFGGGGGFGGGH >KVD98121 pep supercontig:CcrdV1:scaffold_12316:3:521:1 gene:Ccrd_024257 transcript:KVD98121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, histidine active site-containing protein MDQAFKFIIENKGLTTESNYPYEATDGTCSTSKESSHAAKITGYEDVPANSESALLNAVAMQPISVAIDASGSDFXSYSSGVFTGECGTQLDHGVTAVGYGTTPEGTKYWLVKNSXGTSWGEDGYIRMQRDVDADEGLCGIAMMASYPTS >KVD98120 pep supercontig:CcrdV1:scaffold_12317:57:1410:-1 gene:Ccrd_024258 transcript:KVD98120 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDIFYASLLSLFVLVVSFSLHFFIYKLKTTEDGRVLPPGRTGWPIIGESFEFLATGWKGHPEKFIFDRMSKFSPIVFRTSLMLEDAAVFCGAQGNKFLFSNENKLVQGWWPASVDKIFPSSDKTSKIEAIKMRKMLPNFFKPEALHRYVPIMDVVTQQHFANGWEGKDEVVTYELTKNFTFWLACKIFVSIDDPERVRYLSGPFESIALGLLSIPINLPRTPFRRGINAANFIRKELIAIVKQRKIDLAQGKATPTQDILSHMLLFSDEDGKFMGEYDIADKILGLLIGGHDTASSACAFLVKYLAELPEIYDGVYKEQMEIAKSKAPGELLTWEDLSKMKYSWNVACEVLRLAPPLQGAFREAITDFMYNGYSIPKGWKVIYTLHNVYKRTSFRNQKYIRNRGNKYSL >KVH93863 pep supercontig:CcrdV1:scaffold_1232:109288:109923:1 gene:Ccrd_004080 transcript:KVH93863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim17 MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGIYNSPKGERFIGGTQAVRMNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIIAGAATGGFLQMRQGLGPATRSAVFGGVLLALIEGAGIMLNKVLSAQQLPPVIIEEPPQAGFQLPNRGPQPDPAPATASSPSWFGGLFGGKDEEPKPKMKTEVLESFDSPAPPTFEFK >KVH93867 pep supercontig:CcrdV1:scaffold_1232:66413:72635:1 gene:Ccrd_004075 transcript:KVH93867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVTVAAAVVCAAAVSAAAVLVVRHRMRNSGKWAKAMEILRVFEDKCGTPVAKLRQVADAMTVEMHAGLASDGGSKLKMLISYVDNLPTGDETGIFYALDLGGTNFRVLRVKLGGPENVKKEFQEVSIPPNLMIGKCEDLFDFIAGELAKFVATEGEEMQIPAGTQRELGFTFSFPVKQSSIAGGTLVRWTKGFNIEDAVNDTVGTLAGGRYSNPDVLAAVILGTGTNAAYVERANAIPKWQGLLPKSGEMIFEKIISGMYLGEIVRRVLLKMAEEAEFFGDIISNTSLKMRKVIVELCDIVATRGARLSAAGILGILQKIGRDTVREGEENQKSVIAMDGGLFEHYTKFRDTMRNAMNELLGEEASKNMVIELSNDGSGLGAALLAASHSQYREYIES >KVH93871 pep supercontig:CcrdV1:scaffold_1232:88036:107695:-1 gene:Ccrd_004079 transcript:KVH93871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide glucosyltransferase MYCNFTKCQAFPFAIRASSQQPKCFLPFYTKPKKIPQFLPTSSRGKPFRTRSFWFIEKTHEYCLLLGRGDRDGSVSFDSQQVGKKKIEQIYAAKRECFSNGFHSKPRNFSISYARKVAEGIGSGKPLPWLAKDKDKEVKDPERSAATRRSSWEESAEKVSRNGVENREKDRRNVRRKDQKKSRNLEDEPVTGKVIRSSWQESAEKFVKNGVENREKGRRFDERNDHREKRRSENDSDVETQELDNPRWYEIRDRFDRYDSVADEQNGNEFRKFNRQDNWGKKVWKEATESSIPKMVGECVYGVGPVLAALTANRREFYVLYVQEGIDLSGNNRKKKDKKGFEKVLKVAEKLGLTKKEVSKHDLNMIVDNRPHQGLVLDASPLEMVNIRELDRVVTDEQEGCPLWLALDEVMDPQNLGAIIRSSYFFGASGVVLCAKNSAPLSGVVSKASAGSLELTELRSCKNMMQFLVSSAENGWRVLGGSVSSRAVAVDEVTTSEPTILVLGSEGTGLRPLVERSCTQLVKIRGNIPVHLSAESEGVETAEVGSFVAVESLNGCVICLFLAAGWAGAAYVRRREIWQMKKSMRAGNSFAFLCYDIHDLEHSKQENLPGVTVVMPLKGSGDYNLHNWRSQITSLYGGPLEFLFTVESTADPAYHAISRVLIDFEGELDASIIIAGPSTTCSQKIHNQLVGVEKMSKDSKYVLFLDDDVRLHPGSIGALTAEMEKNPEMHADDLRTNRHGVISRLQHGGYSDDMTLAAIARLKMTGCLAICTAIELLSMWNLTRIEVQLCNMLSPEAPQLSLKTYNWWLVFAAMLVDNFLYPISAFRSYASRTINWSGIRYHLRKGKIAKIERKKKGGRQYSDLAAKRVFSKKGGQEKGSVLGEGIMPLRCVPFEQLQKTLICP >KVH93864 pep supercontig:CcrdV1:scaffold_1232:116200:134373:-1 gene:Ccrd_004081 transcript:KVH93864 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MSYKQEMCNAMNAGDESKTNSANIVIKKSKFFEILFIELDSCMFQGITPKVEFEIESYLHLHLFMFYEAEDFATSTRKIEDLHNEALAIYHVTYDYARSTNDVKKCGFAWKVAGEALCSFHGMKTPGKVYPKMYSLLSEKSACYFSPNVHSPGSSYKSRTNPEYFPRSPNDGYGSLVTKQIITFSSSGFKDANPNTTTCHLTEPSAFTHRVSSVQKQLTFSPCSSLKDANLGNISQHNYVSPVQRQLIFSPDCSLDAANVSKERQQVFDSPNASHPFSAVQKQPTLSWCSSSKGASPSNIAKHFSDSSSATHHGSVILEKPTLSPCESFGAATHRNLALGFDSMTTNHSEGTGNFAISQQSLLLAELEYRKMFMVYSYVGRKRLEDVVSVEDAIEIKSMKSVSMLDFEAKIWAKYGRQFCEPSDRAMGPYLNTRRTHLQRELGDDNVLIVXFTDGPDTPDMPSNXCTWIAAYQRIAGGISIGLRHYRLFGEQFTFLLCSLVLSNTIKLRVDLASVHVERIEDIPCRDENGFVVCDEDGETLIHTDGTGLCLSNAVCSKKLKDRTIQIRPSMIKVEKDARLSDSNSFSSLEIVSISVGGVPINYFLGLLESALQEAQKVSSSMRAAVRVGLTYGQMDDSATSVAMIGCGIPLDEPYLQYRLSVLAKEERKGLRSGKIPVNESFYLIGTADPTGTLNSDEVCIILENGQISGKVLVYRNPGLHFGDIHILTAKYVEELEGFVGNAKYGIFFSTKGRRSVGNEIANGDFDGDLMVAGKAADSWQTFMDHYLTLGENYADEKHRIKEKLLKLVDLYYDALDAPKSGKKVEIPKCLLPQKYPHFLEKKREMSYDSTSVLGKIYDAAIEYPSCNAVKQDIWKLPCFNVEIPEASLNLWKNRYVSYKQEMCNAMNAGDESKTNSANIVIKKYKQMFYAAEDFATSTRKIEDLHNEALSIYHVTYDYARSTNDVKKCGFAWKVAGEALCSFHGMKTPGKSIRFKLAGPRR >KVH93866 pep supercontig:CcrdV1:scaffold_1232:3693:18343:1 gene:Ccrd_004072 transcript:KVH93866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKIARTTQASASEYYLHDLPSSYNLVLKEVLGRGRFLKSIQCKHDEGLILVKVYFKRGDSVDLRDHERRLSQIREIFGRIENPHVWPFQFWLETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLYAVQQSHANGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPHDDPSDFSFFFDTGGRRRCYLAPEKLLCWWCLLDSASNEGDAALLAGVVDDWRGPTVLVEACENLFAFTHSASYLLTLYFSSNLYFFAFSTDIIIDRCVIAELFLEGQPLFELSQLLAYRRGQFDPTQHLEKIPDSGIRKMILHMIQLDPDLRCSAESYLQSYAGVVFPCYFSPFLHNLYSNLNRNNSDSRVALCQLSFPEILKQMLGNRAGEETVHGLHFGTNVTNRRTSHAVDRQNLVSMKGHSQTEKEKKRLSFDHFELRGDIGTLLIDGKENTRYANVKPKMEDAISSTNSQKQSAVQSPGKLLQTISNVFKRNHHPFLKEITMSDLNSLLSDYDNQSDTFGMPFLPLPQDSMSCEGMVLIASLLCSCIRNVKMPQLRRSAVLLLRSCSLYIDDEDRLQRVLPYVIAMLSDSAAIVRSAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYAGNISKLALTAYGFLIHSISLTEAGVLNDLSSTQKSGASSHEIPGRLQSQKNDAQLAQLRKSIAEVIQELVMGPKQTPNIRRALLQDVGNLCWFFGQRQSNDFLLPILPAFLNDRDELLRSVFFGQIIYVCFFVGHQSVEEYLLPYLEQALGDSTEAVIVNALDCLAILCRSGFLRKRILLEMIEHSFPLLCYPSQWVRRSVVTFITASSESLGAVDSHVFLVPLIRPFLRRMPASLASERALFSCLKPPVRRQTFYQILEKSKSSDMLERQRKIWYNSSAQSKQPENVDMYQKGVGELDPMKMWSDRQHDLLGHPPVSSIQPFGLIENDTEAKLRSASSFARNASGTVDIHDPLFSDKMKFSGFMSPQLSVVNSLIGDKSSEGIPLYYFKYDKRATGNASTASNSSLPIDPLGSASLSMPWMDPVNKSFTLSSSVPSPGLVSSSISIGNSSPQLHRVVHELEDRESDKTAYLSNKFNDMGVSNSSKGSSIGGADSSPPTEVAGLPSFSRTPTTPDSGWRPRGVLVAHLQEHRSAVNDIAISTDQSFFVTASDDSTVKVWDSRKLEKDITFRSRLTYSLEGSRALCTTMLHGSAHVVAGASDGTIHTFSVDYVSRGLGNVVEKYSGIADVKKSNIGEGAILTLLNYSKDGDDGKMILYSTQNCGIHLSDMRENSNSWNTKVIPEEGYISALVASPCGNWFVSGSSRGVLTLWDLRFGIPVYSWQYSGACPIEKMCLFVPPQSTSLSITVRPLVYAAAGCNEVSLWNAENGSCHQVLRLANSDSDAEISELPRALARPPSKTNSKGDARRSANFKYRVDELNEPPPRSPGIRSLLPLPGGDLLTGGSDLKIRRWDHYSPEKSYCICGPSMKGVGNDDLYETKSSFGVQIVQETRRRPLATRLTGKAVLAAAATDSGGCHRDSILSLASVKLNQRLLISSSRDGTLKVWK >KVH93865 pep supercontig:CcrdV1:scaffold_1232:30913:36847:1 gene:Ccrd_004073 transcript:KVH93865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MANFVHICSLLILLLVLDATTQTLCHSKGLKPKRNTWKQLGVNLTQTQQSEQQFMKWVNFVGSLKHSLFSTAKNKLFPSFTLTVDKNPATGDFTTIQQAIDSLPFVNLVRVVIKNTTPVPPPGAVGKQAVAFRISADTAAFVGCRFLGAQDTLYDHLGRHYYKECYIEGSGCHVHAIAPVTGAVTAQGRSSLLEDTGFSFLRCKVTGSGALYLGRAWGPFSTVVFAYTYMDNIIIPKGWYNWGDPNREMTVYYGQYKCSGPGATFAGRVSWSRELTYEEAKPFLSLSFIDGSEWIKL >KVH93870 pep supercontig:CcrdV1:scaffold_1232:74642:75568:-1 gene:Ccrd_004076 transcript:KVH93870 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MAANGEIITPSNTRLGWIGTGVMGQSMCSHLIKAGYTLTIFTRTQSKAQSLLDIGANWASSPHAVASQSDVVFSIVGYPSDVRHVLLHPTSGALSGLSPNGILIDMTTSEPSLAVEISNSAAEKSCFSIDAPVSGGDRGARNATLSIFAGGDESTVKRLNPIFTLLGKVNYMGGPGKGQFAKLANQITIASTMVGLIEGLIYAHKAGLDLSLYLDAISTGAAGSKSLDLYGQRILKRDFEAGFYVNHFVKDLGICLRECQNMGIALPGLALAQQLYVSLKAHGEGDLGTQALILALERLNNSSLEMHM >KVH93868 pep supercontig:CcrdV1:scaffold_1232:45992:47350:-1 gene:Ccrd_004074 transcript:KVH93868 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKAIRWLKALFGFKKEKNLKQESNSGDRKNKNPSCIRRSGRNPTNSPVKSPLQTPLYNNVNHHSDEQNKRAIQVATATAAAADAAVAAAQAAVAFVRLTSQNRLTTAREHAAAIKIQAFFRGFLSRKALKALKSLVKLQAVVRGYLVRKEAAATLQGMEALLRAQSSVCAQRFRRQDDKFHPRRSIVSTHRSIFLVIIMKKNDSFMETVVMRKRANEARSKRISASLQIPSDGRLSCVEVGPEEPKSRLTRANTWAWTPDSGTQTPYHLAIPNHHEFEPRFSTTQNTPRFAYSCGPYGFYEGVSKDDSFASHPGYMANTKSFRAKVRSHSAPKQRPDFGFGVVKKRVEVECGPRMEKSKSPSLLEVNNFKNFVMSRIGKSSYV >KVH93869 pep supercontig:CcrdV1:scaffold_1232:77380:79096:-1 gene:Ccrd_004077 transcript:KVH93869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim17 MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGIYNSPKGERFIGGTQAVRMNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIIAGAATGGFLQMRQGLGPATRSAVFGGVLLALIEGAGIMLNKVLSAQQLPPVIIEEPPQAGFQLPNRGPQPDPAPATASSPSWFGGLFGGKEEEPKPKVKTEVLESFDSPAPPTFEFK >KVH93872 pep supercontig:CcrdV1:scaffold_1232:85390:102126:1 gene:Ccrd_004078 transcript:KVH93872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSFDLDLALTMEDHNSTTTTSSTTDVNSKRLEVWLPEDNISAMLKVVVPAATVVTRQPVQVVFRLITDPEHRTGKNHNALLTDRRQANTLQLS >KVD98119 pep supercontig:CcrdV1:scaffold_12320:3:1408:1 gene:Ccrd_024259 transcript:KVD98119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MVDMLRFPSRMVDIPSNKEKSASSERSYMELEPTSSLQGSQPCLHFEFPEIQQATNDFDXSLVIGHGSFGKVYKGNVXNGSSLVVAAIKRLDSTSSQGAVHFWXEVDILXKFRHXNLVSLIGYCHXGKEMILVYEYMPNGTLGDXLHTHGTPLSWLQRXNICIGAAHGLLYLHTGTEIVRGAIHHDVKSSNILLNEIWXAKISDFGLSEIDSIDKPSTYAYTMVSGTFGYLDPACSFTGRLTKKSDVYAFGVVLLEVLCRRPALDRSLDEDNPNLARWAQESIREGNXXHIIDSDIRDQISPKCLKKFVQIXERCLHDNPKQRSTMAEVVXXLQSVLTLQXKFXSSLQXQNNI >KVH88281 pep supercontig:CcrdV1:scaffold_1233:20347:21239:-1 gene:Ccrd_024260 transcript:KVH88281 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MSYKFVQFIRVVATSNVGLYIRSIRSSFQVGFEEGTCFALANEMLFAAATGCQPTGKTFLHQRFMIVLKNHQQFSREESSFFCKGLDVIQQARSGTGKTATFCSGILQQLDYNVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSIREDLRILSAGVHVVVGTPGRVFDMLRRQSLRAYYIKMFVLDEAHEMLSRGFKDQVISFTLNFTLTVYYK >KVH88282 pep supercontig:CcrdV1:scaffold_1233:21266:83839:-1 gene:Ccrd_024261 transcript:KVH88282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQNNPWMKQAKQQEAEAAKLIDEAKSSSSSSSSSSSSPALETQRWNGVLDFQQRTTISSSWSSSTERGRREASHGRRSTRRFSV >KVI09839 pep supercontig:CcrdV1:scaffold_1234:33201:38122:-1 gene:Ccrd_011772 transcript:KVI09839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MGDAIDLTGDGGVMKTIVRRAKPEAIVPSDNLPLLDGTLAETGEVFDTTHEDNTVFTFELGKGTVIKAWDVALRTMKVGEVAKITCKSDYAYGSAGSPPEIPPDATLIFEVELVACRPRKGSSLASASDERARLEELKRQREMAAAQKEEEKKKREEAKAAAAARIQAKMDSKKGPGKGKGKGK >KVI09837 pep supercontig:CcrdV1:scaffold_1234:13494:14795:1 gene:Ccrd_011770 transcript:KVI09837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MASVHLLRLSKLFFVFCLLLESRFSLSFKNAILPLKVQKIASGSLTKPPKKLSFHHNVTLTVTLAVGSPPQEVTMVLDTGSELSWLHCKKTPTTPLSYDPLHSTSYTPVPCTSSICMTRTRDFTVPASCDPKKLCHATLSYADATSVDGNLAHDAFRFTDLVLPGVIFGCMDMDSSSNQDEDSKTTGLMGLNRGSLSLVTQMGYPKFSYCISDLDSTGVLLFGEFNFSWLPPLRYTAMVKISTPLPYFDRVAYTVQLEGIKVSETVLPLPKSIYEPDHTGAGQTMVDSGTQFTFLLGPVYTALKNEFLKQTKNVLRVYEDPNFVFQGAMDLCYRVERSRVGLPVLPSVSMMFRGAEMVVPGPRLLYKVAGLTKGSDDIYCFTFGNSDLLGIEAYVIGHHHQQNMWMEFDLANNRVGFAEVRCDSASQKLEIDL >KVI09838 pep supercontig:CcrdV1:scaffold_1234:30088:33306:1 gene:Ccrd_011771 transcript:KVI09838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSFAFRGTRGDIETGFPGFIPERRARIHASRPVNTNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQANAVAASGLLGHTELRLQMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDTDNAPTANSMSEEDVNSLPIHKYKVANSKSSSSSVEQGSSSGAPEKVESGKAVGGKSSEDELTCSVCLEQVNVGELVRSLPCLHQFHANCIDPWLRQQGSCPVCKFRVGSAWHENEEE >KVI09836 pep supercontig:CcrdV1:scaffold_1234:129204:133082:-1 gene:Ccrd_011777 transcript:KVI09836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Optic atrophy 3-like protein MILPFAKLGTLALKTFCKPIAKRIKKEAGRHPKFRHSIINIAQVNHRFTTKLQRRIYGHAMDVAIRPLNEDKAVQAAADLLGELFVFTVAGTAVIFEVQRSSKSEARKEALRKQELEALRQRDEDLAREIEMLKYKIKEMERVAKGQGLGTLFNFRKPHAIEDGQSKGI >KVI09833 pep supercontig:CcrdV1:scaffold_1234:80424:85325:-1 gene:Ccrd_011774 transcript:KVI09833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA large subunit methyltransferase E MSGGGTADFFYREAQRLGYVARSAFKLLQIQKQYKLITPGSSVLDLGCAPGAWLQVACQSLGPLKNGGVVVGIDLKKSNLTSSLYQEQKVKVPSSHCDSRVSTVCADVMNLPKDEVRKLSPQKKGFTVLLSDMCPLVSGITTRDAALSMELGMRALDLALGGDRSSDEPLDVEQQEDDVGVLQSGGHLIVKLLESEDTKELMKICKPVFRKSSWLRPKATRSNSREIYLICQDLH >KVI09835 pep supercontig:CcrdV1:scaffold_1234:121349:128177:1 gene:Ccrd_011776 transcript:KVI09835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase/oxidase MESSSSTMDRVNFRTQILTRHLQNHHIPPTDLIHTAPCVNYSPPELSEPLANFDTKSLRKLLDGKSIDVIDHMFNLMIQSNLFCPRERGGKVFVSPDFNQSMEQQREMTMKRIDYLREQGAFDGWFSRKGDDGELWRFALAETVSVFDHSLGIKLGVHFFLWGGAIRFMGTKRHHDKWFKPTETFEVRGIETVTRYDARTEEFIISTPCESAQKYWIGGAANHATHTVVFSQLEINGVNEGVHAFIAQIRDADGNICPNVRIADCGHKIGLNGVDNGRIWFDNLRIPRENLLNSVADVSPDGQYLTAIKDPDQRFAAFLAPLTSGRVTIAASSMNTAKVGLAIAIRYSLSRRAFSIRPNEPEVLLLDYPSHQRRLLPLLAKTYALSFASNYLKMIYVKRTPASIKTVHVVSSALKATENHVGHLKSEYDVQLTFEGDNNVLMQQISKALLAEFLAAKKRNKPVRGLGLEHLNKPAPIIPSQLTSSTLRTTQFQTDIFCLRERDLLHRFVKEVSEHQALGESKEHIFTVTYQLAEDLGRAFSDRLILQTFLDVEATVPAGSLKDVLGLMRSMYTTILIEEDASFLRYGYLSTDNAAVVRKEVMKLCSELRPYALSLVSSLGIPDAFLAPIAFNWVDANSWSSV >KVI09840 pep supercontig:CcrdV1:scaffold_1234:79056:79265:-1 gene:Ccrd_011773 transcript:KVI09840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGGYGSAEEGCRTPKRSGYRVPMKCPPAPKKKAVHLKQKKPPANGYFQSPDLEVFFAMARRREAYA >KVI09834 pep supercontig:CcrdV1:scaffold_1234:91236:93907:-1 gene:Ccrd_011775 transcript:KVI09834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MVMDGRWKEDYGVLSLNALKFNGSTTVLSDQKFLNAMSLNETFLDRNSTDHSPELPDASLTSSSSNNEHDPHEDFDYSDVVFKYINQMLMEEDIEEKTCMLQESAALQAAEKSFYDALMINEPPFCNVHSTVPLYDDDGKNEISIGGYNYFGGNSFISGTGLSCDVSDYNSPLVPTVTFNLDSQSISQSSYCSSSSNSCVIDGYVDSPVSIQSFSDLLGSSRSAMHFQNNSDKALTHLGTNHVFGENQREDVNTVVVKAEKEHESNSIREESRGKKNSYSKDLVEDGRISKQSAVYTEPTVRSKMFDDVLLCSGGNNHPQGNFVHNGVTRVQPKGNKGKGRGKKGVKKDVVDMRTLLSLCAQAVAANDQRNAIDLLKQIREHASPTGDGMQRLAHYFSAGLEARMAGSGTEIYKALLSRPISAVDVLKAYHLYLSCCPFLKIYNFFSNKTILHTAENKAKLHIVDFGILYGFQWPCFIHRLSTRPGGPPELRITGIDFPCPGFRPSQRVEETGSRLANYAETFNVPFKFKAIAQKWETITMEDLELDSDEILVVNCAYRFRNLLDETVMVDSPRNKVLNLIKKMKPDIFIQGVINGSYNAPFFITRFREALFFFSSLFDMIEANASRETQERMLIEKIFWGREAMNVIACEGGERIERPETYKQWQVRNMRAGFRQLPLNQEILKLAKERGKSCYHPDFGIDEDAGFLNLYLFSSMEGRSSDASLAGLSDYNVHLNLSGRHHFRNRPSKKEAGCCAYWNIDKRSKHPGSGVGYVYQLPLVQEVVKKRK >KVI09841 pep supercontig:CcrdV1:scaffold_1234:5446:6666:1 gene:Ccrd_011769 transcript:KVI09841 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1117 MSTVGSSSSSYWCYRCNRFIRVQTHLEDSSLTCPDCNGGFIEEIDSPNRLNGSVISSRFPAAAMYMVGNGQQSPGPSPSPPLLRRARRNAGERSPFNPVIVLRGPTNNGSSVEESGGGNEEAGTGGGFELYYDDGAGSGLRPLPASMSEFLLGSGFDRLLDQLAQMEGNGFGRIDNNPPASKAAIEAMPTIEIQENHVSTESHCAVCKEPFELGTEAKEMPCQHLYHSDCILPWLALRNSCPVCRHELPSENTDSGDLNRGPNEANNQSATEEEAVGLTIWRLPGGGFAVGRFSGGRRGGERELPVVFTEMDGGFNNNGAPRRISWASRGNVARERHGLRRVVRSLFSCLGGGCLGNGRRALASSSSSSSDDRASHRSRSLSSTVSNSSRRQRTALFDAHNEPRRW >KVH89338 pep supercontig:CcrdV1:scaffold_1235:69796:85399:-1 gene:Ccrd_008674 transcript:KVH89338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dil domain-containing protein MRMMEEGKRGCKAIGRGGLGDMVKLSVDHGVHALFDGTPVNIIVGSHVWAEDPEVAWIDGEVIDIKGNNVTIVTANQKTIKADISNIYPKDTEAPAAGVDDMTKLAYLHEPGVLYNLAARFSLNEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAPFGELSPHLFAIADDCYRAMINEHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKQGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDAKKFKLGDPRTFHYLNQTNCYEVANIDDGREYLETRNAMDVVGINQEEQDAIFRVVAAILHLGNVEFIKGKEFDSSQIKDDKSLYHLHTAAELLMSDPDHLSLCDEKALQQSLCERVIVTPDGNITKPLDPASAALSRDALAKTVYSRLFDWIVDKINNSIGQDPTAKSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYNSHKRFSKPKLSRTNFTINHYAGDVTYQADHFLDKNKDYVVAEHQALLNDSSCTFVANLFPPLEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNTVLKPGIFENDNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLERFGMLAPDVLDGSDEKSACVAICDRFGLKGYQIGKSKVFLRAGQMAELDARRTEVLATAARRIQRQIRTYLTRKEFIILRRATINMQKHWRARLARKLYDYLRKEAASIRIQKHTRGGAARISYKLLQGAAISIQTGFRAMAARDEYRRRRRNKAATIVQTQWRRFYALSAYKHQKKASLTLQCLWRSKVARKELRMLKMAARDAGALREAKDKLEKRVEELTWRLDFEKHLRVHVLYVQWRIIQNFVKEYNGINCMVDLEEAKGQEITKLQNALQEMQGRLDEAHNQILHEKEEAKIAIEQAPPVIKEVPVVDNTKVDELASHNHKLEDEILELKKRVEEFEQRYCDVENESKARLKELEESQVKISGLQDSIERLDANLSNLESENQVLRQQALVSSTNEELTEETEHLRSKIKELEWENELLRNQKMVVEQITTPEKVLLQLQSLDNGHETKDNFETPNMEQEKTKESDNTVSFLTKQKSLTDRQQIFHRGSIQLFRNDVSSWFWSSTLTCLWGHLFRRLKLIRHCISLKTQDKIKDLAYWLSTTSTLLFLLQSTIKASGSPYKSPHRNRASPTTLFGRMTQGFHASPMAMGISSGYSGMEGKPSAQCKIEAKYPALLFKQHLTACVEKLYGMIRDSLKKEISPFLNSCIQAPRSTRVRPTRGATRNILSNIVAKQQASSIHWQSIVNSLDNTLHILSENYVPSIITRKIFSQVFSFIDVQFFNSLLLRRECCSFSNGEYVKSGLHELEHWCKKATDQFSGSSWDELQHIRQAVGLLVSHQKTQKSMEEITNELCPVLSVPQIYRIGTMFWDDKYGTQGLSQEVIGKMRSLMAEDSISMPNNSFLLDVDSSIPFSLEEISQSFHDIDLSDIDPPPLLRQRSDFHFLLQQID >KVH89340 pep supercontig:CcrdV1:scaffold_1235:9342:29921:1 gene:Ccrd_008672 transcript:KVH89340 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor GTISIQSLRARFDSLQSSDEVAGVDEVAGVDVPKKSPVGQGEGQPFLDPSLMGFVGDSLIDVEKTKANGGSTVLNRGGSFFQGLDTDDFMNLFHGSDPVKLELNRLENELVDLIYFLIFCARSPAGYSFDFSADKDRELNEAQAEIKALRLSERLREKAVEEGDRGREMMXEGGSSSAAAEEAAGGGGGGGGRRKPSWREKENNRRRESRRKAIAANIFNGLRTQGNYNLPKHCDNNEVLKALCKEAGWVVLPDGTTFHFDSKSQGCKPSPSSIEIKCTSANTTPSSSQRPSPPSSSFRSPSXLDSKNPPSNNPFGFLSNSIPPSLPPLRISSSAPVTPPLSSPTSKFPKKNNLDWKISPNNTLPPSVCQFLHHXCPLARTGTXECDEPDWCTTGSFQRLRFQDCDPMMVNPNSPTFNLVNPNARVVATNDPIQEKGKGVKAWKENKAYNDQKKSSESESKPPAFVATTVAMLI >KVH89339 pep supercontig:CcrdV1:scaffold_1235:69796:83759:1 gene:Ccrd_008673 transcript:KVH89339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHRISAIESAKQGTNRSNEEFMNSLDVESTNTRAMAIINYGDIVALDIDHLSIDPCNFRILCPNVRSNDDVYWRSLESSDNTK >KVH89337 pep supercontig:CcrdV1:scaffold_1235:96880:105500:-1 gene:Ccrd_008675 transcript:KVH89337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDEVAVARGSVFFYGVGHMLNDITAACWFTYLLVWHGAGSILVAVSFSSVFGGCVPCIIFGNESSSLQTIGYSFFAAVFNVGWAATQVANLSLYAIAFSVFHIRPSKTTSDVENQYRWIAYSSIFIGCCFVVVFHIGTKEPRLITNVSQAFLAFYVINDLRMAQSSKALVRNYTNLLIDHEILLSFRLFSLPMKHDLQIPAMIYICSFIVSIILQELTWTGQRLKAFFSVGGVLWILCGSAIFFMPRSMKDVIYLVGEDVKGCAFVYGSLSFLDKMSCGIALWALESYQMYTCFSVSRYGLGLVPAICALAGVIVTCTMKLEKPRLKPLRVPLLE >KVH89336 pep supercontig:CcrdV1:scaffold_1235:111280:118332:-1 gene:Ccrd_008676 transcript:KVH89336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 FSNHRPKSRNRVHRCLEIFTVLIRRRSYTKTIMFTRVFGKPKQEANAVTTLDKLNEACLFIEANGSQLGKGKSSAVRKLLSSLFPLLCTHPYFSKNWNTIYVASLDMCPICFLQTLEMLEKKEKVLLKKASAEVEKAKDYTRAKNKRAAIQCLKRKKLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPTRPAPRQNTAEEDELAALQAEMAL >KVH96336 pep supercontig:CcrdV1:scaffold_1237:16170:16571:1 gene:Ccrd_001579 transcript:KVH96336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKYLGVAEGCSSSESGWTMYIVSPMHETNNNHHHHDVDDAIDEIHDGYHNGDSDDSMVSDASSGPSRQGILRGSSKRRSGLRRDQSKHAMNKDLIGKNQRQVEKLYERRQRAGKEEEYKNPNDNKARKTS >KVH96339 pep supercontig:CcrdV1:scaffold_1237:50912:51394:1 gene:Ccrd_001576 transcript:KVH96339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MTMSPQMPQFQCMAPEENPSAFSTFSIQDPISVLHMSNLFTNIPDYFSAYPSSLSNNSTSDEADDQQMDMINERKRRRMISNRESARRSRVRKQRQLNELLSQLVRLRTDNHSLVEQLNHLAESHKRAVEENAQLTEESTDIRRMLDEIQLANASTNMLP >KVH96337 pep supercontig:CcrdV1:scaffold_1237:26256:27127:-1 gene:Ccrd_001578 transcript:KVH96337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVSIQLLMDLIVAGISLMIGFGIFAFIASILCSAAFFHNVKAYSS >KVH96340 pep supercontig:CcrdV1:scaffold_1237:116007:116302:-1 gene:Ccrd_001575 transcript:KVH96340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAXDVSQRCKELGINALHIKLXATGGNKTKTPGPGAQSALRALAWSGMKIGRIGISFFTFFFLKQVLG >KVH96338 pep supercontig:CcrdV1:scaffold_1237:28054:28816:-1 gene:Ccrd_001577 transcript:KVH96338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQSGCQWDDVEHKINCEKQWYDDWCKGRDRATRLKAEDISQTCEVSSNNKNVFLCSSDSEGEQEADAKGSPNSSTTIKSKKRKKLSPRREIYKNKKSPSLQNTIDTRLDEFNFKFESICGQMMSQYAATANVLTVATKSDSLSGEKMQEVMNELLSIGISTGDIGKSLEICYNEPAKVKVLFTLPTHIRRSYVLGFLYPINE >KVH96701 pep supercontig:CcrdV1:scaffold_1238:38513:39088:1 gene:Ccrd_001207 transcript:KVH96701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQTDMKLKLPEMNTNHPSTDDNECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFVTGEANDRCQREQRKTITAEDVLWAMSKLGFDDYIEPLTVYLHRYREFDGGERGSIRGEPLVKRAADPGPYGFMPAAFHHHNGFFGPASIGGFFKDPSVAGPSGPTAVAGFEPYAQCKE >KVH96702 pep supercontig:CcrdV1:scaffold_1238:48696:52536:-1 gene:Ccrd_001209 transcript:KVH96702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEVFTGDHGLPSDVVLYPDTHLSPFTLPNADTFSDQHKIPTDHHHFLPPQPPQKLRPIRCNGRSFSECCSSDDPLDVKPLSWPPETGFYNTETDDSGFVPPKASTLGLSFEECQTEAALSSSSDEGTKLQEAIKEPITKKRKRKSRKKLELFIESMMKTVMEKQEEMHKQLIEILDKKESERIMREEAWKQQEIERAKRDEKARAQEISRSLSLISFIQNSLGQEIQIPNPFNASNPNKQDENQNEESFKCDTGNDNNEESECDSNIRRWPKSEVQALITVRAALNQKFNHKGPKGSIWEEVAAGLGRMGYNRTPKKCKEKWENINKYYRRTMDKGKESGGRSTQAWSYFSELEMLYKNGFISGNSHDEDQNTKEMH >KVH96703 pep supercontig:CcrdV1:scaffold_1238:39568:45298:-1 gene:Ccrd_001208 transcript:KVH96703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLNNLITSGLFHIVRIPRTNAMTLDQNHAVNQSNHGGDHSSPPPPQPLSKNAQKKLLKQQRFEAKKAEKKAAIKEEKKRQAERKRKEWEEKLSSLPQEERLKMIESRKGMRKERMDQRTEERESKALRLSEAKKHGQNIVVDLEFSDLMAPNEIHSLVNQIMYCYAVNGRSMVPCHLWLTGYEGEIESQFQKIPGFDKWIIEKHNGPYINTFESEKEHLVYLTADSETMLSELDPKKIYIVGGLVDRNRWKGITMKKAVEQGIETAKLPIGNYLKMSGSQVQVITVLTVNQVIEILVKFLETKDWKASFFHVIPQRKRGEXEAQGSQEEAQVDENFDQEIKRQCIENTDSL >KVH96700 pep supercontig:CcrdV1:scaffold_1238:77418:93702:-1 gene:Ccrd_001211 transcript:KVH96700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MAEQTLGIMSSVGGGFGNGGIWGMRPFDSQNGDTVRRRNSPNSSGSSEASGQAGGWFPVKQAATAATLALTGDTIAQLRERWVKSKTLYNQQPSDSHEIVAFGKLHELCCALICPFGCTIVIIGTNTFRSLRANTELLAVVSFGTMHGDDSCQGERSVVGGGVDNSERALVRREEIENDEKKNRGDREETLGSGLALSERRYWKDETWTLLDHNWLRALRMTSYGFLLYGPGSYVWYQYLDRCMPKQTAQNVLIKVVLNQIVLGPSVIAVVFAWNNLWQGKLSELPNKYKKDALRTLLFGFRFWIPVSVVNFWAIPLQARVGFMSMNSIFWNFYLSSTLNNHIDMQHSIDTGYDMSNNSRHYVTNGDRPHPSTLNERVDVDPSW >KVH96699 pep supercontig:CcrdV1:scaffold_1238:60499:76766:1 gene:Ccrd_001210 transcript:KVH96699 gene_biotype:protein_coding transcript_biotype:protein_coding description:3Fe-4S ferredoxin MALKIPSHHLFCFHSLKPHKLLPSFLLRSPHSFSNSLGTPSIMAQLLSPVCTDPIQFQNGLSTLCPRSNRCRMLATNNSVRWNGIGHGGRRRRGFSRVKVATDPFPSSSGALADDYYAVLGLLPDATPEQIKKAYYNCMKSCHPDLSGNDPETTNFCIFINEVYEVLSDPAQRMVYDEIHGYALTAINPFLDDTCPRDHVFVDEFSCIGCKNCANVASDVFSIEEDFGRARACNQHGNPDLVQQAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLAGMGSSADVFRMASTRWEKRQNKVLEQAKIRMMKSKGSNDKAEPYWSDLWGNGKNRQSTEEEVKERASRAAAAARRWREYSRRGWNV >KVD98118 pep supercontig:CcrdV1:scaffold_12386:256:1511:1 gene:Ccrd_024262 transcript:KVD98118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular solute-binding protein, family 3 MQLKIGVPNRVSFQEFVGQVKHTDVFKGYCIDVFTSALNLLPYVVPYKFQSFGDGLQNPSNIKLVYDAAVGDIAITTNRTRLADFSQPFIESGLVVVAPVRRSNSSTWAFLRPFSPLMWCVSGLFFLALGAVVWTLEHRINDEFRGPPKKQIVTIICFSTLFSSYKSSFALFRNDQCVILLDLGENTLSTLVRIVVILWLFVVLIINSSYTASLTSILTVQKLSSPIKGIDGLMASKDPIGYQENSFV >KVH96836 pep supercontig:CcrdV1:scaffold_1239:55360:59045:-1 gene:Ccrd_001072 transcript:KVH96836 gene_biotype:protein_coding transcript_biotype:protein_coding description:3(2),5-bisphosphate nucleotidase HAL2 MSVFPSNLGTKTPQPFFFNNNFLKTHLKLFVSFHNRNYPKSRNPILCLPNSNKKPLFSDEMEKNSNWVAAIESAPDGKYDMELEVAVKAVHVACLLCQKVQENLIFNGNEDVQAKDDNSPVTVADWSVQATVSWILSQAFGAQNVSVVAEEDIEALSKSNAISLLSSVVKTVNDSLSAASIFGIEPPVTALETTQVLEAISRCNSTGGPTGRFWVLDPVDGTLGFVHGDQYAIALALVENGEVVLGVLGCPNYPMNKEWLDYQNGYRRILSRLTKSSSESWGGKGCVLYAKKGSKKAWMQPLVSGDKGWVWPNSARPIKVSAIDNPILATFCEPVEKANSSHSFTQGLAHSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVVTDAGGRPLDFSKGIYLQGLDRGIIACAGSSLHEKIMRAVDASWNSSSL >KVH96837 pep supercontig:CcrdV1:scaffold_1239:36169:40868:-1 gene:Ccrd_001070 transcript:KVH96837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAYQQMSAFKSCNSWANDAAFRFVRPNPSTTCNRRARWISPKAAVIPNFHLPMRSFEVKNRTSTDEIKSLRLITAIKTPYLPDGRFDLEAYDALVNMQIEGGADGVIVGGTTGEGQLMSWDEHIMLIGHTINCFGMSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGMVSHFKCVLPMGPTIIYNVPSRTGQDIPPSVIQSLAESTNLAGVKECMGHDRIKTYRKTGITVWSGNDDECHDSKWDHGATGVISVASNLVPGLMRELMFEGKNPSLNAKLLPLIKWLFCEPNPIGVNTALAQLGVVRPIFRLPYAPLPLAKRMQFVDIVGAIGRENFIGEKDVEVLDDNDFILVGRY >KVH96839 pep supercontig:CcrdV1:scaffold_1239:18336:27852:1 gene:Ccrd_001069 transcript:KVH96839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF707 MKPFMGVICTIMLYIVYRTTNYQYLQTELDSKLFRFYTSKESVSASTSLKGLPRGIIEAKSDLELKPLWSTSSSKLKANISTPHNLLAIPVGLKQKRNVNTIVQKFLRANFTIILFHYDGRLDGWRDLEWSNKAIHVVAQNQTKWWFAKRFLHPAAVSIYDYIFLWDEDLGVQHFNPRRYGELLHVCRVFYICRYLDIVKAEGLEISQPALDPNSTGIHHRITVRKRTNKFHRFVEGMAPVFSRAAWHCAWHLIQKSQLGKADFVADTHLLPSFFLREAKNDLVHGWGMDIKLGYCAQGDRSKKVGIVDSEYVVHQGIQSLGGPSAKKIPNDSDLTKTHAVDTRAEIRRQSTMELKMFKQRWEKAAKEDRNWVDPFFRRKRRYKQRPIGSVDS >KVH96838 pep supercontig:CcrdV1:scaffold_1239:40702:54568:1 gene:Ccrd_001071 transcript:KVH96838 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP-like protein MVMRVVTVVGLRRMWAARWWNIAVRIDDEWSQTGTLEFITLQFVPFEYLFEALFYLKFQALFGHCVHTQFTDPPIVYLIFSRHNLVLMAGRFDPNPFDDGDEVNPFASVPSAPNSRLSPLPHEPADFYNRNDSVDIPLDSAADLKKKERELQAREADLRRREEIVKRKEEAAARAGIVLEEKNWPPFFPIIHHDIPSEIPIHLQKLQYVAFTTYLGMWLVFCLFWNIIATTTAWIKGEVAHRLCNLCRSGSSRCLQRKISCVKVSYQPLISWVIKPWLGGRAADMRRDAV >KVD98117 pep supercontig:CcrdV1:scaffold_12397:109:657:1 gene:Ccrd_024263 transcript:KVD98117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type LNAQPSVEYVDQVVILNTPFTYGHVHPLVTIDQQPVYSYTQILQVPDRRPENIFLDTYGTTSDLPPPIHPFEAYLENTSLRIEQEESLVLEEAVNNSIITGSGLSKEAITHHIQVKTAQENTGCNCKGEEESDICAICLDGYDEYKKMARLDCGHGYHAQCIKTWLLRKNVCPMCKATALKI >KVI05790 pep supercontig:CcrdV1:scaffold_124:119767:120225:-1 gene:Ccrd_015833 transcript:KVI05790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MMMMMIMIAAYVSSITTPIKSWLLKHSSTPPHAHHNMIVKELTVNSSRIDCIVCLSEVVLGERLAMLERCGHGYHVECLKAWLKEHPNCPLCRTPVSVFGSHDQDNHNLYLKKFYDMVSRYGTSALETTVDWLTSYFTQGLQSTLSESCSYL >KVI05786 pep supercontig:CcrdV1:scaffold_124:133475:141904:-1 gene:Ccrd_015837 transcript:KVI05786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAMKVNRTGGGMGCQLIGLGLQCTISSPVAVAVAVCITHFPQHKVNFEPITNSPAFTSFWCFLNQRYSPQMREVTPLLFIFLLLAPTFINGDTDPADDSDLMIDFLCAASALRVMYQSLNSPGQLTKWSSNAGDPCGENWKGVTCSGSRVTEMYAAFNSDVHIHVFQSYILQIFNLIFLYHFSNLSSLGLSGGIGYQLTSLTSLTTFDISNNNLGNQLPYNLPPNLQRLNIAGCGFTGTLPYSISLMTSLKYLNAARNQINGELSDMFGKLSALTTLDLSFNSFTGDLPESLSSLSSVTDMYLQNNQFTGTIDVLADLPLKNLYVANNKFTGWVPSRLKNINLQKDGNSWNSGPAPPPPPGTPASGGGSRNRQPSGNRSPSTDNSNDGGKKSGVSGGAIAGIVISILVVGAVIAFFLLKKRSRKSPADIEKTENQPFTPLASQPQGVQEMKSVQASAMANTKAFEIPSAINLKPPPMERHKSFDDDDFSAKPVVPKKVSVAPTNAISYSIADLQIATDSFSADNLIGEGSTGRVFRAQFEDGKVVAVKKINSSALPGHLSEDFIDIVSDVSRLRHPNVTELVGYCSEHGQHLLVFEFLKNGSLYDFLHLTDEYSKPLTWNSRVKIALGAARALEYLHEVCSPSVVHKSIKSANILLDSELNPHLSDCGLASLVPDADQELDNNGGSGYSAPEVSMSGQYTIKSDVYGFGVTMLELLTGRKPFDSSRTRSEQSLVRWATPQLHDIDALVKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTVGNDQVSSLRPEEYEEP >KVI05806 pep supercontig:CcrdV1:scaffold_124:9641:10750:-1 gene:Ccrd_015819 transcript:KVI05806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MDGPALSFQFSYKKCFWFLLLIIGLFILISVFFSLIIIFVLKPRRPIFSFQTVNIASYKFDVSESSTLFLSLVASVTLIAENPNRIGLKYDFSRLQILKDGLVIGLIRTPEFYQPARSHNVSVEMNLVFQCLDITTIMSGVDTANFSIKVLGDIGVRLRVLQIKLPKMKAHFDANSQALWKKCSI >KVI05802 pep supercontig:CcrdV1:scaffold_124:344949:363921:1 gene:Ccrd_015864 transcript:KVI05802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDKHFPNSYRKGRNYDWLSDSGAANKIQDLILEAMACVYIPVQNSEEEVRVALDQLPRDATDILDILKAEQAPLDLWLIIAREYFKQGKIEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGNHNELFWHWIVSQLLLAKGDVDQAFAAFKIVLDGDRDNIPALLGQACVEFNRGKYSSSLEMYKLDPENVEALVALGIVDLQSNEASGIRGATEKMQRAFDVYPYCATALNYLANHFFFTGQHFLVEQLTETALAATTHGSTRAHSYYNLARSYHSKGDYEKAGLYYMASAVAHIYLQLNQTTKAHEALRKAIRIDPRDPEAFLDLGELLISTDSGAALDAFKTARNLLKKANEEVSIEILNNIGVLHFERGEFELAQQTFKEALGDGIWLKLIDSEPQSNSIGESYSDPIRKALYQPMESSLAARVYKDMQLFHNLEKDGIAVELPWDKITTLSNLARLFEQLHKTETASILYRLILFKFPEYVDAYLRLAAIAKARNNVPLSIELIRDALEVDDKNPDALCMLGDLELKNDDWVKAKDTFRAAKDASNGKDSYATLCLGNWNYFAAVRSEKRAPKLEATHLEKAKELYTKHDKGILSNHGSPIAWHMRSLRCSVFTKWHKFRASFSLEDKVSDVINNGEWRWPQAWLDSQPTLTYVIVPTLLEIPRPDSPDMANWSRSQSEMFGGSSWWKAQKSCTPMVLVEHPANMYAANGAGVVLAEKGQFDVAKELFTQVQEAASGSVFVQMPDVWINLAHVHFAQGNFALAIKMYQNCLRKFYYNTDSQILLYLARTHYEAEQWQDCKKTLLKAIHLAPSNYTLRFDTGVALQKFSASTLQKTKRTVDEVRATVAELKNAVRLFSQLSAASNLQFHGFDEKKIETHVGYCKHLLEAAKVHCDAAELEDQQNKHRQELARQAAIREEESRLAEEQKKVKLEKRKQEDELKKVMQQEQHLERIKEHWKSSSGSKRKDRAQAEDEEGAQGERRRRKSNKKRKRDKKAETHMDDQEETEEDNTNTNYYEPENQVNDQVDDDDDNPQDLLAAAGLEDSDLDDDAAAPSSNTGRRIRGWSESDEDEPEKRQRSSSPVRDNSVEMQLSDGE >KVI05815 pep supercontig:CcrdV1:scaffold_124:202405:205432:1 gene:Ccrd_015845 transcript:KVI05815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MLQIHHHLLLLVFFINFMFIVSAGTLKIGVGVVLDMDSSVGKTSSLCIKMAIDDFYQTHHNYTTKIIPHIHHSESDTLQAASAAIQLLKYDQVIAIIGPHTSSQADFVVDIGNKSKVPILSLATSTSISPIENPYFIRVSHNSSSQAQPIAALIKHFTWREVVFVYEQSDFGRGLLPYLSEALLNIGAHIKYRSVISPSPSHAEISEELNKLKENQARVFVVHLSPKSASLFFKKANETGMMQKGYVWIITDVLTSLLHTLDISSMQGVVGVKPYIPKSIKLNNFEKRWRRRFHTENPNLEMERIELDVFGIWSYDATYALAMALERVGSDIAPTFHRKNNPVTDLDAIGTSKLGPRLLSEIGKTRLNGLSGDFSVVDGQLQPLDYQIVSVIEKEERFVGLWTWHHGISENLRDIKWPGNSNSIPQGLRVGYPSNGVFPQFISNSKDNNTNEDKPTGFCVEVFEAVMEALQPQAPPYGYNHYTIDDGHTSRSYDDLVYQIFLKKFDMVIGDVTIRANRSNYVDFTLPYTESGVSMIVPIKVDDRKGIWIFLKPLEKGLWLTSFAFFIYTGVVVWILEHRVNKEFRGRPYKQVGMIFWFSFSTLVFAHKEKTISNLSRFVVIVWIFVVIVLQSSYTAGLTSMLTVQQLQPDFTDIHDLLAQGDYVGYKDGSFVGRMLLDMGFNINNLKKYTSLEDYHEALSKGSKNGGVSAIFEELPYVNAFMAKYCTKYIKVGPTYKTAGFGFAFPLGSPLVPLVSRAILEVREKKLSKIWDIYFKPEKECTPKNETAVTPDRLSLDSFIGLFLIAGISSTSALMISILKFLYENRGILASEDSICQKMYAMARTFDQENDQSSYKGSKKTIDALGTTDDDDLSVIEDDITSNAPSPESIINHEAPDIVETTIER >KVI05834 pep supercontig:CcrdV1:scaffold_124:286179:297722:-1 gene:Ccrd_015859 transcript:KVI05834 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, alpha/beta subunit, N-terminal MPSAYGARLTTFEDSEKESECGYVRKVSGPVVIADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDILWEFQPKKKGEGDLITGGDLYATVFENSLVEHHIALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERNGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEKFDSDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERGAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEDVLIGKFKKLNEDLSAGFRNLEDETRSMVDNNIIVSFPNLELDDPFGKLPDHLLIEIFIRVPVVEWGLVSCVSRQWADLFQQECLWHAALIRKFPLAAQAKRWPGPIPRGLSKRRFAALYISKHLFSLDGEMDEIVGHTYLYLKDQLEITNMPASSGILHGTIIDQFIACGMPKEKAHDLASEIWLTVIENLEESEETFVLLKRLAVEGDAFLPFPYSRSYKVLWKVFDKLLTDLRDCFTRMEEYYDILGCAKHKFQPIPSTWLGY >KVI05826 pep supercontig:CcrdV1:scaffold_124:57468:61028:1 gene:Ccrd_015825 transcript:KVI05826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MDLKTSNHHPHPHPQPQGVEARKEDPFDDRFPDNNLCNLTSLKETSNLVKLFPMARKDGAVTKSNVVEAPPTPGRPVFSFSVGSRKNFPSKWDDAEKWLISGPDSPAHHHLHGFVKSPDFVSKQSSNNAGSNGLIMKQGNHHKTEVSSEEKVSKAIYGFQVPTTVSMEQDHHHHNSARPFNGVSASDSSDVLLKDKFTNGSEANVSRFKCLVPINQGFLFSHLQENSMKDASTEVVHEVKHRETGTNMTPDGSSTTSRCPTPFNCLSPPRHNTPANMSGPLTLLNPSSSFDIADLQECHLAKLQLETPFDSVTCNWSSREEEEEDISKSLRHFEMSNECPKSIPEPRACAWDEEGKTKSHLRYQREEAKIQAWVNLQNAKAEAQSRKLEVKDHFLNHRSVLIALSPSPLLALKHLTLLSFQCKIMDITCKTAAKVKIQKMRSKFEEKMMRRMASVHRKAEELRAAAQREHNVEIQKINMGTEKMMNVHESMHFSGYGRSCGCFPCSNLHP >KVI05804 pep supercontig:CcrdV1:scaffold_124:372212:388259:1 gene:Ccrd_015866 transcript:KVI05804 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH domain-containing protein MGRGSSTPKIETARGGDGVIEGEIVGNFDMRRNFTTADTGGSSGGECSSCSSHFVSLSDGASMPFVDLQLQYSLAASTLTFYGQFLYCLVSQDSAITESLVMNAEEKLVQPDIIKEQDAAISYSRDSPSHSGTFNVAGDLTVYPPNICAPLAHTMYYKGLFQLFFSCFENASGKWDEYSPFINTETLDTAGSPVNNSLVFHTGYGYNSQMPYGPYSPVTTPLPSVGGDAQLYSTQQYTFPGSPYYQHPGPPTLPYITSPTPVSQPELNSLVSSEHVDGVLYGPRPGYSSPLGSLGRGNFSVNPSGRLGFQDSQQNMDALRTRGLWSDWPGQSDRQRSFVPVSPSVSPQAISSFGSFQQNFGSTSQQPPSFYGFGSGASSYDSGYLNSGLNPGLGFGNSSISNIGTDGRGWASLDNGRRRGRGNGPLCSCSGTLDILSEQNRGPRASRPKGLLASENNPPAGGNKSTLSYLKTIDASYNLPDCVSDSEYSDAKFFIIKSYSEDNVHKSIKYSIWASTSNGNRKLDAAYREAKEKQSAVRIFLFFSVNASGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQQKYETDMSILDDFEFYEERQKAMQERKARQVGNGTENKNVVNDFIRQMSKSFSEVVRLDENDRGGAALDASMSKAITAAETN >KVI05796 pep supercontig:CcrdV1:scaffold_124:400019:401179:1 gene:Ccrd_015868 transcript:KVI05796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGEEETRSPPDVEIQERGEIYFLYRPKVEKEEAHGSDDVQRMYIILSPHDSIQHQEATTQEVKHDPLLRLIVMGRKRLPDPTQKGTPFWGFVELVTTKIQDVKNALKGEEYDTKTRGHRHKPPARALGEGVYRILKHHIRPEDKKKMHTHLIYKLQFPAEDDDQNQPQEALNVEREASFLIQIKNPIINRDVKRKQATFPAHLQAQFGKLRFHAADPPEFLNYEGCEFLLISASDDIQQELGLELKLPNELDDSCSHLLNTFGELASTDALFRGIWV >KVI05835 pep supercontig:CcrdV1:scaffold_124:281151:281648:1 gene:Ccrd_015858 transcript:KVI05835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPKSLLFLFLFLFFPSDSLQNVNTEDQQPHPYWLKMKALFNHATSYLFPPNLEGRISNDEPVIESEGGSGSKVKEAVAKSLDKGTATVEESAKSAAEKMHQTAQKLKNAFSSHHHQPPQEL >KVI05797 pep supercontig:CcrdV1:scaffold_124:417519:424276:1 gene:Ccrd_015869 transcript:KVI05797 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAESSFEIEFSSTYHHRRRPRHTTPALSHHQSPAFSFSSSHTSPYPTSRRTHVPPTTPFASENDRSWQSEISWQFEPTRNNRGSNLGAALTPWAEPIPSVPPTPGSRVFRRSANDYFLSRTTAGGGGGGSGSGVGGNFRSFTNPSFEQSSYSRVPLGRIELEGYVAKSNRNENHHRQTSPTKSAIFANSTDRKKGHLAGNNELSVTDDDLENETSSKHNRDGSLGALINGGSLPWYSFLFGKFVNKIALDDDNDQMMKDVERICVLMVALSGLVVIGAYLPEQAISSIRTVFSFVAEQKLADRYDMLLEESVPVGKKLGFAKGLGIGVIYLVTYATWALAFWYGSILVSRNELSGGTVAASRVFEVIDRIPAIDPYSTMGRRLSGGHGKVEFKNVSFAYPSRPTLPILNSLNLVVPSQRTLALVGASGAGKSTVFALLERFYDPAEVKWLRSQMSMVGQEPVLFANTILENIMMGKENATKKEAIAACVAANAHKFICNLPEGYETQVGDRGTQLSGGQKQRIALARAMIQDPKILLLDEPTSALDPESETMVQQAIDKISKNRTTMVIAHRLATVRNADRIVVLEHGSVIESGNHQQLIERKGAYFALIMLASEAVSSEPVSENGEKTERKHETSSAQDLLKSNHVHEISRSEYMKSVHEENDQVETQKPMKPSTYMISDVWKLQKPEGSMLFIGIVLGMLAGAILSIFPFILGEALNFYFNPDKKKLKTDVGNLCLVLVGLGVGIILAMTGQQGFCGWAGTNLTRRVRNLLFQSILKQEPGWFDSDENSTGILVSRLSIDCISFRSVLADRYSVLFMGLSSAAVGLGISFYLQWRLALLATLLTPFTLGASYFNLIINIGPKLDNGSYDNATRIASGAVSNIRTVATFATQEKIVKSFEQSLSNPKATSVKRSQITGLALGLSQGAMYAAYTVILLFGAYLVKRKLGDTTFGDVYKIFLILVLSSFSVGQLAGLAPDTSTASSAIPAVFWIINRTPLIRGKGRKIESSKLFDVEFKMITFSYPSRPHVIVLRDFSLKVKGGTMVAVVGGSGSGKSTLIWLTQRFYDPIKGKVLMGRIDLRELDLKWVRSQTALVGQEPALFAGSIQENIGFGNPKASFAEIEEAAKEAYIHNFICGLPEGYETEVGQSGVQLSGGQKQRIAIARAIVKRSKVLLLDEASSALDLESEKHVQEALRKITKRTTTIVVAHRLSTIREANLIAVVQDGKLTEYGSHDRLMTSHHDGVYANLVRAETEANAFA >KVI05823 pep supercontig:CcrdV1:scaffold_124:467138:468334:-1 gene:Ccrd_015875 transcript:KVI05823 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO/COL/TOC1, conserved site-containing protein MSSTPKHSGTVTGTGTGTGTGNGKPPVEKSKFAQTCNRLSLFLKEKGNLRDLSLGINAKFDAPGTSEISPVITPAETMTVDLLSHIEYPGQKASSQAEKSVNVLLPQYVNLDSSKLLETSTNKASSSKSVTEPKTAQMTIFYGGQVLVLDDVPADRARDLMLAAQNGAPDRKNEKRVELASTSESPADVPGSQEPIHAELQTKGSDLPIARRASLHKFLAKRKDRAAVRAPYQVHNLSPGGSSSGNEHSFDLNL >KVI05794 pep supercontig:CcrdV1:scaffold_124:150717:156952:1 gene:Ccrd_015839 transcript:KVI05794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MEGIFLTVADEVPTTKNAKTNPKIELQLLLHCNLQFAIRSSNPDPLFSCYHKFCQIAQRAVTVSSRDGRNLGHMDVLAFGHSDAMGHRLQFPGVRSLITRTKKNVSPLKVVCVDYPRPELENTSNFLEAAYLSSTFRTSPRPAKPLEIVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPDVLPAPLNGKKFNDLKQDCQRMLLLKGLTMHTISGIWAILRNNEMLTWPEKVKFAIGLLPAMLGGQAYVEAQDGLSVKDWMRKQGIPDRVTTEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGSPPERLCMPVVEHIESLGGVVRLNSRIQKIELNKDGTVRNFLLNDGNIIQGDAYVFATPVDILKLLLPEDWKPIPYFKKLEKLVGVPVINVHIWFDRKLRNTYDHLLFSRSNLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWISRSDSDIIDATMSELSRLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPDCEPCRPLQRSPIQGFYLAGDYTKQKYLASMEGAVLSGKFCAQAIVQDCELLAARGEVLAEASLV >KVI05791 pep supercontig:CcrdV1:scaffold_124:114055:114900:-1 gene:Ccrd_015832 transcript:KVI05791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTTYHESNVVELAGKILIVSILVASVVVVFVFFVHLYAKWFWYRREEERDVANTHRRRRRGDFTAGHLEQQSGVTVLRRGLDASFLKTIPVIIFDPKDFKEGFECTVCLSELGQGEKARILPKCSHGFHMECIDMWFHSHSTCPICRNPVSHQTEISVENLLESRQTQEESTENGDSREFPTNILFWGDETEVSTLTSQLEEANNHHQTAILTSETSSSSQPNRPDLVIDIPRLIDEDEGQKTPVSSRMRSFRRILSGSRMFINPFSPASTSVEQGSRGHR >KVI05843 pep supercontig:CcrdV1:scaffold_124:238814:251653:-1 gene:Ccrd_015852 transcript:KVI05843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, N-terminal autoinhibitory domain-containing protein MTTSGTSPSSSRPPPDIESGVVPITDPDDDFDDPFDITTTKNASTDSLRRWRQAALVLNASRRFRYTLDLRKNEDQEKRRRMIRSHAQVIRAALLFKLAGERAIGICSLNFPYDIIPRSEVKGLSEMLKTDLDRGVPGDDCELSDRRNAFGSNTYPVKEGRSFLVCYIFNATNFLICSCSYVMAMLSAEGLEEGWYDGGSITFAVLLVIFVTVILRLAVFYLRWGILNHRAFKQITRGGRREKVSIYDVVVGDVIPLKIGDQVPADGLLIKGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGTGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVALLVLAVLMARFFTGNSKNRDGTVQFVRGQTSVSEAVDGVIKIFTAAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALMTVVEAYVGQKKIDPPEDGLQYHSTVASLLNEGIAQNTSGSVFSSKDGACIEVSGSPTEKALLQWGVKVIYTICIFSIHFHADSQVHIHWKGAAEIVLASCKEYLDTNGSLQPTDKDMEYLKKAIEEMAARSLRCVAIAYRNFEIDEIPVEEEQLAEWNLPEDDLILLAIVGIKDPCRPGVKNAVKICTDAGVKVRMVTGDNIQTAKAIAIECGILSSGEDATEPNIIEGKTFREYSEKEREHTSKQISVMGRSSPSDKLLLVQTLRKLGEVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLVTNIMWRNLIIQALYQVAVLLVLNFRGTAILNLKNDERGNAVKNTLIFNGFVLCQIFNEFNARKPDQMNVFSGVTKNYLFMGIVGTTLILQVRHVTISSLFNSLGSSHQQLDLIGVYGLSQLLLAFSGWFFDVHYSIRSANQSWPLAIVGKLIPVPQTPLARVFSKPYQRCIASRHR >KVI05805 pep supercontig:CcrdV1:scaffold_124:390000:398602:1 gene:Ccrd_015867 transcript:KVI05805 gene_biotype:protein_coding transcript_biotype:protein_coding description:START domain-containing protein MEKMWTSKLLLSQSSSSLFWREIGSGWVTYTLAVVTLIFVWQFARFQILPRLSILFRNSSFGSAGQLNNPTVSVLPSSQQHRISDVITDLDLKVLMDSLDETVHGNDKWENVVDRRNNSLSYYVKCCKPKDGGPPKYLSTTTFNLCSSETLRDFYMDNFYRKEWDKTLIDHEQLQVDESNGTEIGRTIKKFPLLTPREYILAWRLWEGRDRTFYCYSKECDHPLAPRQKKYVRVGLLRSGWRIREGKFLLYPTRFSLMFSFSFFLPLFCYFLYSVEVSGRNSCEIKMVHQEDAGLNVEMAKMIFAKGIWSYVCKMDNALRKYSAIRRIQLTSVVSAITLVQKVPLALDCCTSKIGDMGDDPEEYGSEKKVRRKPSKKLIANGLILVGGVICVARGHPNFSAKVAMAFVLSRLTKHRQIKEPSITIH >KVI05841 pep supercontig:CcrdV1:scaffold_124:225526:233757:-1 gene:Ccrd_015850 transcript:KVI05841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MAPSKLYLFLLLSLFFALIFTPSQANSDVEQVVVGSDNSDSFRIELDKLTSKIHSLESLIDERNQELKSKDETIAQKEKTIAEKDKTVAEKSDSITSLQSEVAKLEVKVSSDANAQAKKAQARARELEKQVEKLQMEMELKNSLREALETRTKELEKKMLDLNPKLQDLQQVVDEHKTKLHKTERALKIAEEELKKAKFEATSKIKELTEVHSAWLPPWLAARLVGFQGYAESQWKEHGKPAFESFMQKVALEKKAQAEKWVEPHMQTIKTKWVPAAREQWALVITNVEPHMQSLTKKTKEVYVQSKDALAPHMIKIKEVVDPHFQSAKKVCKPYIDDIATATKPHLDKAWETVAPYTNEAVQAYGRFLESATKYHHQVQGIVEESLKKHEIFKAFATKELVWFAASALLALPIIILFKTLSAIFLNMEAIIHTRDLLNTTLHQSTQLDSAINKTTANVTRINRTFLSLESRIKNMASKCAIFAICDHVDRALPPLSAVFNIYQLVDELGSLLSAAHSSSDLNLYLSLLTRFRQALTLLTNTCKLAILWVQDVKQFLDNTDINVFLADDDLYHSNVCKTLLLLEELQATEDHSLLDQGILSVAFQQLEHEFTNLLIQNTVPLQVPSSLFSSGDEEGDVADPSPEALPLYVVHSLKAIVACFACDSQLHRCMSIYIKVRTTIVQTSLQGLDLDYLGMSLSEFDSVQEIEGYIDEWGRHLEFVVKHLLELEYRLCDQVFGHNVQPDVWADCFSKIALQSGIQRFIKFGNTITKGKKEAIKLFKLLDVFAALNNLRQDFNRIFGGKPCSEIQTQTRNLIKKVVNGTYEIFWELSAQVELQRLTDPPADGAVPRLMTTILERELGKDSSPVEQQ >KVI05811 pep supercontig:CcrdV1:scaffold_124:166453:175064:-1 gene:Ccrd_015841 transcript:KVI05811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/proton exchanger MEALTENIDLESDEGSPLTLSHSTNAKQSFQFETSHTSGDRLSVLRELQLTAFHSIYIVLFKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLLGIAPLAERLGYATEQLAFYTGQTVGGLLNATFGNATEMIISLYALKSGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYPKVQVFSKATAIVSSGLLLMAVMGILFPAVLHFTHTEVHFGKSELALSRFSSCIMLVAYGSYLFFQLKSHSNLYDSIDEGRENNGEDSDGEVPEITKWEAISWLTIITLWVSVLSAYLVDAIQGASDSWNIPVSFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQPMDLNFQLFETATLFITVLVIAFLLQEGTSNYFKGLMLILCYLIVAASFFVHVDNKSDDD >KVI05808 pep supercontig:CcrdV1:scaffold_124:175939:179655:-1 gene:Ccrd_015842 transcript:KVI05808 gene_biotype:protein_coding transcript_biotype:protein_coding description:WLM-like protein MNLNDLNKVWEVKPLKKPREDEAREILEKVARQVQPIMRKRKWKVKILSEFCPSNPALLGLNVNGGAEVKLRLRRQNNEWDFFPYEQILDTMLHELCHNEFGPHNSDFYNLLEEIRKECEELMAKGITGSGGGFDLPGRRLGGFSRQPPLSSLRQKALSAAENRVQRGTLLPSGPKRLGGDSSLRASLSPIQAAAMAAERRLHDDLWCASQVEEVGQTSVSQDVSSNEALPPILALALTCIACGTERMEEKKANTKTWSCKFCTLRNLAAKERCEACGEWRYSYGPPTTSRMLGT >KVI05842 pep supercontig:CcrdV1:scaffold_124:252117:259797:-1 gene:Ccrd_015853 transcript:KVI05842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 47 MARSRSSSSSTTWRYINPAYYLKRPKRLALLFIAFVSVSFVFWDRQTLVREHEEELWKLKEEVLQLQNQLEELKHDDIPVHKTNLTTMNIDDLPIDPIEIQRREKVKDAMIHAWTSYEKYAWGHDELQSKPLKAVFFGQPQSKNGVDSFGGLGATLIDSLDTLYIMGLHEQFQKAREWVVTSLDFNKNYDASVFETTIRVVGGLLSAYDLSGDNVFLEKAKDIADRLLPAWDTPSGIPYNIINLVNGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQQKVFVENVIRELNKTFPADGLLPIYINPHKGTTSYSTITFGAMGDSFYEYLLKVWIQGNKTAAVKHYREMWETSMKGLLSLVRRTEPSSFAYISEKIGSSLIDKMDELACFAPGMIALGSSGYGPGESSKFLSLAEELAWTCYNFYQSTPTKLAGENYFFHSGQDMTVGTSWNILRPETVESLFYLWRLTGNKTYQDWGWNIFQAFEKNSRVEAGYVGLKDVSTLIVNTGVKDNMMQSFFLAETLKYLYLLFSPPSLISLDEWVFNTEAHPLRIVSRVAPGEGSKQHKATNIRVRARREGRFGKA >KVI05840 pep supercontig:CcrdV1:scaffold_124:236287:238630:1 gene:Ccrd_015851 transcript:KVI05840 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MGIDPRQIVAGVLTLTMFLMLANMIKRDHFDAPISHHHVNHVAQPFDDSDQTLSNTAGDAPWKEETLGLKPCWSNPPSEDIDESKGFVTFSLTNGPEYHVSQIADAVVVARYLKASLVIPDIRGTHPGDWRNFGDIYDIEKFVNSLDGVVKVVKTQPSELSSKNLAVIRVPNRVTQDYIAENIEPVFQTKGNVRLATYFPSVNMKKIKTEQDDNRNSIGCLAMYGVLELQPDVQEVVDSMVDRLKTLSRKSDGQFVAVDLRLDMLDKKGCQGDDAGSKKCYSPQEIALFLRKLGFDKDTAIYLTQSRWDSSLDALKDLFPKSYTKEGIMPMDKKSKFLESEYEKVIDFYISSQSDVFVPAISGLFYANVAGKRIASGKTQILVPANIPPASSASVANYVSHYVSKKNHMAYSCFC >KVI05833 pep supercontig:CcrdV1:scaffold_124:78368:81971:1 gene:Ccrd_015829 transcript:KVI05833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQPRGDKNEPSHHRKLGRSGNAALQRNYSGGGGKGGGGGGSTTAPPSSSSSSSNMVLKAKLGIWRKGMAFMAVLESDLRALSAEASRXYPAIKDGAEHAILKICWKTPLHRLEIRSGTNPAFLNHNPCVLIRVGAALGCQHVPLTGIGATGVDVTILEDGGRVAEDEVDRASNQAVNVELTVTVDIKGVLVSQHVTLIKC >KVI05792 pep supercontig:CcrdV1:scaffold_124:112458:113515:1 gene:Ccrd_015831 transcript:KVI05792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSPPPPVKYYETGDEYDPHFEFAQFLKEAKTHACQQKSLHASEKEQLNGTRKPNKSWKSSIFPWLKSNKKSSHKKVIEEDPPPLSESSPKTRPGYVSVPMNRISAERPKRPTSGPLLSTLFKTREEEFWMPYISLGKFNHSRGVNPYGPIYMVT >KVI05820 pep supercontig:CcrdV1:scaffold_124:436764:439138:1 gene:Ccrd_015871 transcript:KVI05820 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein MTPENYFEVESEEEMCDSDDSQRDPSFYILEDTQSRFSNLSINKKSRTRIPVEVNDDENENQNVDCVEIVAPESDASDQKSLEIVQKIIEDDMVEKLKVEQCKLYLRKHGLRLTGKKDILIHRIKEHISIMNGEGEHKYPACSFVMNCKGDSCTGDVVLFEQNVYEMFSIASRSATGPPCGTRVIAGRIVKESYGAAKQQHTFTIEVLWSKGVKPLPPLHPLLIKGRNLYRLKTMRQRWENESERQKILSEKHFRGNTARSYRAARLQEKEIKKALRERTSKGNIQNENQAKKTTASPTRPMIKAKNPTKPDFQNASIQHETRNWPPPSDTHPHAGVPYTEVLRHQPLMSRIHYPHEGSYKENINSNMLRSAVGWEPNTSSRNTFQAEQNCRLYPSRPFQNLPQTHAFQQGHLPREVQNLPQSHSSQQGYLPREVQNLPQSHTSQQGHLPRDHHHQGQRQQLCRYYPQGRCHYGTNCKYLH >KVI05832 pep supercontig:CcrdV1:scaffold_124:45878:49065:-1 gene:Ccrd_015823 transcript:KVI05832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MWWRSASFILDKNDSFLRDDSETRLLSPPPSSSMAQTLDPNLTISPYYQTRAAHHGVVTSDWLAQAHAAAGRDTATPSSEPEASLNSIGSDNSFSVIDEFNNWRKQPDLAEAVAAIRALASVIRSSQATTMMELQNELKTASDSLKSWDMTSISLTAGCDLFMRYVTRTSAVEYEDFDSAKSRFLERAENQALLLQARKIIAMLGQDFIFDGCTILVHGFSRVVLELLKTAAENRKHFRVLCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYSMDEIDMVFVGADGVVESGGIINMMGTYQISLVAKSMDKPVYVAAESYKFARLYPLNQKDMVPALRPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >KVI05814 pep supercontig:CcrdV1:scaffold_124:193204:201277:1 gene:Ccrd_015844 transcript:KVI05814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RWTKIPPTISSTWNASDPTPCSWVGVYCNPTHHVHALNLSSYLISGALGPELAYLKHLRSIDLSYNNLSGSLPSQLGDCTLLDYLDLSYNLLTGRIPQSLGNLIHLRYLSLYNNKLTGSIPWQIGNSSHLQELDFSFNHLTGTIPESLWNLQNLRVLSLYDNSLYGSIPKSSFHSPHLETLYLSFNQLSGQIPFMCNLTKLVDLQLDNNRLSGSIPSSLGNCSVLQTLSLANNQLTGTLPNSLYDLAKLTTFDVHNNSLEGSVHFGSGNCKELAYLDLSFNHFHGVLPHQLGNCSSLNQLAAVSCGLSGSLPSSLGELTSLTTLHLSSNRFSGKIPPELGNCKSLVGLELHANLLEGNIPNELGMLNKLQIFQVFDNHLTGEVPIGIWRIKDLKKLNIYDNGFSGELPSELAEMKQLREITLFNNRFSGVIPHGLGINSSLTVIDFTNNSFTGKIPPNLCFRKQLQRLLLGFNRLEGNVPPDVGSCSSLSRLILQENKLTGVLPKFMENHNMLYMNLRSNGFIGEIPASFGKLTNITEIDLSMNKFRGGNSLGGNIPSSIVELRSLNRLNLSNNGLNGDIPSHFGKMVMLEHLDVSCNNLTGNLASLSDARGLIDFNGSYNHFTGPIPAILLTTPSISKLSFLGNSGLCADCGSSCVENSNVKPCVLGSSNRKHLSKQQGVMIAVGMSLFVVVVFLVLYLVVICRRKCEQENDMLAEVGGSSLLIKVLEATENLNDKYIIGRGAHGTVYKASFGHDRVYAVKKLVFGGIKGGQTSMKREVETVGKIRHRNLVTIKDFLIKEDYGLILYNYMANGSLHDVLYERNPPLTLDWSTRYKIALGTAHALAYLHFDCDPVIVHRDIKPMNILLDGNVDIVSWVRSIWSETEEVEMIVDEELLDEVDDNEVREQVKDVLLLAIRCTDWEPTRRPSMREIGNCSLLQHLDLSLNILNGKIPDSLGNLLSLRRLSLFNNSLNGSLPESIFHIPSLKSLRLSFNQLTGLIPSNLGNASMLQELYIDNNQFTGSLPDSLNNLSNLVYLDVHSAGVEGRIPLGHGDCRDLIYLDLSFNHFFGLPPELGNCSNLQQFAVVKCRLTGPIPSSFGQLTKMTLLYLSINQLSGKIPPELHNCTSLSDLQLDQNQLEGSIPSELGMLKLTSLFLFDNYLSGEVPMSIWKIETLENLLIYGNSLFGELPIEVTRMKHLREFTLFDNHFSGIIPQSLGINSSLTKIDFYNNSFTGRIPPNLCFGKQLERLILGFNPLEGSIPSDVGNCPSLERLILDNSNLTGVLPEFVDSPNLLFMNLKNNWLTGEIPASFAKLANITEINLSMNRLSGLLPQELGNLLQLQVLNLSRNALEGPLPSQLGNCSRLLKFDASHNLFNGSIPTDLRSMSGLLTLDLSENHFSGNIPTFVTEFQALIDLRLGGNSLGGTIPSSIVGLKTLGTLNISSNGLTGDIPSGFSKLVMLQQLDVSHNHLTGTLTSLAELRVLTELDISYNLFMGQIPAALMKIFNSSFYSFLGNPGLCVDCGLNCDAGRNFTRCTHSSDKQRGLTKFQTAMVALGTSALMFAVVIGLGFMLQCRKREKHDIEEIYDDKEDGSLFHKVMVATEDLNDKYIIGRGAHGTVYKASLGPIDGVYAVKKLMFGASKEGSTSMVREIETVGKVRHRNLVKLEDFWMRKDYGLILYRYMHNGSLHDILHEVYPPPFLDWSIRCNIALGTAHGLAYLHFDCDPAIVHCDIKPMNILLDADLEPHISDFGIAKLLDQSSAALMSGTLCGTIGYIAPENAFTSTKSMESDVYSYGIVLLELITRKKAVDPSFADGMDIVRWVRSVWSEKVEVEVVVDAGLYDDLYDSCVMEQVMEVLQLALRCTETEASRRPSMREVVKELEDVYSAISSKLK >KVI05812 pep supercontig:CcrdV1:scaffold_124:205994:208473:-1 gene:Ccrd_015846 transcript:KVI05812 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, epsilon subunit, mitochondrial MASNAAVPFWRSAGMTYITYSNICANLVRNCLKEPHKSEAISREKVHFSVSKKAKEFFYLHRLFSALAFGRDFAETKAYRASYVSLGAIDDVCLPSARILLRNDAGCCLHVLFFIFTKLSMNLISDWF >KVI05828 pep supercontig:CcrdV1:scaffold_124:69137:72699:-1 gene:Ccrd_015827 transcript:KVI05828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MHAWRSWWLRFSEFESLQMRKFVGETAKTLIANNTIASVVKLNPHHRPSSPNYCSNRSHLPPSLYSPISSYSIMASTPPVTVESLNPKVLKCEYAVRGEIVSVAEKLQEDLQANPGSHPFEEILYCNIGNPQHLGQKPITFFREVGMLQLHCDEKLLFPLMCVMLCQVLALCDHPALLDKRETMGLFSVDSIKRASLILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPADPNDLFLTDGASPGVHMMMQLLIRSENDGILCPIPQYPLYSASIALHGGTLVRYYLDEATGWGLEISELKKQLDAAKQKGITVRALVAINPGNPTGQVLAEDNQRQIVDFCKKEGLVLLADEVYQENIYAPDKKFHSFKKICRSMGYGDKDIPLVSFQSVSKGYHGECGKRGGYMEVTGFSPEVRQQFYKVASVNLCSNISGQILASLVMSPPKVGDESYDGYMGERDGILKSLARRAKTLEDAFNSLEGVSCNRAEGAMYLFPNIRLPNKAIKAAEGAKKAADAYYAIRLLNATGVVVVPGSGFGQVPGSWHFRCTILPQEDKIPAIVSKLTDFHKKFMDEFRD >KVI05818 pep supercontig:CcrdV1:scaffold_124:453429:457990:1 gene:Ccrd_015873 transcript:KVI05818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAGCSTCVPFRPTSITSGKLVSLVMNVQNFAFLRDECSRTLRTHRDLLGINAVHKKVDHCEGSRAAEIGQGFYETINEPFVSHQTDRLVGSLPAGVLVANALLLTAPLEALAET >KVI05803 pep supercontig:CcrdV1:scaffold_124:365154:372464:-1 gene:Ccrd_015865 transcript:KVI05803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MTTATAALTSTTTSGIGGGKVSSHIKVANDFALNNTVTTSSGFNFWSAATTTHPRRRLVFSRASFSSPPPPDKKPQDQGDDKEDGIDDDVQGDDQNPSSVSVMKRLVDVNPVGLGRRSRQIFDEAWRKFSELGQISRTTRINDEKSLFIQEGGPMCEFAIPGAQNTTVLVVGATSRVGRIVVRKLMLRGYSVKALVRNADQEVVDMLPTSVKVVIGDVGDPATLRVAVEGCNKIIYCATARSSITVDLNRVDHQGVYNLSKAFQDYNNKLAQLRAGKSSKSKLLLAKFKSADSLKGWDVRKGTYFQDAVAAKYDGGMDAKLEFSESGEAVFSGYVFTRGGYVELSTKLSLPLGSTLDRYEGLVLSVGGNGRSYVLILESGPSADTSQSNLYFSRISTKVGFCRVRVPFTSFRPVNPADPPLDPFLIHTLTIRFEPRRQRPAEGPVGTNQDLRSFQMILEYIKALPTGQETDFILVSCTGSGIETNRREQVLRAKKAGEDSLRRSGLGYTIIRPGPLMEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVAHLPDKANNYLTPALSALEKNT >KVI05827 pep supercontig:CcrdV1:scaffold_124:63108:68613:1 gene:Ccrd_015826 transcript:KVI05827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, alpha-subunit, N-terminal domain-containing protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNGKAIGSGSEGADSSLQEQYNKDLTLKEAEIIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPAEVEAVISRL >KVI05801 pep supercontig:CcrdV1:scaffold_124:336792:342550:-1 gene:Ccrd_015863 transcript:KVI05801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MPPSTSSLFQVPSNWLVLPAHHSTRLHRCFLLTPQFHLQHSDQLCTYRHLADYFHHDSHRSPRRRHSSSSSSSSSPPSITSDDGYEDEKHKQAAREAVSEILQENGVSEEESNEIAIKAPKYLEMLMDSVRDLDELFQSTNSSNTSSSLSSYKKRVFEMGKQKGDKGLVPLLESMVGLPLPSAIHIARYLSAHTPPSLLHKVKYLKEILFSNVDGKVPIASSAQRMMLHLSVPVDEDVQQTLSFLEKIQARRGGLHLLGSGDGSFRYLIESFPRLLLLSVESHMKPMVDFLRYIGVPKDCVRSILLLYPPILFYDIEKEIKPRRQVYGDDMEFGKLLLKYPWILSTSILKNYEEILTFFYAEKVAVVSIRRAIKGLPVLLGCSVGKLKLMIQQLRELGVTEKMLHQVIGTSPQLLMQKPRELCQVVMYLKGLGLEDESVGRMVGRCPEIFMTNIDKTLKKKVEFLLELGVSRKHLPRVIRKYPELFVCDVNNSMLPRMMYLLKIGLSKREIAFMVGRFSPLLGYSIEEVLRPKYEFLVKGMQKPLEEVVEYPRYFSYSLEKKIKPRFWALKDRNCECSLKDMLGKNDEEFAADYMSGNPPPS >KVI05839 pep supercontig:CcrdV1:scaffold_124:273605:278339:-1 gene:Ccrd_015856 transcript:KVI05839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSLYSYSVAQFDSFPFVSDENGAHFWTSYLLCYSELPLEKRMGSRFPSHQLSNGLYVSGRPEQPKERAPTMSSSAMVYTGGDIKKSGELGKMFDINTDGSKARKSGPITGGSSRTGSFTGVASHSGPIMPNAGRSSYSTSGPVSSSGVTASVKKSNSGPLNKHGEPIKKSSGPQSGGVTPVNRQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKFSGPLESKGSMKVHGVINNQAVTTLTKDDDYSFKKGFPKIILWSMILLFVMGFIAGGFILGAVHNAILLVVVVVLFIIVAALFTWNTCYGRQSIVGFIAHYPDAELRTAKDGQYVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANATHRRFTWGLRSSERHVVDFYISDFQSGLRALVKTGYGARVTPYVDESVVVDVNPLNKDLSPDFIRWLGERNLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPSEAFTTGCQWTKCMLPGSLEGIVLRCEDASKVDVIPV >KVI05807 pep supercontig:CcrdV1:scaffold_124:4670:7350:-1 gene:Ccrd_015818 transcript:KVI05807 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MGGNGEKSSDFYQVLGLKKECTETELKNAYKKLAMKWHPDRCSAAGNSKYVEEAKNKFQAIQEAYSVLSNTNKRFLYDVGVYDSDDDENGMADFMSEMAAMMRQNKPNEKGESLEELKDLFEDLFESDVESFGWTSHSETSTCLLSSSTSSNKRGSSAMSNTKAKDPLCFDARFQGFSQGTGEYEERSGGRRKGRHA >KVI05809 pep supercontig:CcrdV1:scaffold_124:180874:190765:1 gene:Ccrd_015843 transcript:KVI05809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase, C-terminal MAFVVNATQLPLEDTFDYVIVGGGTAGCPLAATLSKNHRVLVLERGGLPFANPNVMTREGFLPILTHFDQFLSPAQAFTSEDGVPNARGRVLGGSSAINAGFYSRADEDFYKRSGINWDSRVVQRSYEWVEKAIVFQPELQTWQSAVRDGLLEAGVEPYNGYSLNHSLGTKIGGSTFDSSGRRHSAADLLNLATGSNIQVALYASVERVLFAPSYSLASRQNAIGVVFHDLVGGHHHAMLRENGEVILCAGAIGSPQLLLLSGIGPRPYLSSWGIPVVRHSPYVGNYMYDNPRNGISIVSPVPLEHSLIQVVGITDSGAYLEAASNVLPFSSPAYSVFLRPSSSPLYLTVASIMEKIIGPHSSGTLRLASTDVRTNPIVRFNYFSNPVDLERCVNGTRKIGDLLRSRSMDDFKFWEWSGTDFRFVGPALPIDQSNNMVMGEFCRRTVSTIWHYHGGCVVGRVVDRNLKVVGVHSLRGFIIIIVDGIRKSHPSPQQMAGNGMHQYHPQWPPAPAPAAPVVVPAPPPHSHHSPMSMDNRLSGDEVRTIFISGLPEDVKERELQNLLRWLPGYEASQVNFKGEVPMGFALFSTPHFAIAARDALQDMVFDAESKSVLHTEMAKKNLFVKRGIVADPNSFDQSKRMRTGGDYTHTGFSSPSPFHAPPAPVWGPHGYMAPPPPPYDPYGGYPVSQVPMAAPPALPSAPLPAPSSYMPVQVLLVNTKDNPPCNTLFIGNLGENINEEELRGLFSVQPGFKQMKVLRQERHTVCFIEFEDVNSATNVHHSLQGAVIPSSGSVGMRIQYPYTF >KVI05799 pep supercontig:CcrdV1:scaffold_124:331896:332573:1 gene:Ccrd_015861 transcript:KVI05799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MADVPVPPTTKKSNKIRDIVRLQQMLKKWKKAATASSGTLPNCNATATTTTTTTKATTTTKGLNKFLKKTLSFSDISNSNSSSSSPSSQEDVVRKGYLAVWVGREEDEMKKFVIPTDYLAHQAFSVLLREAEEEFGFQQEGILKIPCDISLFEKILKMMSTDNNNKKQQQHPTLKTSSSTSSFFLYDEQDETTSSSSPSSAQAQQLKTPPAPATTPTHHLPLYCR >KVI05813 pep supercontig:CcrdV1:scaffold_124:209106:212156:-1 gene:Ccrd_015847 transcript:KVI05813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHRKRNGYRHDHFERKRKKEAREVHKRSQIAQKSLGIKGKMFAKKRYAEKAQMKKTLAMHEESSSRRKVEDDVHEGAVPAYLLDRETTTRAKVLSNTVKQKRKEKAGKWDVPLPKVRPVAEDEMFKVIRTGKRKTKQWKRMITKVTFVGQGFTRKPPKYERFIRPTGLRFNKAHVTHPELKCTFNLEMIGVKKNPNGPMYTSLGVVTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >KVI05816 pep supercontig:CcrdV1:scaffold_124:217402:220788:1 gene:Ccrd_015848 transcript:KVI05816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKGDFFPWWAKDTRKGTPVIVTMENVNYSVVEIDGPDSAFRPIDKDRVKNAKQFTWVLILKANRAVGCLAWIGHILWVLLGAIKKRLFFRRGVAMESEKSGKGKLLFRVILGLLITSLAFLAFEVIAHYMRWPYFQEHNLHIPQTLEIRGWLHLIYVSWLGFRADYIAPLILAFSKFCVVLFLIQSLDRMILCLGCFWIRYKNIKPTINGDPFNLGDLEGSKYTVPMVLVQIPMCNEREVYEQSISAVCQLDWPKERLLIQILDDSDDESIQWLIKGEVSKWMQKGVNIVYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDYLKLTVPHFKDNPELGLVQARWGFVNKDENLLTRLQDINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIYLNDVKVLCEVPESYEAYKKQQHRWHSGPMQLFRLCLPSIITSKISIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPAWVICYVPVFMSFLSILPAPKSFPFIAPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRASEPDLLAAAERELSPQLHRGASDSGLSELNKLKEHQEAAPKSPAKKTNKIYKKELALAFLLLTAALRSLLSAHGVHFYFLLFQGVSFLLVGLDLIGEQVS >KVI05830 pep supercontig:CcrdV1:scaffold_124:31801:34128:-1 gene:Ccrd_015821 transcript:KVI05830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MATFLSFSFFLFLSVSVSVSATPHTTFIVRVQHDAKPSLFPTHRHWYQSSLTALSDHSHPLSSRIIHTYHTVFHGFSAQLSALEASKLQSSYGVLSVIPEQVRHLHTTRSPQFLGLKTSDTSGLLKESDFGSDLVIGVLDTGIWPERQSFNDKGLGPVPGKWQGACVVSTNFPATSCNRKLIGAKYFSAGYEATNGKMNETLEHRSPRDSDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWNAGCFDSDILAAFDSAVSDGVDVISLSVGGVVVPYYLDAIAIGAYGASDHGVFVSASAGNGGPGGLTVTNIAPWVTTVGAGAIDRDFPADVKLGNGKLIPGVSVYGGPALAHHRLYPLIYAGNEGGDGYSASLCLEGSLNPKSVKGKIVLCDRGINSRAAKGEVVKKAGGIGMILANGVFDGEGLVADCHVLPATSVGASSGDEIRRYIMSASKSTSPPEATIIFKGTRINVRPAPVVASFSARGPNPESPEILKPDVIAPGLNILAAWPDKVGPSGLASDKRKTEFNILSGTSMACPHVSGLAALLKAAHPEWSPAAIKSALITTAYTLDNRGETMIDESTGNSSTVMDYGAGHVHPQKAMDPGLIYDISSYDYVDFLCNSNYTTKNIQVITRKHADCSGAKRAGHSGNLNIPSMTAVFQQYGKGKMSTHFIRSVTNVGEAKSVYEVRIKAARGMEVRVEPRKLVFRRVGQKLNFLVRVKVEEVKLAAGSGVTKSGWIEWWDGKHNVASPVVVTMQEPV >KVI05817 pep supercontig:CcrdV1:scaffold_124:221436:224467:1 gene:Ccrd_015849 transcript:KVI05817 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MFPHALSMASVLPSIHSPELPFYPSKSIAFHPPKSCLPLRCSVSVAPQPTHLHPNNTNNKTSPAEVSRTIMELSSVGSFSTATQDTWPLGVGVRFAVDSQGTPIVCLSASYQHFASQKSSSLNVQLEQCGMRTTQCTIQGSLHRPDDQTALKKLESLWEKRFGEKADNNFINILEVERVLQMDNFMEDGVWVTSSDYRLANADPLRDFAEYLVREINTNNMEDVLRFCNIYVDSDVQVSEAKMVWVDRLGFDIHIYSPQNDVFEVRIPFPREVADEKGAKSSFNGMSQLAWEVERNYSGLEFEKVKQLKKIASKV >KVI05788 pep supercontig:CcrdV1:scaffold_124:125575:129388:1 gene:Ccrd_015835 transcript:KVI05788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRESSDEEEDRRHLIHQNDTIPKSPHPSTFQIDDDHPNQFKSPRHSSYANHVLNFTFNKRYLFAIALPLLIIFIYFTSDFKALFRTHLSSHYDQDGAVASNLMRQSELRALYLLKQQQSRLLDLWNRAAIPSVNSNSTDSNSFQDFRSALIDQISLNKKIQDALLSTHQLGSWLDSGEHNVTDPRLSGLTACQKVDQKLSERRTIDWKPKQGKYLFAICTSGQMSNHLICLEKHMFFAAVLNRVLVIPSAKVDYEFSRVLDIDHINKCLGRQVVVTFEEFAELKKNHMHIDKVLCYFSLPQPCYVDDDHVKKLKALGVSLSELKTVWTEDVKKPTERTIQEVTEKFSSNDEVLAIGDVFFADVEKQWVMQPGGPIAHKCKTLIEPSRLILLTAQRFIQTFLGENFVALHFRRHGFLKFCNAKNPSCFFPIPQAAECITRLVERANMPVIYLSTDAAESETGLLQSLVMLNGKTVPLITRPARNSAEKWDALLYRKKLDGDPQVEAMLDKTISALSGVFIGAPGSTFTEDILRLRRGWGTASRCDEYLCEGEVPNFIAGDE >KVI05821 pep supercontig:CcrdV1:scaffold_124:425375:431594:-1 gene:Ccrd_015870 transcript:KVI05821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl synthase, active site-containing protein MVASTAVASPFCTWLVAACMSVSGDKNDYRPKPSPSPALFSSSKRLSRSARRKLSAVTECNNFSGGGGLMSSLCGSGIMNLMNSLEPSIAVQPAKDATTKKKPVTKKRRVVVTGLGVVSPVGHVADVFYENLVEGVSGISEIEAFDCDQFPTKIAGEIKSFSADGWVAPKLSKRADKFMLYLLTAGKKALEDGGVTEDGWMGPNYSISTACATSNFCILNAANHIIRGESDMMLSGGSDSAIIPIGTGIALCIEKALAQSGVAREDVNYINAHATSTQSGDLNEYEAIIRCFGDNSELKINSTKSMIGHLLGAAGAVEAIATVKAIQTGWLHPNINLENPDVGVDKEVLVGAKKEQCNIKVALSNSFGFGGHNSSILFAPYKDQ >KVI05836 pep supercontig:CcrdV1:scaffold_124:263936:271437:-1 gene:Ccrd_015855 transcript:KVI05836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MVRAAAGKHRKSAKFVFICVGLLGAALIADLLWAASTSSSSQPSFTYKSVALNWPPPKSSSYSNYSAKGITDKDKTDGVHGRILSKTFADLPGPELKWEKMAAAPVPRLDGAAIQIKDQLFVFAGYGTIDLVRSFTIFCIVHSHVDVYNFTSNTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAHTFVLDTKTKKWQDMPPLPVPRYAPATQLWRGRLHVMGGSKEDRYTPGVDHWSIAVKDGKVLEKEWRTEMPIPRGGPHRGCVVVNDRLYMIGGQEGDFMAKPNSPIFKCSRRNEVVYGDVYMLDDEMKWKVLPSMPKPDSHIEFAWVVVNNSIIIAGGTTEKHPVTKKMILVGEVFQFQLDTLKWSVVGKLPYRVKTTLAGFWDGWFYFTSGQRDRGPDDPTPKKVIGEMWRTKLSL >KVI05824 pep supercontig:CcrdV1:scaffold_124:458759:466553:-1 gene:Ccrd_015874 transcript:KVI05824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQHQRLKQQQQQALMQQALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPNTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLIRKDKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDAMLYACFSVYASCSDARVMWDQKTGGSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAGISDEKQASDSKSVVELTNGSSEDGKEPTNSDAPENNPQYTTVYVGNLAPEVTQLELHRHFHSLGAGVIEEVRVQRDKGFGFVRYNNHAEAALAIQMGNTQSILYGKQIKCSWGSKPTPPGTSSNPLPPPVPAPMLSAGELLAYERQLAMSKMGLMHPQVMGQHPMKQATMDMVGGGASQAIYDGGFQSVAAAQQLMYYQ >KVI05837 pep supercontig:CcrdV1:scaffold_124:261347:263604:1 gene:Ccrd_015854 transcript:KVI05837 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MADDVATSSSIRTNFDNNGGDAASFITNLSHDHLFSILLLLPLDSILSFAMTCKKTTRLTHSHTLWKSICTRDWGHSTVDALLTSSSSSSLDWKRLYQRLRNLDRVSCFNLTDPDAQGPTPRASHSLNFFSGCLVLFGGGSEGGRHLDDTWIAYVGNGFRRMMNWQRVISDGPSGRFGHSCVVIGDCLVLFGGINDSGIRQNDTWIAQVAIDETNSSSRLSWRLLDVGPVAPPTRGAHACCSIDNQRMLIHGGIGLSGMRLGDTWVLHLSENLCFGTWHETVTHPVPSPRSGHTLTYIGGSRTVLFGGRGMGYEVLNDVWYLDSSEDRLGWVPVVFELRNIPGGLSLPRVGHSATLILGGRVVIYGGEDSYRHRKNDFWLLDIGSKRTWRRLKSEGYEGRSRSFHRACADESGCNLYVFGGMVDGILHPAEPAGLRFDGELFVVELVL >KVI05825 pep supercontig:CcrdV1:scaffold_124:51465:52317:-1 gene:Ccrd_015824 transcript:KVI05825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRMSCLAHAHHNPNPNPNPTPSSTSKRIFMLSTTQNPSSHIRSNIKLQKVFEDKSSGIVCYRDDKGEITCEGYDEGPRLHRHQHLGSFSWNQRDGEAIVHLLKTSLLLVMDGGGN >KVI05838 pep supercontig:CcrdV1:scaffold_124:281035:284878:-1 gene:Ccrd_015857 transcript:KVI05838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRKFFSEIKGMKVKDVPAHVKPMVTTSNAKNFIQRALDNYHAKYIQTDSIVPLYHVCFGGMIFSYLVALPEERRHLEHQQHAKEHAH >KVI05829 pep supercontig:CcrdV1:scaffold_124:10896:12668:-1 gene:Ccrd_015820 transcript:KVI05829 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MCGGAILSDIIAPTANRSRRLTADLLWNTDLIKNPSKHFSKPPRSDVYDIDDEFEADFQGFKDQVEIDEDNKPFAFSASKNFAPARGSRSVKSDDQAERSTSRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGEKAKVNFPDKATPAKPKSQKPTPKVSPTSNQTTKNQYSGSLGFVEEKPQSNLVVATEDMAVKPFGSSESAAFYFSSDQGSNSFDCSDFPWGDNCARTPEITSVLSEVDEASFMEDGNPAKKPKMESVNVVSDCDKSDQLFFEMPYLEGNWDASSMDAFLNGDATQDGGNVMDLWSFDDLPAMMNGGF >KVI05819 pep supercontig:CcrdV1:scaffold_124:440432:453603:-1 gene:Ccrd_015872 transcript:KVI05819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore protein 84/107 MEVGRKGTQVEQPATTAIVDRILATRLVQHSDDLGNLIRILTKTLIKETVHELFSVEKIVTDTMNVDMDTSPSYFDSQELSLRERYRRYGKRLSPSPHQESYQSKFSNAALFLENIKKEVDHSDGDHSEGTHARTHSSFKRRSPSIDGRGISEMGVANDSVGRPRTHSLKVCKHEEDASSDGGDATFSLFASLFDSALQGLMSIPDLILRLEGACRNVSEFINNSCSERHRIVEEKLMRQKAKMLLDEAASWSLLWYLYGKGMIHNILPLYAFGCGLSNEELPEDSIMLMFYLLCFDALLQLPTTSHLEACQFVAADHIAQLCLRLTQWLEGLASKALDLENKVRGSHVGTYLPTSGVWNHTQRFLQKGSSNEKIVQCLDFDAPTREHAQPLPDDKKQAESLLEDVWILLRAGRLEEACNLCRSAGQSWRAATLRPFGGLDHSPSIEALIRNGKNRSLQAMELESGINHQRRLWKWASYCASEKIAEQESGKYETAVYAANCSNVNRILPICTDWESACWALTKSWLDVQVDVELSHSQSGGIDQYRSSDGGTERSPGQGELNSQPFLGPENWPLQVVKQQPRDLSALLQKLHSSESVHEAVSRGCKEQHRQIEMSLMLGDIPRLLDLIWSWISPSGGDEDIFRPHGDPQMIRFGAHLVLVLRHLLADEMKDAFKEKTTALGDLILHMYAMYLFSKQHEELVGIYASQLARHRCIDLFVHMMELRLNGSVHVRYKIFLSAIEYLPFSPGDSNGSFEKGSFEEIIERVLSRSREVKVGNFDGSSDVAEQCRLQSLQKAMVIQWLCFTPPSTINDAMRVGTKLLLRALMHREFALISMWRVPAMPIGAHTLLSLLAEPLKHPSETLFSPEDHEISDSLREFEDWNEYYSCDATYRNWLQVELENAEIDPPDVSNEEKQRATVVAMETLSSSMTLLLRKENPWLVPTEDHIYELMEPTNLELHATAMLCLPSGECMSPDATLCTALTSAFYSSVSEEVVSDRQLLVNVSISTKDSCSIEVSLRCLATENDGFGPNKLADGGVLAAVIAAGIKDAWYCDNDGSLGSPATYIVRGLCRRCCIPEVILRCMQVSVSLMESGNAHDVQDELIELVAGSETGVLHLFSQNQLQEFLLFEREYRIWKMDESAA >KVI05793 pep supercontig:CcrdV1:scaffold_124:105256:111961:1 gene:Ccrd_015830 transcript:KVI05793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MAEKACVMRLQKEYRALCKEPVSHIVARPSPNDILDWRGFYYGKIKFPPEYPFKPPGISMTTPNGRFMTQKKICLSIIHPESWNPMWSVSSATFRKLFPEYVEKYEEQRLCEQPVVEAQESTQGLIEKREEAKRVETPKEEIRQARKASFPTWMLLLLVSFVGLVMALPLLQL >KVI05795 pep supercontig:CcrdV1:scaffold_124:142909:145062:1 gene:Ccrd_015838 transcript:KVI05795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MADDHFSYLIVHAENGRITDLLRYGMFGNKASGAKFLQSSHPHIIQEELLSLGGLGQEAGETPDHRWVIVVSILMRKLIKIFGKPMEWTGYVVDFILNLLSLNGNLLGLFANLLLVSIFESKIDVLDCIEEAGKVVIPRRGSATFISTIGHIDGRIDLDPGDVFVAEKDVSKLGERVDAGNKSLMDLCMMASKLAYENANVIKNVVNLHWKMDFVDFYDCWNDYQKERSTQVFIFCDKQKDANLIVVSFRGTEPFDADDWITDFDYSWYDIPKLGKLHMGFLEALGLGNRSNPSSFQQILQAKNGFDEKETQRFPEMVEMTAYFAVRSKLKSLLKEHTNAKFMVTGHSLGGALAILFPTVLVLHEEEEVLERLLGVHTFGQPRVGNRQLGRYMESRLEEPIPKYYRVVYCNDIVPRLPYDNKTFLYKHFGVCLYYNSFFVGQKVEEEPNRNYYGLWYLIPEYVNAVWELMRGLGMGRRYGAEYKESWEGIMMRIMGLVIPGVSAHAPPNYINSIRLGKLRHIQMSTL >KVI05831 pep supercontig:CcrdV1:scaffold_124:35992:42788:-1 gene:Ccrd_015822 transcript:KVI05831 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein MFHAQGTTKHTCCMLAVLCGRNSNNKDDYDVQGTGLRFPFPEITSSGRLEVQTLNSPTIDEFRKVLDSVQPNIVYLQGERLPNDDVGSLAWEGAEDLTGVFGSSLPTTVFPKLAKASDFMSSMLQGLRIYLEFPDGEKVAEELHSKVRESGVPYVIYWKNAFSCYTACHFRHALFSVVQSSSSHTWDAFQLAHSSYRLYCVRNNHVLHGNPEQDNSKLGPCLLGDPPKINVPPPEAGGEDDEEKYPDDLPAIKIYDDDVNVRFLVCGSACMLDASLLEPLEDGLNALLSIEMRGSKLHNRVSALPPPLQAGTFSRGVVTMRCDISTCSSAYISLLVSGSAQTCFDDQLLENHIKSEVIEKTQLVQAVSTPDENKLSSSEPRGSVSIACGATVCEVSMKVPLWASQWLPSCFTLYITGFSLFCQVLRQLAPDVTYRSLVVLGVASVQGLAVASFEKDDADRLLFFCKRLENNIHSNIIDSPVPTWMRQPFPSRKRSITNQEMGPGVRNNGLVSGHRSGVKQEAQEHKVMESANGYVVVRQKPKVAALRPIPHIRHQKMLPFAGISEANGHEFGGQVKTHILSMPSGKHNGGGSAPVTHRKSLASSYQAKQLISLNPLPLKKHGCDRSPIHVCSEDVMQFLILRGHNRLIPQGGLAEFPDAILNAKRLDLYNLYREVSTEIKSIKVVSRGGFHVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPQCSISNFKKKLPKTTTTNGYS >KVI05787 pep supercontig:CcrdV1:scaffold_124:130191:136460:1 gene:Ccrd_015836 transcript:KVI05787 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome subunit P45 MFICFARHLLLPSFCRRSRKTAPETKQVMPTDIEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKDVKEMSKRINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDMESRTQIFKIHTRTMNCERDVRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KVI05810 pep supercontig:CcrdV1:scaffold_124:158155:165175:-1 gene:Ccrd_015840 transcript:KVI05810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MMLRVNIHLSPFLLPFNGLFLPPLSSSSSSSSSSSIPPFSNPSSPAALMMLPKPNTTFFFFFFFITLNLLFLFPPLTHALCNPLDYDSLLSFATTFPSLNWSASLDCCSWDGISCDPSGDRVIHLSLPAKGLRGSIPSSLLNLTSLSLLNLSCNFLSGPLPNGLFSSLNALRTIDLSYNRLSAHLPHTFPATLQSLNLSSNHFNGTILLNSPQTLTSLNISNNSFTGPIPPSICITSPALLILDFSLNDFTGNIPPGFGDCSKLQVLSLGFNNLTGQIPVDIHGARSLQLLSLPGNYLTGTIHQSITNLTNLRTLALFGNLFSGSIPRNIGKLLFLERLELHINDLNGTLPQSLINCTKLQLLNVRVNSLVGRLDDFDFSNFSQLIIVDFGENQFTGMLPRTLFSCKSLTAIRVATNKLDGEVLPDVLNLPSLSFLSLSNNTLKNITKAFSILSRHQNLTTLILSKNFFNETLPDGGISGFLHLKILGLGGCKLFGQIPTWLRSLTNLEVIDLSQNNIHGTIPGWLQTLPSLFYLDLSNNSLSGGFPVELTTLPALGSQQVLDHVNSSYLELPVFVQPQNASYLQYNQLATLPPALYLASNHLSGEIPVEIGHLQSIHVLDLSRNNFSGTIPSAISNLTNLEKLDLSHNLLSGGIPASLKSLYFLSFFNVANNNLQGPIPAGGQFDTFLNQSYEGNPGLCGPPMHNLCGNRSATTGASGHKKGPNKKMIVGLILGICFGVGVTLTCLALWILSKRRILPRGDPEIFHMDMVSFNSTSAADVPKDTSGVILFPNNAKDIKHLTVSDILKATEDFSQANIIGCGGFGLVYKATLANGTRLAVKKLSGDMGLIAREFKAEVEALSTAQHKNLVSLQGYCVHDGSQLLIYSYMENGSLDYWLHEKTDGASKLDWPTRLKIAQGASCGLAYMHQVCEPHIVHRDIKSSNILLDEQFEAYVADFGLSRLIQPYNTHVTTELVGTLGYIPPEYSQSWIATLRGDIYSFGVVMLELLTGKRPMEVFRPKASRELVVWVQQLRREGKQDEVFDPLLRGKGFEEEMLQLEILAKKQVLGRWLDTDQQPFHATVGAKKMRLALNNMYMPKEKEKREPHELMGKRDKKNTIHRHECTNKEAWWHNDEGCRHGHNVKAERFGKKSLTEVELDPEKQKAGGRDVFIDGVSIENR >KVI05798 pep supercontig:CcrdV1:scaffold_124:300788:327583:1 gene:Ccrd_015860 transcript:KVI05798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase Sen1, N-terminal MCQKEMSYKWAWFVDEEGVFSVKKPVGHNFPRFTDAFNFLIYLSREDHIWCGSWDIMGPLLETFYNYSKDDRQDSPLKLLWKRISEELQGCMQCICQHHQAKDTYAMEYELSSIGPLLDVLCRLDEERVTNQLKEMNTRIAGGKYDPLKDNAKVISVMYEVLMFPVLLDDQSLVTEFQIFIEAVDDSHELTLAGHQQYPVQFQQFAISYPLIVITTPHHGVYALLFLKTRRCRSIGFRLAGYMGKLRLGFLEPHAFEEGILERYPSFLSIVLNHICDDSIEFSYAINCLRLLFDMLGCKLWLRATLSPSSLEALQDGEHKKQRRHFLYFLLHQVTVSSNFSSLMRKKACQIAILIIHRGYKMNPSCPPFECAHMGPSLVASLKDSALYSSLRQPAFDLIQTVIVSDASALVSSVLHCQKQKGADRNIIDFKDEDDNEDLFTDDTEEKDASCWNEFSVQSQTTSLEFGVWMCIPMLWFDVLVEIDPAILPVSFSKAVIWALSRFSMVEPETSTEMALPVGRWLTTRVPEVSHLFGWRTPSGSDDGGDGEESKNSVKVKAMCIPLIRTFRRLTSYFITRMEQGELWKQWTWEPRMGESLILLLVDPDDNARQVGRRILEQVANTRGLLSCLQFLCSCSASISAALLGLRHAGELMTCIRLLESLPVVLQRIQQSMHIIPGDSDTSIVNSFGYKWLHDLMYWGKSTLAVVVRYWKQTVLSLVDLLKESCNDKTSAIMAIEKLIQYENIPIDELTEQVSCLSVSLKSNANGPSNAYEKMNFKATLLQSEGLLDKRKYSTEKLEAFPLEDLNLQISDSAFGAKTERESVIILSDDEIDVKDYIDTVNVPDGGSSKSLVDGEAMGSRTTEKASQINAARNSSSVAASTTQIKDLVLSDDYGAIGLRERKLEAENNVDRIRPSSVVQGESVQGRSKEKSSDIQKKSCLTKSSLENLSFKMDNSVSIQRASGLKNVSNEIRSSRSMDNQGIKKVSAKTDRVIKELVFDAKDDPWEFALKSARHHQSHLAKLNTGGTKRQVIQLNLAVENRVSQSNRLRGGHQRFKPLRLDDWFRPILEMDYFATVGLLSSAAEKNQTAGTLKEIPVYFQSPDDYVAVFRPLVLEELKAQLHNSFAEMSSSDEMSCGSLSVMSVERVDDFHVVRCVHDDRDLEGSKSCVENDLILLTRQPFQNSSHDVHMVGKVERCEKDYKRRSSIIMIKFYLQNGCSRLNRAKKLLMERSNTIIRPVDLRNDHDLSLIQGPPGTGKTRTIVAIVSALLSLSGRNAGRTEVGVLKPSNTSLMNKVRISQSAAIARAWQDAAMAKQLKDDDSKSSSVTASHIRGRVLICAQSNAAVDELVSRISSHGLYGNDGSMYKPYLVRVGNAKTVHPSSLPFFIDTLVDHRLTEERMDVGDVKNDTDGDSSTVLRSKLEKLVDRIRFLEAKRANLSNGNPDSKHFLEGDAAVSDDVKEMSDAEIGAKLRTLYSDKKAVYIDLAAAQAREKKVHEKRKALKLKLRKSILREAEIVITTLSGCGGDLYAVCSESMLTHKFSTSSESSLFDAVVIDEAAQALEPATLIPLQLLKSKGSKSIMVGDPKQLPATVLSDVASKYLYQCSMFERLQKAGHPVTMLTKQYRMHPEICRFPSLHFYDXKLLNGDGMSSKETPFHKTEGLGPYLFFDIVDGQELHGKNSGSLYNECEADAAVELLRFFRKSYPLEFVGGRIGIITPYRSQLSLLRSRFSSAFGSCIMDEMELNTVDGFQGREVDVLVVSTVRASGPTSGAMEMNSRSIGFVADVRRMNVALTRAKHSLWILGNMRTLQTNKNWGALVNDAKERNLVLSVKKPYVSMFNLLFKNNRVSDSSNDISKQLKPKKKGNEVNRHPEERKKFNISSERKRGYTGDHSNDSLGVDKHALSPIRDNDKTKKRAKKHYDSSAKKSTECVYTESSVNKTLKDVKLATDEHRETHAGSWGKKGVERQRFDRNVAGDKPLNETIQREEGSRSSGQVEKPMDSICKRKQQREAVDALLPSAFISSKKPESKLKSVPDRRSRPPVRPSDHAIKPAKTRKGVKKAALEREVSCSANSLRWCGY >KVI05800 pep supercontig:CcrdV1:scaffold_124:335735:336208:1 gene:Ccrd_015862 transcript:KVI05800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGTGESNPLSSATADSPPSSIGLGMGMGMGMGIGAAMRAEKDKGRKPRKKLPTPKEMIAHYESKGMQSQEASLKVIDDLQNLLMRVVTTAKNTNTNLDPINSRLLRLESKLDSKPGYTQTLGIGIVSGAVIRVFPQVAASVVHIWNCVRESTSST >KVI05822 pep supercontig:CcrdV1:scaffold_124:477450:479435:1 gene:Ccrd_015876 transcript:KVI05822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAVLGLPGPPFFFCIFFLFITVPTITKSAMNDAEALLKIKQSLHDSQFLDSWKNGTQPCDEVIRWVGVVCGKGIVTTLRLRSMNLSGDIDMSALSQLQGLRVLNLVNNSFSGPIPEFNKLGALKAIYVSMNNFSGEIPSGFFTKMVSLKKIWFDKNNFTGPIPSSLAQLPRLLELHLNSNNFTGLIPSIGQQSLESLNLSSNNLYGDIPSSLSRFDVSCFEGNPGLCGQKFGKLCASVVPTPPPPSEPPQKSLKIAYALMGLSGFILASMLVGIYLLVQKRKREERDQMCTEKRNFDGNIGLCISSIGRKEEDGSGSGTGKCMGGRRSPRKTKGFGDLMMLNNTGKPVFGLSDLMKAGAEVLGNGSLGSSYKAKLSNGLILVVKRLKEMNKMDSDDFKTEMTRLGGLKHPNILAPLACHYQKDEKLLIYEYIPKGSLLYLLHGDRGESHANLNWPTRLKIIQGIVLGMSYIHTELATLALPHGNLKSSNVLIGPDNEPLVVDYGLISIIERNHAASVLMGYQAPEAVESRSISPKCDVYSLGVIVLEILTGKFPSQYHKNNKGGTDVVRWVKSAIEEKREVELLDPGISEHRSCIGEMQKLLHIGADCTESNPEKRVDIREAIRRIDEIRIDGST >KVI05789 pep supercontig:CcrdV1:scaffold_124:120967:123455:-1 gene:Ccrd_015834 transcript:KVI05789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKIPTSQRVASIPPLYLNHIDSKLLLFDFDLSIEVASISADILQMSFEITDTHPKTVLESDKNNSTALQTLAMKPEIFPSRKRLEWMLLQPQCSSISQGAGHLSHMIMVMNTRNLPLEERSSIIYSEEKQDKYHHQKVNGSNTITRGSIACSPSVMDHHHHL >KVH93461 pep supercontig:CcrdV1:scaffold_1240:30695:33711:1 gene:Ccrd_004490 transcript:KVH93461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MTSDSDINGRPHHIIRPPPPSSSNYRPHYYPHSSSSSSSASASIKGCCCCLILLFSFLALLVVAVVLVIFLAVKPKKPEFNLQQVGVQYINLAATNPPTTNVNSPSSASLSLAIRMLFTAKNENKVGIKYEESTFNIMYRGIPLGRGTVPGFFQPAHSIRQVQTTVSVDRVNLLQADATDLVRDASLNDRVELRIMGDVRAKIRIIGLTSPAVQVSIDCGIVISPRKQSLTYKQCGFDGLQL >KVH93459 pep supercontig:CcrdV1:scaffold_1240:46579:60304:1 gene:Ccrd_004489 transcript:KVH93459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MHTINALQIIRTVMSSSSNSMLGAEIEFGSAKFYLYVGISCLLVTFAGIMSGLTLGLMSLGLVELEILQSSGTPTEKRQAAVIFPVVQKQHQLLVTLLLCNAASMEALPLYLDKIFNQVVAIVLSVTFVLFFGEVIPQALSTRYGLAIGSSFIWLVRILMIVCYPIAYPIGKMLDLVLGHNDALFRRAQLKVLVSIHGQEAGKGGELTHDETTIISGALDLTAKTAEEAMTPIESTFSLDANSKEAMGEILARGHSRVPVYSGNPRNVIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGGSHMAAVVKTKGKGRKPPTLDEEPNQGTVIGKYFQSTTRSPSKQGEKAENIVIDVEKAARTTTQTFSKLGDTAPNGVPYSTEDIEEGEVIGIITLEDVFEELLQEEIVDETDEFVDVHKRIRVAAAAAASYIARTPSFRRSTIAQGGQNKQGQSGKKPIEDDSTSSMLQRALVEPLLLKET >KVH93460 pep supercontig:CcrdV1:scaffold_1240:123507:127122:-1 gene:Ccrd_004487 transcript:KVH93460 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSFRSIVRDVRDGFGSLSRRSFDVRLSGLHRRGKSQGSVNDLSDNPASLVIQNSRWANLPPELLCDVIKRLEESESSWPARKHVVACAAVCKSWRSMCKEIVTTPESCGKLTFPISLKQPGPRDSTIQCFIKRDKSNLTYHLFLCLSPAALLVENGKFLLSAKRTRRTTCTEYVISMNADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPHSGALIPAPGRSSRRFYSKKVSPKVPNGSYSIAHITYELNVLGTRGPRRMHCIMHSIPTSALEPGGFVPGQPEVLHPPRSLEDSFRSISFSKSLDHSTDFSSSRFSEIVGAALSNDIPDSEKSKMPLVLKNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAQQPVAATTAVPAPPSSSAGTSQPAQPEHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KVH93458 pep supercontig:CcrdV1:scaffold_1240:109262:111054:-1 gene:Ccrd_004488 transcript:KVH93458 gene_biotype:protein_coding transcript_biotype:protein_coding description:BYPASS-related protein MPSTNGYTMPFGTFRRSIMGIGNDHNQVHDVNSDSTSEFHCELGSFEHQVFRQFRTLSAASADELLSLDWVSKLLDAFIACQEDFKLILLKNEPNLSKPPLDRFVTEFFDRSIRALDICNAVRDGIEKIRLWNRHLEIVSNAFDSKHRNPMVEGQFRRAKKALTDLAIVMLDDNKESSSVFSQRNRSFGRPNKGKDHQQKSGHSRSLSWSVPNSWSASKQLQSIANGLVPPRAHEISATNGLANIVYTMGFVLMFVLWCLVAAIPCQDRSLQVNFSVPRQFSWGTPLNLLHIRIMDESKKRERKNSVGLLKEIYQMEKSIHLITDLMDSVNQFPLTDEQKEEVQTGVQEVGRVCNGFKHGLDPLERQLREVFRKIMACRTEGLEVLGKAQS >KVD98116 pep supercontig:CcrdV1:scaffold_12400:387:1421:1 gene:Ccrd_024264 transcript:KVD98116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQSARIQKSTPPPTTTAPCAGCLGFGEACPSLWQAVLAFEQSTPEANGSVTEPTGKPELISEMEEPKDALSSPKAKGKNGIQTKMNESNIEALMPKLCHSYYYMKPTIQELAAKERAQPGYCGRVKDFCRGESWSRKHQISRGNRLERSGS >KVI08049 pep supercontig:CcrdV1:scaffold_1241:59138:59518:-1 gene:Ccrd_013584 transcript:KVI08049 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase 1, replication foci domain-containing protein MDSLDDERTTILNVVSDYNRAEDEPSSFTKLPVQWGESESLDNNNSLICLRGTADNGLQKLYKPVKAWKCDLAKAKPEISALSRDNNWIKLLKPRKSFEDMIRTILITMHCLHFFKRKSEASSKSL >KVI08054 pep supercontig:CcrdV1:scaffold_1241:45667:46480:-1 gene:Ccrd_013582 transcript:KVI08054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGRPNVDDDNPPWGDHLYKVFRLYDIRPLENDLVDHLSFISETMKRDKTLRKSEFLASFLEKKPRYRKSLDENTEVTMKPNFIVDDMNDECGDEELTIKAVKECESDDEDDGFQTVYAICDDGGDFTCCEGKCLRAFHATIESAESKYESLGLRAKIM >KVI08047 pep supercontig:CcrdV1:scaffold_1241:42560:43949:-1 gene:Ccrd_013579 transcript:KVI08047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MRKVMLQHRNFKKILLLVSHLHVMPTNAINVKRESMKRWKPYYLQAMPEVIPQKGLPNQIVFWNEDNDEDVIASAWNDLFPKVRALLYCLKPKIDVELGIPFNIGHSKKHAVESLISKKEVASSNYTSENGCFSKSQKTRDEKFSSSVRSVDSSNKRMKMSSWSEPMKRQRRKALDENIKVTLKPNFIVDDMNGECGDEELTFKEVKECESDEEDNGFQTVCAICDDGGDLTCCEGKCLRAFHATIESAESKCESLGLRVEIM >KVI08051 pep supercontig:CcrdV1:scaffold_1241:65504:65758:-1 gene:Ccrd_013586 transcript:KVI08051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MKPIFIVDDMNDECGDEELTIKAVKECKFDDEDDGFETVCAICDDGGDLTCCEGKCLRAFHATIEYAESKCESLGLRAKIMQRS >KVI08053 pep supercontig:CcrdV1:scaffold_1241:51347:59044:-1 gene:Ccrd_013583 transcript:KVI08053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNITKIRVFCFILEKKPRKRKALNENTEVTMKPNFIVDDINDEYGDEELTIKAIKEFEFDDEDNGFETVCAICDDGGDLSCFEGKCLRAFHAPIKSVESKYESLGLRAEIMQISQHSVSDCHDAESDRHDAESDLYLL >KVI08055 pep supercontig:CcrdV1:scaffold_1241:102092:111883:1 gene:Ccrd_013588 transcript:KVI08055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAGIFAGVFLLLAVYCGLDPFRHSAIVDFPDFESVKVEMPQWSEVPKDKDTQNLLRKSELKFLNQIQGPESVAFDSHGRGPYTGIADGRVVFWNGNSWSDFAYTSPNRSEICDPKPSILGYLKNEHICGRPLGLRFDKRTGDLYIADAYFGLLKVGPEGGLATSLVTEAEGVPLKFTNDLDIDGEGNIYFTDSSAKYQRRNFMHLVFSAEDSGRVLKYDPITKKTTVLLRNLQFPNGVSLSKDGSFFVFCEGSKGRLVKYWLKGEKAGTSEVMAILPGFPDNVRTNENGEFWVAIHARRSMYTYVCALYPKLRTFMLRLPIPARMQYLLNIGGQLHAMVVKYSPDGKILQILEDAQGKVVRAVSEVEERDGKLWMGSVLMSFIAVYELD >KVI08048 pep supercontig:CcrdV1:scaffold_1241:61465:64772:-1 gene:Ccrd_013585 transcript:KVI08048 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase 1, replication foci domain-containing protein MKTGRPNLAVQWSESESLDNNNSQICLRGTADNGLQKLYRPVKAWKCDISKAKPEISALSRDNNWIKLLKPRKCFEDLIRTILIIVYCLHFFKRKPEASGKSDIRPLENDLVDHLSFISEAMKRNKTLRKFEFLASLLEKKPRKRKDLDENTEVTMKPNFIVDDMNDECGDEELTIKAVKEYEFDDEDDGFETVCAICDDGGDLTCYEGKCLRPFHATIEYAEDHDVIFAQTALINNTSALFAGNWGLLINLLLQRVFAVVLQLVAIFITQSVLQRWFMRKVMLHHRNFKKKLLLVSHLHVMPTNAINVKRESMRSQIAFWNEDNDEDVIASVWNGLLPKARALQYFLKHKIDAELDTPLSNLKFLDIGHSKKQAVESLISKKEVADSDYTSKKGVGKFSSSVRPVDSSKKRVKMSYASEPMKRQRVTDNSKKLLQKNLSMIAGRPNA >KVI08052 pep supercontig:CcrdV1:scaffold_1241:44117:44362:-1 gene:Ccrd_013580 transcript:KVI08052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MKPNFIVDDMNGECGDEELIFKAVKECESDDEDDGFQTVCAICDNGDDLTCCEGKCLRAFHATIESVESKCESLGLRAEIM >KVI08046 pep supercontig:CcrdV1:scaffold_1241:41282:42399:-1 gene:Ccrd_013578 transcript:KVI08046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEEGDAAAQELQENIAAGEPFACHAQKCHNCKKGEHEKVEALQFAGDARDIGHSKKQAVESLIGKRKLRVQITNLKRGLFQSLRRQELGSSLHLLDHCLKENLKHLANLFRTICKVFSLYEIRPLENYLVDHLSFISEAMKRDKTLRKSEFLASFLEKKPR >KVI08050 pep supercontig:CcrdV1:scaffold_1241:65948:66334:-1 gene:Ccrd_013587 transcript:KVI08050 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase 1, replication foci domain-containing protein MDSSDDKGTTLLNVMSYYYFIGAKDEPPSFTKLRVQWGESESLDNNNIQICLRGTADNGLEKLYSPVNAWKCDLSKARPEISALSRDNNWMKLLKPRKIFEDMIRTILITEHCLDFFKRKPEAFGKSL >KVI00777 pep supercontig:CcrdV1:scaffold_1242:107288:111235:-1 gene:Ccrd_020971 transcript:KVI00777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVESKITLENSTCLAKNVEGFQKWVEEILKLIEWDRDFFESTAEIYDHKKCELTTQVAELSRMYTTLADQHGHLIGQFSRNCSSGIEKQHLDAYDSSSPQVTQMFTPDQLSNTHNFRIPIDFDVLLSSGGAGSYTSRREGLVSSLSLSLDSDSESFMSTNKLLISPVNDDASKVVELSRMYTALADQHGHLIGEFSRNCPSRIEMQHLDASVSSSPQKSGSEYSFSLSSDSDAKSFMSTNKLLISPVNDDISKTFVDENTKLKSRIHENESVIEIASDMQFQLKLAQDYIRTQNAYLDAQKAKVVEL >KVI00776 pep supercontig:CcrdV1:scaffold_1242:30150:86101:-1 gene:Ccrd_020970 transcript:KVI00776 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MEGVESKITPEISTCLAENVEGFQKCVEEILKLIEWDADFFEITTQIYDHKNSKLTTQVTELSRMYTALADQDGHLIGEFSRNCPSGIEKQHLDASDSSSPQTFEDENTKLKSRMHENESIIEIASDMQSQLELAQDELITSPEQVSSVTACSYGDVVFDTEALDSIAALPGSVLHSSSGPSAKNSFQQQPFHKSHDRSSIGENKVLCEGNAHNVNLNSSGCTTGCQIQPLELLTNSESDSLHYTGQT >KVD98115 pep supercontig:CcrdV1:scaffold_12425:392:1139:1 gene:Ccrd_024266 transcript:KVD98115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMSEAHARMHLRQHVTQEDVDMAIRVLLDSFISTQKYGVQNNLEKVDGMQSFKKYMAFKKDFNAIVLHLLNQLIIYGSNKDVTHIDVKVEELQSKVLDYGIMDLKAFFSSVEFGRGNFELDEERSVIRHHL >KVI01525 pep supercontig:CcrdV1:scaffold_1243:44202:54867:1 gene:Ccrd_020201 transcript:KVI01525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLDLEQVLRSKQDKLSSQEANFLMTWKEKTLRQLAVGAVAGGAIAWSATGNLDKMFRINLAGGAAAITSMWRFRRSIYSCIEQVLCMDGSRMQKELANIMLKRYPNHPMTRNLLSKRFYCENVFDDSTSDMPKSRWRYRNNFVESAAHPQRADNHESYGHDANIGPEHKPVPMNNGFVAMENPFDCIFGVPPSVEEIRRPVPATPPRRHSRKHRRSQRRHQIHDRDDIL >KVI01526 pep supercontig:CcrdV1:scaffold_1243:56963:63303:-1 gene:Ccrd_020202 transcript:KVI01526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPPHESDGSTNESKESEIHLLPGNHSGYGLPYAPEDFPEPGDKWGWKVGKRVGVSGHYLDRYLYLPVRLHEKFKLGGFASITSSRGFASKTSVERFVMEAFPATDIKAFFASFTWKIPAEKNKGNKEIVSRHANCNLNLDAAGCKAGNIMCSSLVEASDPSHLEIMDCDICCIEPLFCRDCCCILCSVRIDSADGDNKSFIRCEARVKDGFICGHICHIECALRSYMAGTVGGIIGLDAEYYCRRCDARTDLIPHVKILLQSCESIHSGELSQCETDTLEDSNFKERKTGSPQIANFDYRIESLELEERIEKTLAALKKSQEGEYRIAEDVLIAQKNHLLLLYEELDKEKGELAKCSPSADPSMVHAVLKRMTQIKNEADKITKMQAVGKGFGKTSKHVLKEHFGVQPDN >KVI01527 pep supercontig:CcrdV1:scaffold_1243:126274:132554:-1 gene:Ccrd_020203 transcript:KVI01527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1423, plant MASFWRRILRSRNYLSSQTLTRWNPKLYHCVEAIEMAIPYLRNLSSFRIFGVSIEFSAVARETLDDALKFFQSSFVSRMPVFLSFLIQAMEIDPPHGSDGSTSGSKESEIYVVPSNHSGYGLPFAPEDFPEPGDKWGWKVGKRVSLTGHFLDRYLYLPARLHEKFKLGGFASKKSVERFMVEAFPAADIKAFFASFTWKIPAEKIKGKKEIVSGHPNCNPNFDPAGCKAGNIMCSSLLEASDPSHSETMICNICCIEPLFCSDCCCILCSLRIDSANGDNKSFIRCEARVKDGFICGHICHIECALRSYMAGTVGGTIGLDAEYYCRRCDARTDLIPHVKNLLESCESIHSGDKIQKILHICILILRGSTKLSAKSLLHHVQSAVRKVFFYSVL >KVD98114 pep supercontig:CcrdV1:scaffold_12447:482:801:1 gene:Ccrd_024267 transcript:KVD98114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQHLRNSLPDAVVVQRIDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGG >KVH96554 pep supercontig:CcrdV1:scaffold_1245:126034:128086:1 gene:Ccrd_001353 transcript:KVH96554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimethylaniline monooxygenase, N-oxide-forming MEKKQVVIIGAGISGLLACKYCLSKGFNPIVFESQSDIGGVWAKTIRTTRLQSFKGSFQFSDFPWPSSVTQDYPTQHQLLNYLRSYATRFDLMNHIQFNSVVKGIEYDGPPTPSRETFPQGKWKVTVENSQSTKVHDADFVILCVGRFKDFPNIPEFAPGAGPEVFRGKMIHSMEYAAMDHQEAEEFIKGKSVVVVGFQKQGLDIAMECSSVNGKENPCTILYRNDRWKLPDFSPWGIPLSYLYFNRFSELLVHKPGEGFLLGLLATILSPLRWGISKLVETHVKKKSPLAKFDMVPKCSFSKDLRSGLVSIMPEDFFNRVETGSILLKRSPGFSFYQDGILIDGENKPTLADIVILATGFKGIQKLQSIFMSQDLRDFIAPSPDSRVPLYRECIPPRIPQVAIIGFSESISNLFTSEMRCRWVVELLDGTFKLPSIKEMEKDISNWDEYMKQSAGEYHQRSVLAAIDIWYNDQLCKDMGWNHRRKNGMWAELFEPYGPMDYIS >KVH96557 pep supercontig:CcrdV1:scaffold_1245:102632:105716:1 gene:Ccrd_001355 transcript:KVH96557 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MKKKTNHHLHHRNNRSRSSNSSNTNNNNSVLGILQSVIFCRRRRFRGQLLPFISAVGGCILFFFAIVSFLSPPINHHHVHHFRYNHSSITDAVVAAYILNSTLVVPKLDNKSYWKDQSNFSDIFDVDWFISYLSKDVKIVKEVPMIEGRSIHPYQMRIPRKCDPECYHSRALPLLEKKKVVMLTKFDYRLSNRLDPDLQKLRCRANYHALRYTEPICEMDLNRTCSRSPGATLEKRDPDKERRLGRCPLTPEEVGLMLRALGYGEDVHIYVASGEVYGGENTLSPLKQLFPNFHSKDTIATKEELAPFAPYSSRMAALDFIVCNASDVFVTNNNGNMAKMLAGRRRYFGYKPTIRPNAKKLYRLFLDRGNMTWEEFASRVRTHQIGFMGRPNEAMPGKGEFHEYPIVCICEDLNTQIQNRSQGLSQNQSEPNNHDVQANKRDRNESESVVKEQQRGNEEHNNSYTQQIENGNGTRVKELLRRNKNDSDCGLEEGHQTNT >KVH96556 pep supercontig:CcrdV1:scaffold_1245:92520:98074:1 gene:Ccrd_001356 transcript:KVH96556 gene_biotype:protein_coding transcript_biotype:protein_coding description:AATF leucine zipper-containing domain-containing protein MPIWKNLRRSTMIFAMRNKIFLETYDVTKARIFGKAKRFPAVSYLWINSIFLTFVKALWDKTLEFRFLLQKSFSNSNRLPQEPIRSAFCNSGEGVNEAYSDLIDSTKKTLDSILKLQEALVEKNPSIMEAAEEGDAAQNSTSLDASRNSIEGDEEWFQISQMQSRIASFRDMSVDKWQRKTQVTSGAAGIKNKFQAFNQNISEQVASYMRDPSRMIKGMQQRTSAVPVFGNVPDSTLNKDEMINADGDPELLDDAEFYQQLLREFFETVDAGSSETAFYALKRLQTKKRKIVDRRASKSRKIRYDVHEKIVNFMAPEPMNIPPMAPKLFENLFGLKSQKPPTAVSS >KVH96560 pep supercontig:CcrdV1:scaffold_1245:38409:38789:-1 gene:Ccrd_001358 transcript:KVH96560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MLTPKKLVRMARKWRREAAKGGPTVANKGHFVVYTADHNRFVIPLHYLNNNIFRELLKMSEDEFGLPTNGPITLPCDSSLMNYLVYVFERSLTNELEALLVSIATNRCYSLDQGGENGTHVLVYGF >KVH96558 pep supercontig:CcrdV1:scaffold_1245:110800:113858:1 gene:Ccrd_001354 transcript:KVH96558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MAAISPITKSHCHARSISLPSSSQEQSVFNELCRVQASQEATTSCSSSSVIGHKLNELNDMYESLEPLFTLPTTQQSLAQGCHKEQLNKSLDELLMLLDLCSTTKDALSISIDSAKELQSVLRRKRGDNHGLISSVEEYLYNRRKLNKAVFKSLSSLQKQSSSAIKEDQRGTSKISILKEMESNTLTVLESLLTFILGSNVQSKRKGWSIVSKIVGYKRVQCDQTLEESKVKKVDDELHSLISNKKTKLGSLEADTIQMGLANLELHLLDLDEKIDCLRRCLIKARVLPFTSDQSSVLNEKLYRSKSSQEAITSCSSSSLSLIAQKLNGLHDVYESVKTLLAMPSTQQSLSQKCYKEQMNELLDELLDLLDLCSTTKDALCMSMDSAKELQSVLRRKRECNHGMISSAVMEHLSQRRTVKKAVLKALSGMRKQGSFSIKEDHLTTTSNINILKEMGLNTFMVFESLLTFIIGSNPQSTPKGWSLVLKTMGYKRFQCDQTVEKNEVKKVDDELHALISYKNSKFGSLDVENIQKGLAEMEFSLLDLTEQ >KVH96555 pep supercontig:CcrdV1:scaffold_1245:80076:81927:1 gene:Ccrd_001357 transcript:KVH96555 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTQSNTTSTTSQTHHLHLHLHLRLDLTALGFDFDHGSDLLGAPTARLPLPPYRRRVARFLPQKNGFWKKQLAFRHHRLSQHLSLRSLGFASFDLKGITGCLEIVGLSKIGEREWYFFVPRDRKHGSGGRPNRTTQNGFWKATGSDRKIFSLTDPKKPLGLKKTLVFYKGRAPRGNKTDWVMNEYRLPDSYPLHKEIVLCKIYRKATSMKVLEQRAAMEEVTKTIQPSTPLSLEEPISFYTQNDNLASSLPTPMESCHMASDNSDEFHQDLLLFWDEKLKDESSPPPAPITPTESFHVLSDNHDESCHDLMFMLDEKLKEEPDVISDANSSNTDNDNSISKVSSLRLPTENEMLRELQLPKMNTDWTQDSFWTQLCSPWLDNIMLTSPYANILNF >KVH96559 pep supercontig:CcrdV1:scaffold_1245:16433:21341:1 gene:Ccrd_001359 transcript:KVH96559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MHDKQLDSGKGILLHLCDDVIQQEGASDSWNMXMSFISVILLPIVGNAAEHASAIMFAMKDKLDITWSSNWIINSDINIPFCVVVGWIMGQQMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGVMLILCYLIVAASFFVHMMTKSEEASRNGSVLFKCCNFVRQVHMLIQRESINISHQHCHDQKVVLGRKII >KVD98113 pep supercontig:CcrdV1:scaffold_12450:698:1017:-1 gene:Ccrd_024268 transcript:KVD98113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 LQHLRNSLPDAVVVQRIDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGL >KVI07877 pep supercontig:CcrdV1:scaffold_1246:16916:22698:-1 gene:Ccrd_013757 transcript:KVI07877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIRAPDAISVYSGTVRDTCTEAKRNAHRIWHACTPVIPSALYPPIYLLLENIKHCKKVHKKTTVAICSSMSTAAPLIFV >KVI07878 pep supercontig:CcrdV1:scaffold_1246:58774:62686:-1 gene:Ccrd_013758 transcript:KVI07878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MAMELAIGGGGGVGGSGGDDHHDQPSDPNRDKKRYHRHTAEQIQLLEATFKECPHPDEKMRLQLSRELGLNPRQIKFWFQNRRTQLKAKSEMADNCLLRVENDKIRSENIAIKEALKNALCITCGGPPVAQDHLLEEQRLRFENAHLKEELDRVSGIAAKFMGRPLSLFAPAAVPPSHLSALDLSMASYVGGTQHQMIPVASGSGGPSLDLDLFTTTGMAAQPPTIHPSHPLRPTDKSIVVDIATAAMDELLKLFQTDEPLWTKSAVDGRNVLEIDTYERIFPRPNTSIKNPHLWIEGSRASGDVMMHSMQLVDMFADSTKWADLFPTIVSKARTIEVISSGVFGDQSRSLQLMYEELQVVSPLVPIRQFLFIRYIQQIEPETWAVVDHLEIEEKTAVHRLYRDLIYSGLAFGAERWVACLQRSCERIVCQMVTNSNSSVRELGGVIPSADGKKIVMNLAQRMVNNFCTSIVPSSGHLGTSVSDFDDFEVHMALYKSSDPSQANTMVLAVATTVWMPFSPQFVFDFLRNESNRHQWDVLTNHNPVQEVTHIANGAHPGNCISLLRTQNTSQTNILILQESCTDSSGSLVVYCPVDLPVINIALSGEDPLYVPLLPSGFAITTDGRQSSTTSPATGDSSGQNPTAGSLVTLMVQSVIGGPAWGKLGPESMTTINNLMGNTIRQIKAGLNCSSTTI >KVI07879 pep supercontig:CcrdV1:scaffold_1246:79323:108243:1 gene:Ccrd_013759 transcript:KVI07879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNKVVGSLRDLMEQMDAVEKDDMPMLTDTDWPSDRNEDNSQRRLSRRSKSVSISIPVSSFELDSSATSLVGFTGPLCCGRKTPRRQRQGNPSQSIHGTIGFERREPVEQENIIMLNHQRGDHDNEAKNEHLLRSGQLGMCNDPYCTTCPSSYNYKELLKNSRQTFGIGTELHDVLHGGATGWARKKLSLLKHFIFGVMNPHAKVVQQWNQFIVISCLLAIFIDPLFFYLLLTDKVHKCIVINWPMTQTIVVFRSMTDLIYLTHMLVQFRLAFISPESRVVGAGDLVDHPKEIALHYLSGFFFLDLFIVLPLPQMIVLFILPKAIASSGANYAKNLLRSSVLVQYLPRLYRFLPLLAGQSPSGFIFESAWANFIINLLTFVLSGHVVGSCWYLLGLQRVNQCLRDACHNSGIESCMKFLDCGHGTDFQKFEVDPNWKSWKDNGNSSACFSEGGFPYGIYVKAVNLTTENSIITRYVYSFFWGFQQISTLAGNQTPSYFVWEVLFTMGIIGLGLLLFALLIGNMQNFLQGLGRRRLEMSLRRRDVEQWMSHRRLPEDLRRKVRESERYNWAATRGVNEERLMENLPEDVQRDIRRHLFEFVKKVRIFALMDEPILDAICERLRQKTYIKGGKTLYQGGLVTKMVFIVRGKMESMGEDGNKVPLSEGDVCGEELLRWCLEDSSVNGDARNHTQPRYRLRSNRTVKCLTNVEAFVLRAADLEEVTSLFAGFLRNHRVQIAIRFRKRVEEEREISGKFCHRFWWERVSVLARLGGNHHPGGMEIQEEAAKSYTHLTWWYTLSS >KVI07876 pep supercontig:CcrdV1:scaffold_1246:4225:20933:1 gene:Ccrd_013756 transcript:KVI07876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein FQQRRQASQCSSVNNIEEDIRALQLDSSAEGGKVVPNENGGEPEEPAAPDNMEEDLRDESHAASHMEEDAESQTVHSEPKAAVEVKEQTTSASELVEDDVEKNKKRHLNVVFIGHVDAGKSTIGGQILYLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRYTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDSTVNWSKERYDEIESKMVPFLRSSGYNVKKDIQFLPISGLYGTNLQTRMSKTVCPWWDGQCLFEVLDVIDVPLRDPKAPFRMPIIDKFKDMGTVVMGKVESGSVREGSNLLIMPNKVQVKVVALYCDEDRCRSAAPGENLRVRVSGIEEEDILSGFVLSSVEKPIAAVYEFVAQLHILELLENAIFTAGYKAILHIHAVVEECEIIELMQQIDPKTRKPMKKKVLFVKNGAVVICRIQVTNMICIEKFSEFQQLGRFTLRTEGKTVAIGKVTELGA >KVI04163 pep supercontig:CcrdV1:scaffold_1247:70071:71122:-1 gene:Ccrd_017527 transcript:KVI04163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MEQEQKSSPPWHGPNRAKYLGPFSGEAPTYLTGEFSGDYGWDTTVLSADPETFAKNREXEVIHCRWAKLGALACVFPEFLTRNGVKFGEAVLFKAGS >KVI04165 pep supercontig:CcrdV1:scaffold_1247:33624:36581:-1 gene:Ccrd_017525 transcript:KVI04165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MFFNSSVMGFLLLLCSSLIVSLSSGADTITANESISGDQTLISKDGKFELGFFKAGNSSNYYIGMWYKKVNTILPTVAWVANRETPVSNRFESELKIIDGNLVLLNESKSPIWSTGLNSSSSNSVVAVLLDDGNLVLRDGPNSTGHIWQSFDFPGNTWLPGAKMSYNNITGKKQLLTSWKSLEDPSEGLFSLELDPKGKQYIIRWNRSTPYWTSGTWNGHIFSLVPEMRANYIYNFSYVENQNESYFTYSLYDNETISRFIMDISGQIKQLTWLEPSQQWNLFWSQPREQCEVYDYCGAFGSCRQSGLPFCNCLTGFNPRSPSNWSLTDFSGGCVRKTELQCGRTSEKYGFLPISATATADRKPPNFTVLAVGSSGECQRTCLNNCSCNAYSYDNNGCSIWNGELLNLSDDNDNATTIYVKVASKDLPSQKKNNGVIIGAVVGSVVGLVLILGLILFLIHRNKRSVGKTAVEGSLISFVYRDLQTATKNFSDKLGGGGFGSVFKGTLPDSTVVAVKKLESVTQGEKQFRSEVSTIGTIHHVNLVRLRGFCSEGNSKLLVYDYMPNGSLDSHLFSNEKLLDWKTRYQIALGIARGLVYLHEKCRDCIIHCDIKPENILLDTDLCPKIADFGLSKLVGRDFSRVLTTMRGTRGYLAPEWLSGVAITMKADVYSYGMMLFEFISGTRNSEQSEDGKKRFFPMRAANVLIDGDDILTLVDPRLNGNASAEEVTKLCKVACWCIQDDEEARPAMSQVEQILEGLLDVNMPPKPRALQLFVDDDDHVVFFTESSSSQSSQARSNPSSGVSQAKSTAST >KVI04162 pep supercontig:CcrdV1:scaffold_1247:84190:89301:-1 gene:Ccrd_017528 transcript:KVI04162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MKRPAIPPLSTVRADPPSSPRYPPPVTTPTTGAQRRIAIAVDLSDESAFAVQWAVQNYLRPGDAVILLHIQLTSVLYGADWGHAMDAKNASKETRQKLEDDFDSFTSAKANVLSEPLVEANIPFKIHIVKDHDLKERLCLEVERLGFSAMIMGSRGFGASKRKGKGRLGSVSDYCVRHCVCPVVVVRYSDEDGSDDASAKKVGADELTLRHASEEEVEFHDADDHDLKGDFQLFSKI >KVI04164 pep supercontig:CcrdV1:scaffold_1247:62882:69992:-1 gene:Ccrd_017526 transcript:KVI04164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVEGYRIAEGPLGEVVDPLYLGGSFDPLGLADDPEDFVELKVKEIKNGRLAMFSMFGVFVQAIVTGNGPLENLDDHLADPVNHAKMVTISGASLKGTQKDVKANARNKLKVKEIKNGRLGMFSMSGFFVQAIVTGKGPLENLIDHLVDPVANNAWSYTTNFVPGKTYSSSPKRKDGDEQEHKGEEGIKAASTMALSSASLSGQVVKVAPSTSDVFGEGRVAMWKTAAKPKTVSSSSPWYRPDRAKYLGPFSGEAPSYLTGEFPGDYGWDNIGLSADPETFAKNRYRIVGGPLGEVVDPLDPGGSFDPLGLADDPEAFAELKVKEIKNGILAMFSMFGFFVQAIVTGKGPLENLVDHLADPVANNAWSFATNFVPGK >KVI04166 pep supercontig:CcrdV1:scaffold_1247:16239:17756:1 gene:Ccrd_017524 transcript:KVI04166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-L-amino-acid decarboxylase MGSLESEFFTMSKSVDSKEFKPLDPEEFREKAHKAVDFIADYYKNIENYPVLSQVQPGYLRNRLPKTPPNTPESFDIILRDVQNDIIPGMTHWLSPNFFAFFPATVSSAAFVGEMLCNCFNAVGFNWLASPAATELEMVVMDWLATMLQLPKSFMFSGSGGGVLQSTTSESILCTLVAARDRVLEEIGVQHIGKLVVYGSDQTHSTYMKVCKIAGIHPHNIRSLPTTVEDDFSLSPRLLRKVIEADVAQGLVPLYLCATMGTTSTTAIDPIKHLANVAVDYRIWIHVDAAYGGSACICPEYRHFLEGVELVDSLSLSPHKWLLTYLDCCCLWVKNPNLIVDSLSTNPEYLKNKISESDSVVSYKDWQVGTGRKFKSLRLWLILRSYGVTNLQNHIRTDIQMAKMFERFVRSDPRFEITVPRRFSLVCFRLKQFNGFDSAYIELLNKMLLERVNSTGRVYMTHTVVGGVYLLRFAVGATLTKDCHVVAAWELIKETTDALVKECYA >KVI04161 pep supercontig:CcrdV1:scaffold_1247:99789:100909:-1 gene:Ccrd_017529 transcript:KVI04161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKKRCELCKSMARVYCDSDSASLCWSCDAKVHSANFLVARHSRSLLCQICQSPTPWSASGEKIGPTTASICGRCVVEGISDDDDDREERVEGNDSEIGTDSDDEYDGDELELEDSVDGNDNQVVPWSSTPPPPAASSSSSEEFSISDRRGFLKRKRQNDLDLTSEDEIDSSSVNINHNTRPPPIPEPAIGDETTSFHSPTSTSTSESTVGKQKRIRHQNPLSGNDRSAPVISMIKSTKPAEMAFNSSDSP >KVH88280 pep supercontig:CcrdV1:scaffold_1248:39918:62221:1 gene:Ccrd_024269 transcript:KVH88280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, BED-type predicted MGKKKKRVSSDVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKETVSKVPNAKDGRESTDIEIYGMQGIPPDVLAAHYGEEDNDNPTKVGKVEIPSSQVGMIPGSLGYPPSTLQSIYNAGLPVPRAGWPVPPRPQPWFSQNPAGSVPPPAPLGMVQQPLFPVQTMRPPISSTAPPGLQSSFPVAPPGLPASTPSVLVSQPLFPVVASNGMPSQSSAYSAPTLSTSIPLSSPSDLKNTMDPHSIVNINMPRGYHTPGFQGGIAISSHSYASGPNTGGPSIGPPPVIANKAPVIQPATNEVYLVWDDEAMSMEERRMSLPKYQVHDETSQMSSIDAAIDRRISEGRLAGRMAF >KVD98112 pep supercontig:CcrdV1:scaffold_12485:162:459:1 gene:Ccrd_024270 transcript:KVD98112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 eukaryotic/L18 archaeal LYKKVHSAIRADPTPKKSEKQPPKEHKRFNLKKLTYDERKQKLIERLNALNAAAGADDE >KVH93660 pep supercontig:CcrdV1:scaffold_1249:6920:8488:1 gene:Ccrd_004286 transcript:KVH93660 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MKELWTTMASLMGVLAFCQTLLHTVIPPELRFAFLKLFQRLFNCFSSYCYYEITEIDGVNTNELYNAVQLYLSSSASSTTTTAPTSNRLSLTRGLNSSAITFGLSNNDRLCDVFNGVSVVWEHIVTPRQSQTFSWRPLPEEKRGFTLRINKRDKPHILEAYLDFITEKANEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPTKKAEIMSDLRDFADGQSFYKRTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLEXTEVXTNXELRKLLMKTSSKSIIVIEDIDCSINLTNRKKTNGEGGSEMRNGPGGLGGPGDPENSNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVFMNYCSFPSLKILLKNYLGCDVGTEILEKLSAVIDDAEMTPADISEVLIKNRRDNDKALREVLEALRSRAEKKKSVRRRRRLEAEEEEMAEEEEKRALDSPKEVGGAATGGGGGGGHEVELNYKKGGKEDNGIN >KVH93661 pep supercontig:CcrdV1:scaffold_1249:22420:118017:1 gene:Ccrd_004287 transcript:KVH93661 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein MKRCTAFQLRGILQLSILKTATASGAVLDIQAHSLALEIQFCCSYLVYAVKMDNDQQQQNLAQSSPQAVDEHELFMELSENDFLFEKKKKLLEVKGFDPKIKVKIKSDCSIKLVKSVLEEMLQMARIIHSDEVDLYFGGIDAFRPVGFCSPRNELESLRSILSIVDSSVSNGEQIRPKVFQDLRNATLDMIHEFGSNIGKDAQILANWNLDREKCLLQWGKSNGVKARLDIAYIEGAGRGAIAREDLTVGDIALEIPVSIIISEDLVHKTNMFPVLEKVEGISSETMLLLWSMKEKHDINSKFKVYFDALPEAFNTGLSFGIEAVMALDGTLLLDEIVQAKEHLRSQYDDLVPSLCNEHPDIFPPEVYTWDEFLWACELWYSNSMKVMFSDGKVRTCLIPIAGFLNHSISPHIMHYGRVDSATNSLKFPLTRSCRAGEECYLSYGNLSSSHLITFYGFLPKGENPYDVIPLDIDLSEVDSTEEAHPISNWSTHMVRGTWLSDNHAILHYGLPPPLLEHLRQARSLMLQSNITAQENLESELEILEDLQSTFDAMMQNLGEREPLVSENCDWDVKLALDFKDLQRRIISSIVTSCHAGRKLVEHELQNCTNNG >KVD98111 pep supercontig:CcrdV1:scaffold_12490:886:1293:1 gene:Ccrd_024271 transcript:KVD98111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYGNSVIEANLAQDNLTLATDSVVGYPFSCIKKATGGSFPPQGVLGLGRGPLSFVSQSQTLYKSTFSYCLPSFKSSNFSGTLRLGTNGQPINMKYTPLLVNPRRTSLYYVNLIGIRVGSKVVNIPPSALAFNPNT >KVD98110 pep supercontig:CcrdV1:scaffold_12499:3:1479:1 gene:Ccrd_024272 transcript:KVD98110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAIYIGEKIFIKNFGFYVDCIQNRDFFLHYADSDLLKDVTRYSRQELEVACEDFSNIIGSSSDSVVYKGTMKGGPEIAVISLCNQEEHWTAYLELYFQKEVADLARLNHENIGKLMGYCVEATPLTRMLVFDYASNGTLSEHLHCEEGCQLSWTRRMMIVIGLAKGLKYLHTEIEPPFTISELNSSAIYLTEDFLPKLVDFESWKTILTRSGNNFRSIGSEGAAMCVQPSSLEERHLDVEGNIYAFGVLLLEIVSGRPPVCKDKGVLVDWAKDYLEEPEKMALIVDPALKHFRDEDLEAIREVVAICIHLRPRDKVNMEELSRMLESKLDTTGSSELKASSLAWAELALSS >KVH94352 pep supercontig:CcrdV1:scaffold_125:146196:147082:1 gene:Ccrd_003585 transcript:KVH94352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPTGELLVRIFLSADLFNVGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFAXDLDKATQNQLARGQRLRELLKQSQSAXLAVEEQILTIYTRTNSYLDIISELIPLNDCATKLVYKCQTVVPNTDNDLFVKVLLQPVTVNRWRTGWVTFIAHFLSDSDRSVASMNNNFSKLGLRKEDHIKVSWIELYFTGSTSISTRRRRRSSSTASPTMLAPENESPTMCDRANSNFRIWGEINLRQTG >KVH94341 pep supercontig:CcrdV1:scaffold_125:371322:372210:-1 gene:Ccrd_003597 transcript:KVH94341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization TLNLLYKTYLPSQTNPQNQSISSSFYPIPLAGKSRNSPEKPSNNPFLQSFDRLISRIRDMDLNFEATELRLGLPGSIDDQPEIKTSPSPANTNKRSSSEMGSSISDANDTSRSPPPAKAQVVGWPPVRSYRKNILQGKKTDESELGCGIYVKVSMDGAPYLRKVDLKMYKSYEELVKGLQTMFKCIIGLYSERELGYNHGSAYKPTYEDKDGDWMLVGDVPWEMFLSSCRRLRIMKGSEAMEG >KVH94339 pep supercontig:CcrdV1:scaffold_125:404033:408981:-1 gene:Ccrd_003599 transcript:KVH94339 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MHGHQPHPVTPGGATAISDAFLAKRGKRRGSYNCGRCGQPKKGHVCHLPPPSITDSSSAAVTPTDSSTSSATTIIPASPPLSAVRPHQRQQPYSNLRRALSFDDIDVHELPESDDEGGEEEYLSPDVEFNIGSGGLPASCLWEVLRRLPPPSLLSAAKVCKGWRDVTKRLWRAAEELRLKVPPRTQVGFVGSVLQKCPALARLSLTMERFENIRSGVFAIRPPDMDSTMLACIAFACPNLESLEIFTCEGSFNRITGDELGRFVADKRCLTTLKMEGCSNLGGFALCSNTLSTLWLSDLHSLSKMVFNCPNLNEISLDFSCQANDGTDLTAMMDGLGRSCPRLKNIHISSLQLSHSVVLSLTAANLRGLRMLSLVLGSEITDASVVAISKSYSNLELLDLSGSSISDSGIGMICNVFPETLTRLLLALCPNITSSGIQFATAQLPLLELMDCGMTICESDAQYPTHDGNGGSELQRSPNSKLHLIYQKLFIKHARLKKLSLWGCSSLDSLYLNCPNLNDLNLNSCKNLLPEKLVLQCPKLESVHAIGCQDILIQAVQTQVSSEPAPIENRYSCKRMADGSKRVGIPFSFSQQSPDEKMSWKVRKRRCTVLVE >KVH94336 pep supercontig:CcrdV1:scaffold_125:450437:452942:1 gene:Ccrd_003602 transcript:KVH94336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbW, class 2 MASIFASTITTTLLPKKPSTLLGTTCKMGKVRCSVDRGTSKGISQRLVMTTVSSFVATTMVATMSSPIAMALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWTLYFVYTGSLDEDDDSGLSL >KVH94349 pep supercontig:CcrdV1:scaffold_125:234595:245007:1 gene:Ccrd_003588 transcript:KVH94349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MKPIFQFSVKNTTKERSEKEKGKHTPKSLSFATALRHPPDLHCEEMSKKKNPCVFLDLSMDGSPTERIVIELFANVVPRTAENFRALCTGEKGIGSTTGKPLHYKGIIFHRIIKGFMAQGGDFSKQNGTGGESIYGGKFADENFKLDHSGAGMLSMANGGPNTNGSQFFIIFKRQPHLDGKHVVFGKVVKGMETIKKIEQLGTSDGKPSGLVKITDCGEVSEDKKNNAVESEKGKRKKSGKKAISSDDSSDGRGIKRRGKTIRDKRKKRKKYSSSESSSSGSDSESYSSESDSYLESDSEADSDSSSSSSDGRRRKKKSTMKDGKQRKTKRREARKEKRGLAGKRSKRKSKWSDRSPVRSGSRRSRSPISKRVTRSSSLLNDAKSRKSGQEKVRSFSRSPSQKASRSSVAEKDLSRSRSPNGTPKRIRKGRGFTKEYSFARRYRTPSPEQSPRRSHQFGYGQGRYHDRYPNYRRYSERSPPRRHRSPPRGRSPPRYRRRSRSRSITRNRNGHNSQSPRRSSSPIERRPNLSDKLKSRLGPRVAVSPTRSASSSPSGKHPKKVASMAPSRSRSRSRSSSPGGQRGLVSYGDISP >KVH94363 pep supercontig:CcrdV1:scaffold_125:21446:24980:-1 gene:Ccrd_003576 transcript:KVH94363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTMPWNYDDSDSSSDESSSGDRDASVNDQDPKNKGLPWHGTGMVLAELMKDGNSSSSSSFFSYEKYIGANLSGERHGHNLYTSTATSVTYTADDVRFIIDQFNEIVHQNNLNQRALLEAFTKGNPSATVPTICELKPIVMPTPREPPQQPPQQTDNTGDYKSDSKDN >KVH94351 pep supercontig:CcrdV1:scaffold_125:139818:144173:1 gene:Ccrd_003584 transcript:KVH94351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFYSVVKEEYPLGGSSSTVGGSGSTVPEKQPVVVEVPQIMVMQVPQPMEGLHDSGPPPFLTKVYEMVDDPNFDHILSWSRGGQSFVGFRKIDPDIWEFANEAFLKGQRHILKNIKRRKTSSHPPPQQEANNLCVEVGTLKGTEKKRQKMMGFLAKALQNPEFIQKLASHGERKNLAEAFMKERRKRPIDHGSNRVNIMESSETSNEFGDLSELEELALEMQGVGRLKRSQEEEAKDLSGVQEFDEEFWEELFSERFDIAGSEDGEADRLDFLGSNAK >KVH94335 pep supercontig:CcrdV1:scaffold_125:455667:464316:1 gene:Ccrd_003603 transcript:KVH94335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MYCKSPPMEPTNSHDKNPNFTSQIPYLSHWEYPFHSFNCTRIITPFYFKKLHQFVLISIGIFSKRMGGEAIVVPLDSLSQPASLIKKTAVSRSWISVDPTGQSTILDLDKYGIMKRVSIHARDLRILDPLLSYPSAILGREKAIVLNLEHIKAIITTEESFKEEFPFEFRALEVALEGICSFLDARTRELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLSFASSASSDIDGAWSPPSPTIESKISRASRATPFSIHGENDVEELEMLLEAYFMQIEATSNKLTTLELFLLSGTVSLSVYSLVAAIFGMNIPYPWNNNHGYVFKWVVVFAAIVSGSVFMSIMTYSRHKGLVGS >KVH94343 pep supercontig:CcrdV1:scaffold_125:349425:355902:1 gene:Ccrd_003595 transcript:KVH94343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNPSDGSADDFFEQILGFPAYPAGATDPNLAGNEGSLGGSAAAANSMILQLSSAGDGSGHHHLASGIVGGGGGGGGGGGFHFPLGLSLEHGKGGFLKMDDASGSGKRFRDDVVDSRVSSSGQPMPNTVQTTPNPPTVRPTRTDRAAMLDEIVDYVKFLRLQVKEEAGEGGRNQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYHTQPPDSTSIVKPESEPPL >KVH94358 pep supercontig:CcrdV1:scaffold_125:114667:133021:-1 gene:Ccrd_003583 transcript:KVH94358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNRKKFILSVLGFVICIATPTAGESSTCLAVYKEGGAPAVFQSPKCPRWSLPEHDPRRQATAGRCQSATRQGRRKSSEDRTFCTLDIRIPFPGPNGIRDTSVGIVAVFDGHNGAEASDMASKLLLEYFTLHVYFLLDATFSFLSKISKGMLPNKEEHDYASQMLGWDEKLGEHVLHIGRIKFTLSTIFDGAFHLEILKESLLKAIDDIDAAFCKEASRYSFNSGSTATVILMADSQILAANVGDSKAFLCSETFQSPPEAKATLLRLYRKRRRDGASVRMKDYGNFKLAASDGLPHFSAKELTKDHHPDRVDERSRVESSGGYVLEWGGVSRVNGHLAVSRAIGDLPFKSFGVISVPEVTDWQPLTANDSYLVAASDGVLEKLSSQDVCDLFWELHTDAPLELEYSSSCSYSLADCIVDTALDKGSMDNVAAVVVPFGLQNLPPKHSSEVRLQNYVDEQSELENADSVDKFGRLLVEGKHDTYGCFYLSESLNEKDDYTFWIAKDDQDSTYASPALPDMLDHSYGGPLHLYRDQMMCLHFGRSSGGDRDQCINPDGLASFLGFLESLPAHSVEPNQESFERTTPNTRYILKKRFDRGSYGEVWVAFHWNYLHQSSYSNWREKNKTFQSNTTHHGTEDQTSQRRTAQTDFSSSSPDANLFILKRIMVERGNAVYLSGLREKYFGELFLNASAYLGGTLSVKDSGYPLKESCPYMYNLLRRNESAAPEIEDPWQPEHMFSRRKRQPRVAYEEGLQHIARYIESLESRSNEIWLVFRHEGISLSKLLYTADDMGSSSGSTNDDHIKHVRILHPSKWWHWLKTTEAGQEEMQNLIWQLLMALKSCHDRNITHRDIKPEYLFFFPFLQENMIVCFEDQDSGRCLKGSPSRNENYTTKMYSQSNLYFLGVAFYSRFVRRIIDFGSAIDEFTIKHLYGAVGPSRDEQTYEYMPPEAFLNATWYQGPTSITTKYDMWSVGVVILELIIGSPNVFQINAITRALLDQHLEGWNEGLKTLAYKLCQESICPCYNQQCLLLHVVSSGSPASWKCSEEFFSSQIKSRDPLRIGFPNVWALRLVRQLLVWDPLHTDWCEKSGVWSMKPEKILDWYGSGKVNTESRLTEWTSANLDLEYKRCRSPLTRN >KVH94356 pep supercontig:CcrdV1:scaffold_125:74031:80908:-1 gene:Ccrd_003581 transcript:KVH94356 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MGTGEYEYSKLNYEQKAHKVAIPPPQPFIKSLKNTVKETFFPDDPLRQFKNQSPCRKLVLGVQYVFPIFEWGSRYNLSFFKSDVIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSKDLAVGTVAVASLLTGSMLGAVVNANENPTVYLHLAFMATFFAGVLQASLGILRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLEHFTHGTDLVSVLRSVFTQTHQSTKRPKLFWISAMAPLTSVILGSLLVYLTHAEKHGVQVIGELKKGLNPITIMDLSFGSQYLSTAIKTGIVTGVIALANYNIDGNKEMIAFGMMNIAGSCTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMAIAVMITLLFLTPLFHYTPLVVLSSIIISAMLGLINYEEAIHLWSLDKFDFVVCMSAYLGVVFGSVALSLLRVLLFVARPKTVALGHIPDSTIYRSMDQYQNAKTVPGILILQIDAPIYFANSSYLRERYTVGNIDTSGITMLGEVKKVMERRGLKLVLANPGGEVIKKLNKGKLIEVIGQEWIYLTVGEAVGACNFMLHTYKNDEKLTSTGSSSGKETWNDNNV >KVH94362 pep supercontig:CcrdV1:scaffold_125:36278:36787:1 gene:Ccrd_003577 transcript:KVH94362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MEALHDTHVKLLAFDLLSLTPSSSNPNAVYRKGTLLLSRVETLGVVTSRDHKPDRFLRFTIDDGTGCIPCVLWLNQLTSPYFSRRSPPDVRLIAEAARKFATLIQIGVSARVRGKVTFYRGNLQLTVSNVFIERDPNAETLHWLQCVRLARKCYDIVPDPTPVYKKAKN >KVH94357 pep supercontig:CcrdV1:scaffold_125:110269:112628:-1 gene:Ccrd_003582 transcript:KVH94357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MMSQSQLPVRKADVESGTSRDLYPMMLESPELRWSFIRKVYSIVAIQLLLTVAVGAVVVSYRPIVTFLTTTTGGLACYILLIITPFITLCPLYYYHQRHPINYFLLAIFTASLAFAVGLTCAFTKDMLRFLFCVGKPINRSTLINRIDCSYCLTILIAGKVILEAAILTAVVVVSLTLFTFWAARRGYDFNFLGPFLFGALMVLFVFSLIQLFFPLGKTSTMIYGCLGAIIFCGYIVYDTDNLIKRYTYDEYIWAAISLYLDILNLFMSLLTILTGADD >KVH94345 pep supercontig:CcrdV1:scaffold_125:319504:320951:1 gene:Ccrd_003593 transcript:KVH94345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steadiness box MVSPSLVDIIDKAIFCNTVYALAYTDSDQKWLIRKHLLSLHQEFSSLYPKVGTFIHNDGTMVHLLKAEGYLNVSHLLPLVHVTIWVHEYYPHVAPMVQVTSDPTIPIRSNHPFVDPSGVTTSSYLYMWGPSGYDLLGLAYNLVKLFSLDHPFHFVSSPSISHPSYVSKMEGMDRLWWMLHYDMIALRESINDEVQNLTTLQAEMKVRVNITTDMIIGLDHEKTDLKQIVKEMTDETDVLISWLAVNKVNLSVAMGGKLEDAFECVDDDSKWALELLAEDKALEDSMYALDKALDDGVVASEAYFRQVRSLARDQVQESSSLSTGVRLVY >KVH94338 pep supercontig:CcrdV1:scaffold_125:417001:426378:-1 gene:Ccrd_003600 transcript:KVH94338 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MATSLSTPKLSTTLSSSTLQTLLYRHKFSTQNHSPFIIFPQSLSSSSRYSSSFNLSKKALGFLSDHRRNAILKSRRFATRADSTNGAEPRYDYDLFTIGAGSGGVRASRFASNFGASVAVCELPFATISSDTTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESLGFGWSYGSEPTHDWSTLMANKNAELQRLTVDVDGKLYTAKNILVSVGGRPFIPEIPGREYVIDSDAALDLPSKPTKIAIVGGGYIALEFAGIFNGLQSEVHVFIRQKKVLRGFDEEVRDFVAEQMSLKGIEFHPEESPQAVIKSSDGSFSLKTNKGTTEGFSHVMFATGRKPNTKNLGLETVGVKLDKNGAIEVDEYSRTSVPSIWAVGDVTDRMNLTPVALMEGGALAKTLFANEPTKPDFRYLFSQPPIGQVGLSEEQAIAAYGDINVFTANFRPLKATLSGLPDKVFMKLVVCAKTDQFDATIGVHPTSAEEFVTMRTPTRKIRNSPSGGKADSQAKAAAGV >KVH94337 pep supercontig:CcrdV1:scaffold_125:441580:448753:1 gene:Ccrd_003601 transcript:KVH94337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSGRKGEIGRATLGHDQDVPPPARRVIDKKIKEMKDQLIRAKAYLSFAIPASNAHLIKELRLRIKELERAMGEVSKDSDLSKRALQRMRAMEISLQKAARIYPDCSSMVKKLRAMTNNAEELVRVQKEQEAFLVQLAGRTTPKGLHCLSMRLTADYFALKPEERKLPSNPDVHGSNLYHFVVFSDNVLACAVEPGKIVFHVVTDSLNFVAISKWFLLHPPGKASVQIHNMDNFDWLSTKYEPANAEGHDSQDPRYTSALNHLRFYLPDIFPTLNKIVLLDHDVVVQRDLTRLWKVNMMGKVNGAVKTCQGHDPAFRRMDLLINFSDPTVARRFNLEACTWAFGVNVFDLREWRRRNLTAVYNEYLQLGKKRPIWKSGSLALGWMTFYNETVGLERKWHVHGLGYHSGIKQEDIEQAAVIHYDGVMKPWLDIGIEKYKGYWRKYVKYDHPYLQQCNIA >KVH94347 pep supercontig:CcrdV1:scaffold_125:282092:285833:-1 gene:Ccrd_003591 transcript:KVH94347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAGKGGKGIEAFQLLQLEPSCLTVDLKLLGGNLKLATEISAFLFLRCEVSFLYSPPWSTLSSPCPLLPAAALPPPLSVDRPMFSSLLSWLWVWEFPVGRIHRHLKTRTSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >KVH94361 pep supercontig:CcrdV1:scaffold_125:4256:9428:-1 gene:Ccrd_003575 transcript:KVH94361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MQMTSIMEVDMKDGGTISQKKKHHPPSRKAEEQDLRSYVECGGKISDGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNSLPGTSGGFQFHPPSPIPDPVIDSDSDFAGLVAQKTSSFLHRRSFQSLSRSMRMMESDMAMPNLRFEHRYSICDPIDYDRSLRWGIGSSDEENGPDGSPSSINYSQIPYSGSFSMEERDKQTENSRYCLVASKQMVGIYLTIWVKSDLRDNVRNMKVSCVGRGLMGYLGNKSFDVRSKGRRRAQKKLGRDGNLEENSRREMRTLLKRFSSMSKDASSYCLYSLMNVLEMKSNTNVLMFVLHDSSRVIWLGDLNYRIALSHRSAKALLRMERKRGRVFEGWNEGNIYFPPTYKYSNNSDRYAGYNMHPREKRRTPAWCDRILWYGNGLHQLSYVRGESRFSDHRPVYSIFLAEVESINRNQFKKNISYSSRIQIEELLPFSRRSTED >KVH94348 pep supercontig:CcrdV1:scaffold_125:274886:278503:-1 gene:Ccrd_003590 transcript:KVH94348 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4033 MGTAIPSTQATILPFPPPSRRKPPPRSPKGSPPVLAVLTQPAFVTIKNDDDLRLKIDSKNNTIATTSKTVYHDNWFERIAIDYLSKTIQETVGMKNDEPGYKGFVTVSAAVFREFNLTEQRQFVDKALEKAIPSLMFLEEANCIGMCTNLCKMPSQEFMKNNFGIPVNMVPNFDDMSCEMIFGQDPPAQEDDPAFKKPCYKL >KVH94355 pep supercontig:CcrdV1:scaffold_125:68659:73049:-1 gene:Ccrd_003580 transcript:KVH94355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MAPKKSKHEFAPKKIKLKKDSKMKLKKKKKTSSSSNAVAAAGGSENRGVDSDWWNSFWEKNSPISGSMVPQDEEEGFKYFFRVSKKTFEYICSLVREDLISRPPSGLINIEGRLLSVEKQVAIALRRLASGESQVTWRFIEAMEERGKHHLKWPEDDNLQKIKSEFESSFNLPNCCGAIDATHIVMTLPAVQTSDDWCDQIRNYSMLVQAIVDHKSRFLDLVTGWPGGMTIPKLLKFSGFYKLCESGERLNGNPRSISDGSEIREFIVGGSNYPLLPWLITPYNENEDEMSGFNRVHESTRSVAVRAFSQLKGSWRILNKVMWRPDKKKLPSIIVVCCLLHNIIIDCGDYIKPEVSLSCHHDLGYVERWCKQVEPLGRKMRQNLASYLENSN >KVH94342 pep supercontig:CcrdV1:scaffold_125:357733:365241:-1 gene:Ccrd_003596 transcript:KVH94342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2Fe-2S ferredoxin MLARLASKRLLEIRQAFRQFPQTNRSLSTALNYHIDTPDNNPKVPWEFSEANKPKVHEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMDAVAKVIEVAPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSREIEDALLKHLGVKRNEVTKDGLFSVGEMECMEDVTPKRVVEIVEALKRGEKPPRGTQNPERVNCGPAGGNTTLLGEPKPPPCRDLDAC >KVH94350 pep supercontig:CcrdV1:scaffold_125:256035:259213:1 gene:Ccrd_003589 transcript:KVH94350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVQNQNAEKRMEKQSGCISGFLQIFDRHQILAGKRIHSTKRLPPSTGVGASPEILSSVQSPEFSGELRKQEPTKHVVVMPESPDRSQSSPSENATGNQLATPPTKSTPLIPMSEMKDGTQKCSWKSSKEMARLSLDSSANSKPSVVTDGGYDKQQRSSSVIARLMGLEPLSSSDHKPPQPVSGKPALRRSASESRVSRDPVHSIYIDGNNFQVKQPKQTVEHIVRDEGRNVSNSESVNGRALKSMGYGSGNLKSESLRTSPWKSPQQKRSFFDSEDFFPEPNPTTVSMHGDFEKKLKMRGMDEQSNDLGTLKQILEVLQLKGLLHSTRPSNGDRHRNFVYVRNLPSDESSIVLMKPWRSPASKVDNQISTNDSRGTRRYTGENSPAISPKREGGAVDRSARSPARARNSSPTRIESNLKSCNSIVKRKPLSIEIQRRANESSDSLRSSPSSSPKLTPKRTVSVNHCLNNRSPRNHKPSESSAINSTKHETFKNIVIEEESSSISVSTVYTPPPTDTEGNRQGRIPPTVDYEDENCSRSISPTKSMEHEEFISDDTDFIYISEILRMSPYLHEDRSFSLAEKLYKSNDTSNVSKRQRKLVFDVIVEILDRNRQLPPWKAASLANSGSGTSLKQIWSEFQKIREINTGDGMLELISGVLKKDLIEINGWIDHPIETSDAILDIERMIFKDLVSEAIVDLAEFPAKRVFSRPQRKLVF >KVH94340 pep supercontig:CcrdV1:scaffold_125:390754:392751:1 gene:Ccrd_003598 transcript:KVH94340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFDETELRLGLPGGGGGAGGGNKTSEGGDAVVHVNGKRGYDETIMDLKLKLSSDDQENKNDTEKNLFAGGDGVDGGCSGDPPAKTQVVGWPPVRAYRKNMMSVQKKSCLRRHEDANGGGGGGGGAAFVKVSMDGAPYLRKVDLKMYKSYQDLSDALGNMFSSFIIGNCGSQGLKDFMNESKVMDLLNNSYEDKDGDWMLVGDVPWEMFVDSCKRLRITKGKEAIGLAPIAMDKCKTEAKSRE >KVH94360 pep supercontig:CcrdV1:scaffold_125:41753:46099:1 gene:Ccrd_003578 transcript:KVH94360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTMPWNDDDSDSSSDESSSGDTDASDNDQVPKNKKIRSGGSSKTKVESAKRKSKGIDFEALSQHGYKGGLSVLKVPPPKEDDRNRDWSWSTGKDSRAANETEESYQERQKTRATLLEGEQLVNVQTQKEKNLSFSQKEKRKRDIGQASRGKSYMGYAEGLLRKASCQRQVKCDIFSLIPYF >KVH94353 pep supercontig:CcrdV1:scaffold_125:180132:183167:-1 gene:Ccrd_003586 transcript:KVH94353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNISTTTLTKAQKRWRLAYFTIHFSNTLISIAKNLVVVSNKLPISQLIPSPNSYSIVEIIPPKPDPDSSGFSRVNHVHLVETVKTKDLKKLHKLGGVEGVAEALETNLDSGINCRDLNERKSIFGSNTYEKQPPKGFLFFVIEAFKDTTIVILLGCAALSLGFGIKEHGVEEGWYEGGSIFIAVFLVIVVSAISNYKQEIQFDNLSKISDNIKIDVVREGRRQNISIFDIVVGDVAILNIGDQIPADGLFVDGYSLLVDESSMTGESENISVDAVTNPFLFSGSKVADGHCRMLVLSVGMNTAWGRMMSSITGDSNEQTPLQVRLNKLTSSIGKVGLAVAFLVLVVMLIRYFTGNTEDNDGKREYNGRRTNISEVFDSVTRIFSAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTTNKMTVTKFWLGLEYIEKDSSDVIATDVRELLHEGIGLNTTGTVFKSGSDSVPEYSGSPTEKAMLSWAVADLDMDMEKLKQTSTVLHVETFNSKKKRSGILIRRVADNDMNVHWKGAAEMVLAMCSKYYQSNGCIKTIDSDERTQLENIIEGMAASSLRCIAFAYKKVLEHKEDGAVYRTLSEERLTLLGIVGLKDPCRPGAKKAIETCRSAGVNIKMITGDNVFTAKAIATECGILEVSQQDCEGEVVEGVEFRNYTDEERMRKVDSIKVMARSSPFDKLLMVQCLKRKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKQSSDIVILDDDIASVSTVLMWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSSGDVPLTAVQLLWVNLIMDTLGALALATERPTNELMNKPPVGRVEPLITNIMWRNLFAQSLYQIIILLTFQFRGKTIFNVNESVKNTIIFNIFVFCQVFNEFNSRKLEKKNIFRGIHTNRLFLGIIGMTIILQVVMVEFLKNFADTEKLNGMQWGICIAIAALSWPIGWIVKLIPVPDKPFLNYIRH >KVH94354 pep supercontig:CcrdV1:scaffold_125:222850:225919:-1 gene:Ccrd_003587 transcript:KVH94354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MTFIDWDFLEWFTRQTTETRHDRGGAKGKVRVAGEDGLGKEETSENYVVHDGTPIGNTLGNGYHKVAGEDGLGNEETSENSVVHGGTPIGNTLGNGYHRVAGEDGLGKEETSENFVLSKMTEGLTIDQINEFRQAFSMIDKDSDGLITTDDLIGVIQTLNENATDEEVQEMMNEVDTNEEGTIDFDEFLNIMSRRVRDNVSDELKEAFKVFDRDQDGYISPDELRNVMINLGERLKDEELEQMIREADLDGDGVISYDEFVRVMMSAS >KVH94346 pep supercontig:CcrdV1:scaffold_125:300371:302991:1 gene:Ccrd_003592 transcript:KVH94346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MPLTMKIQPIDSTTPECFEPVKIVPKSRLRRLFDFSSFLRGSAVADRSVAGEPQCGKDCSDEFEPSSVCLDKMVQNFIEESNEKQYVATANKCGRHNHQQCNCVNGTNFCSSDGSEDEFDSFNCFGNSNNHSSSTDSCDPLKSLVLCETVSERNLLADAAKVVDKNKICKRKDEISRKIVSDGLLATGYAVSICKSRWEKTSTYPAGEYEYIDALIEGDRLIIDIDFRSEFEIARSTKSYKAVLQMLPHIFVGKADRLQKIINIVSDAAKQSLKKKGMPLPPWRRAEYVKAKWLSPCTRIIITPTDDQSPNENPIKSDPKDHILMEEFEVLATTDEDPSAEAFKQWEPLEIKPKVLKTGGKVISGLASVIEGK >KVH94344 pep supercontig:CcrdV1:scaffold_125:323693:339851:1 gene:Ccrd_003594 transcript:KVH94344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MDAHSSHLTAPLRSRSSQSPSPSHSASASASATSSIHKRKLSAPEDHAPPFPSSFSDTRDGALTSNDDLESISARGGADSDDSEEFEDVVDDDEEEYDDSSMRNFTASRLENNVGPAGRNTKPKTENPVKVEPSEVGKDGVAGAVGSAAASTPASVPGIVVKEDTVKSIFTENLQTSGAYCAREESLKREEEADKLKFVCVSNDGNDQHMIWLIGLKNIFARQLPNMPKEYIVRLVMDRGHKSVMVVRRNTVVGGITYRPYVSQRFGEIAFCAITSDEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFNKQGFTKDIFLEKERWHGYIKDYDGGILMECKIDPKLPYTDLSTMIHRQRQAIDEKIRELSNCHIVYPGIDFQKKEAGIPKRILKLEDIPGFREAGWTPDQWGHSRFKIAMHDHGDAWPFKEPVDVRDVPDYYDIIRDPMDLKTMSRRVESEQYYVTLEMFLADARRMLEAFFSTRVQSGLQSFLKIQQ >KVH94359 pep supercontig:CcrdV1:scaffold_125:47226:53559:-1 gene:Ccrd_003579 transcript:KVH94359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase MGLSDGNLHTFMSDFVSKCGGVAVIDGGLATELERHGADLNDPLWSAKCLLTSANLVRQVHLDYLEAGADILTTASYQVILMAFIGIILSLSLSLSQLTGVGQATIQGFEAKGFSREESEAMLKKSVDIACEARDIYYERCRESSSDSGGEGRTLKHRPILVAASVGSYGAYLADGSEYSGDYGDAMNLEFLKSFHRRRVQVLAESGADLIAFETVPNKLEAQAFAELLEEGINIPAWFSFNSKDGVNVVSGDSLTECAKIADSCQKVVAVGINCTPPRFISGLIQTIKKVTAKPILVYPNSGETYDAELKQWVKKSGVTDVDFVSYVNKWCEIGASLVGGCCRTTPNTIRAICRTLPARSVSISPA >KVH91054 pep supercontig:CcrdV1:scaffold_1250:51004:54315:1 gene:Ccrd_006935 transcript:KVH91054 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIM-19-like protein MTEAFIRNKPGMASVKDMPLLQDGPPPGGFAPVRYARRIPSKGPSAVAIFLAAFGTFSWGMYEVGKGNKIRRAIKEEKYAARRAILPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGESVYHSGKWMPPATGELRPEVW >KVH91042 pep supercontig:CcrdV1:scaffold_1250:116135:117071:1 gene:Ccrd_006943 transcript:KVH91042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSQTKSHANGCEVRILREKTKLLQQKLDEMMYLRETESQVYEQEIMVHALKESEWKRDRKWLQREVKKLRKALEERNRGRQMMAMGKKTDLAFDQMREERAQRDEAVEKWKRLYLAIKIELDNLINKAHQGETESWREEEEYLTYELRRELKAKEETIELLQAHIASIEQEESRREREVDILRQSLRIMSHKKMPKHISKGFSRNLQH >KVH91053 pep supercontig:CcrdV1:scaffold_1250:37415:41831:-1 gene:Ccrd_006934 transcript:KVH91053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MYRFAVNLASKARVANNGTQLIGSRLSWSRNYAAKDIRFGVDARALMLRGVEELADAVRVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTGAIFSEGCKSVAAGMNAMDLRRGISMAVDSVVTNLKSRARMISTSEEITQVGTISANGEREIGELIAKAMEKVGKEGVITIQVCAIKAPGFGENRKANLEDLATLTGGQLITEELGMNLDNLELEMLGSCKKVTVSKDDTVVLDGAGAKKSIEERCEQIRSAIESSTSDYDKEKFQERLAKLSGGVAVLKIGGASDSEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKMPVYTIASNAGVEGSVVVGKLLEQDDPDLGYDAARGEYVDMVKNGIIDPLKVIRTALVDAASVSSLMTTTEAIVVEFPKPAGEAAPGMGGAMGGMDY >KVH91052 pep supercontig:CcrdV1:scaffold_1250:63832:64149:-1 gene:Ccrd_006937 transcript:KVH91052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MTFDNVEVHCQSKDTNLGVHVLNSTNLRYGWSFCENIMMSTLFFCHFNRQMVEQTFDVFNITMASACNHGFSDTNTCNWAVKQDGFYFFDHQQSMWLKQYDWNQK >KVH91049 pep supercontig:CcrdV1:scaffold_1250:12889:13266:1 gene:Ccrd_006930 transcript:KVH91049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MKQHQPQYFSIHGITYEIRVVNGFTNNSSLPLVIWCASQDANIGGRALQEGDDFSWDARISFWTANPAFSCTMKWDRTRKKFEAFQVHRDRPRCRLLRKCSWLVKEDGFYFSNDESNWVKDFSWL >KVH91046 pep supercontig:CcrdV1:scaffold_1250:116221:130095:-1 gene:Ccrd_006944 transcript:KVH91046 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAANLPSYKTPFYFFYSSTPNLNLPRFPFFRNPNLIAPFSLKLALSSSNTRSRHGYFSLCYNNKSTSDMETALLHEEIERTPFDINRAVVLAGFAFEAYTTPTENIGKSEVDAAGCQTVILSESFLREIYDGQLFVKLKNGYEFPAMDPWVTSLLHGTSDPYVVLQLDSQIVKSNESLSSVRTKEPTWNEELTLYIKNPPTNDLQVAAWDANLVTPHKRMGNSSVNLESICDGNSHEVLVELEGMGGGGKVQLEEEKNWRIPFLPEFLRNNGFESTLRKVVGSEPIQARQFVEYAFGQLKSINDAYIQRDGSSDDDKYAGEDSRISSNLNTQSDIVQTYQGPEDSLKYESNQKDEMNVDTIVTQMDVSSQSDKDFWKTFADTVNQNVVKQLGLPAPEKIKWEGFDLLSRVGLLSQSIAEAGYIEAGLATPSGQDALNDDETTDTSTNSTVQSSFPDIKKVTQDLLRQTDSLLGTLMVLNATVSELTKDGGLLAKSDTKEDVTKEEKHAPECLKSEKSESSYGEPVLDERKAEEMRALFSTAESAMEAWAMLATSLGHPSFIKSEFEKICFLDNSITDTQVAIWRDSARKRLVVAFRGTEQVRWKDLRTDLMLAPAGLNPERIGGDFKEEVQVHSGFLSAYDSVRTRIISLIKAATGYQDDGADQASKWHVYVTGHSLGGALATLLALELSSSQLAKSGAISVTMYNFGSPRVGNKNFAEVYNEKVKDSWRVVNHRDIIPSIPRLMGYCHVAHPIYLAAGDAENTLENIELLGDGYEGDVIGESTPDALVNEFMKGEKELIEKILNTEINIFRSIRDGTALMQHMEDFYYVSLLENVRSNYQTVVKTKSGDGSSSSVREV >KVH91048 pep supercontig:CcrdV1:scaffold_1250:32132:35935:-1 gene:Ccrd_006933 transcript:KVH91048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MDSLIFFLWPFIYFLITEHDFRLCGIDMIMTSCTSIMHSLISGLNMFYIVKTRILSVSDVSCCRLALMVEPGEMTQDGVLVDIKLSDAEIAQWDMMQQRSIECLIRRKKSLRVRYTYGIIFLLVNLVAWFLRDYGQRVSLHYHILIKACGPKGHDCFQTMGVLRISLGCFIFFFMLFLTTCGTTKLFNTRDTWHSGWWTAKFAILMIIQVFSFFIPSDFVHLYGELARVGAGIFLLLQLVSVIEFIAWWNAYWMPVERKKQSSCCGLVMSTLFYMGSFCGIIVMYVWYASKASCTLNIFFITWTSILLLVMMVISLHSKVNKGLLSSGIMASYIVYLCWSALRSEPASEKCSPEKHENEHVDWITVLGFLIGVFAIVMATFSTGIDSETFQLRKQEDQMEDDIPYKYGFFHLIFSLGAMYFAMLFISWNLDSSTRKWSIDVGWASTWVKIVNEWFAATIYLWKLISPIMLKNCLLFIFSMLILQMGIWNIVKAVDPSSAAEGEHVFELYLHDILGGSNPTARPVTGLLGNIYSGQVPFARRIGFRAPQGGVAIPNANGAIPTVNANGIPLGTGLVGTQYAGNLNQNNNGQNQIAAQLGPDGLGLGFGTITVIDDVLTVDPKLGSQSLGKAQGVYVSSSADGSRQMMTFTAMMEGGEYGDSINFFGVYHIGSAMSRLSVTGGTGKFLHACGFAEVRSLIPAGQIVADGVESLLRLTVHLSY >KVH91045 pep supercontig:CcrdV1:scaffold_1250:82016:83770:-1 gene:Ccrd_006940 transcript:KVH91045 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MHCACTTINLDHHHLPTMVQQPLQQGDLFSRRCILVNGPVIVGAGPSGLAVGAGLRQQGVPFVILDRADCIASLWQNKTYDRLKLHLPKQFCQLPYFPFPPNFPEYPSKYQFIDYLESYAKKFEISPRFNESVQSAKYDESCGLWRVRTVADNGEVEYICRWLVVATGENAEKVEPDFEGLDEFGGHVMHACDYRSGEAYEGKRVLVIGCGNSGMEVSLDLCHHNAFPFMVVRSSVHVLPREISGRSTFELATSLMKWLPVKMVDKILLILATWTLGNLEKYGIKRPLMGPLELKNTHGKTPVLDIGALKKIKSGKIQIVPGIKKFSRGLVELVNGENLEIDSVILATGYCSNVPSWLKENDLFSGEGMPTTPFPEGWKGKSGLYAVGFTKKGLSGASFDAIRVSQDIAKTWNQETRSQTNHYVTVSCDRRCNK >KVH91055 pep supercontig:CcrdV1:scaffold_1250:71291:73149:1 gene:Ccrd_006938 transcript:KVH91055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDDEKDGHYGKCMGNGPRPTSASGTKFVDDVLNEMMVSEMVDIDVPFNAQEIQDTSQLRDSIAAEIWNDYVHDFPII >KVH91056 pep supercontig:CcrdV1:scaffold_1250:74180:80076:1 gene:Ccrd_006939 transcript:KVH91056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme F420 hydrogenase/dehydrogenase beta subunit, C-terminal MDISYLFLLANICGGKILLLLPLTPPIPYHTIPMAVFSARLCSFPLQRSIISASSSKDTNGSSVKLRDDWRQRSKPIPPGGTYPAKDQCSKCGLCDTYYIAHVKNACAFLGDGMSRIEGQEQVVHGRGRNIDSLDETYLGVHQELLYARKTEPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQRCFFHYVVICDTVSMSSCLNHESDPDDRLSPRPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEQHLNLDKLYVLGTNCVDNGTRDGLDKFLKAASTDPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANDLVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLNLVKNLLEITPTTTGGERRPFVMETVKADDNAKLGKGPAQPAPKFIGNIIAFILNLIGPKGLEFARYSLDYHTIRNYLYVNRTWGKERADKHMPSYAKKLVAMYNEKGEIDQILSSK >KVH91040 pep supercontig:CcrdV1:scaffold_1250:3682:11200:1 gene:Ccrd_006929 transcript:KVH91040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERATMKRKPSGNELQSLLDAIKASDVVENRTQLISELGELDVTDENERASIIEYILGRLHMSGCIPVYVKQNYIASGNNLPRIGYVQMPQSIACSRNQGFEEKEKVKAQVLLDMLGHWDAVLSVLARHPVSTGKELMTIIETSISELLNLTKDSILEAKRIHTSGSKVLKTALVVLDAVICLCRAYCNNVKLDYDARIENDVNGDDKENHIISITKCTVENLVDLGILAANAGGNLVTILNLSWKGVVTLLQLCKGTLGVKMNIAEIILSLLSLAKGSLSCAAQTWSSLMEPVSVAEAKRIFIPVKFYLINAARIISHYPSQAFSVFEDITLCILMILTFRIFLGKEELLNTASEALADLLEPTSIHLLNSLLNSAQLEQEHKCQILDWLFSDITVPSFVPGYQLSTYRDKSMDAIFSVTRASMHGSKILLLGQLALFVNLLRSARDLEDDAKLEVAKKLEWLLNILTDEDVYSSILALRVPLLHCSGQMQELASQNMFFSIIHAIKTFMLVVSSTSVWGEVESFLLENLFHPHHLCWEIVMELWCFMVRHAETDMGSHIINTLCTLLRTTASWESVQNPCSVLRKLARSICMLLRHGSQSMADRVFNFVSSNASKSLSSMYIALLMEGFPLDFLSDKVRSVAKQRIVTEYFCFLDIFDDDSSRQCGKGMFGAPVFALSAALHSLQVSISDTEMKALKLLISIIHRYNNSGDNSKDQYRKLLSETLGIISSMEHLYASDEIERVILELQSLFISESAVTDIGLLKCIPNLAAFVAGLGHIEFEETDSNAKVSASWQLFHMLLKERHWALAHLAITAFGYFSARTNCNELWRFVPQDAALSFDLELGVDANEERFMSEFKVFFEKEAAYVKPTPSTNELALLFKEGLVLKQMVQKMRTINSEAMIPKSDTMQIDDPKQTNKRRKIPDGINEGVLLLQNGLRVIANSLTVWQQNQLDYSDLHGEILAHFSHLEDAFGHMAARISETE >KVH91051 pep supercontig:CcrdV1:scaffold_1250:56746:57063:-1 gene:Ccrd_006936 transcript:KVH91051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MTFDNVEVHCQSKDTDLGVHVLNSRNIHYGWSFCENIMTSTLYFCHFNRQMVERTFDVFNITMASACDHGFSDTNTCNWAVKQDGFYFFDHRRSTWLKQYDWNQK >KVH91044 pep supercontig:CcrdV1:scaffold_1250:82132:82956:1 gene:Ccrd_006941 transcript:KVH91044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCETLMASKDAPDRPFFVNPTAYSPDLPFHPSGNGVVGIPSPENKSFSCIENTQNGTHYTKGTGVLPWVFLSSNGPINGLFIPYFSKFPRVHVARISRILSTIFTGNHFINEVANSKVDLPEISLGNT >KVH91043 pep supercontig:CcrdV1:scaffold_1250:91421:114558:-1 gene:Ccrd_006942 transcript:KVH91043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dil domain-containing protein MEMEEQAAKEIREEGDCKNEGRIFESIAEEKKTHVGEGNRNRDTDQKLGIEKHDRLRGQGNFAGAFFLFNNFGLITIISLLQLFPNDVTLSSSSPFPPFLLVLIGKKEAPSKVVVGSQVWLEDPEVAWIDADVLEINDPNIKVKCSNGKELVINASNVYPKDPEFPKNGVDDMTKLAYLHEPGVLQNLKSRQMVNEEISQSILVSGESGAGKTESTKNLMQYLAFMGGRPSSEGRRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNERGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEESEKYKVGDPKTFHYLNQSNFFKLNGVDESQEYLATKKAMDVVGISSDEQDGIFRVVAAILHLGNIEFAKGSEPDSSQPKDDQSRFHLTTAAELFMCDKTALEDSFCTRVIVTRGESIKKCLDPTAAAISRDAFAKIVYSKLFDWLVNKINNSIGQDSDSKFFIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYIKFEDNQDILDLIEKKPGGILALLDEACMFPRSTHETFAEKLYQTFKDHKRFNKPKLAKTDFTICHYAGDVTYQSEFFLDKNKDYVVAEHQALLNASKCSFVAHLFPPMPEESSKSSKFSSIGSMFKQQLQALLETLSHTEPHYVRCVKPNNLLKPDIFENQNILQQLRCGGVMEAIRISTAGFPTRKEFREFVERFKVLAPDAVKKCNDDVSCSKMLLEKAKLEGYQIGKTKVFLRAGQMAELDAYRTQVLGRSATKVQTKYRSHSTHKKYILLQNSALPLQTICRGQVARNRFEQRRKEAASLTIQKSARMFLRVKAYRSLCSSAIRIQASIRGLAATNMYLHKRKTEAAIILQAQCRQDLVRLPYLRTIKAALITQSVYRGLYAQGELKKRRMAAKDTGVLKDAKSKLEQQVKELTCQLEQERQARVDMEEAKNQEIAKLQLFLKEITEYPIKEEGSAQIEIGQLPAPEEIPITGNEAIDKLTAENVKLKYMASALQKKFDEAHKLCEERSQQARDAESMVIELKTSMQSLQEKLCDIETEDLILRQQVMMQPSSASSMMTKDQENGQQEPQSAGQVKRYNSSSRGSMNEKQNEHVEALLAAVRQELGFSQGQPVATYIIYKSLLHWKCFEAEKTNVFDRVIQMIGSAIEKEHDNKHLAYWLSTTSTLLFLLHRSLCPAQKSSQTPSLFGRMTQGFKSSSFSDVVRHVEAKYPALLFKQQLTAYVEKIYAIIRDNLKKDLAPLLSSCIEAPRTPKDNPPPSSHWESIIECLNGMLNICKEYHVPAVLVQKIFIEAFSYINVQLFNSLLIHQECCTFRNGEYVKSGLVELEQWCDQTTREYVGSSLDELQHTSQAVGFLVIPQKSKIGYDELTTKLCPVISNLKVLMKSAADTESKAYLLKDNSSTPFSTEEINHCLHEKDFADVKPSGELLENPAFQFLLE >KVH91047 pep supercontig:CcrdV1:scaffold_1250:26487:31039:-1 gene:Ccrd_006932 transcript:KVH91047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MQNPTQSSSTTTLYTIVTITTLIFLLFFFSPFPSPPPPPSFSDPYLFPHRLSTTQYHNHQLLFLNSNKTTNQSPPASPTIAYFITGSFNDSNRIIRLLLSIYHPRNQYLLHLDRSAPQKERDLLALSVQSIPVFWAAQNVNVIGKADLVSPKGSSSISSTLHGVAILLKLSPDWDWVINLSAADYPLVTQDDLLHILSYLPKDLNFVNHTSYIGWRESRILKPVVVDPGLFLAEQSEIFYGTQRRPLPDAYRLFTGSPSAILSRRFVEFCILGTENLPRTLLMYLSNSLSSQSVYFPTVLCNSPQLNRTVINHNLQYSAYKTKHEPRTLHSDDLDDLINSGSAFGSPFVHDDPVLDRIDQELLKRGEGKPVPGGWCLGESRDEACSIWGDADVLKPGPGAKRLEQRMVELLSNETFYSHQCIFE >KVH91041 pep supercontig:CcrdV1:scaffold_1250:130848:133403:-1 gene:Ccrd_006945 transcript:KVH91041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAMSLNQIGALSATPVASDGGEPTTAAVSASAVWRSPPANIRVSVQKQGAEMDRLSPSPPMSPVRGGMRADLSVACQALMEAPREHTSGGVGNKEGKGVPVYVMMPLDSVTMGNGVNRRKAMNASLQALKSAGVEGIMMDVWWGLVERDVPGEYNWGGYAELLEMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPKWVLEEINDDPDLAYTDQWGRRNNEYLSLGCDTIPCLKGRTPIQCYSDYMRSFRDKFSHLLGDTIVEIQVGMGPAGELRYPSYPEKDGIWKFPGIGAFQCYDKAVASIVYMMSVYMLSSLQAAAENYGKPEWGSTGPTDAGEYNNWPEDTNFFKKECGGWNSEYGDFFLSWYSQMLLDHGERILSSATSIFENLSVKISVKVAGIHWHYGTRSHAPELTAGYYNTRYRDGYLPIARMLARHGAVFNFTCIEMRDHEQPQEAQCAPEKLVQQVTLATQEAQVALAGENALPRYDDYAHEQILKAASLSENDEMCAFTYLRMNPELFQADNWRKFVAFVKKMKEGKDAHKCWEQVEREAEHFVHVTEPLVQEAVVALMH >KVH91050 pep supercontig:CcrdV1:scaffold_1250:17438:21039:-1 gene:Ccrd_006931 transcript:KVH91050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSKVFGSRKGLLLVGIIRILVAVFLIFPCKGLNSDGKFLLELKKSIKDDLGNLKNWNAYHTTPCGWMGVSCTSGYGPVVWSLDLSSMNLSGTLTPSIGGLLSLTYLNLSFNKFAGNIPKEIGNCSKLEMLILNSNQFDGNIPDEIGKLNHLSHLNICNNKISGSIPETFGQLSSLVEFVAYTNNIKGSLPRSLGNLKNLTTFRAGQNAMTGSIPVEIGGCESLLYFGVAQNNFDGDIPKEFGLLKMMTDLILWNNQLSGIIPRELGNCTHLETLALYQNNLVGEIPKEIGKLKYLKKLYLYRNGLNGTIPREIGNLSLATEIDFSENYLTGEIPTELCQIEGLTLLYLFENQLHGVIPNELGNLRNLSKLDLSINSLTGPIPIGFQYLPKMYQLQLFSNSLNGSIPQELGLYNKLWVVDFSDNFLTGKIPPHTCRRGNLMLLNLESNNLYGNIPTGIINCKSLVQLRLSGNKITGSFPSSLCNLANLSAIELGQNRFSGPVPPEIGNCQKLQRLDLSGNYISSELPNEIQKLTHLVTFNISSNLITGRIPSGILHCKMLQRLDLSRNSFAYDIPPELGSLSQLELLMISENKLSGSIPAALGNLSRLTELQMGGNVISGGIPPELGLLTSLQIALNLSHNNLSGTIPPQLGNLILLENLLLNNNHLSGEIPSTFGNLSSLMGCNFSYNILKGTLPSVPLFQNMAISSFIGNDGLCGGPLASCEGSFISGSAPPSLESADAPRGKVVTIIAAVVGGISLILIVVILYFMKKGATQNVTSLEDDTERSSPVSSDVYFPPQDGFKFQDLVEATHNFHDSYVIGRGAVGTVYKAVMQSGQTIAVKKLASNREGSNIENSFQAEISTLGKIRHRNIVKLYGYCYYQGFNLLLYEYMAKGSLGELLHNGSSCGLDWPARFTVALGAAQGLAYLHHDCKPRIIHRDIKSNNILLDENAEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELVTGRAPVQPLDQGGDLVTWVRSYIRKHSFSVGILDSRLDIQGNERVANHMLCILKVAIMCTKMSPFDRPSMRDVVLMLIESNERAGYSLDDDEDPCSKDEFL >KVH99620 pep supercontig:CcrdV1:scaffold_1251:55354:59924:1 gene:Ccrd_022146 transcript:KVH99620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MYLGGLLLLFTVFPPLLGLGLGKIMKMQSGKMVINIYVQNPKFSASAICFPPWHLHLLRRFSLWSMKKDPDLEASLTRNRRWIVNNQIKNIILQCPDQVASVSFLQKKFKNLDLQGKALNWIKKYPCCFEIHLENDEYHCRLTKKMLCLVEEEESVKDMQETVFVERLAKVLMMVSNHRLSVSKLNELKRYLGLPDDYLIRIIPKHLDMFRVVNYSGRKSSMEIELTAWKPDLAVSTIERIAQKQGCKPSFPCSLPPSWVKSWERFREFDSYPYISPYVDPRGLEEGSRELEKRTIGLVHEFLSLTLWKKASIIKLGHFRREFCLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYRGSELLVKDPLVVVKEKFGELMQEGLHEYNRRHHMLNLEKQRKKGMIAMKQGKKDPVNDEDGTEDDDLGGIFDPEERKRFYKVLFEDKAP >KVH99617 pep supercontig:CcrdV1:scaffold_1251:29837:49507:-1 gene:Ccrd_022145 transcript:KVH99617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MDQLFLVIVVILYFRVAISTLAGRVEYLPGFQGPLPFHLETGYRLIPPLYMCTYFCSYIGVDENEDVQLFYYFIQSESNPRDDPLMLWISGGPGCSSITGLLYSIVNYNESLPTLILRPNSWTKMASIIFLDIPVGTGFSYATTTRATHSNDLQLAKHAYEFMRKWLKSHPGFVSNPFYIGGDSYSGIPIPAIAQLISNGNDVGNEPRINMKGYVLGNPRTFPNENNFDIRFANEYISVNNVACRKNLESYEQGSIGHWVRCSSDLRFTKILEDVRPYHQNLSKKDLNYTVTDRWRSWKLRGQIAGSM >KVH99618 pep supercontig:CcrdV1:scaffold_1251:25145:33032:1 gene:Ccrd_022144 transcript:KVH99618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MASIIFLDIPVGTGFSYARTTRATHSNDLQLANHAYEFMRKWLKSHPGFISNPFYIGGESYSGKPIPVIAQLISNGNEVGNEAHLNINMKGGGHVAPESKPEESFAMLK >KVH99619 pep supercontig:CcrdV1:scaffold_1251:80081:88616:1 gene:Ccrd_022147 transcript:KVH99619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MDPKFAGRPIAVASSYYGGGRMDIEQMPETPNRGARHRRAQSETFFRLPDEDILLDDVVADFNFANIDLPSLSSDAPIPTTTGDSSSKSEGESSDVNAAKSTASRPLSTSSHIRSLSVDADFFDGLGLNSAAESEKFSGGGYRHRHSNSMDGSAASSFEGDSMSMMLDNSKKALAPDKLAELALIDPKRAKRILANRQSAARSKERKIRYTGELERKVQTLQNEATTLSTQVTMLQRDTSGLTSENKELKLRLQAMEQQAKLRDALNETLRAEVQRLKIETGQMLPLNGMNYKRSLPSPQYSSHPQTFHHFGNQNTQQQQQQQQIRTPNNHQPKPTFMDFN >KVH99616 pep supercontig:CcrdV1:scaffold_1251:84333:85603:-1 gene:Ccrd_022148 transcript:KVH99616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAKKVHFEEKYELEYSYCCGDVHLKETIATTKGFVSRMVVTFYARRSTEMLGNFTEVAFNHGISGTTDFNQEECIGIAIQAYRFDDAAKIEDVIQTYRFEEQFNAPLAYGSDVSLKDGDVVHPLPTPIYKTIYFEKKERWGEKCVPQTTQVIVKCDLSQWQKVYYLKKKEEEEGTLLKKGSKGCIGQFGSVEEVTLEWAKKMGIDSKVLQAGLYNTTATAQVEREMLKDVMHRGTRSHGTSVPNKREINHLIARPRLLALFKNHLLAMLGQVKQYAH >KVI08117 pep supercontig:CcrdV1:scaffold_1252:33568:38192:1 gene:Ccrd_013514 transcript:KVI08117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDAKIGKFFESIGTFFIAGDQIPLCDSDVVIGCEHEVAEAEKSSSDEMKKECRMRLSWALVHSKRPEDVQRGIAMLEASLAGTNNPLQMREKLYLLAVGYYRNGDYSRSRQLVDRCLEAEPDCRQALTLKKSIEDHIRKDGVIGIGIAATTIGVLVGGLAAIARR >KVH88275 pep supercontig:CcrdV1:scaffold_1253:9436:10688:1 gene:Ccrd_024274 transcript:KVH88275 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, catalytic domain-containing protein MNTAPEVPAILVLGPPLVFKAYETEFSEKFNFLKPWENPIPLHQFISTHSASVKAIFCSAVAPVTTQIIQSLPDLQFVLASSTGVNHIDLRECKRRGIVVANAGSTFSDDVADMAVGLLIDVMRRISAGNRFVKSGAWPREGDYPLAHKLGGKRVGIVGLGSIGLHIATRLNAMGCIVSYTSRTMRPHIPFPFYPGIHQLVANCEILLISCALTEQTHHMIDREVMLALGKEGXIVNTARGAIINEKELVECLINGEIGGAGLDVFEDEPNLPKELFALENVVLTPHHGAITEEACQKLYNLVCKNLDAFLSNKPLECEILDD >KVH88277 pep supercontig:CcrdV1:scaffold_1253:41107:47359:-1 gene:Ccrd_024276 transcript:KVH88277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MPWSPFPCHPEIVRAWVPSFMRPKVAGSFFPRCMAIKRGVSSLGVHRNRGGGGSRFPIGTLVLILVLAPTMFFIGRGIYSTDSXDQNDXLSSVSEQVIDVIKTNTDDLGPLSLDSFRKSNYSASWKFSGRENAIDIDSGSAEIAANXNQETPKGKQDNSLDGNDVLLTDHLREKRREKRAADLMKQEDNVNLMLENAAIERSKSVDSAVLGKYSIWRKETDNENVDSTVRLMRDQIIMARVYLSIATMKNKTNMAHELQNRIKESQRALGDATTDTDLNRSAAEKIKAMGQLLSKARDQLYDCKLVTGKLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIEYYLLPLEKRKFPRSENLENPSLYHYALFSDNVLAASEPEKHVFHLVSDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEFYFKADHHTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWKVDLRGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNVFDLKQWKKRDITGIYHKWQNMNEDRVLWKLGTLPPGLMTFYGLTHPLDKSWHVLGLGYNPSIDKSDIENAAVIHYNGNMKPWLELAMTRYRSYWVKYIKFDHPYIRGCKLGE >KVH88279 pep supercontig:CcrdV1:scaffold_1253:59155:64641:-1 gene:Ccrd_024278 transcript:KVH88279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAQVALIIFSPRGKLCEFASSSMEETIQRYTNHVKDIQTENSSSIEDAQWQHLKNETASMAKKIEALELAKRKLSGEGLGSSTIDELQQIEQQLERSVCIIRARKMQVYNEQXEELQAKEKILASQNAMLNVKCVVRPQEKMEEGRASLQMTECGESSDVETELFIGLPEKRTRFNKPK >KVH88272 pep supercontig:CcrdV1:scaffold_1253:111444:124795:-1 gene:Ccrd_024281 transcript:KVH88272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRCTIEKTRNRAASTAVXKARPCRALPRKKERDSTAEVLLLFAVCRLQRSRGVTTTAVAADCAKWLGNGLVGCCVNETGVKNGVTFCCRVMETQIDFLQWLQHDMTLKILVCLDDSADLIRVSAVSRYLQNFVISNGLSRQLCMRKFPRLASIDRVVELSHNSDTDSSSDVVGHEAAERDHRAYASLFRALTAFPQTYCIADPVSASSTDNYPEESIMNTLDPRDKILHRDSYWSSKGSDDPEVPETLIYNLTANFCVITEINLHPFQALFQLDHPIYASRFVRFRMGHPKSWNEIEHDFMESQECADDKFIWTYTSEIFPVAQENRLQKFKLLEPVVCIGGFLQIELLGRVQKQAADGRYYICVVHVQAIGRQLSPAFCMEFSGPSNITSLKYDAEQFKHVMQKVSNGHNGSLSTSLLPIQPPRQLAWGNLEDLIHMVNAHENGVEYEWMEDDDFEMEMLR >KVH88276 pep supercontig:CcrdV1:scaffold_1253:47020:58724:1 gene:Ccrd_024277 transcript:KVH88276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MKNGVFGSLGGSSHGKRRPSYWMGSMGCVGRSKENRGWDHLLGDLNRMGKSRLRAERGKKDPATFGRMKLGTQARTISGWHGKGDHGMSSRARHGKRTGRLGFLIEGSNVGDAGSKSKEKIERKKNPQVVCFGELLIDFVPTVAGVSLAEAPSFKKASGGAPANVAVGISRLGGSAAFLGKVGDDEFGHMLANILKENNVDILGMRFDKEARTALAFVTLRADGEREFMFFRNPSADMLLTEAELDKDLIXKICVPLMFIEQSTIFHYGSXSLIEEPCRSAHLAAMQVAKKAGCILSYDPNLRLALWPSAEAARTGIMSIWDQADVIKISEDEIEFLTGGDNPYDDNVVLTKLFHPNLKLLIVTEGPNGCRYYTKVTFISKNHKPFEFCIHTQSWVEQFYEDFHGKVGGVKVKCVDTTGAGDAFVGGLLNSLASDPQLFKDEKKLRAALRFANVCGAITVGQRGAIPSLPTKEXVLDFGNVGSSMVGRDRHKGALVVCFGELLIDFVPTVAGVSLAEAEGFKKAPGGAPANVAVGISRLGGSAAFVGKVGDDEFGHMLANILKENDVDNSGMRFDNHARTALAFVTLRSDGERQFMFYRHPSADMLLTEADLDQDLIKKDFHGKVGGVKVKCVDTTGAGDAFVGGFLCSLASNLQLLKDEKKLREALKFGNICGALTVTSRGAIPSLPTKEAVLKKWKEIEP >KVH88274 pep supercontig:CcrdV1:scaffold_1253:27647:29058:-1 gene:Ccrd_024275 transcript:KVH88274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MAPFLLPNSSPLLSPKKETKSRQNPLIFDASFLQNETSIPQQFVWPEEEKPRHGPPPPQLHVPPIDLKGFLTGEPLAVSNAAQLVDSACRKHGFFQVVNHGIDSNLIYEAHQIMDLFFGMPLLEKQKAQRKVGEYCGYASSFTNRFSSKLPWKETLSFRYSADPQCSNLVQDYFLNVMGQDFSHFGRNMPAIDTRKSIIAFHLHYKKLILTLFFRRVCQEYCEAMNKLSLGIMELLGMSLGIGQSYFRDFYNENDSIMRLNYYPPCQKPEQTLGTGPHCDPTSLTILHQDNVGGLEVFVDKKWQSIAPCSKAFVVNIGDTFMALSNGLYKSCLHRAVVNNHTPRKSLAFFLSPRMDKIICPPKALVEDVDNERIYPDFTWSTFLEFTQKHYRADMNTLEAFSKWLQNERK >KVH88273 pep supercontig:CcrdV1:scaffold_1253:999:6173:1 gene:Ccrd_024273 transcript:KVH88273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELFEGFTICVGTEAVEVLHLLVKEYCLKVHIDGKAFAQMKNLRILKICDEELRHRWHAFDLKLWKESKVNYNGKLKFLSNKLRLLYWHGFPFKCFPSDFYPENIVAIDLSYSHIKNLWTSPKVMMTLRYRRDEFQSHSRAPDSNTRRRCHPNDEESSIFQLVV >KVH88278 pep supercontig:CcrdV1:scaffold_1253:82166:91446:-1 gene:Ccrd_024279 transcript:KVH88278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAAAAKDLKTPLLLASDDRRRLSQPPSDADHQLHVPQLILNVNEQLGPPPSSNQEASTNQYSISIDTQLDFDENPYGFIGSNGFEVPGSTTVDPFRNHTPTIEGVYEWLKVLVCVPIALVRLVLFGLCLSIGYFATKFALHGWKDKHNPMPRWRCRVMWITRLCTRGILFAFGYHWIKRKGKPAPREIAPILVSNHVSYIDPIFFFYELFPTIVASESHDSMPFVGTIIRAMQVIYVNRFSHQSRKNAVNEIKRKASSNRFPRLLLFPEGTTTNGRLLISFQHGAFIPGYPIQPVVVRYPHVHFDQSWGHIALATLMFRMFTQFHNFMEVEYLPVVSPSEHHKESAARFAEKTGRAMARSLNVVQTSHSYVDYMLLSKAADSGQENPSHFMVEMANIQQSFHLSSSEALDFLDRFLSMNPDPSGKVKIQDFLRAFRLKACGLSEKIFEFIDVDKNRKITFKEFLVGSVHILKQPLFRQACEAAFTETDMDQDHYISEQEIRSLFNLFDGDGDGRISKGDFIICLRRNPLLIGLFSPHFMHKDLDLNAAAGFLEETV >KVH88271 pep supercontig:CcrdV1:scaffold_1253:98328:107747:1 gene:Ccrd_024280 transcript:KVH88271 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MFDNPDDASDAASTAPNSTLQSESETKRPTASLIRTGDYRSTSSLDSDQSSSLHDLDSPVDSSETQSRALALASHKKSSRLLLHGNLSIWIYEAKDLPNMDMFPTTMGEVFYRSQNTSDPYVSIALASAVIGRTYVINDCENPVWNQHFNVHVAHHASELHFLVKDSDTVGSQLIGVVGIPVEQIYAGSKIEGSFPLLSPNGKPYKNAACLGLSVQYIPIEKMSLYHNGVGAGPQYSGIPDTYFPLRRGGQVTLYQDAHVPHDSLPTTEIDGDMRYVHGTCWIDIFNAIKKAQRLVYITGWSVWHEVRLVRETADAPTYTLGDLLKTKSQEGVKVLLLVWDDPTSRNIMGYQVDGLMATHDEETKRYFKHSSVQVMLCPRMAGKKHSWVKKQEVGTIYTHHQKTVIIDADAGNGKRKIVSFVGGLDLCNGRYDNPKHPIFRTLETIHADDFHNPTFTGNLAGCPREPWHDMHSKIDGPAAYDVMKNFEERWRKASKASGIKKLRLAYDDALLKLETMPEFLTVNDEPCLSDQDPEGWHVQIFRSIDSNSCKGFPKDPREATNKNLVCGKNVLIDMSIHAAYVTAIRSAQHFIYIENQYFIGSSYSWSAYKDLGANNLIPMEIALKVAGKIQAHERFAVYIIIPMWPEGVPTGSATQRILFWQNKTMEMMYEIIYKALVEVGLEDAHSPQDYLNFFCLGNREDTTNKDDLPNDNTPEALARKSRRFMIYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPHNTWARKLMDPRGQIYGYRMSLWAEHLGTIDDTFTHPESLECVRQVREMAEANWTQFASNEISEMTGHLLKYPVQVDRTGKVRPLEGSEEFPDVGGQIIGSFLGIQENLTI >KVH88270 pep supercontig:CcrdV1:scaffold_1255:35587:98014:1 gene:Ccrd_024282 transcript:KVH88270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MTRINAREDKLNAGNRSVVNKFESELARDVGSLCNMVAASLSQQNEQLQCIEKFCHTFININDQYFIDCQASSTRFEALKNVVRLHKASANGSLEDTSVLASSNACCVEELLAKEATEGHAIFDDLYGSLSTQEGEIALFARERRKLLCMHGFRVSVLSSLDKVVGVDFVHIVALGGMDKEMTVYVKTIDPKHLVEIGLEGFYGPSTPNK >KVI04568 pep supercontig:CcrdV1:scaffold_1257:24594:40756:-1 gene:Ccrd_017114 transcript:KVI04568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MMSNHDEQFEATHTEGSKMGIDLVGKTVKNKQIIFKGYIDGSPMENDMELKLGDGLKLEVPKGSNAIVVKNLYLSCDPYMRGRMLDFRGSYIPPFTPGSAIEGFGVSKVVDSDDPNFKRGDLVSGITNWEEYSLIHKTDQLRKIEQDDGIPLSYHVGLLGVYTPLAYSVCDPKKGDYVYVSAASGAVGQLVGQLAKLHGCYVVGSAGTSKKVELLKDKLGYFPEGIDIYFDNVGGAMLDAALANMRIHGRVAVCGMVSQTNRTDTQTFLNMFSVISKRVTIKGFLQSDFVHLYARFLEDITGWYKQRKIVYIEDMNNGLESAPAAFVGLFSGKNVGKQVICVASE >KVI04567 pep supercontig:CcrdV1:scaffold_1257:50964:55124:-1 gene:Ccrd_017115 transcript:KVI04567 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 1 MLGKLKSNPANTIGEFPLIIRPAFTLGGSGGGIAYNKQEFEGICKSRLAASVTSQVLVEKSLLGWKEYELEVIRDLADNVVIICSIENIDLMGVHTGDSITVAPAQTLTDKEYQRLGDYSCTICCESCGSFAFEKFPGSQPVLTTQMKSVGESMAVGYTFQESFPRQSDHSSVASLVGVVHQSRNWTGIGTSAIFLQ >KVI06493 pep supercontig:CcrdV1:scaffold_1258:3174:4796:1 gene:Ccrd_015153 transcript:KVI06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MITPASSLHVLHLIIVFLLRAPPCPADGGTWSMLLPNIGISAMHMQLLPNDRVVIYDRTDFGTSNISLPKGKCRPKSKDCSAHSVEYDVASNSVRPLMVLSNFWCSSGSLMPDGSLTQTGGNADGALVVRTYKSCDTCDWQEIQNGLVQERWYATNHFLPDGRQIIIGGRRAFTYEFFPKTPDTQKAIDFPFLVQTNDPRIENNLYPFVFLIPDGNLFIFANNRAILFDYVKNQVVRTFPTIPGDEPRNYPSTGSAVLLPMRIVQGTVATVEVLVCGGAPGSAFRHAIKGIFDGALDTCGRITISDPDPRWVMETMPMARVMGDMLLLPNGNVLIINGGSAGTAGWECGRNPVLNPLIYQPGNPVGSRFVMQAPTTIPRMYHSTAILLRDGRVLVGGSNPHDKYEFTNVFYPTELSLEEFSPSYLDSTLSGLRPVIISPVNGATTMYGKQMVIKFAVSKNLNTSSVLVTMVAPSFNTHSFSMNQRLLVLHSGIPTIVRKSSNYQVTVTMPPSGNVAPKGNYILFVVHQDIPSEGIWIHIQ >KVI06497 pep supercontig:CcrdV1:scaffold_1258:97728:102187:-1 gene:Ccrd_015157 transcript:KVI06497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MELSTYDFGHLQNLSSTLLNSSTNCLIKFLNERPEPFSKSSSLLIASAKKNSQVPSSQGIQKSTQKHLSHILRSEAAIEAIERKANSATVKHNRFSPKLVLEALDNAIRHKRWESALKIFDLLRNQHWYEPRCQTYTKLLIMLGKCRQPKEAGLLFEVMLQDGLKPTVDVYTALVSAYGYSGLLDKALEIIDDMKLILDCKPDVYTYSIFINCCTKLRRFDMIKHVLADMSYLGIECSTVTYNTIIDGYGKAELFESMESMLTDMLENGTCLPDVFTFNSIIGAYGNSGMIVEMEKWFDEFQLMGIKPSVMTFNILIKSYGKARMYDKMGSVMEYMSKRFIPPTTVTFNIVIETFKRAGNVERMEEFFLKMKHLGMKPNAVTYCSLVSAYGKAGLMQKIDSIMRQIENSDVILDTTFFNSIINAYGQAGDVKRMNEMFSSMKDRDCEPDNITLATMIHAYKAMGMVEAAQTLENKIIMDEHGPGTSTITSNSMPGMLSPLNCLSGNGVEILQQGTRLIEG >KVI06495 pep supercontig:CcrdV1:scaffold_1258:61317:62698:1 gene:Ccrd_015155 transcript:KVI06495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein LLFSFSPLQFQFQIQLQFQFQLLQPSAIKAQGTAIKAQGTIMSSSKAWSKEEDKAFENAIANHWNQDSKEQWDTIASLVPTKTIPELKQHYQLLTEDVDDIEAGLIPIPKYLGEESSSSSTKDNQNHHGSNSNRRSSSCNYANGFSAFGHDSNPAGKGNSRAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNYVISRTPTQVASHAQKYFIRLNSMNRDRRRTSIHDITSVNNGDVSSHQIPITGQSGGTNPSNGSTVGAPMKHRPHQPSMPPGMGMYGAPMGHPVAAAPGHMPSAVGTPVMLPHSHHPPYVMPVAYPMAPPPTMHQ >KVI06498 pep supercontig:CcrdV1:scaffold_1258:122675:135558:-1 gene:Ccrd_015158 transcript:KVI06498 gene_biotype:protein_coding transcript_biotype:protein_coding description:AB-hydrolase lipase domain-containing protein MIQRVVDNFLAVTKESVKTITYESLSNILRLINGLSALLLAILPGKTNLLEGIHGWELRPSFRGPRLPRWMENGVSSFNQFVHELSMESDSASSVDYSSGEEVDDDDDDDYISPASPSSQSSRFSRASSFTKREKNSAHWILSIILWILLPARLMLGIPIYLYSMFFSRGLKGSASTPGRVQASHVHAGRKALDHVVERATDRRRGVIEDLQLGMEIFIETIFDAIHKVASCFISPMDSLGAFLRWSSPGVLGDVHPDDSVAYVATATLDEDNPTPTERKTRLHNSLNTDARTCQDVITELGYPYEAINVVTADGYVLLLERIPRRDAKKAVYLQHGVFDSSMGWVSNGVVGSPAFAAFDQGYDVFLGNFRGLVSREHVDKNISSREYWRYSINEHGIEDIPAMIEKIHEVKTSELQSLSKDTEEANEQPYKLCAICHSLGGAAILMYIITRRLEEKPHRLSRLILLSPAGFHHDSTFAFTAVEYFLLWFAPVLAPLVPGVYIPTRFFRMLVNKLARDFHNYPAVGGVVQTLIGHMFGGDSSNWVGVIGLPHYNMNDMPGVSFGIALHLAQMKHARKFRMFDYGNPSANMQMYGSPEPLDLGEYYGLIDIPVDVVAGRKDKVIRPSMVRKHYRLMRDAGVDVSYNEFEYAHLDFTFSHREELLGYVMSRLLLVAPSTRHKSLRLKKKDGEVIAKQEVSS >KVI06494 pep supercontig:CcrdV1:scaffold_1258:23852:35791:1 gene:Ccrd_015154 transcript:KVI06494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf3-interacting protein 1, N-terminal domain-containing protein MVAEIVERWASTGLAMSRSPSFLARTESHTNVDPETVQQWIVAFCIIRFDLEQGQLIEECYPPGCLTPEEELDIAFSSFPDSVSQHHNRSSIHDSMFFFRIQRRGSFPAKNISTSEMVEVDGKEVPSAEKVPKRLDYSEKGCDPKYLYGFVFNRQRHDERLKRGGEQKSVVILSNYPYSSLFKPLLQIMGPLYFDIGRKALDCIAASMSTWDAPLPGHLMELPIGNATLKVNLPPAHSLSFDGEVLFEESASSMAPLLPTNQSIPHGLFHDSDVFGIFRGLLLQLWLLWELLLVGEPILIITPTPPQCCEAVASLVSLAAPLFVSVDFRPYFTIHDPHFAHLNSLREGDTFPPMLLGVTNLFFLKALRNIPHIISVGSPAPNSSRLAFASRASTGRLSGRHDGFSFPQLNLKKFSPSNLLSAVKSRRDGPLCLMTEHKEAIWSSYMPITKPDTSILNRLVDAGLSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRVNTPCVGSSPFVDPPPLPVFDADEFLGSLSARGPGKFLSKRMRSNWLDLYRRFMKGHNFKPWFQRKRAVAEQEQCRLWRQARMNADIQEFINKSSELEIVETFNAIERHLLAEMQASVFQSENLLDQSEATCHKLKGDLLSVFNVLPKDMQHLLLMNPDRATLLQ >KVI06496 pep supercontig:CcrdV1:scaffold_1258:62258:81638:-1 gene:Ccrd_015156 transcript:KVI06496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase domain-containing protein MLSTTLPLHSCDIAGLGFHDIITDLCILNEGMIVLEGCHSDHKASSNAMQISRLANGEAETNIHDPNDPTLEQGFNGRQGNLGSGGIIASTCHDYEGSGDVSGISTDGMTSKKYSRQHEDMTLQDIYSKEGDFDDEDDSDWEPLEKHIPVVKWFCVNCTMVNVDDAIYCHICGEHKESGILRHGFLALPSQEADSILNISSERDGELEGFKAEGSEAYSSFHCLHVAGEECYVFKLEVGSLSGGKPWWLLLCIGFFVLLAHGLQPHINRQQWVLMTECCFTPNCHYPDGRFERIARLENFKRTAGPTIVERKSLPHPERPDRLRAIAASLATAGIFPGRCHPITAREITREELQMVHSDENIESVELTSQCVASYFTPDTYANKDSALAARLAAGLCADLASAIYSGRAKNGFALVRPPGHHAGVKQAMGFCLHNNAAVAASAAQVAGAKKVLIVDWDVHHGNGTQEIFEQNKAVIHAIFDIAFCSLLMLIFDYNEKHGVVLQETMEKTMVLYISLHRHEGGRFYPGTGAANEVGSMGGEGFCVNVPWSRGGVGDNDYIFAFEHIVLPIAYEFAPDITIISAGFDAARGDPLGCCDVTPAGYAQMTKMLSSLSSGKLLVILEGGFVIHIFPCVYQLQSPFNIIISYSSNQERKKRRRFVVPQWWKVGRKKFLHYILSEQLRLNSKGHRKASLWF >KVH95883 pep supercontig:CcrdV1:scaffold_126:71244:72678:1 gene:Ccrd_002049 transcript:KVH95883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTMADFYLPRKISESRVLFLLRRIYSSYTCSNFQHPSFPIYSLSLSLSLNKNSHFQKTPLHPIASTSLKLEIRSGFVPFTMKKPGFLAASMAAASAITGTNLKNQPSLQDHGSSSKLNEDSSPEKTNCCSEKFAPRFDGLRFIETLVTAHR >KVH95874 pep supercontig:CcrdV1:scaffold_126:269887:272886:1 gene:Ccrd_002035 transcript:KVH95874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLQICSYREVSRENMRSPQNLQAVSSPRKLQILHPSFNLIAVQGFYVKPDKIPNRVYVGFNFKLQVRSETDYLPTRTIRNSVSNYSSNNKKNKKRYGGLLPSILKSLDSEDDVDKTLNLYYGKLNPKEQTVILKEQRNWERVIRVFEWMKSQPEYLPNVIHYNVVLRVLGRARKWDELRLCWIEMAKKGVLPTNNTYGMLVDVYGKAGLVKEALLWIKHMKLRGIFPDEVTMNTVVRVLKDGGEYDRADRFYNDWCIGKVELDDLELDSMADSVSGSGLEPISLTGYLLTELFRTGGRDHTKSMVRSDKENSVQKPRLTATYNTLIDLYGKAGRLKDAADVFAEMLKSGIAMDTITFNTMIFTCGSHGNLSEAESLLSKMEERRISPDTKTYNIFLSLYADVGNIDGALQCYRKIREVGLFPDAVTHRAILQILCERKMVHEVETVIKEMEKSGLCIDKQSLPVVVKMYVDEGLTERAKFLFEKYQKNDELSSKTYAAIIDAYAQKGLWAEAEYVFGCERSSVGQKDIVEYNVMIKAYGIAKLYDKAFLLFKGMKSQGIWPDECTYNSLIQMFSGGDLVDEARDLIGEMQEAGFQPSCLTFSGIIASYARLSRLSDADEIYQEMVKSGVKPNEVVFGSLINGFAETGDLEEALRYFGIMQESGVSPNQVVLTSLIKAYSKTGILEGARTIYKKMKEFPGGPDIVASNSMLNLYADLGMVSEARLIFDDLQEHHQADGVSFATMMYVYKNMGMLDEAIEVAEKMKDSGLLIDCPSFNKAMACYATTGHLIECGEMLHEMVVTRKLLPTNGTFKVLFTVLKKGGMPTEGVEQLEFAYQEGKPYAKRAVITAVFSAVGLHTYAMEFFQNSIQYETCLDLFAYNVAIYVFGLLGRIDDAFKMFMKMQDEGFEADVVTYIYLVGCYGKAGMLEGVKRVYTKLKYGEIDPNESLFKAVIGAYKSMKRNDLAELVNQEMSLAFEMEPLADSENEDEPDETSVSLLI >KVH95872 pep supercontig:CcrdV1:scaffold_126:239864:249056:1 gene:Ccrd_002037 transcript:KVH95872 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MEASSSEDMIIWFEEVAKNAGKVQMEILKKILEINHGVEYLEKWFGDIDVHKMDAEALQSLYTSMVPLASHADLEPYIQRIADGETSPLLTKQPITTLSLRLLFRDGLHIAPGQLKEDKSMFPSPPIAPRPLYKCSSWLQHTDQGIFLCPSFSLDLSFSDEFEFDEYILRVYPTKEGGKILEFIYGSKQFKTKGGLSAGTATTHYFASQEFKLKQQQTKSFTCSPEQVITSGDYKQSTYCHFLLGLFYSPDVEFITSTFAYCIVQALTLFEEYWIDLCNDLSQGTLSPRITLPGIRRSVLDLIKPNTHLATWVRAKCEELEGSDWYGLIPKLWPNCKYVYSIMTGSMQPYMEKLKHYAGDHLPLVSADYGSTESWIGVNMDPSCAPQNVTFTVVPAFSYFEFIPLHRQHHKPCDAVVAGGGGDDYLEDDPVPLSKVKIGQQYEIVLTTFTGLYRYRLGDVVEVSGFYKGTPKLNFLCRRKLILTVNIDKNTEQDLQLAVQKGSQPLIKSRAELVDFTSHANIVNQPGHYVVYWEIKGVVNEDVLDQCCKEMDAAFVDHGYVVSRKTNSIGPLELCIVERGTFKKIMEYFIGNGATMSQFKTPRCTTNQVLLKILNLYTIKRFRSTAYQ >KVH95868 pep supercontig:CcrdV1:scaffold_126:66534:67394:-1 gene:Ccrd_002050 transcript:KVH95868 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1/A1 complex, alpha subunit, N-terminal MAVSFVSGISISKTLIKRSTPIPKFPVQNHLNTPQNSLRSTSAAYLKPKLPLSLIFRSHTQKPLTLHTHQQTQIKSLFTGIVEEMGQVRQLGFDESGRSFDMTIHSPTVLQDVNLGDSIAVNGTCLTVTEFDPKRLEFTVGVAPETLRLTSLMELEQGSVVNLERAVKPSTRMGGHFVQGHVDGTGEIVSLVPEGDSLWVKVKTSPEVLKFIVPKGFIAVDGASLTVVKVFDQEGCFNFMLVEYTQKEIVIPLKKIGQKVNLEVDILGKYVEKLLSSGFVESIKSR >KVH95891 pep supercontig:CcrdV1:scaffold_126:112378:116276:1 gene:Ccrd_002045 transcript:KVH95891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENPIIFDSQTRSLGGTEQNWCKAVAGGTGITALALQVSKEPNIPLFKKSLQKIQNDHPSLNSVLHKNASNGSPSFIINPPIPSIRLNIMTMSKTSELLRTLMSRGSNNSLSPLHLILEHELNINEWSDITGRSLCMGGLPLWFANAYTLPDRKWVLVLRLHAGICDRTTAVSFLKELKEAMGEKEGGYKEKGNMGIEELIPSGKTKKTLWSHGKDMMAYSLNSLRLTNLKFKDVKSPRHSEVVRLKMNTQETHMLLTGCKLRGIKLCGVLAAAILLAAYSSKRHSSNNHGKKYGVIFLNDCRSYLQPSLSIHEFGFYHSAILTSHEVKGDEILWNLATMIYMAFVNSKNNNKHFSDMADLNFLMSKAIDNPSLTPSSSLRTSLVSIFEDPVIETSSDAERELGLDDYIGCASAHGVGPSIAIFDTVRDGQLDCACVYPAPLHSREQMQELIANMKFNLLESFKMEEKLET >KVH95885 pep supercontig:CcrdV1:scaffold_126:128013:130504:-1 gene:Ccrd_002043 transcript:KVH95885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MWTNKDHNNFTPKGFPFSTTQLEKNRTCSSSIYSSPPSAIRQPLLPASENKRNPSHPNNDVSLLHVIRKVPAGDSPYIRAKHVQLVDKNPGKAVSLFWAAINSGDRVDSALKDMAAAMKQLNRSDEAIEAIKSFRHLCPFEAQESLDNIMLELYKRSGRLEEQIELLESKLNDIEGATMQKMNRTRLARSQGKKIQITRGQEYSRKALYLGTDKNKQCNLAICLMYMNQMTEAKFLLNTVENANKTREMHESYAKSYERAIEVMHELESCKSHAENNTKFSSFLSRNKATDCGEEGKKGNSRSMQTDAEFEYKKIDLSPFPTRNMPRTSFTQPRIGNKEDPKGGCFRKLEIEQPAEENDLEVKLPAASSETSVIPLMREARKCRKKWGDMMEEEEEEYVDENVDCCNMMDEKMESLDINDGYRIQPGAGNRTVKRSLAFDVDENMWFSNES >KVH95871 pep supercontig:CcrdV1:scaffold_126:191771:196560:1 gene:Ccrd_002038 transcript:KVH95871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGCCVSTSSQSSCSSMSNGEIISRPSCFEIVMCGGQKKTRRMLSDHVSALQNMSSIPNRIFTNGKSQSSCIFTQQGRKGVNQDAMIVWEDFMAEDVTFCGVFDGHGPHGHLVSRKVRDTLPLKLFSFLDSYESKKNKSTGSICCSLNSRSDGADCEVGTDDKAVSLWKDAFLKSYKSMDKELRSHPSLDCFCSGSTAVTIVKQGSNLFMGSIGDSRAIMASNDSNDSLVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVSRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRVLTERDKFIVLASDGVWDVLSNEEVIEIVSSVPARSSAARTVVDSAAREWKTKYPTSRMDDCAVVCLFFDGKMDSESDCEEQGFSSATLQSNQSGNGVESDDGQNSEPLLQRNFTVRSSEGNNDGLKRVGDEEAVVVVAEDHGWSGLEGVTRVNSLVQLPRFSEETPRA >KVH95869 pep supercontig:CcrdV1:scaffold_126:23102:26598:-1 gene:Ccrd_002053 transcript:KVH95869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGGICVKPSGGSPRERRSSKLSSESRVLQSNSKNRAEVRTGSIDKRLNSSRRVRYDQFEKKKEGSGVIVGNISSIRTIPKAIEGEQVAAGWPSWLAEAAGEAVSGWLPRRADTFEKLDKIGQGTYSNVYKARDLINKKIVALKRVRFDNMDSESVKFMAREIVILRRLDHPNIIKLEGLVTSRTSCSLYLVKCYMKQLLSGLDHCHTQGVLHRDIKGSNLLIDNHGILKIADFGLASFFDHRQNVPLTSRVVTLWYRPPELLLGATLYGVAVDLWSAGCILGELYAGKPIMPGRTEVEQLHRIFKLCGSPSDDYWTKSKLPHSTVFKPAQPYERRMQEAFKDFPSPAIQLMETLLAIDPQQRGTAALVLKSEVMIILDIEYLVLSSFPYERSSFPYERSSFPYERVNLMWSVDLYSLFISFQFFTTKPHACDPSSLPRYPPSKEIDAKMRDEEARRQRAAGRTQERARSELLSKSKGFPIKQPAISTKDATKDVERLPPMMESYSGPLVAGGGWTQSQKKYGEISSHLTKSQMGKQISESTEEFMHTRKHEERDLPAGPRRVESGRASNQEPMMDGEVYKIHFSGPLVSSNVDRMLKDHERQIQEAGRRARLEKTRLSKLAVSAV >KVH95881 pep supercontig:CcrdV1:scaffold_126:454365:458734:1 gene:Ccrd_002018 transcript:KVH95881 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MHPLLPSGGGLVAYSDVRPFGSVYADAAQPTIKKKKVVVLGTGWAGTSFLKNLKDPSFDVQVVSPCNYFAFTPLLPSVTCGTVEARSVVEPIRNIVKKKNFNVQFKEAECFKIDTTKQKVHCRSIQNINIGGKEEFTVDYDYLVIAMGARANTFNTPGVVENAHFLKEVEDAQRIRRSIIDCFERASLPSVSDEERKKILHFVVVGGGPTGVEFAAELHDFLHEDLIYLYPDLEQHISITLLEAGDHILNMFDKRITAFAEEKFQRDGIKVQTGSMVVKVSDKSITLKERSSGETKVLPYGMVVWSTGIGTRPVIMDFMKQIGQGNRRVMATDEWLRVEGVPNVYALGDCATINQRKVMLLQSDRGDAAKQATRLDIEKFKSALSEVDHKMKSLPPTAQVAAQQGEYLAQCFNRMKECDENPEGPIRFRDSGRHRFKPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSTQWLWYSVYASKLVSWRTRFLVIGDWGRRFIFGRDSSRI >KVH95884 pep supercontig:CcrdV1:scaffold_126:131419:131942:1 gene:Ccrd_002042 transcript:KVH95884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EPIDERMAL PATTERNING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT2G20875) UniProtKB/Swiss-Prot;Acc:Q8S8I4] MKGSVFFSVLLLLPLFVSARHISWQHSRHGHHHHPSEVTTDGHGVAPGKHKVDTVAVAGSRLPDCSHACGSCSPCRLVMVSFICASIAEAETCPMAYKCMCKNKSYPVP >KVH95896 pep supercontig:CcrdV1:scaffold_126:382285:385784:1 gene:Ccrd_002024 transcript:KVH95896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPKQKKARQLEEKQTKLHDPHRPIIKDLLWFSLITTFFKVLLIPAYHSTDFEVHRNWLALTHTLPLSHWYVDETSPWTLDYPPFFAYFERFLSLFASLVDPTITDLYNGLNYKALSVIIFQRLSVIASDAILIYSIYKLTKNLESKKRFLIWVLVVWSPGLLIVDHVHFQYNGFLLGILLVSLGALQKGNDLIGGFVFAILLCFKHLFAVAGPVYFVYLLRHYCRGGILRGFTKLVTMGVVVVAVFVAAYGPFAYHGQIQEVLRRMFPFGRGLCHAYWAPNFWVLYILSDKMLAFVLAKLGFHIQTPTASFTGGLVGDASPFAILPTITPSLTFAIVLLAISPSLVKAWRNPQPKMIIRWVAYAYSCGFLFGWHVHEKASLHFLVPLAVVAVESIEDARHYFMLSIVSSYSLFPLLYEAQEYPIKVVLLLLHIALMWYGFSSQFSERNESDKRTACVLMVTGWMEKSYLLGFVVLEIWGQFLHPFLLGDKLPFLPLMMMSFYCALGMMYSWIWQLRCIVRSQ >KVH95898 pep supercontig:CcrdV1:scaffold_126:344384:355635:-1 gene:Ccrd_002026 transcript:KVH95898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor 25 MSARALRKVLKEQESAKNQNSILSADDESESPSDSPRPSSINPFALLNEQEQMMNFRACGLQPVVCDLQRFTENDSSDADTSSIVKGEDNIPSSKKDTATKVTPSSQKSKKKKKKKKSKELSSSTGDMNSLDVILEDLSFGVNSSGGQGQSSKKKSENVNGGGDRPGRGCTTHILQVDPRFLSAENELRRIFGSKVVSSFERSNQPGSSRQNRPVRRGSHTHRKTILVSPLDHWPRWDGSLSMELLEHGDGCNYFRQALFLISMISFQIGFCYLFSRVCCNTPVYVHSSTYILAQRQFEAAKATHDLNGIANILLHHPYHIDSLITLAEYFKFSGELQMSADATAKSLYALECAWHPLFNPLQHSCRLKYEHDTNKQIFTTLFAHMKNMDRRGCHRSALEICKLLISLDSDDPMGALFCIDYFSLRAEEYKWLERFSEEYNSDNSLWLYPNFSYSLAICWFYLEREEGLKETESKNENKATSSDLMKQALMLHPSVVRKLVAKVPLKEQVWTKIINHNFFGADQTGSASLDHLINIYVERSYIIWRLPELHNFLKDTALSVIEKMETNRSEARDWACVRKEAFASDKNEYSHLMVSDFSDSTPTIPPENLQNFMIDPRLAEMHNVAENQENIPDVARAPREVANRNALAVLFESMLPWIDYGTREGEGQDQENGMAQDHED >KVH95902 pep supercontig:CcrdV1:scaffold_126:386214:396685:1 gene:Ccrd_002022 transcript:KVH95902 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28210 [Source:Projected from Arabidopsis thaliana (AT4G28210) UniProtKB/TrEMBL;Acc:Q9M0I6] MMTLSTLASTYPSPKLRSRSIPFGRTTSHPIKCCNFSTPLLPNRKLWFSSFGRRSLGFVVKSTSSDGEGEEVKNSSSKDVIDEAGIHAPDESTMPERFRYLTKEVPEPPVRWPYFIALAFLVYAWRTVLWELDNWKAAATSILRFLGYLSKLVLALIFHFIGDPLTSTIRAIETTFYTLRAFYSQVVAYTPIPELSTVIMLTSAILAIGEVASPNSVDNQWHLLTASGLIGYFAVKGMIGGLLFWTLLFGLYSFSRFIQKRDYVSSALPVAAVLAGVGEPWVRVVVLGCFSALGVVQYSKNQPEADESGSEGVTMKAGERRVPVPLLLAALAIGIRVAARWAGYRHLTWMVV >KVH95880 pep supercontig:CcrdV1:scaffold_126:430437:439969:-1 gene:Ccrd_002019 transcript:KVH95880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGVRSQHSTIIRHLLYPISFNVFTTSMFIAFRKDLPHGPISIVAFDDDDVPSIPGFMYSIQFNVFTTSMFIAFRKDLRYGPISSVCWPNPARTNFPLLLAVRDHFNVFTTSMFIAFRKDLPHGPISIVAIDDDDLSSVPGFMYSIQVSRLSLRKDFLGIDSDGRMYWGCPETSPLHGVVVHLIILKIIHNRNLLAHGIH >KVH95878 pep supercontig:CcrdV1:scaffold_126:458885:462034:-1 gene:Ccrd_002017 transcript:KVH95878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQFAKPRSNSFEEKDGVKLPSYRGDNVNGDAFDLKSRTLDPQRLIRAYCQSAATLNLLRDFATGGYAAMQRVTQWNLDFTEQSEQGDSRVDEALGFMSAVGLTVDHPIMTTTDFWTSHECLHLPYEQSLTRLDSTSSLYYDCSAHFLWAGERTRQLDGAHVEFLRGIANPLGIKQ >KVH95877 pep supercontig:CcrdV1:scaffold_126:311125:315762:1 gene:Ccrd_002030 transcript:KVH95877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVYKYDDVNCRLGIRIFLSQPRSPPPPKSLCTGRSIQKERFRPAKMASTLAARQAANLLRLSSSRSAAQAASLIQRRGLSGAADHHGPAKVDFWKDPMSPSKWKEEHFVIISLSGWGLLIYSGYKMFSGGKKDEKLVEAAN >KVH95867 pep supercontig:CcrdV1:scaffold_126:9551:10634:-1 gene:Ccrd_002054 transcript:KVH95867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MERKNKCSGSGSGSGSLKMKKPERKITEKNRRNNMNFLYSHLFSLIPPHLISKGGAQVSDRVDRAIDYIQTLKDSLEMNKKKKEQLLSKKRSFQHTKTNIVRQSLDVQIQEMSPDLDAVLVTGLKNHSDFCDVVQLLERYSTEVALANFSSSGHSIFHLRHKKMEADEMSKRIKNLIEGSSKMKELELELELGNDDIDINGSSSSSSNISKWDFDIQSTLWEWELEMLPMNMLS >KVH95890 pep supercontig:CcrdV1:scaffold_126:122335:127410:-1 gene:Ccrd_002044 transcript:KVH95890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MALRAASLLPSAISVHKEGKLNSSLKEGSFNGAFLSKNVKSDFSSLLIGTKVTSTMAPSVIEAAEDGKKTLRKGNVIITGASSGLGLATAKALADTEKWHVIMACRNFLKAERAAKSVGITKENYTVMHLDLSSLESVRQFVDTYRRSGRPLDALVCNAAIYLPTAKEPTYTADGFELSVGTNHLGHFLLSRLLLEDLKKSDYQQKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFSSLYPGCIATTGLFREHIPLFRLLFPPFQMYITKGYVSEEEAGKRLAQVVSDSSLTKSGVYWSWNKNSASFENQLSQEASDAEKARKLWEVSEKLVEDDDRIMDENSRKSWSNTRLLGGTELNWCKAVDGGTGITALALQVSKHPNIPLLKKALHKIQNDHPILNSMLYKNTSTPGGSACFVINPPIPHLQLNIMSLSTTSELLRTLTNRASNGSLSSLHLILEHELNINEWSTSRSLCIGGLCLWFANLYTLPEEKWLLVMRFHTAVCDRTTAVSLIKELSEVMGEREGGRYGEEGNVAVEEFIPIGKAKKTMWAHGKDMVAYSVNAFRLTNLKFKDVKGPLRSEVGCKSRGIKLCGVMVAATLLAVYNSKRRANKNSRKKYGVVFLNDCRSHLQPPLSRHNFGKLFRTIQEVRRGENLWDMATRSYTSFVSSKNNNKHFTDMADLNFLMSKAADNPSLTPKSSLRTSL >KVH95900 pep supercontig:CcrdV1:scaffold_126:419925:422179:-1 gene:Ccrd_002020 transcript:KVH95900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYLISHQVVRDKFPHIRDYKAFKVPTALDPKTILKDQLVVAFFDAIHTFATLCSHYNPVLWGVPKGSYATNPNGPCRIMEFRKMVQALNCLGLRVVLDVVYNHLHGSGPIADNSVLDKVLLSVETKVVLISLALLKRCLEQQFVFGQKVGRVVRNLSREKDEVDGSSIFMYGEAWDFGEVASNGRGINASQFNLAGSGIGSFNDRIRDALLGGSPFGHPLQQGFLTGLSLQ >KVH95887 pep supercontig:CcrdV1:scaffold_126:132167:136104:-1 gene:Ccrd_002041 transcript:KVH95887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Engulfment/cell motility, ELMO MNPKTTPCPEGLFPRAFYSSGVGSVSPKAQMPYSAFFFFFYLLPPPQTLAPPFSPRGPHHPHLLLIFTLNGSPFLLLLRVIEFLYILLEESEIGLVWYDERLNFEATAMVGPRTWIGGIFSRTGLKRSGSNKYIDFKWTPVQEARYQRLQDRTNVPYDETCIEHQKALVELWNLAYPNVTLQGLISDQWKEMGWQGANPSTDFRGCGFLSLENLLFFAKTFPTAFRRLLFKQRGIRAQWEYPFAVAGINVSFMLTQMLELYSGLHLQSILHDERIYIQDDFLLFDEFYFAVKPRCLHCVNFVKILGEDEEAFDVLYCIAFALMDAQWLAMHASYMEFNEVLQVTRTQLERELALEDIHRIRDLPAFNLLDT >KVH95882 pep supercontig:CcrdV1:scaffold_126:88119:88413:-1 gene:Ccrd_002048 transcript:KVH95882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKACPVDFERKNYTDLTSHCKGPHYQPKPCCDALARIACPHLDVINDLSNDCAIAMFGNINYHGHYPTGLFARMCSDGKKGLKCP >KVH95873 pep supercontig:CcrdV1:scaffold_126:261802:268766:1 gene:Ccrd_002036 transcript:KVH95873 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP-like protein MGSRNDPNPFDEGRNVNPYANSTANPKLSPLPPEHADYGYGASVATPADNTRDLKAKEKELQAKEAELKRREEELKKREEAIAKAGIVIEEKNWPPFYPLIHHNIAAEIPIHLQKIQYVAFGTWLGVPGAYFLWYRPLYKAMRTDSALKFSFFFLTYICHIAFCAFATLAPPMIFEGKSLAGIMPAFDILTWNATLGGFYFIGFGLFALETAISIWVIQVSNTISCFPFGFFSSLNCHFLELKWFVLDLQQVYLYFRGSGKTAEMQREATRTTVMAAL >KVH95892 pep supercontig:CcrdV1:scaffold_126:181778:182293:-1 gene:Ccrd_002039 transcript:KVH95892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITPTTSAPKPLLPPIRSKHTTPSLSTTTATANTATTHRRNFLSLTAIVTLSSPLLVIPAALAASDDEYVKETQEVISKVRNTINMDKTDPNIATAVAELRETSNSWVAKYRREKALLGRISFRDIYSALNAVSGHYVNFGPTSPIPAKRKTRILEEMESAEKALLRGR >KVH95876 pep supercontig:CcrdV1:scaffold_126:295280:302173:1 gene:Ccrd_002031 transcript:KVH95876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MRRPRPEFRRSARRRFSNVFWLTLCGLVALMLIVLLSRESTQPRSRSVLAKNSYRHDKVIEGLNVTAEMLNPDSIARQLNDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQILLSNAALRRSPLTLRESETAVRDMALLLFQAQQLHYDSATMIMRLKARIQDLEEQMSSVTDKSNKYGQIAAEEVPKSLYCLGVRLTTEWFKHLDLQKKVSRNVQIGMKLKDNNLHHFCVFSDNILATSVVINSTALNSLKPDRVVFHLVTDEVNYAAMKAWFTMNNFRGVTVDVQKFEDFSWLNASYVPVLKQLQDSDTQNYYFSGNNDGGKTPIKFRNPKYLSMLNHLRFYIPEVFPALKKLVFLDDDVVVQKDLSGLFTVDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRSHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLDPHWHVLGLGYTNVDPQVIENGAVLHFNGNSKPWLKIGIEKYKALWEKYVDYSHPMLERCNFH >KVH95897 pep supercontig:CcrdV1:scaffold_126:366831:369622:-1 gene:Ccrd_002025 transcript:KVH95897 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLQKEAAEEGDADMKKNRLQTMDRISDLPDPIVHHIMSFLTTLDITKISILSKRFFFLWSSFPVIDFDQSTFARTSQGTLAFVTDAFLNHIHNSTRLRRIDTVLSKFRVKANLNGISVDRRFDSAISFALENGVKIMDLNLGFAKYQLPVSFASESINVLSLNGLKFDLCDLILACPSLGTLSLTSCEFLRDVNFSSLTLTDIKLRWCVVKFIKIKALNLHYFFFDADKHHPTRCQINLLQCENIRHLWLHNLVNGHDWIEEHANSLGELETFILNGCQDIKQIRVCNEKLERVNLFNCPALVSVEVIAPSLKYFCYRGTDRNRVCNISFTASSRIRYLSIEDAGITDQWMEAQLAKFRCLEGLRLVACNSLKKVKIVHENLQELELYNCLRLTEAEINTPQLLCFEYRGGIIELCTMELIIPEELRERLVPPLYDLQHLEIHIKSMDKIETDLVDSLLWLSPLPKTLRISSGLDDTLQMIIKFAYNEMIGEEEDKNPFCCRSKPIKCWRHNLTRVDIKSSDDVCPNNGVELQKYFRANTMMLDTICFSKGQFESREVI >KVH95893 pep supercontig:CcrdV1:scaffold_126:289097:291404:1 gene:Ccrd_002033 transcript:KVH95893 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAND domain-containing protein MANGAEERGSLVMEDGSAMFSEEEVSEISGFKRGGDDHVEVTCGCTSHCYGDAVGTLRVFASGDLEISCDCTPGCQEDKLTPAAFEKHSGRETARKWKNNIWVIVDGEKVPLSKTALLKYYNQALRKTSNRSQTGRVCHRDEFLRCTQCNKLRRFRLRTKEECRAYHDAFINDHWKCSDMPYDKNVAILMEVYSFWYMVFARVARKRNVQAGECTEGVCGRQHAKVAHLVFVSVVIPVVFPTAAVRRALTSPGTPNLNSCLN >KVH95895 pep supercontig:CcrdV1:scaffold_126:318128:321196:-1 gene:Ccrd_002029 transcript:KVH95895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MGSLPHLLADPSSQKPTQLVDPIKLKNLFKSQQKYLNTFFDKLDHSQTHVFTQTLLDSTGTIFITGVGKSGFVSKNISQTLVSLGIKSQFLSPVDALHGDIGILTDKDVLVMFSKSGNTEELVKLVPCARAKGAFLISVTSVEGNALMGLCDLNVHLPLERELCPFDLAPTTSTAIQMVFGCTVAIALMDAKNLTKEGYAANHPAGRIGKSLIFKVKDVMKKQGELPLCKEGDLIMDQLVELTSKGCGCLLVVDDDYHLIGTFTDGDLRRTLKASKEGIFKLTVGQMCNRNPRSIGADRMAVEAMQKMEAPPSPVQFLPVMDEQNMVIGIVTLHGLVSAGL >KVH95889 pep supercontig:CcrdV1:scaffold_126:94589:97336:-1 gene:Ccrd_002047 transcript:KVH95889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor PRP21-like protein MLGSLPILPLPAPPTDGDLGPLPQSQVQNDPKDEHMQEAENDQNKSNSTPASIATHTRTIGIIHPPPDIRTIVDKTASFVAKNGPEFEKRIIVSNAGNPKFNFLNASDPYHAYYQHRLSEFRTQNQTPSLLPQQSDAPAPESTPSAAPADGNNATEKTDPSAKFRPIRKVLDPPEAEQFTIRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSRENNNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLTDKLKKSVTDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERLLMSMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKMSAVEEEEIVEPGKEVEMEMDEEEVQLVQEGMRAASLDENSDDTKDVRVIPEENEPPMRIVKNWKRPEDRLPAERNPTKYVVSPITGELIPVNEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKNDEQPKQVIWDGHTGSIGRTATQAMSQNAEDQIDGVNDGRNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNAAQYSTVTSGGLPPPSRPPSMVTPLRPPPPPMQINYGQQAYMMNRPPPPPMQQSMSVNPPSIPVPPPPGSQFTPLAPRPFAPLSMPQHGMPMVPPPPMPQGMPPPPPPPEEAPPPLPEEPEPKKQRLDDSLLIPEEQFMAQHPGPVRISVSVPNVDEGNLKGQVLEISVQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNLSLAYYNVGGGEMLSLSLRERGGRKR >KVH95870 pep supercontig:CcrdV1:scaffold_126:30955:40745:1 gene:Ccrd_002052 transcript:KVH95870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFVSCLLGSTKMAIISDFFSCFSASNKVVDEGNQKVGASRKAHNVDDKNEAKRKGGKNAPIPLAYFPIGSNFSRFCFSALNKVVDEGNEKVGNEEFGESRKAQNIDSDKEAKSKSRKSAAIPVAYFSVGSNFSRL >KVH95894 pep supercontig:CcrdV1:scaffold_126:326342:328252:-1 gene:Ccrd_002028 transcript:KVH95894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MGSLPHILADPSSQKSAQLIDPIKLKNLFKSQQKYLNTFFDKLDNTQTHVFTQTLLNSTGTIFITGVGKSGFVSKNISQTFVSLGIKSQFLSPVDALHGDIGILTDNDVLVMFSKSGNSEELVKLVPCARAKGAFLISVTSTEANALMGLCDLNVHLPLERELCPFDLAPTTSTAIQMVFGCTVAIALMDAKNLTKEGYAANHPAGRIGKSLIFKVKDVMKKQEELPLCKEGDLIMDQLVELTSKGCGCLLVVDDDYRLIGTFTDGDLRRTLKASKEGIFKLTVGQMCNRNPRSIGADRMAVEAMQKMEAPPSPVQFLPVMDEQNMKMEAPPSPVQFLPVMDEQNMVIGIVTLHGLVSAGL >KVH95875 pep supercontig:CcrdV1:scaffold_126:278920:280749:-1 gene:Ccrd_002034 transcript:KVH95875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNLLLRRHHSSIAPFNLQSTIECDIISLCKSNLLLDAIHLLNSIHSQKCFTKKPILYAILLQTCTKSNSFNHGLQLHSHVIKTGLETDRFVGNSLLALYFKLSSDFIETKRVFDGLHFKDVVSWTSMISGFIRVGKSRCSLDLFKEMLELGVEPNGFTLSSVIKACSELEDVNIGRGFHGVVFKRGFDTNHVIACSLVDMYGRNYVSCDARKLFDEMLEPDSICWTSVISAFTRNDLYQEALGFFFSMMRKYGMSADCFTFGSVLTACGNLGRLKQGKEVHSKLITSGIHDNVFVDSSLVDMYGKCGSLDESQRVFDRITKRNSVSWSALLGGYCQKGDFDTVIELFRQMEEIDLYSFGTIIRACAGLAAVRQGKEVHCQYLRKHGYRYVIIESALIDLYAKCGCIDFASRLFQQMQIRNLITWNSIISGFARNGRGLDAIRMFNDMIKEGMKPNSISFIGVLFACSHAGLVNEGRGYFTLMNRKYGIEAEIAHYSCMVDLLGRAGVTEEAERLIAESRFKDDKSLWATLLGACTTSTDLTVAERVAKKMMDLDPNYHLSYVLLANIYRGIGRWNESVEIIRVMKERGIQKTLGKSWIDIDVGINAPQV >KVH95899 pep supercontig:CcrdV1:scaffold_126:336896:342669:-1 gene:Ccrd_002027 transcript:KVH95899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MRKIGGEAFVSLIVILKYSQFPWTTLVAAVCVFISLTLSIFLLLQHLSAYKNPEEQKFLLGIVLMVPTYAVESFVSLLNPSMSVETEILRDCYEAFAMYCFGRYLIACLGGEKRAISFMEREGRAGVKSPLLEQSSEKGTINHIFPMNLFLEPWQLGQRVYQIMKAGIVQYMIIKAVTAVLAVILEAFDVYCEGDFKWQCGYPYMAVVLNFSQSWALYCLVQFYEITKYELAHIHPLAKFLTFKSIVFLTWWQGVAIALLYSFGLVKSPIAQELQFKSSIQNFIICIEMGVASLVHLYVFPSEPYKLMGDLVHGDFSVLGDYASTDCPLDPDEVRDSERPTKLRLPRPYDDVKNKTAIRESVRDVFVGGGEYIVSDLRFTVNQAVQPVGKGITKFNEKLHKISENMKKTGKDHRTRDDCCISKRRVIRGIDDPLLNGSFSDSGAAKKKRHRRRSGYTSAESGCESSNDQSLSFGKYQVRGSRWITKD >KVH95879 pep supercontig:CcrdV1:scaffold_126:477087:482641:1 gene:Ccrd_002016 transcript:KVH95879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVCLLGSWNLGFGNCNTEKMDFRRQVFTVDLLERYAAKGHGVITCMASGNDVIVLGTSTGWLIRHDFGGGDSYGNLDLLSIIAYLXVFAHIDLSTGRPGDQSIHRVFVDPGGSHCIATVVGTGVSDTYYMHAKWSKPRVLAKLKGLVVNTVAWNRQQITEASTREVILGTENGQLHEIAVDEKDKREKYIKFLFELSELPEAFEGLQITRVCGLFLLFFSVLQMETASLNNGTRYYVMAVTPTRLYSFTGIGLLETVFASYVERAVHFMELPGEIPNRQVLYMLYGYAMIVLFLSQFIISELHFFIKQRRAVHFAWLSGAGIYHGGLNFGAQNR >KVH95888 pep supercontig:CcrdV1:scaffold_126:105022:105414:1 gene:Ccrd_002046 transcript:KVH95888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MLGKKIGFVRKLITKKITSHGYEPLHYECLLSSDNDDKQAYRSCTSTPRGCIALYVGEERRRFVVQTAHLSHPLFQMLLEKTAEEFGFDQKDRLVVPCSVDVFLEVVSSVKCNNGKFDLRYLVEETSNVI >KVH95901 pep supercontig:CcrdV1:scaffold_126:402899:407628:1 gene:Ccrd_002021 transcript:KVH95901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MREKYGVPFIHNYPSISHRCIQKAGAKLKFPFNCHNRTLPFHQMKLFSYLNKAFNGFPSSSKLLLVFSLSGGGLVAYSESQSTSATNNTELERLANKKKVVVLGTGWASASFLKDLDISSYDVRVVSPRNYFAFTPLLPSVTCGTVEARSIVEPIRNIVKKKNGEIQFWEAECTKIDAAKKKVFCRSSIEANLVGKKEFSLDYDYLVIATGAEVNTFNTPGVVEYCHFLKEVEDAQKIRASVIDCFEKAILPELTEEERRINLHFVIVGGGPTGVEFAAELHDFVYEDLVKLYPMVRDLVSITVIQSGDHILNTYDSRISSFAETKFARDGIDVCTGCRVLSVTDKNVNMKIKSTGEYVPVPHGMVVWSTGVTTRPVVKDFMEQIGQESMLLTCMAISALLSGMQGKRRVLATDEWLRVKGYEDVYAIGDCATIDQRKVMEDIAAIFKAADKNNSGTLNVEEFQDMIDDILIRYPQVDLHLQSEHLSSVTDLLKDPEGNARKEIDIEGFKLALSHVDSQLKSLPATAQVAAQQGAYLSRCFNRRELCKTQPEGPRRFQGQGRHEFMPFRYKHFGQFAPLGGEQAAAELPGDWVSVGRSTQWLWYSVYASKQVSWRTRVLVVFDWTRRFIFGRDSSGI >KVH95903 pep supercontig:CcrdV1:scaffold_126:388382:389026:-1 gene:Ccrd_002023 transcript:KVH95903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHLPSLPKFGSKESHQAPMSFWTEWSSLAQQEYDQRDVLLRACMSGKGVDTPCLPKVPRGRTRSSRATTRERIPHRAGGGGRPSQGTSRPTGNTSENTLNPFHLELP >KVH95886 pep supercontig:CcrdV1:scaffold_126:165126:168701:-1 gene:Ccrd_002040 transcript:KVH95886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF789 MVSEKRSMKSSNLQCFLDSTTPVVPSQFLSKSEIRNLNRVWHPWEREKVEFFTLGDVWNCFDEWSAYGAGVPINVDESQDETIIQYYVPYLSAIQIFTTNSSFNHQREETDSETRDSFSDSLSDESESEKVSRWDGCSSDEGVFDQEKPCQPNDRLGHLYFQYFDRSTPYGRVPLVDKVCALSKKYPGLMSLRSVDLSAATWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDVDIEDETGFSKRKREEGEGIRLPPFGLATYKMQGEVWISSRNRKDQEKLVSLVSVADSWLRQLGVQHHDFNHFMGNRRG >KVI06712 pep supercontig:CcrdV1:scaffold_1260:6489:16260:-1 gene:Ccrd_014933 transcript:KVI06712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPPTTTTTTTMEDCCVKVAVHIRPLIGDEKLQACKDCVAIIPGKPQVQLGTHSFTFDHVYGSTGTPPSAMFEECVSPLVDGLFQGYNATVLAYGQTGSGKTYTMGSGFKDGCQTGLIPQAMSALFNKIESLKHQIEFQLHVSFIEILKEEVRDLLDPNSSNKSDTTNGQTGKVNIPGKPPIQIRETSNGVITLAGSTECSVQSLKEMADCLEHGSMSRATGSTNMNNQSSRSHAIFTITVEQIRKANPGDTNSNDAIGDEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSRTVMIACVSPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQQLECLQAELCARGGGSSVELQVLRERIAWLEATNQDLCRELHIYRSRGIAIDHSEMNTKVDHDSFCVENEGLKRGLQGVDSSDYQMSESGDSAVIDEEAAKEWEHTLLQDSMDKELHELNKRLEQKESEMKLFGGFDTMTLKQHFGKKIMELEDEKRAVQKERDRLQTEIENLSATSDGQTQKLQDLHSHKLKSLESQIQDLKKKKDSQVQILKQKQKSDEAAKKLQDEIQFIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSTRDNSVTSNGNGANVQSNEKSLQRWLDHELEVMVNVHEVRHEYEKQSQVRAALAEELAVLRQVDEFASKGVSPPRGKNGFSRASSLSPDARMSRISSLESMLSISSNSLVFMASQLSEAEERERAFASRGRWNQLRSMADAKNLLHELRRKETEKELKVREQTVAIALASSASGNSHNSLKHLAEDMSGPLSPNSVPVPKQLKYTAGIANGSVRESAAFIDQKRKMVPISQLSLKKLAMVGHSSGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIKHSDETIMRGKPRVSDVIVIYYKVCVGEEMRSLVVDGADLE >KVI06711 pep supercontig:CcrdV1:scaffold_1260:119618:129642:1 gene:Ccrd_014934 transcript:KVI06711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWSRSASISRRGKPEPMVENSEAAEVQSAQTPTVVDKVPCLIINIESNEEDIDNFFTFYDDEMLKRRDFDHINNLNNVLPDSPQRDRSISQTPTKQMAMNGLSDRGRRADNSRIYQALRAPLDNAWSLEDIESMPLFLLIEQPKFDIVYSNNIGEKKFMRFSELPANSDGTLKIIKLKLSNKLELESKGLDKFNPRDENMMLNVLDLIDKKLEYRRVMAQIRRRRSGRLKAKSMFKFSNKESQPIDLDDDVDIIAIEETCGKESKRKRDNDMNAEKKGDTGIQGLYKVKDGFDLSTXTKH >KVD98109 pep supercontig:CcrdV1:scaffold_12603:55:408:1 gene:Ccrd_024283 transcript:KVD98109 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MKGGQNCFNETYPIMKDKFWESGSDSKMMRILESSLNKLKSKGVTVQMVNVTQLTQYRKDAHPSIHRMHNSPLTTTQLSNPSSYADCTHWCLPGVPDVWNELLLAYILQEHK >KVH94983 pep supercontig:CcrdV1:scaffold_1261:7144:11364:-1 gene:Ccrd_002948 transcript:KVH94983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MISVTPSLVVQSSSMDMLDSFQRKEDIKKPTVYKAKHPVPAMFRVGDVVLPEVGDIRRQDFKGGGGGGGSFGQKKVLETAVGESPYTMAVVHEYRLAENGGAHLATPPPPPSLYSGWDNNIDYFIKKELCCLAHIGNADTSVTSDILQRVSGGGVVTLAMNKYASNVVEKDTARGLAYLHEGMDFRIIFRDFKSSNILLDDQWNAKLSDFGLAQLGPKEGLTHLNYEETKHYTKLG >KVH94982 pep supercontig:CcrdV1:scaffold_1261:84925:92249:1 gene:Ccrd_002949 transcript:KVH94982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDLHSPSNSAGAKAAFHKPSNDPSNRKYRRRSPVSGSSSSDGEPVRERSSTPARSWDDNAKHNDNRQRKDDRRDIDRDQKRSRYSRDGGSNRNADRRSYNDRRHDDYKRREKYAEEDQKNFHKSSPRTKSGSGSHGSYSDHARREFEQNRSRDNVDKHSRDRSDGSGHRSRDRDREASSLEYQKKYKDSSSDRGGSGKRQTNFKAEEVRSGEGDKHKKDDAREEKRDYPRTLKDGKSESLSTHEEPRGHRSGHHHKDTSWRDSKELDDPKYTRDGKGKSYDQEPRGLKDRHFKEPRELLDDKKVLATKKSKFSMDKDTEYSKDAIKHATISNEVQSSSLKQGQNFVGKANAEQDCVKESDIDAAKIAAMKAAELDLRIVTSCVLQFMCYLIKLVQAAVAAALTSFPEILYYLIPECFHLLFLLFGEREVSCVNRNLIGTGFMSTDQKKKLLWGSKKSTATEEGVKGVEQKPEVTQNTNLAEKQKELQMDLEKQYTAGLRRRDGRTVGLGL >KVH94980 pep supercontig:CcrdV1:scaffold_1261:101528:108188:-1 gene:Ccrd_002951 transcript:KVH94980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGKESDYFLIEDDEDDEDDIEANNSDDDDDDNDVGSSRRSFSSQVSAPQWPQSYKQMLDSYSISATPSLGFLRRPSGSIYSMYDAGVDSNFDDNVKSKLLSECSKLFSKEDVDRISRKISTWSGRGSLPDQLIGELPITFGCSVTQTVFNSVNVMVGVGVLSMPNTIAQAGWAGMGVLLLFAAMCCYTAYLMKTCFESKESIQTYPDIGEAAFGKYGRLIIAIILYTSLYVTTGVVATVMVIFSVLWLGTVNVGFHESGPLIKLNGIAFALGIYGFCFSGHCVFPNIYQSMADKTQFTKAMIICTVLCVLLYGSVAIMGFLMFGEHSLSQITLNMPEDAVASKVALWTVLLPAKVANSDWCFVTLRIALVASSVCVAFALPFFGLVMALMGSVLCILVSLIFPALCFLRINGSKATTTQIGLSISILVVAIICMIVGTYSSLADIANEL >KVH94979 pep supercontig:CcrdV1:scaffold_1261:96108:99763:-1 gene:Ccrd_002950 transcript:KVH94979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KVH94981 pep supercontig:CcrdV1:scaffold_1261:121245:129445:1 gene:Ccrd_002952 transcript:KVH94981 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MKAWEATVRKTHAVAKRQASNIFGSAHVANVEEEVEEDHEAHASGEVYQAERYISNGDYYTGYWLENFPHGFGKYWWTDGCMYVGDWYRGQTMGKGTFSWPSGASYQGEFKSGYMDGEGIYTGPNGDTYKGFWVMNLRHGYGVKEYTNGDVYDGEWCRGFPEGEGKYQWKDGNCYSGEWRNGIMCGNGKLVWKNGNIFEGIWEDGIPKGKGAFQWADGSFYIGNWSNDPSELNVTYCSSVDAVDEHLEWCPQQLYEIDLKDCRICPLEKFAILPSNKKLVAWRSSKGSSDHSTPKPSRRMSVDCRSDHEKLNKTRTLDDSRRSSKASDDASVLSSPGNSPFEASSIQIPKVVKKQGDIISKGHKNYELMLNLQLGIRHSVGRPGPMPSLDLKPSAFNPKEKVWTRFPPEGSKHTPPHQSCEFRWKDYCPLVFRTLRMLFKVDAAEYMLSICGNDALRELSSPGKSGSFFYLTYDDRHSKDAFGVLQSFSALREHFINKVLWTTLCEVKWSCPKEDHVTMDNSNSGSRMDKLLSDPTGLANLRLGINMPARVERMERAIETELLGEPTGECYDVVMFFGIIDILQDYDITKKLEHAYKSIHYDPTLISAVDPRQYSKRFRDFILKLFIEDE >KVD98108 pep supercontig:CcrdV1:scaffold_12613:32:1418:1 gene:Ccrd_024284 transcript:KVD98108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNHKMCXLLLPYTLLLTPQSFFLT >KVH88269 pep supercontig:CcrdV1:scaffold_1263:91853:120257:-1 gene:Ccrd_024285 transcript:KVH88269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF616 MAQQRQSGGSFFDKVGFGNDHVSVGIRAATASHNHNFKQSRTTHHRRFRSRRISVRAFVLVVLTLIFMISASAFFFLSKDDKDKDDYIVETDEFGTDLDFLANVTRTERSKILKFGHGLVGHGRDSRYWDRDDRRRDEDYGEDLVGHSEIGAQGGSLTNLSTPSKINDREKRFSEENKRSDHRVAGLYNEAGRNELKMYEAEYEASLKVVSVSKKEAKSINQQPDDTDKQKHDEEDDLDDEYNDEIDMHDIRLEDYNAGLGNDHNSDIGVSQGVDGGGSSTKNDDDMPIKDVTHTLLVDPLDPDKLKINSGHVSVIDVQSSRRAISERRIASKKRSKRRKYSGACEMKILNSTMKLVEPPENRKFARFSLEYTELEENINKLEPWKLKFAGNQNLEQREQSFVAHDQTLHCGFVKGPKGYPSTGFDLAEDDVTYISSCHIAVISCIFGNSDRLRSPMGKTLKQLDPRRVRDLRAVKHPDLVLDIGHGVDGVKGLRNHQADYHDDLRKQVPNPSAGGKQIHLSIYSFNLQTRRKIIPACLLCKVEKIYSNKELKKNRAREDVKSNTAPFMNPKLNHFHLVAEDVLVSRYSRKNVCFVMFVDDVTLQTLSSEGHMPDRMGYIGIWRIVNVKNLPYTDMRRVGKIPKLLAHRLFRNARYSIWLDSKLRLQLDPLLVLEYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFAFYQADGLTRFNPMDSQKLLPSSRDCERRKIAKLFRHRSEERRYTVGQETE >KVD98107 pep supercontig:CcrdV1:scaffold_12634:59:430:1 gene:Ccrd_024286 transcript:KVD98107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral Root Primordium type 1, C-terminal MDEAEEQLAYQTALNIGGHVFKGILYDHGPEGRYNNPGEGSSSGGGGAQLQQLITSGRTAGATASTSIHPAVNFIDPSSTYPTPFSAFMAGTQFFPPPRS >KVD98106 pep supercontig:CcrdV1:scaffold_12638:3:155:1 gene:Ccrd_024287 transcript:KVD98106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVWLCNLQSIQLSLWLLSSSSIRCSVRLDVFF >KVI08300 pep supercontig:CcrdV1:scaffold_1264:114160:118633:1 gene:Ccrd_013332 transcript:KVI08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTVMKLIFLGSSFSIVWYIRRHKIVRRSYDKDQDTFRHYFLVLPCLLLALVIHEKFTFREVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHFVHWITWIAGLVQTLLYADFFYYYFESWKNNVKLQLPA >KVI08303 pep supercontig:CcrdV1:scaffold_1264:122144:130482:-1 gene:Ccrd_013334 transcript:KVI08303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKKAFEERNNKYEEKVKIVPWEDFQQELARLSSLSSALDQANHKKSLIQQKLNSLLQLEAESLNWSNELGEMWEKLEARKLVMGNMAMKSKVVQEKAKKQEEQLNSKIRSLLMDGTALSVARRQLQEAHKSLAGERGYVHLWNLQKLLRMRQQLLVSQISLLYPVKVVLGHRCEQELESYTGSSRFGNPSRLKPPDAASLTISGLHLSVFPFTKMNFFTDKKEVLRSATALGYVAHAVSLLALYLEIPLRYPIRVGASRTYICDYAPSVESISELMSTLLPYSPSKPMEFPLFLEGQDTTRSAYACFLLNKDLEQLLNLIGVESLGPRHVLANFRELLNNILSPQYVYTS >KVI08297 pep supercontig:CcrdV1:scaffold_1264:83226:86167:1 gene:Ccrd_013328 transcript:KVI08297 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein MAASSSTENKNLVPIKEKTMQYYLYPPPIAQYEDVIANAKLFMDILGKFHAAMGTKFKIPIVGGKDLDLHRLFMEVTSRGGIKRVLEEKKWREVTNCFSFPPSATNASFILRKYYMSLIHHFEQVYYFKAKAWTPSTKSTLTSTSGMNKAVLPLPEIQMAPLKQQTSLSPEIQVTSVKRQRTTDEDASSKDSPESSIGLPVTGIIDGKFESGYLCTVRIGGEQLQGVLYQTVQTPSCETPKHDGQVPQLGTMDATQASTVVRCRRRRRKKSEMRKRDPAHPKPNRSGYNFFFAEQHARLKLLHTGKDRGISRMIAVKDKERYITEMQHYRESFRRGGVISNAMPSQQQYFMLDTNMMLVENTEYDGGSFHRAPENELTSGYCDNDKSSFEGEEKTTGKDSNLGTPLAVEMGAENVEIETVAGEKDLGLAKIDRVGKEFLEDAEMKQEELLFGGHKKPFNIKGDQSFLDESLQQKSVSVQENEPLIIENESKQDGKSPDEPKMSNIDDEIKTKSADNQERDSVAIQEKFSVKFDGIIENGSYVTNEEIRMENPMAVQEREVVTGEDIVGNKPEPFHQKELVYISENLEKDPQVSQETVSTVREVEFMS >KVI08296 pep supercontig:CcrdV1:scaffold_1264:87565:88884:-1 gene:Ccrd_013329 transcript:KVI08296 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNGGHESVELFWGDGLTCSNDPTFPLNFLQTLSQASEPSSTPSLTNTSRFPNLSLLLNEPKFATSLQPGTGWLGINQNLANHPSKGFSGDYWLKTSKTQPMKYTGRRGPDTTFKRTSFSTSVCSPAKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFETAEEAAFAYDTAAYLLRGDYAHLNFPNLKNQLKANSINRNTAALLEAKLQALSQGMSSEGNNNKKGNDLSSPLPEMALSETSMVGNYTDYESNNKSRSEVSNGISKKPVEAGPPSDFVEGVQLSRMPSLDMDMIWDALLVSDS >KVI08302 pep supercontig:CcrdV1:scaffold_1264:104968:105405:1 gene:Ccrd_013331 transcript:KVI08302 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MATLSDIAVVLVLQLLLYGRITAATWCVARSDASEDLLQMALDYACGAGADCLPIQESGPCFLPNTVQAHASYAFNSYYMRTSMAAGSCDFSSTATIAKTDPSYGLCVYPASP >KVI08299 pep supercontig:CcrdV1:scaffold_1264:48857:49907:1 gene:Ccrd_013326 transcript:KVI08299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MMAQNQTHNALVLKSVIVAITLAMLCGCGMAQSSSGCTNVIISMSPCLNYITGNSSTPSSGCCTQLASVVRSQPKCLCEVLNGGGSSLGLNINQAQALELPKACNVQTPPTSECNASSPGNSPTTTPSSSSPNNPSDTGRGSNSVPSTNDGSSDAVSIRLATIPLLSLIVSAYATTF >KVI08301 pep supercontig:CcrdV1:scaffold_1264:118058:121032:-1 gene:Ccrd_013333 transcript:KVI08301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDFDDVIPNLPIYKAAMNDDWDSVEHIFDNDPQKLDAKVTSWWETPLQIAVGTNSSHRFVTKLVDRIMETDVQKLRTKSWWGNTALHYAAKIGNTRAARLLVSKDPGIAQITNTDGHTALKLAARYGQQDTLWYLLEVTKDEVGEDGTSPYTGVSGADLISLTLIAGLCEASDYYPVKMDKDTWRMTTKKVESSFRLFVSKLILLVAPCAKDIYSMKQKHFHTQKLVKRMCSIVMDKSSHSIAWDVLGTAVSTAVKHGIHELIKVCVQTYPDIVWYNDGGFYLFIAAIRYRQEKVFNLVYRMTGHKEVEKHVEPSYKEALNKDQKTPRMVFTEAHKDLLEEGETWMKEMSSSSTVVGALVVTMAFAAAFTAPGGNTITGSPLFLNDGIFLLFIVSDAIALFSSTTSVLMFLAILTSRYAEEDFFYALPKRMMIALVSLFLSLAATMTAFSATLALVLRDRISWIAAPVILMASVPVTLFAMLQFPLLVELVYSTYGQNIFHKENNVLLH >KVI08298 pep supercontig:CcrdV1:scaffold_1264:76999:80573:1 gene:Ccrd_013327 transcript:KVI08298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MMSRQPARFWTFNTLVGAFLDLFVAYFLLCGSTIAFFADKFLGFFGFSLSTPYNVFFDSDFTNLLFDYPTDKISDVQFAVARKFPFDSIFFRIQNGHGSDGLNLDRGDGFRELEGEASCSSISDARKVVRNETDDSAVRFEKGRGFDMKGKGAANYRVRGSIRRRRKTSLDSGKHSSVSTSPTWITCVEDQNNHKESNAQLEGSLILSGANSSNYEAETPMVVKSDGRFLDDVSNESGNNPSGLKERIATDDGDEDNRIVSLTQELEVERAARSALYVELDEERNAAATAADEAMAMILRLQEEKASIEMESRQYKRMIEEKSAYDLEEMNILKEILLRREREKHFLEKEVEAYRQMDRLENDQLSGINVQDFNEDPDLILHELSMSIANRKNSGNEDLELSKREDIEKPIAIVGEVPDLEMKAGHAFNGNKELYKQRTEKESLVYNVHMIDNEPKTSDESKGSKKRPTMDETDGSFLRRLEKEADQNRSGSEAAVGRLPPISSKSARRNSTSVLDNERIRIDTEVGWLRERLRIVQEGREKLNFSINNREKESLQLQLLEDIARQLQEIRMLTEPRKARQASLPLPSSKSLTKKRRCRSVSSGAAKSS >KVI04922 pep supercontig:CcrdV1:scaffold_1265:18716:20333:-1 gene:Ccrd_016744 transcript:KVI04922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MVFSSLPSYLDPPNWPQQQQVGGVGGDHEISQLPPPLLPPPPPLGSGGDARSVQMSERARMGKLPQPETAMKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKSSKGSRGSRSKSPSATTSSTSNTAISPNSCTTDILGHLPHNTRPSQFPILPPLNHFGGGDLGLTFAGGNGNNLIHLGHDSNYPSNKFAQFPFLEAANAGMYGEGPSNYGGQVGGMKMEDNHHRHHQQQGLNLSRNFMDIPGNEQFLGTSNVAWSTTDLSGFNSSSTTTTHLL >KVI04928 pep supercontig:CcrdV1:scaffold_1265:119051:130200:-1 gene:Ccrd_016752 transcript:KVI04928 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MFSFMLLILTGILLWWWYSTHVHLKKLLPGPFPLPIIGNLHLLGNLPHRALHKLSQKYGSIMSIRLGSINVVIVSSPDAAKLFLGTHDAIFASRPEIQLSKYLYHGNKGILLSDYGEYWRNIRKFCITELLSPVKINGFAGIRKEEIGLMVEEMRVASIAHQAVNLTKVVGGLVEDMTFRMIFGRKSDQTFGLTQRFRSFSKDVDEMLEALITENEEHTLTGLQQMSFIETLLSVKNKYSDIGDDYLSVTIDRSSTKAILLDMVAAIVGTSKTSIEWVLSAVVKHPRVMKKLQKELANVVGDKRMVEETDLAKLSYLHMVVKETFRLYPVAPLLMPHKSMEDVVIDGYHIPKHTQVFVNVWAFGHDPEVWSENWQEFLPERFLESEIDFRGPDFRLMQFSTGRRGCPAMNLGLLSIYLAVSNLVHCFDWVLPDGVSAIELDMNEKYVTTMARVKPLLAIPTYRMGSLTI >KVI04923 pep supercontig:CcrdV1:scaffold_1265:47964:50892:1 gene:Ccrd_016745 transcript:KVI04923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPMNTVFVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKAASVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGSPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTMTRARFEELNMDLFRKCMDPVEKCLRDAKMDKRSVHDTVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKVGGKKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTISDEKVASKLAAADKKKMEDAIEQTINWLDANQLGEVDEFEDKMKELESICNPIIAKMYQAGGVPDGAGGGGGAAPAGGSGAGPKIEEVD >KVI04925 pep supercontig:CcrdV1:scaffold_1265:70704:71219:-1 gene:Ccrd_016747 transcript:KVI04925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MADDNNGVLTEVYVVLLIIALGALLIAIYHCISMLWWDLHRHRRRRGPTEIQLEGNDVSFENSVAGLIPAHKHKKGIGSEDDEPMCAVCLSEFEEGEELRTLPDCMHSFHVSCIDMWLYSHRNCPICRMDAATTASPLMEIIYIVDPQHDQEEVVSRPNMPQDLAVQSMAL >KVI04924 pep supercontig:CcrdV1:scaffold_1265:61377:63174:-1 gene:Ccrd_016746 transcript:KVI04924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSSVGLGLSLVFGCLLLALVAELYYLLWWKKKVTNREMIQESYNTPAREFFYMFCWKKPSSLTSTGLTTDTQVHEPQASSAHQLTKDLLLRPFGEAQQDDGESEMFRMQNLSAPTRFLFTIKEETKEDLESEEMSKRGSRRSLSDVISVDTPFFTPLASPPYLTPPITPGDYSYRSFSPLFQTSSDSEFNRIWASPPPKFKFLRDAEDKLQRKLMEDDASAHDDDDDDGVKDEANGSFITLIVSKNHEMEG >KVI04929 pep supercontig:CcrdV1:scaffold_1265:116741:117052:-1 gene:Ccrd_016751 transcript:KVI04929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVXRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVI04930 pep supercontig:CcrdV1:scaffold_1265:109079:113550:1 gene:Ccrd_016750 transcript:KVI04930 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MHVYIKVDQHLTILQTSSAFLPKLSDTVKCDPKKMATQGTTGANNATTRPPPNPSPLRTAKFFQANMRILVTGGAGFIGSHLVDRLMENEKNEVVVVDNYFTGSKDNLKQWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQSPGTQTRSFCYVSDMVDGLVRLMEGNNTGPINIGNPGEFTMLELAENVKELINPEVKIIHVENTPDDPRQRKPVITKAKELLGWEPTVKLVDGLPRMEEDFRKRLGIPKNA >KVI04927 pep supercontig:CcrdV1:scaffold_1265:92180:95890:1 gene:Ccrd_016749 transcript:KVI04927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MASPSQASLLLQKQLKDLCKNPVDGFSAGLVNETNLFEWSVTIIGPPDTLYEGGFFNAIMTFPQNYPNSPPTVRFTSEVWHPNVYPDGEVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRRDEFRKKVGRCVRKSQESM >KVI04926 pep supercontig:CcrdV1:scaffold_1265:78986:80434:1 gene:Ccrd_016748 transcript:KVI04926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MNGGGLLTTLSIDNNHLSTILSMDSSLFEREVNRAVDLTLPPDINLPLSAEPSPPPPSSNDSCDMLDAGLGSQHYQTETHINVTNIGKKSAKRLDSMWGAWFFFNFYFKPALNDKSNNKANRENGYDKSRLKLDVFLVQHDMENMYMWAFKERPENALGKMQLRSYMNGHSRQGEKPFPFCADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLTGLDEEERRRWMELTGRDLNFTVTLEASDFSSWRNLPSPDFEIERPLVLKDNLANGNHHHHQQQQPKRLLNGSGFNHSVQLLDHVNGNGYGMDLLASCNSKRRKSNGNDDESSDSVVDIQPNWVSQISGVLKSAHGPVTAAKTIYEDEEGFLIVVSLPCVDVERVKVTWRNTLSQGIVKICCVSTGCRPVLKRQERVFTMRDPASEHCPPGEFVREILLPTPIPEDAMLEAYRDETGTMLEIIVPKHRVGPEEHEVRVCLRPMLT >KVI02668 pep supercontig:CcrdV1:scaffold_1267:94146:101038:-1 gene:Ccrd_019042 transcript:KVI02668 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand-like domain-containing protein MGVCSSKPSTKTEFSGDLDTNIPVKDGGDGASRETISKKDEIEVGKKTPLFPFYSPSPAHYLFSKKSSPAPNASSNSTPRRFFKRPFPPPSPAKHIKALARRHGSVKPNAVAIPEANDVERNTGLDKSFGFSKHFGNKYELGEEVGRGHFGHTCKAKFKKGEFKGQEVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHNNLVKFYDAYEDHDNVYVVMELCEGGELLDRILSRYHVDSFHLLFINKEFLKMGGKYTEDDAKVVLIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGFAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKAHPSFDEVPWPTLSSEAKDFVKRLLNKDPRKRMTAAQALGHPWIQNSNEVKVPLDVSILRHMKGYMQPTKNGSISMENIKVALMKHSTDAMKESRVHDFLNSYRRMEFDEFCAAAISVHQLEALDRWEQHARCAYELFDKDGNRPIMIEELASELGLGPSVSVHSVLHDWIRHTDGKLSFLGFVKLLHGVSSRTLAKAR >KVI02665 pep supercontig:CcrdV1:scaffold_1267:7328:8926:-1 gene:Ccrd_019037 transcript:KVI02665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MVEYIRKCSNGVGEVVVVDSDQVGMRTRAVAAEEEEGAEKRRKVGNGELRLPLPTLVQITTAGEIRYPCTASAASRSSDHVPVSCCSRNGSTEERQFTDLEEIVEIGAVARCSLDSRARSKSKLVLNLMDHSFSKRRSELKAGSGEQKTITANQSTVINSRRTMPAEKMPPAAELEEFFASAETELHKRFKDKYNYDIVNDTPLEGRFQWVQVKP >KVI02673 pep supercontig:CcrdV1:scaffold_1267:26842:32164:1 gene:Ccrd_019038 transcript:KVI02673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MAELLCSFNKDSLTIKPLKKSSLVLRLMLSAIAMVCGIYICSICLKQMNLQNKSKFLLFEDFKRPCYDTIIDRSQIPYLHYPKPKTFNRSECAGNPVRLFAIVSMQRSGSGWFETFLNSHLNISSNGEIFGPKFRRNNVSSVIQTLDRVYNLDWFTSSSKNECSAAIGFKWMLNQGLMQYPKEIEQYFNDRGVNVIFLLRRNVLRRLVSMLANSFDKDAKLLNGADLLSKYKPELNITSLKSDLRGMESTAEKALNYFNSTRHIIIYYEDLMKDPSQKLIEVEDFLKLPRMNLTSQQVKIHKGSLSEHIKNWEEVNKTLTGTTYEKFLQADY >KVI02669 pep supercontig:CcrdV1:scaffold_1267:113506:114384:1 gene:Ccrd_019043 transcript:KVI02669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MDSYEDNRTYRARELYVLSDKIEELHRTNEELKQAKDEAMQSWLDSRPLIDELERLQSGLADAKEQSDNGLVSKLESELMEIHLTIRAKKEEELKAGTEINHMTRASEALREEMERIKLETDEIRRTRSKMRKGIKLRRQRLRTLKLTLRAVRLESEAYAASAAAAVDQIGRARSLDHTDTIELTQEDYFALTRRAKEETSLADWRVVVATEQRVVAEESRDSALKKLTDPYGSVKEGEISDKEVTGFRSKPGTPFPRARAKQMAHGGIKKKRSIFIEIKSYFARKIKYLFG >KVI02666 pep supercontig:CcrdV1:scaffold_1267:114859:116629:-1 gene:Ccrd_019044 transcript:KVI02666 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MQRFSISVVFYLLILRPFVYGQLDYRFYDSKCPNLTKIVRFGIWSAMANDTRIAASLLRLHFHDCISNGCEGSVLLDASSSFQSEKDANPNRNSARGFELIDTIKANVEKACPSTVSCADILTLAAREAVFLTGGPYWSLAMGRRDGLTANITAANTDIPSPFEPLENITAKFTTKGLNLNDVVVLSGAHTIGFAQCFTFKPRLFDFHGSGAPDPQLDASLATSLQGVCPNQDDSDTNLVPLDAVTTTKFDNIYFKRLVNNSGILGSDQALMSDNRTAQMVINYSKFPFLFAKDFGASMAKLSTVGVITGQDGQVRNKCNVVN >KVI02670 pep supercontig:CcrdV1:scaffold_1267:45367:46040:-1 gene:Ccrd_019040 transcript:KVI02670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFTGDFRSERQQLTVYIDYSLPVHSTGLFSTDDQF >KVI02672 pep supercontig:CcrdV1:scaffold_1267:38288:44402:1 gene:Ccrd_019039 transcript:KVI02672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTPPNPSKTTIESWLQPPPPTRGAEDFRPTAPGHSPGVSFTAKKSGRTPSGIGGIACHAILLAEGRQLKPLKNKDKKDTETQTKHALDPLQKHLRNENSFQVSSAGEVPTITSPPVTEKEAKFPPQVPTQTQGDGISDLKAITAFRPTTPGNSPGAGHSFTEHRLDFESEASSNVNGIPQSNAESGNGFRPTKPGSSPGAGHSIHSKTTKPKA >KVI02671 pep supercontig:CcrdV1:scaffold_1267:52122:52352:1 gene:Ccrd_019041 transcript:KVI02671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSRTLLVSVLIVLFMTNEVAQTSEARKLAEKLVMTEENGATNPSQVPPPPSGVDAFRPTTPGHSPGVGHSVHN >KVI02667 pep supercontig:CcrdV1:scaffold_1267:119119:124963:-1 gene:Ccrd_019045 transcript:KVI02667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MFILFIVSLDRFTEHKEPQFLQKWPQGRNLTLSTRDEHKNGESLSAPTTPVSNRGIFTPTNKTDLCSSNHFFPRNQAWLAPEPALAPPDMPPGVANHYQTVLDRSIHGCDGSPVHSPQKRTNIRSQMSSNSPLQKSLSAEIPMSRRDSNAQMTVGIVHPLPLPPGAAVPPPSSPPLITNKPDLIAKTPRHSWGSVLHIFGIHPSGDIKGANLLVDANGVVKLADFGMAKHLTGQVDLSLKGSPYWMAPEETPPIPETMSSDGKDFLRCCFVRNPAERPTANMLLEHRFLKNSSQLDFPASNNRMVQNRRERLNHRPGSMSMPTDTSTAKGKLLNSVDMRMTNVSY >KVI01729 pep supercontig:CcrdV1:scaffold_1268:98930:102602:-1 gene:Ccrd_019995 transcript:KVI01729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthase MMARFLFMDLSPVSRLVLSFLSRLSPISQLGLSLIFAPSIPQPVYRFIDSLSFKSRLSYVLSIFKVIAEMGVNYQEVIEDITMTIDDYDEYCYYDVGLFGLGLSKLFHASGIGILFPDSIFNSVGLFLQIMIIGTLALCYNNIEVFKGVVKMRRG >KVI01728 pep supercontig:CcrdV1:scaffold_1268:65798:89459:-1 gene:Ccrd_019994 transcript:KVI01728 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MEDSNSIAGRRLAAIQSHLLTPIDNTHPQIGTNNTVGEFFDDQRYSAVLPEELKSGKWNVYRSARSPLKLVTRFPDHPEIETLHENFVHAVDTYPDYKYLGSRVREDGNIGEYKWMTYGETATARSAIGSGLHYHGLSKGACVGLYFINRPEWLVVDHACSAYSYVSVPLYDTLGPDAVKYIINHADLQAVFCVPSTLNILLSFLSEINSVRIIVVVGGADEHLPSLPATSGVQLLSYSKLLSQGHSNPHPTCPPKAKDVATICYTSGTTGTPKGVVLTHENLISSVAAMSLPVKFYSSDIYISYLPLAHIYERANQIMAVYCGVAIGFYQGDNLKLIDDLAVLRPTIFCSVPRLYNRIYAGIINAVNTSGVLKQRLFKAAYNSKKQAMMSGRKPSPIWDRLVFNKIKAKLGGRVRFMGSGASPLSPDIMDFLRVCFGCSVIEGYGMTETSCVITIMEDGDNLSGHVGSPNPACEVKLADVPEMNYTSDDQPHPRGEICVRGPIVFQGYYKDEVQTREVIDDKGWLHTGDIGTWLPGGRLKIIDRKKNIFKLAQGEYIAPDKIENVYAKCKFIAQCFIYGDSFNSSLVAIISVDPDVMKEWAASEGIKYDDLGQLCNDPRAKAAVLAEMDAVAREAQVKRAQAKAYFAKEISKMYEELSTMDPTLDKPL >KVI01726 pep supercontig:CcrdV1:scaffold_1268:4372:9384:1 gene:Ccrd_019992 transcript:KVI01726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MLGERVEEGNQMIKGRVVLIKKNLLDLNDLGASALDRIHELFGNNISLQLISVVRPEDESSEGRLRGKLGKAAIVEDWITTITPLIVEESTYAVTFEWNEEIGIPGAFLVKNDHHSEFYLKTLTLEDVPGHGQLHFDCNSWYREEELVILRGTGSGMLQEWDRVYDYAFYNDLGNPDDDIEYARPVLGGSSEYPYPRRGRTGRPPTKSDPKTESRLPLLQSLSVYVPKDEQFGHLKLSGFLGYGLKSIGQFLVSEGEALTDTTTHDEFDTFQDVLKTYEGGLKLPQGKLLDQIQENIPFEILKALSQSDVRPYLQEFPPTSKLDFEIYGNQNSSIKPSHIEQNLDGLEIEEAIKSSRLFILDHHDSLMPYVRRINATPTKIYATRTLLFLQKDGTLKPLAIELSLPHPEGDQLGAISKVYTPAEHGVEELNTHAVIEPFVIATNRQLSVLHPIHKLLQPHFRDTMNINAFARQILIDGGGFLEQTVFPGRYAMEMSSFIYKDWVLTDQALPVDLVKRGMAIEDSNSTNGIRLTVEDYPYAVDGLEIWSAIKTWVEDYCSFYYKNDNMVQNDTELQSWWKELREEGHGDKKDEPWWPKMDSCHNLMDMCTTIIWVASALHASVNFGQYAYAGYLPNRPTLSRRLMPEPNTPEYEELKENPDNVFLKTITAQLQALIGVSLIELLSRHPSDEVYLGQRESSEWTMDSEPLKAFEKFGKKIRDIEGRIVQRNGDHNLKNRMGPVKVPYTLLYPTSEKGLTGKGIPNSVSI >KVI01727 pep supercontig:CcrdV1:scaffold_1268:10122:12431:-1 gene:Ccrd_019993 transcript:KVI01727 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGCCGEDEEEILQPLHQQQTPTLHSSSSVASAAAGDDSYTTISPMNSNFPALVCKDILRTIFEKLPVVDLARSACVCRLWSSVASDREIQVRAFKAPWKLKDVIGKPSSGSFWRDNSLSRFAISHRLVRGDTVASLAVKYTVQVTDIKRLNNMMSDHGIHSRDRLLIPVSTPNILIDGSCYIELDAHSKREVAVLYLEGGPDRVVASVSKKVTSERGKRRVIDSLRRSMHVDDGTAQYYLSLSNGDPRGAIMEFSEDIRWERQVHLA >KVD98105 pep supercontig:CcrdV1:scaffold_12683:12:1393:1 gene:Ccrd_024288 transcript:KVD98105 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter, integral membrane type 1 MNAPEWKEALFGSVGAVLFGAVQPVYAFAMGSMISVYFLADHDEIKHKTMIYALCFAGLGVFSMVINIIQHYNFAAMGEYLTKRVRERMLSKILTFEIGWFDQDENASGAICSRLAKDANVVRSLVGDRSALLIQTFSAVMIACTMGLVIAWRLAVVMIAVQPIIIVCFYCKRVLLKNMSQKAMKSQDESSKLAAEAVSNLRTVTAFSSQARILKMLQETQKAPMRESIRQAWYAGIGLGFSQSLMACTWALDFWYGGKLISDGHLGAKALFQTFMILVSTGRVIADAGTMTNDLAKGSDAVRSVFAVLDRYTLIEPEDPDGKKPEIITGHVELRDVYFAYPSRPDVMIFNRFSINIEAGKSTALVGQSGSGKSTIIGLIERFYDPMKGVVKVDGRDIKSYHLRTLRKYFALVSQEPTLFA >KVH92102 pep supercontig:CcrdV1:scaffold_1269:1:7029:-1 gene:Ccrd_005864 transcript:KVH92102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MGQKTEDDVSASSLHLSMLQQVAGRDGGKYMLQRYTKSFHGFSARLTQEEAQKISGMEGVVSVFPSRKNQLATTSSWDFMGFPLTVDRSTTESDIIVGVFDXGIWPESASFSDTGYGPPPAKWNGICEANFPCNKSLLCYINSKIIGAQYYKGDGTYDPKDLQSPRDSDGHGTHTTSTAAGNLVRNANLLGLHSGTARGGVPRARIAVYKVCWTXGCSDNDLLSAFDTAIADGVDIISISLGSSRAVELFXDSLAIGTFYAMMKGILTVQSAGNAGPXPQTISSIAPWILSVAAGTKNPDLITPVRLXNNMVVDVSIIFNLLGISINPFTLDGMYPLVYAGDVPNIEAGFNGSTSRFCINNSLDKNLVQGKIILCDWVSTGEVEMLVGAVGSIMAYGGLYFEAIGSYPLPVSVVNFDQADSIFQYIRSTRNATAVIMKSEDVRNASSPFVASXSSRGPNPINTNILKPDLTAPGVRILAAWSPLSPISRAEGDHRAVPFNMISGTSMACPHVSGIAAYIKSFNPTWSPATIKSALMTTGNISTSIMSAQINTDAEFAYGAGYLNPLKAIRPGLVYDANEVDYITFLCQQNYSSQVIRIITGVNISNCSELKKQTKDLNYPTFVIPTMPNEAIDFNFSRTVTNVGSATSTYRALITQPRVSGLRIQVEPSVLHFEEYGQKLSFKVSVQATIQKLDNPILSGGLTWDDGVHQVRSPIVVHVP >KVH92104 pep supercontig:CcrdV1:scaffold_1269:99429:109130:-1 gene:Ccrd_005866 transcript:KVH92104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MANKVFLVSSLCCFVLLLSNSIQADSDDNRKVYVVYMGHNTRDDISASSLHLSMLQQVTGRDGGRHMLKRYTKSFHGFSARLTQEEAQKLSGMEGVVSVFPSRKNKVATTSSWDFLGFPLKVNRSTTESDIIIGVLDTGIWPESPSFSDQGYGPPPAKWKGICEANFPCNNKIIGARHFKADGIYDPKDLKSPRDSEGHGTHTASTAAGNTVRNANMLGLHSGTARGGVPRARIAVYKVCWTDGCSDVDFLSGFEDAIADGVDIITASIGFPSAVELFEDGLAIGSFHAMRKGILTVQSAMNEGPNPQTLGSVAPWILTVAAGTKNPDLITPIRLGNNMFMPEMYRISWLVSMAQTCIRNSLDKNLVEGKIILCDQISTGEVEMLAGAVGSIMRYDGPYFEFIRSYPLPVSVVNPDQATNIIRYIRSTRNATAVIMKSEDVTNSSSPYVASFSSRGPNPINKNILKPDLTAPGVRILAAWPPVAPITQIEGDRRAVPFNMISGTSMACPHVTGIATYIKTFNPTWSPSAIKSALMTTASPMSAQINIDAEFAYGAGYLNPMKALKPGLVYDAGEVDYVTFLCQQDYSSKDIRIITGVNSSSCSQLMEQTKDLNYPTIVIPTLYNEAVDFNFSRTVTNVGSATSTYRALITQPRVSGLRIQVQPNVLHFEEYGQKLSFKVSVQATIQGQENSIVSGGLTWDDGVHQVRSPIVVHVP >KVH92101 pep supercontig:CcrdV1:scaffold_1269:85981:102166:1 gene:Ccrd_005865 transcript:KVH92101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSFNLHLAMYDDRMTVVEILGLLHELRAATTINPSNDSYIFGAIVLLAQKAIPVTCGQAIDVPDIILKGTALRSPSIWVIGATGGHAARILTPGAVRSGCIKRKIVTRFGPLDEKEATYGDDEFVTSSLFIITAVAFLKRIFMLDNIPSSKSSTALGKPIEAVIMSTPSAMASSKPERKSTSEHPSVQHTLYTAILALGTPPRAVPE >KVH92103 pep supercontig:CcrdV1:scaffold_1269:114977:127476:1 gene:Ccrd_005867 transcript:KVH92103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSLSSRLISVSFISSPKSSMPLRLKSPSISPIGGRYCTNLCWDYNCRVVEILGLLHELRAATTINPTIPLTCGQAIDVPDIILKGTALRSLSIWVIGATGGHAARILTPGAVSYYTITLRMFLIIGFGPLDEKEATYGDDEFMTSSLFIITAVAFLKRIFMLDNIPSSKSSWALEKPTEALIMSTPSAMASSKPERKSTSEHPSVQHTLYTAILALGTPPRDVPE >KVH92105 pep supercontig:CcrdV1:scaffold_1269:124580:132040:-1 gene:Ccrd_005868 transcript:KVH92105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MGHNTRDDISASSLHLSMLQQVTGRDGERHMLKRYTKSFHGFSARLTQEEAQKLSGMEGVVSVFPSRKNKVATTSSWDFIGFPLKVNRSTTESDIIVGVFDTGIWPESASFSDQGYGPPPAKWKGICQANFSCNNKIIGARHFKADGIYDPKDLQSPRDSDGHGTHTASTAAGNIVSNANLLGLHSGTSRGGVPRARIAVYKVCWTDGCSDVDFLSGFEDAIADGVDIISASVGFSSAQELFEDGLAIGSFHAMRKGILTVQSAMNEGPNPQTLGSIAPWILTVAAGTKNPDLITPIRLGNNMGVSINPFTLDRMYPLVYAGDVPNIMAGFNGSVSRTCIRNSLDKNLVEGKIILCDQISTGEVEMLAGAVGSIMRYDGPYFEFIRSYPLPVSVVNPDQATNIIRYIRSTRNATAVIMKSEDVMNSSSPYVASFSSRGPNPIIRNILKPDLTAPGVRILAAWPPVAPITQIDRDRRAVPFNMISGTSMACPHVSGIAAYIKTFNPTWSPSAIKSALMTTASPMSAHINTDAEFAYGVGYLNPMKALRPGLVYDVDEVDYVTFLCQQDYSSKDIRIITGVNSSSCSQLMEQTKDLNYPTIVIPTLYNEAVDFNFSRTVTNVGSATSTYRALITQPRVSGLRIQVQPNVLHFEEYGQKLSFKVSVQATIQGQENSIVSGGLTWDDGVHQVRSPIVVHVP >KVI05952 pep supercontig:CcrdV1:scaffold_127:290615:293970:-1 gene:Ccrd_015720 transcript:KVI05952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFNNFTSLTSVKRSPVANPSFNGGNNENELNPEMASKLKNQLPSKNFMSPTISAANKTSFLKKKILAERNQTLDVVDSKAVKFPVVEAAVDDGVEKDFVGESYLQPYDPIKNYLSPRPKFLRYNPNRRREIFVLQDNGDGVRSGSSSSDSGITSFLLVVIALISTTQAICSMNSPSPSSETREVVGRFNNWYSERWSNVHGVVGLNFSEVGDSIRESCLVVGRLKEGVDAVKTTEELEAQLGVLDNEVEYGEIDVIQDEILSQKEDIDQLQLIEILQEDEIDESEGIEIHNVEADQGLNQDLHQNSNHDGVITEEEQSDANENKSELTIDDTESAKDMEEMAGFDLIDELKTTDVLIGMAGFDPIVAVLIGLSILILTSFGVIYHSRWMKMKPSSPVATMVANENLVAGKEEIKNLEPSPSSTQLMAEGLLANSASLVVHQTPKDVSKESSHVHAPTVELLGEFVFGGEVTSSSFRSNDMKSYTMSMDTRPTTVSSGQTRSSRMETSMGDSLQNTAEKMVKKPRRKNAEVTPSPVRRSNRIQNRSAIKSP >KVI05926 pep supercontig:CcrdV1:scaffold_127:399355:415483:1 gene:Ccrd_015730 transcript:KVI05926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MRQNSQTYRNSKTLKTLGAIAVADLQSATIELPMADSTTTNCVKHIETYVDSSSSKSPAQQDASLDAIAKLLLNDIITLESLVREMEMYLTTTDNIIRARGILLLAELLTRISSKPLDNTTIHSLIGFFAEKLSDWRALRGALVGCLALMRRKGDTGAISSGDANGVAQSFMQNLQVQSLGQHDRKLCFEILECLIDCYPDTVMTMEDDFVYVICEAIDGEKDPQCLLLTFHLVEMLAQLYPDSSSSLASYAEDLFNILGSYFPIHFTHPKGEDDVKREELSRALMLAFGSTALFEPFAVPLLLEKLSSSLPSAKVESLKYLSYCTVKYGAHRMLEHLEALWSSLKDAVFTSGPSMLTVGSYLESYVGYQDNKIVAEALILLQKLIQQDNGVFLDLVLKDMDINMMLTSLLDNKNYDDITVPDKQRLNAVGRILYVSAAASIASCNAIFQSFFSSLVNGLGSTMQNPLGQEKDYVSEKPKFGYLYICVELLAACRTLVVGYDEPTSITYLANETWCSILHSFCSSLTSSLIKSLKEINQDDFNHTGVEIGSFIEKSHDSEKMPSFDVIVVERMVVLLSYDDSTMPLSLKVEAVSEIGRTSLKYMLKIVQELNKAISTSLHGSWLDGNSKPAEHAMLLLECYADKELLETTMNAMKHAVASCSEDSQSILLDKAFSILSSSTTFRVEEGLQLAEFSCRDKWIISLFDSVIIALHPKTHLKNTEGILQILMRSLPNGHVPSAHALGSLFNKMPLKENRFADMQYCSLEEAMDIIFNSYIWKSCDTSHPIRFVDDDDDGNEIGVSNLRLSNVNNGFVRVHAILGLAWMGKGLLMRGHEKVKDVIKFLLNCLVSNGHLPTSNGDSPEDCKDQETTHLMRAAADSFSIIMSDSEACLNKRLHATIRPLYKQRLFNMVMPILLSSVVKSDSPITRSMLHRALAHVISNSPLSAILGEANKLIPLLLDGLTILSEDVQNRDILVRETAIQCLTAMSELPHPRVLQALTKALDDPKRSVRQEAVKWPQAHLEVFIFESSWKNSSGVMQDT >KVI05934 pep supercontig:CcrdV1:scaffold_127:150136:157975:1 gene:Ccrd_015714 transcript:KVI05934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MMMAMSLKDDKGGLLDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRRESSRLQGVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYFRQHTQNTALATKDTSCESVVTSHLTQNPPQDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRLYAPTTLATARDFWLLRYTSATEDGSLVVCERSLTNTQNGPSMPSVQNFVRAEMLPSGYLIRPCEGGGSIIHIALRQLRQIAQEASQSSTPNWGRRPAALRALSQRLSRGFNEALNGFSDEGWSLMGNDGMDDVTILVNSSPEKLMGLNLSFTNGYTPVSNAVLCAKASMLLQNVPPALLLRFLREHRSEWADNNIDAYSAAAVKLGPCSLPGSRIAEWMRMPLACVPNLYLLPSILLLPMMHLFCLRETSSPNRTLDLASALEIGGSGNKVSGDPNTASNTARSVMTIAFEFAFETHMQESVAAMARQYIRTIISSVQRALPVFTFANQAGLDMLETTLVALQEITLEKILDEHGRKSLCSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFIN >KVI05951 pep supercontig:CcrdV1:scaffold_127:301713:303297:-1 gene:Ccrd_015721 transcript:KVI05951 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKATRWFRGLLGMKDKENVDNLNSGDRREKKRWSFAKPLKESQVPAPAPAMGHINPSVSASNAVWLRSYMSETQKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTNNGRGTLYGGGTERWAAVKIQTVFRAHLVIIVPSLEIFRAHLARKALRALKGLVKLQALVRGFLVRKRAAATLHSMQALIRAQAAIRSERARRSFTKDHEIRHRKSIVRSCGPAFVGRFDIERTEFHSKRLSAYESSTNCYDESPKIVEIDTYRPHSRSRRINTCTSDSGEESQCHCNNNNNMPSPLANPACISIPEFNHLQDFEWGYVGDEYKFSNTAQSTPRFMNSNRSNAPATPAKSVCGDGFFRPYSNHPSYMASTQSSKAKLRSHSAPKQRPEPGPKKRLSLNEIVASRYSLSGLKMQKSCSQVQAAYDL >KVI05937 pep supercontig:CcrdV1:scaffold_127:144185:145180:-1 gene:Ccrd_015713 transcript:KVI05937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MAAYFQHQKTPTDFTTALNHFTIDDLLVDFPNDDGEVVMNDAFFQNFTSNSADNSSFSGSEPHLSSNITSFSGAELCVPYDDLAELEWLSSFTEESFSSDDLHNLKLISAANKVPTTDTSSSDTTPRIIGSNRVNSPIFQTDVLVPGKVRSKRSRAAPCDWSSRLILLKSSSSTEINLQPKTMPSKTATADGSENSVRRCLHCGSDKTPQWRRGPMGPKTLCNACGVRYKSGRLVPEYRPAASPSFVPAKHSNSHRKVMELRRQMELRNSHQPFLNHNSNSDGCNTTDDYLIHSQMGPSFMHMI >KVI05946 pep supercontig:CcrdV1:scaffold_127:362803:365130:-1 gene:Ccrd_015726 transcript:KVI05946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENHFVEAELSPHQKKYNGENGSGVVFPTVLIPNPKSVHHNLKELIRDQKPWLESLLHNTGAILFRGFSSSISSASDFNDVVEAFGFEELPYVGGAAPRTNVVGRVFTSNESPPDQKIPFHHEMAQVPTFPSKVFFFCEVEPKIGGETPIVLSHVIYNKMKQKYPDFVDRLEEHGLIYTRVLGEDDDPSSPIGRGWVSTFLTKDKTIAAERAAKLGMKLEWTDDGVKTIMGPIPAIKYDETRKRKIWFNSMVAAYTGWEDARNDPVKAVTFGDGTLLPPNVIYDCLKILDEESVAIPWQKGDVLLLDNLAVLHARRPFEPPRRVLASLCK >KVI05965 pep supercontig:CcrdV1:scaffold_127:96136:101900:-1 gene:Ccrd_015706 transcript:KVI05965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MEASNSSINEAYWPLPYLYSSFTSVWLVFASCWIINTYINRHFQVNSLQWTLASVPCVKALQLAFSFFFWYSCFYYQTCSLWMSFGVYVTGLLFETASIVSFLLISHGYCITSERLSVPERRAMAVLGCVFYLILNLSLLSEQLNFIEDEDVQEMHDAVYTKYLMFKKFRGAMHIVAIAETTIFINMDSSVENYWMKLLAREWAHFCIFLYIGYGNTAFEWITSVFYMLMSSLRFLGMLIVCHRDRWIFRSQDLAPRFSVMPTHKSRSNRIVPPIYSIELDEASFKDFSSREWQIGVPTSSQDRISKDSILVVIQHPHASRPILINSGSGFPTNSATISSPKASI >KVI05944 pep supercontig:CcrdV1:scaffold_127:4191:7793:-1 gene:Ccrd_015695 transcript:KVI05944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MGEQVEELNQHRQLSTGKKGGLVTMPFIIANEAFEKVASYGLVPNMILYLISDYKIGVAKGTNILFLWTAASNFAPVLGAFLSDSYLGRFLTIGLGSLFSLLGMFLLWLTTMVPNLKPPACNQLTETCKQATHSQYAFLIFAFIFISMGSGGVRPCSLAFGAEQIDNKNNPNNERALESFFGWYYAAAATAVLIAFTGIVYIQDHAGWKVGFGVPAILMLLSALLFFAASTLYVKMKVKKSLFTSFIQVIVVAYKNRKLPSQPPNADAFLNKACIIQNPKDLTADGVASDPWSLCTVEQIEELKSLIRVLPLWSSGIMMSINVSQSSFPVIQASTMDRHLGSSFQIPAGSFAFFTIAVLALWVVLYDRVILPFASKLRGKPVHLGVKLRMGIGLVFSSMAMVVSAIVEHARRKKAIEQGLFNSPQTVVAMSAMWLVPQYCLHGLAEAFSAIGQNEFYYSEFPKSMSSIAASLFLLGMAVANLLASLILSTIEKLTKDGRKEGWIATNINQGRYDSYYWVLAIMSFANLLYFVACSWAYGPCADELVKQETKSSKDSTEELQRARSVADERQSLFSSLPS >KVI05942 pep supercontig:CcrdV1:scaffold_127:48408:49005:-1 gene:Ccrd_015697 transcript:KVI05942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKHNPISTEQTSYTRTDLSNSRLVCRSVVLVFLRKSDQKSDREKANSRNRLNSLNFWKADTKALRKEAEKKTVVESEYCLHGAHPAIITRASVGNNGVFDRPGEVVGEDPARLGFVAVGEHA >KVI05955 pep supercontig:CcrdV1:scaffold_127:454574:462378:-1 gene:Ccrd_015734 transcript:KVI05955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MTRRCSHCSNNGHNSRTCPSRAGGVKLFGVRLTDGSIMKKSASMGNLSALYHSSSPAAVSPNPSSPATSDPVEGYLSDDPAHASCSAKRRADRKKGTPWTEEEHRLFLLGLQKLGKGDWRGIARNYVLSRTPTQVASHAQKYFIRQCSATRRKRRSSLFDMAPDMAAEPPEDHFMLPPAIGTDHTEKTQCSLKLSLKPDSDQSMDTASPIEMKEAMIKEETSTTVSELPPIIPTFYPAYIPIPFPVWPSTMTPLEEPTNNNDNNKGADPSHHRVLKPIPILSKEPMNLDELVGMSSQLSLKETEPSPLSLKLLAEPSRQSAFHASTPAVGNGGSGVNKGGSNGFRPI >KVI05960 pep supercontig:CcrdV1:scaffold_127:63187:66737:1 gene:Ccrd_015700 transcript:KVI05960 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGRRGEKRSWDRIWNGKHGILDPQDTISRLPENVLHHIISFLPSTDKDQLQFLSRKWNRLYAQSQKKLFLKGVRLDEQKFSKSLVDVFPSVEDLSLEYYSGMKKLRLVNYKLKIIKLYCGSLKNLDLTTPHLEYFEFVGYRFDPCRIELGICKQLRFVTLGGVAMDNMMFADCNSSFPVLQALTLFGCDMKGHINISSNSLDHLSLLRFKRPVDVTIDCPNLHFFEYSGSRIVPFLDLNMPYLEVARIEIYSDWNQKHDELWYGRLIEMLGDLNHANLVVFITDSDKILVIPKRYREILVPPLKGFVKLVTWSTYISRYYADLINSFLWLSPDIMSIAAQCRSTADAFRNRDYTT >KVI05935 pep supercontig:CcrdV1:scaffold_127:159191:159745:-1 gene:Ccrd_015715 transcript:KVI05935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGDTIVTQCAHPKHSFSKPPRLSSDALKRTVSDMSFELSKDAIDIKSLTTISEVVDAKCECCGMVEECTPEYMENIHNRFLGKWICGLCSEAVKEEMEKNGGNKEAALSSHMNTCVRFNKRDRAYPVLCQAEAMRDLLKKTRLRGKSLSPRDTGSVKRGGIARSSSCIPAITKEINGVNTR >KVI05950 pep supercontig:CcrdV1:scaffold_127:317779:330183:1 gene:Ccrd_015722 transcript:KVI05950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase, class I, C-terminal-like protein MVGSEALPSPRQHGVTKPLSLAGPSEADLLRTKKLNKFLVDAGLYETKEEAAKREEVLGRIKQIVVDWVKQLTRLRGYTDQMVEDANAVIFTFGSYRLGVRDVYISYLQPVFFSFRSYGVGLLLVHGPGADIDTLCVGPSYVNRDVSYFITRLEDSSYANRDEDFFFVLHEILAGMEEVTELQPVPDAHVPVMKFKFDRISIDLLYGSISRLVVPDDLDISDVSVLYDVDEPTVRSLNGCRVADQILKHVPNVEHFRTTLRCLKFWAKKRGVYSNVTGFLGGVNWALLVARVCQFYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEEELGFAVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFLFGNRICEEIELNKAQWPSLFEPYMFFESYRNYLQVDIVAANTDDLRSWKGWVESRLRQLTLMVMLFFKILSSDYGSHGFWIERDTLGKLQCHPYPHEYSDPLKQCSHSAFFMGLQRKQGEVIQEGQQFDIRGTVDEFRHSVNMYVFWRPGMEIYVSHVRRKQIPSYVFPDGFRRTRLPRLVSQQTTLGSGEELSRKRKDEGERVKRESCQKRLSGSPQSCDSVSPVIVNHSCGNESKYCGIEVGCLQGEGQISSSSVVTNAVGKNDRGDSSEADSRPSVDGGDGQPKVELGMVLNSRTAVDLEQKTVRSDFNSLRAEQKAVVMAQTQAEDRIDQSLTPYRSGRE >KVI05963 pep supercontig:CcrdV1:scaffold_127:88514:89795:-1 gene:Ccrd_015704 transcript:KVI05963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRLLFIDVGDAFGLLMKQSRGKKITVRERRKLKRTLNDIITLVPIPSPYSSERLNLVRQFKRTKKMEVESWAIEDTSQIRDSTKEGGEDNSFTVAIP >KVI05931 pep supercontig:CcrdV1:scaffold_127:290615:292840:1 gene:Ccrd_015719 transcript:KVI05931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGDGKRHNFKDHHQESNEHEQHHHHHQQQPCSSIEEASTISNGSSSSDDNNNNNTFSSSSSSSSSFDTADDDDASSSANSSRSSLYDLSDLMSQLPIKRGLSKFYHGKSDSFTSLARVTSIEDLPKKDKNPKSSSFRSHTLPKPIISKKISRKKKFPSKTRAL >KVI05938 pep supercontig:CcrdV1:scaffold_127:127283:128768:-1 gene:Ccrd_015710 transcript:KVI05938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MKNVLKTPPEAAVQLPPPTTKLTPEVVENGTMTGPPMLSSTVKRRLPRNYDMMYLALRLVCLSASVASVVVMTTAKQRSTISLYGFDLPVYSKWSFSDSFDYLVGVSACAALHSLLQLFVISRMMLKKSSIISSRNHAWLIFAADQVFSYAMMSAGSAASGXTNLNRTGIKHSSLPNFCKPLHSFCNRVALSIAFTFFSCFLLAMSVVLDVVWLSEC >KVI05930 pep supercontig:CcrdV1:scaffold_127:275890:284052:1 gene:Ccrd_015718 transcript:KVI05930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFPPTNQKLLHSHITSRGCFYNALNISLFLLNQIQICEELYKSTDTTPITRHSNQKGYISNPSFVIMSSRGSSFLNNSLSGVSRMTKSQSLRVSIHPPMVVAMMNPNTRPDRNVAVSVESAVKEVNAPMAVEIVECKSKQNATVVDDVGYSLLRNPHHNKGLAFTEKERDAHYIRGLLPPVVVNQNLQVKKMMNNIRQYDVPLHKYQAMMDLQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFKQPQGLFISLKDKGRILEILKNWPEKKIQVIVVTDGERILGLGDLGCQEYAELMNEFMSAVKQNYGEKVLIQFEDFANHNAFDLLAKYSATHLVFNDDIQGTASVVLAGLISALKLTRAPLEESRKKKPWSHEHEPVKELLDAVKAIKPTVLIGSSGAGQTFTKEVVEAMSSLNKKPVILALSNPTSQSECTAEQAYTWSEGRAIFASGSPFAPVEYNGKVYVSGQSNNAYIFPGFGLGLIISGAIRVHDDMLLAAWMV >KVI05948 pep supercontig:CcrdV1:scaffold_127:345159:350296:1 gene:Ccrd_015724 transcript:KVI05948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQSAIAINGSFFQQIHLPHQKNLIDGVQFPTVLSPIPHSSAKTHLLHLTEAIKSHKQWLEDLLQKSGAILFRGFPVDSPSDFNDVIEATGFPDMEYIGGAALRTQVSGRVFTANESPPDQHIAFHHEMAYAADFPFKVLLFCEVEPEEGGETPIVLSHIVYEKMKRIHPAFVARLEEHGLLYTRLLNRDDDLTSPSGRGWQSTFSTHDKTIAEQRAAKMGMKLEWIDDDGLDSVKMIIGPLSAFRVDKARQSKTWFNSLVVGHGHGSQDNSTVVQPDPVTFGDGKPIPVDMVYDCIKILEEECVAIPWKKGDVLLIDNLAVLHSRRPCVNSQARSRRVLASLCKKASSNNSHLPQQKNLINGVQFPTVLPPNHHYDHLLRLTEASNLINNGWSLEDLLQKKRSDSLPRLNLLRTSMTSLKLQAADFPSKLLLFCEVEPEEGGETPIVLSHIVYERHPAFVERLEEHSGLLAETTIRYGRPAAAGTRPSTQSSRRLPRVHVAFHLRLHRLHLRPPAPSPSPATCTVSISVSNHRTQKSNHRTLHPSLVGHWNSRSKLATERDGRGERPERRLRQATERDGRGGRPERRRRRGRPERRRRRGRPERRRRRGRPEQRQRREGEMVGDEGGDETGILSYSNHYF >KVI05958 pep supercontig:CcrdV1:scaffold_127:114091:123469:1 gene:Ccrd_015708 transcript:KVI05958 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MASHCIPASSLFPPISLSRRLQSRRIRYSANVNSSITCHHCNLETEEESKTKKIALNLLQISVTLTIISASLPQPVLAAKVSQKKRSPKKVDALTPQEWNKWSQGLPVVAKRIPYTDILDLKRDGKLKHIIKPPGKGLKERPVVVLAVLEDSKVARVVVPSVESDKKFWESWDALEIDSICVQAYTPPVKMPDVPRPFLGFLSRVPLWMYSIVKPKPQSKKVLELRKAREELKKSRINEMLNRKKEVTNMEKAKRAQKKMEERKKKMDEQKLRNEQSIQLARGKYYRGSIMWRKLAGDSNVTLGVGLLFFFLFYKTVVLNYKKQKKDYEDRLKIEKAEAEEKRKMRDLERGMAGLEDDEEEEGKQGEDNPYMKMAAQFMKSGARVRRAKKKLSQYLEKDVDVKFTDVAGLGKIRLELEEIVKFFTHGEIYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARESAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRIEILKVHARKKPMAEDVDYAAVAGMAEGMVGAELANIVEVAAINMMREGRTEITTDDLLQSAQIEERGMLDKKDRSPEIWRQVAINEAAMAVVAMNFHDLKNIEFINIAPRAGRELGYVRVKMDLIKFEQGFMSSLFPMISYSRQSMLDHITVQLAPRAADEIWHGKGQLSTIWAEAADIARSAARALVLGGLTDSYYGVPISWAADRINDIDTEALRIMNTSYERAKKILEQNRKLMDAVVDALVEKRSLNKQEFMNLVELHGCVQPSPPSILDIRAIKRAQMQSMVKWTIGDCNDALKNGSRPSFTIQQQWLHTVALSRYKRKPAMGLGAGGYVH >KVI05949 pep supercontig:CcrdV1:scaffold_127:322658:326872:-1 gene:Ccrd_015723 transcript:KVI05949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MESGYSRMDEEIHPQLLDLIPKERNWFLKREDDCNKDGSRRSHGHGHGGVSDEKKLELRLGPPGVEDWSLSSDAPKNYKSPSKAAAVQVPNTSQKRSAPAPVVGWPPIRSFRKNIASNSSAKQAPSPDTQNGVISNKNIVKRVEESCQKGFFVKINMNGIPIGRKVDLNAYDSYGKLSIAAITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVIRSTEISNLCCEFQLFSVTLPLGSKQEKIHH >KVI05940 pep supercontig:CcrdV1:scaffold_127:59379:59838:-1 gene:Ccrd_015699 transcript:KVI05940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENALSLRRGPHISDEEGDEHQGDDGSKTRKHLSIAIRVTNYLTRIGYLWPIILVAILIFIISSLFVHSRDLVCISSVSSCDRFSRTRFFGLEGLESDFGSLGVPCCIDLTASDLYQCDNALFTSMLLLLL >KVI05939 pep supercontig:CcrdV1:scaffold_127:132858:133742:1 gene:Ccrd_015711 transcript:KVI05939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLILPTWNQPIHSHTHTYIYRERVYIYIYIYIMAPHPSSPGYPMATFCCPIETEPKTLNQVELNHVREVAVGVLKDNEPSEANRILSEGMKPIMGVKEMTIAIERKDSIHKLQGTVTVGEAICQCSVVGPASTPDQHNLKEPLSAPF >KVI05928 pep supercontig:CcrdV1:scaffold_127:431834:434135:-1 gene:Ccrd_015732 transcript:KVI05928 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP4 [Source:Projected from Arabidopsis thaliana (AT3G16360) UniProtKB/TrEMBL;Acc:A0A384KT90] MERNQMQMHRQIATIRKSLFDQGYLDEQFVQLEELQDNSNPNFVEEVVTLFYRDSARFLYNLDQALEKRPLDFSRLDSLMHQFKGSSSSIGAKKVKIECTHFRDYCNARNTEGCQDKLGPSRRHAGPDKSFGF >KVI05947 pep supercontig:CcrdV1:scaffold_127:355709:362120:1 gene:Ccrd_015725 transcript:KVI05947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup LQTLGSAYPTPLNCTETSRLCTSFLAFSPSPNQTLDVIMSMFDVLPNDVTVEGNGRNYVFVRKNCSCATSPVYKYLTNTTYTVRKNGGSVYDLVVDSYGGLAYLSNFSRPARTGAVVSLQLFCGCSTGLWNYLMSYVMKEGDSIELLASRFGVSMDSIEIVNKIDNPDNVTIGALYYIPLNSVPGEPYPIENDSPPAPAPSPTLSAIVTGINHKSDLKRWWIIGSLGVGLALIIAVVLLFVCMRSSICFSDAQRNRLKGPEDEQVSHKFHILRTSSFWCGSGRLCCKSDDWRQTIEESSDRHTNIPKVVGTDMFNVEKPVVFLFEEILSCTEGFSESNLLGHGTYGSVYYGLLREQEVAVKRMTATKTKEFTAEMKVLCKVHHTNLVELIGYAASDDELFLIYEYAQKGSLGSHLHDPQNKGVYFKQISDFGLAKLVGRTNDGEASATRVVGTFGYLAPEYLRDGLATTRSDVYAFGVVLFELISGKEAITRTETVMLAALKHSSDSMSMSGLKDHIDPNLLDLFPHDCVFKMAMVAKQCVEDDPILRPDMKHVVISLSQILLSSVEWEATLAGNSQVFSGLVQGR >KVI05964 pep supercontig:CcrdV1:scaffold_127:110149:110796:1 gene:Ccrd_015707 transcript:KVI05964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLMASSSSSATATATATAGSTQSDSSVLQPRSCKRSRHSNPEDHFPVYRGVRLRRWGKWVSEIRQPRQKSRIWLGTFSTPEMAARAHDVAALSIKGNSAILNFPHLKDSLPRPASVSPRDVQEAAAKAATMQQFSSFSSESLGQPPSSDVSMLSPAADSSVSSNPVDDQLGEIIELPSLDGFFESSSNELIVETVDGWMYPSWVVAETDGFPV >KVI05936 pep supercontig:CcrdV1:scaffold_127:134786:139249:1 gene:Ccrd_015712 transcript:KVI05936 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-recognition motif (RRM) Nup35-type domain-containing protein GGGFWFYEYNNRITPHLNLNIQRVEQNPSFQILPNPPSRVFQHLTAGGLKLHRRLFIRFIFFIHEDWKGEKMSSSIHRTPKSTGRQSLFFNDLATPVTNRRSGSGKFTTPGQAAAVSALWRENFATSDLPPPPMFTLEDRSDLSPESGIQDYPIGSPEPHSNPRTPAQTPSKLFSTTPNKSSQPNSNPSTSSYALFGNQQSQGVQSPAGSSSWWSAGPRSEGGGDDKGKGSGSGSPVDGIVHRQPVALITLPPPREVARPEIQRNSLPVGTIDEEEWVTIYGFSPADTNLVLREFEKCGVILKHVPGPRDSNWMHILYQSRYDAQKALSKNGMQLNGALIIGVKPVDPMQRQNLSDRLHTQGFMPLPPPKSESIAFRASARPNYHQNGTANTSQSTGTMASPAKSVVSKIVDLMFGM >KVI05929 pep supercontig:CcrdV1:scaffold_127:442336:451987:-1 gene:Ccrd_015733 transcript:KVI05929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MAYGVPAINDTTSNISESDSDDIFEITEDEFNNRNLVIGSPVPAAGEIEKDPIYIDDDLGIYEELDDLVQVESDDDHQICIGRNNQKISWGFCVDQGMRKYMEDRIVTFPSFMSLRCDSFGGCTAPHCRFASEVTPIHYFAVFDGHGGSQVSDHCADSLHEEVKKAWESEDCTNEWNKRWQSALSRAYDSADKACEEETGVGSTALVVLVSACQIVAANCGDSRAILCRGSQIIPLSEDHRPDREDEEQRIAKSGGRVLADLWGVLRVDGVLAMSRAIGDKSLKPSVSSVPELTFTTRSEEDECIIIASDGLWDVVSTECVGNLACELLQHERQSAHFGESPTQYVAECLFKEACSRSSSDNFSVIVVDLKPARRN >KVI05945 pep supercontig:CcrdV1:scaffold_127:369115:377526:-1 gene:Ccrd_015727 transcript:KVI05945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETVSVSVSVSVSWFGDQRYFHQLDRCNQSQYLKKSMIVNESSVVDPDSTTI >KVI05943 pep supercontig:CcrdV1:scaffold_127:28241:31997:-1 gene:Ccrd_015696 transcript:KVI05943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MMGENPNYWWSLNGKLQPPPSQQAYPNFLSSPPLPAPPPPPPPSSVLNPPYLFGSSLLLPAANSNALPDHQHHDNQDFPVSWSQLLLTGLAHDQEEHNLGGGHVGEVKHGYLNQQSRQLYYSNKNDHHDNNNNDEDLQACSSSWSSQLNPVPSVGSSSTMSLSTTTMFDFSSKIDSRNQHTNQYSSQYDDTSTSGAPKKPRFQSYSTQPSPTCNETFTSGTSKRARAQHSSTQTPLKVRKEKLGERISSLHQLVSPFGKTDTASVLLEATAHIRFLESQIEALTSPYMNSSGATRHQHSADGKALQKDLGSRGLCLVPVTCMDHLDTTNMTSNGAEFWTPALGGGF >KVI05953 pep supercontig:CcrdV1:scaffold_127:394007:397258:1 gene:Ccrd_015729 transcript:KVI05953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIADVKSRSRSKRKPLRDVSNGGFKPSHGNSVLKKPNPDTKQQDPVTTCGGDPLDRLLLVHSDLSALIHQIDELVVQALEQKVRNKKEIESFAQVLYEMQTSLKPWISRFKKVYSTHTTGSENQFGTSLMSKKITPSINEDTNKALDSPDIYKLDDFLVSPSPLVSWRADGAAEGGRNLFLLTPLPRPTAFSSKLKESSKLVFEKITNDVTVGPVAQKLNNGIEVKPMLSDPVATDVKSTVALEFSSPEKMVRRNDSLVVSTPYLKMSPPKSCILLEPASEYCKKTIIGTKIPTMAPVGGGRSGDSEPSSSQPSGHLAMKYPEIFGIRPITKLRNARKAVEASPNWCMSPPKTCILLEPSDDGVIQDVQTNLANVGGIQSVVSRNEVIDNTPMCKDLDTTVLRGRRAGENTLKRELWMRFEAATADVTVEQEIPKCHINKGFMDLLEEVSLDDTNSIL >KVI05941 pep supercontig:CcrdV1:scaffold_127:54857:57715:1 gene:Ccrd_015698 transcript:KVI05941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MSVPLEHDYIGLSDASSLQRSSESSNISSESETNNVLNLKATELRLGLPGFSKNSEXNAXKIGHTKNLMSGAKRGFSDVNINGSGKWVLSGGSEADLDKCSSVLFSPRSGINAGLDKNQAQKSDTKPDEEKKKGSVKENGTSPPSAKAQVVGWPPIRSFRKNTMATNLSKSGVAVAEGNSGSGSGCLYVKVSMDGAPYLRKIDLKIYHNYAELSKALEKMFSCFTLDQCTSNGLGGKEALSESNLKDLLHGSECVLTYEDKDGDWMLVGDDVYRFMQEIKDHERFRSNWISRSKVYGQEQEQKVAATRSAT >KVI05956 pep supercontig:CcrdV1:scaffold_127:469230:476628:1 gene:Ccrd_015735 transcript:KVI05956 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme MLRFGVPTTTTTTTTNGTSSTAVIASASPTTYSHISFTVSPLSDSQRSSFCCFQQILSYPSRLNCYRNKAMAEAAESMAGSIASPSGSKQALISLYTIVSTGGTASALEKAGVSVTKVEELTQFPEMLDGRVKTLHPNIHGGILARRDQRHHLEALDKHNISTFEVVVVNLYPFYAKVTSSNGITFDDGIENIDIGGPTMIRAAAKNHKDVLVVVDSQDYLMLLEFLKGNKDDQQFRRMLAWKAFQHVASYDSAVSEWLWKQTKGDEFPPSFTVPLSLKSSLRYGENPHQKAAFYADSRLSEVNGGGIATAIQHHGKEMSYNNYLDTDAAWNCVCEFDKPTCVVVKHTNPCGVASRDDLIEAYRLAVKADPVSAFGGIVAFNKEVDEALARDIREFRSPTDGETRMFYEIVVAPSYSEKGLEILRGKSKTLRILEAKKNSRGKLSLRQVGGGWLAQDSDDLTPEEIKFDVVSKKAPEESELDDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAMRKAGDEVKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDGDAIDCCNKYNVSLVFTGVRHFRH >KVI05959 pep supercontig:CcrdV1:scaffold_127:71869:73400:1 gene:Ccrd_015701 transcript:KVI05959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIAIGNFNDSFSVTSIKAYIAEFISTLLFVFAGVGSAIAYAKLTADADLDPAGLVAIAVCHGLALFVAVSIAANISGGHVNPAVTFGLAVGGQITFLTGLFYWIAQLLGAVVACFLLSFVTGGLVSIVKSNSGFINFGTGVGVGALQGVVMEIIITFALVYTVYATACDPKKGALGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGNFAGHWIYWVGPLIGGGLAGAIYPHVFISSEHIPLTNDY >KVI05961 pep supercontig:CcrdV1:scaffold_127:79330:88197:1 gene:Ccrd_015703 transcript:KVI05961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQITNLRLLFSSAANHPNPSSFLSSFKPNSFFKFNTKIPTKLNKSISFSYPFFTCLSSSSSSSSSSSRKSHRYNSKSFNSNRRISSSNSKQSDMREKRGLSSMKMGDEVEETKKMDMAAGFNKKRAEGRDKSSRPKDLKLKVRRLNPVNTISYVQVLGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGTGEEGISVNVWGPSDFKYLVDAMKSFIPNAAMVHTRCFGPSPKSDKIPLDNLEKLNDQLKLIDDEVVKISAILLRPSSSETNGLKKDEFALKSSDISVLYICELPEIRGKFDPEKARALGLRPGPKYRELQEGVSVKSDTKNIMVHPDDVLGPSVPGPIVLLVDCPTLSHFQELLSSSSLETYYADVASMPDENHKTVNCVIHLSPALVGTGLKLCETISAENLLKFFIRFYLLEQFHLRPYAQLGLDRSGIPATAASHAIIEELLSEIPEISDAAQQVARLWQKNVDAREAASDENMIEEPWLNQNYLPSCLEGITRENVEIVLLGTGSSQPSKYRNVSSVFINLFSKGADDAVKGLRFIWISHIHADHHTGLARILALRRDLLKGVPHEPLVVIGPRQLKRFLDAYERLEELDMQFLDCRHTTKASLAAFESNYNQSDNSEPLNGNKIDSINPKVSDSSLFSKGSQMQSHWKRPGSPVDGNLAYPIIKNLTDVLNEAGLESVISFPVVHCPQAFGVVLKGVDRLHGDGRRIVPGWKIVYSGDTRPCPELVEASRGATEAIARNHSTTKEAIEVGDAAGAYRIILTHFSQRYPKIPVFDEGHMHKTCIAFDMMSINFADLHVLPRVLPYLKLLFKNEMVVDEYEDLEDVVTLAA >KVI05927 pep supercontig:CcrdV1:scaffold_127:416503:425958:-1 gene:Ccrd_015731 transcript:KVI05927 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II-associated, Paf1 MASYRPFPPPPPPQSNFGPPIPAPPNQTSLPPPPLAPTPQPRGNLYSQNWSYPSSSFQQGGYAAPPRNPVPNQQQQYSSYPPPPPPPPPPESAYPPPPPPSQPGQMYFPSSQYPQFSNQPLQQQLQPPPPPPPSSPPSSSIPPPPPPPSQPPSPPPPPSAVPMANQSKEHRQGDHKGPSKDLSGSKWRDPAHSHHKVPPRQQVKAAALPPMPARASNGPPGRVETEEERRMRKKREIEKQRQEEKHRQQLKESQNKVLQKTQMLTSAIKPHGSISGSHMGDRRATTFLSGERAENRLKKPTTFLCKLKFRDELPDSTAQPKLLSLRRDKDRAAKYAITSLENVHKPQLYVEPDLGIPLDLLDLSVYNAPKDEMFHVAPEDDKLLQEDVSVTPIKKDGLKRKERPTDKGMSWLVKTQYISPLSTDATRQSLTEKQARDLRETRGRNMLDSLNSRWNDQFVIATFDGAPTTDSESYNKMEKSVRDAHESQLSKDIYDENEDISYTWVREYHWDRAREGKTSDEIEHFPVPATVTIRQRSAVSIQMQESESYVGSKNSRHEMEPKGMQLQDEGDMDQSSGAEYDDDISD >KVI05957 pep supercontig:CcrdV1:scaffold_127:123959:124691:-1 gene:Ccrd_015709 transcript:KVI05957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MATPILQAQTTPITTFCACKPKKMASSTSFALLFLAIATATTTAAAKEFQVGDAVGWRIPATNETELYNVWASRRRFHIGDSLRFHYKTDSVVVVEKWGFYHCDSSNPLSFFNDGNTLINLDKVGTIYFISGNADRCNKGQRMTVKVMNLHQFPPSIAPSIAIPPQNNPYYAMSPSPSPSHLSGSGTSSDSGPVASVSASIMVLIVGLGLWLVQP >KVI05933 pep supercontig:CcrdV1:scaffold_127:207389:273600:1 gene:Ccrd_015717 transcript:KVI05933 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MRRTVSRTMSRTRSSASWRMEDVFTAGGGASYNRRSSRHSEEDEEALRWAALEKLPTYDRLRTTIMKTYANDGDENQRGQKANMLHKEIDVRNLDPNDKQAFIDRIFKVAEEDNEKFLRKFRNRVDKALPSLANAARNLVESGLGCFGIGLAEKTKLTILKDASGIIKPSRMALLLGPPSSGKTTLLLALAGKLDSSLKVKGEVTYNGHKLDEFVPRKTSAYISQNDVHVGEMTVKETLDFSARCQGVGSRYELLTELARREKEAGIFPEAEVDLFMKILGLDVCRDTIVGDDMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTDATILMSLLQPAPETFDLFDDIILLSEGQIVYEGPREHVVEFFESCGFKCPERKGTADFLQEVTSRKDQEQYWADRSRPYKYISVTEFTKRFQRFHVGLRLENELSVPFDKSRSHRAALVFKKFLVSKTDLLKASWDKEWLLIQRNSFVYVFKTVQIIIVAIIASTVFLRTRMHTRNEEDGAGYVGALLFSMLINMFNGFAELSLTIQRLPVFYKQRDLLFHPPWAFTLPTFLLRVPISLVETIVWMVVSYYTIGFAPDASRFFKQFLLIFLVQQMAAGIFRVIAGLCRTMIIANTGGALTLLLVFLLGGFILPKGQIPSWWGWAYWVSPITYGYNAITVNELYADRWMNKFGSDNVTALGIQVLRNFDVPTDSNWYWIGTAALVGFSVLLNVLFTFALMYLDPLGKQQAIISKETASEMEANQEETTEMPRLRTNASRRETLPQSLSAADGNNTREVAMQRMSSQAGAINRNQDSSLEAAKGLSARKGMVLPFTPLAMSFDSVNYYVDMPAEMKEQGVTEDRLQLLRGVTGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKNQETFARISGYCEQNDIHTPQITIRESLIYSAFLRLAKEVSNEEKMTFVDQVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTLLLMKRGGQVIYAGPLGRNSQKIVEYFEEIPGVPKIPEKRNKALVKELSTPPPGAKDLYFASQYSQSTWGQFKSCLWKQWWSYWRSPDYNLVRYFFTLACALMVGTIFWKIGTKRDSSNDLTTIIGAMYAAVLFVGINNCSTVQPIVATERTVFYRETAAGMYSALPYAMAQVFVEIPYVFIQTAYYTVIVYAMVSFEWTAIKFFWFFFINFFSFLYFTYYGMMTVSITPNHQVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWICPLAWTVYGAIVSQYGDVENTISVPGRATDPTIKWYVKDHFGYDPDFMAPVAIVLVGFAAFFAFMYAYCLKTLNFQMRTVERETKAQEAKRDGGFVASPLGVDVYMNVMEEEENRGISIMKSIGRSLSRAAGSLGMDEVFSITAGGSHRNSHRTQDEEALRWAALEKLSTYNRIRTTIFNYSYNNNNDKHDDKLLMEVRDLDANARQHFIDNFFKVEGEITYNGHKLTEFEPRKTSAYISQNDVHVGEMTVKETLDFAARCQGIGSRLDLLAELARREKQAGIFPEAELDLFMKATAIEGDASSLITYYTLRILGLDICRDTFVGDAMKRGISGGQKKRVTTGEMIVGPAKTLFMDEISTGLDSSTTFQIVKCLQQITHLTESTIFMSLLQPAPETFDLFDDIILLSEGQILYQGPRQNVLEFFQGCGFKCPERKGVTSKKDQEQYWADRSKPYRYIPVSELAQRFKHFHVGEKLTNELSVPYNKSQSHKAALVFKKYLVSKRELLKASWDKEWLLMKRNSFVYIFKTAQFTIVAFIGTTLYFRTTMHRRNEEDGQIYLGAILLSLLINLFNGIAEISLTIVRLPVIFKQRDLLFHPPWAYTLPTFLLRLPIFQNVSGALFRFIAGVCRTMNIANTGGTLTLLLIFLLGGFLVIKTKIPTWWEWAYWLSPLSYGFNAFTINEFFADRWMNKMSSDGVTSLGIAMLKNLDVPTQTSFFWIGAASLLGFAVLFNTLFTLVLMYLEPPGRKQAIISKEAAAGMEAQQDSNQQSRLKTIASKQETTPQSLFPVDGSSSDRNMEMQHVRSRSESYDSYGGGRKKGMVFPFTPLAMSFDSMNYFVDMPQEMKEQGVEENRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQTDIHSPQITVYESLIYSAFLRLPKEFSNEEKMIFVAEVMELVELDNLKDAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQVIYAGSLGRNSQQIVDYFEEIPGVPKMPEKYNPAAWMLEVSSGAAESRLGIDFAEHYNSSALQQKNKALVMQLSTPPPGEADLHFGTQYSQSAWGQFRSCIWKMWWSYWRNPDYNLTRNFFTLATALMVGTMFWKVGEKRESSNNLTTIIGATFTGVFFVGMNNCQTVHPVIATERTVFYRERAAGMYSTLPYAMAQVFVEIPYVFFEATYYTLIVYAMVSFEWTATKFFWSFFINFFSFLYFTYYGMMTVSITPNEQLAAIVAGSFYAIFCYFSGFFIPKPRIPKWWGWYYWICPLAWSVYGTIISQYHDVENTIKVPGMSYDPAINSYIQDYYGYRLDFMGPVAAILVGFCVLFAVVYAYCLRTSVIMEEKRSVSIGTSIGRSLSRAAGSWKMEDVFSRGAGGGGSHDGRNSRHSVEDEEALRWAALEKLPTYNRIRTTIFKSYVPADLQNQTPADKIMALLLGPPSSGKTTLLLAMAGKLDPNLKVEGEITYNGHKLNEFVPRRTSAYISQNDIHVGEMTVKETLDFSARCQGVGSRLEMLSELAKREKQAGIFPEAEILGLDVCRDTFVGDAMRRGISGGQKKRVTTGEMLVGPAKTLFMDEISTGLDSSTTFQIVKCLQQVVHLTESTILMSLLQPAPETFDLFDDIILLAEGQIVYQGPRENVTSKKDQEQYWADRNSPYRYTTVSEFAQRFKRFHVGERLTNELSVPFDKSQSHKAALVFKEFLVSKRELLKASWDKEWLLIKRNGFVYVFKTVQIVTVAFIGMTLYFRTTMKTRNEEDAQIYMGALLLSLLINMFNGLAELSLTIIRLPVVYKQRDLLFHPPWAYTIPTFLLRVPISILESITWVAILYYAGIFRLIAGVCRTMNIANTGGSLVLLLIFLLAGFILPKTQIPNWWEWAHWVSPLSYGFKAFAINEFYAPRWMNRLSSDNVTPLGTSVLESLDIPTQTSWYWIGAAALLGFAVLFNVLFTLALMYFDGKPQAVISKEAAEEMENQQDSNQQPRLKTIASKQGQNMEMQHVGSRSDSYDNSNGVAAKKGMVLPFTPLAMSFDNMNYYVDMPSEMREQGVTENRLQLLRDVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQTDIHSPNITVHESLIYSAFLRLPKEVSKEEKMTFVVEVMELIELDNLKDAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQVIYAGPLGRNSQQIVDYFEEISGVPEIPEKYNPATWMLEVSSGAAEIRLGIDFAEQYKSSSLFQRNKALVAELSTPPSGATDLHFGTRYSQSSWGQFKSCIWKMWWGYWRNPDYNLVRNFFTLAAALMVGTVFWKIGEKRGSSGDLNTIIGAMYSAVFFVGINNCQTVQPVVATERTVFYREKAAGMYSALPYAMAQVFVEIPYVFVQTVYYTLIVYAMVSFEWTAAKFFWFFFINFFSFLYFTYYGMMTVSITPNEQIAAIFAASFYSLFNIFSGFFIPRPKIPGWWVWYYWICPMAWTVYGCIISQYHDITNTITVPGMAVDPPMNEFIVDRYGFQLDFMGPVAAIDRLKFPKMEEEGKLSMSRSVGRSIGRSFSRAAGSWRMEDVFSAGGGASHDGRSSRHSMEDEEALRWAALEKLPTYNRLRTTIFKSYIPADQQEMANDKMLLDVRELDPHARQSFIDKIFKVAEEDNERFLRKFRDRVDKVGISLPTVEVRFKNLSIEADCHVGDRALPTLINSARNIAESLLAAAGISFSEKAKLRILKDASGVIKPSRMALLLGPPSSGKTTLLLALAGRLDPSLKVEGEITYNEMLTELARREKEAGIFPEAEVDLFMKATAIEGDQSSLITYYTLRILGLDICRDTFVGDQMRRGISGGQKKRVTTGEMLVGPAKTLFMDEISTGLDSSTTFQIVKCLQQVVHLTESTIFMSLLQPAPETFDLFDDIILLSEGQIVYQGPRDHVTSKKDQEQYWADRSRPYRYIPVSEFSQRFKRFHVGEKMASELAVPYDKNQSHKAALVFKKYLVPKMELLKASWDKEWLLMKRNAFIYVFKSIQIVFIGFIATTLYLRTEMKHKNEVDGAIYVGALLNSLLINMFNGLADLSLIVMRLPVVYKQRDLMFHPAWAFTLPAFLLRIPISILESIMWCGILYYGVDLAPDATRFFKHLLLVFLIQNVAAGLFRLIAGVCKTMNIANTGGSVILLLIFLLGGFILPKTRIPNWWEWAYWISPLSYGFKAFTVNEFLDDRWTSIRVMLRNLDVPTEESTYWIGAAALVGFILLFNVLFTIALMYLEAPGKPQAIISKEEAAAMEGDQGTNQQQSVQSSEKEMHQISKDGNGVSKKGMVLPFTPLAMSFDNMNYYVDMPSEMREQGVTENRLQLLRDVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQTDIHSPTITIHESLIYSAFLRLPKEVSKEEKMTFVQEVMELIELDNIKNAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYGGPLGRHSSKIIEYFEEVAGVPKIPERYNPATWMLEVSSGAAEIRLGIDFAEHYSASALHQRNKALVKELSVPPPGAVDLRFETQYAQSMWGQFTSCLWKMSSSNDLSTTIGAMYAAVFFVGINNSQTVQPVVATERTVFYRERAAGMYSSLPYAMAQVFVEIPYVFLQTTYYTLIVYSMVSFEWTVPKFFWLFFINFFSFLYFTYYGMMTVSITPNEQIAAIFAAGFYLLFNIFSGFYIPRPKIPGWWVWYYWICPMAWTVYGCIVSQYHDADNPIFVPGMATDPPMTWYIKDYYGFELDFMGPVAAVLIGFCVFFAFLYATFLRTLNFQMR >KVI05962 pep supercontig:CcrdV1:scaffold_127:91030:94750:-1 gene:Ccrd_015705 transcript:KVI05962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSSGSEDTAVSKAYSSKFSRKQQEKCSSSTNNPSHGFLFKRCISSSHRISLSWCSSKSLFSIASSGRDDFISEDEPSSSMMHKNELEFNRINCLVWVLHESARSFSLAIQTLKFTRKSPPLSNAWNGVDVAVYALLKAAIEVELFLSHKRCNSPVYEIKLGSGRLSCPRFTAWLDDALVELRDLSRDLVSVDKLHHLAIEAGFEEDFLSHFGKKVLPSKNIEDVEFWIGLVQEKLSVAFHRESLLGVRQPVVIDEIGWLDFYAPLKCKFQYDGRSRQHAIQAEKEIILYTVLTVCYDVFSGFAHYSSSSQRPLDTDLLSFLFRR >KVI05932 pep supercontig:CcrdV1:scaffold_127:168737:180717:1 gene:Ccrd_015716 transcript:KVI05932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MEQNLPVLPKKVWSLIRVLYFMIRKSISKKKLLLDLNMMMKRAKIAGKALQNLMFHHHHYWAAASATNGRPSPEYSNHLCFPTTPPGEYEFSCSNSPSDKLKNYPFSLFPFHKKQHSGNQPAAEGVDVMGFNAAVLKAMEMIHSETASPALPGFGRSPMVRQLRITDSPFPVSSVDDDKEGSHVDEAAEQFICRFYNDLKMQNSKASICKRKLLLDLNMMIKRGKIAGKALQNLMFHHHNNWAAFTSTATNNRRSHDHHHSHRQISISNNNCIPPVDEYEFSCSNSPAAAPFSLFSFHKKHHHHQSRAEDVDMMAVNAAVSKAMEMIHSELSSPALPGFGRSPMVRQLRVTDSPFPLSSVAEEDNHVDEAADQFISRFYNDLRRQNIKAAAAFVVAKKVWSLVRLMLFMLRKGVSKRKLLLDLNMMIKRGKIAGKALQNLMFNHHHNWSSASTFNRRSHDFTIPPPPRTDDYEFSCSNTPTYPLSFFKKHHGKKISQKKSAAAAGDDHDEIIVNAAMIKALEMVTSATASPAAMSGFGKSPMVRQLRVTDSPFPMNMGEEDSYVDEAAEKFIMKFYSELRREN >KVI05954 pep supercontig:CcrdV1:scaffold_127:379706:388283:-1 gene:Ccrd_015728 transcript:KVI05954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Taurine catabolism dioxygenase TauD/TfdA MTIEMATGKFFQEIQLPEQKSQEDGVLFPMVLSPNAITDSTSIELSDFEEAIRAHKPWLEYLLQKRGVILFRGFNISSPSDFNNVVEAFGFPEMHYASVGGRASRTKVVGRVYTANEFPPDKEIPFHHEMAYMKERHPAFVAKLEEHGLTYIKLASETDDPSSFTGTGWKTTYNTDDKKVAEERTAKQGTKLEWIGDVARILTRPMPAIRSDEKSGKKTWFNSLILSYSGPASRTNHNRNSSVELGNGETVPDDAMEYCLKILDEECVAIPWKKGDFMIVNNLTVLHGRRPLLEPPRRILVSLCHSEDGVLFPVVLCPNPKIGKEVQLTDAIKANKEWLDSLLYRSGAILFRGFPVFSASDFNDVVESSGYENFPYDIGGAGSRTNVVGRVYTANEAPPDQEIPFHHEMSHAPVYPSKLFFFCEVEPGSGGETCIALSHLGMKLEWVEGGVRVIIGPKPGSRYDETRKRKIWFNKVTGGMKDKLNQDLSKAVMFSDGKPVPADVANDSSKIFDEECIALQWRKGDVLLLDNLVVMHSRRPLTALPRRVLASFCK >KVH98782 pep supercontig:CcrdV1:scaffold_1271:25619:38418:-1 gene:Ccrd_022990 transcript:KVH98782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLTWIGMMRVAVSEIGEVDYSNTQQVCNSSCYTAKQQADWNNSPASDRRIKSLLTFAKTAPCALLRFIKEREPCLESEKKGQAIERMVPRAFARTTRKW >KVH98783 pep supercontig:CcrdV1:scaffold_1271:80594:117015:-1 gene:Ccrd_022991 transcript:KVH98783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEEHQLQSMNGTREIEMYKEVDREETTERSRRLPEHQLESAMAYKKPITTTTLLNSLSMLTKYTNRCTDFLGLLMELEISDIGGGIRRRWWKQKVVKMVWCGFWVAGGGGSIWAIGCGQDSAQSCGYDDLSSTYTSHCLFKRLISPHSATYSNQLRPVSEKKSAYITTPGVIISSGRMKTPRNKQDEVHDLVSRLQTLLPDSHSGCDKRKVPASKILKEACNYITSLQLDVDNLSEKLSQLMDYKENNGTNLIKV >KVH98784 pep supercontig:CcrdV1:scaffold_1271:8282:9478:1 gene:Ccrd_022988 transcript:KVH98784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MDGGDDRFHHRPNFPFQLLEKKDDHVVCSSSATTTNYPSLAISTDTNHNNLNSTLRSNSSTLLTTATTTATAATSSTELSKKQPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQFRSSYFNPNFTLPQRRNLFPGIGSDNSSLNFGSTTILNQMIQAKQEIRDNSVELSESPEEGMGRKRRPPTEQDLSSLHQQQMGNYLLQSSSGAMAASHASIPANFWMVANSNQHQAMSGDPIWTFPNVNNSSLYRGTVSSGLHFMNFPTPVALLPSQQAGISGGSSGGGGGGGGGYSEGQLSMLAAGLNPYRPIFASEQQPGGSQSHHDGGHGGEDRHDTSSHHT >KVI10917 pep supercontig:CcrdV1:scaffold_1272:107177:112543:-1 gene:Ccrd_010679 transcript:KVI10917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRWCGDDGWCGGDERRHGGDGVLRRRRVETGASTRGGVVATRVVRRRWGSGRRWCVGINGERDTRRRREGAVVRQNKIGKVRLLFSSIVPTFAHSSPNFSHLASLEQEEHHILHLSSSISLPCSPPSSLLSLVLSSVVPALLRLTSIVVFFHHTAGLFRRRSMNTVVVLCSIYRFRCYNHRGCYHNAQFPLLAPNIVFGPEDDNFRPYHACGGDLKPKNRLSDWNCKDPTRLLSLILELRSLYMAYQKKHVGEVDD >KVI10919 pep supercontig:CcrdV1:scaffold_1272:39042:49291:1 gene:Ccrd_010677 transcript:KVI10919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ MGRGWTAYFMAVVPCGGTWVALWGLQAKSILSVSSTSKSFAHLPRFSTKTQAFASPCSSFLSQGSLHALYDTRSIGNPRQRRGGRLVVRAEADFYSVLGVSQNASKAEIKSAYRKLARSYHPDVNKYGEAGLKGAGVGTGDFSNPFDLFESLFDGLGGMGGMGGMGGRSQRNRATQGEDQGYNLVLNFKEAIFGVEKEIEVTRLESCGTCNGSGAKPGTNASKCTTCGGQGQVISSARTPLGVFQQVMTCSSCNGSGEISTPCNTCSGDGRVRKSKRISLKVPAGVDAGSRLRVRSEGNAGRKGGPPGDLFVMIDVLSDPVLKRDDTNILYTCKITYIDAILGTTMKVPTVDGMVDLKIPSGTQPGTTLVMAKKGVPVLNKSNRRGDQLVRVQVEIPKRVSGEERKLIEELSNLKKGKVASGSR >KVI10918 pep supercontig:CcrdV1:scaffold_1272:3748:6627:-1 gene:Ccrd_010676 transcript:KVI10918 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDEKKAHVVFIPFPAQSHIKCMLKLATLLHHNGLRITFVNTHSNHTRLLTSAVSHHLHGAPGFQFRSVPDGLPSTSCGDEDQEPLQXIXZXXRYLATNFLASFLELVAGLETPVTCIISDGFMTFTKTRFAAEKLGVPVILFWTVAACGFMGFYQAKVLMDRGIVPLEEIRLKDLPEYVLGTKSTQAGWKFIVEIAKEADKHSHMIIHTFGELESSLIEVFKSMFPNVYTIGPLQLLLNRIFPENEPNNSKLNGYSLWKEEPECIQWLESKEPNSVVYVNFGSITVMCLQDLLEFGWGLVNSDHEFLWIIRTNFVDGKPAVFPQELEVAIKRKGFVASWCSQEEVLNHRSVGGFLTHGGWGSVIESLSAGIPMVCWPFSGDQKMNCRQMCKEWEVGMEIEGSVKRDEVEKLVRVLMAGEDSGRMRKKAIEWKKMAEIAAGPDVTNQYHNLLIIVAFFPSLRSSFLKTAIASWFGGLSEREVDNVRWWNTTEKEK >KVI10916 pep supercontig:CcrdV1:scaffold_1272:100016:102639:1 gene:Ccrd_010678 transcript:KVI10916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MRIIRETKDVGRWLEIPNVVGEMKSREMTGVVGDANYLGVLEGTPARDDDTLKSSSVLVSQQPLVLKYHNGELLRGPVTVNLVWYGKFTPTQRSVIVDFLQSLNTLKGSRKAAATPLPSVASWWKTTEKYHNGSSAVVVGKQILDENYSLGKLLKNYHIQYLATKVAQPKSINVVLTAKEVGVEGFCMSRCGTHGSTKVKPRVAYAWVGNSETQCPGQCAWPFHQPIYGPQSPPLVSPNGDVGVDGMVINLATVLAGAVTNPFNQGYFQGSATAPLEAVSACTGMFGSGAYPGYAGQVLVDKTSGASYNAHGLNGRKYLVPAMWDPKTSACSTLV >KVI10920 pep supercontig:CcrdV1:scaffold_1272:123893:124000:1 gene:Ccrd_010680 transcript:KVI10920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFKKMRLEDTFNNSSMFSITTIGEACITEIW >KVD98104 pep supercontig:CcrdV1:scaffold_12731:360:1387:1 gene:Ccrd_024290 transcript:KVD98104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein QDNVFVNVVASIQYRALADKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAIVQTLIVDIEPDEHVKRAMNEINAAARLRMAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRD >KVD98103 pep supercontig:CcrdV1:scaffold_12734:517:1284:-1 gene:Ccrd_024291 transcript:KVD98103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEVHQEDVMIMEEMKMHIKGKRSKRPRPSSALTLTIASTSSSSSTTTTTTDGAPPTNSNIDFTTILQDNHDNNDEYVANCLILLAHGQYLPPSDTRLYVYECKTCSRGFTSFQALGGHRASHKKPHKDVREISKNRTSLWICPNKPLSCNPSGSTKGPKVHECSICGSHFTSGQALGGHMRRHRSVMPTTTYTSTTTTCTTSDEHHKSKKHKTLLPLDLNLPAPIEDDHNETKSPFGLNNQIIVFSTPSLVDCHF >KVH98041 pep supercontig:CcrdV1:scaffold_1274:32443:32928:1 gene:Ccrd_023735 transcript:KVH98041 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MASDHHPATTFVQANPSNFRAVVQRLTGATPPESSSSSHKHPIVAGDISHRRSGFNLHERRQTTGKLEITLNRPFGIISPSSARQRSFAGGEMMMSSPVSTLDVYGRGSPRTPVEEEERAIAEKGFYLHPSPLNTPRGSEPELLVLFPLRSPKTDPSSSSS >KVH98035 pep supercontig:CcrdV1:scaffold_1274:118328:130216:1 gene:Ccrd_023744 transcript:KVH98035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPIFPLINNHKWRFRHATIPSDHTHQNKTTTPRETPLQLEKGWFWFRKWDSEDMASISWFTMIHVLAAIAPFVFDMGAILLALVLAILTGIGVTLGYHRLLCHHSFKIPKWLEYFFVYCGAHAFQRDPMFYVNTHKSHHKYTDTDRDPVAPSHGFWYCNMGWFFNNDHIAAQCGESRGGEYSKVRELKAQWFYRFLHYTYFWHPTALAALLYLHGGFSYLAWGMGMRGVVVHQFASLATYVSHIWGERPWNSPDTSTNNWWVAILTLGEGWHNNHHAFPRSARHGLERWQFDFTWELIKFLELVGLATDIKLPILLKVIISHIVVITQGMRTVTALHITFLLVLGDGGITIITLFPNRLNMGFGLDMADDQMRNLVTVSNGTKESEYKKILLSNVMVTRKRNLFWGRKWRTLDIKMGVWILAIHLLALFAPFTFTWDALWAAFGSYLLFGIFGITLGYHRILAHHSVKLPKWLEYIFAYIGVLSMQIHTLPPLDFGLVIWDGSSIAAILLRRYYYVYPYLKNKLLSANILIYVDRLVLQYQERKNVEDLKSQIFYKFVQRTYVLHISTFTALIYAVGGFTYLVWIVGVGGTWGYHVTFLVNSACHIWGNQIWDTGDLSKNNWWVAILSFGEGWHNNHHAFEYSARHGLEWWQIDVGWYVIRFLEAVGLATNVKVPTEAHKLKKSSASHPKFK >KVH98044 pep supercontig:CcrdV1:scaffold_1274:42704:49287:1 gene:Ccrd_023736 transcript:KVH98044 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase/AP lyase MPELPEVEAARRAIEENCIGKKIKRSVVADDAKVIDGVSPSDFEASLTGKTIVAAHRKGKNMWIQLDSPPFPSFQFGMAGAIYIKGVTVTKYKRSAVNDTDEWPSKYSKLFIELDNGLELSFTDKRRFAKVRLLKNPASVAPISELGPDALLEPLTEDELFKSLSKKKIGIKALILDQSFISGVGNWIADEVLYQARIHPLQSAASISKDSCAALHNSLKEVIRHSVEVDADCSRFPVIEKAVEVGADSSQFPSNWIFHSREKKPGKAFVDGKKIEFINAGGRTSAFVPELQKLSGEQAAKAAVKPQKRSSKKNKDEDDEPESEDEEIAKNAKPEGGKKTTQSRAKNPNTKRKSIENDDKSSDANDKKSATRKKSKGSSEVDEHQKGSKGHKKPPAKKKAQESEEDSDDDGEEEGEEEERVQVKGKKEVAKKVQTGRQTNKRAK >KVH98046 pep supercontig:CcrdV1:scaffold_1274:56198:60807:1 gene:Ccrd_023738 transcript:KVH98046 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MALRLDGLSVTKPKGLNDGVSSSFSEAFATNVRVSDSLLLASLAPATAAMVWPLTVDEEYEVGRLRGGGEGREKAINHPISGKINESDFDTTTLTTPFRPIPPVYSFSYDRQAPVAGPASPYSIRITISFGNFAILLIKKPEMEDGSDKSEELLEDDGGDHDIDSEQVFAIGNNDVETESLFGIEGDDLENGSELVLDIESHEQENDSEQILEFENNDLEDKDQMIEFRSNNHNTDGAQMLEIGNNNQDDASISDDQQDPSESKHNPPPVVGMEFESYEDAYKYYNCYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTLKEASSRRKETRTGCLAMIRLRLVESSRWRVDEVKLEHNHLFDPERAQNSKSHKRTDSGVKRKLEPTVDVEVRTIKLYRTPIVDAICYGSSNERDFDNEFGKSKLEEFEVAWDDMIQRFAIRDNEYLRKLFEDRERWAPVYSKDTYFAGMFTFQPGESTVPFFHGYVHQQTSLKEFFEMHDLVLQNKIQKEAFDDCESRDSNPKLRTICTYESQLSKVYTKQIFSLFQYEVEMMSNCFNISQVNTSGLIVTYMITEQETEGNSIRNLEVMFDKIGLEIRCICSCFNFKGYLCRHALSVLNHNGIDEIPSQYILSRWRKDFKRLYVPDLGSDNVDVSSPVQWFVHLHKQALQVVEEGMTSQEHYMVAWQAFKESLNKVRLVSDKQAQS >KVH98038 pep supercontig:CcrdV1:scaffold_1274:91623:104493:1 gene:Ccrd_023741 transcript:KVH98038 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MWNNSNGQEMWNNNGMIAPPGTGGGPPLAPPGTGGAGGSIPPPPAAQPSYTVLPSPAEAEARLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVRLCIYHTPMVMYIKTEDPDLPAFYYDPLIHPITSVNKDRRDKKVHDDNEDEDDFCLPEGVEPLLSGTPLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITFWDTKVETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKASEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLSDDQWVKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGVSPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHDFLSDLEPLGWMHTQPNELPQLSPQDLTFHARILENNKQWDGEKSIILTCSFTPGSCSLTAYKLTPTGYEWGRANKDTGSNPHGYLPTHYEKHTISMKYGIKLGSPREYYHEDHRPTHFLEFSNLEEGDVAEGDREDTFS >KVH98039 pep supercontig:CcrdV1:scaffold_1274:104552:107850:-1 gene:Ccrd_023742 transcript:KVH98039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGVPSALVDAPQTGFSGNQNGARTDRHEQQAVYDIMKATGNEWATEIPDVCRGRWHGIECMPDKNNVFHVVSLSFGALSDDTAFPTCDPTRSSISPSITKLPHLRTLFFYRCFTDMPIPSFLGQMGPNLQTLVLRENGHVGPIPIGLGKLTGLRVLDLHKNNLNGTIPVSFSRFTDLRLLDLSSNRLTGSIPSLAFPQLTLLDLNQNHLTGSIPTSIGNCDSLMKIDLSRNRLSGEIPNSINKLSRLILMDLSYNSLSGPVPTTLNNLDSLQALILNGNPLSSTIPSTAFDELKNLTILILSDTDLYGPIPESIARLPHLRVLHLDRNRLNGSIPTTFRNLNGLSELRLNDNQLIGPIPFGKEMVWRMRRKLKLENNFGLCYDGRNGFGDDLGSLSGSGIDHCDTPKTGPAQTVQHISAANETEPVRPSRSVANSGVSFNGGKSR >KVH98043 pep supercontig:CcrdV1:scaffold_1274:50055:56485:-1 gene:Ccrd_023737 transcript:KVH98043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MAFSLPSPPPLNLPTSYSSSTVNGHTIAAVAGARDARRRLSLTRTFVAKASEKDDETPSFNPFGFVTDNPSSRSAIQLPEAPAEDGNVGQMLYRIEDKGKEYGSYIKSGGFRWFVRETGSSDARLGPRGTVVFLHGAPTQSYGYRVVMSQMADAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEYHEQLDKLLDALDIKSPFSLVVQGFLVGSYGLTWALKNPSRISKLVIMNTPLTTSSPIPGLFQQLRIPLLGEFTCQNAIMAERFIEAGSAYVLKVEKADVYRLPYLRSSGPGFALLEAAKRANFRATSSQIADGFKSERWDAPILVAWGMSDKYLPQSIAEEFQKGNPTAITLKLIEGAGHMPQEDWPEKVIDALRYFL >KVH98042 pep supercontig:CcrdV1:scaffold_1274:8421:16678:-1 gene:Ccrd_023734 transcript:KVH98042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MECWCVSKIKSWLLILMMIGSLLIWQTQGVCVEEERKALLEIKGSRIDSYGMDLLPTWVDDGSTRGRSGGKCCDWERVTCNTINGHVTNLSLSNMLGMEDAFDTRMSCRMWPLNVSLFLHFKELTNLXLSWNCLDNNIVSTGLERLSSLKNLEILDLFGNSIGNDIFPSLGALTSLRVLDLGFNNLEGYFPALGMFLYSYHMIDVVLHVTELAXLTTMEKLDLSDNMLDGTPSIQDCRRLSRLKKLESITLRNNNFNNSIISCLSTITFLKTLDLAYNSLRGSFPIQELAHMTNLEELDLSWNFGFNDTPSNQDCRILSRLKKLESVNLDHNXFNNSIISCLSTIPFLKTLDLSWNWFGGSFPIQELAHMTNLEKLDLSSNGFNDTPSIQGKRRASHGILCILQNIKLSIHNQMRLFFSTDCRRLSKLKKLESVTLSYNYFNKTIISCLKLSGLKELETLDLTGCELESLTLNGMSPLPLPHLKLKTLLLRYNNFNGTLPMEVLDLSWNNFFGSIPSTIQALSSLRVVSFANNNLNGSLPNQGLCELKNLHELDLSGNNFDGNLPQCFNRLSSLKLLDISSNQFTGTLLPSLIANLTSLEYVDFSNNRFEGSFSLSLFSNNTKLEAVNFRSNNNKFEVETEEPIGWIPMFQLKVLVLSSCNINRPKVSVVPRFLLHQHMLQVIDLSHNSLVGNFPNWLMENNTMLEALNLRNNSLIGGVCMPRYRHANMRWLDVSENHMNGTIPTDIQKFLPSIGYLNLSSNSLDGVISSSIGDMRQIQTLDLSDNELSGEVPETLFSNITYLRILKLSKNRLQGEVLSRNLSLGXIGRLGFDNNHFTGKIGNWTFQNHYMESLDISNNLFTGTIPRWISDMMSYKSELIVSNNGFEGPFHCGKTSFSFLDISHNSFSGPIPSCLDFQAMTHLHLGSNRFIGPIPNAFHNLTSVLTLDIGYNSLSGRIPEFLGNLSYLRILILRKNNFSGSIPKPLCQLSNVSLIDLSSNSLSGSIPSCLQKIMNAVYPAFEQTMQSLYYTSISYAYQSVLYGNIGIDDYIMREKQDEVQFTTKTLPLSYKGKILDLMVGLDLSCNKLTGEIPKELGLLTQIHSLNLSHNQLAGTIPMQFSNLEKIESLDLSSNWLSGEVPSQLIKLTSLAVFNVSHNNLSGRLPEMKAQFGTFTKASYEGNPLLCGPPLDKKCMNTSYATDSSAKDGSDKWYDIDMASFYGSSGSTWVVFLLGFAAVLYINPYWRRRWLDMVEECVYTCYYFLDDSVCKVSMLFRK >KVH98037 pep supercontig:CcrdV1:scaffold_1274:81140:88278:-1 gene:Ccrd_023740 transcript:KVH98037 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVLAELGGSISRALQQMSNATIIDEKVLNDCLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRKIIQQAIFNELCKMLDPGKPSFTPKKAKPSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKESCDLIIVDTSGRHKQEVALFEEMRQVSEATNPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDLSGFMDKIHEVVPMDQQPELLQKLSEGNFTMRIMYEQFQNILKMGPINQVFSMLPGFSSELMPKGREKESQAKIKRYMTMMDSMTNEELDSTNPKLMNDSRIMRIARGAGRQVREVVEMFEEYKRLAKIWSKMKGLKIPKKGDMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSSKDMMGMFGGGDK >KVH98040 pep supercontig:CcrdV1:scaffold_1274:109801:113620:-1 gene:Ccrd_023743 transcript:KVH98040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAPIFQSWTQIVSTSRTASSTNFSPFIANSHGRAPVYWKTMNGLPPSSGERLKLFYNPTASKLAPSGFNQPIMCGGEPRAMLPVNLIFSFTNGSQWDGPYRLQFQVPKGWRNREMDFFNQADRCELDLVTGCTDPGSPDYNPLANVDDGSCPPYSDSED >KVH98036 pep supercontig:CcrdV1:scaffold_1274:131674:133210:-1 gene:Ccrd_023745 transcript:KVH98036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGIFTGLGVTLGYHRLLTHRSFKIPKWLEYFFVYCGVHGGQVGSMNHFIAFNRVFCWRMVYWSYLQMQRDPIFWVSVHKKHHKYADTEKDPHTPMDGLWFSHMGWFCHNEFITAKRVGIIITTLFPKSARHGLELWQFDLTWELIKFLHMVGLATDVKVATEADKKRMALR >KVH98045 pep supercontig:CcrdV1:scaffold_1274:57082:66291:-1 gene:Ccrd_023739 transcript:KVH98045 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4413 MEMANPSNSNELCDADEQMKSKRRRKKSIVWEHFTIETIDAECTRACCKQCKKSFAYISRKKPAGTSHLKRHIDLGICPVSRLNQKSNQDSSCTLIFMVTLDESFSNEAMKRNLRSLLFVNNPLVLNGQLLIGSCYARVLGRLAQDALTSMKETVKKVRDSVKYVVTVESHQERFNDLKQQLQVPSTKSLNLDNQTEWNTTYHMLVAASELKEVFSCLDAFDPNYRETLSMEEWKEVEILCIFLKLLFDAANLLVGPTYPTTNVFFDDVWNIQLELTHAAMSEDVFISNLTKPMYERFDKYWKDCSLVLAIAVVMDPRFKMKLVEFSFSRIYGDDGENQMKLVQDGVQELFLDYVVQMLPPPTFVVNGNGMKSDDDILLSTSLESLTIATENRTSFLFAVTLCPRRFDPNGESEFFSITIIGRRQELGGRMRKSTPAELGERGW >KVD98102 pep supercontig:CcrdV1:scaffold_12743:429:1141:1 gene:Ccrd_024292 transcript:KVD98102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase fold MGFLIIFVLSLCYILFGLRTTSAANFYVTSYGAKGDGNTDDSKAFLKAWADVCKDKSQDPTLIIPSGKTFLLSPMTLSGPCNFPRVHI >KVH88261 pep supercontig:CcrdV1:scaffold_1276:117281:119358:-1 gene:Ccrd_024304 transcript:KVH88261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MKFGGSSVASADRMKEVTELILSFPKENNVIVLSAMGKTTLIVVWKDVDGVSTCDPNIYSGAEPVXYLTFNKIESQQ >KVH88260 pep supercontig:CcrdV1:scaffold_1276:123178:127994:-1 gene:Ccrd_024305 transcript:KVH88260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MEATYMLSKANDNHSKEGKVALITGAASGIGECTAKLFAEHGAKIVVTDIQDQLGQGVCEAIGSSNCIYVHCDVTNENDVKNAVDTAIATYRKLDIMFNNAAIMHPNKDRIIDNEKTDFEQVLSVNVTGVFLGMKHAARVMLPVRAGSIISMTSIASYIGGVAPHSYMCAKHAVVGLTKNIAVELGQFGIRVNCLSPYGIATPIATNALGLDAEGVENMMNSFGNLKGVTLKVDDVAKAALFLVSDDAQYISGHNLFIDGGFSIVNPSFDFHVLSVIVTGIFFCMKHAARVMIPTRNRSIISTVSVCSNIGGVNSHAYTYIKHAIVSLTANIAKELEQFRIRVNCVSPYGMATPTATYVTVLEAEVVESMAKLSGQKEPKFNY >KVH88267 pep supercontig:CcrdV1:scaffold_1276:35679:39774:-1 gene:Ccrd_024295 transcript:KVH88267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl hydroperoxide reductase subunit C/ Thiol specific antioxidant MACSSASPALLSSPTARNSSYISPKSTLSQALSFSGSSSINFRSKSIHSALPIRSSTTRRNRFVVKAGLPLVGNKAPDFEAEAVFDQEFINVVEMILHSFVKLSDYIGKKYVVLFFYPLDFTFVCPTEITAFSDRYAEFEKLNTEVLGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLISDVTKSISESFNVLIKDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQFVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAV >KVH88263 pep supercontig:CcrdV1:scaffold_1276:57371:61985:-1 gene:Ccrd_024298 transcript:KVH88263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKSPTVRFHSRINMTCVNHETGVVEPKKFGILANWQREYTMEDILTQLKKEMAAPHNRKLVQPPEVIFLARVRYCFVGSFSVLSAAADCSQPPPPSAATS >KVH88262 pep supercontig:CcrdV1:scaffold_1276:74675:75640:-1 gene:Ccrd_024299 transcript:KVH88262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEAPNQQPHLTTSSLSLSLSLSLYLPLSLQGVANLKMSRRNGGRGGGPKLDLKLNLSPPRPHRPMIESPNHSTTLSMSPTSSCLSSELVQDETGLRYPTSPEATSMMLVGCPRCLMYIMLAEDYPKCPKCKSTVLLDVVHDNIKKTKNG >KVH88268 pep supercontig:CcrdV1:scaffold_1276:28439:29273:1 gene:Ccrd_024294 transcript:KVH88268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGVEEGILESLETTKYNYFLGWSQPFTV >KVH88256 pep supercontig:CcrdV1:scaffold_1276:99990:107989:1 gene:Ccrd_024301 transcript:KVH88256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNAQSPAGDPRFTSATRAFSEKELDDLKSLFGSLAAQSQSGGKYISLSAFKAYIGISGPLGDRLYDIVTQNRKDQKLTFEDLVIAKGTYEKGTKEEIDEFLYQLCDVDGDGNLTRSDLEAVISEILDNISSSRNYEPSSAPEQRPIGIFLDAANFTKDNEGSCGRSMSFEDFRSWCRFVPSARKFLISLLKPSSQGSQVPDLIHQDDIDSNMLLLKEYAWHIGGALSHQELEEWKLLYHSALHGQSFNTFLGNMSNAEGPTVLIIKDKEGYIYGGYASQPWERHADFYGDMKSFLFQLYPKASIYRPTGANKNIQWCGVNFSSDSIPNGIGFGGRTSHFNLFISSNFDHGHTFTGATFNSPCLSKSSQIYAEVIECWGIVAKGGQHEKQEGLKGSVLERFKEDRNMLNLVGLANSSN >KVH88258 pep supercontig:CcrdV1:scaffold_1276:111000:114868:-1 gene:Ccrd_024303 transcript:KVH88258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MATTPRRLEGKVALITGAASGIGECTAKLFAEHGAKIVIADIQDELGQAVCEAIGSSNSMYVHCDVTCEEDVKNAIDIAVAMYGKLDIMFNNAGITDPNKVRLIDNEKTDFEHVLNVNVTGVFLGMKHAARVMIPARNGSIISTASVCSNIGGVSTHAYTCAKHAIVGLTKNIAVELGQFGIRVNCVSPYGMATPMATYFTGLEGEAIENMVNLLGNLKGVTLKTDDVAKAALFLVSDEARYISGHNMLIDGGFSIVNPSFGIVYLPSIISTSIFVLKMATTSKRLAGKVAVITGAARGVGECTAKLFAEHGAKIVIADIQDELGQAVCEAIGSSNSIYVHCDVTNEKDVENAIDTAVATYGKLDIMFNNAAVIDPNKDRLIDNEKTDFEHVLNVNVTGVFLGMKHAARVMIPARTGSIISTASVCSSIAGVGSHAYTCAKHAVAGLTKNIAVELGQFGIRVNCLSPYGMATPMATSFLRLEVEAFENMMNSVGNLKGPTLRSDDIAKAALFLVSDDAQYISGHNLFVDGGYSIVNPSFGMFKYPENP >KVH88266 pep supercontig:CcrdV1:scaffold_1276:40269:44644:-1 gene:Ccrd_024296 transcript:KVH88266 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MLGELITSSLVLILGYAYPAFECFKTIEKHGAGNAELRFWCQYWVIIAVLTVFERVGDIFISWVPMYGEMKLALIIYLWYPKTKGTGYVYEAMLRPFVARHETDIERSLKEMRARAWDVAIYYWHNSTELGQTRFFEIFRYLASKPSRPRSEVLQNHQNSGDGPPSPPPVAPIPVPRPDQAEERWVPTAPPLSNISHRQPVYPEELQIPGSPTTPSGSGSNPGQREPVWLRFKRSRGL >KVH88257 pep supercontig:CcrdV1:scaffold_1276:91677:97898:-1 gene:Ccrd_024300 transcript:KVH88257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKHISGSSPSDTLTTLVLAISRQKFDKDFISPGLLSSIHKDFTLHFQRRFIVIKVGGLPMSRPLLLVSLLLLMVFTSQFEWNQHIVNEVEARPLALSQKQQYILEREESIILSQEKHIQKLKTLVQNLQEQLLACRGKDEYVNDTTGSLTEPLNGLNHQQIM >KVH88259 pep supercontig:CcrdV1:scaffold_1276:102045:110271:-1 gene:Ccrd_024302 transcript:KVH88259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17e MGRVRTKTVKKSSRLVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDRIEVDKETIDLLASLGMSELPGIVLKEDTPMISTSIPGGFGGGRGGYGGGAGRRYMLKTQVPNHEKLTNIASTSVAHKNKAKTR >KVH88265 pep supercontig:CcrdV1:scaffold_1276:51670:53220:-1 gene:Ccrd_024297 transcript:KVH88265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MSIKGSSSPSPPIVIRSVWAYNLESEFRLIQSIIDDYPYVSMDTEFPGVVVRPNAGYNYFRHQSPSVHYLLLKSNVDVLKLIQVGLTLTDADGNLPDLGETNTNTRYIWEFNFKDFDPSRDQYASESIDLLIRQGIDFQKNHDYGIDSVKFSELMMSSGLVCNELVSWVTFHSAYDFGYLVKILTGRCLPDEMTRFMELLKTFFGDKVYDVKHLMRYCEGLYGGLDRVAKTLEVERAVGKCHQAGSDSLLTWHAFQKIRDLCSGGPEKYAGVLYGLEPGAQVSGYSFFDILREVASFVIDEGSLSG >KVH88264 pep supercontig:CcrdV1:scaffold_1276:23334:24047:1 gene:Ccrd_024293 transcript:KVH88264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MPPTPAQPHLNGAYYGPSIPPKTKSYHSPGRGSSCNPLTCCCSCICGCIFNLICQILITIAVFLAIIGLIFWFIFRPNVPKFHVADATLTRFTLSPTNNTLYYNLAVNMTFRNPNRRLGIYYDKIEANALYHGQRFSTAEVDGFFLGHKKENNLSAVFKGEQLVFLGGGEKSKYDSEKGDDVYNIDLKLRLKIRFKVWWAKTPKFKPKFECDLKVPLTTKGKVSSAKFERTKCDFDW >KVH99432 pep supercontig:CcrdV1:scaffold_1278:103512:116665:1 gene:Ccrd_022335 transcript:KVH99432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase, eukaryota MAKDGERGSNEPSSVPAYDEAMDALSSLISQKSRADLRNSGLNFELLFEYVKILDLEESISRMKIIHVAGTKGKGSTCTFTEAILRNCGFRTGLFTSPHLIDIRERFRLDGDDIPMPTLFRFLALLAFKIFAAEQVDVAIMEVGLGGKFDATNVVQTPVVCGIASLGYDHMEILGNTLGAIAGEKAGIFKKGVPAFTVPQPEEAMQVLKEKASQLDVPLQVANPLDSKLLNGLHLGLVGDHQYVNAGLAIKLCSTWLQRTGHLEADFMDQTSSLPRQFINGLTTATLQGRAQIIPDPSFDIESPGDLVFYLDGAHSPESMEVCANWFSLAIKDDRQQSCSSNQEHDNSRTSNELAPLNPGKTSGKDSAQILLFNCMSVRDPQLLFPRLVKTCVGHGINFNKALFVPNMSLYTKVGSSTSLPPTDSVVDLSWQLTLQRVWENIICGERGYNNNADLVSVENKDHTETIVKSCENSMVFSSLPLAIKWLRDAAKHNKSVRLQVLVTGSLHMVGDVIKLLRK >KVH99434 pep supercontig:CcrdV1:scaffold_1278:45298:56747:1 gene:Ccrd_022333 transcript:KVH99434 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase-like protein MNTNMAASMANLQTLRPSSLPPPPSRFSIHDRFCTTSISKIRINSNPTTTSSSNKSIRFPVISCCVDSTTESSTISSPPDETSTPHKDLPPLQKKRQMYRRLLPGEAEGITGEMRFVAMDLRNRKLKVKNENLDRRGKSDMEDAGSGNSDGEIDGSDDDXGGTNNQNSDKEIEMWDPDLVGFVRYLVDSEFLYSSIERLVDESQDVSFAYFRNTGLERAESFRKDIEVFRQQNVPIPMPHPPSKKYVQYLEELAMESPPSFFCHFYSIYFAHITGGQVIVKKVRGRELEICKWPGDPEVLLKAMREKLNALGQHWRREVKSKCLRESAKSYGFMGSIIRLIIVK >KVH99431 pep supercontig:CcrdV1:scaffold_1278:58292:67366:-1 gene:Ccrd_022334 transcript:KVH99431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKEHGGLLDTINMEKVRIILTHTYPYPHEHSRHAIIAVVIGCLFFISSDNMHTLIQKLDNNIKWWSMYACLLGFFYFFSSPFVGKTIKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYISSIFVLLVFHLIFLGLWYVGLVSRVAGRRPEILTIIQNCAVLSVACCVFYSHCGNHALNEKRLGRRDSSLFSLWKKGETSIWLSKFLKMYELKDEICKSWFAPVGSAGDYPLLSKWVIYGEFSCSGPCESSDEISPMYSLWATFIGLYMANYVVERSTGYPNPSAFTYEKRLFRPFEYALQPPSWYKDVHIAVDKPELPSVQILRRVDKGKGKNVTHLVKDYLKGRCKLRMAGDLHHYLRHSRVPSENPDSVQHLLVNGCGGAFLHPTHVFSNFDKAYGTNYEIKAAYPSFEDSSRIALGNILKFRKKNWQFDIIGGFIYFILTFSMFPQVITRYMNGTDGWKVSIFRTPQEWKLDPDWDNEVKQPQQASHHRKFPSKWKANAFHQDPVNTVRVVDHFVIQPTDKLQVAAVNGSMS >KVH99435 pep supercontig:CcrdV1:scaffold_1278:10471:18694:1 gene:Ccrd_022331 transcript:KVH99435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAELNYNYSNNGRAGTPKWCSYKRITVIICSINIAVALYVFHNLYTSLYSYPYQDSHNAVSYTPDQIRNMEESVRIRTESEPVKLIEMVKKIKKHFNREPVVGLPHPLKQKLSDELREVLRVLNASANTTLQHEAIQGWRMQKLKEAKRLRRRKTSNSTIQPEEAGLSIFNDKHIEYLQKHWSLIGPTY >KVH99433 pep supercontig:CcrdV1:scaffold_1278:31150:31605:1 gene:Ccrd_022332 transcript:KVH99433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSFCIHS >KVH89779 pep supercontig:CcrdV1:scaffold_1279:18561:23575:-1 gene:Ccrd_008224 transcript:KVH89779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyltetrahydrofolate deformylase MSLKYASKISTGSVDGTGRSEIGCAIAIVLERETRERERAMGVLRRISSRTLLFPRVLESANRSLNTLPVPSTPLSNGIHVLYCPDSVGIVAKISECIASRGGNILEADIFVPQNKNDHSSYLLYRGSGIHCQGAVALKSEFVFDPTKWPRAQMDEDVLNLSKKFNANRSVVRVPSLDPKYKIAVLASKQDHCLVDLLHAWQDGKLPVDISSIISNHDRLPNSHVIRFLERHNIPYHYLSTTKENKREDEILHLVQDTDFLVLARYMQVLSRSFLNRYKKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTEELDEGPIIEQMVERVSHKDNLLSFVQKSENLEKQCLLKAIKSYCELRVLPYEENRTVANGTQSFEGAVYTHHLPSDVMEYPVSCSPSSLF >KVH89783 pep supercontig:CcrdV1:scaffold_1279:16174:17725:1 gene:Ccrd_008223 transcript:KVH89783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MVKLAFGDFGDSFSVGSLKSYLAEFIATLLFVFAGVGSAIAYGKLTADAALDPAGLVAIAVAHAFALFVGVSMAANISGGHLNPAVTFGLAIGGNITILTGLFYWIAQLLGAIVASFLLQFVTGGLAVPTHGVADGMNAIQGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFSENWIYWVGPLIGGGLAGLIYGDVFIGSYAPLPASEDYA >KVH89778 pep supercontig:CcrdV1:scaffold_1279:67225:98124:-1 gene:Ccrd_008228 transcript:KVH89778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLPNRPNPTGIRRRLCHCSAYNPTGHGGRKQTRQNYELCIGFQFRSEEEMEGVDYLSDERNKAQFDVDAMKVAWAGSREAFEVSDRIAKLVANDPAFEKFSRPMLGRKELFKSTLRKAAHAWKLIIDLRLSEEEATLLRHYIDEPAYTDLQWEMFIPAIEGQGTEEQKRKWLPLARKMQVIGCYAQTELGHGSNVQGLETTATFDPQSDAFVINSPTLTSSKWWPGGMGKVSTHGIVYARLILDGQFHGVHGFIVQLRNLENHSPVPGITVGDIGLKFGNGAYNTMDNGVLRFDHVRIPRNQMLMRVSQVTKEGKFVQSDVPRQLIYGTMVYVRQKIVANAFKALSRAVCIATRYSAVRRQFGSRNGGPETQVIDYKTQQSRLFPLLASAYAFRFVSEWLKWLYTDDGIEECRKLCGGHGYLVSSGLPELLAVYVPACTYEGDNVVMMLQTVSELGYKQPVGTTAYMRRVAALMQSNCTVKTAEDWLNPGAIVEAFESRSARMAVACAQRLTMFENPEEGFAELSADLVEVSLAHCQLIVVSKFIEKLQQQIHGNGVKQSLEVLCYVYALFLLHKHRGDFLATGYLTPKQASLANDQLRILYSKVRPNAIALVDSFNHTDHLLSSILGRYDGNVYPKLYEAAFKDPFNDSDVADGVHQYINTCVVVVFGSHDYDYDYDYDCSLKSAHPSFYLEEMEGVDYLIDERKKAQFDVEAMKVAWAGSRETLEVSNRMAKLVANDPVFEKFTRPMLGRKELFKNTLRKAAHGWKLIVDLRLTEEEAKWLRLYIDEPAYTDLHWGMFIPAIEGQGTEEQKKKWLPLAQKMKIIGCYAQTELGHGSNVQGLETTATFDPQADEFVIHSPTLTSSKVSKMFMRWWPGGLGKASTHALVYARLIVGGEFHGVHGFIVQLRSLEDHSPLPGITVGDIGLKFGNGAYNTMDNGVLRFDHVRIPRNQMLMRQTIVADASKALSRAVCIATRYSAVRRQFGSRNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVKQRLEANDFSTLPEAHACTAGLKSLTTTATADGIEECRKLCGGHGYLVSSGLPELFAVYIPACTYEGDNVVLLLQVARFLVKTVSELGYKQPVGTTAYMGRMAALMQNNCAVRTAEDWLNPGAIVEAFEVRAARMSVACGQRLAKFENPEEGFAELASDLVEASVAHCQLIVILCYVYALFLLHKHQGDFLAIGYLTPKQALLANDQLRTLYSKVRPNAIVLVDSFNYTDHYLGSILGRYDGNVYPKLYDAAWQDPLNDSDVADGFHQYVQPILKQKLHSAKL >KVH89782 pep supercontig:CcrdV1:scaffold_1279:45697:52117:-1 gene:Ccrd_008227 transcript:KVH89782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHSYATDAQTKSADLAATIVAASTPTEIATACASIESFLHKHSPDQSRWFFSLTFPTLICKIFGFDEQKPQNPNGNGWIDVLTSANDTEISGKVLNLLSPTGVLMTSVTAVDRLSLVKYVFPIERLPEWVRLTLQNARECAVLSDLCPLFKGRLKDGVPFQVQLNILEYYLFWFAYYPVCKGSCANRTRVGASTSKRFRLESWACKAIPVFGNSSKPRGSEQKNNDSDNLYIRLLHAYLRFFVPLSDLNSHSPYRSSLLHYSSSYNSSVLENAEFFVHTLIHFWIVDNDFSPLSVKLCKSFGIVLPLRSILAELPPTAGLGEVVNVFVKYLNSSLVPNAVEGCDQVELFKTTAWRAIGSVDLKSKEIFSGMGSVGYWNSCIQRPLYRYILRTFMFCPVETSVKNVSQVFSLWVNYMEPWAVKLDEIPELDVNADTLKRDSVKAVNISQPHEYSSSWQGFVLSNYLFYSSLVMHFIGFAHKFIHTDPEVIVQMVSKVVNVLTSSRELVDLIKNVDTVFHSIPAGSSKSVLNTLYRFVPSIREQLQDWEDGLSETDADGSFLHENWNKDLKLFSTSEDSGQQLLQLLALRAESELQVTSHENLPNNLQLLSSLKARMGFLFGGNIIRSPSIIAEGKQSEKSHHHEIFRPRTAGNRPTTDIRYKGDWMKRPISNDEVAWLANLLVRLSGWLNGILGLNPWENNGHVGPASWSYVEVSGGDTYGAKDTMRLVWSWVMKFMREHGMRVNLRMLASKKVVTVVLIAIAFSALKRMLSNFHLV >KVH89780 pep supercontig:CcrdV1:scaffold_1279:23340:27820:1 gene:Ccrd_008225 transcript:KVH89780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLERGVEGTGRVFKDLLADSRTLGNNKVLDDILRRTPIALSLSLVSLSRTMAMAHPISLLPVPSTDPMKRFLLEVEPPSPVRYLIGSAIMMIGVVLPLGYMMFRNKRVPSSSSFAKQT >KVH89781 pep supercontig:CcrdV1:scaffold_1279:28852:39110:-1 gene:Ccrd_008226 transcript:KVH89781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase MKFRFSQRLPLFAHGTIVNRQRLRPNLFFLRKLHTTTKQAGASINGGGSSSDSKGNPFLVPGATVATILMLGALHARRMYSDKKIVEARESGIELEIQPDVKAKFLSMLPLRSISRFWGSVSSTELPVWLRPYAHRAWARAFHSNLEEAALPLDQYASLKDFFVRTLKEGSRPIDSDPRSLISPVDGTVIRVGELKEGVLIEQVKGFSYSVSSLLGAGSFLPLMAASDIQEDISCQENNLRDESRKSWWRISLASPKLRESSSSRPMKGLFYCVIYLRPGDYHRIHSPVDWNVLLRRHFSGRLYPVNERATRTIKNLYVENERVVLEGRWQEGYIAMAAIGATNIGSIELFIEPALKTNQPRKKLLQSEAPEERVYEPEGTGVMLKKGDEVAVFNMGSTVVLVFQAPALKKQEDEASSSEFKFCVERGDKIRMGEALGRWHDL >KVD98101 pep supercontig:CcrdV1:scaffold_12794:10:515:-1 gene:Ccrd_024306 transcript:KVD98101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMRKPDKQVALKQLRVHVAMFGSWVVAIRVAPYLLHYFSDNNDELVLDFFLIRLIRCES >KVH90163 pep supercontig:CcrdV1:scaffold_128:245901:246995:-1 gene:Ccrd_007840 transcript:KVH90163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase/chloroperoxidase, N-terminal MEEPAPPPPPPSSSTSIFRQVINSDTTVSLTIHNLTQPILPRSFLKLLEISGDGRLFFPILLSLLLSPLPSTSPLLLSLLINFLIGSLLDLLLVGLIKHLVRRPRPVYNKNMFLTFAVDHWSFPSGHASRVCFTASLFYLSSDLIPDIFLQLKSDMLGSDVFESVKRLNMIVIAWATVTSVSRVLLGRHFVFDVAAGAGLGVLNASFVFHFLNCEFFSSILSRKLSCLWLNILIEQVPIEIGSTQGKALAIRWLLAASRKRPFLRFEVNHLYVF >KVH90167 pep supercontig:CcrdV1:scaffold_128:297973:302732:1 gene:Ccrd_007844 transcript:KVH90167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase-like protein MANASGFLTPTMISSSIGINRSGFFNPDAISKRVRCSTTSQGVESFSPPESRVPNPRLVSKGCKLVGCGSAVPQLQISNDDLAKIVDTNDEWISARTGIRNRRILTGNESLTGLAVEAAQKALQMAEVEPDDVDLILLCTSTAEDLFGGAPQIQKALGCKGNPLAFDITAACSGFLLGLVSAS >KVH90170 pep supercontig:CcrdV1:scaffold_128:371936:372744:-1 gene:Ccrd_007849 transcript:KVH90170 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein MSITTSSSTSTSTSTSTSTSTSTSTIPPPSLLDHFPLSQPPADQLCYVHCTRCDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVTMRGLMLPSSPAVNQFHFGHSFFNPSTHGLQVQQLATYSCSDILSFYCFKVGITIKSIQDDQIPNGSSNFLMNQNYANDVSVTSRGGFNDLPKPPVINRHPNRSLYPEIKP >KVH90168 pep supercontig:CcrdV1:scaffold_128:339859:345066:1 gene:Ccrd_007847 transcript:KVH90168 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MDLKSKNASPVLADPGPINKSRLGIHSSMMPCSQSGASFSSSILTAPRKKPGKLDDVRSNGWLDAMHSSSPPRKKIVKDFVVEHASDDIDIVYNSWMLKYPSALNSFDHIINRAKDKKIVIFLDYDGTLSPIVDDPDRAFMSADMHSAVKGVAEYFPTTIISGRSRDKVHELVGIEELYYAGSHGMDIMFPVQDTASIDHMGYVRSTDKQGKEVNLFQPASEFIPMIDKVFKTLVEVTKAITGTKVENHKFCTSVHYRNVDEKSWLAVAQCVHDVLKDYPRLRLTHGRKVLEVRPVIDWDKGRAVEFLLESLGLSNNDDVLPIYIGDDRTDEDAFKVLREGNRGYGILVSSVPKESKAFLSLRDTSEVRDFLKALVKWKEHVDKKN >KVH90151 pep supercontig:CcrdV1:scaffold_128:397033:409734:1 gene:Ccrd_007850 transcript:KVH90151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentapeptide repeat-containing protein MALSSLSSLPIKSLKFSPSHGAFNPNPPSKSLICRFNPQNATSTKDAEPKRWKALVSTALAAAVITFSSDLSALADLNKFEADIRGEFGIGSAAQFGSADLRKAIHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFTGKRTPSLDMCTRKIEKSSSSEGWCRDCFHTRADLSDTLMDRMVLNEANLTNAILVRTVLTRSDLGRAVIDGADFSDAVLDLTQKQSGQIYTWAPAYSTLALGSRHFASMQVEQIPQQELVREQA >KVH90152 pep supercontig:CcrdV1:scaffold_128:41617:42674:1 gene:Ccrd_007830 transcript:KVH90152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIRSSLTFMLGTAFGIYVAQNYDVPNVHKLYKTGVVMAKHYEENYRKPKGRGDD >KVH90155 pep supercontig:CcrdV1:scaffold_128:58872:63720:1 gene:Ccrd_007833 transcript:KVH90155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WAGPSGSVRIAKPLLCTQDCEPIFKLLIVVLHYSFIYQFEESDRKIIMAGRLSHAASQIMGGNGIVGRSVASSLRLRSGMGLPVGKHIVPDRPLPVNDELVWDNGTPFPEPCIDRIAETVGKHLAGYVVDWDFLLLLDCWLPGTTKRLRYHLPLRYFRTTTFEWSLVENPRMIIRYVNLCICLLHLGFELVKE >KVH90148 pep supercontig:CcrdV1:scaffold_128:464242:467545:-1 gene:Ccrd_007854 transcript:KVH90148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MADEAKAKGNATFSAGNYTEAIKHFTDAINIAPTNHVLYSNRSAAYASLKQKYPDAIKHYTESLRRNPKDARVMVFFFPLSLIMDFAVDEICSALHAQNGSFLFV >KVH90171 pep supercontig:CcrdV1:scaffold_128:354493:358338:1 gene:Ccrd_007848 transcript:KVH90171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MAASSSFLYCLLFVYISLFLSFTLAEDPTVSYKFELTYITASPLGVPQQVIAINGKFPGPTINSTTNNNVVVNVRNKLDENVLITWAGIQQKRSSWQDGVLGTNCPIPPKWNWTYNFQVKDQIGSYFYFPSLNFQRASGGFGSFIINPRSVIPTPFDNPAGDITVLIGDWYIRNHTDLRKTLDAGRSLGMPDGVLINGKGPFRYNDTLVPDGIDHEIINVEPGKTYRIRVSNVGVSTSLNFRIQNHNLLLAETEGSYTVQQNYTSLDIHVGQTYSFLITMDQNASSDYYVVASARFVNQSIWQKVTGVAILHYSNSKGKASGPLPDPPQDQYDNTFSMNQARSIRNKPPVTINGKRRATLSGVSFVNPTTPIRLADQYKVKGAYKLDFPTEPLTGPPRMETSVINGTYRGFMEVILQNNETKMHSYHIDGYAFFVVGMGYGEWTNDSRGTYNKWDGIARSTTQVYPGAWTAVLISLDNVGVWNLRTENLDSWYLGQETYIRIVNPEKNNKTELPMPDNALFCGALSRLQTYATRYIFCSSQIHWIFRLVRIGCDDDDGGQLI >KVH90158 pep supercontig:CcrdV1:scaffold_128:125471:131145:1 gene:Ccrd_007836 transcript:KVH90158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MYSNRKLLFTLVSSTYSPRVGHHHQIKPYHHHPQPYKETCPKDTLKLGVCANFLNDLVHLVVGTAPIKDFRLHAALSMASSILRLQSASALTSLPIVFGCKNCPPCSPPSHGPFPPKTPTYPPSTKPPHHGGGPKVSPPKKNPPKVLPPISRPPIVHPPPITRPPIVLPPIVMPPPIIAPPVITPPGIVPPPSSSYPPYTPPGGGTGGGSGGKGGGGSGGGSGGGGKGGGGGGSGGGGKGGGGGGSGGGGKGGGGGGSGGGGGGGGGGGGGGGGGGVMPTCPINALKLGACVDLLGGLVHIGLGNPVENVCCPVLRGLLELEAAVCLCTTIRLRLLNLNIFIPLALQVLVTCGMTPPPERAKRTIRVAILKALYRSSRLARSHAWMMMSYL >KVH90162 pep supercontig:CcrdV1:scaffold_128:250217:261666:-1 gene:Ccrd_007841 transcript:KVH90162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase MSAGENGGSGGCINGIKSALRVIPNFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYKDKDISVIVGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVISEKYILEYGSDCLEMHVGAVESGDRALVVDDLVATGGTLCAAMNLLGSGAVEITREAIVRAC >KVH90160 pep supercontig:CcrdV1:scaffold_128:202169:207068:1 gene:Ccrd_007838 transcript:KVH90160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MALPELQVAKTLPDAWDYKGRPAHRATTGGWISAAMILGVEACERFATLGIAVNLVTYLTRTMHFGNANSANVVTNFLGTSFMLCLLGGFVADTFFGRYLTIAIFAAVQATGVTILAISTAIPSLQPPKCTPNSGDCVAATGIQLMVLYLALYLTALGTGGLKSSVSGFGSDQFDESNKEEKARMTTFFSWFFFFISIGSLGAVTVLVYIQDNMGRRLGYGIVACAIVIGLVIFLLGTKRYRFKKLVGSPLTQIVSVFVAAWRNRHMKIPSDPSLLYSVDDVEITEGVDGKKSKQKLPHSKQFRFLDKAAIKDTERSYESIVVVNKWRLSTLTDVEEVKMVIRMLPIWATTILFWTIYAQMTTFSVSQATTMDRHIGKSFQIPAASLTVFFVGSILLTVPIYDRIIAPIAKRFLKHPQGLSPLQRIGVGLVLSILAMIAAALTEIKRLNVAHSHGLVDDPSTVVPLTVFWLTPQFILVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSLLVTIVHKITGDKHPWIADNLNQGKLYDFYWLLAILSVFNLALFLFCARWYVYKERRLAEQGIELEEDDFTCHA >KVH90165 pep supercontig:CcrdV1:scaffold_128:272202:276286:-1 gene:Ccrd_007842 transcript:KVH90165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF863, plant MSPSLFLLMCSGCPCPHFYNKKVISFLISMEGFLDMNSFQKYPLEESLKQVIIDHDTIFKKQWRLIVFGKVQELHRLYAVQKSMMKRYSKYTFPERHLMGSTQFVLDDSFLLEDQDFFSRLQQKPLDLRLSTGSSSEDDILDGVEVNLSLSIGRSTNKRSRISGNNWKNKIVYPLLLDVIDLEESNETGSNEEAQPVFSLDQSSRNSTDRLKIGPFCRKARSSMNDGMHQEWSSFNQGSHEQDDVKQMRVVDLDLNKDQPNDSSFHSNEPLEPYPSSDSSYGESCNQIKKPIKVSTSIPTSKQPKSQTSAIEVQTEPCCIDLKSLPEPSSDLSEEKEMHEVIEQKDKEDSDCIIRNAALSLISISLQETSTRNQDSETRSGSNEIENNDEKKKKTIPESSIDSYESLVLKLEESSIDEDSATSKAFEINKLDQKENGIKLRRGRRLKDFQKDILPTLSSLTRHEIWEDINILEGVIRSREYKRLQKAKTGKGENWFTPVRNKRSKVNYVSGRCCLRKKKQKLSYAAEKVELL >KVH90159 pep supercontig:CcrdV1:scaffold_128:145985:146428:-1 gene:Ccrd_007837 transcript:KVH90159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSNASVVLFLALHYLFFVMVSGCATYTSLTPKQSPNSNPTATPIPTPTPTPTPTLTRATSCPRDALKLGVCAKLLGGLVNAEVGSPPVKPCCSLIQGLADLEAAACLCTAIKANVLGININVPVSFSLLLGVCGNQVSGSFQCG >KVH90150 pep supercontig:CcrdV1:scaffold_128:444032:452295:-1 gene:Ccrd_007853 transcript:KVH90150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongated TPR repeat-containing domain-containing protein MADEAKAKGNAAFSAGNYTEAIKHFTDAINIAPTNHVLYSNRSAAYASLSQYSEALTDAKKTVELKPDWSKGYSRLGAAHHGLCQYEDAVSAYKQGLEIDPNNETLKSGLADAQSAQSAAASSSRSRAPPNNLFGDAFGPDMWAKLTADPATRLYMQQPDFVKMMQDLQRNPSNLNLYLKDQRVMQALSVLLNIKMQSAGEDMEMPDSPPVERKRPADEPEPVKEKKREPEPEPEPEXMEVSEEEKDIKEKKTQAQKEKEAGNAAYKKKDFETAIGHYSKALELYDGDISFLTNRAAVYLEMGKYDECIKDCDKAVERGRELRSDYKMVARALTRKGTALVKMAKTSKDYEPAIETFQKALTEHRNPDTLKRLNDAERAKKELEQQEYYDPELADKEREKGNEYFKEQKYPDAIKHYTESLRRNPKDARVWVPWTIRLEDQHPTRDGTSGMPN >KVH90153 pep supercontig:CcrdV1:scaffold_128:50812:55352:1 gene:Ccrd_007831 transcript:KVH90153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLFKQEHDLEKRRAEAARIREKYPDRIPVIVEKGERSDVPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNALPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGDH >KVH90156 pep supercontig:CcrdV1:scaffold_128:69805:86565:-1 gene:Ccrd_007834 transcript:KVH90156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MASSALRTTAFLLTLNLLFFTLVSSQTAPAEATCPTSSLKFGVCAGFLNNLLGGIEVGSPPTIPCCNLLLGLVEFEAAACLCQAIKINLLGINLDVPISLNLMFNNCGKEVPSASYYLISQKHLKMASARALGTTAFLLTLNLLFFTLVSATSSSPKATCPRDALKLGVCANLLNDLVHGVVVGAPPKTPCCSLIENLVDLEVAVCLCTAIKANVLGINLNVPVSLSLLVNYCEKDATCPRDALKLGVCANLLNDLVHGVVVGAPPKTPCCSLIENLVDLEVAVCLCTVIKANVLGIKLNVPVSLSLLVNYCGKDVPSGFQCA >KVH90157 pep supercontig:CcrdV1:scaffold_128:98803:107326:-1 gene:Ccrd_007835 transcript:KVH90157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MASSALRTMAFLLALNLLFFTLVSSQTLPAEATCPTTGLKFGVCAGFLSNLLGGIVVGTPPSIPCCNLIFGLVDLEAAACLCKAIKANLLGTNLDASASFNLVYNNCGKEVPSGPSEIRHPSLPRRSVRDGCRIPVDEALLMNDE >KVH90164 pep supercontig:CcrdV1:scaffold_128:280546:288099:1 gene:Ccrd_007843 transcript:KVH90164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like protein FLSSFSSSRQRLLINFCIYTQIFNPQIQYCPSIPMSTAVARDVAVIGAGAAGLAAARQLRREGHSVVVFERESLVGGTWIYTNNTEPDPLGIDPTRPVVHSSLYASLRTNLPREAMSFKEYPFVAKRTGHRDPRRFPGHKEVLEYLKDYASEFGIGELVRFETEVNRVWQRENGKWEVRSRKRGGEEEEHMDEAFDAVVVCNGHYSEPRIAHIPGVDRWPGKQIHSHNYRVPEPFRNQVSTRSINLERVSSTDK >KVH90154 pep supercontig:CcrdV1:scaffold_128:56137:57587:1 gene:Ccrd_007832 transcript:KVH90154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate dehydrogenase/glycoside hydrolase, family 4, C-terminal MQKTASSSTLGPDGLDIAQSFFKPIQGSFPPPPTSRNTKISVVGVGNVGMAIAQTILTQDLADEIALVDINADKLRGEMLDLQHAAAFLPRTKISASVDYSSTLGSDLVIVTAGARQIPGESRLNLMQRNLALFSKMIPPLAAGSPETILLIVSNPVDVLTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDLNAQDVQAYIVGEHGDSSVALWSSISVGGVPILSFLERQQIAYEKQTLEKIHKEVVQGAYEVISLKGYTSWAIGYSVASLARSILRDQRSIHPVSVLAKGFYGIDGGEPFLSLPAQLGRSGVLGVTNVHLTEEESQQLRESAKTILEVQSQLGV >KVH90166 pep supercontig:CcrdV1:scaffold_128:303158:304519:-1 gene:Ccrd_007845 transcript:KVH90166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MAPPRSPPFSTTTAIILLLFLTIFPFPTLQQQSDLDSDCTNRWIHIRHLPPRFNLDILTNCSTTHHPFSDDFCPFISNHGLGTKTHNRSHSWFRTDPSLLELFFHRRMLEYPCLTSDPSTADAIYLPYYASLDSLHYLFGPDYNSSSQHGLHLYKFLRYQDSPEIWLNHQGHDHFLVLAGSAWDVSQPLDNDPPLWGTSFLELPEFFNITALTLESRAYPWQEQSIPYLTSFHPPNLALLDSWIKRVRRSRRSTLMLFAGGGGISSTPTVRRSIRLECDNNSKIENTTQKSNRYSRLCEFVDCSSGICEHDPIKFMKPMLHASFCLQPPGDTPTRRSTFDSILAGCIPVFFEELSAKKQYGWHLPEDQYKEFSVLIPKEDVVFKGVSVLEILKGIPRSVTGRMRDKLIEMIPRIMYRKHGSSLGLRTKKDAFDIAIEGTLQRIKARLDDVADQ >KVH90169 pep supercontig:CcrdV1:scaffold_128:310351:311088:1 gene:Ccrd_007846 transcript:KVH90169 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MSSTTSTSSPNLLSAHVEIIHHHFKSYYHTLDLAAASDVSQILFPTDHRNAMELPFLWLGDLHPYLFTNLLRSFLLQHDDSSSQNEPENDDEDYDYQGHQEQEQEEEEIEVGESCEFLKKQWPLMNAWKIQSRKLTTRIDQIERGLRLMVPALMNRVRKAQAGFIQKVGSGEVSAVVAAAEMEEEMVGIVMDANRMRKDVISEIVSVTSVYQAALFLEGLAQFLVGLRDGKLLQEFKSCKSPINS >KVH90149 pep supercontig:CcrdV1:scaffold_128:443373:443855:1 gene:Ccrd_007852 transcript:KVH90149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAMKQIRGFRIRLKLVKAIKWAIQERQKDSGYCKLKSTNCADKAITKLCKFGRNLKTSVKELWFRKSGSNYNRVGDKTPVPRGHLAVYFGEEEDDAHRVLVPVVYFNHPLFSDLLREAEKVYGFNHRGGIRVPCRISEFENVQTRISAAGGRGGRGGYGL >KVH90161 pep supercontig:CcrdV1:scaffold_128:210745:219307:-1 gene:Ccrd_007839 transcript:KVH90161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MYPLCCISLESSAIGDNSPEPMTALSRSCSVADMRSDPNAVGWPSSGSDCGSGSSSFAGVLYKWTNYGKGWRSRWFILRNGVLSYSKILRPESLTAGDDVRFIGDVSSGRLKRLNSCGSRRNKHQKTVGIVHLKPDLAFLIEFMLNLVGALLVHSALISSFRESRSDSRRFYIFTATKTLHLRTSLKEERVAWIEALASARNLFSLKRLNDKLSVVPTDLSLSTERLKQRLLEEGTNEVLVKDCEQIMLSEFSEIQGQVRVLCEERSSLLDTLRQLEAANIETEASGIPEGEYQLTQHGFSDIQRGKYSVHQYSEWSTTESSDDVEKQELEEASEDEDTYFFDTEDHFQGQSMSPGSMFSDLKNQSNVMDEINKSGQLQFERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYQHGKEGNSLQRLLNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPEKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNIRTKFWGRSIQLDPVGLLTLEFDDGEVFNWSKVTTSIYNLILGKVYCDHHGLMHIRGNREYSCKLRFKEQSILERNPHQVHGFVEDASGKKHATLFGKWDDSMYYIIGEGTSKAKDMSDENLLWRRTMPPVNLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYDMANAEKLRLETRQRMSRKLQDNGWKPRWFKKDGEDGTYRYVGGYWEKREEWNWEDCPNIFGEFSEDIVNTSTIES >KVI03686 pep supercontig:CcrdV1:scaffold_1280:117169:119010:1 gene:Ccrd_018016 transcript:KVI03686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MIMEDGDCDCDGSDMNDHHDHLCCRKLDQDDDLDGNKITKSNESSGNNSMVDQVDQKLEASGRVRQYVRSKMPRLRWTPELHLIFVRAVERLGGQERATPKLVLQLMNMKGLSIAHVKSHLQMYRSKKIDDQGQVINEGDYCAGRNNHHLNSFWQLPMFNPRLFRPDPRNYEPHLISRPWRNNTSATMADHHGLIIGNGAYCTSKKLKNSSMEEQNYTIAHPEYEDEQARLMQEWVVKQALITNTRSTLVGHQPINSQRFDNPIQTKKRKAAADVNGDLDLNLSLSMKVIRQEETAADEEETVDSSLSLSLFPSSPKKEKPVRDPQAQSRFSWLLEDHKGAKNPRLASTLDLTI >KVI03687 pep supercontig:CcrdV1:scaffold_1280:123622:127652:1 gene:Ccrd_018017 transcript:KVI03687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASESHRIARLGLDRNLDDEEEELRLSAQARARLADPSNSEANSKYVVDIFGQTHPPVASEIFDCMNCGRSIVAGRFAPHLEKCMGKGRKARVKATRSSTAAAQNR >KVI03690 pep supercontig:CcrdV1:scaffold_1280:22261:23196:1 gene:Ccrd_018007 transcript:KVI03690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPTQMFAVCDDEEESLMSTRTRSAREIDQNEYDTPLSPCSPLHHGEPNLELSLALCSSSMSSAEQPPPAPPSPPPPPENPPELLMFHPFYIPQPPSPPPPPEPQPEQPPPQQQQPEQPQPQPQPQPSQPRRPRRNPTHAPEPGKPLTIQPPFRWATNRRAQVHSLRYLLARGIDTISGEVQCKRCEQRYEIEYNLKEKFLQIAVYIAKNKKSFCDRAPPKLLNPTLPRCNFCHQENSVKPKIAEKKNSINWLFLLLGQMLGCCTLEHLKYFCKHTGNHRTGAKDRILYLTYLGLCKQVDPSGPFAVFT >KVI03684 pep supercontig:CcrdV1:scaffold_1280:42630:48319:1 gene:Ccrd_018010 transcript:KVI03684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPSLYAFKGMAGRFAPIGVHLALLLIMSGGTLSATGSFRGSVTVPQGLNFVMGDVLGPNGFLSTPTEAFNTEVHVNRFSMDYYDSGEVSQFHTDLSLFDIDGKEVMRKTISVNDPLRYEGVTIYQTDWSISALQILKDDEGPFNLAVAPLTVNGDKKLYGSFLPIGNTGSPNVKGISMLLRDLQSVVLYDSEGKFAGVRRPNSKLPIEIDGTKIEIVDAIGSSGLDMKTDPGVPIVYAGFGALMLTTCISYLSHTQVWALQDGTTVVVGGKTNRAKIEFPEEMNRVLDRVPEIQESSDVICG >KVI03682 pep supercontig:CcrdV1:scaffold_1280:67918:73101:1 gene:Ccrd_018012 transcript:KVI03682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MEFFCNYNRFKLQLAMLFTATLVIHHNFSLCWSLNAEGLALLKFRDGIETDPFGVLSGWNNDGEAADLGPCSWFGVECSDGKVVILNLRDLCLGGTLSPEVGKLTNIKSIILRNNSFYGTIPQEIGDLEELEVLDLGYNNFSGSFPSDLGNNLSLSILLLDNNSLLDDLSPDVNNLKTISEFQVDEDQLTSSHNDASCNSKSSSWKAIQAGDPTERKLLQVSPLPSSSGFPISYISLPPSAISYISASPSPSEVASPSPSPVLPPLPVFPNPPITSLEPETKPALAPSPTNFRGYKSKHHRVLIWSGVVGGSIFVFLSAFGCVFFKRSKVVTVKPWATGLSGQLQKAFTTGVPSLQRPELVTACEDFSNIIGSMLDGTVYKGTLSSGVEIAVTSTAITSAKDWTKNLETQFRNKIEMLSKVNHKNFVNLIGFFEEAEHLDWSMRIRIAMGMAYCLEYLHQLNPPIAHKNLQSSSIHLTEDYAAKISDFSFWDAAKTGPTKAELLETPPSTPQSNVYSFGVILLELITGKIPYSVNNGSLVDWASDFLNSEQPITNIVDPTLTSFKEDAVKELFEVVKSCVLSDPNQRPTMREVTARLKMVTAMAPEGAIPRTSPLWWAELEILSSTESN >KVI03692 pep supercontig:CcrdV1:scaffold_1280:27475:29456:1 gene:Ccrd_018008 transcript:KVI03692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MHSMGKSCRTKLLFGYTHLKTICSSASLCLGLRTCSDHLLPSEFYNCRSLANGFSTEIGTTTISMVSTTDSTRRSRITIAILVGVLLGCIFTFYYPHGLFVFYPPISVSGIAANSNSQMVSGKCESSKRINFLKAEFALSIQINSALQKQIRDLTEKLRLAEEKKHQVPKQVFVFGEQPKAGPFGTVKGLRTNPIVSPNESINSRLATILIRIAFQQELIVTLANSNAKEMLEFWFNNIKRLGISNYLVVALDQEIAKFCQENVFRLGRNHGVSRFKFRILREFLQLGYSVLFSDIDVIYLQNPFMYLRRDSDVESITDGHNNMTAYGYDDVFNEPSMGWARYAHSIRISVYYTGFFYIRATIPSIELLDHVFLRLSQEPKSWDQVVFNEELFYPSYPGCNGLYASKRTLDFLLFMNSKVLFEIVRKSSWLRMMVKPVIVHVNYYRDKLSILKGIKEYY >KVI03688 pep supercontig:CcrdV1:scaffold_1280:90217:98407:1 gene:Ccrd_018014 transcript:KVI03688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILERKGNVQKIIAVAVRILFIVVVRAWFLYGNLKTAVESRKPFECADINYFITQLINFSHVSNYVLDIKILHPNSGYVEAGAIPSLVDMGLNPPATMSQLQQTRSEVCISFIANTHLSEGETKIIKEIGGSKVEILSYLLRRGVASCSQQIVRPIDYAPVRSKVERWRRGTSCILDSKVERWRRGTGCSQQMENREMNERVESVGGVKRDEEARAKPGNVGNMNRTGSERRVSSVSISEARPDLVKPFNLRKAFPNSFSSLTTAVMAIR >KVI03685 pep supercontig:CcrdV1:scaffold_1280:53685:54557:1 gene:Ccrd_018011 transcript:KVI03685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MWPIRIKSTRNHHSPSYNNNDHSPRTPSNPDFNHQSFKDIETLFPHVDLNNAIQEQPSSSSSSPTCSADLKRRSVFHRVHLANRITRAFSIRPKPSPEEILTEPNQIAAAEKSEKLEKPENSIKSQPRIPIPGAEKRVVIYVTSLRVVRSTFEACRTVRSIFQGFRVPIDERDLTMDASFFDEIRKIMAQIGQGEPRSSRVDLPRVFIGGRYIGGADEVVELHEIGELKKLVEGLPAATPGVCNFCGGFRFILCLECNGSHKCHIEDGGFRTCTECNENGLIRCPSCLST >KVI03689 pep supercontig:CcrdV1:scaffold_1280:97532:100513:-1 gene:Ccrd_018015 transcript:KVI03689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINGLASFMNCVSVNRNPNRIISQLYGSFSVNSCYRVSITNKTPMYGVGKLREFRFFKAVELDKVITSDDEDEMSEGFFEAIEELERMTREPSDVLEAMNSKLSARELQLVLVYFSQEGRDSWCALEVFEWLKKENKVDEETMELMVSLMCGWVKKLIEGKHETEDVIDLLVDMECVGLKPNFSMIEKVISLYWEMGEKEKGVLFVKEVLKRGIGYEDGDNQSRKGGPTGYLAWKMMEDGNYKDAIKLVIDIKESGLQPEVYSYLIAMTAVVKELNEFGKALRKLKGFTKSGLASDIDTEDTRLIQDYQSNLLADGIRFSNWVIEEGGPSFHGVVYERLLAMYICAGRGLEAEGQLWKMKLVGKEPDGNLYDIVLAICASQKEVNAISRLLTRMEVTSLLNKKKTLTWLLRGYIKGCHFEDAAETVNKMLDLGLFPEFLDRAAVLQGLRKRMNEMGNVETYFKLCKRLSDAGLTGPCLIYMYIKKYKLWVIKML >KVI03683 pep supercontig:CcrdV1:scaffold_1280:71723:78790:-1 gene:Ccrd_018013 transcript:KVI03683 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MSIPNDGFDGCSSSTPRSSKIVHLIRQFTTTMKSGGLKLKDRRKKPTQVHALTINSILSASSSTNSDESTILPFVNAKSVLPYGLPXTHLIEPPLDPHLNPVDFVDSISELYRIIIRSSSEPETCCLYLEQYALLCAVGDPKLLRRSLQSAREHAVDTHSKVVLSAWLRYERREDELVGVSSMDCIAKVLECPKSALVDNVFDPSRSVFDRCQCVRHNASEDVKVTVSLNNECLLDDDDDNDNDNDDEIVRFCIGDEVISCIRPKIASLSSPLNTLLYGNFVESAKENIDFSRIGVSGEAMRAVEVFSRTKNLLDSASPSIVLEILSFAQKFCCEEMKSACEVYLASLVSNVDDALIFIEYGFEDRASLLVASCLQVLLRELPSSLNNPKVLSLFCSFEAIEKLGMVNHASFLLYYFLSQVAMEEKMTSMNAVILLERLIGLSTEKWQKTIAFHQLGVLLFEREEYKDAQTCFEAAFEMGHVYSATGVARAMYKQGKTYSAREMINKIISECKPKGWMYQERSLYIIGSKKMLDLEEATKLDPTLAYPYKHRAVSMVAENSINGAVQEINKIIRFKVSSDCLELRAWFYLALEDYQAAVRDIRALLSLDPYYMMFHGRMRGDYLVEILSQRVEQWTPADCWLQLYDRWSCIDDIGSLAIIHQMLAHDPGKSLLRFRQSLLLLSLRLARNLSTTKHERLVYEGWILYDTGYREEALSKAKESISIQRSFEAYFLKAYTLADASLDSDSSFVIQLLNDALKCPSDGLRKGQALNNLGSIYVDCGKHDLAADCYVNALDIKHTRAHQGLARVYSLKDERKAAYDEMTKLIEKAENSASAYEKRSEYCDRDNATSDLCMATQLDPLRTYPYRYRAAVLMDEQKESEAVEELTKAIAFKPELQMLHLRAAFYESMSDYTLALRDCEAALCLDPNHKETLELYNRTQKQAAEYT >KVI03691 pep supercontig:CcrdV1:scaffold_1280:38978:41306:1 gene:Ccrd_018009 transcript:KVI03691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin/nitric oxide synthase MGKGGGCFPSKNRVPAVVESDPDPTPNPVSDDDGVSIPIETVNQMIEFRKLRIFIVFYSMYGHVESLARNIKKGVEEVDGVEGVLYRVSETLSPETLSAMKVPPKGDDIPEISAEELVEADGLLFGFPTRYGSMSAQMKAFFDSTGSLWREQKLAGVPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPIGYTFGAGMFKMDSIRGGSPYGAGVFSGDGTREPSERELELAQHQGRYMALIVKRFQPDSQH >KVD98100 pep supercontig:CcrdV1:scaffold_12802:5:1360:-1 gene:Ccrd_024307 transcript:KVD98100 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 PGPYPLPIIGSIFKLGDKPHHSLAAFSKTYGPLMSLKLGNTTMIVVSSREIAQEFFLKHDFSFSSRFIPYAANALDRHKSSMVWLPVGDQWRLLRRISKEHLFSVRQLDASQVLRKKKVQQFLDHVHDCCNSSRAVNIGQTATTTTLNVLSNFIFSIDLXEYDSVSSQDFKDLVWCLMEVGGTSNLADFFPVLRPLDPQGLLRKANLYSSKLIAIFERHISKRLQERRNSSYDAPPSCKDLMDLLLDISENEKASINVNDIRDLIFDLFIAGTDTTSSTLEWAMAELIBNPEKMSKAXSELEQVIGKEDRTFQESDIPRLPYLQAIVKETLRLHPAVPFLIPHKAITDXDIRGYVIPKDAQIICNLWAMGQDSNVWSNAQQFEPERFLEVSIDYKGRDFELIPFGAGRRMCPGLPLAHRMLHL >KVD98099 pep supercontig:CcrdV1:scaffold_12809:1:1357:1 gene:Ccrd_024308 transcript:KVD98099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAIYIGEKIFIKNFGFYVDCIQNRDFFLHYADSDLLKDVTRYSRQELEVACEDFSNIIGSSSDSVVYKGTMKGGPEIAVISLCNQEEHWTAYLELYFQKEVADLARLNHENIGKLMGYCVEATPLTRMLVFDYASNGTLSEHLHCEEGCQLSWTRRMMIVIGLAKGLKYLHTEIEPPFTISELNSSAIYLTEDFLPKLVDFESWKTILTRSGNNFRSIGSEGAAMCVQPSSLEERHLDVEGNIYAFGVLLLEIVSGRPPVCKDKGVLVDWAKDYLEEPEKMALIVDPALKHFRDEDLEAIREVVAICIHLRPRDKVNMEE >KVI11735 pep supercontig:CcrdV1:scaffold_1281:92170:95614:-1 gene:Ccrd_009847 transcript:KVI11735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MSAWSWDFVIFVLISVQCSLTLSDSDPIAQQKLDQVKDLPGQNFNVEFEHYAGYVTVNQESGRALFYWLTEAVEDPASKPLVLWLNGGPGCSSIAYGMAEELGPFHVQKDGKSLYLNPYSWNNGGMANLLFLDSPVGVGYSYSNTTSDIYNNGDKRTAADSLEFLLNWIERFPEYKGRDFYITGESYAGHYVPQLSQAIVRHNKANVESPINLKGYMVGNALIDDYSDHIGRYEYMWASGLISDQTYKKLNKVCSNESFFNPAQQCVEITDIAYQEMGNIDFYSIFTPTCTTNGITKRLMRRWHKVQQSYDPCTQQHSLVYFNSPEVQNALHVYQSNNTSRRWEICSDAVEMYWKDSPTSMLDLYHELISSGLRIWVYSGDTDGVIPVTSTHYSIDALNLTTINPWHAWYEDGQVAGRTQTYEGLTYVTVRGAGHEVPLHKPKQALTLIKSFLAGTSMAPSEQVIKSKTSASEKLQTFII >KVI11739 pep supercontig:CcrdV1:scaffold_1281:24018:24281:1 gene:Ccrd_009853 transcript:KVI11739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRTRKIEGKLELKQKVVATGVKKKEKQAYLVEESAGKLIAVLMWVEIRLIIEHLPLIGGLMLVIALLGTRHDVTANGHLGAVQMR >KVI11734 pep supercontig:CcrdV1:scaffold_1281:72881:80232:-1 gene:Ccrd_009848 transcript:KVI11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MSTWNWVFAIFFLISVLCSVTLSDSGPIAQQKLDQVKDLPGQSFNVDFAHYAGYVTVNQESGRALFYWLTEAVQDPASKPLILWLNGGPGCSSVAFGMAEEIGPFHVDKDGKSVYLNPYSWNNVANLLFLDSPVGVGYSYSNTSSDLMSNGDKRTAADSLEFVLNWLERFPEYKGRDFYITGESYAGHYVPQLSQAIVRYNKANAGSPINLKGYMVGNALTDDYSDHVGLFQFMWAAGMISDQTYKKLNDVCNKESFIHPSSECDHMTDIAYQEMGNIDPYSIFTPPCSTNGITKRLLRRWHNVGQIGQSYDPCTEQHSLVYFNLPEVQNALHVYQSNSKSRWETCSNVVGMYWKDSPISVLDVHQELISSGLRVWIFSGDTDAVIPVTSTRYSIDALNLTTISPWRAWYDDGQVGGWTQKYEGLTFVTVRGAGHEVPLHKPKQALTLVKSFLAGISMAPFEQVIEPRASALEEVSGF >KVI11738 pep supercontig:CcrdV1:scaffold_1281:19977:40985:-1 gene:Ccrd_009852 transcript:KVI11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MWQIEMEAILLQDACELALDGEEKKREEMMGQQLELRITRQEKKVKSTFQLDNSRTRRVRNGSGAVERVYNSVSSSIPSSEKKRRSIRFVGLIEVETERGARKGRNPNFDLTRRITVVSGEIDRRRLQSIIVREAMYIRVKRNKTTYFLQCDATEKVLDIKQKLHSLIDQPVNDQRLILAATGETLLAIGKMDEMCLQLQHPATTTKYQAWASV >KVI11733 pep supercontig:CcrdV1:scaffold_1281:72980:74365:1 gene:Ccrd_009849 transcript:KVI11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1308 MDVYQERDNFGTLGATVEEAKKRCEAVMDAVEALHLSLSCKRTLLRLIQSELSFLSRFSSTDFTPNSEPSICVNIGHLEAVVHILKHPNISGVPRVCKTILVPPSTQSGIHSTTSKGAHVDIVCTFDGSPVWFIVSDRNPKYVSWYGQQESSKKKGLRARVQLLLEVAHYSVTLKPTSLIFFFSNGLDGFTRQKFHSEFGAIDLGSKFSNFDFNFSEELGGEWIDILARSYHHASVLEIKVDSPRDVNPHVPKESLVAYSSVKASDVNLGGSFNTLLSQMISPYNGKLDGILINFDTTALIAIVSGISNGNTEKLLATPEDELKTRFKGNYEFVIGQVMSEIRNPIHMDIAKSISGRKAIICESVSSEFKELVSMCGGSNEKLRAGELLKHVVVVPDSPSTRMTSLPTTRKLAMKNKIVFGTGDHWHAPTLTANMGFVRAVLQTGMSLFTFEHRPRALTGD >KVI11737 pep supercontig:CcrdV1:scaffold_1281:36729:46704:1 gene:Ccrd_009851 transcript:KVI11737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNTSSMLTQYDIEEVQEHCNNTFSQQEIVSLYQRFCQLDRNSGGFISADEFLSVPEFAVNPLSQRLFRMVDGLNFKEFVAFLSAFSSRATLQHKVEFIFKVYDSDGNGKVAFSDLLDVLRDLTGQFISEQQRELVLTHVLEEAGYKKDSLLVPSDFMKILGNTELKMEVEVPVD >KVI11736 pep supercontig:CcrdV1:scaffold_1281:52731:59778:1 gene:Ccrd_009850 transcript:KVI11736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MTENNRDSKMAVETNTALKEALCAQQRLLQKLYNELDVEREASATAASEALAMILRLQGEKASIKMEAEQYKRLAEEKMYHAEESMEVFEDFIRQKEMEIASLDYQVQAYRYKLSCLGIEDIGAKEIKYPENLLQLNETSVGESNPEGLLRRNSAPPKLLKLAYVKRNRSMSPDSDMIPKIVEESGQEEGSHQNLDSEKQMDGSLSVDINSYWDQIRKLDKIVEEMAGEQFLSLRDRSSRSSSVFSQLNELDQAQILKKSADDEMLSDTCSLSVHDVFEVPETNGNLASHEQVKDNGISILKNEKEVGKLVTFPEEAMKSCNNAETGLCEKGLLLKHKDKQAFFPRDGINISCHMAVDLPAMDVADAQGSSEQVNTTEITEGEREDVRSQEQVSTTGREEVMKMLYEINEKLDSIQSEIRSKNTKTKESSPKYDLPMLQLTEVFGLAVALNSKFNSVVVGFVALIASDLKYLLFRNSLSTVPSFHVTFFFLGLSVKVRVVVCGGAGFSRVMVVAVVVVDDVPDCLAGLAIFAFSKILGLDVGTIS >KVI11740 pep supercontig:CcrdV1:scaffold_1281:3410:6293:1 gene:Ccrd_009854 transcript:KVI11740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MFLQTRGCQAVKFHFLSMEAATKTPASLSLPWKTRILLWVLSAVTEAARRKDGTVNRRLIKFFDYRSPPTSKPRNGVKTYDVVVDPARKLWFRVFLPIQHAVEDLPVIVFFHGGGFVFLSPDMKFYDDVCRRFARKLPAIIVSVDYRLAPEHPYPAQHDDCFDVLKFLDDDQENGSKSLPENVNISRCFLVGDSAGGNLAHHVAQRACEFNFHRLKVIGVVAIQPFFGGMERTDSEIELEGTPLVSLKRTDWYWKSFMPAGEGYDRGHPIINVSGPKAVDISNMDIPATMVVVGGFDALKDWQRRYYEWVKNSGKEIASAFGSSKMMRHPTP >KVD98098 pep supercontig:CcrdV1:scaffold_12822:174:615:-1 gene:Ccrd_024309 transcript:KVD98098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MILMAYLSYILAEVFGLSGILTVFFCGIVMSHYAWHNVTMNSQVTTKHAFATMSFIAEIFIFMYVGMDSLDMGKWRFVND >KVI10923 pep supercontig:CcrdV1:scaffold_1284:42366:43295:1 gene:Ccrd_010675 transcript:KVI10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSDVTNGYLETRLDWIQGMNKDIKLRDFPSFIRTTDINDTMLNYLMTESEALPKGSAVVINTFDALEQDSLTPLIAKNPRTFSIGPLHLMQQHIHDDQLNPIGSNLWKEDTSCITWLDTKDPGSVIYVNFGSITIMTKEQLIEFGWGLANSKKDFLWITRPDIVGGDEAMMPPEFVDETKGRGMVTSWCPQEQVMKHPAIGGFLTHCGWNSTIESISNGIPLICWPFFAEQQTNCRYSCVEWGIGMEINTDVKREEVEVQVREIMDGEKGKMMKNKSLEWKKKAEEDVAIGGSSYINFHKLITDVLLRN >KVI10924 pep supercontig:CcrdV1:scaffold_1284:109854:125110:1 gene:Ccrd_010673 transcript:KVI10924 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MSEDIKKKIHDEDGRGKGKVNSRTTQQNGPYHRSKKEDEEIGLWGILLFGLIGATATTLAVGHMRQTVDWFYSQITRSQSWKGATGRTFRSSFQEESWKRYNRRMQEEYEEEMERVERIRRMQSVFNREKNKYRKGYESWRENNASGYHQQFQRDDWYWKTDASQGSRSNFREPPRSPANYSLSHHYAVLGLSRSTTKPYTDDEIKTAFRSKAKQYHPDQNQENKEVAEARFKEVMTSYEAIKSERKRMK >KVI10922 pep supercontig:CcrdV1:scaffold_1284:131099:134686:-1 gene:Ccrd_010672 transcript:KVI10922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MADQFVIGSVFASVVGFILIYSFFVVKSVRKVLPADAGNSNGKSLSVTTRTICINGECRSETDADVIIVGAGVAGAALAHTLGKDGRRVRVIERDLTEPDRIVGELLQPGGYLKLMELGLEDCVEEIDAQRVFGYALFKDGKNTRLSYPLEKFHADVAGRSFHNGRFIQRMREKASLLPNVQLQQGTVLSLLEDNGTIKGVMYKTKTGEVMKAYAPLTIVCDGCFSNLRGSLCNPQVDVPSCFVGLILEKCQLPYENHGHVVLADPSPILFYRISSTEIRCLVDVPGQKVPSISNGDLSKYLKTVVAPQPVASTINTLAGALYKVFCASADEARQEMREACFDYLSLGGVFSNGPVSLLSGLNPRPLSLVVHFFAVAIYGVGRLLLPFPSPKRMWMGAQLISGASGIIFPIIKAEGVRQMFFPATVPAYHRAPKTTGSNH >KVI10921 pep supercontig:CcrdV1:scaffold_1284:99633:101244:1 gene:Ccrd_010674 transcript:KVI10921 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MVLTAKMKKPHAVCIPYPAQGHINPMMLLAKLLHFRGFHISFVNTHYNHXRLLRSRGPSSIDGLPDFCFYSIPDGLPPSDAEATQSLPALCESISKHSLEPFCELVGRLNGAEVPPVSCIISDGCMSFTLEAAESDIKLRDFPSLIRTTDIDDILLNYLITESDALPRGSAVVINTFDALEHDIIKPLTVLNPRTFTIGPLHLMQQHVHDDRLNHIGSNLWKEDTSCISWLDTKDPGSVVYVNFGSVTIMTKEQLIEFGWGLANSNKGFLWITRPDIVGGDEAMMPPEFVDETKGRGMVTSWCPQEEVMKHPAIGGFLTHCGWNSTIESISHGIPVICWPFFAEQPTNCRYCCVEWGIGMEIDTNVKRGEVEAQVREMMDGRKGKMLKNKALEWKKKAEEAVAVGG >KVH88254 pep supercontig:CcrdV1:scaffold_1285:45585:54805:1 gene:Ccrd_024310 transcript:KVH88254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKREEEQKENLCLYGFPNEQWEVNLPAEEVPPELPEPALGINFARDGMPEKDWLALVAVHGDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVSGAAKKQVKEKSSVSNHSSSKSKSNSKAKGPEPQPKFPKASVASKDDDXEEGLDEEDEEEHGDTLCGSCGENYASDEFWICCDICEKWFHGSCVKITPARAEHIKQYKCPACTNKRARP >KVH88255 pep supercontig:CcrdV1:scaffold_1285:95092:124379:1 gene:Ccrd_024311 transcript:KVH88255 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC-kinase, C-terminal MEEGVKGDDEVQGSSLTMEKVAAAKQFIENHYKTQMKNIQERKERRWILERKLASSDVPKEEQINLIKDLERKETEFMRLKRNKICVDDFELLTIIGRGAFGEVEHVRAERNLLAEVASHCIVKLYYSFQDTEYLYLIMEYLPGGDMMTLLMREDTLKENVAKFYIAQSVLAIDSIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRTLSTLNENETMVDENIREPMDIDGFPDAESGHRWKSAHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDTMLSPEAKDLICRLLCDVEHRLGTCGPDQIKSHPWFNGIVWDELYEMEAAFKPEVNGELDTQNFMKFDELNPPSSGRTGSGTSRKQQLTPKDLNFVGYTYKNFDAVKALRDNPGELKMDDYTRKPRGGNGSDIEMLTAGGDAAAAAAAMLP >KVH99690 pep supercontig:CcrdV1:scaffold_1286:99679:107425:-1 gene:Ccrd_022074 transcript:KVH99690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTVVFLSATSPEAYTHLSIFSSPLCFLNGASYWKNLLSSNFHRQKVRRKTFSYTLNSSKSLSDLCCIVRDQDPSLHYVLSSENIASFLSTISSVMATTGSLLFSRELGINRSTVYGSQPKGKRYKGHTHIFAGSLSSNTSLQEAWSVHLLTIRESIKPVPSRCNILVCRSLFTPNGGNQIPILKTAATVLTRSYEAFHGRSLVVQLIPAVGIIAFAAWGLGPLMRVCRILFLQKSDNSWNKSKEQQVMTSYIQPLLLWGGAVIICRVLDPVILPSAPSQAVKQRLLNFVRSLSTVLAFAYCLSSLIQQTQKFFAEKKDPGDARTMGYEFAGKAVYSAVWVASVSLFMELLGFSTQKWVTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVLNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQKLHRRVFLDNVDPENQALLEAILLDLLRVISHHRARLATPIRTVQRIYRDADIDDVPLSDIFTRNRAAANRPFLLIEPSYKINGDDKTNPSSRPVSNPEEKDAKVITTSDPTLDPSPKPTSELKTSNVGPTTSVRNNSAANPDGETSSSSSSPSPSSSSNTKQEGEKPVTPRSSALDDNIVLGVALEGSKRMLPIEDEMGPTSSPTPSEAKEMASCLNGSGGPTATSGKDQKDDQASLGPGTTSSEQEKR >KVH99692 pep supercontig:CcrdV1:scaffold_1286:24716:25696:1 gene:Ccrd_022070 transcript:KVH99692 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MQTTGKAEAERLLAIADKLLQGKDLTGARDFAQLAQETEPLLEGSDQILAVVDVLVAGDKRIKNQNDWYAILQLESQRNDDADLIKRQYRRLALLLHPDKNKYAFADAAFKLVADAWAVLSDPSRKTAYDNELFAFSKVDLVAMKKERDKGDGNRSISRDKIPVRRADGGASANIWTACPYCYNLYEYPRVYEGCCLRCANCQRAFQVVLIPPASLPPTVPGKEAYYCCWGNFPMGFAMANSEITKSATMPNWMPPMFPTNGTAWPSASSLPNGSSVPLAGTGGDSLGETPPQVQQQQSVQMKPAPPTDGSIVPKKRGRPRKNPLA >KVH99694 pep supercontig:CcrdV1:scaffold_1286:51786:87623:1 gene:Ccrd_022072 transcript:KVH99694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASGARLFSMTALFNIKMVAHNESVKKNLPSLSWWSKKKQSNTYGDENIGFRGTAPTLGNFSPTYVAIVTSLIIRKEDSDAPEPALRIMSKVENSGDAVDQYTFLKTKQLPQSDSETLEPNTMLDSVDVVSVAEELTQSHPELRTDLSEPDVNAPPEAEVLEATVSASPRKSKLNSSELVEAVNVDPHEPRRLYQIPLSTCSSIAEDDGVFCQLSSDHCTGELEVVSTDGVFALVSSIDNEDLITVVLYPDHMVYCDSYCTDCVLTFTSSCIKIEGLTLDGDDKTFKSQWEVQDILHIKSHWYELVQMAIVSIHVLTGDTIQAENVECTSGTELKFAVIGTNWYGRQEAITCLNALYKSLWSSMLESEDTVYEYTQASFTKYFPNFDQPFEEIIYPKGDVDAVSVSKRDVDLLQPDTFVNDTIIDFYIKYLKNKIKPEERHRFHFFNSFFFRKLADLDKDPLDAFEGKEAFQRVRKWTRKVNLFQKDYVFIPVNYNYHWSLIVMCHLGEVATYKDEDVTKLIKVSCVLHMDSLRGTHTGLKDLMQSYLREEWKGRVKEASEDISSRFDNLRFISLELPQQPNSFDCGLFLLHYVELFLDQAPTNFNPFKITKSVNFLNEEWFPPADASLKRVVIQRLIYDLLEKPSHEASSMAANEEYCNLSSPTTSIRKGTAVNFFSETCNPSTDCQSPQVDHGVEISLLPSLSLTTEPCHMDASVSLKAAFEPGSFLAMNFPSFNETTFEGYKNSLVPPIEEDVETAYSPTEVDLELGNGITPEVPSSSRDCKSSESWQKNNNFKTWNPDSLEVINGNDQIDAELRINEIGDQPRSPLLEMVDDGDNADYLALSSRCSEMEANGSNGLEADLQHHTKRMRTVEPESRVEGDD >KVH99693 pep supercontig:CcrdV1:scaffold_1286:90519:96994:1 gene:Ccrd_022073 transcript:KVH99693 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MKLQYLQTQAQRAAFAGIFVILLPNFFPNLFDPLGRAYPSMFSEWNAPSPKHVRLLEGAVHQQISEKQQTDIWSPLAYQGWKPCLDSARFSFAVAKILNATLVIPHLDVNPVWQDSSSFTDIFDVDHFIEVLHDEVSIVKELPSKYAWSTREYYATGIRATRIKTAPVHASAKWYLENVLPMLNSHGIAAIAPFSHRLAFDNLPKEIQLLRCKVNFQALSFVPHIRMLGDTLVQRLRSPPNAKIATKDEQLHEKMEDKLGSGKFIVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVYGGEARISTLRKLFPLMEDKKSLASDKERAEVEGKASLLAAVDYYVTRVSSIQEHEDYKTEHGAFEPALS >KVH99691 pep supercontig:CcrdV1:scaffold_1286:25814:47233:1 gene:Ccrd_022071 transcript:KVH99691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MKKIRHIRLKGSSMAREKIKIRKIDNITARQVTFSKRRRGLLKKAEELAVLCDADVALVIFSATGKLFEYASSSDDSRMGKEVVDRNRELSRLRGEDLNGLTLEELQRLENLLEGGLNRVLQTKDERIANEIANLQQKMMTLISKGKRPRATAAELENSVINLEDQGQSSESVATNVYSCNSGPPPEDDCSDTSLKLALPFN >KVH99688 pep supercontig:CcrdV1:scaffold_1286:15353:16693:-1 gene:Ccrd_022069 transcript:KVH99688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MNTLTLLSLLITVSVSLSNVDHCHGGGDGGGHPSDGGFTVDLIHRDSPLSPLYNPTYGRSERIQNAFLRSVSRASRILKRAYVSAIDANISAITGEYIMRIGIGNPPVEVVGIADTGSDITWAQCQPCKNCYKQGALPFLKPNASSTYHVESCQSKACEALGNDRLACSAENVCKYGLRYGDGSYTNGDLGNDTFWIGSTPLKNVVFGCGHDTNGTFTEEASGIIGLGGGPLSIINQLKDTIQGKFSYCLVSALTKRSNATGKIHFGDHAVVSGPDVVSTPLKRNIPATYYYVVLEHVSVGKIKLPYKVNTTFMKKMGLDTENIIIDSGTTLTIVAQEFHDDLTAALSRVIGDETVPDPQGMFDFCYKDLDLSRVPTITFGFTGADVEFPRENVFIEVQKGVSCLTIVPSVGVGIIGNLLQQNLLVGFDLVNNKVSFRPTDCRNHN >KVH99689 pep supercontig:CcrdV1:scaffold_1286:122112:130811:1 gene:Ccrd_022075 transcript:KVH99689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MESSDGRNGSQSVRVAVNVRPLVTPELLVGCSDCISVTPGEPQFIMVWTEVFLYSFGEFCAKNDEINIGVVVQIGSHSFTFDYVYGSTGFPSSRIFDDCVAPLTGSGKTYTMGTNYNGESSNGIIPKVMQTIFKRVEESKGNTEFLIRVSFIEVIKNEVFKVFAIICKFYTHFLLYSNYFLKYHLTFQIFKEEVFDLLESSSMVYSKTEGNGKPAGPSRAPIQIRETANGGISLAGVTEAEVTSQDEMASFLLRGSVCRATGSTNMNSQSSRSHAIFTISMEQKRIAGITNGDDNGDDILCAKLHLVDLAGSERAKRTGADGMRLREGIHINKGLLALGNVISALGDDKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIVNRDPVTAQMQRMRNQIEQLQAELLYVKGDSSTPFEELQFMPLIHVDAGFKNISLVCQILKQKIAVLETSNGNLQKQLQEQVVSVEHLTKQAIDAQFEKDRLLLKIESARDGKPWDEIDCDSNQDMDLLKTYVSKIQELEGELLRLRRLNTSKRSELIDYLDLDDNVLHPKSGLFPESDSKGAEVVGDSEDEEKELEHCSLQEKLDNELKELDKKLEQKEAEMKRFAGGDTSVLKQHYEKKVQDLEQEKRNLQKEIEQLRCNLANISSTSDDSTQKLKENYLQKLNFLEAQVVELKKKQDAQAQLLRQKQRSDEAAKKLQDEIHRIKTQKVQLQQKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASMATKRLKELLESRKASSRETFGNSSGPGVQALMQAIEHELEVTVRVHEVRCEYERQKEERLKMAKEVSELKDEANAAKRTTLSDCAQTMSPGARNSRIFALENMLATSSSTIVSMASQLSEAEERERAFSGRGRWNQVRSLAEAKNIMNYLFNLASSSRCQLWDKEIDCREKDSEIRGLKEKIVNLIRQVEMQKAELSRLEKFKGLRNSIAYELLEDMDTSESEHSDFEVDPEYSIDSEDEWGNKRKRTSKKTSSNEGDTNVIQKPPSETCCSCSKSSSCKTMKCECRAVRGACSMLCECHPRKCSNREVAVAKESDSRPLVTGEDNVKPNAPKPNAPRKKWRKSVQIQLIPVSSDQPQVAEPEAPKKQESSGTAETSIPLRLPRAMRSAMSNANNAPLRDRNIEQQSVDSTVNKEADHAEAPRRPTANEKENHGV >KVD98097 pep supercontig:CcrdV1:scaffold_12868:439:786:-1 gene:Ccrd_024312 transcript:KVD98097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEKQILFDLQGKYGNKWAKISTYLPGRSVYHVKNVWYNHQTKMARFYKGNTECLKFGSSPDEKKKKERKRKKKKERKRKKNKEKGTAGRKKVQQGGYILVIQETNYDASRAQYSK >KVD98096 pep supercontig:CcrdV1:scaffold_12868:828:1058:-1 gene:Ccrd_024313 transcript:KVD98096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSTIKNFGIEISVKNKDKQKTILEQRIPIEIKKGPWKVEEDELLIKHVEEYGPEIGIFLFQKNIFNAWENHVVFGG >KVH97115 pep supercontig:CcrdV1:scaffold_1287:20662:21969:-1 gene:Ccrd_000786 transcript:KVH97115 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MTMNNIPSAPGKFKMDKSPYIHRFRWQYSSLAKLTFWSFVFLGLIVVFFFKSPSTSPPNSMPSDLSRRSLKTSSWGGPAWEKRVRSSARIRSSNGLSVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERSGIYIVEGDINDVTLLKKLFEVAPFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIIWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKGSAQLRVFNLGNTSPVPVSELVSILERLLKVKAKRKVMKLPRNGDVQFTHANISFAQREFGYKPTTDLQTGLKKFTRWYVGYYGSGKKGDH >KVH97114 pep supercontig:CcrdV1:scaffold_1287:35566:42294:-1 gene:Ccrd_000787 transcript:KVH97114 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase MAVHVTNIAKNLQTANFTPNLTKTHNPASKSSPLSFVSFGSKFKNPLIQISVSSNQNLGRNSLTISASVASTEKPSTPPEIVLQPIKEISGTVHLPGSKSLSNRILLLAALSEGTTVIDNLLNSDDVHYMLGALKTLGLHVDENGATKRAIVEGCGGVFPVGREAKDEIELFLGNAGTAMRPLTAAVTAAGGNSSYILDGVPRMRERPIGDLVTGLKQLGADVDCFLGTNCPPVRVVGSGGLPGGKVKLSGSISSQYLTALLVAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVSVEHSDSWDKFLIRGGQKYNASYFLAGAAITGGTITVEGCGTSSLQGDVKFAEVLGQMGAEVTWTENSVTVKGPPRNSFGRKNLRPVDVNMNKMPDVAMTLAVVALYADAFALGTSQLGATVEEGPDYCVITPPEKLNVTEIDTYDDHRMAMAFSLAACTDVPVTIKDPGCTRKTFPDYFEVLQRFSKH >KVH97116 pep supercontig:CcrdV1:scaffold_1287:13514:14123:1 gene:Ccrd_000785 transcript:KVH97116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNFIDQEQANNDRQTLMPLEFFPHKAILGFEDMVYTREDLAHFILLLSPSSCFTNTIFTVKLGTIHSSGSMYVSSQGFREKMNSMI >KVH97112 pep supercontig:CcrdV1:scaffold_1287:89055:92785:1 gene:Ccrd_000789 transcript:KVH97112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MQNVKTRLLDECTRDGSLDWHGKPAVKRKTGGWKSGMLLLVNEGLATLAFTGVEVNMVLFSKSVLRQSNAESANMFSNWMGSVYLFSLLGAFLSDSYLGRYLTCGLVALSLTTQAFMLQPKGCGRIGELCNAHSPLEIAIFYVSIYLIALGNGGAEPALATFGADQFDEEDPQEKQAKTTFLSYFYVALNLGSLISETLLVYIETMGLYVVAFWISTLCSFIALMSLVSGSFRYRQFKPSGNPISRFSQVIVASVKKIKLQGQNRNVTPCNYSFNPNKAEELHQRRPFLDRASIMTPDDGQNPHPWRLCTVTQVEEVKCVLRLLPIWLCTILSSVVFIQMISLFVEQGAAMDRGISNFHIPPASMTIFDIISTSAFIICYDKLILPLYVKITKKKPNPPSELQRMGIGLAIATVAIITAGVVEHHRLKYASKGPKETSSLSIFWQTPQYVLVGIAEAFTYVAQWEFFAAQVPDKLKSIGLGLSMCSSAMGSYTSSIILSVVMKITSKDGKPGWVPANLNDGHLDRFFYLCAALTAINLVLFVSCAKRYKNIVVERRDEAREIN >KVH97117 pep supercontig:CcrdV1:scaffold_1287:5291:12098:-1 gene:Ccrd_000784 transcript:KVH97117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWGIEVKPGKPYTHSSQNGRTRLRISQATLANGSATLKSLVQCNVGDKQPSRVPSGVFHDEVMFFFLHYDGKRESYGEDIANSETQESNHNSDEDEYEDSFINDDEPEPLTPSPVSSSREDDDGDYLKKKGNGNGGRKRLKKKYMSIESDDETSVPEIKDEDDFPISSICKNNKSQVSEEKTDKETKGELDRVTKNNGHMLKLDGVHSGVLDSKDKVNVHLIDDKAKEKGDQTNMSVPSLAEVVPEGRSKPKKKKQESTKEERATGPSSVDHPSVQVPLTEVKTKKKKKENTKKGIADKADDEGHAGPLEDNMHQSKVESNSINHDVLAAKDDKKPNDMGVDRSFEVLASAEVVPEKNSKLKKKRKGRSEVSTDGTDGNLLAGNKQEDDQQAIDKGSGIDSKQLPNGIQSEEKRVKKKRRKTSKTQEVEENINMEVEKENEPSATQPEGKDKESESCNKKILSNGLVCELEIKEDSSFHRQ >KVH97109 pep supercontig:CcrdV1:scaffold_1287:106229:106735:1 gene:Ccrd_000791 transcript:KVH97109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MNVGFGSIFYPFHMLGVAGVFDDSLFSAMHGSLVTSSLIREXTENESANEGYRFGQEEETYNIVAAHGYFCRLIFQYASFNNSRSLHFFLAVWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHECNAHNFPLDLAAIEAPSTNG >KVH97111 pep supercontig:CcrdV1:scaffold_1287:120764:122372:-1 gene:Ccrd_000793 transcript:KVH97111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MMFKFRRLPSTLFLLFIIVVFFSYLVKSRIFIYYGCSEEKFKPNTPFETNLNSLLSLFVSSSSQGLYNSFAIGNDTDTSSESPIYGLYQCRGDLRTPDCTKCIEGAVSQVGLACPYSYSGSLQLDKCLVRFEHFDFLGKLDTDLRFKKCSTSVSHDMQFLKRRDEVLVELGETNQGFRISSSSSIEGFSQCLGDLSPDDCNSCLGEAITKLKNLCGSSEAGDVFLAQCYMRYWISGYHDSPSESSGGDDVGKTIAIVIGVVAGLTAFIVLISFCRRSPG >KVH97108 pep supercontig:CcrdV1:scaffold_1287:93001:99275:-1 gene:Ccrd_000790 transcript:KVH97108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureohydrolase MMNIGRRGIHYLHTLNSVPKNLIEEGQNRVVEASLTLIRERAKLKGELVRALGGAKASSSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNATTEEGKELNDPRVLTDVGDVPVQELRDCGIDDDRLMNIISESVKLVIEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDVLHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITSEGREQGKRFGVEQYEMRTFSKDRHFLENLKLGDSVKGVYISIDVDCLDPAFAPGVSHIEAGGLSFRDVLNILHNLQADVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >KVH97110 pep supercontig:CcrdV1:scaffold_1287:111166:118539:-1 gene:Ccrd_000792 transcript:KVH97110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MTGVRFLISKSSRLMYNTCLKPNKYVNKVEATVRPCFTCISGDYSTYQSRSKNVDIIPPRLSNLHLRSTFLLLGTPHVLHQRLYSSSAGSRGEDVQASSSSGSEVSDTSGFDGNDLLHKVKEAWHSSVNVASQTGEKAKGALDEVTPHVEQLLDTYPYLRDVIVPVGGTIMGTIAAWAILPRIFRRFHRYSEQGPGSLLPAGSLWAAVPYEKSFWGALEVPVRYLITFMAFSQIGVMVAPTTIASQYIAPAWRGAFILSLVWFLHRWKTNVITRALVIKPVERIDRDKLLTLDKISSVGLFVLGGMALAEACGVAVQSILTVGGIGGVATAFAARDILGNVLSGLSVQLSQPFSIGDTIKAGSVEGQVIEMGLTTTSLLSAEKFPIVVPNSLFSSQAIVNKSRAGWRAMVSKIPVQIDDFNRIPQISEEIKNMMKSNSNVFLEKEQPYCYLSRVERSFAELSLGCNLKQMSKDKLFSAEQDVLLQSVQIIKKHGAELATSSWI >KVH97113 pep supercontig:CcrdV1:scaffold_1287:44672:58933:-1 gene:Ccrd_000788 transcript:KVH97113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gcp-like domain-containing protein MASLAPTLSCTISRLNLLAELSHNHHRTIRFFRTLSSKSRPQKSFYPRRSFKSSFSSANFESTSSICSKALGSNPVFNDNLVVLGIETSCDDTAAAVVRSNGEILSQVVSSQLTIFTPITLWLPSVNQSLIFIILKPERYLPQQGGKAGYYLRGMPKGFMHIEPILRPLLLSTTKGISDLILQDLLARYGGVAPKMAEEAHSQVIDQVVQDALDNANLTEADLSAVAVTIGPGLSLCLRVGVQKARKIAASYQLPIVGVHHMEAHALIARLCERDLQFPFMALLISGGHNLLVLAHDLGHYLQLGTTIDDAIGEAYDKTAKWLGLDLRRSGGAAIEELARRGDAESINLSVPMKQHKDCNFSYAGLKTQVRLAIESKNIDAGIPFSSASIEEQGLRADIAASFQRVAVLHLEERCERAIQWALEIEPSIKHLVVSGGVASNQYVRARLHEVVAKNSLQLVCPPPSLCTDNGVMIAWTGIENFRLGRFDPPPPAIEPEDCQVDLRPRWPLGEEYGRGRSVARSMRTARIHPSLTSLTQASRH >KVD98095 pep supercontig:CcrdV1:scaffold_12875:131:1336:1 gene:Ccrd_024314 transcript:KVD98095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MRDDVEETLNCNNIGMNSQTSSSAIVEAIPRAFESYLVFLPSSLVCLSLADNNLSNESFPMDMSSLPMLKELYLDGNRLVSLPNWVKSLSGIEILSISENYGLESLEHPPPTLKELRFDFFRDGEATFNREMSPVLLKYGVLGVLGRGNFEGILEEKDMRDVEEKVLRALGWSHLVNLDFTKIQPRNNQGVKRRVKMVYEFGIFNTWYVGKEMPNWISDRRWEGSSVISFTIPSSPCNLRGLNFFCVFTSGEFITNQGENITNEGEFLAYISDIRISNITKMCSWIYHPPGRFEGNREGITFSSHWMFGKNEMEDGDZITISLSEDFDSNFCRWECGVSLMYDEDEDEDDGLGYYKSWNHIIGGDLSPFQTTTPGEY >KVD98094 pep supercontig:CcrdV1:scaffold_12890:839:1065:-1 gene:Ccrd_024315 transcript:KVD98094 gene_biotype:protein_coding transcript_biotype:protein_coding description:NO signaling/Golgi transport ligand-binding domain-containing protein MDLFPSFTGKQKVSPLDRPLPKVSVNAFAFLFSELVQYCHSKVYTVPKLQK >KVI09519 pep supercontig:CcrdV1:scaffold_129:244671:249529:-1 gene:Ccrd_012086 transcript:KVI09519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MSQLLKRVREWVFNGWITSGSTSDQTIVCSSSTGEVHRLPLDIFMQILKLLGPKDAARLAAVCKSWKLMVYDNTLWLYFLKNQEDGVSIFFAETKLRYFPLRQYHRRMLSFMHIYGERAQATGAIIIDVGAGYCKIGSSKYDSPSLRLRTWEFGNPRSPLTARVHHFCETVYRRMHVKPSMQPVILTTPIGFYPGPGTEAASSQQLRDAVFSALIRIRVPSETLALFASRRTSGILVNIGYHKTQVFPILHGYTRHEVVSVRVGGSDLTRYLWRQLLQRNVYVPSLKSVSSLKENLCYVALDYEAELQKDTEASYQVGSEGWYTLKQERFQTGEVLFLPHIAGRRFMGLHQAVGHCIECQAKRSTGDHNWYKTIVLAGGTARLPGLAERLDKELHDLLPPNISHGIRVIQSPYGADAAWHGAKMFGNVRSCLLFLFGLTGSLWNQLELLSNLMVLVMIFWRVVSIDSTSKIPRKFMASKFLFWILESTS >KVI09541 pep supercontig:CcrdV1:scaffold_129:349780:352874:-1 gene:Ccrd_012094 transcript:KVI09541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKSRYELLSSSNLTTIMVQESFLARSALGNKAGTLEVPHRSPKTARQLKASGAEPDNSSSQSPANRTPKARSPKVADHRSPRTPTSEKKRPGRVSELETQLANLQEELKKAKDQLSQSESWKKRANEDAEEAKKQLADMTEKLQDSQQQLDEISASEESRVQELRKISQDRDRAWESELEAVQKHHSMDSAALSSAMSEIQKLKIQLEKVAESEAAQAKYAESAHNEMLTLRLELSETLAIVEELKNQLNDSKDSEARALEAVSRTQEQLEIIKSTEETLRSENLKAMEAYHSLTVELENSKAQVNSLEGFVSKLQENPAGDVDGQEELNSVKSEVEKLQGALEGAEKRYQEEYIQSTLQIRSAYELVEQTRSESCQKVAILEANLEKSIAEMEDLRAKLVEKEAKLQNTSEENKKLNEKLEKTELTEKDTEHVMEVKRLDADLVDLKRVMLDKETELKKITEEKERLMMEKNEMKDEAVEAAKIAEREAVTKVEYLTEEADKSSRKVARVTEQLELAQAANAEMEAEMRRLKVQSDQWRKAAEAAAAMLSGGNNGKFVERTGSLDSHTIGGKLNSPFSEDMEDESPKKKNGNMLKKIGVLLKKSQKFVTGAE >KVI09515 pep supercontig:CcrdV1:scaffold_129:212957:216022:-1 gene:Ccrd_012082 transcript:KVI09515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MGVLTYTDEHTSSVSPARTFKASIVDSHNLMPKLLPDATKSINIVKGDGGAGSIKENSHNLMPKLLPEAIKSISFIKGDGGAGSIKEIKFAGRKVIFNPIYFVKHHINELNEKTLTYKYTLIEGEGISDKIEKVSYDIKFEGSPDGGTISKMTTTIYTHGDFELKEKLKAGKEEVLGLYKVVEVYLLKNPDAYI >KVI09544 pep supercontig:CcrdV1:scaffold_129:384512:386568:1 gene:Ccrd_012097 transcript:KVI09544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPHRAGSLLLDSSLSYLGTSWVLLVHALNHANSNSLTHIPHSKTSKRRILCKRLHHHGLGRNHLHHTCITIFQKLWLLLELLTRPSVNFGQQLSKLNGNVRSVAIEHSFKTTSGGVDNENSTISLRGSSDHVLDKIPMSRSINDSAVVLVSLKLPQGNINGNTTFTLSLKLVQHPGILERTFVHLSSFFLKTLNHTLVNTSKLVDQVPETDATKACIALKKI >KVI09535 pep supercontig:CcrdV1:scaffold_129:50239:53433:1 gene:Ccrd_012070 transcript:KVI09535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0153 MSLAMLAAVPCSYAAVEPVTEQRQVSAKSQRKNSTAGFGAKKREPLWQCVKNCGACCKLDKGPNFPSPEEIFDDSSDIQLYKSLVGSDGWCIHFEKSTRTCSIYSDRPYFCRVEADVFETLYGIDKKKFNKEACRLDYNGTGISCIDTIKVVHGSESKELEKFKKAIRS >KVI09522 pep supercontig:CcrdV1:scaffold_129:302912:308933:1 gene:Ccrd_012089 transcript:KVI09522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISDELSFVLPALILDCYLAAIGFISLDCGGQKNHTDELGLEWTPDDQVVYGTTTNISIANETRRQYQTLRYFPADNHKYCYSLNVKTRTRYLIRATFLYGNFDSNNVYPKFDISLGPTHWATIVISDANTIESQELIFLASDPLVTVCLSNSTTGLPFISTLELRQFNGSIYLTPFEDQFFLSVSARINFGAENEDPVRYPDDPFDRIWQSDSVKKANYLVDVAVGTEKVSTKLPIMTGKDELPPQKVMQTAVVGRNGSLTYRMNLDGFPGFGWAFTYFAEIEDLGPKQTRKFRLVIPGQPDLSKSVVNIQENAQGKYRLYEPGFYNISFPFVLSFKFGKTSDSTEGPLVNAIEISRYLKITDGSFDGAVASSLVSVYKSLVWAQEGGDPCLPVPWSWLECNSDPQPKIISVKLSNMNMTGSIPSDLTKLSSLEELHLENNQLSGNLPSSLANLPKLSKLYVQNNLLSGYVPSGLLKKNIVFKSVFLHFPKNMLNLSILKLN >KVI09549 pep supercontig:CcrdV1:scaffold_129:13216:17114:1 gene:Ccrd_012065 transcript:KVI09549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MNSPSAAAGKLHQTLSRNRTMEKKDDTSLHIAIRTGDMGAAFEILSSYVEQKLIELLLKKNQSGETPLYVAAEYGCVDLVREMIKYYDSEAASIKAKNGLDAFHIAARLGNLEVLKVLMETHSELSMTFDQTNTTALHTASEQGQIEVVNFLLEKNSGMASIARSNLKTALHSCARKGHLEVVKALSQKVPGIVGRADKKGQTALHMAVKGQNVEVVKALIEADSGLVNMVDKKDNTALHITARKGRTQIVWALLSHKGTINKEAINKYGETALDTAEKFGQPDIAIILREHGVPKAKSMKHTPPPLTPTARELKQTVSDIKHGVHDQLEHALKTQKRVKGIGKRINKMHLEGLNNAINSTTVVAVLIATVTFAAIFQLPGQYVDDSTHIPAHFSLGEGHIASKPEFIVFLIFDALALFISLAVVVVQTSIVVVEKRAKKQVMAVINKLMWLACVFVSVAFLALSFIVVGNEKWLAVGVTVIGSVTLASTLGTMCYWVIMHRIEASNIRSRRLERSSKSLSGSVSADSDSENDEFKKLYAI >KVI09547 pep supercontig:CcrdV1:scaffold_129:47412:48725:1 gene:Ccrd_012069 transcript:KVI09547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MTKTIMFSLLLFAFFILTPPVTAEIKTLKIKDDNRPMILFEKFGFTHNGFASVAVSSVSVTSGLSQPDPSLLGFFLIAEGSRNQVLMELERKPETCVVDSKFISLLFTFQDLSPPPHSSLNKSFPVNYPDEYYLFFANCNRESLVSMDIRTELYNSEDGTHKDYLSAGKTQLPSLYFIFSIIYMCFVGLWTVECMKNKGSVHRIHLLMGMLLVVKALNLICAAENKHYVKVTGTPHGWDVLFYTFQFLRAVLLFTVIVLIGTGWSFLKPFLQEKEKKVLMIVIPLQVLANIAAIVVGETGPFSRSWVTWNQLFMIVDLICCFAIIFPIVWSIQSLRETSKTDGKAARNLAKLYLFRKFYMVVIGYLYFTRIIVFSLETISSYKFQWVSNGAQEVGSLVFYMMMFYMFRPVEKNEYFLIDDEEEKAAERTLQEEEFEL >KVI09516 pep supercontig:CcrdV1:scaffold_129:203940:216475:1 gene:Ccrd_012081 transcript:KVI09516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKINSFFKSSSPSTVQRETLDLPLSPLEDLSNEVDFTKEPEIPIIYTRRAPNSDRRDDGEWTGKDPKKLDSRRPLVVVKSEKVLNKKRKYAQFHLDLGQSDFLLRTCKTCGFKFAPGDEGDEKAHKEFHKNYTHGIGFKGWRNERVIDMLSLECGRVILVLKDDPPAHSKKVKEVIGMMETELGDGWIFHEQCKVYLFICSQRVAGCLVAESINKAYQLVSNSDEKSDVTTAPEEDRRLTSTTLKFGGVSFQREIVTKNPLAKNERKANDDLLGAIICEKDAVPAVCGIRAIWVTPSNRRKHIATHLLEATRKSFCPGLSLEHRQLAFSQPTNVGKLLASSYTNTKSILVYTTNS >KVI09531 pep supercontig:CcrdV1:scaffold_129:100594:102209:1 gene:Ccrd_012074 transcript:KVI09531 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEISTVFPSSWLLATLLVLSIFLLLYTLKSKSSXVSAPYKLPPNPPKLPIIGNLHHLLGKSRHQALWQLSHEYGPVMLFYIGSKPFLVISSTAMAKQVLKTQDHICCSRTVFQATKRLTYNCLDIAFSPYSNHWKEMRKILASEFLGSKRAKLFNHVLVTEIETMLHSLSSNTEVNLSKVLFEVVKGVICKMAFGNDYKEQPLKGPSLEXMLEETMYTFNGSLGDSFPWLGKIIDQFSGFNGRLENCFSNLNAYIEAIIDEHENHAIAEISDDDKDFVHTILELSSEANASEYQLTKQDMKALVMDVLTGGIDTTVVTLVWAMSEIAASRRVMQKLQNEIRNSTGRIQKVKELDITKMRYLKMVVKETLRLHPPAPLLVPHESISHCQIGGYDVLPKTTVLINGWGMGRDPRTWGENAGEFYPERFENVEVEYGGENFEMIPFGGGRRSCPAINRAPATLEMVIANLLYWFDWKVGDNQSLNMEEEGSLVARKKHPLRLVPMKHKWED >KVI09525 pep supercontig:CcrdV1:scaffold_129:439849:444863:1 gene:Ccrd_012100 transcript:KVI09525 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MKILKMVSAMDLVAWRGFFYSIFILHFVFACQLLLLQPLVSALDGKSGDTAELFERVSHSIKVKRYSEAINDLNAAIEADPSLSEAYWRRASVLRQLGRYEESEKSYKKFLEMKPGNSVVEKELSQLHQAWSALDTASNLFDSGDYIKALDFIDKVVLIFSPACSQAKLLKVKLLLAAKDYAGVISEAGYILKEDEDNLEALLLRGRAYYYLADHDVATRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKNAEENEKKGKLRVAVEDYKAALALDPDHLAHNVHLHFGLCKLLVKLGRGKDALNACTQVLTIDEENVEALVQVPFVNRGEAKLLTEDWEGAVADMKSAAEKSPQDMSIREAVMKAEKALKLSQRKDWYKILGVSKTASISEIKRAYKKLALQWHPDKNVDNREEAENKFREIAAAYEILGDDDKRIKYDNGEDIEEGMNMGGGGGFNPFGAGGFGGGGGYTFHFEGGFPGGGFGGFHM >KVI09526 pep supercontig:CcrdV1:scaffold_129:442325:448579:-1 gene:Ccrd_012101 transcript:KVI09526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAHNYPTPTLHFLLPTFSEVFTGFASLFLHNFSTITASHIYAYVYTYTCTYKNIHLLKPNHSAMATASADHKEALNSDLPPPLSHKVGQTSEMSEDATNCEITEITTGDQHSKPQHLVLEIPERTFDNFAKDDVKMNMPSTPQQTPKRVNFSPLRSPTYAYARFNEPSSPKGKSSIKSLIPKLSFKFRNRTSDIEKAAIQALGGSPSEMRRNTKMSRTLSLTKLFASRTKRTSSLPVTPIAHSNPESMHGRNTMEKGWIQRPMHRSHSVPVLIKDGSIGEIESVGGVFRIVPTTPKVVQGTDPTLNVNPTVEADGNHHQKEGEDIAEEEAVCRICMVELREGADDTLKMECNCRGELALAHQECAIKWFSIKGNKTCEVTKMGSGAIAISLPFSCILGLLASMTSTTMVKRRYAWIYAFIQFALVVGFAHVFYSTLHVQGVLSVLLATFAGFGGAMCATSIIYEFLKWRRSWHDRSNQPQGTPETRQPQESSEPADVPQDQLHQQDGSMEAIQPQQPTETGHAPDLQRDQARASDQQSGT >KVI09517 pep supercontig:CcrdV1:scaffold_129:187287:197573:-1 gene:Ccrd_012080 transcript:KVI09517 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MTSSGGGSKGFQQVNSCVKAEYQGDVNGFVGIEPGSHGDDESRTRRVDENVQDGCNQVTVQENAAPQQQLQGSLVHWERFLHVRSIKVMLVEDDDCTRHIVTALLRNCNYEVIEAANGFQAWKILENLSNHIDIVLTEVVMPCLSGISLLCKIMCHKTRKNIPVIMMSSHDSMGLVFKCLSKGAVDFLVKPVRKNELKNLWQHVWRRCHSIELKKDSEDDNQMDGGCMMVWCNAERHSPWLTPAAKTLLRCMFFFCSYSLSSWTKQAVEPESPEAASPCDQIAEHPDSTCGLVIRPVQTQATRDINDQEGRPYNDGKAKEIAEMQIQSTIEVPIKHNGIKQNTHQVFDPTLNVKSKGMGISDIRREHTLTKHKADDSKMPESYMENGELEGQGEPEKIMDANTKVVDDSNGVIVGEPGLKRPRATKHDGREVQNGCNILRHSELSAFTRYKITSNAVKDAPGITASRSQPDNRSNTVKKESKHDAHSDGYLIYQGSSEQVIPRKADAGVLHQEHRIQHIHHHHHVHHYHNIDEEEPLSNHGDFGLNKLGADAPHCGSSNIMGGPVEGNLETYSLNRSASGSKHGSNVQIGSNTAVNFEATNVESDVGLARKSGSGDASGSGNGSGTRNRIDQHKSAHREAALTKFRQKREVRCFQKKVRYQNRKKLAEQRPRVRGQFVKGTSQDGSSNAAGD >KVI09536 pep supercontig:CcrdV1:scaffold_129:52086:54718:-1 gene:Ccrd_012071 transcript:KVI09536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 17 [Source:Projected from Arabidopsis thaliana (AT3G58090) UniProtKB/Swiss-Prot;Acc:F4J4N3] MYRNGYNRVSSHTGYAFGMEKKLKEENPDSPMSGIYEIPGEPALVINGVPPLCTGNDGSLFHCDVVSNIDSKLNEPLGDWLDGREVRKVFGGRVYNGKVSKFDKESGWYRVVYEDGDFEDLEWHELREVLLPLDVTIPLKTLASKVIKKSQKHEKKFGRSVTKPKIHQHKELQSGVEKMEV >KVI09540 pep supercontig:CcrdV1:scaffold_129:346846:349021:-1 gene:Ccrd_012093 transcript:KVI09540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MGKTHGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KVI09524 pep supercontig:CcrdV1:scaffold_129:461955:466084:-1 gene:Ccrd_012102 transcript:KVI09524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVGSNSSNGRRRHGGGGSRRGNNHNHPTPPPPQQPQPEINANRYVFAAATPYPAQYPNPNPNAPPPPYYQYPTGAYYPPPPPPAAPLPAPYDHHHRVPMDPASQAWVGGRYPCGPVMHPPTPYVDHQKAVTIRNDVNLKKETLKIEADEENPGKFLVTFTYDATVAGSITVYFFAKEGEDCNLSPTKEELLPPIRVEFQQGLGQKFRQASGTGIDLSMFEEAELVRVGEMGIHPLAIKAEATPCVSEDGSTTNSQITQAVFEKEKGEYQVKVAKQILWVNGMRYELQEIYGIGNSVDGADFDGNDPGVRYAGSRWRGFWRSRSAMEVKNDI >KVI09546 pep supercontig:CcrdV1:scaffold_129:427567:430666:1 gene:Ccrd_012099 transcript:KVI09546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MCIFVFKILWFVFQVLAKLQAKLSILILTEKATKSFEDMMSDPDAEVMALSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEPIKKKVYICPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESVRLNSVTSNSLGLKNEGLNESVINPNHHPSFSHGFSGITQFGSGFRPDFGDQNKPRLSLWLDQANSQLNLSPIDQMSMNSNLYMSSSSAGLADMVNVFGNSSSMGNFTNPHQVPSLSLTPLTEGLKDETLASMYSINQTHQSQSESTGPMSATALLQKAAQMGSTRSNPSIFGSSFGLMNSSSTASNTAVSLVNNTMTPLPHITAQLQVSTAMNNNSSNSSPQGSNIDHQQMIMPRNETMPRLKMMQRSFSGVDNGLTRDFLGMGGEGGRPLLPQDLVKFASYGSTMGSMRQFATNN >KVI09543 pep supercontig:CcrdV1:scaffold_129:383879:387461:-1 gene:Ccrd_012096 transcript:KVI09543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQLNEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKITKAAIKKK >KVI09539 pep supercontig:CcrdV1:scaffold_129:335430:337870:1 gene:Ccrd_012092 transcript:KVI09539 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MMESSSDRHEGGVVGFGLVGDARVSGDGKGVAVKSDEGISSVLEDGGIDVRLNDEVSGSVSNVDDEKIGENLVELGLDRPVSKVDEFHAIDLVVDLNRSSRKGFDHNWTKMNAGLGANEKQANDVELTEKEGEYGVLDLVWGKVKSHPWWPGQILDPSASTDEARKYFKKDGFLIAYFGDKTFAWNESFHIKPFRISFYKMVIQSNAEAFCRAVDQALHEAGRRVELGLLCLCVSEEVYSKVKSQIVVNAGIRKESSRIDGGDRFSTVASFKPANAIQNVLDLAMNPFGMSKLEVSTVKAQLSAFNRWKGYHQLHPNQLLDGLDGEFDDYNSGIDSTREEKVVSTVASFKPANVIQNVLDLAKNPFGTTRLEVSTTKAQLSAFNSWKGYHQLHPNEVLDGLDSEFDDDNPGIGSMREGKVVDVEIPTGRVTKKRKLNGRGSVLRKSKPVFDGGLHPSKKVRCMSDLMSNGNPIVSDGKSKTGKAGRKWKGITSKGTSEGIQIQTADEFLSQICLAARNPMEGQHNLMLLARFSSDFRNYRLEMNPLLGEDKNDVDQIVEIVQQSEATEVAGFTGVKDSYWTDRIIEVIPDEQVSSDSKSLIVDSRPNPNQESSATALILKFSSLDSVPSVRNLNQIFGRYGPLRELETKLIKTKNCVKVVFERRGDAETAFSSSGKFSIFGPALLSYCLDYSPKLRRTPTAGRQANKRA >KVI09532 pep supercontig:CcrdV1:scaffold_129:121930:130559:1 gene:Ccrd_012075 transcript:KVI09532 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MCFWNLIPRKSPSLFSVMRKXRGVVGLNRWSLGLRDLSFQIDLLPSLSRGCPRTPWSPNVFSSIGKPWGQVILPEECYDNNLNLAFGRVGIITKRPDRIFDSFSVVIDNRDYRISISEDLSDSIKIAPDFCDRFKPISDSLSDSDFSDRSENHLLDDDNFLTNHSGFRSPGISVGSQVADEEEDTDESRGEDGKLNGFPIFQDNFSNIMALNSAGNSIPTCGVPLQNLDDKAQDPEIIASDPGHATSFPEATTDSSHEHNSSFMGHHSFPNQILRHAPKKRSSSSRPLFPLKPTSVFSQALSRKSKVTIPSYDPVVHIDKRAPLVDFECSELPPSDASASPVIGMAYTISKEPSRVSLDGLASEVRDTMEIGKMVGFCVNGCEAEIRKGVASEAKRNWIRNLRIGNKANFLAIQESHLKDEDEFAILDGFVAVWGRWVSNSIPCGFINVYAPQSSVSKLRLWSAIEQFLIDNSDTMWAIMGDFNEVRSANERKGSSFSFLGASRFNDFIRDSGLIEVRSGGRKFTRMSADGVKHSKLDRYLVSSNFLSQWPMPSAVILPRYLSDHCPILLRSALVDFGPTYFKFFNSWLGQPDFNLLVCDSWNSSPSPTYCPPIKFFMSKLKNLKLRIKTWKIGVNKAKMEQVNSLRMSLDSLDIKAENTTLSLEEIASRKDILMKIKALDEENVKDLKQKARLRWVVDREENSSFFHVIVNSNRRSNFIHGISSNGVWVTDPSEKSTHQRIAKKKSTQQREIVRSKEMRGRNHTDVEEADVEGGDVEGALVNSIDNLLDHSSEVAGTSMCKKAFIGMVYGYYEDEILEDGSEGDATIAPASSIFITRECVNQCQIGGYDVFPGMKVMINSWAIRRDPRIWKESPTEFHPERFEEIQVDFGGKHFEMVSFGGGRRACPGYNSAVSTVEFTIANLLYLFDWETVKKGDLDMEEEGFQLIRRKTPLCLVPIKYSGQQQ >KVI09550 pep supercontig:CcrdV1:scaffold_129:20240:21283:-1 gene:Ccrd_012067 transcript:KVI09550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 3 [Source:Projected from Arabidopsis thaliana (AT2G37380) UniProtKB/Swiss-Prot;Acc:Q9ZUS8] MAANLLSCNYEDEEFIDMEVSSSSHSYSNSNSNSSSKSREFEFQMVSSIVQDGGGSMSNASPADELFYKGKLLPLHLPPRVQMVKSLLLNAAFKEVEAEQEEFITISTPMIQSCNISPSESCRVSTELNPDEYFFEWSTELTGFIGDHPKKYYCPWSKKLRLIKHSSITQKLRASRAYLKSLFNKSGCGSDGSSCDKQAVVEQDGDKHFLGKYLKVTKKSGFGQIQTGKYPTLANVLKGIDEQGNNEDAFDSGCSHRKSFSGAIKRKCSPSSTSSSSSSASASSSSSSSFNYSNGVYEVQLFKRNSSANSELEGSIEAAIDHCKKSQQVLNSRNPLNESVFFPHSVS >KVI09545 pep supercontig:CcrdV1:scaffold_129:393165:393731:1 gene:Ccrd_012098 transcript:KVI09545 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPOUT methyltransferase, predicted MAMCVFTTVNMKNHHVPDSGAKTRGSKYTGQSVKPLPIHILTVGKTRSPGVQLIVKDYMEKLKLYCPVHDLRIRSNPKNSIDRRVQIENEEMGFMNFIKQDDWVVMLDENGKDLKSEEMADLIGDAGSCSRVVFCIGGAYGHGMKLRERANVKVKLSSLVLNHEIALVVLVEQLYRAWTILKGQNYHH >KVI09542 pep supercontig:CcrdV1:scaffold_129:368757:371740:-1 gene:Ccrd_012095 transcript:KVI09542 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MFAGYSVDDTVMGRKGNWLSSIKKALSPSSKEKRSQKSRKNAFADEKASEPPVASIAEPARRALRALRGLVRLKTLVEGPAVKRQTTNTLKCMQNLSRVQSQINSRRIRMSEENQALQKQLLQKRAKEIESLQQPWKKSSRATNLLFMDPTNPQWGWSWLERYMAARPWETRGEKDPGNDHASVRSGGISITGSEIAKSYARHQLNSTPSTPQSKAGIPIGSRKFKPVPSPRGLGSGPDDDSRSVFSVQSEKNRRHSIAGSSVRDDESLASSPSIPSYMAPTKSAKAKSRGQSPLGPVEMNDGNTPEKGTGLNAKKRLSFPASPARPRRHSGPPRVGSSVAIGVEQNASTGVVS >KVI09527 pep supercontig:CcrdV1:scaffold_129:165706:170331:-1 gene:Ccrd_012078 transcript:KVI09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGWFPCSGQSKPTAKKKRKKNRSLDPIQPSSDSVFSCLTMFALLFNGFLFFVSYICVLESIRSNPLFKTKDVIKDGGSDHIAAQTFTFRELAAATKNFRGDCLLGEGGFGRVYKGRLESSSQVVAIKQLDRNGLQGNREFLVEVLMLGLLHHPHLVNLIGYCADGDQRLLARPLFKDRRKFCQIADPVLQGQYPARGLYQALAVAAMCVQEQPNMRPVIADVVTALSYLASQKYDPETHPIQNSRWTPSTPPRSKRDNDKRLNGGSGSGSGSGSGSGSGSGGSGSEKNHTKRFF >KVI09534 pep supercontig:CcrdV1:scaffold_129:74852:85471:1 gene:Ccrd_012073 transcript:KVI09534 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDILTFFPSWFLPTVVVLFFSCIYVYALRWKNSSMAAPKLPPGPPGLPILGNFHQILSKGLQEILWDLSHKYGPVMLIHLGTQPFLIISSTEMATQVLKTHDLEMCTRPVSQGSKRLSFNYMDVAFTPHSDHWRDMRKVLVSEYLGAKRTRLFKKALEVEVEGLVHALSSTTTANLDDMMLNLVYDVVCKVALGKSYREEMFNGKTLKEIVEETVLMLSGSFSDIFPTFGWILDELRGWNRRLDRCFSDFDGILQMIIDEHVDRKATAVPGDHENDLIDDYLPRLTTDEIKGILMNVLNGAIDTTTITMVWAMSEIFKNPRIMQKLQNEIRSCVGANSRVDESDITQMKYLKMVVKETLRLHPPPAFLIARECMKRCEIGGYDVLPGTKVLVNSWGIGRDSRVWKEKPTEFRPERFETVEVDFGGKHFEMTPFGGGRRACPGYNQGTSTVEFTIANLLYWFDWKTPDGKKNEDLDMEESGMQLVHRKTPLCLVPIKHNWQQQSSMSAPYKLPPNPPKLPIIGNLHHLLGKSRHHALWQLSQIYGPIMLFYIGSKPFLVISSSSMAKQVLKTQDHICCSRTVFQATKRLTYNYSDVAFSPYTDHWREMRKILVSEFLGPKRAKLFNHVLVAFGKNYREPPLKGLSLEAMIDETMEVLNGSVGDSFPWLGQILDKFSGWNGRVEKCFSNLDAYMEAIFDEHENHSIEDISDDDKDFVHSILELSSKGNASLTKQDMKALVMNVLNGGIDTTVATLVWAMSEIVANGRVMQKLQNEIRTCTGRIKKAKEVDTTKMKYLKMVVKETLRLHPPVPLLIPHESISHCRIGGYDVFPKTTILTNGWAIGRDPKTWGENAGEFYPERFDEIDIVEVEVECEMVPFGGGRRSCPAMKTAPATVELVIGNLLYWFDWEVINNESLNLQEEGSLVAHKKFPLWLVPTKHI >KVI09538 pep supercontig:CcrdV1:scaffold_129:323870:327682:1 gene:Ccrd_012091 transcript:KVI09538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIAKPPPPPPSSSTISTLSHHHHTLNSTTSLHWVSHPQSSVKLFTSTSSTNTTTANPSRVKAATNSSTSLTTDTTTKPHRDSYDETLLNLLRQRKTEDAWLVYTQSPHLPNPTCLSRLVCQLSYQNTPSALTRAQSIIQRLRHERQLHRLDANSLGLLAVAAAKSGDTLYANSILKSMLRSGYLPHVKAWSAVVSRLAASGDDGPKEAIKLFRSVTRTIRKFPERTMVMNSRPDTAAYNAVLNACANLGWTDKFLQLFEEMPENKCEPDILTYNVMIKLCARIERKDLLVFVLERILEKEIPLCMTTLQSLVASYIGFGDLEAAENIVQAMREGRQDLCKILRDCNSDEFPNENENEVFEKLLPNMNYPTDYEPPLLPKVYPPNTRMYTTLMKGYMKAGWIQGTVGMLDAMRSQDDTASHPDHVTYTTVISALVNVGAMEKARQVLADMTEDGVQANRITYNILLKGYCQQLQLDKARDALKEMVDSGIQPDAVSYNTLIDGCILVDDSAGALAFFNEMRARGIAPTKISYTTLMKAFSVSGQPKLANDVFDEMEKDPRVKVDLVAWNMLIEGYSRLGMLDPVKEMIERMKKKGVYPDVATYGSLASCIALARKPGEALLLWNEIKERCGLITDESKVSKTGSSSSVPILKPDEGLLDTLADICVRAAFFKKALEIVAFMEELGIAPNKTKYTRIYIEMHSRMFTSKHASRARQDRRIERKRSAEAFKFWLGLPNSYYGSDIEIASSK >KVI09520 pep supercontig:CcrdV1:scaffold_129:242356:244251:-1 gene:Ccrd_012085 transcript:KVI09520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGVMSVGSLTSSWRNQKPSKPCYCSSRDPPPRKPPSPQNGDDRNNNNEDKFSTTDWDKAWSSFKKQGKKSLFSQFTPNKYVSWNPRRSNYPLSEEVDPIKRAERSNLKLWTSPGFTLGGAIVIVTFLLIYTILYPLN >KVI09537 pep supercontig:CcrdV1:scaffold_129:316224:319629:1 gene:Ccrd_012090 transcript:KVI09537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MAEGPASPGGGSHESGDLSPRSSNVREQDRFLPIANISRIMKKGLPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKSYLNRYREGDTKGSGKGHEGSSRKDGMQPSHNPQLAHQNSYPQGLNYANSQSQAQHMMVQMQRTE >KVI09528 pep supercontig:CcrdV1:scaffold_129:177776:180550:-1 gene:Ccrd_012079 transcript:KVI09528 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MELQEAERTVIAKPVASRPTISNFRSFSELLAGATNGSPLLEPTITAIRPKTVRFKHVTNSQPVETVSSQAQLDRTQASHLSDKIVKSNHTSPLLYKPLAKTVSRTTISLLANMGTFNTSREQALAASQSENAKGINPPKLTPMKSGNDKRSQFHTNNSDRPSYDGYNWRKYGQKQVKGSDYPRSYYKCTHPNCLVKKKVERSIDGEIAEIVYKGEHNHSKPRLPRRHAFDGTSEGSNTQLPNNQLNNDNEGSEGGTGIKIDEVLGSSSHLTNLVKVQESYNHVSSEAVDACMPTLDRFCGVARVHDESASKRRKSSSILNEPYGEGLQQPRIVVHDGTDSEAISDGFRSYYRCTGVKCNVRKHVERASDDPSVFITTYEGKHNHEMPIKKSN >KVI09533 pep supercontig:CcrdV1:scaffold_129:61233:62974:1 gene:Ccrd_012072 transcript:KVI09533 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDIPSYFLPTLILFFTFIFIYTLRRKTSSTTTCNLPPSPPWLPVIGNLHQVLGKDIHRTLWDLSQKYGPVMLLHLGTQPFLVISSTEMASQVLKTHDPDMCTRPISQGSKRLSFNYMDVAFSPHSDHWREMRKVLVSEFLGAKRSRMFKKVMEIEMESLVRSLSANTIVNLDDKLLHLVDDVVCKVALGKSYRDEMFNGKTTKEIVDETVVMLSGSFSDIFPTFGWILDKLSGWNRRLEKCFSDFDGFLQMVLDEHLDQNARKDSDHENDLVDDCISRLTADEMKGLLMNVLNGAIDTSAVTMVWAMSEIIKNPRIMQKLQNEIRSCVGRKSRVDESDITKMRYLKMVVKETLRLHPPPPFLITRECVSRCQIGGYDVFPGTKVMINSWAIGRDPRIWKENPTEFHPERFEEIQVDFGGKHFEMVPFGGGRRACPGYNSAVSTVEFTXANLLYLFDWETVKKGDLDMEEEGFQLIRRKTPLCLVPIKYNGQQQ >KVI09521 pep supercontig:CcrdV1:scaffold_129:227673:234456:-1 gene:Ccrd_012084 transcript:KVI09521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRSTATLLIIASSVSTPPPSFPKHTLTTTNPKQSKPSSSSSSSSSSSSSSQSHRPPLLTTVRCGENRQSYHRINYYAELASKLAEEGRFQDLFMIVETVTSGGGSSGLKAEEFVALLNADLVSKGILSVIESDGGVRGVIRVLTCFQKLGIGSALEVLLSDRSVVEAIGRECNRILKFGDAKEVVDLMETLSRFHLSVEDTVEPIEVIRNCVNKRNPYVAIRYASFCVYSDEIFCTTILEFGKKGDMASALTVFEASKHNLGCVNMYAYRTIIDVCGLCSDHLQSRSIYEGLSAQKINPNLYVFNSLMNVNSCDLSYTMNIYKHMQILGVAPDMASYNILLKSCCLAARVDLAQEIYREVENLESRGSLKLDVFTYSTLIKVFADARMWQMALAMKEKMIEAGVTPNAVTWSSLISACAKAGLVDQAFVLFEEMVLANCMPNSQCCNVLLYACVKAFQYDRAFRLFQSWKRNSFQMAFGDNYIANRQSLSKGGLQIDMKVPFKPTTSTYNVLMKACGTDHLRAKALMDEMKRFGLYPNHISWSTLIGVYGGSGDVKSAVQILETMRESGVQPDVVAYTAAIRVCVKQRELNLAFSLFREMKKYQIQPNMVTYNTLLRARTRYGSLKEVQQCLSIYQDMRKAGFKPNDYYLKELIEEWCEGIIQDNNHRPQGQLNSSSRRDLGGPHSLLLEKVASHLQKGNAETQSIAVDLRGLTKVEARIVVLAVLRMIKENYHPAADKHQFDVKDTIIKLLQGNLRLEVLPLGPKIPTEIRINVENPFNPRSNLDKKTSPTRRPAVLQRLKVTKRSLYHWLQRNSNEQRRRQ >KVI09551 pep supercontig:CcrdV1:scaffold_129:17133:46693:1 gene:Ccrd_012066 transcript:KVI09551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFVVFAQILDGLIVKDKFDDEDDEAEAEEEDEDVEEGGLGLMFSPYPVVHKVETFAAASLQPLPSFTVRKYSSSDSLKLKSVNLSLSLVEELWDISCSLVFPLTSVDSFGKIGIPLSGSNDLSPLLEESWDVFPCSDSVVSGFTDSFSPKSWVGSRNFSSSLVETRPILLSWLSVMSMFRPLSTANCSLLSFSRSLFKSTSALSTPNDLSFTLAEGWSNLPSSVLFLSQFPPTSNVECSIFSLSLSEANVDGSLLGCDEFSSPLTCSFSSSLSTGLVSSFRCGEFSQLPPKDRATNFCPKMDFKSTASARLGDEALRGLSFDDACSPWVGLSLLKVPVHPYNKIEIYKAARCNGIINYMKKEISGDQETGGRGRRRVALVIAWYKPKRFNDSKSSRCQESADVHQLIRIGNNTRDFLALFQNFKLSTNENNGVICDNDVKLKLSFLHPNTRSQRLVELDISAIQDKVPKNSKLKSILCKISNHCPYKTTPL >KVI09548 pep supercontig:CcrdV1:scaffold_129:24145:43013:-1 gene:Ccrd_012068 transcript:KVI09548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLRTAMNKAAEVRGRSSLRAAVRSHGGNVVSGDAKKGAQNMKNYKEAIRKLEEVSVSSNGEERVQLLRRWLEELRKIERLNAGSAENDEKNAEKPHTSSDENDSLQKSDIVLYSDPDLGVSPVNFRDVFLHSLALEGMTMSMGFVKASGSALFRVVASSGDLLLDNIGSLFCLTQILGAPNEEEISLLHELFRLCLTRGEEVHDVTVKRILDLSKAFSVYDDEVLAKRRELLQFAQDAIAGLKVNADILRIDSEVSEIHQKLKRIERQEFSIESDGSSLDATSDRTLEVIKESVSPIRLCCRLESLLLKKRLLNNGDTPEAHARKVDKLKVLSESLLSSASKTEKRISDHRQQKEEALHFRVAKTSEISQIEKDLEAEISVLEQERDKLEAELKKVKSSLAVATIQLQNAREEREQFDDASNELLVHFKAKLYVMDSNLNAMTQEDELTKAIVSYKAEADTCSAFVNFLEATWAFQSSFMEQKEKVVNDELEIHEEYFVNTARSLLSAYKDALEPAITNLKKHMKNLQRYEKSVDPDDEFLQDIELRKRLEQAYLAAEDKIITIFDAVESVREQFYSAIDNYSRKDVEPVNELCDAIENIKNGFQSLARPTLRSDKPTQGEQASSKESPRKASSPKRALAVDLKSIFGQKLVARSPKNKPYIPLGGSCENSPHLNDDTKPVERELEKEQVRGDENSSHPNKEPSTLASESERENIEHSTLDVGGNCDKNKTDEGKLLQPSASVKERSLGVDKALVDLNKDLEKESREQLAVDNGRNMDITESQESNMGRVSTSEDEKFLEPTQDLGEKESVNPETTESEQGKTSQLSSSNGERSLDPDNGMPILPKESTEVSGNTKEQEISQSSSTSESDKLTDSDDEPEKSV >KVI09530 pep supercontig:CcrdV1:scaffold_129:157469:161606:-1 gene:Ccrd_012077 transcript:KVI09530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] MTTAPSNATTIQTDDHPAKTLDPLPAEGVSSDKSPASSIEKKDADSGETKLKTQDPVTVTPDNSNSNNGENGASVNDTQRKIRRAERFGMPVQLSEEEKRNSRAERFGTAPGSQGSDTTKKAEELKRKARAERFSGTQTNGNGKIEQKATIAGKAGGEA >KVI09523 pep supercontig:CcrdV1:scaffold_129:291116:295173:1 gene:Ccrd_012088 transcript:KVI09523 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MLDLNLSVDQSDLTSKYMEDDGHKQFLEVCGSGGQLEYSGGTSNSSVVNVEISSNAGDEEHSYSCSDLAYNFDILKPSNREGDQDFRSGIVETKQLFPEIDGDSGLRQHQGLDVRGNSAMDEREFMLRQQQHQQHRQQVRKSRRGPRPRSSQYRGVTFYRRTGRWESHIWLGLWEASLSGAYDRAAIKFRGLDADINFNISDYEEDLKQIKDLTKEEFVHNLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDTEIEAARAYDKAAIKCNGREAVTNFEPSSYEGEPSPEADNRAYNQSMDLNLGIAPPSLSGGNKANDTFGSLHQVNPLLADTSEDGRKRIEHSTPVTLGTQMLHAHPPYQSGVVTSGFFPVYQGTAIEKNTKSPNWAWQIQGPYAGVRPASLFSAAAASSGFRNSTNAVSSLAAAHHQPPFLASAIPHHPSIKSTSDV >KVI09529 pep supercontig:CcrdV1:scaffold_129:131922:152590:1 gene:Ccrd_012076 transcript:KVI09529 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEISTVFPSSWLLATLLVLSIFLLLYTLKSKSSFVSAPYKLPPNPPKLPIIGNLHHLLGKSRHQALWQLSHEYGPVMLFYIGSKPFLVISSTAMAKQVLKTQDHICCSRTVFQATKRLTYNCLDIAFSPYSNHWKEMRKILASEFLGSKRAKLFNHVLVTEIETMLHSLSSNTEVNLSKVLFEVVKGVICKMAFGNDYKEQPLKGPSLEXMLEETMYTFNGSLGDSFPWLGKIIDQFSGFNGRLENCFSNLNAYIEAIIDEHENHAIAEISDDDKDFVHTILELSSEANASEYQLTKQDMKALVMDVLTGGIDTTVVTLVWAMSEIAASGRVMQKLQNEIRNXTGRIQKVKELDITKMRYLKMVVKETLRLHPPAPLLVPHESISHCQIGGYDVLPKTTVLINGWGMGRDPRTWGENAGEFYPERFENVEVEYGGENFEMIPFGGGRRSCPAINRAXATLEMVIANLLYWFDWKVGDNQSLNMEEEGSLDEKCKTASQALKETVRTMISNESAAENPLSTLELVDDLQRLGISYHFQDEINNVLKTIYNSNYZSHDKWNGMDLNLKSLGFRLLRQHGYRIPQEIFEDIKDETGNIKAHIREDIVGMLNLYEASFLATEDENIXDEAREFTTKCLKEKMEKKSIVDASMSMLVSHALELPLHWTIQRFEAIWFIETYRRRSHMKPLLLELAELDFNIVQEIHQEDLKYSSRWWNRLGWDKKLSFARDRLVESFMWSVGSRYEPSFGVVRRNITKLISLVNVIDDVYDVYGTLDELEQFTQVVKRWDINAMEELPVYMKICFLGFYNTINEMAYNTLXDQESLVIPSVKKAWADYCEANLVEARWFNSGYTPTLEEYLNNSCITISVLVIISNAYFFASXDDXINANDALXNVLHLSAMILRLTDDLGTSSAELKRGDVPKSVECYMHETGAXEEKAREYVHKLIIETWKKLNKEKMALKTPSSRIFGECAANLGRMGHFTYQRGDIFGSPDDLDKRMEEDEGLRTVECLRGRLLAERAASKAANEESEQIAKKVIELEKQLKVEIKSRNKAEKRLKFLMKKLESLKISYVSADESSSFSEKSEISSVSSSSKSQDQMELQKTQFRNTSKFSTEGNRRSCPSQEENLGSVDESISGNSNENVIQNDDDDDDDDDDDEDDAVKKDGLKFSVTKNNINDEGHNTEDIDDNVDNSMALTIMTSEHDTDRDDGFDNSMALVVVENVIKEEKQDVPISNGNVKDVLHALRYAKESLQRSLAMRQHLRSNIACGRFLLDLKPGVI >KVI09514 pep supercontig:CcrdV1:scaffold_129:223392:226894:1 gene:Ccrd_012083 transcript:KVI09514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MPAVLSPPPPAPPATGTSQSSIGISEETGTLLERVEVFDLNGNSMTISDLWKDRKAVVAFARHFGCVLCRTRADKLAAKKDRMDASGVALVLIGPEVYADPSYASYKALSFVSGVTTTFTPGAALKIIEAYMEGYRQDWEISFEKDTRTKGGWQQGGIMVAGPGISNISYIHKDKEAGDDPDIEEILKACCS >KVI09518 pep supercontig:CcrdV1:scaffold_129:265233:272352:1 gene:Ccrd_012087 transcript:KVI09518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MNNLNKNSTQSPSPSMAANSTPTDSSGKKVRKPYTITKSRESWTDEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGSIAHVPPPRPKRKATHPYPQKASKNVLLPLQASIGYPSSLTALAPGYSPWDDTSMLISPSGGIIPSQDEYDLHGFEGMHASDIGSKEAGRISNISTSGIGSSSREMPSSELANQGEQGSILPGIPDFSEVYSFIGSVFDPETKGHVQKLKEMDPINFETVLLLMRNLTMNLSSPDFEPMRKVLSSYEFNSKNVGVSAGNVYEQSKQ >KVH88253 pep supercontig:CcrdV1:scaffold_1291:59342:59827:1 gene:Ccrd_024317 transcript:KVH88253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-induced protein, Wun1 MEEIDSNEQEMIHNLAAVNALYKALAAGDTKIVAGLLATDLEWWFHGPQHCHHMMRMLTGEAPHTEFKFEPRSVATIDDQIVIVEGYEGANVYWVHVWALKDGLITRFREYFNTWLTVKELRVRPVGRPLGLVEKKNRSTVWRSQPRDLFRRSLPGLMLAI >KVH88252 pep supercontig:CcrdV1:scaffold_1291:111838:113992:-1 gene:Ccrd_024318 transcript:KVH88252 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MSQTQVKPVCVVSSQFVSPYPIDMLNGKKLLTLSDGNFGVTDINGNLMFKVRGKLLSFHGKRLLVDVVGNRIVTFQKKLIGGRKRWQCFRGDSTDPRDHVFTAKQSSRTQFKTSLDVFLASNRRQDVADFKVKGNWFERRCAVYAGETTTIIAEMNKKQTVESIAFGIDTYTITVYPNVDHAFIVALMAILNEINDDKSDDDEK >KVH89106 pep supercontig:CcrdV1:scaffold_1292:66626:74919:1 gene:Ccrd_008909 transcript:KVH89106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSLVCGCPILECVYCLGCARWAWKKCLYTAGRESENWGFATATEFDPVPRICRYILAVYEFDIRNPLWAPPGGYGIDPDQVILKKDYDENQGKVSPYMIYIDNDKQEILLLISGLNLAKESDYLLLLDDKLGQAKFDGGYVHNGLLKAAQWVFENECEVLKELVERYEDYTLTFAGHSLGAGVVTLLTILAVKNRDRIGNIERKRIKCFAIAPARCVSLNLAVRYADVINSVVLQDDFMPRTSVALEVLFKSLFCFPCILCGTCLKETCTLQEHMLQDPRRLYAPGRLYHVIVRKPFGVGRISPVVKTAVPVDGRFEHMVLSCHVLSDHSIALMEQEFQNALDQRMERSTSLAIEHSVEYKAALARAAALEVPEAYSPTEYGTFRNVQGGDESKKLPIESLGSSRRRESWDELAGRIFETDESGQMVLKTN >KVH89105 pep supercontig:CcrdV1:scaffold_1292:1573:18090:1 gene:Ccrd_008906 transcript:KVH89105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase MFSTESVIEFLGCVPLLQRLPSSSLRKIAKVVTVKHYDPQEYVVREGEAGNGIYFVWNGEAEVSGYFHADEHNRPEFQLKRYDYFGNGLASSMQQADVIALTKLTCLVLPHEHCNLLQSTSIWSADKTLEPCSLVESILHLEPIEVNIFKGITLPDAPQFGKALAAASKTVDSLKIVHSLHAYFLLVGDLEIPIIYHVHRVRDGNSFATRKIDAIQKGNVVFTMIASFQKEEVGFDHQLPSMPAVPDPEMFIPWPIDIRFCEPSNSTNYDKRPASLRYWFRAKGKLSDDEALHRCVVAYTSDLIFLNVSLNPHRRKDLKTSSISSPTAYNARGFVSGQMFNRKGELVASLTQEGLIRKVRKQPSTTVSKL >KVH89110 pep supercontig:CcrdV1:scaffold_1292:94272:107662:-1 gene:Ccrd_008911 transcript:KVH89110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAMPCLTTGSSMLNCTTTMALPSATVLPLRQPFLVPSVVKFSSRYHSLKLNFVDFSSRTRVSAAAGEFADSSNCNDSVHTSDNHQLGTINMECKLVDSDDLSNQLKILKSVGVDGVMVDTWWGIVEANSPQQYNWKGNVGDDVHIPLPKWVREIGQGNPDIYFTDRDGRRNPESLTWGIDKERVLKGRTAIEVYFEYMRSFRVEFDEFFEDGSITEIEIGLGACGELRYPSYPAKHGSYNSQPQDTKFFCDGGDYDGAYGRFFLNWYSQSLIDHGDRVLALANLAFEGTPISVKLSGIHWWYKTASHAAELTAGFYNRTNRDGYAPIASMLKKHGTALNFTCVELRTLDQQEGFPEAMADPEGLVWQTSHENYDVVLNAAWDADIPVASENALPCYDRQGYNKILEHAKPRDDPDGRHLSAFTYLRLNQALLHEHNLREFQLFVKRMHGEAVEGL >KVH89108 pep supercontig:CcrdV1:scaffold_1292:119626:123114:1 gene:Ccrd_008912 transcript:KVH89108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVVAGEEIDEGETCHQWGFFNIVNHGISRGLYENIHSFSNQLFDLPPQTKLLLGPSSSIKTYTPHFIASPFFESFRVSGPDFRSSARDSIDVIFDENGREFSEMLEEYGKKMTELSKKIMKVVLMILGDGFDTRFYDSNFKNCHGYMRINRYSPPHNLEDQETVEGLGMHTDMSCITIVYQDETGGLQVKSKEEGRWMDIVPSEGTLIVNIGDLLQAWSNDKFISSEHRVVLKKPLSRFSIAFFWCFEDEKVIYAPNDVVGNENMQLYEPFVCLDYLKFRESNEEGKFEKVGFTIKDFIAHNTKNVVSETNLNIRLRAE >KVH89107 pep supercontig:CcrdV1:scaffold_1292:57168:59539:1 gene:Ccrd_008908 transcript:KVH89107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEQHQPEEQALDQVSDEVQELETLEEDVKLMAKKIAEFRETLPTQLQNTLASVLSAQRPVNLNQFDNDPGTSSNPNPESEALVEHDPIYTEKIQMIKQKIYNNASAMPSLLKRMKDCISRIDKLDSFNSGIHPAFKRRKITSEDSFI >KVH89109 pep supercontig:CcrdV1:scaffold_1292:77598:87594:-1 gene:Ccrd_008910 transcript:KVH89109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEMQRFGTSEEEDEEMGLDVKEEGENDEDDDDKNSTPPTMVGVDGGLLTSSRSNNRFQHQQQFQDQMTPQGGGRRCRPIEEKERTKLRERQRRAITAKILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGVRPPAGASSTLATSSTSLPLQQSQAASLRGISSACPIRMDDEACQMKGLFVPSSSAYDVSSSSRSQSSHMLGVEVDGQDDPLIGVSVDTVGGRQVVDMPSKLQERDFAGTPYVPVYVLLPLGAINLKCELVDPDGLLKQLRILKSINVDGIMVDCWWGIVEAHVPQEYNWKGYKRLFQMVRELKLKLQVYFDYMRSFRVEFDEFFVDGVISMIEIGLGPCGELRYPSNPCYDRYMLKSLTKAAETRGHSFWARGPENAGSYNSRPHETGFFCNGGDYDSYYGRFFLNWYSQLLINHGDRVLSLAKFAFEGTFITTKVFIGGTRQQVMPPS >KVH89104 pep supercontig:CcrdV1:scaffold_1292:29983:46025:1 gene:Ccrd_008907 transcript:KVH89104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase MDSEAVIEFLGDVPVLQRFPSSSIRKIAQFVVVKRYNSGEYIFREEKTGDGIYIICEGEVEVSSAFRADNHECQVLQWRKFDYFTQNESVAEEDIIALTKVTLLMLPHKFSPLMQPKSIWSADMNLETLAPVEHILSLDPLDMNTFRGTTLQGAPKSSNALAAASKTVHFLKILHSFHAHFLLAGDINIPIIYQVDRLHDVPNLATRRVNAVQKERLVFFLIASFHRGEEGIEVSTPTSVPWPTEIRPVDPVKHTRQTARSPSDVYWLRAKACFVGDAGGCRKEDTVCFYYYVKNITLTHLRLKTLLYDSFSQSEIIIEIISVSFEEII >KVH96460 pep supercontig:CcrdV1:scaffold_1293:63318:64439:-1 gene:Ccrd_001455 transcript:KVH96460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MSSSATHKRSSSSPMPQRRRTPLHHPSPPPPLLSSKPNLTTTLYHTHIGVFAVTWSRTLFGRSLYIHLLPTTTIATADDDDSLSSTTTTSTSSFHLQIKPFIFWNKHGSKKIPVPRNQNEFIHIYYDLSRAKFASGPEPISGFYIAAVISGQMALLIGDSPKQAYSKAKPTNPDKTQITVLRREHIFGIATKKYNTKATFRGKTRDITIDCTRIAAGEDSRLCFYVDNKRVLVVKHLNWKFRGNERVEMDGVHIQISWDVHNWLFDEEIEDGYALFMFRFEKSGFDYHEDDKYLARLNASGSGLIGLGSGFGFGFEKKKMQKGMSRTAAGSSSSSSLSSLSSGCGSVMEWESVEENELKTPSGFSLLVYAWKS >KVH96461 pep supercontig:CcrdV1:scaffold_1293:1068:2507:1 gene:Ccrd_001452 transcript:KVH96461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MFNMSVVLPKTDSVQIREVWNDNLEQEFALIREIVDDYPYIAMDTEFPGVVLRPLTQFKNINDYNYLTLKDNVDMLKLIQLGLTFSDENGNLPTCGTDKTCIWQFNFREFNVNQDIFANDSIEMLRQCGIDFQKNSEMGIDANRFGELLMSSGIVLNDNICWVTFHSGYDFGYLLKLLTRKPLPESQTGFFDLIKIYFPIVYDIKHLMRFCNHLHGGLNKLAEILEVERIGVCHQAGSDSLLTSHAFKKLKESYFNGSTEKYAGVLYGLGVENGVEK >KVH96459 pep supercontig:CcrdV1:scaffold_1293:51412:52885:-1 gene:Ccrd_001454 transcript:KVH96459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase II MITFFLFLTIMHHGESVSTVPVSKPHGLESSSTGGLLQDNGVRAAYWPSFTGYPASSIDTSYFSHVYYAFVLPSPTTYELDITSYDVDKLLEFTNATGGWNPPAKTVLSIGGGGGGSLPETFSQMASQEYSRASFINSTIKVARDYEFDGIDLDWELPSNESDMSNLGLLFREWREALEYEANETVRPRLILTSAIYYASIISFDGPPRSYPIQAISQYVDWISPMCFGYHGNWENFTGSHSALYDPSTNLSTDFGIGSWIRAGVPPEKIVMGLAAYGPTWSLQNPNANTIGAATTGTGPGGGILVYSQVIDFNQANNATVVFDNISISYYSYSGDSWISYDDVGSIESKVHYARARTLAGYFFWALGQDSDWVISSAASQA >KVH96462 pep supercontig:CcrdV1:scaffold_1293:48549:51266:1 gene:Ccrd_001453 transcript:KVH96462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding domain family 9-like protein MVISRSSLLISLWFLVYLFGFSESDPVYAQNCPQTNTSLLNFTTQFVMVQHQVRGSFSIINDCSFRVSEFDMLPGSSHVHWWGAVGDDYENLTSGFVISDDKLNTTTYRNDSFKVNLMQNVSWDKIKVVSVWDTSMASDFGHVVLRNSQEPSAPTPSSNPSNITKGNSSIKIDGEPTMFENCKVLTDTYRLRWTLREEDNVIDIGLEGAIGIQNYMAFGWTEPNREHDHMLNADVAVAGFTEEGVPFADDYYITKYSECIKNQDERFEGVCPDTMYDVSDDSVNNTRLVYGHRKDGVSFIRYQRPLKSLDKKYDWDVNVTEKRTCIWALGLIKPPDSLRPYYLPQNHGKTFGHVHINVSESVNDCYGPLDAENKEDQDVVIADKKEPLVVTSGPSLHYPNPPNPSKVLYINKKESPLLRVERGVPVTFSIQAGHDVAFYVTSDPLGGNATMRNGSETVYAGGPAAQGVQSSPNELTWAPDRNTPDQVYYQSVYTQKMGWKVQVVDGGLNDMYKNSVLLDDQQVNFFWTLSDKSISIAARAEKKSGYLAIGFGSEMENSFAYVGWVDVNGTGRVNTYWIDGMNAQSLHPTNENLTYVRCKSENGVITLEFTRPLDPNCNRKERQECNNIIEPTTPLKVIWAMGAKWSSDHLSQSNMHSVKSNKPVRVSLIRGSAEAEEDLRPVLAAHGFMMFLAWGMLLPGGILAARYTKHLSGDVWFKIHVYSQYSGLAITFLGIVFAVAELRGFHLESLHVKFGILTILMGCIQPVNAYLRPKKPVNDDPSSRRVIWEYVHAYIGRSAIFVGIAALISGMKHLGERYDDENTIGLMWALIVWVSLGALTVLYLEYVEQKRRRAIITRSNWVLGNGEDEDADLLSSNGNHREKESLSSERMEIQLEPVADRYTII >KVH98718 pep supercontig:CcrdV1:scaffold_1294:81905:92677:1 gene:Ccrd_023054 transcript:KVH98718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSTPPNSSASSSSQFTYSNNNGSYFPMPFHLQQPQTYPTAPPPPPPAVVQYPAPPSGYPAPSTSVPGVYFPQFQQAQQLFQRDAQTITPEALESVKAALASSEVEHKAEVKKKAIPRKAAGLAWEDPTLAEWPENDYRLFCGDLGNEVNDDVLSKAFSRFPTFNMAKVVRDKRTGKTKGYGFVSFSNPTDLAAALKEMNGKYVGNRPIKLRKSNWKERTDVEALERQKKHTQRKTKLPKKSVLHK >KVH98719 pep supercontig:CcrdV1:scaffold_1294:93070:100237:-1 gene:Ccrd_023055 transcript:KVH98719 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, 14-18kDa subunit, conserved site-containing protein MADDDFDMDAGGYDDEPVEPELDEGAEEDIDADNKEEDVPDPLVGDNEDKEEPEAVEKPRKTIKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >KVD98093 pep supercontig:CcrdV1:scaffold_12947:449:1285:1 gene:Ccrd_024319 transcript:KVD98093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein MGNIGNPTKLSSIESIKQLKGCCDKGSKAQGLHQLKLYLAHFGYLNYQHTNVEDEKFDDELESALKSYQKYYRLNATGTLDEATVSQMVVPRCGVPDKEIHHHGSKSLPAISFYRFFPNYPRWPPGKSQLTYAFASDYPNNHVPPVVRAFSQWSSASDYFTFSQVDDVTDADLKVSFERGDHGDGHNFDGAGGVLAHAFAPTDGRVDFDADENWSDGPGAVQNAIDFESVAVHEIGHLLGLSHSDDPDAAMYASISSGVVKGLNSDDIQGIKVLYGLN >KVD98092 pep supercontig:CcrdV1:scaffold_12951:115:543:1 gene:Ccrd_024321 transcript:KVD98092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKRLGKGRLVLFATQALCAFLLFHLLYVELNFKPSPSSQQIQLDIVVTGGRQILSDWKQPADDHHLSSAAALSVKKDHHHNHSLQYLLTTLLR >KVI09851 pep supercontig:CcrdV1:scaffold_1296:82682:87507:-1 gene:Ccrd_011764 transcript:KVI09851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MSAYGHQMEREYSARSLTSAEGSDMGSQFRMESVIYMSSCAATIFIGSLVIVGILLMTLLIALTVMLQSCQSREAGVLESFKSDHNQYDHCRMAAFHSEINSFEGYSLPEFCKDVAVKYIKEGHYMRELNISVSLVENYFNGVTPDDGGRDVVLMDTDDFLPDDSLRTNPLLYGYNRYGCNDCVREAKHMKHVFLIRLYLKLQSDGWPLILLSRKPEKLREVTIENLTSAGCGGYSKLIMRTNEEMKMDTRNYFSSQKMAIQAEGYHIKAVISSQMDALIGSIMKTQNFKIPNPLPISPTESIKSTEQG >KVI09845 pep supercontig:CcrdV1:scaffold_1296:35100:37329:-1 gene:Ccrd_011758 transcript:KVI09845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yos1-like protein MGLWTLLEGCLLIANALAILNEDRFLAPRGWSFQEYSGVKRNSLKGQIIGLIYATQYLRVILLILNSLCIVVKLVSG >KVI09854 pep supercontig:CcrdV1:scaffold_1296:47250:49594:1 gene:Ccrd_011761 transcript:KVI09854 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MSAIKTSPSSRFFTFGLVSAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSSCALLSYIAIAWMKMVPLQAIRSRVQFVKISALSLIFCASVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLLTLKREAWLTYITLIPVVTGVVIASGGEPSFNLFGFIMCIGATAARALKTVVQGMLLSSEGEKLNSMNLLLYMAPIAVVLLLPATMYMEENVVGLTIALARQDFGIVWLLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMAGYTLTVIGVILYGEVKKRSAK >KVI09846 pep supercontig:CcrdV1:scaffold_1296:38189:41410:-1 gene:Ccrd_011759 transcript:KVI09846 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MSSSGDTSKGNTLPITARRKKNVDSMESLQEIGGGGGYWNHHRHPWQISSLGPCFMIPIRINSLLKCLMPRKNRTNCIVTIFMLIMVTIMFTKYIHMINFNAEMANEEEDLVVIRRQILAETNLKHVQAPGIWRNPRSDNYHGCIGRLTSETRNRSAPTNGYILVQANGGLNQMKMGVSILVIQSCISDMVAIAKLMHATLVLPTLDHKSFWTDPSDFKDIFDVKQFMATLQDDIEIVESLPPDVAGFLHTDSRLANNGIPDSIQKLRCRTMYEALKCTKEINELGRKLDMLAFTGCTHGLSEKEADELKALRYSVKRWKVKKIKSRRNRQQGNCPLTPRESAIFLQALGFPSSTKIYIVAGEIYGEHGLDDFKEKYPNVYTHSTLATEDELKPFKRFHNQLAALDYTLALASDVFVYTYDGNMAKAIQGHRMFRGFQKTIYPDKRNFVRMLDELDRRNLSWEDFSSEVKNLHANRTSRPRRRVGGVKPKHEENFYANPFPGCICENR >KVI09849 pep supercontig:CcrdV1:scaffold_1296:97282:98735:-1 gene:Ccrd_011766 transcript:KVI09849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEQAFKSSLRSKERPTLSTFNSMITNYRKARLSEKANLVFQKITDMGYMPNFITSYTNANIKELLQKLLKHMDRYDIVPNKRFFIDALGGLGSSNRDSIPTKVNLRIPADKETTHSSSISADEIIK >KVI09848 pep supercontig:CcrdV1:scaffold_1296:101952:102514:1 gene:Ccrd_011767 transcript:KVI09848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLMLLIIYTIVVAYALDYLHYRCGVRRDLKPSNILLDANMVAHVGDFGLAKIFSLELSDANKSSSEYGLGNEVSPSGDIYSYGILLLEMLTGKKPVDSMFREGLSLHSHATSALAGDFVLQIADPMLLRDDVKEGSLISLLEIGVRCSSEYPQD >KVI09847 pep supercontig:CcrdV1:scaffold_1296:102869:109138:-1 gene:Ccrd_011768 transcript:KVI09847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSANFSNGSLHFPPIPATHMKFTHISSLNFNFCRQYPCKKPTRYAIISGSTIRSKRNSKSEENAEAQELVRTLLKNFNYDKPLLSTLNKYVKLVRTEHCFLLFEELGKSDKWLQCLEVFRWMQKQRWYVADNGVYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSKDKPKALSKALGYFDKMKGTERCKPSIVTYNILLRAFAQARNVAQVEALFKDLEESIATPDIFTFNGVMDAYGKNGMIGEMEGVLARMKSKQIKPDIISYNLLIDSYGRKQEFEKMEQVFKSLLRSKERPTLSTFNSMITNYGKARLRGKADLVFQKITDMGYTPNFITYECMIMMYGCCDCVSRAREIYDKMIESEKIVKVSTLNVMLNVYCLNGLTMEADRLFETACSSEMFRVDSSTYKLLYKSYTKANMKELLQKLMKHMDRDGIVPNKKFFLDALGALGSSDRDSIPTKVNLRIAVDITKRRLREMKADCRPHLMEKKSKIKSRNHRTAKRLGKQKEDRAACNLVQRMLKPLLLRILDWCTPHELLGSVPFVEWKTRHAD >KVI09844 pep supercontig:CcrdV1:scaffold_1296:15200:19023:1 gene:Ccrd_011755 transcript:KVI09844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSLRIRGLATIEAVKGHRRWKLWSLTGYHRSSDNQRRGRRWWRLPETEAVEAVESHNRRYHGTIPVFQQCFRADSGGIGEGDG >KVI09842 pep supercontig:CcrdV1:scaffold_1296:28230:29599:1 gene:Ccrd_011756 transcript:KVI09842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily ILKLNEILENENSVVGSPKSRNLSLCVLYPFEKKMKKEGSLPKSPLMMTGFSVVIVTLVILSAQPTFSISLRFPNRNFPSEATHSLLGDAHFVDGGYSVQLSGPTPSSFGIILRTTPFKFTSSTSFSSNFTFQIGNGVALGGVKLSSWIDYHAILKQVDVRLSKLGDPRPVESLISYRIDLGEMWKGEEALLGLASPNGNHGQTTNVYSWRSKIKNVPKWLHSNPANPQDHSSVPEDVETSKKRDCFLSGFIFVMSCGALAALVLFFVWSYVAAMPKVPSVSPVDFKYEKIGVVEMKDSETIKK >KVI09853 pep supercontig:CcrdV1:scaffold_1296:49910:53098:-1 gene:Ccrd_011762 transcript:KVI09853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MSFTGTQQKCKACGKTVYPVELLSADGIDYHKSCFKCSHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETAKAAEKSSPMLVTVENQAYHKSCFKCSHGGCSLSPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRSAAAATAAAAPTTASIPEV >KVI09850 pep supercontig:CcrdV1:scaffold_1296:93979:97800:1 gene:Ccrd_011765 transcript:KVI09850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGLKRGKLKNIEFKNQNHLVKPPIYWRSNSKRPISSYRNRRSTSSSGKQSHHCDFSNRLISCCESFSSVINVGVHHTRNRTCFILQNSKIDLFVSHFYTFLMPKRKAKRATKQSNSSHVDDTRCDVATNGAPEENAFIDQEVERQTAAIRAIRDMEIERLLTALHLLKSNMSKEQLQTPLLQFFEKNLPNLTVSRAGEDGRIVVKRKDKDGDLSMNTADGGNAISSFLQHMSMAYPDCSTAMPSIGGFEFSSRAGKTSFIGAENLQIKDFVLEEPSDTHILGIEDPLQTPGVTSQRLSVGMTPKTLRLPKQGEMLLSVHGSPLGVFKENNMDAIHEIEEE >KVI09855 pep supercontig:CcrdV1:scaffold_1296:42433:43152:1 gene:Ccrd_011760 transcript:KVI09855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35A MVKGRQGERVRLYTRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVGWYQGKRMAYIYKAKVKRNGSHYRCIWGKVTRPHGNTGIVRAKFKSNLPPKSMVKIHPDMDLLAAFCVFSGVKSV >KVI09843 pep supercontig:CcrdV1:scaffold_1296:32741:34844:1 gene:Ccrd_011757 transcript:KVI09843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDIQGDDGLSKIYSHEQLIIEIPETAHKISSDSWFQVGFVLTTGINSAYVLGYSGAVMVPLGWVGGVVGLIAATAISLYANVLIARLHEFGGKRHIRYRDLAAYVYGPKAYSLTWILQYVNLFMINVGYIMLAGQALKAIYIIFRDDGAMKLPYFIAISGFTCAIFAICIPHLSALRIWLGVSTILSIVYIVTAVVLSLRDGIQSPPRDYSKPGTHTSKIFTIIGASASLATVRPPVVSNMIKALYFQFSVGVLPLYAVVFMGYWAYGNGASSYLLNNVHGPLWLKTFANISAFFQSVISLHIFASPLYEYLDTKYGIKGSALALRNFLFRIMVRGGYLSITTFVSAALPFLGDFMSLTGAISTFPLTFILANHMYLVAKENNLSCLQKSWHWLNVCFFAIMAVAAATAALRLIAVDSNTYHFFADM >KVI09852 pep supercontig:CcrdV1:scaffold_1296:60847:62001:-1 gene:Ccrd_011763 transcript:KVI09852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MSHSLSLITFLSLLFLFLFSSVTATTIGVTYISSPTLPPPEKVVDVLQSLKITAVRLPVADPDIIRAFSYTNISLFLVIPNSLIPSISGNRSAASLWIYKHVIPFYPRAQITAISVGNNVLAEGDVTTADLLLLAIRNVHRSLVDVGIRQITVSTTFSFVNIMTTSFPPSSAEFQEPAGKFVIKPLLQLLTETNSSFFVNLYPYSVYKLRPEIPIGFALFQELAYNFRDDTVTGVRYRNLFDLMVDAVIAAMAVAGHENIPVVVAETGWPCFDPSTEAEARGVYAKMYLQGLVNHLRSGKGTPLRKEGVADVYIYELFDANESVTKNQALRSGGTWQNWGVLFPNMSMKFQINFSNGGSISPAAVYDIVFCFLLLVIGYSNYLR >KVI01469 pep supercontig:CcrdV1:scaffold_1297:109556:114155:1 gene:Ccrd_020264 transcript:KVI01469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MGKHHFCTNQYNKIKLTLRSLTKRWPGLIKIEHGPLNRVDNSPVAAIEDLPLTKSYKYTQRNIMRSTTVMERSKSFVQPPAYGKLITVLSIDGGGIRGLIPAIILEFLEAQLQRIDGEDARIADYFDIIAGTSTGGLITSMLTAPNENKRPLFAAKDIKDFYLVKCPKIFPQDCKEELNSSNSTAISSFTMCTLLSLLSETNIDKYGFDFLKNSNMFAKIIKNLTGPLYDGNYLRTAIRKKLQNTKLADTLTNVAIPTFDITTLQPTIFSTYEMKDKPHLNAKLSDICIATSAAPTYLPPHYFETYDHEGKPHKFNLIDGGVAANNPTLIAMGEIAKQLIRRNSDFDLSRSLEYRRFLVISIGTGECKMAGKYCAEDASKWGLFGWWFNANGSPLLDIFTQASTDMVDFHLSVVFKALDIEKNYLRIQEDGLGRTILDRATQENLDCLKKAGEGLLKKKVSRVNLETGDFESYSKETNEEALIEFAKKLTDEKHLRDINIRSPRTPIPGPPSIFLETKPNFGPFEKLSTA >KVI01467 pep supercontig:CcrdV1:scaffold_1297:35153:39168:1 gene:Ccrd_020260 transcript:KVI01467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRWINGDESAKQMLGRVLKERPLLLLPHPLHRIPLVVSNIVEIVGPSPSAKTEILLQVAVSCILPKSWNGVQYGGLEHSVLFLDLDCRLDIFRLSHCLKLRITEANRLHKITKKQKGFDVSNHIPEHQCKYDDMDLFTECMKRFSYTRCYDSFEFLAALKVLHHKLEKERNMDGSGVHVLMIDNIGAFYWINRGFSSLPQGNPNRKNFSLQSVFETVVPEIKKLLLLHPMLVLATKMVTYQVKSSYSSWVSGVASEVNIGKGTYREYMPSIWQSFVTHRILVRASDDKRKLQDRVCYLAEWLLPALNLTDEFVVGDAGILMSL >KVI01466 pep supercontig:CcrdV1:scaffold_1297:72948:77902:-1 gene:Ccrd_020263 transcript:KVI01466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGVCFSSEYDEQHHAFQTKKGEIGPHLTKERCTSLIKPSNMELPHTASPRYAMVITKDVKDLRENPGYSNLDIFTYEEMRIATNLFRPYQVLGQGGFGIVYKGVIDENVRPGYSKIQVAIKELDPEGIQGDGEWLAEVNYLGQLQHTNVVKLIGYCCEEDHRLLVYEYMAFGSLENHLFRRVSATLTWSKRMKIALDAAKGLAFLHDAERPVIYRDFKTSNILLDADFNAKLSDFGLAKNGPIGEQTHVTTRVMGTYGYAAPEYIMTGHLTARSDIYGFGVVLLEMLIGRKAMDNSKPSHEHNLVDWARPLLIHEKKLLRILEPKMEGQYSSKIALKVANLAHQCISQNPKGRPDVGHIVQVLESLQTN >KVI01470 pep supercontig:CcrdV1:scaffold_1297:21423:30053:-1 gene:Ccrd_020259 transcript:KVI01470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELGRQTETAQSGRQTETVTPVGSRSIWGEVELAESYLVCSMFEEAAASASSVLKRLCDKEYTDGVVENIELNDMLESAGMVFVQSLQELGRTLEIINELMQLYNSLTAIPVHVFLAGVCFQMQEDPHGAQKILEEFLSKWRFVDERFYVLASLETNLSYMEGYDNRFVLGVDTYLQVVEAYVALLTGILRGTDLAISWVEKAALPEHTRQEYLRRLQSMYSSNATGSQSSTSDLLRDGNVTSSVSNKKQTPFEGSSKTPGKQPDGKDTAKEAILRYSAQHVPTFWWFRTLNVKFGSIKFAISNGSILLTALMLLTFYFMRRKKHSITSILKGQVLFVKKAVLDLWQLAFSYQVNPLASIEPLQTPARVSR >KVI01468 pep supercontig:CcrdV1:scaffold_1297:52843:59974:1 gene:Ccrd_020261 transcript:KVI01468 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome complex ubiquitin receptor, subunit Rpn13 MLEFRAGKMHMEGKRVVADPRKGLGEEGLVHIQWLDRNNNIIEDDQIVFPEEAIFEKVGQASGRVYILKFQTDDRKCFFWMQEPEADKDIELCNSVNFYLNQPIEFPTEEETLGEENSSRMGTEVTSDVSSSGPVKLADLQRILREASDHDGGLGLGDILKPELLFPLMETLSLEEGHWTAEELMELLQSPPFRQQVDSFTYVLKTGQIDLTQFGVDPSKYKFTVLSFLEALEDSVGGISDTEELSRDDSNLRSETRTQSDKMDEGQ >KVI01465 pep supercontig:CcrdV1:scaffold_1297:63203:72820:1 gene:Ccrd_020262 transcript:KVI01465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKMQTHLKSRGTTTRVIFELKQRVLLALNKISDRDTYQIGVEELERSIKCLSPEGVSPFLSCILDTDSDQKKAVRKECIRLMGMLATFHQDLIGPHIGKMAASIVKRLKDPDSVVRDACVETMGILASKLSSSESQLEGSFVVLVRPLFEAISEQNKHVQCGSALCLARVIDNATDPPVSILQRMLEKTTKLLNNPRFLVKPAIIELNRSIIQVSFESTHAGGASTQNTLTAAMTSIQEALKNSDWRTRKAASSALAEIASTNGLYFGSFKSSCIRSLELCRFDKVKPVRDTVLQTLQLWRNLKGTVASELPEAGSFTTGGDYSDILSARESAVKNTSVHRESVEKRVPLSVRKNCHSYVGSPQHSNANDWNIEVSVPNTRNVSLAYAPDGESEGSSVTKTYERTRSDITSTQDIGFEYVPMDDKLEFFSASNIKTEKFEAKLVPTTENCQVKGKLVNSLGVNQFQVDKEMSTEEQRYFAKIEGCRSLDSTITESSSETHHGCCMQTAMEIAFFREKLIDIENKQSNLLDLLQLKVSSLEHVVDRMAQNFIHGERHSESTVKFLTRSSTTAAPRLSTCTPRISVDIRNRKSPLQPPKQADASDECTFGRGKSGNYDNQRADSWMDPTSRNHASLNRNGDAVFSLDSGRCNDLQVQNSLRKVIKGRLSQGDIEAAYIDALNSGDEVVLFELLDKTGPVLERLSPRTANVIVSTLASFLSEQQFMISIIPWLQQMVDLSSVHGPNHLVLTAKTRREFLCAIEEAVNMEFPNAMARRSITQLVIKLQNFWGK >KVD98091 pep supercontig:CcrdV1:scaffold_12970:171:334:1 gene:Ccrd_024322 transcript:KVD98091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22/L17 MLNKRTTEVYALGQHISMSAHKARRVIDQIRGRSYEETLIILELMPYRAC >KVI11185 pep supercontig:CcrdV1:scaffold_1298:14638:27618:-1 gene:Ccrd_010407 transcript:KVI11185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA large subunit methyltransferase E MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKLSSDSNTTLPSTSLHRSTPPPSHTLSSLHAHHASTFTHLRTLSGCPMTGSARSPIARSPAPKKSVHSPTRFLLPKATIPSPKTAIHLKICVALQCITEKYNATLSNQGAKRALSSAEFRSNGLRRQPVAMIKDRELLKDNGGESNMLEEKIQRLPARXEGWDKKMKRKRSVGTVFTRPMDSNGEQKRIVQNKVVSEHGLQSNDTHPYRFVTIVRVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILKNGGKFIAKIFRGKDTSLLYCQLKLFFTEVTLAKPKSSRNSSIEAFAVCENYSPPEGFNEKDLHRLLEKVGSPSGADDLDCSSGWLEGANKVYIPFLACGDLSGYDSDRSYPLPKAADGSYKSLDPVQPPIDPPYKRALEMKKASNHNGFQNHH >KVD98090 pep supercontig:CcrdV1:scaffold_12981:232:390:1 gene:Ccrd_024323 transcript:KVD98090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVSVLMGLVVYWHMLLRQLMEGFTTMQMTLFLTTRSGSECY >KVI01611 pep supercontig:CcrdV1:scaffold_1299:55468:101404:1 gene:Ccrd_020114 transcript:KVI01611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1749 MRTNAACSRAVRAAILQAPVSDREFRATLPETAGMIDLASKLISEGQGLELMPREANPDSPITAYRFHSLCAYMGDDDMFSSDLSDDQLKQRLAHMSNTPCQVIFSMADEYVPDYVDKKALVQRLCKALGGAEKVEIEWGNHSLSNRVDEAVQAIMQFVKSGGPNGWDDPWS >KVI05174 pep supercontig:CcrdV1:scaffold_13:156551:163516:1 gene:Ccrd_016516 transcript:KVI05174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDFFVGLLPLEPNLVLKTPNVFYLAPSRFLPFGSGDFAAKHVSAGNIVFLDLVLFRNHVLLFIESKLAILSFLAVVLAVKKTTLVVLPVKRSCAGLIYKSIKMINSEINTIELGTNVAGTTTFRKLPVLAAKKVALRDVQNNNSNVTRAIQPESLLPIEGQSFVDAAKTCGTKRLTPDYASPPLLGNNGAHEHFNYPRRKYEFEGNRGRNLGSTEKNVGYPPSADVPQIQQEVNQINGKNMRYGSFVAPNHIASKTSVPRFKESKDEQRTERFMRLQNFIKQCDGFNHRENIQPLLHLSPLELSRHAVELEKRAIQLTIEEGKEMQRMQALNILGKPLSTRTPMPITQDIQHKN >KVI05155 pep supercontig:CcrdV1:scaffold_13:775782:776204:1 gene:Ccrd_016472 transcript:KVI05155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAVRKAIYHQKLRNHLKDHTQIVIVGADNLRANQIQVIRQGLRGVSEVVMGKNTLMRRSIAIHAPATGNTAFLGLISHIVGKVGLVFSAGDPNEVSEAVAGYNLQPLLCSSMDIGICSHWQWLLSSHLDLVSKSSNV >KVI05164 pep supercontig:CcrdV1:scaffold_13:533855:536461:-1 gene:Ccrd_016491 transcript:KVI05164 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MASTTNTILLSSNFLLSSSAPKTRKSPRNRFVIPKSILNNKSNSSKHLIFQSKATLAALLFSSIATPPALALDTNPPPSSPPQNPLQAELIEQNPLKSSSLFSQQNVLTAPKPQASSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGGVLQLAAVDGHKATVIVPNDPDLIDILAMNGVDISVSEGEASNGLFGFIGNLLFPLLAFGGLFFLFRRSQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVSFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFDKIARRTPGFTGADLQNLMNEAAILAARRELKEISKDEIADALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGHNNVTTGASNDFMQVSRVARQMVERLGFSKKIGQVAIGGGGGNPFLGQQMSSQKDYSMATADIVDAEVRELVEKAYARATEIMTTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYIS >KVI05180 pep supercontig:CcrdV1:scaffold_13:124161:133575:-1 gene:Ccrd_016518 transcript:KVI05180 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme MYGSLGFVPKADLGFLTQFSSKDSSLATGSNLFIVPHQLTKFRLGPAKLPFVSRLFRYLKFGLNREEGSIVYREWAPAAEEAQLIGDFNGWDGSNHKMERNQFGVWHIKIPDVDGNSAIPHNSRVKFRFKHNDGVWVDRLPAWIKYATVDSTRFASPYDGILVSLCCRACRYEFSYPRPPKPEAPRIYEAHVGMSGSEPRVNSYREFADNVLPRIWANNYNTVQLMAIMEHAYYGSFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHVSSNITDGLNGYDVGQATQDSYFHTGERGYHTLWDSRLFNYSNWEVLRFLLSNLRWWLEEFKFDGFRFDGITSMLYHHHGINIAFTGDYHEYFSESTDVDAVVYMMLANDLIHSLLPDATVIAEDVSGMPGLCRPVDEGGIGFDFRLAMAIPDKWIDYLKNKNDDEWSMTDISRSLTNRRYTEKCVAYAESHDQSIVGDKTIAFFLMDDEMYSGMSCLMDASPTIDRGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPRLGNDWSYEKCRRQWELVDTQHLRYKFMNAFDRAMNLLDDKFSFLTSAKQIVSSTDEDGKVGHDVDHFTSPEGIPGVPETNFNNRPNSFKVLSPPRTCVVYYRVEEDSPNNTIDEASLEVEDKDFEDAENFQEATCFIESEVATLKTPEEEEDDGDMRFI >KVI05204 pep supercontig:CcrdV1:scaffold_13:76943:77991:-1 gene:Ccrd_016523 transcript:KVI05204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWRKSLGNVRSVFGNAMGGLRGGSNLASWVVAGTLAYFLWVKPSQELKREQQERAALAAASDRYRYVEKVKPIPDLQETGIIYGNKNKTKNPEI >KVI05197 pep supercontig:CcrdV1:scaffold_13:586972:590949:-1 gene:Ccrd_016487 transcript:KVI05197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQPNLYRSVDRERRGIGTVKMIPRSSSLQQDKLFRSVDREGVDGGGVTSLPRSNPVQQEKRITRSNLVDQLREYQIRSKHDWASVSFFSSTSNLSSSRVDVVVFVIWELVILAFLVFSAVSLYFRHLRLGFVLATITLLLLLSMKVTKRIKLAQKKKRRMLLPLSM >KVI05183 pep supercontig:CcrdV1:scaffold_13:917538:918758:1 gene:Ccrd_016463 transcript:KVI05183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MMKKLGLFSFSSLKSGYDDYGGSIRHLFSSILSQLFFQALLLIFIFHFGVVGGTIGAVAGALIGFKHKMSFLQDVMMGAASGVALSQKLITAIFDYLRSDDHDQHLCFLHLIDIVAADVESKLLRDQDHIFLVSKVESCEKLVKLPKIEISKHDVFDSSGNATCCSICLQDFEVGNSAGIFPKCDHKFHPECISQWLRTHNSCPVCRRSL >KVI05149 pep supercontig:CcrdV1:scaffold_13:370773:374531:1 gene:Ccrd_016504 transcript:KVI05149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPFRYTGRWRSENPEFYENDLFVVKEFWDSWVTWLIVVPTRDAQHGLYLKTLQASFGIVRPTSERDGTDVLLNGRSGGLMISRLVDNIKMQLNRAMEGLVIVQVVANIAACFVGDVCL >KVI05165 pep supercontig:CcrdV1:scaffold_13:528583:530130:-1 gene:Ccrd_016492 transcript:KVI05165 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFLIILFPLLVFIYVLIALHISRNQKSIIPKHWPFLGTIPATLVNAHRLHDYTTDVLASSGGTFVFKGSWLSAKNKLCTTNPLDIHHVLSKNFTNYPRGQKFRNVFDILGDGFSNTDGDLWEFHHKTILSLLKSPCFQTLLEETIWNKVERGLLPVLDSFSKQGLEMDLQEIFQRFAFDTICKLLFDDDPKSMSLEFPYIPCEKALSEMEEAILHRYIMPPSFWKLQQLLKIGNGKKLNDAWKTLDQFIYKCLAVKQKEFSKLLNNKLQEEKFKLSTALLREYKDKSGTSGDANKFLRDTLLNLMVAGRDTTSSTLSWFFYLLAKNPIVEDKIREELHTQLEMEMEMDMAGNLKDLYGVELCKLVYLHGALSEALRLFPPIPFQHKTPLKPDILPSGHQVDESTIVILSYYGMGRMKSIWGEDCMEFKPERWVSTSGRIKHEPSYKFPAFNAGPRTCLGKQMSFTQMKIVAATIIYRYHVHLVEGHLVLPSESIVLQMKNGLKVRLTKRREVKM >KVI05187 pep supercontig:CcrdV1:scaffold_13:837690:838580:-1 gene:Ccrd_016467 transcript:KVI05187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQKAAAKPISKILKFLPRATSSVSFQNPPLYSPAKDKRSSEKTHKSNLGIGFSGPMVSIIPSDNRRKIKNDSTYALVYEPTSPRVSCMGQVKCKHYRKKLAAASAGATNKLPPTLAKPTNKVSRTTSFTTVRTHYKEDEEEKSAKVDPVVVKSKKKSGIRGLFRGGSVKGGRKSDATNNKSKTELNLHQKAPCLSTMKRFSSGRDAFSNFDWTTQVAPLDSAHRNYFTDDERCGGSDGDEEIKIPSSAPVMERTKGVCDDFIRVGGVNLEPRKEINLWKRRTMAQPKPLQLQTV >KVI05179 pep supercontig:CcrdV1:scaffold_13:108961:111326:-1 gene:Ccrd_016519 transcript:KVI05179 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MLMEGSVRCSANYVPLSPISFLERAANVYGDQVSIVYGDQVKFTWKETFERCVKLASTLVTHLGVSRGDIVAALAPNVPELYELQFGVPMAGGVLSNINPQYDSKTVSRLLEHSNAKVVFVDHESLDAVEEAIEILARKTSDLPKIILISDADKSLSIAPTCNHVIFEYKDLLYSGSLEFDIKRPIDECDAIAVTFTSGTTGNSKGVVYSHRGAYLNSLAAALTMEMHSMPVFLWSMPMFHCNGWCFPWAMAAQGGKNVCMRVASEKNIFESIARHHVTHMGGAPTVLNMITNASPVDIIPISGTSVKVMTGGSPPPPQVILKMEELGFKVYSCFGCTECYGPATVCTWKPEWASLPPETQASLKARHGLNHVGMQEIDVKDPITMKSVPKDAKTLGEVMLRGNTIMCGYFKNAKATENVFKGGWYRSGDLGVIHPDGYIQVKDRCIDGIVSSGQYISSISIEVVLFGHPKVFEAAVVGAPDDHFGEVPCAFVKLKDGHNADADEIIEYCRTRLPENMTLKMVVFEDLPKTATGKTQKVILREKAKMLYAQK >KVI05196 pep supercontig:CcrdV1:scaffold_13:658667:665010:-1 gene:Ccrd_016480 transcript:KVI05196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S9A/B/C, oligopeptidase, N-terminal beta-propeller MGFECGVRKPLQYHVARRDDSVADNYHGMTISDPYRWLEDPDLEEVKEFVERQKKVTESVLGNCDSRERLRERLTKSFDYPRYGCPFRKGNKYFYFHNPGLHPHPILYLQESLDEEGGVLLDPNVLSHDGAVALRGFEVSHDARYLAYGLSSSGSDWLTIQVMSVDHRTVEPDKLSWVKFSWISWTHDSKGFFYCRYPAPKETENMDAGTEVNVNHNHQLYYHFLGSKQSEDILCWNNLENPTHILEARLADDGKYLLLNICKGAARLNKFYCCDLSTLPNGLEGHRGKGNLPFVKVIDNFEAFYGAISNDDTIFTFLTNKNAPRYKLVRLDLKNPSIWTEILRESEKDVIESVLPINKNQMIVSYLKDCKHVLQIRDLERGNLLHTLPIDIGSVDYISARREDNVFFIKLSSFITPGMVYEYDLKARVPKMRVLREIVVQGFNQAAYQANQVFVRSKDGTHIPMFIVARKDIVLDGSHPCLLYGYGGYGVSLTPSFDITRVVLARYLGVVFCVANIRGGGEYGEEWHQAGSLANKQNSFDDFISTAEYLVSTGYTNPSKLCIEGGSNGGTLIGACLNQLMPGSWTCYDTTSLPSVSPYSLMESFHQATIVCLHAMNDGSRALGHAWVPEFGCSDKEEDFHHLIKYSPLHNVKRPWEDSSIRATQYPSTMLLTADHDDRVVPLHTLKLLSTMQHELCTSVKNSPQTNPIIARISTKAGHGCGSSTQKRIDESVDCYSFMAQALGASWID >KVI05206 pep supercontig:CcrdV1:scaffold_13:51457:58707:1 gene:Ccrd_016525 transcript:KVI05206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKTAMEAFEKLEKVGEGTYGKVYRARDRATGKIVALKKTRLHEDDEGVPPTTLREISILRMLSRDPHVVRLMDVKQGVNKNGNTVLYLVFEYMETDLKKFIRSYRQAGENIPPQVVKSLMYQLCKGVAFCHAHGVLHRDLKPHNLLMDRKTLMLKIADLGLARAFTLPLKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTKTAIFAGDSELQQLLHIFRLLGTPNEEIWPGVSKLKDWHEYPQWKPKQISTSVPTLDQDDRSIGEWSRRRRQWRLSKSSRKSEKEPMANCTEPERERATGKIVALKKTRLHEDDEGVPPTTLREISILRMLSRDPHVVNLQGLIIKLKWYSLILDLNVINDIKQADGCEVKQGVNKDGKTVLYLVFECMETDLKKFIRSFRQMGENIPPEVVKSLMYQLCKGVAFCHAHGVLHRDLKPHNLLMDRKTLMLKIADLGLARAFTLPIKKDPEVLLGATHYSTAVDMWSVGCIFAELITKTALFAGDSELQQLLHIFRMLGTPNEEIWPGVSNLKDWHEYPQWKPKLISTSVPNLSRDGLNLLSQMLEYEPSKRISAKKAMEHPYFDDLDKTHL >KVI05207 pep supercontig:CcrdV1:scaffold_13:44004:50643:1 gene:Ccrd_016526 transcript:KVI05207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase domain-containing protein MDACKALKLDGLVDSQLISNLCTDALSAEKYYYFVRLMGQKTSHICDAVEARAKQEKYHGVILLPEGLIESIPEVYALLQEIHRFLRQGVSVDKISTQLSPWASALFAFLPSFIREELLLYPESDDSAQLSQIETEKLLAVLVEAEMNKRLKEGTYKGKKFTAICHFLGYQARGSLPSKFDCDYAYVLGHICYHSLAAGLNGYMATVTNLKDSLSKWRCGAAPITAMMAVKDHGRASGAMMIGKPAVHPATVDVRGKVLLRKNANKFLMDDVYRNPGPLQFDGPGADSRTVSLYIEDLDYMGRIKELNVYLDKVRSIVKPGCSQDILRAALSAMSSVTDILSVMSSSSNGSI >KVI05161 pep supercontig:CcrdV1:scaffold_13:448439:454079:1 gene:Ccrd_016498 transcript:KVI05161 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEIVCVTGAGGYVASWVVKELLVRGYFVHGTVRDPDDEKKNGHLKELKYAHERLRLFKADMLDYASLCTAMDGCTGVVHVATPVPAEILEPAIIGTRNVMNACLTTKLKKVVVVSSLLAVVANPGWPKNQAMDENCWSDVDFCMKNEKWYCAAKTTSEREALEFGKNNNLKVVTVCPSIVFGPMLQSTVCTTNIILLNLFKGENSFNLIELDKAEEDTYIPIVDVRDCANALLLAYEKPEADGRYICSSHMLKTKALVELLKSLFPHYNYPTVSCDSNEEMNYTCEKMVNLGWNYMPLEKTLVDSVKAMQDA >KVI05189 pep supercontig:CcrdV1:scaffold_13:787792:798089:-1 gene:Ccrd_016469 transcript:KVI05189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMQMEKVEYNEGEHTRDKKLFSYLTYQMCPEGDGGHVESSESGAATMPSGTDSDSIVYLHGDLDLTIVEARCLPNMDLLTERVHRCFTIFDCCKSPLLKKRSKSQRRRKIITSDPYVTVSVGGATVARTRVISNSQDPVWNEHFITPLAHPVSHLEFQVKDNDVFGADLIGIVVISAERVMSGELIDDWFPIIGPSGKPPKPNAALRLQLRFISCDDRNKGNALGINELFDLKDSYFPVRHGGNVTLYQDAHVGEAQLPEIELDGECKFYKQRGCWEDICHAILEAHHLVYIVGWSIYDKVRLVREPSKPLPSGWDLTLGELLKYKSQEGVRVLLLVWDDKTSHNKFLIKTDGIKHTQQKRRELCKLTMKRRGSFSNTPLSTVCCHLDMQAISLAFSSNRHASYYVPVVVGTLYTHHQKCVIVDTQAHGNNRKISAFLGGLDLCDGRYDTPEHRLFRDLDTVFENDYHNPTFTAGTKGPRQPWHDLHCKIDGPAAYDVLKNFEQRWRKATKWSEFGRRFKRVSHWHDDSLIKIERISWILSPTSTIPNDDPALWVSNEVDPENWHVQVFRSIDSGSVKGFPKDVRLAESQNLVCAKNLVIDRSIQKAYIQAIRSAKNFIYIENQYFIGSSYAWHSYRDAGADNLIPVELALKIASKIRANERFSVYVVIPMWPEGVPSSASAQEILFWQAQTMQMMYEVIARELKNLNLENAHPQDYLNFYCLGNREQCEDQVSNSGSHSSSNGGGSISASQKHGRFMIYVHAKGMIVDDEYVILGSANINQRSMAGSRDTEIAMGAYQPHHTWAQKKKHPRGQVYGYRMSLWAEHMGKVEDYYKEPESLACVQNVNKLAEDNWNKFTADDYTPLQGHLLKYPIKVDADGKVSSLPGHEHFPDVGGKVLGYPSTLPNALTT >KVI05198 pep supercontig:CcrdV1:scaffold_13:603142:604252:1 gene:Ccrd_016486 transcript:KVI05198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin MSDEAVYHDAPKTQEYDQTTAPVKVEPTSETVDKEDRGLFDFLGKKKEEENKCEETAISSEFEQKVDVSEPEPKSEEQHETLFQKMHISHSGSSSSSDDEECEDGEKKKKKKKKPLTEQLKEKIEERKERKEEEEAVKKLEDDSCVPIEKYEEEVAVAPPPYNTDVGHHTPTDVVHHDPTSTPEAEEKKGIMERIKEKLPGGHKKPVEEQVAPPPPTPVAPAVVAHEEEPEKKGILEKIKEKIPGYHSKSEEEKEKEKVKECD >KVI05203 pep supercontig:CcrdV1:scaffold_13:81236:84654:-1 gene:Ccrd_016522 transcript:KVI05203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MDLRRGLPPKPPSTPAIVRHRKTTSNNINNTDRSSSSTDTVVDHRSPSPLPKASDALPLPLYLTNGIFFTLFFCVAYFLLHRWRDKIRSSTPLHVVTLSELAAIVSLIASFIYLLGFFGIDFVQSFIATSRVDEDNFTLEDDVEHHSKPKPRIMDPLPPALTLISEKDEEIVKSVVSGSLPSYSLESKLGDCRRAASIRREALEKKTGRSLLGLPLEGFDYDSILGQCCEMPIGYVQLPIGIAGPLLLNGGEYMVPMATTEGCLVASTNRGCKAIFASGGATAILLKDGMTRAPVVRFGTAARSAHLKFFLEDPINFDTLSIVFNKSSRFARLQSIRCSIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQIDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCESVITEEVVKKVLKTTVPGLVELNMLKNLTGSAIAGSLGGFNAHAANIVSAVFIATGQDPAQNIESSHCITMMEAINGGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGSNARVLATIVAGAVLAGELSLMSAISSGQLVKSHMKYNRSNRDMTAIA >KVI05159 pep supercontig:CcrdV1:scaffold_13:712917:717975:-1 gene:Ccrd_016478 transcript:KVI05159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase MGFHGNDHDDGVQQTQTVINNGREIILQAFNWESCKHDWWRNLEKKVPDMAKSGITSAWLPPATDSYSSEGYLPQNLYSLHSKYGSDSLLKALLNKMKQYNIRPMADIVINHRVGTTKGHGGRYNRYDGVPLSWNERAVTSCTGGLGNPSTGDNFHGVPNIDHTQGFVRKDIIGWLKWLRTVGFQDFRFDFARGYSAKYVKEYIEGAKPIFSVGEYWDSCSYNGSYLQYNQDSHRQRIINWLDATGQLSTAFDFTTKGILQEAVKGQLWRLRDAQGKPPGVMGWWPSRAVTFLDNHDTGSTQRHWPFPSDHVMEIYVRKQQDITSRSSIRILEAQANLYAAIIGEKLCMKIGSGSWCPKGPEWKLAVHGHQYAVWHK >KVI05177 pep supercontig:CcrdV1:scaffold_13:248947:282773:-1 gene:Ccrd_016513 transcript:KVI05177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRCFSCINCFPKDVENYDGNMAADEFAVDGRGNPCNDVNDGKLADSMREKRFNSQRGNVARGFTFRELAVATQNFKDANLIGEGGFGSVYKGRLESGKIVAVKQLNLNGLQGNQEFIVEVLMLSLLRHPNLVTLIGYCADGDQRLLVYEYMPLGSLENHLFDLEPGQEPLDWHTRLKIAVGAARGLEYLHCKANPPVIYRDLKSSNILLDNDFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPDYAMSGKLTLKSDIYSFGVVLLELITGRRAIDITKKPGEQNLSRPFLKDRKKFVQLVDPLLQGCFSVRSVHHVVAVTAMCLQEQANFRPLIGDIVVALEYLASQAEMSENLKSLTVGSQPLPDHAREPDATAILASKNLKKKPMNTEKQPITAAEGGSDHHGGTATSEKAVVSRGQIVIPKLKMYTFAELQSATKNFRPATILGEGGFGQVYKGWVDGVTYAPSKAGVGIPVAVKKSDSDSFQGLKEWQAEVKFLGKFSHPNLVKLLGYCWENKEFLLVYEYMQKGSLENHLFKKGTETLSWDTRIKIAIGAAHGLAFLHTTENKVIYRDFKSSNILLDADFNAKLSDFGLAKLGPINGESHVSTMVMGTYGYAAPEYMITVYPSTCAIKAAGLIRHCLDPNPNNRPSMEEVVASLEEMNAIKMKPKWLKADTKHLMSPHHKQRLGDRRHVNHHHSPLHTKQGGGARADRSPIQSRDKTTLRVKGETILVDEYMANGSLTDHLHRRRANGSNSSLLIWIQRLRICISVARGFDYLHIGTCVQSRVIHPDVKGSNILMDKNLAAKIYDFGKQQEEDNAEQKNSSPSSSSDQQFVHKEDHVEGTDSSSSSSLEKQCRRFSLAEIKLATHDFDDAFVIGKGGFGKVYKGTIDFGGGIDVAIKRLNIDSNQGATEFWAEIEMLSKLRHSHIVSLVGYHEESSKREMILVYEYLPNGSLEDHLHKRRANGGNFSPLTWIQRLRICIDAARGLDYLHTGTGVRCRVIHRDVKSSNILLDENLAGKIADFGLSRTGPAYQSCTTNVYTNQIRGTFGYMDAQYFATHRLTRKSDVYAFGVVLFEVLYGRPAVDFTLEEKQHSLAAWAKRCVEEGTIYQFVDPCLRGEISTRCLKEFTQIAYKCLLWSPKDRPTMTVVVAQIEFVLALALQKHDGVTVIEKNYRNRKNWSNANKNKLLILDKAVSTMAKGRSEGINNGVGLVDPTKAASEVSSKNINKGVTTEEPTMTLIGGSSVYVKAAAVPTEAECHRAAMMLKAQPLSSQIGTQNLKIFTFDELQRATRNFRPSAMLGFSDGESVYRGWVDRALYAPSVCGVRIAVAIRILNTDKVRSIEEWQAEVNRGKFSHPRLVKLLGYCSEDGRLLLVHEYIPKRNLVELLNYHPLQWATALKIAIGAAQGLAFLHTAENTPIYRTFDASNILLNEDFEAELYFGLATLGSPRGIMTMLNSDMLISHHTTPEYMATDLDLFIRTRYTITGHWCFKSDVYAFGVMMLHMIAGSSFFMDNRWPSLQSFMECAEPILSDPTKLERIMDPWSERGNPPKGASEAAELILNCLKIDPENRPSMTQVVASLEGINSMDICKIKPAYRDFKSPRPLVHRGCISTQVWDTGPVGS >KVI05195 pep supercontig:CcrdV1:scaffold_13:648042:655896:1 gene:Ccrd_016481 transcript:KVI05195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MKIMLPNFAVCIAIGALFIAIAGAEDPYRFFNWNVTYGNIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVINSLDEPFLISWNGVQQRRNSFEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAVGGFGGIRILSRPRIPVPFDEPTDDFTVLIGDWYKSNHTALKAILDRGHKLRSPDGILINGRGPNSASFTVQQGKTYRLRISNVGLQNSLNFRIQNHRMKLVEVEGTHTVQQMYSSLDVHCGQSYSVLFTADQPSQDYYIVVSSRFTTPTLTTTGVLHYSNSARKVSGPIPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTSRTIIIQSSAGQVNGKQRYGLNSVSFKPADTPLKLADYFNIGGVFRVGSISDKPTGGGLYLDTSVMGADYRTFVEIVFQNPEDIVQSYHLDGYQFFVVGMDGGVWTQASRNVYNLRDGVARSTIQSSGHANIWANSFTYVFIPTRARSETNSQSPRMHVFVGGQAAVTLDHSNLPTKQPLTLAKVS >KVI05208 pep supercontig:CcrdV1:scaffold_13:34751:37210:1 gene:Ccrd_016527 transcript:KVI05208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mad3/BUB1 homology region 1 MDLQIPISSAMEEIVEHSAATTMDPETEFLASKQQTGNEWELFKENVRPLKRGRNVKLLNHSLKSHSDIHVKDSLLDTRRNLIRAIDEYEGEDPLHPWLDCIKWVQDAFPPGGDGSGLVVIYEQCVRSFWHEERYKDDLRYLKVWLEYVSPYKHLVSASYHFKDPFAESCADAEVVYKFLDTNKIGQTHSIFYISYALHLESNNKIVTANDIYDCGISRNAQPIEKLKSAYKKFFARSMNRPKATAEESLETHQPARSFGTVLARGGRNQILEGSEISKKRSQQEGAIGAPLKVFKDTSTGSRSSMHQSESLKPDLKPWHTLGARAERNKENKAIPAKWTSNKIPQRPGHRIGAATTPCI >KVI05176 pep supercontig:CcrdV1:scaffold_13:316355:318585:-1 gene:Ccrd_016510 transcript:KVI05176 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4220 MVLNILSSLILVDQKRRLIQIFHESLRRQWNKWELRGLVLISLALQIYLIYSGNRRKYIAKRKIRAFIWCAYLMADWIATVALGILVDRSSPYSGDSNNNQYGDLRLMAFWAPFLLLHLGGPDTITAYALVDNELWLRHLLGGVVQTLAAIYITLVSWDGTLLSFLTVPMFLCGIIKYGERTWVLNSADRKNLQDSFLAARHTAEVNYTKFMEEFSLKEAEGYRVTASQMEQGSSPRDYTLPISIHDGILQTANYFFETLKFKWLFVDLVLSFYERDMSRSFFQGLKYEDAFQVIECELGFAYDVLYTKAPIIYTPFGLFFRLFTSSVTLSVLVIFFVSIGLRSHNHEFVDVVITYVLLIGAILLDLYATLSLLFSDWAILWFSRYSSKLPSVNHSSDISLQSALKDKRWSHSMYQFGLLDFCLTQKSSLFYKISSFICFRKRLRNLWVKYWVSVRHLKHLKMSTDLPSFIFKHFHEKSTDPLFHLKILAPCPGTVALETHKNLDDLKWTVEVEFDHSILIWHIATDICYEGDNSKRLKDGNHGIKPEWDDHMHYSKHLADYMLYLLVDCPFMLPIGIGKIRFQDTCIQALAFLEEKNWASKAEAYESLGQVSRNYSPGTVKVSESVLFDACNLAAKLKNMDPNEMWKMVSGVWVEILGYAAGQCNGFDHAQQLHKGGELLTHVWLLMAHLGLTKHFKEESHGRVKLITT >KVI05143 pep supercontig:CcrdV1:scaffold_13:379330:383080:-1 gene:Ccrd_016502 transcript:KVI05143 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MGLKVLSALDAAKTQYYHFKAIIIAGMGLFTDSYDLFCIPPIMTLIGRIYYPKFDDDVPPAKWFEVPAVIASTMFGVALMGAVIGQLIFGWLGDRVGRRRVYGISLVLMVLGSTGCGFSLTTLTPLVFVSLGFFRFLLGMGIGGDYPLSATIMSEFANRRTRGAFIAGVFSMQGFGILLSSLVTMTVCTVFKAVDKNLPTPSMELQASKTFAQVQPVSDLAWRLILMIGAIPASMTYYWRMKMPETARPINPTFCNNHIQRRPFCRKLRFFPTANSLCFFGCCFHRFTALVEKNTLQAAKDMEKVMNVSLSQIREDIDTMNTSSTRAAFSNTYGFFSREFLRRHGRDLVAASTNWFLLDIVFYSLNLFQDHAFKPHMKEKNAMNLYDDALQVAKFQAIVAASATIPGYFATVYLIDYVGRVKIQAMGFLFMAISLFTIAGVYKGDGGSDGTVGYMILYGLTFFFSNFGPNTTTFIVPAELFPARFRATCHGISGAVGKLGAITGTVGFLWASRDHPNGVWVSHTLTAMGGVCVLGFLVTYFFTRETMGRSLEENENVDELTGPRLLSVLARVGNRRGLPVIGHDYVGRSPTPTPLFSREFFRRHGRDLIAASFNWFLVDIVFYSLNLFQYHAFIHHMTPKSHMNLYNDALQVAKFQATVAACATIPGYFVAVYMINYVGRVRIQATGFFFLAVSLFTIAKVNTGDWGSNPSIGFTILYGLPFFFSNFGPNTTTFIVPAELFPARFRATCHGISGAVGKVGAIIGSVGFLWVYHEPPHGIGMSHTLMAMGGVCVFGFLVTYFFTRETMGGLWRRMRMLTSSQVFISFDFGPTSCGSIRPNKHLCTNVYISL >KVI05200 pep supercontig:CcrdV1:scaffold_13:609675:615580:-1 gene:Ccrd_016484 transcript:KVI05200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MDGKSSSNTVGKPIRCRAAIVRKPGEPLVIEEVIVAPPNPREVRIKIICTSLCHSDVTFWKLKHPPAIFPRILGHEAVGVVESVGEGVHEVVEGDTVIPIFLPDCGECTDCLSKKSNLCTKLPFSVSPWMDRDGTSRFTDINGETLYHFLFVSSFSEYTVVDIAHVTKIDPATPANRACLLSCGVSTGVGAAWKTANVETGTTVAIFGLGAIGLAVAEGARLCGAKRIIGVDVNQDKFEIGKKFGVTDFVNSRNCGDKTVSQVIIEMTGGGADYCFECVGLTSLVHEAYASCRKGWGKAVVLGVDQPGAMLTFSSFEVLHSGKSLMGSLFGGLKPKTDIPILIKRYMAKELQLDEFVTHEVDFEDINKAFDLLIGGKSLRCVIWMNK >KVI05152 pep supercontig:CcrdV1:scaffold_13:725765:730236:-1 gene:Ccrd_016477 transcript:KVI05152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MGRKFFVGGNWKCNGTSEEVKKIVSTLNAGQVPSGDVVEVVVSPPFVFIPYVKSELRSEFHVAAQNCWVKKGGAFTGEISAEMLANLGIPWVIIGHSERRALFNESNEFVGDKVAYALSQGLKVIACVGETLEQREAGTTMQVVAAQTKAIADKISSWDNVVLAYEPVWAIGTGKVATPQQAQEVHAELRKWLQTNTKAEVAASTRIIYGGSVNGSNCKELAGLQDLDGFLVGGASLKPEFMDIIKAAEVKK >KVI05151 pep supercontig:CcrdV1:scaffold_13:733112:734795:1 gene:Ccrd_016476 transcript:KVI05151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGSNGDMNAIRQEHPVKIPGASNRRSKSEPAADGTHGSAKMAQLMPIKYILVDIIGNQLTMPLYMGALFEKHNIVKYPHHASQKMINDSRIIQNQVWVLLKCVEVWVLLNCVVADIFCKKWKREHQDLCSSLSSVKSSESGGKQGLRTSE >KVI05162 pep supercontig:CcrdV1:scaffold_13:417927:426126:-1 gene:Ccrd_016499 transcript:KVI05162 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAAEKGRVVCVTGAGGFIGSWLVKFLLSKNYTVHGTVRNPLDEKNAHLKSLEKASENLKLFKADLLDYESIRAAIAGCDGVFHTASPVPPSSVPNPEARLVELIEPAVKEGYEEIENRLRMIVDVRDLVEALLLVYEKPEANLSSWMEYFQLHLRNYRGWGGATDHWKKRLWIQSRATKKTVFWVTYKLSSIFINHFLF >KVI05168 pep supercontig:CcrdV1:scaffold_13:486931:488343:-1 gene:Ccrd_016495 transcript:KVI05168 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MIPGVLRNIHRLHDHSTEGLRENGGTLMVRGPCFTNMDMLLTADPADMHHILSKNFSNYPKGDKFLKIFAILGDGILNSDGKLWEIQRKIAMSVLKXAGFQSLLETTTWNKVENGLLPILESICEHGTEVDLQEIFQRFSFDTICKVFFDNDLESLSLDFPNIPCLEALTDAEEAIFHRHFTPXYLWXLLQLLRVGKEKKLIDAWKTLDQFIYKCLAQNQNAYNNINSSHQEGKFIFYTALMRELEIHEIXTCXXRTKFLRDTLFNMLGAGKETTSSALCWFFYMLAENPSVEDKILEEIHKHLEVKVGERWKAEELNDMVYLQGALCESLRLFPPVPFNHKSPLQPDILPSGHXVDQNTXIILCMYSMGRMKSVWGEDCMEFKPERWITKSGGIKHEATYKFATFNAGPRTCVGKNMALSQMKIVATALIYHYHIEVVEGHRVLPAASMVLQMKHGLTVRLIKRSQNMN >KVI05158 pep supercontig:CcrdV1:scaffold_13:778326:783980:-1 gene:Ccrd_016471 transcript:KVI05158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MAAAATRFFTLFETTATATATATATNLYPFRAIRFPTKLFSSPTIRFRCYSSSAASLIDNDTTTLAAVGETSEQHPWPEWVTFVDRLKAKGYLHQEGGSDVAVYKDMSILKEACLSFGRDRFDLFKSLSMQDIQTLIEKGCPNINRKTVNSGKRLRAHLQLDEGDVCGVCVLRGSCDRAYVILKDIESAARTVDIVRILLNYALDPVVDSDKKPSGAELVEASARKLLSELTELSDTTIDPELQKPAATVARKAKSVDFMERDPSQNIEMKRGDWMCPRCNFMNFSRNKTCRECNEGGPLKAGIDDVEMKKGDWICPQCSFMNFSRNIRCMKCKTDGPKRVGVTDVEMKKGDWNCPQCQFMNFASNTKCLRCREQRPKRQLNPGEWECPSCDFFNYTGNVACRKCNCERPKDAEARYQEQIWRKPS >KVI05167 pep supercontig:CcrdV1:scaffold_13:500937:502349:-1 gene:Ccrd_016494 transcript:KVI05167 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MIPGVLRNILRLHDCVTEGMIENGGTLMVRGPCFANMDMLLTADPADMHHILSKNFSNYPKGDKFLKIFAILGDGILNSDGKLWEIQRKIAMSVLKHAGFQSLLETTTWNKVENGLLPILESICEHGTEVDLQXIFQRFSFDTICKVFFDNDLESLSLDFPNIPCLEALTDAEEAIFHRHFTPXYLWXLLQLLRVGKEKKLIDAWKTLDQFIYKCLAQNQNAYNNINSSHQEGKFIFYTALMRELEIHEIXTCXXRTKFLRDTLFNMLGAGKETTSSALCWFFYMLAENPSVEDKILEEIHKHLEVKVGERWKAEELNDMVYLQGALCESLRLFPPVPFNHKSPLQPDILPSGHXVDQNTRIILCMYSMGRMKSVWGEDCMEFKPERWITKSGGIKHEATYKFATFNAGPRTCVGKNMALSQMKIVATALIYHYHIEVVEGHRVLPAASMVLQMKHGLKVRLIKRSQNMN >KVI05169 pep supercontig:CcrdV1:scaffold_13:469100:469714:-1 gene:Ccrd_016496 transcript:KVI05169 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MLGAGKETTSSALCWFFYMLAENPSVEDKILEEIHKHLEVKVGERWKAEELNDMVYLQGALCESLRLFPPVPFNHKSPLQPDILPSGHKVDQNTKIILCMYSMGRMKSVWGEDCMEFKPERWITKSGGIKHEATYKFATFNAGPRTCVGKNMALSQMKIVATALIYHYHIEVVEGHRVLPAGSMVLQMKHGLKVSLIKRSQNMN >KVI05166 pep supercontig:CcrdV1:scaffold_13:517404:518908:1 gene:Ccrd_016493 transcript:KVI05166 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEIVCVTGAGGYVASWVVKEVLAKGYFVHGTVRDLDDEKKNGHLKKLKHAEEKLHLFKADMLDYASLCTAMDRCTGVVHVASPVPAGKAEMFEPVITGTRNVMNACLNTKVNKVVIVSSLLAIVANPSWPKNQVMDENCWTDVEFCMKNEKWYCAAKTISEREALEFGKKNNIKVVTVCPSLVFGPMLQSTICTTNLVLLNLFKGENSFNLLELNAQDDIDIPIVDVRDCANALLLAYEKAEADGRYLCSSHTLKKKALTELLNSLFPHYIYPTNCYRASDEKLNYTCEKLVNLGWNHMSLEKTLVDSVRTFQKAGLLS >KVI05182 pep supercontig:CcrdV1:scaffold_13:958483:965791:1 gene:Ccrd_016460 transcript:KVI05182 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MDRYQKVEKPRAEQAIDENEIRITSQGRMRSYITYAMTLLQEKGSSEIVFKAMGRAINKTVTIVELIKRRIVGLHQNTSIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELNTSSIGYQPPLPADQVKVLNEFEYDGEGSPTARGRGRGGRGRGRSRAVPGNGYAPDEYDDGGWDGPSGYPRGRGRGRGRNFRGRGRGSYGNAPFMDTQQDAGGYNQESPRGRGRSFRGRGRGGYNNGPYMDTQQDTGGYNQESPRGRGRGFRGRGRGGYNNDPYMDSQQDAGGYNQEFPMQGRVILICQVSERLLEPGITPWRGRGRGSRGRGRGFRSNRPMQAAATGGGD >KVI05181 pep supercontig:CcrdV1:scaffold_13:946330:946719:-1 gene:Ccrd_016461 transcript:KVI05181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MLLSVFLALFLPCAGMSAVFLVYICLLCYAARHHHQTNAPVKPATENGLSSAQLEKLPITTGKDLALGTECAVCLDDVEPEQPARMFPGCNHGFHQQCADTWLSKNPVCPVCRNKLAADFFVPPETNPC >KVI05144 pep supercontig:CcrdV1:scaffold_13:376361:381042:1 gene:Ccrd_016503 transcript:KVI05144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIEGFIDSGRLLAAERVFEEMPERDVVSWNSMMSGYFRNGFPEKTVEVFVSLVRCFDCVPGAYSFTCMMKACASLKIFNLALQVHGFAEKLGFLGDDSVESSMVDMYIKSGAPDFAERVFLKMLNPNISLIDMYAKCGCFRKARQVFNDLKEHNVVSWTSMIGGAAHCGKEEEAISLFKQMKAVSVASDQFTLATVLNASYGLQDIYLGSQIHAYSIRIGIDHLTSVANALVTMYAKCGDIESVNRAFNWMSHKDIISWTTMITAFSHNGNVGKARECFDKMPERNVVSWNSMLATYIQHGFWEEGLKVYVLMRKEGVKPDCVTFVTSISACAYAAILKLGNQIVAQAEKFGFGSDVSVKNSIVTMYSKCGRIGEACKTFDSILAKNLISWNAMMAGYAQSGQGNQVIDTFEQMIRSGIMPDNISYVSVLSGCSHSGLVPEGHYYFDMLLKDQDISPTCEHFACMVDLLGRAGRVEEAKDLIDKMLIKPNAAVWGALLGACRIHGKATLAETALKNLVVLDAEDSGSYVLLANLYSDSGKLESVSNVRRIMKDKGIRKNPGCSWIEVDNRVHVFTVDDTNHPRINDVYRILGEIIGKIEETGIYIKENGSVNKPRAYHSEKLALAFGLMTLPAWMPIHIMKNLRICDDCHLLCRDYESGGVRPKIGKKERKSIEDREPDTWIGSPISRVDFCDCKQAYCQEKEPSCLDPDSPDVIDHIETVEDNINEKPVEGCGNEITTMSSEKFSREKRGRRRRTLLQCLECSSARYLQSDHNCRESREAPNHSANIMACKQARN >KVI05190 pep supercontig:CcrdV1:scaffold_13:800010:813259:-1 gene:Ccrd_016468 transcript:KVI05190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MTEKTVVSSGLGHLFMTVFVFNFANFMVVPAITDVSMAALCPGEDECSLAIYLTGIQQAITGMGSLVMMPLIGNLSDHYGRKVMLTVPMLLATLPLVILAYSRERNFFYAYYVLKTLVSMICEGSADNVAVGRRGSAFGILSGISSCSFVFGNLSTRFLPSAASVFQVSAAVSMVSVVYMRIFLPESSMESAVIAVSSKEQTVNESLLEKGCTDNRRPLRTTPSLHDSISLLRSSWTFSQAAIVAFFGSLGELGLYSALLYYLKAEFHFDKDQFADLMIINGIAGIISQMVLMPLLSKVVNEEKILAIGLIFNCVHEVDTFQLRSIVSKQVGPTEQGKAQGCITGLCSFASIVSPLIFSPLTALFLSDNAPFAFPGFSLVCAAFAMMIAFIQSVMIRAPPPPVPDSKLDDSVSVEP >KVI05199 pep supercontig:CcrdV1:scaffold_13:605625:609460:1 gene:Ccrd_016485 transcript:KVI05199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline nonlysosomal ceramidase MMGYANLDQSTAGIHFRLRARAFIVAESLDGPRIVFINLDAGMASQLVTIKVLERLSKSHAGPGGYLQYVLYSVTSLGFVPQSFDAIVTAIEMSILQAHQNLNSGSIFINKGDLDNAGVNRSPSAYLFNPPGERARYPRDVDTLMTLVKFVDGTSQRSIGAFTWFATHGTSMSKDNTLISGDNKGAAARFFEDWFTSTTTNSTTYNAQDNMIDVLIKRASDIKATGGQSCGPTTSQGFKVRKNNSFVGAFCQSNVGDLTGKIDYRHIYLDFTNIEVSLDGNRTAKTCPAAVGAGFAAGTTDGPGAFGFQQGDTKINEFWKRVRDFLQKPSDYQVECQKPKPVLLSTGEMFFPYAWASVRLIAASNCSDSNPENRETSHSIGSWRFARSFSISKIMDVEYPDNYSFRVFSEFTTMSGRRLRESVKQTLISSGNGEFDNDTHIVIAGLTNTYSQYIATPEEYEQQRYEAASTLYGPHTLPAYIQEFNKLAESLAKGGKIVHKGPSPPDLSSVQLKLLPDPSGDSPPPGLKFGDMKHDVSIPKSGFFGKGDKPSAVFWSPNPRYDLLTEGTYAVVEKLQGERWVPAYDDDDFSLIFKWNVDNSSTSGLATLEWEVPDSASTGIYRFRHFSSSKKNTVSTTEYFTGASSAFTVS >KVI05148 pep supercontig:CcrdV1:scaffold_13:351183:357436:1 gene:Ccrd_016507 transcript:KVI05148 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3456 MALTAALRRLIFVAAIFIALSIAHSIDDKCSACNAVAEELELGMMKEKPKNHLDMRHRLDSKGQRRGKVIDYRTSELRVVDLLDGLCDKMQDYTLQKMDSTEKVWIKVDSWDDITSNKQESRAYSKEISSYCGRLLEDTEDELAELIMKGSVKVGEVSKVLCHDLSKHCKQTSDYHQSSNNEEVNDEL >KVI05192 pep supercontig:CcrdV1:scaffold_13:583436:585843:1 gene:Ccrd_016488 transcript:KVI05192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGNPKANKECVVPASNSTIISIPPPPLEHPSPSPANSIPMTPKSPFITRVMTPLASPMKKAMATMQGYLELTKLNPHDEWLPITESRNGNAFYAAFHTLSSAIGVQALVLPLAFTALGWVWGIMSLSVGFAWQMYTLWLLIQLHESPSGTRYSRYLWLSMAAFGEKLGKLMTLIPTIYLSSGTCITLIMIGGATMKLFFTTIRGEDSPLSMIEWYLVFTCSAVVLTQLPNLNSMAGVSLIGAIASVSYCTVIWVVSLVEGRPAGVSYDQPEEVSGVARVSDVLNALGIISFAFRGHNLVLEIQGTMPSKLKQPSRVPMWKGVKYSYLIIAICLFPLAIGGYWAYGNMMPTTGGMLTALYKYHGQSTSLAILGLTSMLVIINSLTSFQIYAMPVFDNLELRYVSKMNGPCPWWVRAGSRVFFGGLAFFVAVALPFLPSLAGLIGGIALPVTFAYPCFMWIVMKTPERFSKMWWLNWILGCLGMILSMLLVFGAIWTIVTRGIEVHFFNPK >KVI05157 pep supercontig:CcrdV1:scaffold_13:785475:785981:1 gene:Ccrd_016470 transcript:KVI05157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Blue (type 1) copper domain-containing protein MATATTSAVVIPTFTGLKSTAATSSRVGTSTVKAIAATPKLSIKSSLREAGIATVAIATSLLLASNALAAEILLGDEDGGLNFVPSNLTVASGEKIVFKNNKAFPHNVIFDEDAIPAGVDASKISMGDEDLLNGPGDTYTVTLTEKGTYSFYCSPHAGAGMVGKITVT >KVI05175 pep supercontig:CcrdV1:scaffold_13:303213:305159:1 gene:Ccrd_016511 transcript:KVI05175 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MKGGKSSGGTRKADTKLAVKKTQAKGKAVKDPNKPKRPASAFFVFMEEFRKQFKEENPGNKSVAAEKAPYVAKADKRKKEYEKNMASYNKKLSAGDKEEDDESDKSKSEVNDEEDEEESEDEEEDDD >KVI05193 pep supercontig:CcrdV1:scaffold_13:625251:631027:1 gene:Ccrd_016483 transcript:KVI05193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA assembly KRR1 MDAGEVTDNNPDERKRHKGKHDKPKPWDDETIDHWKIEKFDPSWNEGGLLEMSSFSTLFPQYREAWPIVKGALKEHGISCELDLVKGSMTVSTTRKTKDPYIIVKSRDLIKLLSRSVPAPQAIKILNDEMQCDIIKIGGLVRNKEKFVKRRQRLVGPNSSTLKALEILTGCYILVQGNSVASMGSFKGLKQVLMMRRDLASNPELANENWDRFLPKFKKKNVKQKKVKSKEKKEYTPFPPPPQPSKIDMQLDSGEYFLSDKKKSAKKWQERQEKQAEKTAENKRKREEAFKPPEEAPVQEKGKNDKDDIVAMATSLKNKAKEFGNRKSGDKIDAEMYIATSSSSGQPSNKKLKTKSKK >KVI05205 pep supercontig:CcrdV1:scaffold_13:62695:77328:1 gene:Ccrd_016524 transcript:KVI05205 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein MDQRDVEMEDTEKLLEDEAVGDQECVGKDQLDVKEAGGKEEEGGQERVEKDQLRVKEAGGKEEEGGQECVEKDQLGVKEAGGKEEESSGLVYADFQNPDEIGVEQEKVSKKDEEDRHPDESEQELPVEETQDSEKDTTAKGDDKIDDSKKDATAMGDDHGILDEADHYASIKMSENHNEGDYTGDEKHQELATPNSLVKYSPSQAKDHSAETPKNELEDTKMDEGYESGTPDEQETFMKEVEAFYKEKSLEFKPPKFYGQPLNCLKLWRSVIKLGGYDRVTGSKVWRQVGESFHPPKTCTTVSWTFRQFYEKALLEYERHKVHNGEIELPLATIFPEAPTVEIEANGHQTPGSGRARRDAAARAMQGWHAQRLFGSLKQKRPSEVENSVKAVRTETSRQLRLEVVTTVADVGPPANWVKINVRETKDAFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWDNPSFLQDQK >KVI05153 pep supercontig:CcrdV1:scaffold_13:761949:762221:1 gene:Ccrd_016474 transcript:KVI05153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MVKKLRIKRLFTRNVIDAVIPEDVKKGNFPVIAMDGYEKRRFVVPVSYLKRDSLVRLFERAAEEYGFNHEGVVVIPCRPTELERILSQQD >KVI05171 pep supercontig:CcrdV1:scaffold_13:207828:224311:1 gene:Ccrd_016515 transcript:KVI05171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase active site-containing protein MDPYKYRSSSAYNAPFWTTNSGAPVYNNNSSLTVGTRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISGLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQEDWRILDFFSHHPESLHMFTFLQDDIGVPQDYRHMEGSGVNTYTLINKAGKAYYVKFHWKPTCGVKSLLEDEAIKIGGANHSHATQDLYDSIAAGNYPEWKLFIQTIDPDHEDRFDFDPLDVTKTWPEDILPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGVYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPANAPKCAHHNNHYDGFMNFMHRDEEVSSYCTYTNTLSLLTNLIIFLLLQIDYFPSRHDPARHAEQYPIPPVRLSGKRDKCMIEKENNFKQPGERYRSWAPDRQERFICRIVGALSNPRVTHEIRTIWISYWSQADKSLGQKIASRLNVKPSY >KVI05188 pep supercontig:CcrdV1:scaffold_13:854128:864700:-1 gene:Ccrd_016466 transcript:KVI05188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MASLKCVAIFVMVALALQLADAQLPGLQNLINISGSVSCSLNGSVIVNATTPTPPFPNALIDVSCGGNVISSAMTNGSGMFGITLNPLQFPLSNLLSPNCIVRVVTPLSNCSTTLPSTGSLKCVAIFVMVALALQLADAQLPGLQNLINISGSVSCSLNGSVIVNATTPTPPFPNALIDVSCGGNVISSAITNGSGMFGITLNPLQFPLSNLLSPNCSVRVVTPLSNCNAALPSTGFLQAPLQFIGIIVRGLLNVLNLVPSSFQLIGN >KVI05185 pep supercontig:CcrdV1:scaffold_13:871063:890830:1 gene:Ccrd_016465 transcript:KVI05185 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MEGCDQSKVEVKKAVPRSEQNQNQNQNQQEQSRGLNRNSRTNGISSSSNLKIKKIFVGGLPANLTEDEFKVYFEKFGRITDVVVMHDNVTRRPRGFGFITFDSEDSVEEVMQKSFHELSGKLVEVKRAVPKEDNGVSSGNGFSNYSPHRPRYDVLPSYGVVYGGYPYGGGVYGGAYYGGINYGLAPVSPRVPWGPPTMVSIRGNPYPMTVYPAYMNNGHGVMGMAANGYNGIMGSAPNEKPSQLSGGNEQLEADAGSSQLSFLQVNLTYYKDGSCDVSFLVSIILGFGSSAMHRVGIAGSASNSIRPRKEKRFTYVLNDADDTKHCAGVNCLAILKPSKPGSSYLFTGSRDGTLKRWALTEEDATCSATFESHLDWVNDAVLVGGNTLVSCSSDTTVKAWDCFSNGTCTRTFHQHTDYVTCLAAAERNSNVIASGGLGGEVFIWDLEAALAPLTKSSEVADEDRSSGTYGSGSSLSVTSLRTIGSSNSFSLQTNLPQGYVPIAAKGHKESVYALAMNDTGTLLVSGGTEKAVRVWDSRTGSKMMKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESILLCTKEHPIQQLAMHDDGIWVATTDSSVHRWPAEGRNPQKVFQRGGSFLAGNLSFSRARASLEGSTPVPVYREPTCSIGGIPGIVQYEILNNRRHVLTKDNAASVKLWEITRGVVIEDYGQVSFEKKKEELFEMVSIPAWFTIDSRLGNLSVHLDTPQCFSAEMYSVDLNITEKAEDDKVNLARETLKGLLAHWLSKKKHKFGSQSLVNGETPSGRDISARSITLPKVEVDGNAENDSAVYPPFEFSKASPPSIITEGSHNGPWRKKITEMNGTEDEQDFPWWVLDCILHNRLPPREHTKCSFFLHPYEGSTTQTVTQGKLSAPRILRIHKVVNYVVEKLVLDKPLDSMAIDGTFASGQSGGQLQHSTLPDAPRTVDDQLKGCYFEILGPELST >KVI05186 pep supercontig:CcrdV1:scaffold_13:890414:895162:-1 gene:Ccrd_016464 transcript:KVI05186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGICWSLIKPRRSHEIPISSPSPPHHLPNDRFIPPQERTYYPIPSKFDPRKTINNHEEGSILGKQYVDLKQIYYIGKELGRGQFGVTYRCTEKATGLKLACKSISKKKLVTKKDMDDVRREIMMLQHLTGQPNIVEFRGAYEDESSVHLVMELCSGGELFDRITKKGSYSEKEAAKIGRQIVNVVHVCHFMGVMHRDLKPENFLMVSQEDESPLKATDFGLSVFIEEGKIYKEIVGSAYYVAPEVLRRRYGKEIDVWSAGIILYILLSGVPPFWAETEKGIFEEILNGHLDLQSPPWPSISAGAKDLISRMLAKNPKNRITADKALEHPWLKEDGEASEQPMDSAVLIRMKQFRAMNKLKKLALKVIAESLESTEEIKGLKQMFNNMDTDGSGSITYEELKTGLTKLGSRLAESEIQQLMEAADVDKNGTIDYIEFVTATMHRHKLDREENMYKAFQFFDKDDSGFITRDELKHSMTQYGMGDEATIDEVLDDVDTDKVSNSNDGKINYEEFVMMMKKGTVETAKTQGLGSASSFRKLISVPELSGCNGIREALLMRLSPPSILAAQNQSELDTL >KVI05147 pep supercontig:CcrdV1:scaffold_13:357812:364174:-1 gene:Ccrd_016506 transcript:KVI05147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MELKEKIEVVLAKRGIYGEIQVEFCEGLLVAIGREISPDWRFYVIKKIMAAGTGVEDGFTNDIMSGGEHEISQNSDHLNQLDIGKPPRHLSVVRHSISTATLLTPTDPSFDLGIVGVKSASDGKSEYMPMIRSGSCAEKGPKEYMEDEHICIDNLLEHLDRTEGFPSPGAFYGVFDGHGGTDAASYVRKNILKFILEDSQFPGCLKKAIRNAYIKADQAFAENSTLDVSSGTTALTTFIFGRAIELSNDHKPNSTSERHRIEQLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSSEPELQEILLMEDDEFLIMGCDGLWDVMSSQCAVTIARKELMIHNDPEKCSRELVREALKRNTCDNLTVIVICFSPDPPPWIEIQTQTGHRRSISSEGLNFLKGILD >KVI05145 pep supercontig:CcrdV1:scaffold_13:392240:397712:-1 gene:Ccrd_016500 transcript:KVI05145 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MQMNGSESGRIFEQSMTPVTSQPPVNSIRTTYTPGVTGAYSVATDVMSSSGGGGGGGSSGFHQNININEHVGGESMIKKRGRPRKYAPDGSMSPAARVAKLTPTTVTAAASLNGAFEQQQHQPQSAAPPISAAPVSSIPMDEGFASAKKARGRPPGSSNRKQKRESLGSAGFGFTPHIIVVQPGEDVLNKIMSFSQNGPRAVCIMSGIGVITNVTLRQAATSGGTATYEGRFDILALSGSFVLSEVFGQRTRTGGLSVTLSGPDGRVFGGVVAGLLIAASPVQVIVGSFLPENSKEGMPGNHVEPLTKVMPANRGTGPSSSPSHGTLSESSGGGGSPMNRGNENSSPQGMASMPW >KVI05194 pep supercontig:CcrdV1:scaffold_13:632892:634658:-1 gene:Ccrd_016482 transcript:KVI05194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTSSAASAGVPTPKQSLGFVANAIKRKDSFIQFFAMTGILLLSMRSVGQKYKIQELEDDTAALEKEKNSISDRINHIKSSLLAEAALDTSGSFAARLRVLFGDNN >KVI05160 pep supercontig:CcrdV1:scaffold_13:676427:679039:1 gene:Ccrd_016479 transcript:KVI05160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MEILSSDDESDHQSHRFEDYSLSADVSESESCGASSFDSPVASTSRSTPPLVGPHFNSYLPVPSPIKPPPLAGGNYTGKAQTENLTEAQLMKDRFSKLLLGEDMSGRGNGVCTALAISNTITRLSATVFGDLWKLEPLSPESKSMWRKEMEWVLSVSDSIVELTPSFQEFPNGGTFEVMVTRPRSDLYVNLPPLRKLDAMLVSMLDGFHESEFCYVDRGLVASDRDRNVERVSDSPLIQYEEKWWLPFPKVPAKGLAETTVRRLQQCRDCGNQIFKAAASINGHVLSQMESAKACLGETLHHYITTAQFSPESLLDYLEMSSECTTLQIANRIEAAMHIWTQKSSKPHGTRKLLWSGNGSEKEKRQAILESYSRVIESLAFNLMARIDDLLYVDDATKKRAAAEAGFAASLHAQRPTLHGYSSSSMVAAGTAMNRWPSTVRSLDKRRFSYSSTSNIDSLEEALERLTFN >KVI05178 pep supercontig:CcrdV1:scaffold_13:289346:295465:-1 gene:Ccrd_016512 transcript:KVI05178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MGKVGGATTAKKKKGRPSLVDLKKRALEQQELENHQQSQRRSARRNPNSVAAVDDASVDEDEYYEDDDDDERKEKKVKLVVRLPQLNQERHLSSDLVRSSSVNSVSGGSDSNADVDNRKINSGSGGIPNQHQGGKVPKAMDTLHASPLEAGPTTPLPDKKLLVFILDRLQKSIMGFICRKDTHGVFSEPVDPNELPDYHEIIDQPMDFGTVRSKLDKGLYSNLEELEADVYLICSNAMQYNPSDTVFFRQARSIQELAKRDFENLRQEGDDGELQPKVVKRGRPPSKHLKKPPGRPPLDVVGPESTSGATLATAIDNPNESTPYNLRRGPMVYKHQADGSHPSHRSRNGEQHSELLSDWNEEFPAQIKRADMKYGNKHFNIDETRRDTYKQFHPSNYGHNHSLLSNFGGERKQLVAVGLHAEHGYARSLARFAANMGPVVWKIASKKIEKVLPPGVTFAPGVVGEPEPSPPPTSFFPSGNQSYTPRLASDDVLNKPEPPSTSGPKNVIAEAETSEPKIEVSALKANKLPPNHGRNGLNGANVSKMGMFGLESDGQKATIGGEMGGNGGKASWQQVSSSNHRPPFTVPIPPDLNGSPPAPGSVGLRMGSPSAQQPDLALQL >KVI05173 pep supercontig:CcrdV1:scaffold_13:140844:144931:1 gene:Ccrd_016517 transcript:KVI05173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MSRSLGIPVKLLHEAAGHIVTVELKSGEVYRGSMIECEDNWNCQLENITFTAKDGKVAQLEHVFIRGSKVRFMIIPDMLKNAPMFKRLEARIKGKGSALGVGRGRAVAMRARAQAAGRGAAAPGRGR >KVI05184 pep supercontig:CcrdV1:scaffold_13:920857:925287:-1 gene:Ccrd_016462 transcript:KVI05184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase C-like, phorbol ester/diacylglycerol binding MKQQLSSINTTSPEIKYLSLFASDHRDFLLSPTGAQPNLDISPFQIKISELEDKIVGIYFSANWYPPCQSFTKLLIEVYEQIKEKNGSNFEIVFVSSDEDLNAFNNYYQSYMPWLAIPFSDLETKKALNNKFDVEGIPCLIILQPDGTNIIHDGVELIYRYGVEAYPFTKERLDELLKEEKEKHERQTLSNLLTNHDRDFVLAHSTSNEVSVSSLTGKTIGLYFSAQWCLPSLKFTPKLISIYQKIKQKLTQQQEDFEIIYISSDHDDLEFDTSFNTMPWLALPFRDSTPKDLTKYFDIRGIPSLIILGPDGKTVTKNGRSLINLYEEEAYPFTEARVELLEKQMDEDAKNLPSMELHSGHRHQLTLVSQGNGGGPFICCDCDEQGSGWAYQCIDCGYEVHTKCVKPVVQTSSG >KVI05210 pep supercontig:CcrdV1:scaffold_13:972064:975272:1 gene:Ccrd_016459 transcript:KVI05210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MERNYEGVDIVIVGAGLAGLTTALSLHRLGLRSLVLESSERLRITGFALTLWTNGWRAMDAVGIGNSLRQRSTQMKGLKIASPDTGLFTSETALDKDGKFEGYESRCVRRKDLLETLVNELPPGTIRYSSKVATIDELGRFKLVHLADGSILKTKVLIGCDGVNSVVAKWLGLGTPVSVGRSAIRGLVEFPNGTGFDPMFHVKFGGGVRFGFLPIDEKTGFCCDLIDEEDWPENPIKMKQFVLSQIDKMPEEAQNVVERTPLDKLSCAQLKFRLPWNVLFGNIVKNNVCVAGDALHPMTPDIGQGGCSSLEDSVVLGRCLGEAFLKKSNEEDDEFERIEKGLEKYRKDRRWRSFRLISVAYCVGFIQESKGKMMSFLRKVWFSSYTANAFLKMANFDCGDLVL >KVI05156 pep supercontig:CcrdV1:scaffold_13:769180:774331:1 gene:Ccrd_016473 transcript:KVI05156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYYRRSYLYKNIRRHCTEPTSSGVKEDDNLALEYNSNNVKKAKLNSTLAALLDDPVLADVPKKPTLSDVDTLISLELGSAMRVSVLKLDNTSFDVALMNSATVKDLKLAIKKKINEMEQSKMGHRHISWFVPLRSMCGETSASHITMKSSLTMMQSFKIMACVITPRCILSHMSCQGLQSGIHEEESIDFFMVSTEGNEISNP >KVI05142 pep supercontig:CcrdV1:scaffold_13:324699:341600:-1 gene:Ccrd_016509 transcript:KVI05142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MVFSFFSSSLLVDQKRRLVEVFPDSVRIQWNKWELRGLVVVSLVLQISLIFSGNRRKYIAKRRIRIFIWCAYLLADWIATVALGLLVDRLGNSVYSGSSSGNGNRKNVYGDLQLMAFWAPFLLLHLGGPDTITAYALADNELWLRHLLGLVVQTAAAVYITLLAWEDTLLSFLAIPMLLCGIIKYGERTWVLMSANREQFRDSVLADRDPGPSYAKFMEEFCLKEAEGYHVTAGQVEEGNSPGEDVENAIAISNRDGLILHMADYFFETLKFKRLFVDLILGFYPRDVSQSFFGKQDYDTAFEVIEVELGFAYDVFYTKAIIIHTPFGLFFRLITSFITLSVLLTFVSLRSDSHLYIDVMITYILLIGAILLEAYAAVSLVFSDWANLWFSQHASKIPLLSHLVSPIQSTQKGKRWSNSMYQYTLLDFCLNQKSSTFREISRFIPIHKRLENLWEKWESFLQLEHLDISPELKSFIFDHFKEKSVDTELELKNLFTCRGAVSLQAHKSYGMFKWTVDVEFDHSILIWHIATDLCYNMDKSKRDKDSTGKEIKPEWDDHMTYSKQLADYILYILVMCPFMLPIGIGMIRFRDTCAEALVFFEERSSVSKPEAYEMLGQVSTKVFPAKVKGDRSKSVLFEACKLAALLNEMSDTGKMWKMVGDVWVEILGYAASQCRGFDHAQQLEKGGELLTHVWLLMAHLGITEHFKIEEGHGRVKLAKLSDLVKERSEIFESVRDEVKKVMEELGEMQRILNNAEHQEQSNRVLTNSVVQFLGKVYSLGDAIESFALTETRLKKMGFVKKHIFVMANEFKLCREVPDALDCSENVRGLKSKMKGFSKEIQEWKGKIPSLAPAPLQDSHTMRLHEQTWQESDAYYARKREDTIFKKDVQKLLKQITSDSKPLQIISVFGEIGIGKSAHVKTIYNKQEVKNKFDKCRALLAVYKNWSVRDLILAILRQMTSMKGLEKLKDEVLRGRLHGFLKGQKYLIVINDITSLDLLEKLRGALPDVYNGSRVVITTPDEEAASFADAPSRYHFKPVDMDDGLKIFIKKVWGIKGYPFSDEIEDLKNKIAESCKGTPLRISLLAGLLSTRKAIYEDCLRVFEQLDFATKSPSFDILAFCYNDLPLHLKPCFLYLGLFRKGFEIPVRRLFRLWLAEGFVKPSEEGIILEDIVEGYLEELVNRNMVEITKKRSDESPKKCRMIVVLHDIFLPRAVEIGLFHLHQKSDAAEEPRIRVRRLVEYTNIKGYLASKAFSQNLQSYISFNGRKKDMPAKEVGIFLERTIGARGFGLLKVLDLEGVYQPKLPDNLGNLFHLRYLGLRWTFLDTLPASLGGLLYLETLDIKHTHITTLPSSIWNMKHLRHLCLNGSRLDISAKSRGPSQIQILWGLFVDEKNARNIGLTLSRMTNLRKLDLTRRSSSTVATTITTTGTSHSSSYEEIASRIQSLSSLQSLRLRSKDKMGRPSELIVKPFSNLKNLSQLYLLGHLRKPLPWYQIPPGLKVLTLSVSQLENDPMPTLSQLSSLMVLRLLASSYVGEEMHCPENGFPALRVLKLWKLEHLKIFTVHEGTMQKLHTLEIRCCEKLEELPVTLLQIEVLSSLILVDQKRRLVQIFQEPVRRQWNRWELRGLVLLSLALQISLIYSGNRRKYIAKKRIRAFIWCAYLLADWVATVALGLLVDRQGSSYNSGQISNTPYGDLRLMAFWAPFLILHLGGPDTITAYALADNELWLRHLLGLLVQTAAAVYITLLSWDDTLLSFLTIPMLLCGIIKYGERTWVLMSADRKNLQDSFLGARHTGVNYARFMEEFNLREAEGYYVTATQMEEGSSPPDYLKYVTGTTSSISNRDASILRTADYFFETLKFKRLLVDLVLSFHERDVSRSFFQRQDYATAFQVIEFELGFAYDVFYTKAPILYTPFGLFSRLFTSFTTLSVLVTFFVSVIGARSHKHVFLDVVITCILLIGSIFRESYAAISSLTSDWAIIWFSQHPSNIPMFCHSVMSLQSAQKEKRWSHSMYQYSLLDFCLTQKSSAFYKISKFIPLGKMLKNLWVKYWVSFRHPKHLKISPKLASFIFEHLLENSTDPELDLKILSTCPGAVALNTHKNYGNLKWAVEVEFDHSILIWHIATHLCYEREESKNSNCNEIKHEWVDHMLHSKQLADYMLYLLVKCPFMLPNGIGMVRFQDTCIQALAFFDEKRCASKLEAYRMLGQVSTKYFPGTLKVDDMSESVLFKTCNLAAKLNSMNDPEKMWKMVSDVWVELLGYAAGQCNGFDHAQQLHKGGELLTHKLSDLLKERSEIFENVRDEVKKVMEELGEMQRILNNAEHQDQNNKVFTDSIVFFLGKVYSVEDAIESFALRETLLRKRVKKFKNVCDRMASLPLAPFLYSLTTRLHAPSEEDTTFKIDVEKLLKQITSNSKPLQIISVFGEIGMGKAAKVKTIYNKLEVKKKFACRALLAFDTNWLFRDLILAILQQVTSSEVKADLKDEDLIGTLHGFLKGQNYLIVINDIKSIDLLEKLRGALPDAYNGSRVVITTPDETAASFADAASRYHYKPQDMEDELQMFIEKVWGLKGTPLSGEIMEDLKKKIAKICRGSTLRIALLAGLLSTRKVTYKDWSDIFEQHDVDILAFCYDDLPLHLKPCFHYLGLFRKGFEIPVRRLFQLWVAEGFVKPIQGIIPEDIVESYLEELAQRNMVEISKRTSSENPKKCRMTGFLHDIYRPRAVEIGLFHLHQKYRENSNAETRHRLGVRRVVECTNIKDYPTSKAFNQYLRSYISFNNRKKYMHADEVGTFLERIIGDRGFGLLKVLDLEDVDRPRLPENLGNLLHLRYLGLRGTFLKTLPSSLGGLLHLETLDIKHTQITTLPSSIWNMKHLRHLCLNGARLDIPVQLMIHKAPSQLQTLWGLFVDEKIARMIGSALIKMVNLQKLDLTIESSSTISTTTNITTNHSSSYKEIGLWIHSLSSLRSLRLRSKDHMGRPSELIIEPFLFLKNLSQLYLLGKLLRPLDWYQIPFGLKVLTLSVSQLDKDPMPTLSKLSNLINLRLLASSYVGEEMHCPENGFPALRVLKLWKLENLKILTLHEGTMQKLHTLEIRCCKELQGLPITLLQIQTFSNLILTNMPKSFVTEIKRRIMQHTEIVVNE >KVI05172 pep supercontig:CcrdV1:scaffold_13:245532:248920:1 gene:Ccrd_016514 transcript:KVI05172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDFDYMDELLLDGCWLQATDGSDPLNNNNPSNSTPLLDPSFQWPNLESTIGEPVSKDILLAPEGNPSKSHLTNLIGSPADQSENLSELSKRWWIGPRASSSPSLSVMEKLIYAIDNIKHYTVDKNVLIQVWLPETRDGKKVLSTSQRLFSLELNCPRLSNYRSISEGYHFPAEGDAKESVGLPGRVFMGKVPEWTPDVRFFKIEEYPRVSHAQEQDVRGSVAVPVFDQDIKICIGVVEVVMTTQKSNYNLEIQSVCKALEVSKSFYHPAIPEILETLKSACMMHNLPLAQTWIPCIQQGGKGGCRYSSDNLIHCISTVDSASYLSDPRFKDFQEACSQHHLFKGQGVVGKAFTTNEPCYSPDVTLYTKTEYPLAHHARIFDLHAEVAIRLRTTYAASIDFVLEFFLPVDCKNHKDQMGVVDSLLMIIKKVCGSLRIVTEMELQEEEGLLMVSDTEPVQKTQETAFGGAVSGLGLGMEKRRAVHGGSGSFQIESFYSNFPKLASPGPSKTNPIPFSASKIETFDSKAADGATATSCSQGSSSSQSFSGGTHQVQQVDEDTVEGALKRTKSDADIHTFSASSDQDQEPKLFPRSHSHKSIHEPPKCQNLPPKPRLMPDGHLRRVKVTFGEEKVRFRLQKDWGYNDLLQEIGKRFRLNDISGLHLKYLDDDSEWVLLTCDADLEECIDVYRSFKSGTIRLALREPQLRVGSSLGSNAPL >KVI05201 pep supercontig:CcrdV1:scaffold_13:101306:104667:-1 gene:Ccrd_016520 transcript:KVI05201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M14, carboxypeptidase A MWVLCGSFPFSLLRAVCSILLSDSVTFQQIETYTSKNKGYQAELDVVTYRQNRNEIEDNSKFRILLSFGQHARELITTELALKILSILSGEQSLPKRNPVLLNRTLQNTVIKVVPIENLNGRRKVEAGELCERRNGLASFRRGVDLNRNWSVDWGKKEEDFRPDEENPGTGPFSEPESQMMRKLSTSFEPHLWVNVHSGMEALFMPYDHKNTTPKGVQSGKMKLILETLNHLHCGDRCVVGSGGGYLAHGTTTDYMFDIAKIPMAFTFEIYGDEKADINDCFKMFNPVDHPTFNRVLDEWSAAFFTMFEMGAHQMNFLQESPFNVGNLISIDDYLNGYLMERKSRYGEKKELLDLGLQEIRTYFRLFLLSSVLLLFMFCSRITKTNRSLVSSVSL >KVI05163 pep supercontig:CcrdV1:scaffold_13:544851:545573:1 gene:Ccrd_016490 transcript:KVI05163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MSSSSSNFESLRDLHDSVNNLLRSPDFKRVLSHHKQDQKWVQMASDSSLKMLDSCGTTKDILCHVKGHIQDLQSRFRRVSLGETEMKLSEYPKVKFTRANSLSPWEDCDAQALQSAIERLEAVESAMEDLEVELGCIFQRLMRTRVLLLNILTN >KVI05170 pep supercontig:CcrdV1:scaffold_13:456368:457783:-1 gene:Ccrd_016497 transcript:KVI05170 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MIPGVLGNIHRLHDFCTEGMIENGGTLMVRGPCFANLDMLVTANPADFHHILSKNFSNYPKGDKYFKIFAILGDGILNSDGKLWEIHRKVAMSVLRHAGFRSLMETITWNKVENELLPILESICEHGTEVDLQEIFQRFSFDTICKVFFDNELGSLSLDFPNILCLEALTDAEEAIFHRHFTPPCLWKLLQLLRVGKEKKLSDAWKTLDQFIYKCLAQNQNXYXNINSSHQEGKFIFYTALMRELEVHEIGTCWDRTKFLRDTFFNMLIAGKETTSSTLCWFFYMLAENPSVEDKILEEIHTHLEIKVGERWKAGELNDMVYLHGALCESLRLFPPVPFNHXSPLQPDILPSGHKVDQNTKIILCMYSMGRMKSVWGEDCMEFKPERWVTNSGGIKHEATNKFTTFGAGPRTCVGKNMSLSQLKIVSATIIYHYHIELVLESHPVLPANSMVLQMKHGLKVRLTKRSQNMN >KVI05150 pep supercontig:CcrdV1:scaffold_13:366441:368071:-1 gene:Ccrd_016505 transcript:KVI05150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MALLSLLPILLLLPFPTVSAVGINYGTLGNNLPPPKKVAQLLQATLIDKVKIYDTNPQILQAFSNSGIDVIVAVENNHVANISSTKSVAEDWFSARIAPFIPATSIVAIAVGNEYLATDDDDMDPNSLIQAMQNLHEVLLSRGLDRKIKITTPHSMAVLATSFPPSASTFATTLMPTMTSIVAFLADTGSPFMVNAYPYFAYRDNPTTVSLEYALFGNATGVHDPKGYVYTNMLDAQIDAIRSAIVSLGFGNRSVHITVSESGWPSKGEPGESAASPDNARTYNSRLITKAQSNKGTPMKPNDKIDIFLFALFNENKKEGGSSERNFGLFNGDGSKVYDADLRCEFCSGSKMDFGFESGSKMVQRGPSVWCVAKPHAEERVVQGVLDFCCGPGGVDCRDVYENGPCFGPNKVHAHASYAMNAYYQMHGRNYWNCDFKGSGLVTFSDPSYGTCKYSQE >KVI05141 pep supercontig:CcrdV1:scaffold_13:345314:349784:1 gene:Ccrd_016508 transcript:KVI05141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAGSWDESHELGSQSDDSFQYERQHIEPIYDAFICPLTKQIMRDPVTLENGQTFEREAIERWFNECKESGRKLLCPLTLRELKTTDMNPSIALRNTIEEWNARNEAVQLDMACKSLNPGCPETDILRALKFVQHLCQKNLSNKHIIRNADLIPMIVDMLKSSSRRVRCRALETLRIVEIMAEGDNVRTIVKFLSHEQSKEREEAVSLLFELSKSEALCEKIGSVNGAILILVGMTSSKSENLLTVEKADKTLENLEKNETNVRQMAENGRLQPLLALLLEGSPEIKLSMASYLGELALSNDVKVFVARTVGSSLINLMKSGNMQSREAALKALNQVSSCEPSAKILVDEGILSPLVKDLFAGPNQLPMRLKEICATILANVVTSDCDFDSIPVGPNHQTLVSEDIIHNLLYLISNTGPAIECKLLQVLVGLTSSPITLIGVVSAIKSSGAINSLVQFIEAPQQDLRMASIKLLQNLSQNMGQELASSLRGTAGQLSGLIKVIGENIASTEEQAAAVGLLADLPERDMGLTRQMLDEGAFEIVISRIIKIRQGEPRRSRFVTPYLEGLVRVLSRITFVLADEPKAVSLCRDHDLARIFTELLQANGLDNVQMVSAFALENLSQESKNMTRLPELPTPGFCASIFPCFSKQPVMTGLCPVHRGTCSRTDTFCLLEGPAIARLVALLDHTNEKVVEASLAALSTLLDDGVNIEAGVAVLCDAEGIKPIFDVLVEKQTEALGRRAVWVVERLLRTEDIAYEVSGDPNVSTALVNAFQHGDYRTRQIAERALKHVDKIPNFSGIFPPT >KVI05202 pep supercontig:CcrdV1:scaffold_13:94832:98862:1 gene:Ccrd_016521 transcript:KVI05202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLLPPPSTTTTTRSLRHRNFYHPSLSTASSKYSPLRSSVTSLSLSEDDVITREDGVSIYSRRRYDFSPLLEFLSTYSSDDDDPDYFDPPTSLDPTELQLAESYRSVPASLWHYLLKSLATSPSSSDSISTTYALVDWLHRHNLCFSYELLYSVLIHALGSSEKVYEAFLLSQKQTLTPLTYNVLIGACARNDDLEKAVILMNKMRGEGYQSDFVNYSLIIQSLVRNNKIDSGLLEKLYDEIVSDALELDVQLLNDIVLGFTKSGNVDRAMHFLGVIQGNGLSPKTSTVVSIISELGNLGRAEEAEAVFEEIKEGGLRPRTRAYNAVLKGYVNSGCLRDAEWIVNEMERNEVFPDEHTYSLLIDAYGNAGRWESARIVLKEMEANNVKPNSYVFSRILVSYRDRGEWQRLFQVLKEMQKCGVKPDRQFYNVMIDTFGKYNCLDHALATLERMRDEGIEPDTVTWNTLIDCHCKSGHHNKAEELFDEMQQKGCLPCIATYNIMINSFGEQERWEGVRSLLRKMKSQGLQPNVITYTTLVDIYGRSGRFMDANECLEDMKSAGLKPSSTIYNALINAYAQRDLKPDVVTYTTLMKTLIRVEKFDEVPSVYEEMILSGCTPDRKARAKLRSALKYMRQRLKS >KVI05209 pep supercontig:CcrdV1:scaffold_13:25239:33246:1 gene:Ccrd_016528 transcript:KVI05209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22B, signal peptide peptidase MLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPSRIDTRPFSMLGLGDIVIPGIFVALALRFDVSRGKESHYFKSAFLGYTAGLVVTIVVMNWFQAAQPALLYIVPGVIGFLAAHCLWNGEVKPLLEFDESKTTAAAAAAAAAAGGEETNSSKKLE >KVI05154 pep supercontig:CcrdV1:scaffold_13:749773:752743:1 gene:Ccrd_016475 transcript:KVI05154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MGSSSAENYDERWKDVWNGSYRKAADTSANETELTETYSTADLENQNKFDSTTLRTPSPPPPRSSELSRLTESPNSYIQLTPKEVRVSFHDEVTDHQPVRQRSNASGRSGGIGRGGEDVVVCSSNAAFKRNSTLLRTKTKSRLMDQPEIDQRSSQQKTPKASGVQGKGGDNIEEDDIFLDDDLPDEYKNLRYNKWTLLQLTSLILIITALACTLAIPDFRNKSLFDLEWWKWEVMILVVICGRLVSGWGIRIIVFFIERSFLLRKRVLYFVYGLKKAVQNCIWLALILFAWQLIFNKKVERMTYGKKVLPYVTKIWVCLLVGTVVWLLKTLLIKVLASNFHVSKFFDRIQDSLFNQYVIETLSGPPMIEIQQEQEEEDRMIAELANAGVAFSSQIKANIFKGWRAIGTPRTSTPMDAKNEATKKHDEGITIDHLHRLNQKNISAWNMNRMMNVINTSMLSTLDEQLQDITGDEEDEASVQITSETQAKIAAKRIFSNVAEPESKHIYLEDLRRFLPDDEALRTIRLFDEASETKGISKRVLKNWVVNVFRERRALALSLNDTKTAVDKLHQMLNVVVGIVIIVIWLLILRVATTHFFIFLSSQLVLVAFVFGNTCKTTFEAIIFLFIVHPFDVGDRCEIDGVQMIVEEMNILTTIFLSYVENKSDHWQPGPMIVMRDVDDLNRLKISIWLSHRMNFQDMGERWKRRALLLEELIKIFKDLDIEYRMLPLDINVRNVPGLASSRLPSTWTTCAN >KVI05191 pep supercontig:CcrdV1:scaffold_13:562140:565119:1 gene:Ccrd_016489 transcript:KVI05191 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MANVCNMSEIDGRSGYCKSNSTFYSKRRPAPLPANQTLDVTTFISARAHHGVTAYIDASTGRRLTFSDVWRMVESVSSSLSDFGIRKGDVVLLLSPNSIFFPIVCHSVMSLGAIITTTNPLNTNREINKQIADSKPVLAFTIPELLPKLAESNLPIVLIGSGSGKGKIVSTLEEMMRKEPNRNRVKERVTQDDTATLLYSSGTTGSSKGVISSHRNLIAMVQTVLGRFQLEDQQTFLCTVPMFHIYGLVAFATGLMASGATVVVLPKFEIQDMLSAIEMYKVTYLPLVPPILVALVNNADQIRKKYDLRSLKWALSGGAPLSKELTEGFMEKFPGVTIMQGYGLTETTGIGASTDTMEESRRYGTAGMLSSNMEARIIDPDSGKALAVNQTGELWLKGPTVMKGYFSNAEATASTLDSSGWLSTGDLCYIDEDGFIFVVDRLKELIKYKGYQVPPAELEALLLMHPEVDDCAVIPFPDKEVGQFPMAYVVRKNGSNLCEKGVMEFVAKQVAPYKRIRAVAFIESVPKNPSGKILRKNLIHLATSTSSRL >KVI05146 pep supercontig:CcrdV1:scaffold_13:385946:390347:-1 gene:Ccrd_016501 transcript:KVI05146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MVLKNSEESVVAKDSNENGNSSIVVEENLNKKQRIDGSSDGPFVMPLISIHKVEEDSSKASIVLSGTARRGELGPPVGAVDIGISKSAYFFQVALPGVKKDPGQFSCEIERDGRVHVRGETSTGGKTVSRHSRVFEMKFQQQAPPGPFSLSFSLPGPVDPRLFYPNFRSDGIFEAIVMKCE >KVI10460 pep supercontig:CcrdV1:scaffold_130:120407:124488:1 gene:Ccrd_011120 transcript:KVI10460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAASTDMLSSKILENMFMPMLQNCNHMRKLKKIHAQIVKFSLSESSYLVTKMVNICDQYEEVDYARLLXKEVSQPNIYLYNAIIRAHTHCQLHRFTINIYKQMLKEPIFPDNFTYPFVIRSCGGISSLDVAKQVHGHALKAGVQSNVVIENSLLDMYIKCDAISHGYKVFDEMTDRDVISWNSLIYGXIKLGQMKRARELFEGMPEKSIVSWTAMISGYTLIXSHADALHIFRMMQLAGVKPDWISLLSVLPACTQLGALELGKWIHFYAEKNGFLEKTSVCNALIEMYAKGGNIDQAWQVFDNMLERDVISWSTMVGGLANHGKAPEAIRLFQDMQKTSIKPNEITFVGLLSACAHAGFLEBGLKYFDSMRYDYNLEPGIEHYGCLVDLLGRTGCLDRALELIKTMPMKPDSAIWGSLLSSCRTKGNLAMAVIAMEHLLELEPEDTGNYVLLSNIYADLGRWDGVSRMRKFIRGKIMSKTPGCSAIEIDNAVEEFVSSDDSKPFSREIYRMLELVALHHIISSDFFEITMEET >KVI10469 pep supercontig:CcrdV1:scaffold_130:423390:428387:1 gene:Ccrd_011144 transcript:KVI10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aldolase/adducin N-terminal MAASGVKTPVTSQAYLEGSQVNQTKSLISELCRLFYNLGWVSGTGGSITAKVHDDSVPKSNQIIIMSPSGVQKERMVEEDMYVLSSSGDILFSPLPKPYPHKPPKCSDCAPLFMKAYDKRNAGAVIHSHGMESCLVTMMDPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAHERELTESLAKAIEAYPKTTAVLVRNHGVYIWGDSWISAKTQYNALQYNASLQSIIFQAECYHYLFQAAIKLHQLGLDWSTPSHGPIRSSQALRCVVLDIEGTTTPISFVTDVLFPYARDNVRRHLEETYDTGATQEDIELLRSQVQEDLQHGVVDAVPIPYDEDGRHHVIAALVYIYSSGSRLAQRLLFGYSNFGDLRKYLSGFFDTTVGNKKETKSYVEISESLGVHKPSEILFITDIFQEAVAAKAAGLEVVISVRPGNGPLPENHGFKTIKSFSEI >KVI10483 pep supercontig:CcrdV1:scaffold_130:327596:337300:1 gene:Ccrd_011133 transcript:KVI10483 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 5 MSAMEEDYSVDASKLLQAASDFSFDPGTRSEAAVKEFIGSFPLPVIINALQTKGDVPGLEDALVDCLEKIFRTKYGASLIPEYMPFIVVGLQAYSQRVITLACKTISSLLENLDDTGFATSLIKENCAYPLLLNCLIDGDEQVAVAATDAIRTLASSQQGIEIIFPATPSETTDITKLAARCSSMGRVRVLALIVKLFSTSSAVASLVYNSNLLGLMEAEVRNTKDTLMTLSMLELLYEVCHYSVIVSLPVYLQPLHDLAEVQHGMEYVLRTNILQLLISIIRNSSAESMLRSRAMMISGRLLSKENVLMFIDESSIKAVISAIDERLSLLDNQDADECECGLEAIGQIGS >KVI10458 pep supercontig:CcrdV1:scaffold_130:2219:20692:1 gene:Ccrd_011110 transcript:KVI10458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSLVFFFFSFLFPFFSISNAIVPAADTFRYVNTGGFGLADSEYGPNYRPLPPFTAPFQLCFYNTTPNAYTLSLRMGITRDRSIMPWVWEANRGKPVRXNATXSFGSDGNLVLADVDGRIVWQTNTANKGVVGFEILSNGNIVLRNAQGNFIWQSFDSPTDTILFGQSLRIGGPTKLVSRASTTENVNGVYSFVLEPKRLALYYKXMRYWSSSFTQVNKANGNLVNATLEVGESEYVDSNFNALVCRFSNSDAGTFLDLNLLRYNSTFSYLRLGIDGNLRLYSYRRNAVSSAWSLLFTLFDRGVSERGEEIEDDCQLPDRCGKFGLCENSQCVGCPTPNGVSAWSEDCVAKVAGCEASGFRYYELKGVDHFTVKYSAGMGRVNRKDCESKCTKDCKCLGINMHCMQSIQCTPPLTMTVPSASLSLIVVVFFFCFLFPLFSISDAIVPAADTFRYVNSGDFGLLETEYNPTYRFLPPFTTPFQLCFYNTTPNAYTLSLRMGTRRDGSIMPWVWEANRGKPVRENATFSFGSDGNLVLADADGRIVWQTNTANKGVVGFAILSNGNMVLRDAKGSFIWQSFDSPTDTLLLGQSLQIGGPNKLVSRASTTENVNGVYSFVLEPKRMALYYKTMLYWSSTFTEVNKANGNLVKATLQIVETEYDDDYFHSLRCHLSNSNEVSDLNLDIIRYNSNLSYLRLGVDGNLRLYTYRANVRGNAWSLLFTLFKRGVAERWAEHEDECQLPERCGKFGLCENSQCVGCPSPKGVFAWSNDCVAKLPGCQASSFRYYEVKGVDHFTVKYSAGTGEANRRDCERKCTKDCKCLGRSMEGECQLPERCGKFGLCEDSQCVACPTPNGLSGWSKDCDSKKVTTCKSGEFGYYKLEGVDHFMIKYTRGDGPIKQTECETKCSKDYTFTYVNEGEFGEYIVEYDANYRALSPFSNPFQLCFYNTTPNAFTLALRMGTVRSESLMRWVWEANRGNPVRENATLTFGTDGNLVLADADGKVAWQTNTANKGVVGFQLLPTGNMVLHDGKGNFIWQSFDSPTDTLLVGQYLRAGGPSKLVSRSSEVNNVDGAYSLVMXPKGRSMEGECQLPERCGKFGXCEDSQCVACPTPNGLSGWSKDCXSXKVTSCKSGEFGYYKLEGVDHFMIKYTRGDGPTKQTDCETKCSKD >KVI10481 pep supercontig:CcrdV1:scaffold_130:380646:390884:-1 gene:Ccrd_011139 transcript:KVI10481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEDAAGLMVATGSRFSSLELIGRGSFGDVYKGEDEIEDIQKIQPNQPLDEMSIACILRDLLLAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKADIWSLGITTIEMAKGEPPLADRHPMRVLFIIPRESPPQLLEVTTLAFTIGSFLQLDEHFSRPMKEFVLLCLKKLPAERPSAKELLKHRERPKFQIKEDGNSPDGTNVLGEGSDTVKTASVSRVEDTVQVRNAGWDFSIGGSTSTGTVRSAIKPPQARERKMDGLSNQATQKKTVGISGGASYHASEVSIGRDVGESFQEEKQDYYKDDLSSMFTSPEDASINGTVVYHGQHDNSDSPRTPKSRLGSQERTSIASPEDSAINLAEAKAAIQGGMRKGNVRDRDNHDYHDAIKSFSKPRQASGDEEERLRVSATSASAPLSAVSDDLDTSVVHTVINSLMDMEYTKPGSCEFLVTRLLHRLASSKEPSLKNLQDVAIRGFSKGKKASEPPVNANPEADSKKKQQNKEAQSNANLSPLAKFLLS >KVI10488 pep supercontig:CcrdV1:scaffold_130:336853:339339:-1 gene:Ccrd_011134 transcript:KVI10488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQPRIRSLRYLCSRKFHIFSKPQPQTLLQFKQNQPLIFINEEFLSTFRTFHNQTHQSSKNFSEGDQELLPPAILTTDEQSAMDNKALQIQDLLKQMSEHKTVNEIEQALTGLGLSLNEDLVLNVLRRNRSDWKPAYMFFNWVSSASGYSPGTGSYNEILDILGRMKRFNELCQLLDEMSKRNKSLINERTYGIVVNRYAAAHKIEEATEFFYKRIAFGLDLDLIAFQTLLLSLCRYKHVEAAEFLFHSKKNEFRHNIKTMNIILNGWCVLGSLREAKRFWNDIVTSKHKPDKFTYGIFINSLTKAGKISTAVKLFQAMWAKGCTPDVAICNCIIDGLCFKKRIPQALEIFKEMNEKDCRPDVATYNSLIKHLCKIRRMEKADELLKEMNKKGGDCLPNARTYGYLLNSAKKPEEVVEIVERMERNGCKMMADTYNLILRLFVGWEDEEKVKSTWVEMERSGLGPDQRSYTIMVHGLYEKGRIEEAMAYYEKMTSKGMIIRLQSLTFLTESKTYGITSPFSSLEAER >KVI10453 pep supercontig:CcrdV1:scaffold_130:224168:226582:1 gene:Ccrd_011127 transcript:KVI10453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEEHTPKCSNSGSGGGGGGRSSKKLKHKKVPQRGMGVAQLEKIILEEQQKKDVGILTPNSIISPATSSSCLANIQSVPNFRPPLVPLPPPLPPNHQPLIPRTDGVNPSFVSKPMHTSSDGGNNWSRSSTGGEYRFHGENPNPNPNPNQNRGLDHRGFTAATAMPTNLVGLPYESNPPIWPPHSNIAQRSQPFQQPCSSSMVNVSLGTTSSSSVMNFQMEPPSNQSYCGNNYPPLWPDEDKMIGMKRSYPFSMENMPIPSFNCKFPFSPISRPDESTSCSNGGTTSLEPSNPSFRETPSSLGAMTDQMTKKLIDENHGLTKDFLTLAPPRASHSSLKEKMVQTHKNPSGGEWPNQQPLHSFFPAAKTPGTSNGEAVEHVDLNLKL >KVI10478 pep supercontig:CcrdV1:scaffold_130:108988:114486:1 gene:Ccrd_011118 transcript:KVI10478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MLLSCGIEDFHDDGFEGSVDEDHIFREVFFGYESGRSKSCFVTGSITFENHKKMPKNISFCSDSDNSVMTSQEDFQSSISEEFALLMRNGPDVEVKRSKVLSSGEHSNTKYYLEKVVQCSNDQKVYDVSKAITVPVSEESHVKKLLVTRPKPVQCSKQRWKDSSFIELDKDELSVPLKDSTVDPKSHLRYHTYCLLRAAGWVIGRRNRITHCKGRGEYVFKSPEGRPIRQFHRAWDMCGKRLVEDAKYIDFCDGTQWTDLTQFCSDLSNALTEVEELRNSKAATALAHRWYVLDPFAKVVFIDKSLAFLKEGKEVKAERTVMNSLYLNCNVLASKKVVTTAKQNTKKTGKKSSSMVPFPSPTCKSYRTSCQTNNLYGVPISSATANTSTGVSESIYLCQNGMELWSECMEKGKNCYETPQIRMENSSFALDAILKTNEHGKSEKIPEIKLTNLVGQNQFGFGFYAPYGSHEISESSVQLKLDNAESYGSCLKSSLCSSKDGLKKNPSRFKTSAHDSARPKSSVRCEKSNKHQKKKKGNCHLKDDDLLLSAILSNRSTIKRSGVKKNSRVPKAVRKYKSQKGSCRLLPRSFAKGGQQQHHVQGKWSGLGVRAVLTLLIDLGVIHLNEVIQYRNLKDDSVVKDGLVTRDGILCRCCKKVLSVSEFKNHAGFGMNSPCLNLFMESGKSFTLCQLEAWSTEYKVRKSAIRTVHDEEIDQNDDSCGLCGDGGELICCDNCPSTFHQACLSTQEIPEGNWYCSMCCCCSCGNVVNHIETTSVSKALKCLQCERKYHEECIKENGIERESAAPYWLCGETCKKIHSGLQSWIGCLNPISDGFSWTILRCTGEQQVHSAQSFVALKAECNLKLAVALTIMEECFLPMVDTRTGIHMIPHVLYNWGIHGATVAELPLIATCSRYRRRGMCRRLMNAIEEVTVLFRVHEKWPFWLEFSIELKRCEYLHKTLKPPNDPSTLGLA >KVI10462 pep supercontig:CcrdV1:scaffold_130:230317:254917:-1 gene:Ccrd_011128 transcript:KVI10462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGKFKKLACYTSFLLILLAVEVRGRFLHSTASELISDGEDDLAQGEGSSSLRFKGVDSSEEHCEQMYGFLPCSENLLGHLFLIVVYEYLLYHGEGYVASGGKRIFKILGPGVFGASAFQVLGFLPESLILLVTGLMNTKDVAQEYVLTGVGLLAGSTIFLLTLLWGTCVIIGRQDFSSESGSNPTLVPTRSPYKKLHSFLTGSGVITDPETSSTAQIMLISVIPFMFLMIPKLFGMTYTVRGYIFIITLLVSVTFLLVYFIYQVFEPSIQKRRLSYVKHEHLVLDILKHLQEHTAEKILTEDGLPNMAAIKSLFTKIDQDGDAYISFPELKELLQDIKFRQTWNKEKTIEEVMKEFDHDGDTKVTIDEFIDRFTKWLDETKSAVSKPYRSLDSWKDLYQVVQPWVQTKRKEQETMKVLVSEIISHAKNTPLGSFYKEDGTPNVSAIKRLFESLDVNKDNSVSLSELKQLMMDVDFGKTSWNVDEATSHIMEDLDRSGDQQIDEEEFVDGFRELLNTSNDQMSPKTPGPKDISRKPWEKWEDYGEDRSGWAWTKAIMLVVVGIAVLALLAEPLIHSVQNVSNSATIPSFFISFILVPLASNARVAVSAIQTASQGKERTTSLTFSEVNLKDEKEISSRRSREFENMRSQMDVSVVHPFGIGRLINKSFREAPFDGYRYQITSPLIDAGHMAYNSLFLVTISTVLIFTVAGRVITTTSSSDLVSDGVHDEYGGILRINPFVSAEESESCEQTYGFMPCTNTALGNLFLILVYGYLMFLAATYLSAGSELLLEILGPGLVGGLLLPSLGALPDAMLILVSGLSGTVEVAQEQVSVGMGLLAGSTVMLLTVIWGSCVIVGKCDIQNSVAIDKQDTKGFSLVGSGVSTDIWTSYSAMIMAVSVLPFIVVQFPQIMHSSSGRHLAVLIGFIVSVSCLIAYCLYQVLQPKLQKRRLAFAKHKHVKSRFLQYLKKHALGRLLTDQGEPNREVMQKLFHSIDVNKNQHLSRAELRALVVGMQVYEINLNEDDAVDRVMRDFDKSENDEIDFEEFMNGIGKWLQEAKGSKVDVHIAGPDTLKYIHDYYEVSVVADPLVDSVGNFSNATGIPSFFISFIALPLATNSSEAVSAIIFATRKKKRSASLTFSELYGAATMNNVLCLSVFLALVYMRGLTWDFSSEVLVIVIVCVVMGVFGSWRTTFPLWTSFIAFFLYPFSLILVYVLDYVFGWS >KVI10454 pep supercontig:CcrdV1:scaffold_130:209857:212939:-1 gene:Ccrd_011126 transcript:KVI10454 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MASSVMMICVDCFELCVISYLMDAQFLLPLFNNQILVQQDSKIANGLKVRDNSGIESSFRAGSTKVIGRSTGKEFAATRIQTAYRSYRARKMLRCLKGTSRFQALVEADALTKQASSALDKIHFWSRIQTEIKSRRYWMAAESRIKQKKLENRVQVESKLHELEWRANPNRYFGQAYYDLSKESWGWSWKERWIAVCPWEARVVARPVGKQNKASRTTKHGAPKIVVAVFAIAISNSFQ >KVI10479 pep supercontig:CcrdV1:scaffold_130:116090:117748:-1 gene:Ccrd_011119 transcript:KVI10479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFSKIFEDSIFVLAAIVAMAASTNMLSSKILENMFMPMLQNCNHMRKLKKIHAQIVKFSLSESSYLVTKMVNICDQYEEVDYARLLFKEVSQPNIYLYNAIIRAHTHCQLHRFTINIYKQMLKEPIFPDNFTYPFVIRSCGGISSLDVAKQVHGHALKAGVQSNVVIENSLLDMYIKCDAISHGYKVFDEMTDRDVISWNSLIYGYIKLGQMKRARELFEGMPEKSIVSWTAMISGYTLIGSHADALHIFRMMQLAGVKPDWISLLSVLPACTQLGALELGKWIHFYAEKNWFLEKTSVCSALIEMYAKGGNIDQAWQVFDNMLERDMISWSTMVGGLANHGKAPEAIRLFQDMQKTSIKPNEITFVGLLSACAHAGFLEDGLKYFDSMRYDYNLEPGIEHYGCLVDLLGRTGCLDRALELIKTMPMKPDSAIWGSLLSSCRTKGNLAMAVIAMEHLLELEPEDTGNYVLLSNIYADLGRWDGVSRMRKFIRGKIMSKTPGCSAIEIDNAVEEFVSSDDSKPFSREIYHMLELVALHHIISSDFLEITMEEM >KVI10480 pep supercontig:CcrdV1:scaffold_130:375339:379478:-1 gene:Ccrd_011138 transcript:KVI10480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLLASFRSHFFKHLACTSFSSIRNTSTLTHGSTSMLIGSTRDYPSRKLTDFLNSNPITAAFSTSSSSVSETSTSGSYLTVDIQCRQDVAISFSSIFTVNQDVHRSISQAADSIGLKETPVYKVTVGYQSDWIENSRDLEATIISLNPGLAFGTGDHPTTKLCLLVLHGLIKGGEKVLDYGTGSGILAIAALKFGAASSAGLDIDPQAITAARHNADLNNIGPDKLQLQLVPNNTNPLSTDGWQWAAKANDVDLNGMEMIFEKATYDVVVANILLNPLLDLADEIVSYAKPAAVPTVVDRYSNLLEGMTVSKIDDWACISGKRKVKE >KVI10484 pep supercontig:CcrdV1:scaffold_130:279379:281614:-1 gene:Ccrd_011130 transcript:KVI10484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGQRNMGFTGQMIDNEIEHARLLPEPGVVPFGRIANFRQPNDHPSQLGNVHGLLPSGIDSTTFMVAPPGARVVPVPISPGLQNQLLVPTNQVMDGFNGAFKGKIAGGYPVNFRYFYPAPGSSSFVVPEYRGYDVIPVVMGPGNHRGPRNRAAGAIGLDHPGVQLMPLSHGAQPQPLQVVPAPWLDPQFRGNAPGIPYMQGYTNGYQVMTANRNPVAFVQPPVSSHQSAPPMPSVRGSPSMGFNPHLASTSHMVPLQNGVELVPRFVGPTPPVGVRVYQPRRQDLMELLALGEQIGNAGSSLSDDFILGHLKTRIFTSFHSEDGSSADQELNFCTICQMDYNDQEKIGMLDCSHEYHVDCIKKWLAVKNSCPVCKCTALATQGNNESGNHEEP >KVI10470 pep supercontig:CcrdV1:scaffold_130:421236:421595:1 gene:Ccrd_011143 transcript:KVI10470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MANHLRLLLIILAIITFPQSTTSVSVILSAQPQWTVLSRLSGARRQLVGDTIDVREEMMMESESARRILAGRGYISYSAMQKNNVPCNQRGQSYYDCNSRGRANPYSRGCNVITRCGGR >KVI10477 pep supercontig:CcrdV1:scaffold_130:90344:94267:-1 gene:Ccrd_011116 transcript:KVI10477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MPMPSSRRFFHARQYPSNIGPRSIVLTICFLIGLSGLLFFGIVGFRRDFTGVYECKHDKPISVSVSWDKSGGSTGLVSDKRHKVMGFVGIQTGFASVGRRRSLRKTWMPSDHQGLQRLEESTGLVFRFVIGKTRDKSKMAELRREVEEYDDFLILDIEEEYSKLPYKTIAFFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERHHTQTYLGCMKKGPVFTDPKLKWNEPLGYMLGKEYFLHAYGPIYALSAEVVASLVSLRNNRQICSNSPTLPSDENAV >KVI10466 pep supercontig:CcrdV1:scaffold_130:442590:446517:-1 gene:Ccrd_011147 transcript:KVI10466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNGVESELAHVSVENGKIESSLNGGMGINEPISFGAHGNGAVLVEKSKTPEINFPKDAVDEWPEEKKFHYVYFVKYRSVEDQNLKSKLDQADKELTKLNQARNPITEKLRAQRTERAQVISQLKALGEERKHFRTIMDDKRKEIEPLQQALGKLRAPSNGNRDNKTFICSSEEELNDVIKSLQYRIQHESINLTEEKKIIREIKQLEGTRDKVIANAAIRAEIQKSVGEKDSIQDQVKLIGVGLDGVRKDQEAIKAKVKTLEAEKEAIDNVINSLEEELRLVTGKRDKVYEKIRELRNKREEGNSCFYQNRSLLNEARNLAANKDVSALKELSFVEVGKFISQWNTSKSFREDYERRILQSLDIRQLSKDGRMKNPEEKPLVSQAVPVETEVMMKLKEEPIAPLQQGVENGKNRKNQKETDGKIKGLKDAEEEEEREKKAKKKMQASAPAPEPLEATMEVAAPAEAPEPVKAEENVKIEPKVNATRRRVRAKGPESISKVIFKRKKSTNYIYYYYAAAVATAIVALLAAIGYHIYA >KVI10474 pep supercontig:CcrdV1:scaffold_130:42932:46650:1 gene:Ccrd_011112 transcript:KVI10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MAASAERENFIYVAKLAEQAERYDEMVDAMKKVAKLDIELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEESRGNEVNVKRIKEYRQKVETELSNICGDIMTVIDEHLIPSSSAGESTVFYYKMKGDYYRYLAEFKSGNDKKEAAEQSLKAYQLASTAAEDLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDSLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDQKMEITKSGAEDAE >KVI10461 pep supercontig:CcrdV1:scaffold_130:262949:265001:-1 gene:Ccrd_011129 transcript:KVI10461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MAQLPPKVPTMAQNWPSFPYQMISMPSSAAQPPNWVDEFLDFSSARRNSHRRSVSDPIAFIESPFIEECRNSNGPNSLMPCSNNTGFERLDDEQFSSMFPDDVTANLPSTRSSSNPSTPSDQNSDNDEPKTTPPPEHHHHQQQQQPKNEPGEVEDGGGCQPETESAKPCFNFSSDGGTIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLVLNVDNCALKQRIAALAQDKIFKDAHQEALKKEIERLRKVYQEQNMQTAENEEKEFEIATGNDGGSAAANRSVTEEGGGRIC >KVI10472 pep supercontig:CcrdV1:scaffold_130:401556:404624:-1 gene:Ccrd_011141 transcript:KVI10472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLKFVPSMSSFSWVLLAFLFCLSLSTETLSQACHPVDLLALKEFAGRFTNGSILSSWSSDSKCCNWDGVICENDAKNLSKIPSRVTMLSLSAKGLRGVISNSLSHLQKLRYLDLSFNHLEGELPKNLSNLKNLEVLNVSSNSLNGNMLDIGGFPNLIVFNVSNNSFSGELYPQVCASSMNLRVLDLSMNHFTGNLEGLRNCGKSLHELHLDSNSLSGVLPEFVYSMSSLKWLSLSSNNFSGQLSTKLGKLSNLESLVVFENRFVGPLPNVFDNLTHIEQIIAHTNSFSGRFPSTIEACSNLRILDLRNNSLSGSLSADFSKLRNLCTLDLASNHFKGLLPNSLSNCHELRILNLAKNKLYGEIPISYMNLSNLSFLSFSNNGFTNLPLALSVLHNCKNLTTLILTKNFHGEELPVDVSGFERLMVLAIANCALKGQIPAWLLGCPKLEVLDLSWNRLDGVIPSWIGQLERLFYLDFSNNSLSGELPKSLTDLKSLVSSNISSSILSSSTGIPLYVKRNLSARGLQYNQVASFPPSICLSHNKITGTILPDFGKLRQLHLLDLSKNNLSGIIPNSISEMGNLEVLDLSSNNLHGSIPASLNKLTFLSMFSVANNHLQGAIPSGTQFSGFPSSSFEGNPGLCGKILSPCSVNGRRPSLQSNSDRKLGRNDVFGITLGIGAGIAILLACILLKMSRRKHGDLGDLEEEDNSRTNGFSGGFAASKLVLFQSSGYRDLTVLDVVEATNNFSQSNIIGCGGFGLVYRAELPNGSKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLKGYCKHGNDRLLIYSYMENGSLDYWLHERVDDEPPLKWRTRLKIAKGAAHGLAYLHNEPNIIHRDIKTSNILLDERFKAHLADFGLARLLCPYDTHVTTDLVGTLGYIPPEYGQTLSATFKGDVYSFGVVVLELITSRRPVEVVKGKNCRDLVSWVFQMKLEGRYAEIFDISIWEKSCEKELLEVLGIACKCLDQDPRRRPSIEEVVLWLDGVAEAVQ >KVI10467 pep supercontig:CcrdV1:scaffold_130:430320:436411:1 gene:Ccrd_011146 transcript:KVI10467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILDGVGSGCWVGLDDPVSIWTTCLKEVVSAWTKVVGYGFGSDLETGLGVSGGVWMAAPPPAAAACPLLLLLLPLLDGEFAGDGFSVSCCLETISIDGFFCNSDLGYFLLSWRIEGQHFSHQKVKLFHNFLGFSMCMLQIIYNFGLLICRTVSVGSDLCFNTNNAIRTLKVSRHPYSDTHLGIISSDSVFSVYKWESILEIYIDAQTFGLKAASSAAVSNANLAISWLEATFPELAPQAAEGRNQPALKSHRYALFDASVSLQFRRVDFGLSIGMMLAAISSRRHIRTAKRVSYSRASDNNSLDPFELSLNLISQSQHHIVVSHKAILSPIRY >KVI10482 pep supercontig:CcrdV1:scaffold_130:319979:321634:1 gene:Ccrd_011132 transcript:KVI10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEFFSHHFDSTTGSEGCLPPGFRFHPTDEELITCYLLRKVLDGSFTGRAFSDVDLNKCEPWELPQRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTSALIGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHFLSKSSKDEWVISRVFKKSGAGVGVVAAAGKKWLTGGGMSYGKELSSSSGVSGSLPPLLDSSAYTSAYTSERESFSYDSNPTSKEHVPCFSTASTTNFGPHQTLFDIPPPEPLTSTFDPTTMCHPKPTTLSAFPSLRTLQENLHLPFIYSSVAAPPMHGGDTSNNYGSSSGENWLPPSTSASQTDNQKPGPTELDCIWSF >KVI10452 pep supercontig:CcrdV1:scaffold_130:207518:209232:1 gene:Ccrd_011125 transcript:KVI10452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MSCICVAAAPFGIGSGSIRKLEQQLIRCLTLDSQRNYRHFYRSQELLNVGEEDEEEEEEEEEEDDQIDFHGFHQNHRQFHQNQEIPSPQHRLFHGGLGVQPPRPPNKRSYLLSSNDTGEEEEEYAPNLPEKMAENYQYHHHELHHHPEQKPTRPSRLGLRNTGGGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDELAELPAWKPTAATINHGSTTIVNFEQNPDDENLNHHQLSHLEEHPDDDIVDNHMVNTQNSTFLPTSLDSNSIANTIKSFFPMGGGGSSTPVNINPSAMQFHRSFPTSELLSRPTSNQNQDLKLSLQSFQDPILHHHQPPPHHHHHHHQQQQAAEQGNNNSMFFDGSGWPENQPGGFQRLVAWGGGGGGGDAVSTGFVFSSPPAAQTPVFLQPLFGQTTNNQLFNYISQRGPLQSSNSPPVRAWVDPPPFSGADQHQTPAFHHPSSMPGFTSGTFPGFHIPARIQGEEEEHDGISDKPSSVSSDSRD >KVI10456 pep supercontig:CcrdV1:scaffold_130:146448:154200:-1 gene:Ccrd_011123 transcript:KVI10456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MASGILDADKISKGKSKWGEGNKVYTRRFKKANKNTTAAAAPVTVSSPTTTVTTTSLPTTRTATADPASPPATTNNEQTLATEDANSEKQQNLSPVLEVSDDHSIQNRQLEVLAVSPNEPTRNGVIKPVAILVDGRVKINVKAARSKNEIIELREKLRAELDRVRRLAQKLEDKEAELTAFSTVVGVGGDGEAYSHSQYSGNDIVERRSLLRVNSEMGTDFMERRALVRVDREMGSDVIDRRALMRLNSEVGSVANSDIRPFRPLSVSVVDNNHGVGEFVEKEKRTPKANQYYRNSDFLLGKDRLPPESNKRHKVNGGRKHSKESDYSIGHERHRTQMFKSCNNLLQRLMKHKHGWVFNEPVNAKQLGLHDYHDIIKHPMDLGTIKSRLAQNFYKSPREFADDVRLTFHNAMTYNPKGQDVHVMAEQLSNIFEERWSVIESEFNPDWRYGMIYDASTPTPTSRKVPHFAHAPPRTLYRSESMTLPFMTRQKPSKFAPPSRTPMPKKPKAKDPNKRNMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKKNTSLSQHDDEIEVDIDSVDIETLWELDRFVTNYKKSLSKHKRKAELAQQARVGAGNANQAVLENLATSIQQAPKENKANEKTLASTPPDQGERRGDNASRSSSSSSSSSDSGSSSSDSDSDSSSEDGSDAGHSPRS >KVI10465 pep supercontig:CcrdV1:scaffold_130:463624:470919:-1 gene:Ccrd_011149 transcript:KVI10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGCVCGKPSAIEDSRESPRDRYGNKISSELRVPRVTSSRREVYRVKTQSDNSDGGSMLIDKQVNGSIRLPAENFERKRDKPETIVSTHHPSMGSVPRATEGEQVAAGWPPWLAAVAGEAIKGWVPRRADSFEKLDKIGQGTYSNVYRARDLDQGKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPALKFTESQVKCYIRQLLHGLNHCHSHGILHRDIKGSNLLVDNNGVLKIADFGLASFFDPHQSQPLTSRVVTLWYRPPELLLGATYYGIAVDLWSTGCIFFATKPLPCDPSSLPKYPPSKEFDAKMRDEEARRYSLVNYRQAAAGGKVHRPDAERRGTRESRAIPAPEANAELVVSMQKRQGQSYSRSEKFNPQQEESASGFPIDPPRPSQTEEEQTNNLHKRASHSGPLVQRPAWSKVGKKIDSNGSDLSGSLAARKSMLCEDRRDRDKSGTSQQQHPRLISRFPGSLKEASSHGAGQPDDDGRSSNKDPISIHYSGPLLAPAGKMDQMLKDHDRQIQDAVRRARDKTKVRKVQAENTFFSGR >KVI10473 pep supercontig:CcrdV1:scaffold_130:392884:394544:1 gene:Ccrd_011140 transcript:KVI10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MQEKRNMSSPTVETGKGRSRINKKIRHGYHSVEGKMPHPMEDYVFAQFKQVDGNELGLFAVFDGHLSQEVPDYLRSHLFDNIINEPDFWTEPEKAIRRAYEFTDCNIINKEIKKRKGGSTAVTAILINCETLVVANVGDSRAVLCQDGVAKQLSVDHEPNKERKIIEEKGGFVTEFPGDCARVDASLSMSRSFGDKRLKEHISSEPDVVVEVMSNEEAVESIKDVEDPRLAAKRVIKEAVGRKSRDDISCIVVRFQ >KVI10463 pep supercontig:CcrdV1:scaffold_130:34680:39724:1 gene:Ccrd_011111 transcript:KVI10463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNLEKLASIDAQLRLLVPGKVSEDDKLIEYDALLLDKFLDILQDLHGEDLKETVYANFNLSVSCHIESPNPNRFQKTHFNSDEFSSSSFASISDIMKLVILKSSLSNVVQECYELSAEYEGKRDPKKLEELGSVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADEANATTESDIEETLKKLVHQLGKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLAQLYTKDITPDDKQELDEALHREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSQIEDLMFEMSMWRCNDELQFWKQVPPTEPYRVILGDVRDRLYNTRERARHLLAHGKSDIPEEAVYTNVEQFLEPLELCYRSLCACGDVVIADGSLLDFLRQVSTFGLSLVKLDIRQESDRHTDVLDAITQHLEIGSYREWSEEKRQEWLLAELGGKRPLFGPDLPKTEEIADVLDTLNVLAELPSDCFGAYIISMATAASDVLAVELLQRECHVKKSLRVVPLFEKLADLEAAPAAMTRLFSIDWYKNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFCAATLEHGMNPPISPRPEWRKLMDEIAVFATEHRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKYAIQKDSKNLKMLQEMYKTWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSEDLWSFGESLRANYEETKDHLLKIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYHVTLRPHISKEYSEPSKPADELITLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >KVI10459 pep supercontig:CcrdV1:scaffold_130:178294:196529:-1 gene:Ccrd_011124 transcript:KVI10459 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLCLPLRLISPHPKIPVGSQTQVFRFLSTTHFVHLHHRRKYSNNIVHRSYEYSSCSCSNSDMGSFSSSEEEFDMELGRLLRLLPEEMRRRVNEHHELHQLIEVVMDLGRKPLARFPSGDFVLSDHLITVDDLLHATSLVGDFAIDNRAGISRTLHRISAIRNRKAAIIGLTCRVGRAVSGSAKLLQDMVKDGASLLLIGPPGVGKTTIIRFLILYAYIKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPDSDMQHKVLIEAVENHMPQVIVIDEIGTKLEAAAASTIAQRGIQLVATAHGITIENLVMNPSLEMLVGGIQSVTLGDEEANRRGVQKSVLERKGPSTFDCAVEIISRVELRVHSSLEATVDAILSGRSPKYQVCKLNQGEVDVTLQNDPIAHDSFVKKSVTTIEGIPQWTNEKLTSNKHISKESRSDKENSYDKPPLCLFLYGVSETCAIQVFKNLKMDSAVEFTENISEADAILALLSKIRKNSRIQAAAHSSDVPIYVTKTSSLTQLAKAIEALVTDYDNGFEDIEDETQINESEKTDALEEVRIAIEQVVIPKGQPVELLPRPSSIMLLQKDLIHKYKLQAQQVGSEVDVRLRILPFQGNKEDKDPGERSDDIDDDDDGEFSELSETNGSAYPMDRLPFLPD >KVI10486 pep supercontig:CcrdV1:scaffold_130:363203:371299:1 gene:Ccrd_011136 transcript:KVI10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MGRIFLVELEGRTYKCKFCKTNLSLAENVVSRGFHCRRGKAYLFSNVGRIIDGLDSEFYIDTRPSSSDAEEA >KVI10455 pep supercontig:CcrdV1:scaffold_130:124788:125595:-1 gene:Ccrd_011121 transcript:KVI10455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFALVCCXMLKSMKVEKLVVSAIPSVVETWTEGFGFSPLEADEKKSLDKTNLMVFPGTVWLKKPMYQGSSIQSKHFHGAASEAENLNFEETTSPLDTVPRFLQEDGDRDDNLVEQVSKLSCVPKMEGSSAESGCDGTLSVMYNDNELPLAERXEEATKGTNK >KVI10471 pep supercontig:CcrdV1:scaffold_130:417161:418300:1 gene:Ccrd_011142 transcript:KVI10471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MESFHKTLCIFFTFFFALLSSSRAYTFNVGGRDGWTLHPSENYNQWSGRLRFIVNDALHFKYNGGSDSVLEVNNGDYDNCNTKNPITKLAGGDSYFTLNRSGPFYFISGNKSNCDQGQKLNVVVISPKTKSSPPPAGVASPPTSFSPIPSEFPISAPPQPGTTIPASPASSPGGTGSGGSSSPASSPDGSDSFSPAGSPASSPGVSDSSSPAGSPASSPIGNPADINAPPPSGSSSEKLAASAILTFPLAIIIFGFGSLH >KVI10475 pep supercontig:CcrdV1:scaffold_130:56360:60386:-1 gene:Ccrd_011114 transcript:KVI10475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MSHSSKSVSELGFDALSDRLRKSLTSDGNAADNTNKPDFRELDLGSPISPLRVGPAVSSSSSSSGSGSGSGGNGNGSHFLSTSRRSDSGELSADSSPTSSVGGSCSKKSTHFRDSSPTSSVGGSCSKKSTHFRSYSAGGTGGHPLIYSGGSSATSPTTNVLPTGNICPSGRVLKTGMMASRTSKPDVLSLGTGNYGHGSIMRGGSAIKSAVAGGRGENPVSGNSNPSVSRRSSTDPEDLKRLGNEEYKKGHFIEALSFYDRAISLSPENAAYHCNRAAALMCLKRLTEAVKECDEAIKLESGYVRAHHRLGSLLISLGQVENARRHLCFPGYQPDPKELQKLQEVEKHLNKCTDCRRVRDWGGTLRESDAAISSGADSCPQLFACKAEALLKLRQLDDADLILSNVPKFNASSCLSCSQSKFFGMLSEAYLLFVRAQIDMAMGRFENAVTSIEKSVQIEPRNVEVAVLLQNIRSLSRARARGNDLFKSERFTEACSAYGEGLRLDPSNPILYCNRAACWFKLGQLERSLDDCNQALLIHPNYTKALLRRAATYSKLERWAESVRDYEVLRRELPNNNDIAESLFHAQVALKKSLGEEVYNMKFGGEVELIAGLDQFKAAVASTGASVVLFKSTSDLQCKQISPFLDTLCTRYPSINFLKVDIEESPAIASVENVRIVPTIKIYKKGIRMKEMVCPCREVLESSVRHYSF >KVI10457 pep supercontig:CcrdV1:scaffold_130:134645:143585:1 gene:Ccrd_011122 transcript:KVI10457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRFYTYRDLRLATEDFKPENKIGEGGFGSVFKGMLKDGTVIAVKVLGAESRQGLREFMTEITVISDIQHENLVKLHGCCVEGDHRILVYGYLKNGSVDQTLLGSGQCSIKFTWEVRRKICIGVAKGLAYLHEEVQPHIIHRDIKASNILLDEDFTPKISDFGLAKLFPSHLTHVSTRIAGTQGYLAPEYAIRGQLTRKADIYSFGVLLLEIVTGRPNQNKRLPIEEQYLLERGFKRPKTRQSTSLKMATRLLLRTLLSSANQTLIPRFSTNSSYLLRLRPIVSIAAANLRQSSLAPTNVRAFSTRQTTSSLNDSNPNWTNRPPKETILLDGCDFEHWLVVVEKPEGEPTRDEIIDSYINTLAQVVGSYDEARMKIYSVSTRCYYAFGALVSEELSYKIKELPGVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPRNFDRSRNFERRRENMQNNRGPPNMGGGPPNMGGGPPNMGGGPPNMGGAPPPNMGGGPPTMGGAPPPNMGGGPPANMGGAPPPNMGGRQQGGGMGGPPNFHGGQSNNWQGPPPSYSGGPPPPMPPNNMGGMRPQNMGGGMPNNAPNYQQQQPQYGPNNGGMPYQSGPGPNGYAPNVGGGNPYQNQDVPGRDIPAASNYE >KVI10487 pep supercontig:CcrdV1:scaffold_130:371618:375326:1 gene:Ccrd_011137 transcript:KVI10487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGLSSKRKHSKKKSMKDSSEGRRKKRSSRNKSKKLRRHDDSFSSDDDDVSTSSSLVSSSSSEGEYKSRRARSHTRNEVKGSRKRSRRRSLSEESGKDSPLVKKRKRSKKKADSEMRKKSKKIKKKKKSRRDAYISSASSGSSSCSTCGDRNSSSDEGASLGRRRSRSREKKKDHRDSIKGRKGHRKNRSRSRSSSPSKLSNSFDDDDNIEKVMVENNSRRLKSIITVAKPPENEEENDKDMKKDELKEEIVYDYDDYPSCKSNDSNDGESKKELVDRSNVSPEKRRLLTSVIGEVNSSSSLKDNEPVSPDKNPGKECVGSKEDESNASGNMGSEVDKLESILRQKALENLSRFRGGIQTKTVVPVDNKHKSDQSGVKQSIIQTPSRLPVPEQDSYSTMAVPQPVIPRSRFTWRRDPSVTIGKEEKAATNSGTESCRPQAPAPKLQTANLSSTPCVENKMKIMKNTGHSIGGIETNDGQKATTGLETKEGSSKEQQTESNNSQFEKKTMSVMRGGEMVQVSYKVYIPNRAPALARRQLKR >KVI10485 pep supercontig:CcrdV1:scaffold_130:297428:303950:-1 gene:Ccrd_011131 transcript:KVI10485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MAADSVVEIENMEESTVHVFKSSSELLEKLHQKWSSVEKLPYPAMYSSVYGGIILDPAMMVIPIDDHMVHRGHGVFDTAIILDGYLYELDGHLDRFLLSASRAKITSPFPKSTLSTILIQLTAASNCKKGTLRYWLSAGPGDFLLSPAGCPTSAFYAIVIAEEFSQCKEGVKVITSTIPMKVPLFATSKNVNYLPNVLTKLEAEEKGAFASIWVDDEGYVAEGPNVNVGFVTHENELIVPFFDKILSGCTVKRLLELAPKLVENGRLKSVKTANITVEEAKRAAEMMYIGSTLPVLPIIEWDGKAIGDVCTKHANASKKHDSMETYLIELVERLVSPKMKLLEKTFVEEPPSTAPISEHKASEIEDKEVAADK >KVI10464 pep supercontig:CcrdV1:scaffold_130:48128:53425:1 gene:Ccrd_011113 transcript:KVI10464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein YNRTICRHGRGVKESLDTIGSLHFLSLENFPPSLSLKGQKRPLWRQSSTSMANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEDYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEVEAVETAKEWTRLYATGA >KVI10489 pep supercontig:CcrdV1:scaffold_130:350660:351385:1 gene:Ccrd_011135 transcript:KVI10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAPRGKPDGNAGGGNGGSETRYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDSAAREFRGDKAKTNFPTSTELSLPTAAAGGGAGGKKVVTKSPSGESSTVESSNSAVPIAAAAAVAAAAAPLPPSALDLSLSHFPVGFPMAHSLFLYHSQINNNNNNNNGKHSNRLDMFRLDGSVVRAPRLTIGAAVVNGAQSDSDSSSVVNFSYPSDRPQPEKTFALDLDLNFPPPEVA >KVI10468 pep supercontig:CcrdV1:scaffold_130:429656:430807:-1 gene:Ccrd_011145 transcript:KVI10468 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MEIVSRQHDTENPSPANSPSSNGSSSNSNGHAAAAGGGAAIQTPPLTPRPVSRSEPNPYPTTFVQADTTSFKQVVQMLTGSSKPTQQPDPTPSKISIPPIKTGQQKKQGFKLYERRNSLKNGLMINPSVPKFGHGHGLSPRIHEILSPSILDFPSLVLSPVTPLIEDPFNKSSPSIGNSSEEERVIAQKGFYLHPSPRAATPHGTAEPQLLPLFPVTSPRVSESSI >KVI10476 pep supercontig:CcrdV1:scaffold_130:86018:90438:1 gene:Ccrd_011115 transcript:KVI10476 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2296 MADEKEVNEVVEEKNDSKSKKSKSKSKNKKGIFSRIWNTVFRLGSDDFEKRLQYISKEEATLLSRMKRRSLRWRRTARNLIIFSVILEPLSSSLALLASTENPFSQSYLHTPKLWLVAVGYAIMTTRTVDMDWRTRSLRVLPMFVLPGLSFALHYGLLSFIKFCDRRDQKALERLRDERQAKIDELKERTNYYMTQQLIQKYDPDPAAKAAAASVLASKLGADSGLKMFLGDESQPNPYGTGKSSDVELQQSTGLRKRNQPNARSTGGNVAQQHSDKDMPQYAGNEVSENSLHGPLVVEHHNPAALGAQDGGWIARIAALLVGDDPAQSYALICGNCHMHNGLARKEEFPFTTYYCPHCHALNKPKNSDTLSLDNMSTGSGSPMTANAADANSMDQKVPGSSSPVEEREKIVAEDPGVEESEKKVAMEEREVSEKIVGEDPAIH >KVH88250 pep supercontig:CcrdV1:scaffold_1301:112347:116295:-1 gene:Ccrd_024325 transcript:KVH88250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLQVFLVTVIILSHTPSSLSSSPDGHGLTRGSSLSVENKDDTLVSSNGLFTAGFHQVGENAYGFAVWFSEQATSGNRTIHHQSEFKTLY >KVH88251 pep supercontig:CcrdV1:scaffold_1301:109376:111778:-1 gene:Ccrd_024324 transcript:KVH88251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLPVFLVTIIILSHTPSSLSSSPDGHGLTRGSYLSVENKDDILVSSNGIFTAGFHQVGENAYGFAVWFSEQATSGNRTIVWMANRDAPVNGKHSKLSLQKDGNLVLMDAGRDVIWSTHTKSTSSLIILQLHSSGNLVLDDGRGQSTLWQSFDYPTDTLLPNQPLTKSTQLVSSRSSTNYSSGFYKLFFDNDSILRLLYDGPETTTIYWPNPDLRTWEAGRFQYLYNRRAILDSDGEFNSSDGFSFRSVDFGKGPQRIMKIDTDGNVRVYSLIVHERRKKWEVQWQALSQSCKIHGTCGPNSVCIYSQDSGRKCSCVHGYRMVKSEDWSYGCEPEFQPCTQDNCDFIELRQAEFYGYDIRFLPNVTVDACKKDCLQDNSCRGFQFGWKEELRCYYCFMKNSLHNGYQIGFDSTIYIKLPKKLVSSYHEKPMGQSNFSCPRPTLTPIIRSYEKKHDIEPLGFMLTFGCTIGFIEIICIVFFWYCNSKRSSTNEQSYFLATTGFRKFTYNELKKASGNFSEEIGRGGACIVYKGKLSDNRIAAIKKLKNTSHQGEAEFQAEINTIGRVNHMNLIETWGYCVEGKHRLVVYEYMENGSLAENLGMGKLDWATRLDIAMGTAKGLAYLHEECLEWVLHCDVKPHNILLDANYNPKVADFGLSKLFDRGGFNRSNFSMIRGTRGYMAPEWVFNLPVTSKVDVYSYGMVVLEMITGRNPVGKCHTGNGNDEIELALIDWVRDKLQEFNGSQMKHWLEEIVDPSISGKYDRTTMDNLVRIALQCVEEDSNARPSMSQVVNMLLHV >KVH96708 pep supercontig:CcrdV1:scaffold_1302:50449:53301:-1 gene:Ccrd_001203 transcript:KVH96708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFLISSLSLLTINATSSPSHHQNPDSIIHEVNANINNSSRRNLGYLSSGTGNPIDDIWRSFLTRFDPSLGQVMPQFWQIVSTIDRLTANLESLFTIHELLTAYSVKTKINNCYILFGHARGARTLVQEIDLSVVQPLADSISKVERFLAIKDHKFYPRASLLVDEEDDDGRRRGERERKDDRKVCREGADYSLQEGEALFLTQKGRDLKRKVLADGSGAKRSKVDPAKEPSSKTDDPPVVVGSEKMIMRPGMVEAMLVSSTPSLKSPLVKDKGTSLQVEKDSDFLTMRIRLSSDFKKDDTFDRKKLFPSLNQFLTLSFMICTRLSWSMTWRATSMARFHGKLFFLCHFVSLMIVRFELVAFQAYLCLYPQIDKVKKVVQRALAREREALAKEQVTADKCDELSVRLNDALNHLEEEGHAKEDMRQALDKASMSETELAATKATLAVN >KVH96705 pep supercontig:CcrdV1:scaffold_1302:118291:122913:-1 gene:Ccrd_001205 transcript:KVH96705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGSFKEALNSSKPYLAMVALQFGYAGMYIITMIGMKRGLSHWILVVYRHATATLVIAPFALAFERKIRPKLTRSVLLKVLLLGLLEPVIDQNLYFLGMTYTSATYASAIINVLPALTFIMALVFGMEKVNLKRIHSQAKIAGTVITVTGATVMTLYKGPIVDILWYSHHGAATTHKAAAGASSSGQHMLAGTIMILACTCSWSAYFIVQSMTLKEYPAELSLTSLICLAGTVEGGIIAMIMERDPKAWALGFDSRLLASVYSGVICSGIAYYVQGVVNRVRGPVFVTAFSPLCMIITAVLGAIVLSEQVHLGSLLGAIIIVMGLYSVVWGKSKDHLLTSEKSLGQELPTVDKHHAKMAYDTTDAPFEPVITKDKSLPQEP >KVH96709 pep supercontig:CcrdV1:scaffold_1302:18299:36385:1 gene:Ccrd_001202 transcript:KVH96709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase 1 MLDQWQVARQRVTPNLGFPAAQSSSFSVALEWLFVRQTICSCSSVASRMIKSLVLPGKPSRLYAANIGGFEQVKSNGVRMELSIAQPDDWHLHLRDGDLLEAVISHSSKQFGRAIVMPNLKPPITTTAAAAAYRKSILKALQDNTNFTPLMTLYLTDTTTPHEIKLASLIRTAYTRCLVVSTVDSSKEWIEALIRFVGQIFDVDSVCRESGIVYAVKLYPAGATTNSQDGVTDLFGKCVPVLEEMVNQNMPLLVHGEVTDPEIDIFDRERVFIETVLKPLIQKFPKLKVVMEHVTTMDAVRFVESCEEGSVAATVTPQHLLLNRNSLFQGGLQPHSYCLPVLKRETHRQAIVSAVTSGNRRFFLGTDSAPHERRRKESVCGCAGIFNAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTRKMTLKKTTWKVPESYSYASGSIVPMSAGESLEWLPCLP >KVH96707 pep supercontig:CcrdV1:scaffold_1302:2214:14072:-1 gene:Ccrd_001201 transcript:KVH96707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MSLNSFKEILKPCHQSQSQSSSASASVSAYSQTSTTLNARKPPKSSLSQQLLRLEDDSNSFPTLLSTKQSKEVSQRKQQPHRTDVVVEREFEDEEEEFKEEGKGNGFRIAKPKLDAPQFFHPTGPYEPLVLSGSGHNPVVQVPASINCRLLEHQREGVKFLYNLYKKNHGGILGDDMGLGKTIQAIAFLSAVYGKDGEYGETTILKGNEGDKKGPVLIICPSSVIQNWEAEFYKWATFSVAIYHGTNRDMTFEKIEAHGVEILITSFDTYRIHGNILSSVSWEIVIVDEAHRLKNEKSKLYEACLAIKTRKRYGLTGTVMQNKIMELFNLFDWVVPGGLGTREHFRDYYDEPLKHGQRSSAPERFVQVAQERKKHLVSVLNKFLLRRTKEETIGHLMKGKEDNVIFCAMSEVQKRVYRRMLQLPDIQCLIDKDLPCSCGSPLKQVECCKRTVPNGVIWPYLHKDNPDGCDSCPFCLVLPCLTKLQQISNHLELIKPNPRDDSDKQRKDKDFASAVFGTDIDLVGGYTQNESFMGLSDVQHCGKMRALENLMSSWILEGDKILLFSFSVRMLDILEKFHIRKGYSFSRLDGSTPTSLRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAHDLQAQDRSFRFGQKRHVVVFRLLAAGSLEELVYTRQIIEPHDKQGTEQERISGEKQSLPDFGMCFLPQQARETFSLDSESSKPFDDKSSKRIVPVNGELGIVYAHRNEDIISHGRECDAKQEVTTSTLIQPCFPQKPTVLSEKGNGSLRTHKMKQYRMVAKFMGMEVVEFSKWVLSASVLERKRVLRDYKRRNYLRCGRV >KVH96706 pep supercontig:CcrdV1:scaffold_1302:71622:92413:1 gene:Ccrd_001204 transcript:KVH96706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MEPKPSSLKPLPEEDQDHDQDRLQSDHAPTNAPSAFSSKPIRPPTQTSSQKYSPLDWKGYFDQEDDVCIPDTNDVFHIYTAGKEGPVVFCLHGGGYSGLSFAMSSRLIKEKARVVAMDLRGHGKSSTENEIDMSIETLSSDVLAVLKTMYGDSPPAIVLVGHSMGGSVAVHVAAKKTLRSLAGLVVVDVVEGTAMASLMHMQKILSNRMQYFSTLEKAIEWNVRGGSLRNIESARISIPGTLKYEGLSEKFLSSPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASLILNFIARNRIGPYGVEIPGIRRPSQPHGFILKLLLNTNQLASSNMAQVVCIIVLWFTDVLFVQNQHMSLNSSFHLDILRHNYMSIQGTMYRREMGERILDGNGTQKFFDVFVEELRWVFILESVE >KVI08264 pep supercontig:CcrdV1:scaffold_1303:52263:57107:-1 gene:Ccrd_013364 transcript:KVI08264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MRQSISQTTVSNGNAKGPSMFNAFRCMSSKLFVGGLSYQTDDHSLKEAFSGFGDVVEGNLYIIFIYGIQVCRMSRVITDRESGRSRGFGFVNYTSDDSAKEAMTAMDGQELNGRSIRVSLATERAPRTGGFSGGGGGYGNDRY >KVI08266 pep supercontig:CcrdV1:scaffold_1303:95935:99789:-1 gene:Ccrd_013366 transcript:KVI08266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MVSSKEESLIHDIKISTVGPGYVSGQGAVQELTSMDLAMKLHYLRTTYYFRSQALEGLTIINIKETMFYWLNHCYIPCGRFRRSESGRPYIKCNDCGVRFIEARCTITLDEWLELRDDARHKLLVPNQVLGPDLSFCPPLTKFKCGGTSIGVSWAHVLGDAFSAAGFMNLWGQATKRQYPTQPLRMTRSDNMGHNPKSPIKDPLAVKRVGPVGDHWTSSNHSKMETYSFSVSWPELTRLQSKICGDKNHQQMPPFETICTVVWQCVAKAKHGSEVKVVTICKHGSKKIFEGVITNEAQFIKVVKTESSVEESSLMELGLLIMNQGVDVRRNVKEAMETNTELPDFLVYGANLTFVDLYDVPFYELDVRGQTPVYVNCAIDNIGDEGVVLVLPARKNHSDGMTVSITLPTDHISKFRSVLKKEWSL >KVI08263 pep supercontig:CcrdV1:scaffold_1303:87074:95205:1 gene:Ccrd_013365 transcript:KVI08263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWISGLCLFLLSRRKVFLYPIISTPIHIQCPLFSGQGRFNLHYNHGGRQIDFVCGFCSLSLRGAWKGIESGNECEDMVNGEENISLVRGACAMSRCFPFPPPGYEKKPTTDDADLLKKEKRKEKKHKKDKKDREKREGKEKKDRSDGKHKEKKDKKDKHRDKKKDKENGKDKEKGRSNYSDEKRVDGQFEGPNAEMLHQKNEHNKQKDGSSGHKKSSVQFQNGEPRELLLRKEESCKENCNSLDDKKSSVKLWGQNEELLVRNRIQAEDINNSKFVQELGRRIRDEEKRTGSLQFPVESRKISVDRGEIPKTDGPRITNEVTFGRLVMVQNIIDGTMPPLDKWADKKVEDKEKMREKENDDKRGDKRKNKDRDKQRQGKDKDKDKDRTKEEKMKKASKLTKTERDKNKDMKNSGTIGSLKDLSTHSPNIGLQRAGSELKKRKDVETNGFSHENEPRPNKMARPTSSILTENGRKQDFLQNPGLSLAGKQGTTMGSFKVDSKERRVNGVIPSQPMTITSKKPPITTANHILCKPTTIKSPPVSIDHVATKQSPIPTTKPPSSVPNHIPTQPFSDPLTKTSSTVANQNLAHSLPISSTKPSPVVAVANKIAAQPLPNSKKKPPAEASIRPPHPDIKYLKQILTVPKMEQWSGLDDQEWLYSSSKGDPHFKKTTKDDALQDNKELEVWSEAKHLESVDICALPYVIPY >KVI08265 pep supercontig:CcrdV1:scaffold_1303:131138:132917:-1 gene:Ccrd_013367 transcript:KVI08265 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein TRLHLYLLYLYLPQVPNPFLSPTHHSSFAICSHKSIMCCSHGFISRIPTTTPPPVYSSRTHFSIPSTVRLHIATGNPGSLRAKSAASVKDGDGFVSETIMDDLSFYDLLGIPETVTSLEIKQAYKQLARKYHPDVSPPGRAEEYTQRFIRVQEAYETLSDPSQRALYDRHMAMGIHFAFSSRNHLHNDEQMKGKSEWRGHWQSQLSELRKRSMYKESGKNTSWAARMRRQRTESPP >KVD98089 pep supercontig:CcrdV1:scaffold_13035:103:1097:-1 gene:Ccrd_024326 transcript:KVD98089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRGMLPETATYGGGGGGPSFLLPHQLHYQQPPQLPFFHNQTNSLSFNNNNTTTTTTTTTTYSHNYLQEGRSYPSPLLRDHGLLQDMVSFQSGKQEPR >KVI00876 pep supercontig:CcrdV1:scaffold_1304:96414:97217:-1 gene:Ccrd_020864 transcript:KVI00876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl synthase, C-terminal MRSVSVEEVNYINGHATSTMIGDLAEIKALKKVFKKTVGIKMNATKSMIGHGMGAAGGLEAIATIKAIQTAISNSFGVGGHNSVVAVSAFKFKP >KVI00877 pep supercontig:CcrdV1:scaffold_1304:106988:112281:1 gene:Ccrd_020865 transcript:KVI00877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MALCISRLWWCLLLVYVEFEVVFSDTDPGDAEALRALKDQWRNTPPSWGRSNDPCSWEGVTCSSSRIVSLALNTNNLTGEIPSSLGLLSKLYWLDLAENHLTGSIPVSSDTKPGLDQLKHAKHLLSGNPVCATALAHTAYCRVQQQTSKAYSTSLTSCGSKLCPSDQKLSPQTCDCAYPYEGTLYFRAPSFRELSNINVWHSLEMSLWVKLGLTPGSVSLQNPFFNTDDYLQVYLQLFPSKSKHFNRSEIQMMGFYLSNQTYKPPAGFGPYFFIATPYVFSDGHGGASIGVGTVVGIATASTILVIMLIGLLLYAVRQKKRAEKAIGLSRPFASWASSGKEGGGAPQLKGARWFSYDELKKCTSNFSDDNQIGSVAIKRAQQASRQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMPNGTLRDSLTGEYLDH >KVI00874 pep supercontig:CcrdV1:scaffold_1304:66625:69399:1 gene:Ccrd_020862 transcript:KVI00874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSKDPLLQVETTCGSLLYELQGSLMTAMVRKNLVYKFNHLLKEGNVYVLKNFKVVENSGAFKVIDSNLKIIFTLLTKVEKIDTHVPSIPMHGFQLASEKIVNDRLNDDNILTDIIGCLTVVGDVETVRGGFRKRDLEIISELFANVCPRLQLGVSSGKVKRTVEEEMFENRMNHSTTVAGRVEQQTKRNAKRQLFHHFMYSDQTIFDSTLTSSYLTFKLHLSVRDDTGVVNYVVLHKLAERMRYLYRLKVFDPDYVVEKEYKPVDSTTETILIENLDVIGGTQPCNNATPTTTRKRKFIVNDDEAND >KVI00879 pep supercontig:CcrdV1:scaffold_1304:126537:126740:1 gene:Ccrd_020868 transcript:KVI00879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKNTDFSFFFKRLQGKNKGVWRKLGIVRFPRKTKFNLQLWLVDGILFKIISVLEAVVLVSELCVYY >KVI00875 pep supercontig:CcrdV1:scaffold_1304:71980:74937:1 gene:Ccrd_020863 transcript:KVI00875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helitron helicase-like domain-containing protein IRTYNSIFAFTSLGCLVDSKINCAKGPYIYRVSGQNYHRIGSLLSEIGKKPHFAELYIYDTENETNNRIEAMRRQFGADGLDLQITQDLSTMLEEHNVLVHSFRMARDKYMCEPNIVFRLRLINSRITDGRQYNLPSANEVAGLIVGDLSENNFERDVIVEHRTTRFQRITDLHPSFMSMTYPLIHPYDEDEFRQNILLQNMDGSSSKRQFVTIRQYYCFRLQQRSNEGHTLLRAGRLLQQYIVDAYMTIKGRFRWIRNNQDQLRTNLFFGLMDAINRATLQHKKYKAQGMQLTDDQIEAHTLFEIEAIMLKMRKSLKDIDGMLLPNNELLREFRYRLVNEELDYCTQDLKVAHDIAFS >KVI00878 pep supercontig:CcrdV1:scaffold_1304:119866:120093:-1 gene:Ccrd_020867 transcript:KVI00878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAMKLTKDLMGSCIRSLCNSNLAGGFKIGKDTTLPATYIRSAEDPVKDLGGNPPSERPILAFFLREECTEASD >KVI00882 pep supercontig:CcrdV1:scaffold_1305:34277:38055:-1 gene:Ccrd_020857 transcript:KVI00882 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MATSSPFFLARTSIFHSIKPFSNITLSPFKTPHFHLRSTRMERSEIKAENQVGEDGKKKKKIFVAGATGKTGKRIVDQLLAKGFSVKAGVRDTDKAKTTFPNQNQDLQFVKADVTEGSDKLAEAIGDDSDAVICATDLPLVAPFLLPPSIPHRCTASSITSSVLVGGRINDRRTASVTSSVIHRVGLGPARSEGSVTSSVIHRVGLGPARIEACRKGGVSKFILVSSILVNGAAMGQLLNPAYIILNVFGFVLVAKLKAEEYIRKSGIDYTIIRPGGLKNDPPKGNIVMEPEDTLSEGSISRDQVAEVAVEALLHQQSSYKVVEIVARTEAPKKTFEELFGSIKER >KVI00884 pep supercontig:CcrdV1:scaffold_1305:70045:72163:-1 gene:Ccrd_020858 transcript:KVI00884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNLQHKTLKIMDAQDLLTTVVSVSPLINTLPRNFLPVFVKSPARATSARRQVLTSATSLKREKDPKKRVVVTGMGLVSVFGNDVDTYYDRLLAGENGITLIDKFDASKYPVRIGGQIRGFNVDGYIEAKKEPKLDDQQRYCIVAGKKALEDASLGGDESSKINKDRAGILVGSAGGCPTIFSDAVDCLREKGHRKMSPFFAPYMLPSMGPAMLAMDLGFMGPNYSISAACATSNFCFYAAANHIRGGNVDLMIAGGVEASLIPLAVATFSACGALSKRNDDPKTASRPWDKDRDGFVLGEGAGFLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHIMSPRSDGLCVSSCIQSCLVDAGVSVEEVNYINAHATSTVSGDIAEIKALKKVFKKTTGIKMNATKSMIGHGMGAAGGLEAIATIKAIQTGWLHPTINQFVCAYAPTFFLSFFFYYHNQEPGIEFDTVANRKQEHEINVGEFVSSSLSFICHEYVVNLFERFWYAAISNSFGVGGHNSVVAFSAFKP >KVI00883 pep supercontig:CcrdV1:scaffold_1305:29418:33734:1 gene:Ccrd_020856 transcript:KVI00883 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase, C-terminal MGGNSRNALIAFVAPLPSILFYTSFLHHYADDLHLLSPIWSWCYHHPLLLANALFFFNVNVLFWIISLIQSSNWVGRSSPFNAISLICSSVSENLNVCGFVDDRCLLDGDSGVAGALLSESSSVGIQPTEVNGGGGVDMGLGNQVDPQLFSPRKMAVGCQRRLEVFLIGICIPLYTVHTVNQPLNVWDIAAMFVCITGIIYAHFADTQLHRFVTKNEKLKEVGKPRVANLDEGLWYYSRHPNYFGEQLWWWGVVIFGWNLGCTWGFVGALVNSLCLAYVTILVERKMLKQEYRVEAYKMYQKRTSVWIPWFKTSTNQVPKEKGS >KVD98088 pep supercontig:CcrdV1:scaffold_13052:706:788:-1 gene:Ccrd_024327 transcript:KVD98088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRSSFPTLTSRYLVTTTRMLVLLC >KVD98087 pep supercontig:CcrdV1:scaffold_13057:1:150:1 gene:Ccrd_024328 transcript:KVD98087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSLLFSLKSISASPNPLHERYSTRLIPRVLCILLHL >KVH88249 pep supercontig:CcrdV1:scaffold_1306:117090:119507:1 gene:Ccrd_024329 transcript:KVH88249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIFAVRSYPPENLVETEKQMKKLKQKKETTTEDLQREEAFFAHVKYNFKVKKQEXVRFMAQSXPYAMQVVTVMHDANKRE >KVD98086 pep supercontig:CcrdV1:scaffold_13065:307:1061:1 gene:Ccrd_024330 transcript:KVD98086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLQSELKLARSLVVEKETETRGVRMTNNQYMEENERLRAILGEWSTRAAKLERALEAERMSKLELQKKLSTLKSTHR >KVH94698 pep supercontig:CcrdV1:scaffold_1307:10675:20315:-1 gene:Ccrd_003234 transcript:KVH94698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MEWEVKGKAYLLELMLMILAINVEDGPLHGKEQVAELLQETVGDKTEVIYHQNPSSETLANQDFSFAIVVVGETPYVESMGDNSELTIPLNGNELITSVTSRLPTLVILISGRPLVLEPEVFGNTDAFVAAWLPGGEGGGITDVIFGDYEFEGKLPMTWFRRVEQLPTSAGEDNYDPLFPIGFGLKSKQMGTEAEHEYTNDYYAVQVAWF >KVH94694 pep supercontig:CcrdV1:scaffold_1307:95811:100780:-1 gene:Ccrd_003240 transcript:KVH94694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MARGGVCKDVIESHPKFLRYDDMIIKTTSRATEKHSVPSNNGVYELLECPICTKLMYPPIHQCPNGHTLCSNCKLRVHDCCPTCHSELGNIRCLALEKVAESLELPCRHQTLGCHDIFPYYSKLKHEQNCRFRPYICPYAGSECSITGDIPNLVAHLKNDHNVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCYGKQFCLHFEAFHLGMAPVYISFLRYMGEDSEAKNFSYSLEVGGYGRKLTWQGVPRSIRDSHQKIRDSQDGLVIPRKLALFFSGGNRKELKLRVIGRIWKE >KVH94695 pep supercontig:CcrdV1:scaffold_1307:6805:8507:1 gene:Ccrd_003233 transcript:KVH94695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKWETVILSNSSSWMMEDSIKSTVWSPVENKLFENALAKFDKDTPDRWQKVAEMVPGKTVADVLQQYKELEDDVTSIEAGFYPKYGYNCSTSPFTLEWGNSNGCVKRSSAAAPGLRPLEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTENHLTSQDQWYPTTTETHGDLFMSPRYGGNSFAAGGGAGLHEPYGGPQNLVFQMQYPHG >KVH94701 pep supercontig:CcrdV1:scaffold_1307:82761:92374:-1 gene:Ccrd_003239 transcript:KVH94701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MAESHAEVLQQVWSRETMPKVMKIISTRLKLPQRDLISLLLVSSSLHRTLVSSPSLWLVLDFHEMVNAGDRLLAALALTRYHHVKQINLEFAQDVEDKHLDILRNQFSGSLQNLEHLNLNGCQKVSDMGIEAITATSPSLKIFSIYWNGISDKSLQMIAENYQHLESLDITRCIKITDGGLQHIMVKCSGLKSLNLYALSSFTDGAYKKLSLLGHLRFLDLCGAQNLSDEGLFSIAKCKSIRTLNLTWCVRVTDAGVIAIAQGCTSLEYLSLFGIVGVTDKCLEALSEFCSNTITTLDVNGCIGIKKRSREDLLQLFPYLRCFKVHS >KVH94700 pep supercontig:CcrdV1:scaffold_1307:75910:82539:1 gene:Ccrd_003238 transcript:KVH94700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLSVLRVHLPSDIPIVGCELLPYVLLRHPDKSVSSEDVRESSPIEGHILRYNIHPSEQATLQCLGCVKAKIPITKSYHCSPKCFSDAWQHHRVLHERAASAVNENGNEEEEIFGRFTNATAGVNTTTLSALQAATPLHPIALKNGGETWFEVGYAKTYTPTADDIGHILKFECVVIDLGTKTPVGNPNTILTARVIPAPTPSPRRLISVSNLDGSGNLDLDLDGRFSSVGSFTVLSYNILSDSYATSDLYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVEFNKAAQSLTDALANSHVNVHQDLKDVKLWQVHTLLKGLEKIAAIDPLGILRPATKLTHALPLVSAYSSFARIGVGLGYDQQKRRVDPATNEPLFTNCTRDFIGTLDYIFYSADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRTRR >KVH94696 pep supercontig:CcrdV1:scaffold_1307:43225:52349:-1 gene:Ccrd_003236 transcript:KVH94696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MENPIYKNPNEPIESRIKDLLSRMTVKEKIGQMTQIERSVATPSVVKDLCIGSVLSCGGFGPFEEATASNWADMVDSFQKSALDSRLGIPILYGINALHGNNNVYGATVFPHNVGLGATRDADLVRRIGEVTAIEVCRDPRWGRCYESFSEDTQTVRKMTSMVTGLQGQPPEGYPKNYPFVGGRTNVVACAKHFVGDGGTEKGVDAGNTKLSYEDLERIHMAPYVDCISQGVCTVMASYSSWNGTKLHESHFLLTETLKEKLGFKLYLEELTHLVETGEIPISRIDDAVERVLRVKFVAGLFEYPFSDKALLDSVGCKRHRGIAREAVRKSLVLLKNGKDPKKPFLPLDRYAKRILVAGAHADDLGYQCTTILDAVKETVGDKTEVIYHQNPSPETLDNQDFSFAIVVVGETPYVEAMGDNSELTIPLDGDKLITSVASRLPTLVILISGRPLVLEPEVFGNTDAFVAAWLPGSEGGGITDVIFGDYEFEGRLPMMWFRRVEQLPTSAEENSYDPLFPFGFGLKSKQVSL >KVH94697 pep supercontig:CcrdV1:scaffold_1307:66070:69681:1 gene:Ccrd_003237 transcript:KVH94697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MVIRAHDSPSSSPVRITVSSAGGFRNVGLTSPVQRTSISNSPLSGGEIRRLSGGRRASGVGGSGGGGGGRYLSMSKDGADEYVAYTVHIPPTPEHQFMSSSEISPEYVKNHGNPNENRIKDTVFTGGFNSETRAHARRMKSVEEMTVVKSKLLCQVDGCDEKLVDKSSKSQCECGFRICNECYLDYCSSGGGVCPGCKEPFREACEEDDGDYQPAMSEEKKDTVNPLPRRGGGIRLENNFSLVRSFKAPNQDFDHTRWLFETNGTYGFGNAVWPREECHRGGGGLDQYESPPMFNDRRNRPLTRKVGISAAILSPYRLLIVLRLVALALFLTWRIMHPNHEAIWLWLMSVICEVWFAFSWILDQLPKLCPVTRSTDLSVLKERFEPTRNNPKGLSDLPGIDIFVSTADAEKEPPLVTANTILSILAVDYPVDKLACYLSDDGGSLVTFEALAEAASFAGTWVPFCRKHEIEPRNPEAYFSQKGDPLKNKRRVDFVRERRRVKREYDEFKVRINALPELIRRRSDACNAREELLAKKKQMELGGTLSESVKVPKATWMSDGNTWHGTWFSGEEDHSRGNHAGIIQLMLVPPAPECTYKTEEDPEDLIDTRNVDTRLPMLVYVSREKRPGFDHNKKAGAMNAMVRASAIMSNGSFILNLDCDHYIYNSLALREGMCFMLDRGGDKISYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRIALYGFSPPRATEHRGWFGGQKIKFSLRRMKKNKTETEEDAEMIMPIVDDQNNDEEDELKQALIPERFGDSTYLIDSIAVAEFGGRLIHELRGKGSHGRPSGSLAVQREPIDRAAIEEAIKVVTCFYEDKTEWGKRVGWIYGSITEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLIQVLRWATGSVEIFFSRNNALFASPRMKFLQRIAYFNVGMYPFTSIFLLAYCVLPALSLFSGKFIVQSLNVTFLILLLAITVTLSMLALLEIRWSKITLHDWWRNEQFWLIGGTSAHPVAVIQGLLKVIAGIDISFTLTSKPAAADDGEDEFAELYEFRFTMLMIPPVTIILLNVAAIAVGVFRTMYSPFPEWSKLVGGVFFSFWVLSHLYPFAKGLMGRKGKISTIVYLWSMLICIVISLIFLYIHPPDGSRVTVGLQFP >KVH94699 pep supercontig:CcrdV1:scaffold_1307:23087:37937:-1 gene:Ccrd_003235 transcript:KVH94699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPIYKNQDEPIESRIKDLLSRMTVKEKVGQMTQIERSVATPSVIKDLCIGSILSCGGSGPFEKATSSDWAEMVDSFQKSALDSRLGIPILYGIDAVHGNNNVYGATVFPHNIGLGATRKQATAADMGQLNSVLGLKLDPLGLEVSGLQGQPPEGYPKNYPFVAGRTNVVACAKHFVGDGGTEQGVNEGNTKVSYEDLERIHMAPYLDCLSQGVCTVMASYSSWNGTNLHESHFLLTEILKEKLGFKVMVPFRYKLYLEDLTHLVESGEIPMSRIDDAVERILRVKFVVGLFEYPLSDKSLLDSVGSKPRKESGNCFKGTVHSFLGRLFRRLKLHGKSPEFPMSANSIYDEISCGFRS >KVH94692 pep supercontig:CcrdV1:scaffold_1307:111906:121621:-1 gene:Ccrd_003242 transcript:KVH94692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLTELLPCYPSLSHPCYTSLPISATLVLGKIVEDRRPPSSAVDRRDLTNSADYHSSLRSLTSFETDNSRRGAAFLVNVRFCVFLIEFDSFSVLALSVVLNLLLLISAITMKNVGDNETDVEDGRLDKERERSSKTSRLKLNNQALLSGLAYCLSSCSMILVNKYVLSSYDFNAGISLMLYQNFVSVVIVSSLSLLGVISTEPLTWRLIKVWLPVNFIFVGMLITSMFSLRYINVAMVTVLKNVTNVITAVGEMYLFNKHHDNRVWAALFLMIISAISGGITDLAFHAVGYTWQIINCFLTASYSLPFWKACSMFSEEVFTPATTFAHLDVTSVLSRGLAARGDYIQASKFFLLHLLAQVMDCLVAGVFFARAKIKDRTQS >KVH94693 pep supercontig:CcrdV1:scaffold_1307:104819:109939:-1 gene:Ccrd_003241 transcript:KVH94693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSEEVIPSEAAVLITHRTDPIRYNQNLDPRLISTSSLSRPEWPTIDGPLGLSEQDAVGYAPPLSSSLSDHIFTTLVVNHPRPSLSSFFCCVRRCEPLTTTLVYSVDFIPSTIVNSALVFSSSPPKTTTRAILLLQSASKRPSHYPSLSSFSFKVARMTSKQPDQLVSNRSRGSVDPETTPKVSKAGGRWLTVDSISNGGTTSNIKFTNGALTFCCLEAFLQVETS >KVD98085 pep supercontig:CcrdV1:scaffold_13079:52:1254:1 gene:Ccrd_024331 transcript:KVD98085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase RIAYINTIVYPLTSIPLLAYCVLPAVCLLTNKFIIPEISNFASMWFILLFISIFATGILELRWS >KVI04555 pep supercontig:CcrdV1:scaffold_1308:120070:122731:-1 gene:Ccrd_017132 transcript:KVI04555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1352 MQQRNSGNRRPSGTDGSDFSYRMVVDNRYTKVAKGKSALSKVLVIQAVVLLAGVLDIFFTLLKKEPLETLAAASSSITFISIILGELGRKRSRANFLKFYMVGSSIGILVLIASVIQSNIQPKARKFFVF >KVI04552 pep supercontig:CcrdV1:scaffold_1308:6566:7153:1 gene:Ccrd_017128 transcript:KVI04552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MEASNSIAQKTILIILLYTTSTITFVSALSKTNVEFIKSSCSFTTYPTLCVNSLSTRAGAIQTSPKLLAQTALSVTLDTTRSTSAAIVKLSQIHGMAPLEVAAMKDCIELLGDSVYELEKSLEEMSRPGSKSSRLVISDLQTWVSAAMTDEDTCIEGFGNTKMKNVVRGKIVNVAQLTSNALALINSYASFSKQP >KVI04550 pep supercontig:CcrdV1:scaffold_1308:2886:3262:1 gene:Ccrd_017127 transcript:KVI04550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFHLLLFDGSLIFPEYYDGLEENADMEDGQMPFQEQQSDENTEYPTKDNQMPSEECTSQGSRKRKS >KVI04554 pep supercontig:CcrdV1:scaffold_1308:105728:106339:1 gene:Ccrd_017131 transcript:KVI04554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAYSTNSRAQNAILIILLYTASSISFISALKKTNVEFIRSSCSLTAYPTLCFNSLSTQAGAIQTSPKLLAQTALSVTLDTTRSTSSAMVKLSQVHGMIPREVAAMKDCIEMLSDSVYELNRSLKEMNRPGSKDSGLVINDIQTWVSSAMTDEDTCSEGFVNDPKMKSVVRGKIVNVAHLTSNALALINSYASFSKHEIQRN >KVI04551 pep supercontig:CcrdV1:scaffold_1308:25870:40140:-1 gene:Ccrd_017129 transcript:KVI04551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASSNHTPIKFNQASSVTCALALYPPIPVLFKKPDGTSGVVLTVITWVSIAMTDDDTCTDAFEGDEMEIKGSIRVNIYNLSRSLQLKVPAYFNYLNSLASLWPMNMICRNYKDNALSSNVYDESETIDEDLHRFSTSFMNIELSDEWDTLEEDSKYFDSSNPNEIKPFLVKYTPPESSKTKIEDIKPTGWNECDHKILYPKELFGYTLNPQELEEMEALLKKQGQLHESQIIYQDDLGNIRMTPKDEDLLDSFLSDSVESINFALMNEGS >KVI04553 pep supercontig:CcrdV1:scaffold_1308:40919:41254:1 gene:Ccrd_017130 transcript:KVI04553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MIKLSRVHGMAPREVAAMKDYIEMLSDSVYELNGSLEEMNRSGSKDSELVINDIQTWVSSAMTDEDTCSEGFVNDPKMKSMVRGKIVNVAHLTSNALALINSYASFSKQET >KVI07694 pep supercontig:CcrdV1:scaffold_131:282933:294906:-1 gene:Ccrd_013934 transcript:KVI07694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLHLPSVTPNFKGTCGFGLRGHKSRSGVSIRTGLRVSARAFMIEEDDVLLMFLKDRELNGDFIAKVSDKLWMRKTIKIEDIKADLTNDATQLDQPLVDENTGGFLKLTRTNEWLLGESAAPINKKMQAKELRDDSKRRTRLNLLQYEAVKRELFMLTVAIGSACSGYCLATFSIQAAVSYATGVLFRIGIRSDDLEDFFERVVKGCSMALSSPRLVIPAAIYGLWGLSQHFANDFFQLTPAMVGVFAYKAAALVQVYRDNEDLQLIFPGSDEDLMD >KVI07703 pep supercontig:CcrdV1:scaffold_131:63843:68950:1 gene:Ccrd_013944 transcript:KVI07703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 domain-containing protein MISKKVHNMSTTLEESPEQRNIDMKIWGWSLVSLVPWASNAKENIKMPTFFNKKLKNHAQQSRLRNLSEPGVSYATVRFRPYVCKVPWHTGPRAFLSQLFPRYGHYCGPNWSSGKDGGSLLWDKRPIDWLDYCCYCHDIGYDTHDQSELLKADLAFLECLERPHMATKGDIRVAHLYRTMCVSGLRNILIPYRQHVVKLKTGQLSLGFGWLGNMKWKGWNAQEEASAR >KVI07704 pep supercontig:CcrdV1:scaffold_131:46392:47951:-1 gene:Ccrd_013946 transcript:KVI07704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MGSCKNCKSKSLITDSVTGNLECSSCGVVQDFDNYEQQTFNADGPIGTNVRLGTSGSWHDYSYRETKIYLAQKIVSDILLKLDLENRVDEVNNMIKIITEEEYGSGNWFNVLVGACIYVVMRKANKCLPLTSVCNCVGCDSYELGRMVYRVIDHLDLRLPDFDIVGLFDRVIKEWVGCRSNISKDKVGRMVKQGIFLLQCMIKWYVTTGRRPVPVVVAAIVFVCELNEVEVSLEDLASQLNVAAGTCKLRYKEILKRLVDVAKVHLPWGNDVSVKNIMKNAPIVIQYMEMKSMCNPAKQIKTLEEVGMDLDGLVVDSLNKGDENHSIEDYTHNRSQGSTYWANEDLERLNVSSECLSTIYLKYLDEYSNVKTSIQGIDNRMTEWTSKSDFCMDTRDFWCGDSELSKKLFLNKILEKDVGLNAMPPSFVKGCLKTQRRKEKIEAAKRRIVKIRRPSMAAIESADLCVRLPVSSSKRKRRDTDWEDFVIETLLLHQVKEEEIEKGYYNTLLDLHVFNSGTC >KVI07700 pep supercontig:CcrdV1:scaffold_131:304394:311010:1 gene:Ccrd_013932 transcript:KVI07700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14, bacterial-type MAANSASNCILVGRSLLRGLGNFSSGILRSSQETTCNSFLAQQIRTFIQMRSNLKVVDNSGAKRVMCIQALKGKKGARLGDTIIASVKEAQPGGKVKKGQVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVNKQGEPIGTRVFGPVPHELRKKKHVKILSLAQHIA >KVI07699 pep supercontig:CcrdV1:scaffold_131:312822:318730:-1 gene:Ccrd_013931 transcript:KVI07699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MSRSLGIPVKLLHEAAGHIVTVELKSGEVYRGSLVECEDNWNCQVENVTFTAKDGKVSQLEHVFIRGSKVRFMIIPDMLKNAPMFKRLEARIKGKSSALGVGRGRAVAMRARAQAAGRGGAAAPGRGR >KVI07696 pep supercontig:CcrdV1:scaffold_131:231500:243726:-1 gene:Ccrd_013936 transcript:KVI07696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase active site-containing protein MDPYKRIPERIVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETIRDPRDVIHAFKPNPKSHIQEDWRILDFLSHHPESLNTMTFWLDDLGIPTDYRHMEGSSVNALTLINKDGKTHYVKFTWKPTCGVKCLLEDEAVKIGGTNHSHATQDLYDSIAAGNFPEWKLFFQVIDPDHEDRLDFDPLDGTMTWPEDVIPLQPLGRMVLNKNIDNFFAENEQLAFNPGLVVPGIYYSDDKMLQGRIFAYSDTQRHRLGPNYLQLPVDYFPSRQERFICRMVKMLSDPRVTHELRSVWISYWSQYRPSSAHNTPFWTTNSGAPIYNNNSSLTVGIRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQEDWRILDFFSHHPESLHMFTFLQDDVGVPQDYRHMDGFGVNTYTLINKAGKANYVKFHWKPTCGVKCLLEDEAIKIGGANHSHATKDLYDSIAAGNYPEWKLFIQIMDPDQEDKFDFDPLDVTKIWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIIVPGIYYSDDKLLQTRIFSYADTQRHRLGPNYLQIPVNAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRYDPSRHAAEFPIPPVKLTGRREKCLIEKENNFKQPGERYRSWPPERYIT >KVI07714 pep supercontig:CcrdV1:scaffold_131:413254:446220:1 gene:Ccrd_013923 transcript:KVI07714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MEVVVISKDSVKPSSPTPPHLKTFELSLLDQLVISPYVPIIFYYPNHHGHTILQAQEQSLALKRSLSETLTQFYPLAGTVKDDLSIDCNDVGAYYALASVRLRLDEFLSHPDLSLTDRLLPFRPSFEASGIGGRVTSVQVNIFECGGIAVGLCISHKIVDAASLYTFLKAWTNMARGSKEVVYPNLIGARSLFPAKDLWLKKASMAVCGSWLKEGLCVTKRFVFDADAISTLKAQATRNGVQNPTRVEVVSALLWKSAMAASKENCGFQKPSLLTHTVNLRRKLSSTLSKDLMGNLLWFTGADCQANDETTLDGLVKKVRDCVAKIDVEFANKAQGENGYIAMVESMKDMGEVSSKGTMDVYNFTSWCRMGFYDIDFGWGKPCWLTGVVGDGCPVFLNLITLTDTKCGEGIEAWVNMDEEEMKILESNSELLAFASLDPSPLPKDEVVAVNKPHAMNIEIDPINNYHGHNILQAQEQSLALKGSLSKSLTQFYSLAGTVKDDLSIDCNDVGAYYALALVRLRLNEFLSHQDLSSTDRRHIHTKAEATRNGVQNPTRVEVVTALIWKSAMAASKENCGFQKPSLLTHTFDAMDIEVISKESIKPSSPTPPHLKSFKLCVLDQLVVNPYVPIILYYPNSNGDSILQAQQKSLALKQSLSKTLTQFYPLAGTVKDDLSIDCNDVGAYYAIALVHLRLDEFLKQPDHSLINRFLPFQPSLEGSGAGARVTNVQVNVFECGGIAIGLCVSHKIVDAAATYTFLKGWTNMARGAKEVLYPNLNAPSLFPAKDLWLRESLMAMSSPWLKERVSCTTKRFVFDADAISTLKAEATRNGAQNPTRVEVVSALIWKCAMAASKTTCGFQKPSRFTLPVNLRRKLASTLSKDSICNVLWVTTADCPADSETTFDGLVKKVRKCISKIDIEFANKAQGDKGYAAMVESIKEMGEISSEGTMDNYLFASWCKMGFYDVDFGWGEPSWVSGIVGHGYPVVMNIINLIDTKCGEGIEAWCLMAMEIQVISKENIKPSSPTPPHLQTFELCLIDQIVIHPSYATLVLFYPNHNADTILQAQAKASALKDSLSKTLTHFYPLAGRIKDHCSIDCNDVGAYYALALAHGRLDEFLSLPDYTWMNSLLPFPSGFEPAGEDARITNIQVNIFKCGAIAIGLCISHKIVDGATVYTFLKGWSNMAFGGKEVVHPNLTAHSLFSATALWLREPLTAMWESWFKEGKCATRNFVFDAGAISALKAEATRNGVQNPTRVEVVSALLWKCAMEASKKAHGFKKPSRLTHAVNLRRKLPSAVSKDLVGNVIWLTSSEWRANHCTTLHDLVKKVHECIAKLGIEFVNKVQGDKGYVAIEESIKDRGEIGSKGTMDNYLISSWCRMGYYEIDFGWGKPSWVPGFIGHGSSVFANIVNLMDTRCGEGIEAWVFSTTVEKLGTVELDADKAMDMAHISKQIERMPKLLETP >KVI07713 pep supercontig:CcrdV1:scaffold_131:396282:397616:-1 gene:Ccrd_013924 transcript:KVI07713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MEVEIISKETIKPSSPTPHHLKTFKLSLLDQIVLNPYLPVIFYYQNRNGDTIFQAQEKSSSLKESLSKTLTQFYPFAGTIKDDISIDCNDAGAYYAIALVRLRLDKFLHDPDLKLTDGLLPFRPTFEASGPGARVTNVQVNIFECGGIAIGLCMSHKIVDAAALYTFLKGWTNMACGATEVVHPNLTAPSLFPANDLWLREASMEVCVSWLKEGKCSTKRFLFDSDAISSLKAEATRNGVQNPTRVEVVTALLWKCAVAASKQTCGFQKPSRINHTVNIRRKLASPSSKDLIGNVIWFATAECPANDETTLIDLAKRVRECVSKVDVEFVNNAQGDKGYIAMREFMKETGETSSKGSTDVFNFTSWCKMGFYDIDFGWGKPSWMTGVIGIGNPVFLNIINLMDTKCGEGIEAWVNLDEKEMEILQCNPELLAYASLDPSPGLSS >KVI07697 pep supercontig:CcrdV1:scaffold_131:206009:209273:-1 gene:Ccrd_013937 transcript:KVI07697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDDSLFSPNNIFGNPSELMMDFDYVDELLFDGCWLQATDGSEFINNNNPLFDPSYQWPTLEFNNGDQLPEDVQEERQRSSFLTNLSVSQTEEGNPSKSHISNSIGFYNQSESSDTSRRWWIPPMATIGRHSLSVKERLIHAIDSIKDSTMHKNVLIQIWLPENREGKKVLSTSHQLSSLDSGCPQLSNYRNISENYHFAAEGDSKEVGLPGRVYMGKVPEWTPDVRFFKTEEYPRVEYAQQLDVRGSVAVPIFDHDRQSCLGVIEVVMTTQKSNYAPEIERVCKALEVGDGLNQAALPEILEILKSACETHNLPLAQTWVPCIQQGKGGCRHSAANVIHCISTVDSACYVNDPAFKDFQEACSEHHLLKGQGVVGQAFTTNQPCYFPDIASYRKTDYPLSHHARIFNLCGVVAIRLRSTFTENIDYVLEFFLPVDCKEPEEQKALLNSLSIIIQNVCRSLRIVTDKELVEEGSVVSGIEAVKVEEISQETPVDLIGTQVRESGSGDGVFGNDGGNKRPPERRRGGAKTEKTITLEMLRQYFAGSLKDAAKNLGVCPTTLKRICRQHGIQRWPSRKIKKVGHSLQKIQLVMDSVHGASGSFQIESFYSNFPKLASSDPKTSSFSASKFHNVDSKPIEGLVKSSLSPSCSQTSSSGQSCSSGTHPYPHPRTPTAEDSGNCGLKRARSDAELHVSLYSEDQEQKVLQRSHSHKSLTELPITPKLPPKGEHVQRVKVTYGEEKIRFRLQKDWGYGHLLQEIAKRFCINDMNEYHLKYFDDDSEWVLLTCDADLEECIDVYRLCQNATIKLALFEPQLVAGSLGSTVVL >KVI07692 pep supercontig:CcrdV1:scaffold_131:176733:196932:1 gene:Ccrd_013938 transcript:KVI07692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched VLLFVSISNAERSDTRILLTSNATLREQHGEDYCAMYDICGARSDGKVVNCPFGSPSVKPDDLLSAKIQSLCPTISGNICCTEAQFDTLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPHQSQFINVTSIAKVKNNSTVGSIDYYITDTYGEGLFESCKEVKFGTMNSRAIEFVGAGAKNFREWFAFIGRKASPNLPGSPYAINFRSDADESIGMKPMNVTAYSCGDTSLGCSCGDCPSSPVCSSSAPPSLHEKGSCSVRIGSLKARCVEVSVAIVYILLASLFLGWGLFHKRGARNPALRTKPLVNVANGGLAQQVRGKKDENQMLDDIPQMSSGVQVSIVQGYMAKIFRLYGTWVARNPILVLCSSLAVVLLLGLGLIRFKVETRPEKLFLYISILVAMSSYCWTSVLLQLWVGHGSRAAEEKLYFDSHLAPFYRIEQVRSPSHGLGLNMRLLFFGFKHDAATVLELNLLDMIFVRFWIQILHGFAAPARVTTLDNILQLILATKPQAPHDKPPTIVTEGNIKLLFQIQDKVDGIRANYTGSLVSLTDICMKPLGEACATQSILQYFKMDPKNYDDYGGVEHAEYCFQHYTSDENCMSAFKGPLEPSTALGGFSGNNYSEASAFIITYPVNNMIDKESNETKRAVAWEKAFIQLVEDELLPMLESKNLTLSYSSESSIEEELKRESSADAITILVLLGLSGVMLVMLSVIASVGFFSAVGVKSTLIIMEVIPFLVLAQMELPLEDRISNALAEVGPSITLASLSEVLAFAVGSFIPMPACRVSESIAVVGSDQRKSGLLARYMKALCTRIQPGLEQQIVLPRDSYLQGYFNNVSEYLRIGPPLYFVLKNYNYSSESRQTNQLCSINHCDSDSLLNEISKASLVPTSSYIAKPAASWLDDFLVWVSPEAFGCCRKFTNGSYCAPDDQPPCCSMSDGSCSVNGVCKDCTTCFRHSDFQNERPTTPQFKEKLPWFLSALPSADCAKGGHGAYTNSVELKGFEDGVIQASSFRTYHTPLNKQVDFVNSMRAAREFSSRVSNSLKIEVFPYSVFYMFFEQYLDIWKTALISLAVAIGAVFIVCLVITCSFWSSGIIVLVLVMILVDLLGVMAVLNIQLNAVSVVNLVMSMSSGDRDQRTKEALGTMGASVFSGITITKLVGVIVLCFSRTEIFVVYYFQMYLALVLLGFMHGLIFLPVVLSMFGPPSRQVLAERKEDNKSSVSSPL >KVI07695 pep supercontig:CcrdV1:scaffold_131:277481:282622:1 gene:Ccrd_013935 transcript:KVI07695 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT5G42340) UniProtKB/Swiss-Prot;Acc:Q681N2] MEEEKVLSKCNDRRVVRDLVEELIAIIEDAKSMGEFRGTQRKESQTLLRLLKHCLPLLEELRDLDAHISEYGISCLYKLRKAFILAKKLLKTCQAGSKIYLVLETDAMVTRFISVYDKLNQAVQLMCMQLKRAKQRTDSQDMELIMDMMAVLSFDSDRIAECDGIKRLASKLSLNTLEDLKIETVAIRKLVKERRGQNAEGTQKIMNLLDKFKRLAGIEQANVLDDPVPCNRSLQKCTSLTIPYEFLCPITLEIMRDPTYERASIQQWLDSDHGTCPKTGLSLTHTTLAPNVALRNLILQWCESNNYQLPKKEPTPPPKNDRGDKILTLIQELSSSQLLVQRKAVTKIRMLSRECPENRVLIAEKGGIPPLVQLLTYPDSKIQEHAVTALLNLSIDDKIKKQVSKEGPIPAIIEILRNGTDGAKENSAAALFSLSMLDENKALIGSSNGIPPLLILLKEGTIRGKKDAASALFNLTLSQSNKSRAIEAGAIKPLLNILQNERLDMVDEALSVLLLLAAHPDGRKELGQLSFIETLVRFIREGTPKNKECAAAVLLKLCTNNSNLLLAALQFGVYEHVKEVALSKYVGVGALYSVEIELKGS >KVI07712 pep supercontig:CcrdV1:scaffold_131:386567:392972:1 gene:Ccrd_013925 transcript:KVI07712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimethylaniline monooxygenase, N-oxide-forming MAGDKQIAVVGAGISGLLACKHLMEKGFRPVVFESRSCVGGVWAFQTVESTKLQTPKTYYQFSDFAWPPSVTAAFPDHNQVWQYIYSYSVYFNLIPAIKFNHKVVAIDYSLPLDDEKICGWDEWGGNGGPFSVEGKWKMLVQHTVYPLEAPKVFEVDFVILCNGNYSDFPNVPQFPIDKGPKVFDGVAIHSMDYATMTTIDAAEFIKGKRVTVVGFQKSAIDIAVETVHWTVPEHLNELSFRNLNRFSELMIHKPREGFFLWLLAILLYPLVTSSSFRQFFELIKHNMIPKHGFITQLASCMLPVLPPNFYERVEEGSIVLKNSQSFHFSRNGIVLDDDPLPIETDIVIFATGFRPDEKIAKIFKSTFFQGCIFGSSTPFYRECIHPRIPQVAILGYSESPANLFATEMRSKWLAHFMAGNMKLPTISAMEEDTIKWEMCMRQYAFKSYKRACIGLLLQIHSNDLLCNDMGCNPRRKSWFLPELFAPYVPPDYANL >KVI07708 pep supercontig:CcrdV1:scaffold_131:330124:336234:1 gene:Ccrd_013929 transcript:KVI07708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIE beta subunit, DNA-binding domain-containing protein MGSLQESLNRFKKQQEKCQSTLKSIAGSKTTTKTTAPPPPRAVPASTLAKSPLPAVKFSNDTERLQHINNIRKSPVGAQIKRVIDLLFESRQSFTAEQINEACYVDVKGNKAVFESLAKNPKVNYEGKRFSYKSKHNVRDQKELLRLVRTFAEGIAVADLKDAYPTVMEDLQEDIAYPNDPRVPIKVDDELKGLFRAIELPRDMLDIERELQKNGMKPATNTAKRRVAAQNFGILTKPKQKKKKTEISKRTKLTNAHLPELFRNLNG >KVI07698 pep supercontig:CcrdV1:scaffold_131:320881:322985:-1 gene:Ccrd_013930 transcript:KVI07698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMMRSDRKPPLAMRSPIRLRPRRGSQTTVNNLQTPSASFTKSCLPKQSSDVEEPELHPEYHTISSELRALTKMVQDNLRGSTDTNQSSNAYNGTPLFERGKFYDEYSARRNERLRRKRGESGVEKKTPCKQYLGVKMESTKRTGEIKKFESAKKMTTPLIERREVATTQRYSLRSSSKENKKPPLAMSFDRSIGGTVEKKTAMKRTARKGY >KVI07711 pep supercontig:CcrdV1:scaffold_131:374244:375644:1 gene:Ccrd_013926 transcript:KVI07711 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MAGAVLCTLNIRHDSTMVSTLLKHSEAKLVFVDYQFLDIAKGAIEIMLKSTSTVPQLILIPESGKQSTGAKSGNMEYEHLLVMGSLDFEIRRPVDECDPIALNYTSGTTSSPKGVVYSHRGAYLNSLAAILLNEMPSMLVYLWTVPMFHCNGWCLTWALAAQGGMNVCLRAVTANGIFESISRHKVTHMSGAPTVLSLMVNAKAGERIPLPGKVAVMTGGAPPPSQVVFEMERMGFDVFHSYGLTETYGPATVCTWKPEWNLLPPETRARIKSRQGVNHLGLEEVDVKDPVTMKSVPWDTKSIGEVMFRGNTVMNGYLKNTKATNDAFEGGWFRSGDLAVRHSDGYIELKDRSKDIIISGGENISTIEVETVLFRHPAVLEAAVVGRPDDHWGETPCAFVKLKEGHELNGDELMTFCRENLPHYMAPRTVVFTELPKTSTGKTQKFVLRQKAAAMGSLPSKVKSKL >KVI07706 pep supercontig:CcrdV1:scaffold_131:123839:132182:-1 gene:Ccrd_013940 transcript:KVI07706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MVQELDWAGLSYKAHSLKCQTSPAHKFNEEPKPGNHHSVLLSTEFRRTFSRRLFQRLTKDLMEAVVIDAGSKLLKAGFAIPDQAPSMIIPTQMKIMNEDGSLPDSPSLQANNVDPVVRGFVKDWDAMEDLLHHVLYNGLGWEIGNEGQILFADPLLTPKAVREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHVASRRLEIGGADLTKLFAQELAKSNPQLKLDVISIKRERFTVGEALFQPSILGLETHGIVEQLVRIISTVSSENHRQLLENTVLCGGTASMAGFEDRFQREASLCSSSIRPSLVKAPEYMPENLSMYSAWVGGAILAKVIMMNPAHLSFTGNASEDVVKPKEGRAHSTKLCCELGRLVSTARNPVIISNNTTPK >KVI07709 pep supercontig:CcrdV1:scaffold_131:357267:360967:-1 gene:Ccrd_013928 transcript:KVI07709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTIRCGSNYVPLSPISFLERSAVVYRDRLSIVYGDQVKSTWRETYERCVKLASSLVNRFRISRGDVISNKNRPSSQGFLHYQQISNKNPSSGGVEIQETPHTLTLSLNFLKTPSAPNQETETLSLSLSHIPALMDSSTLNSKLQGCRRTDSCLKSGAKSDEGIMSKSAGGKSDDQDSCSKSAGGKRCE >KVI07707 pep supercontig:CcrdV1:scaffold_131:86579:88433:1 gene:Ccrd_013942 transcript:KVI07707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MAGTSSSDPILIEDDDPFHILEYDFYISFLQEHDQIFPISDENFAHQLHLQQALLSSLPSSSSSSSSLPPSSSSPSSSTILCEICMDTKLTSEMFRNTNVCTHSYCFDCIRGHVAAKIKENITQVKCPHLQCKGLIGPEICRSIVPKEVLERWENSLCESMILASEKITYCPFKDCSAMLVDDGAETVTSSVCPNCNRLFCASCNVVWHSGFDCNEFRGLKKGEKDPEDLKLMDLAKRKKWKRCPNCKDLRERKSCSSIKPGSN >KVI07701 pep supercontig:CcrdV1:scaffold_131:299167:303157:1 gene:Ccrd_013933 transcript:KVI07701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MAGSNENFPDVIRPTKVQGGGGGLKAGSGKLGVPICQNRRALSDINKNIIRAPPAYPCAVNGLPGKKPIPSKKPLVPVRRPITRTFGAQISSKPSLPLPEGKKPKVQTIPDENDTQDCSIIDAEDDFNAPMFVQHTEAMLEEIDKMDEDVYEEPLLDIDNADKGNPFAVVEYIDDIYAHYRKQEISSCVSPRYMLQQHDITDRMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLERQPVSRKKLQLVGVTAMLLACKYEEVSVPVVEDFIVISDKAYTRTEVLDMEKVMVNTLQFNFSVPTPYVFIKRFLKAAQSHKELELLSFYLMDMCLVEYEMLKFPPSLLAAAAVFTAECTLSRSKQWTKTSEAHSHYSEHHLLECTKMMVSLHQKSGSGKLVSVFKKYGTSKYGHVARTQPAHFMLESRFWN >KVI07705 pep supercontig:CcrdV1:scaffold_131:108527:124253:1 gene:Ccrd_013941 transcript:KVI07705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGNCFPKPVTNHSSTNSSPTPVSAVLGSDEKKKKPMIEIGPAMAADGGGDGGGATAFSRETVPPSGRIVTPHLKMFPFSELRSATRNFRPDTMLGEGGFGRVFKGWVDGVTYAPSKVGVGIAVAVKKSNPDSVQGLKEWQAEVKFLGKFSHPNLVKLLGYCWEDREFLLVYEYMQKGSLENHLFKKCTEPLSWSTRIKIATGAAKGLAFLHNTEKTVIYRDFKPSNILLDGDYNAKLSDFGLAKLGPANGESHVSTGVVGTYGHLYVKSDVYGFGVVLLEMITGLRVLDTNRPSSQHNLVEWAKPQLPDKRKLRKIIDPRLDQDYPSKGVGKASELILSCLEADPKNRPSMEEVVMKLEQISAIKTKPKESKVKPNQARIVQRDRRYQSAHQSPLHTKQGKDFLLVYEYMQKGSLGNHLFGRRTEPLSWNIRIKIATEAAQGLVFLHSTGIITVYKNFKCSDILLDEDFNLKLSDFGYVNLRPGDEESCESTGIFMDYDFGQANLGPANGYASTFVTYYYASSEHIDTGHAEPLSWNIRIKIAIEAAQGLVFLHNTGIKTVYKNFKCSNILLDEDFNAKLSDFGCVNLRPRDEQSCESTGIFMDYDFGLANLGPANGYATTVDTYYYASPEYINTDHLYVKNNVYNFGVVLLEMIIGLRAVGTNRPSSQFNLVEWARPALSDKRRLQEIIDPRLENDYPSKGARHAEPLSWNIRIKIAIEAAQGLVFLHNTGIKTVYKNFKCSNILLDEDFNAKLSDFGCVNLRPRDEQSCESTGIFMDYDFGLANLGPANGYATTVDTYYYASPEYINTDHLYVKNNVYHFGVVLLEMITGLRAVDTNRPSSQHNLVEWARPALSDKRRLQKIIDPRLENDYPSKEASKASTLILCCLKSDPKNRPSMEEVLAGLKQISTIRTKS >KVI07702 pep supercontig:CcrdV1:scaffold_131:56338:62768:1 gene:Ccrd_013945 transcript:KVI07702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MGKVGGGGGGGGGATAGKKKKKGRPSLLDLQKRALEQQKLQNQQQLQQRRSSRRNPNSTSGDIGSVGEEEYYDDDDDERKEKKVKLVVRLPQSDQQQQQHLSSDLIRSSSVNSASCGSDSNVDVDIRKVKSGSGGVATDHQGEKVLKAMDTLHGLNQKPFVIFLNIRQFYRSPLESGPTTPLPDKKLLVFILDRLQKKDTHGVFSDPVDPNELPDYHEIIKQPMDFGTVRSKLDEGVYSNLEELEADVYLICSNAMQYNSSDTIYFRQARSIQELAKRDFENLRQEGEDGEIQPKVVKRGRPPGKQVKKPPGRPPLDRVGPESTSGATLATAEDNTTESTPYNLRKAPPMLYRYQADGLLTSHRSRNGEHYSELLSDWNEEFPERIRKADMKYGNKNLIIDETRRDTYKQFHPSAFESSLLSNFGGERKQLLAVGLHAEHGYARSLARFAANLGPVVWKIASKKIEKALPPGVKFGPGVVGENEASPPPSSFFPSANPSLPNRPQTPSSLGPNPAISNRPKAEIIEALNSGIRIGRNGFNGVFGSNMTSGVECERVGEVGGGSSKPTSWPQQRNDGKGDDVM >KVI07693 pep supercontig:CcrdV1:scaffold_131:133570:142431:-1 gene:Ccrd_013939 transcript:KVI07693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MASLKDLNKLVNGLSLVAKEAMKQGNLDKPDLQSLIKQVVLSATDISGLTKGEVRNFTVTGPKLNQNLEEGRSVVYFEEVEASSTDDIPSATSSDQSISIDANFDSHSTTFNAGREGNGESVSLQAEIVIPPANLKSNDAAAAVEEKAVSSTALPPIPPPLVKKQRRRERKFLRFAGLGAGLAWGTIQESAKRIVYGTTDSQGKQSALSPYLSEKNAERLALALCRMRGAALKLGQMLSIQDESLVPAPILAALDIVRQGADVMPKSQLNEVLDHELGVNWSSKLKSFDYEPLAAASIGQVHKAVTKDGFQVAMKIQYPGVADSIDSDIDNVKLLLDYTNLIPKNLYLDRAMKVSYIITSF >KVI07710 pep supercontig:CcrdV1:scaffold_131:372328:372543:1 gene:Ccrd_013927 transcript:KVI07710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTIRCGANYVPLSPISFLERSSVVYRDRLSIVHGDQVKFTWRETYERCVKLASSLVNRFRISRGDVVSF >KVI06743 pep supercontig:CcrdV1:scaffold_1310:30701:39835:1 gene:Ccrd_014902 transcript:KVI06743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MALFRGHSAALIGTVEVTCYHNNLPTTLIKPSCLPQMRTTFDSFWRRRSQIKCSLSREALPPLEFEEDASVSTSDSLEGEEFSHVMKFKSSDFKISDRVSIGLGGRGDEAVFEAIIKDADSPLHNTRVVLRRLTSAQAQRRGKRAIQVLKKLARRKLMYHSYSMQVHGYVCSPAMEHEGSFTLVHGYHGSFSLRHWLRQTDWLPTLEATLALDEESVRRVGDDTVGGPAVSRQLRVTRIVMRDLLIGVNYLHSHGLAHTELRLENVHISPVDRHIKVSLKLSQLYRANTCYFAVGIFGNAADFLEDGPNNMDRQQMMIAFDMRCLGFIMVKMVLRELMDPIIFTKFKAFLNKGNDPSCLREFLLRTLNKNSSHGNVGLQMLDRNWGAGWHLLSLLLAAKPLDRIRCLDALRHPFLCGPRWRVNPSMDIIRWSLGSTAVRITEEYIYGQQQRIRLAHFLELMEMLNPYSKPKNWLGLLPGRWRLVYCTGRHIGLTTRQPPSRVLIGNVHLTITEPSKPKSAFSFTSDIGFQVLVGKEWPHNKVGIDGKLKVTSAFRLTTGERLYTKEEKGTGNLPSVATNAQDSAIKKLSGKKWRKALRHRELPSSLPVAKLVSSEIDVTLALNEPLTKDIDSAQVAINEVRMQIPPEMFDLSKIICSTYIDSRMLVVRGVNGSALLFTRACSIE >KVH94963 pep supercontig:CcrdV1:scaffold_1311:36795:38993:1 gene:Ccrd_002968 transcript:KVH94963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKDDDDFEIQDQNIRKKVKRVKEDTKGREDNGKCTIKTHLPLRTRTSPKPLYTMIQNLSPSQIECVKEMGFEGLLNMKTDGIPAKLGYYVVDSFDSKNMMIKLENGVIPVTVKKIHEMIGAPIGGAQLDSLVNDNCGLLYVDTIRCDAVHMIRERPCIASWSMDLLRRRESIELSTGGFGIGNVAETLVDEQHEDRPRENEEIDIKRYLDEVEHTFNMFKTLKSDFDQILKKNEGLNTPTLWSLMFGKKKLIDLVVIDKMDVDTSMNMSLAKSCGTKQASTSDPWQGVTGGAQEIVETPTQFFSNPETIKGVDKTIKIYEKLQMPDFSLGLTQEFEEVAEPKETAPNKEDDDVVSNVKPISEIYTGPIGPRASKAGEKICSPYMNRQVDAHRPNTKVELILSNLIFAMEGAQI >KVH94964 pep supercontig:CcrdV1:scaffold_1311:48633:60563:-1 gene:Ccrd_002967 transcript:KVH94964 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVR domain-containing protein MGVFTLGCRCSGSEWSVKQLKGDLEASADSSYTLQRKLVHVAFSSDSSGGVQSTFSYVLPDSAVFQGSWCNKDLSSMPCSSISSFREKNKLSLQSRKQYNLVAICKPKQEMDVKLLSGCFNRPKRIPISFRRRSSCSLTPCQAKREDSEGTLSSESIVLDEQTLEQELQIAIQEENYAQAAKIRDSLKLLHEDSKTAVLAANSRFYNSFRNGDLAAMQELWSKSENVCVVHPGVSGISGYELVMGSWEFVWADYEFPLSIEVKDVQVHVRGDMGYATCVEMVRTAGKSWGRQFATNVFEKIDGRWFICVHHASHVDL >KVD98084 pep supercontig:CcrdV1:scaffold_13116:2:1195:-1 gene:Ccrd_024333 transcript:KVD98084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral Root Primordium type 1, C-terminal MAGLEVGHFPAEVNSPAVFRCVRVSAMDEAEEQLAYQTALNIGGH >KVH98811 pep supercontig:CcrdV1:scaffold_1312:693:11533:-1 gene:Ccrd_022959 transcript:KVH98811 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MPRRRDEYEDDVEDEDEDDAIDDEEDEDYGTSRGGGGGSDRKRRRSDFFDDAAEEDEEEEEYEDDDEDYGGGGGGGGGGGGSRHRGGKAAKKASGADFFELEADVDTDAEEEEEEDGEDDFIVNERVDLHDEEDARRMHHRPLLSREDDQEDVEALERIIQERFARNRTEYDDDDATEVEQQALLPSVRDPKLWMVKCAIGHEREVAVCLMQKCIDRGSELQIRSAIALDHLKNYIYIEADKEAHVKEACKGMRNIYTGSKILLVPIKEMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVPKKKTFTPPPRFMNVDEAREMHIRVERRRDPMTGDYFENINGMMFKDGFLYKTVSMKSISTQNIQPSFDELEKFRQPNENGDGDIASLSTLFANRKKGHFMKGDRVIVIKGDLKNLKGWVEKVEEGTVHIKPNASGLPKTLAVSERELCKYFEPGNHVKVVSGAQEGVTGMVLTVQGHLVNLVSDTTKEVVSEEDMTLMEPTLFFTDALMLLQLRVFSDNVVESSEVTSGITKIGDFELHDLVQLDNSNFGVIIRVDSEAFQVLKGVADRAEVELVRLRDIKYKIDKKISAQDRFKNTVSVKDVVKVIEGPCKGRQGPVEHIYRGILFIYDRHHLEHAGFICAKSQSCVLVGGSRANGDRNGNPLQSRVAQFRTPNRLPHSPGRSPRGGPPLPGGRHRGGRGGKDSIVGTCIKIRLGPFKGYKGRVVDVHGTSIRVELESQMKLIVLISLIIDTQRYGSGSETPMHPSRTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYTPMSPPRDSWEDGNPGSWGSSPQYQPGSPRSRAYEAPTPGSGWANTPGGSYSEAGTPRDTTPAYANAPSPYMPSTPGGQPPMTPSSAYLPGTPGGQPMTPGGGGLDMMSPVVGGDNDGPWFLPDILVNVRRSGDDAVIGVIREVLLDGACRIALGTSGNGDTITANPNEIEVVVPRKSDKIKIMGGAQRGATGKLIGVDGTDGIVKVDDTLDVKILDMVILAKLAHSS >KVH98812 pep supercontig:CcrdV1:scaffold_1312:117732:124370:-1 gene:Ccrd_022961 transcript:KVH98812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0503 TYTKISDKYVAFPNIDCNFPLFSYINRFLLFHTAGSHSHSHSDFSFTVCLCVCLCVWLMEKDEGCCYFHPDEVFVGVCPSCLYERLIVVAGKRCRRLGLYRSGSCKSSKPRIILPKMFAIDSLIHRKTKKHHLNNDASDGKRKHMEYEGDRRYGRKGGWIVGANSFISIRFDRNSSVGAWEKGKVSLKDCNNEKSVVEHAKPPWSSMRWRKRIGHVFQLMTWKKSSRHVGSGRRMAKGNQWIKHLTRRKTE >KVH96726 pep supercontig:CcrdV1:scaffold_1313:57318:85984:-1 gene:Ccrd_001182 transcript:KVH96726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIPGYFTGRRTNVFDPFSHDLWDPFEGIPFNNNNLRSLSDRVRSSETALFANATSYSSRIYHGRLLSAVEGISAVFGEDSEEGEEEARKRTSRDGELDSLASIAS >KVH96727 pep supercontig:CcrdV1:scaffold_1313:98257:99395:1 gene:Ccrd_001183 transcript:KVH96727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGKQPDSEVDSDPAIVAPPTSPTKNGKRSPKEVVEIEDVGSKRQKIGNDDVEQAVERENFKMETQEKTKEEQTSSSKQHCSSQSEEEQNEVRFFDD >KVH96728 pep supercontig:CcrdV1:scaffold_1313:7964:18814:-1 gene:Ccrd_001180 transcript:KVH96728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mad3/BUB1 homology region 1 SIKAALEKLDLSQNDGEDVYELVYHCIETFKHDPEYRNDIRFLKIWLIYIDFSPDFKGVFREIEQLKICLDKSLLYESYALFLEARGMMDEAYLVYQSGISRNAEPVGRLKKAHAYFLERMRAIVDACSHQKVGGGSPLDNGSSCTNPWSISIIKSLLQKRNAQISKYEGYHASSKCYSGKVTLSSLQKSARNKVIEIGGRKYQIKGCAGKGGFAHVYKACITSNPDEVVALKVIQKPPFPWEFYMYRQLDKRIPENERLCFGYAHRLHLYSDYSILVSNFLTHGTLQDAINTNVCTGASMDEVLCMHYTIEMLSMLKSLHGNGIIHGDFKPDNLLMRYARDDLTEDGFRTHTGPWHEQGLCLVDWGRGIDLELFPNKIKFNGDCRTSGFRCVDTYGLCVIVHMMLHNSYMEIEKKASPDGGYTYQPKLPFKRYQKVELWKKLFADLLNHDHQEDDHLKILANLKISFQDYLLSDPHLIKQLKQSLAKQRISMCSS >KVH96729 pep supercontig:CcrdV1:scaffold_1313:33774:50501:-1 gene:Ccrd_001181 transcript:KVH96729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSVVEISSSTSADCDLAESCSGLFVIRNLGFMGLKVKEFRVFFGLLWFVLSNFQPIAGLRPLRERARSWGDEWLVARKDENELGPFSAWNITGTYRGAWRFLQSSNTSSRFPDFKKSSGNSVLELITRPTKINGVHYVQNFWFLIWQGVMIFHDVFDNEPEVGRVQIRVEGVYIWPFRQLRMVANSAKEGEFGQEEDYILSNPYHLLGVFSSQVFQESPRDKIWKSKQSPISDMDKHCNVEISAQISRVSSTQSDGDHDRYYMEGLMESPSADDDGDCFSPMLMNATSVNIEISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWEAMRRELSVLYSRFYGILLGGILIMYEFHRYLRPILLLLHSFWIPQIITNIVRDSRKPLHPHYIVGMSLTRLAIPLYVFGCPHNFMRIEPDKNWCISLGLLVGFQAAILILQHYLGSRWFIPRQVGHEEPQKIAER >KVH96725 pep supercontig:CcrdV1:scaffold_1313:104202:109954:1 gene:Ccrd_001184 transcript:KVH96725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MFDTSSVKKAVGSMKNFFKNVGEIAEVHFVMKEDYFTGEGHVEFTTAEAAQEALRLNSELLLDQPVRLDLARERGGDSTTTPATGSKTLYLGNLSFSIEEDDVKDFFKDVGEIAEIRFAIRDDKFLGYGHIEFTTAEAAQEALKLNNKVILDRHVKLDLARERGAYTPGSSVEKSNQKGGQAHGKTVFVRGFDSSDGFDNIRTALEKHFGKCGEISRMSIPKDYESGAPKGVAFIDFLDSNGFSRALELDGSEVGGSMITVQEAKNQRADSREGSGRGSRGWGEGNGYGGGWGGGNGNGGGWGGRSASGGGWGGGSGSGGGWVGGSGSFGRWGGGSGSVGGWGGRSYSVGGWGGRAIGSGFSGGRGRESGTGSHWASGRDRESGTGGGWGSGSGYGCGRGSGSGYGGGPDRESGSGSGRGSGSGYGGSRVIDSGYVGGRGRESGSGGGWGSGSGYGGGRGSGSGYGGSGWSREGDSRSGWSRGSGLGGRSGWGFSSSRGGRFSSSHGNSNQGGSRS >KVI04607 pep supercontig:CcrdV1:scaffold_1316:54037:98666:-1 gene:Ccrd_017074 transcript:KVI04607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKWKEIQAKNEEKKRSKVGGDHRVRVAPPLPPPPTAFSYIHKIVWKQFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQLAFLIDIVLHFFVAYKDTHSHRLICSHNLIAIRYLKSRFLLDFLGCLPLDAIYKACNRKEPVRYLLWIRLSRALRVTEFFERLEKDIRINYLFTRIIKLFVVELYCTHTAACIFYYLATTLPPAKEGYTWIGSLKMGDYNYSQFREIDLWTRYITSLYFAIVTMATVGYGDIHAVNNREMMFIIIYISGDMILGAYLLGNMAALIVKGSKTERFRDKMTDLIKYMNKNNLGRQISNEVKGHVRLQYESSYNDTAVLQDIPASFRAKISQKLYEPYIKEVPLFKGCSLAFIKQIAIKVQEELFLPGEVIIEEGNIADHLYIVCHGKLEDVRRSENEVEKSILTLQTSSSLNEISVLCNIPAPDTVRVGELSKLLRLDKHSLTDILEIHFSDGRIIVDNLLEGKETYLRNKILESDITLHIGKHELELALRLNSAVYNDDLYRAKRLVAAGVDPNKTDYDGRMPLHIAAINGFEDIIIMEILHYLKPSRMATIR >KVD98083 pep supercontig:CcrdV1:scaffold_13160:206:367:-1 gene:Ccrd_024334 transcript:KVD98083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLDMSLDDMIKMNKKSRGSENPRSRARNSGPGPSRRLPNRVSNRAAPYGAQK >KVH88248 pep supercontig:CcrdV1:scaffold_1317:70386:73367:1 gene:Ccrd_024335 transcript:KVH88248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF966 MEEGRRVKHPSKSTIMVKPNFRKVQVVYYVSKNGQLEHPHIVEVTYLVHHQLRLKGSPISFHLFLLLILTFAFDFWILLLNNIDVLERLSVLRGRGMPSLYSWMYKNGYVWNDLGENDVICPSDGEEFVLKASQLVHHSPVNIYNKLIDGSDLDGMIHVNFIHASFEKLIKNRELFGFLLLEAQIGVRKSKQMTPKRHTEFNQEELDFEAKRTNAPSRCSREDVMISTHEMELLPKPTIATTLSPPSLSTTSGESNSTSKRFEDGDPYNNNPTLTRNSVLLHLMACGGSMGFKAKTDHHPPPLTVAAARKSSSSSSSSLHKGVLCKAAVQQAVAVVEDEICCMSENPRFGNLQRGEREYFSGSIVETMTEERVQVEPILNKSSSYKEERRSTATMAVAEEEEDRKREKAVAGKCIPKRFCSKPTKI >KVI05355 pep supercontig:CcrdV1:scaffold_1318:56165:60776:1 gene:Ccrd_016312 transcript:KVI05355 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenase acyltransferase, catalytic domain-containing protein MSSSLLQSRVPTALSFSSSIAGGTKPQWRFTSAPAVTFTRRTRNSTIHAKIREIFMPALSSTMTEGKIVSWIKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVAEGESAPVGAPIGLLAETEAEIEEAKAKAAGAGSSAPSTPAASAPSPPPPPAPVAAAPTPVAAPVAADGPRKIVSTPFAKKLAKQHKVDINKLVGTGPFGRITPADVEAAAGIAPAKSSAAPVTAAAHAPAAAAAAAPAKSAPTFAEIPGATIVPFTTMQAAVSKNMIESLSVPTFRVGYPIITDALDALYEKVKPKGVTMTALLAKAAAMALVQHPVVNASCKDGKSFTYNSSINIAVAVAINGGLITPVLPDADKLDLYLLSQKWKELVEKARSKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQAWGAIMAVGASKPTAVADKDGYFSVKSQMLVNVTADHRIVYGADLAAFLKTFAKIVQEPDSLTM >KVI05350 pep supercontig:CcrdV1:scaffold_1318:90224:91685:-1 gene:Ccrd_016317 transcript:KVI05350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein PSRP-3/Ycf65 MLSMSIHQGIKISLTSSPSLPSQNPNVGFLKTPSLFKIHKPSSSFCNSSTPLVQRFHRLSVSAAVKLDVKSVEKPRLVLKFIWMEKNIGLGLDQVIPGHGSIPLSPYFFWPRKDAWEELKTTLESKSWISQKKMIILLNQATDIINLWQQSGGNLTS >KVI05348 pep supercontig:CcrdV1:scaffold_1318:107549:107953:1 gene:Ccrd_016319 transcript:KVI05348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSADASSRFDADDNAFYEQLTRQILMLTDEDDDNTGMYRKGVIRKPVVYGHGHGGPKLEPMKYYSWSETGRCSVPSWMESLWAKGGGGGTGVFIPTARSNGKRSGRRRYNDKAKRNNDRDRMHSGVGQKIHG >KVI05353 pep supercontig:CcrdV1:scaffold_1318:66684:74457:1 gene:Ccrd_016314 transcript:KVI05353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDREERKHRRSSDDESEEPSKRRKHRHHRRRHHRHHSRKHEKHEDKREEGEEEVTDMPPPPPHPPSANCRPDYDMEEGEIVEEDAADFDGKKTADSDAESGEIKMETGGAVVVPNRSIRLPLDDDNAHLSGGQLMDGDHENDGVVAKAFSERNSVHGSKICEAVDKEANRSKKHNDNGYMRDESHIGVSNQPRRSWSPGGNGNRKSHYDDDFETRDRKKSLSNENYVKVQKDLSSVHVHERSQEDVTTTSRSRSHEPGRERSRSRSISHGASRLETHKEDIEYFTSRKNNGDGDNERLNKLTKDYRHGSRDSARDKERERSSSRSRHGLQVDKHHSRESGERIREGSWETWDRDRDRGRDLKEIERDRVKKKELEVERQHKSIKDAERYRERERERDRERDRDRRERGRRERERERERERSHDIGMERESSRNRRTYNHNDAGDGRGGRDRIDDYKHRRPEETNYRDRPRKYDIEKADSFKGAPSDVDTKRREEVDEEVYQERSALEAEDDEEEDLNRIKEESRRILEKYRTKPLRQKQQEPQFEDTVKEDVGEPFIQKKNVEENSQSVASAAKVHSGTLDGQGEGSDTNTGDTPFSVGRSTPQNGNSGAERNSGTGGLGEGTPKSERSNGDDIFGESPPRVREMNERSNDMFSDDIFGESPAGVRKYGKGDGLAIQRSGLQDNWDDAEGYRFGEILDGRYEVVAAHGKGVFSTVVRARDLKAGSGDPEEVAIKIIRNNDKMYKAGLEELVILKKLVGADMEDRRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHTDIKPDNMLVNDAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLTYDHPVDMWSXGCCLFELYTGKVLFPGATNNDMLRLHMELKGPFAKKMLRKGAFTELHFDPDLNFVAIEEDPVTKKTVKRLVNMKPKDISSIVMSSPGEDAKMVANFKDLLEKIFILDPDKRITVQQALSHPFITGK >KVI05351 pep supercontig:CcrdV1:scaffold_1318:86356:89092:-1 gene:Ccrd_016316 transcript:KVI05351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tat binding protein 1-interacting LGRGSCFHFNFSSVRCLRRRSKTSRALHLLRRCKPCIDTMAPKSDSAEGIVLNFVNEQNRPLNSQNVADFLQKFNLKKAAIQKALDSLADSGRISFKEYGKQKIYLARQDQFQIPNTEELNQMKEENAKLQEMLEEQKKANSQLEGEIKSLQSHLTLEDIQSNDVKLRKQVKEMEERLTKLRGGVTLVSPEERKAVEKTYSDAISQWRKRKRMFKDVWDAITENSPKNPQEFKEELCLEYDEDIGVSLQIYSTLMPHGKKRPRG >KVI05352 pep supercontig:CcrdV1:scaffold_1318:82703:84992:1 gene:Ccrd_016315 transcript:KVI05352 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic VCYWLWFVVLYLLLFFNFFTVFSCHSKTKTKGEAAMGRKLDALFGRKFKTSKFKTTLNLAISRLSLLKNNRNARHTISRSDIIQLLHLNHHEHALLRVEQVIKDQNMLDVYDMIHGDCHLLIQRINLIEQANHCPNELEEAASNLLYAAPRCGEFPELQEIRAILTARFGKEFAYGAIELRSNCRVGQKMIQKLSPRQSSLESRVKMLLGIATENGIILQLEESSPQIRKKKLVVDKKQSQLNTEAKVEAVASMVLPEKIEKVLSLSESMKGWRKYRDVTDAAEDAFESAAYAAAAASAAVKLSRLESFNYARKVLNSESMKSKHHMHDCSQMGCQKSSSTPRYYSESENEETAEGERSKYNMKVAEFDSSDSDGYSDEGDIRSFHGGQSKPFGKKMYFDESDYDIEQIEFPSRNYGLVHDAKQGSYGNESSYPAKGYNISEGHNRNA >KVI05357 pep supercontig:CcrdV1:scaffold_1318:43277:46146:1 gene:Ccrd_016310 transcript:KVI05357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MIFYFYYMYVYIILLDLAFICYQGMVSLTISAILPKLRPPPCQNGQICQEADTGQLAILYISLLLTAIGSGGIRPCVVAFGADQFDETDEKQKTSTWKFFNWYYFCMGASMLVAVTVIVYIQDNVGWGWGLGVPSIAMAISIVAFVFGLPLYRNMDPSGSPFTRLVQVCVAAYKKRNLAMVSDPKLLYVNEELDASISIAGTLLHTKQMKFLDKAAIVTPQDFTESQSKPNLWRLNTVHRVEELKSLLRMGPIWASGIILFTAYVQQNTFSLQQAKTMNRHLTKSFQIPAGSMSVFTLTSMLATIVFYDRVFVPFMRRFTGVERGVSFLCRMAIGFSISVFATLIAGFMEIKRKNVAFAHGLTDKPHETIPIMVFWLVPQYVLHGVAEAFISIGHLEFMYDQAPESMRSTATALFWLAISAGNYMSTLLVTMIHKFSQGSDGSNWLPDNNLNKGKLEYFYWIITALQVLNLVYYLFCAKFYTFKPLEVLVDHRDKGDRKGHELELGTRV >KVI05356 pep supercontig:CcrdV1:scaffold_1318:50788:54450:1 gene:Ccrd_016311 transcript:KVI05356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein domain-containing protein MYSPSHFPSNASIVGVFLAQTATSPKSQNKSMDIFSYFTSAIDTENRMGFDDDEFEDAETGTALSVIADAFEEISDLIKKGNSNGGSFELRLKPFCDACSLVSVLFGSLGIAFKFAEMEYTSKVRDLSDAANLYGTLSKVIDCDVKNDSVKSAESLTRKLRRVRQGLDLIRELFLNFLSTDDYSLKEAASTAYKQVCAPYHTWAVRTAVSAGMCALPTRDQLLLNLNETDESAEDEMRRYIKASLPVIKYIDNLYISRAITLDW >KVI05354 pep supercontig:CcrdV1:scaffold_1318:63753:65595:1 gene:Ccrd_016313 transcript:KVI05354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MEGQAYCMVMRVNLDCNSCCRKMRRNILNMKEIEKHLIEKQENRVSVCGRFKPADVAIKLRKKMKRRVEILEIGEVVTHYEAQEEAPIIDRYIH >KVI05349 pep supercontig:CcrdV1:scaffold_1318:93677:102786:1 gene:Ccrd_016318 transcript:KVI05349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSMADEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMRETDLVDWFVPLVKRLAAGEWFTARVSACGLFHIAYPSSSETLKAELRSVFSQLCQDDMPMVRRAAATNLGKFAATVEPAHLKADIMQIFEDLTQDDQDSVRLLAVEGCASLGKLLEPQDCVAHILPVIVNFSQELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGGLCMQWLQDKVYSIRDAASNNLKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILRAISLLAPVMGSEITCSKLLPVVVNVSKDRVPNIKFNVAKVLQSLIPIVEQSVVEKTIRPCLVELAEDPDVDVRYFASQALQSIDQVMMSS >KVI05346 pep supercontig:CcrdV1:scaffold_1318:109613:114275:1 gene:Ccrd_016320 transcript:KVI05346 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MSLVDGSPLNSSSSDDFAAFLDAELDSTSDTSPRPEEEEYDDDDDDDDDDDDDGDGDGDGDGGGDDDGDDDGGDDDDDDDETYKSHIERAKRRKVGGLESTKEPNGSTTHIDQAKILEASVKVDICTHPGFIGGMCIRCGDKMDSQSGVAFGYIHKDLRLANDEIARLRDRDLKNLLRHKKLYLVLDLDHTLLNSTRFSDVTQEEGYLLNQDDPMQGDCTQRHQKGLDVVMGQESAVLILDDTEPVWVKHKDNLILMERYHFFASSCKQFGYNLKSLSELRSDESDVDGALATILNVLKRVHSMFFDPELGDNFAGRDARQMLQAIRREVLKGCKIVFSRVFPTKFQAENHHLWVMAERLGATCSTEVDPSVTHVISTDVGTEKSRWAVEENKFLIEPRWLEAANFRWQRQPEEKFPVKEVKKDNH >KVI05347 pep supercontig:CcrdV1:scaffold_1318:120659:124807:1 gene:Ccrd_016321 transcript:KVI05347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0016 MSLSILQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRRYVLAGCLSALIVMTILSAAVGWAAPNLISRTLTKHIATILFLGFGLWSIWDAFHGGESEELAEVEAELDADLKASSGATRGNDKVDHDAKKKKNRPFFAQFFSPIFLKAFSITFFGEWGDKSQLATIGLAAAENPLGVVLGGIFHSFQRLSDDDSGDSGNDDRKGVLEE >KVI05358 pep supercontig:CcrdV1:scaffold_1318:11007:11540:1 gene:Ccrd_016309 transcript:KVI05358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMESLSGKKRLRSDSDGSVLDSVEAKRILLDILDDSDVCTVTQDLDLFMKSFQDEISPVPEVVAEMTSESRPELGFLFEASDDELGLPPTDTDTTLTASEKTRVLAESVEISELWGLDDQISSYGSFEYEYGYGDVNISNYISNGEYVAIDGLFDHTDLGFGSSDLSWRPETLPAQ >KVI01288 pep supercontig:CcrdV1:scaffold_132:423592:428298:1 gene:Ccrd_020425 transcript:KVI01288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGGGGQRSSSGDAGESPKGKTVGAVSGTDVDQLNQGLGDMSLDSSKDGDDLTAEEINEPARQWHCPACQNGPGSINWFRSMKSFLTHIKTKGTRRPKLHCDLAELLDEELCRRGATLVPAGESYGQWEGLNKDVQDWEIVWPPMVIIMNTQLKQDEKEKWLGMGTQELLDYFDSYEAVRARHSFGPEGHRGMSVLIFETSAVGYLEAERLSKHFERQGLDRNAWDHHPNLFHPGGKRQLHGYMATERDLDIFNQYSFAKFKLKFESVSYQEKVVNQLKQMNKDCKQLPWYKKKIAKQERNSKALEEAFWLLSRKLRKLEEGSYTVNAEEMDFQENFEDQLNVIQDAKDAQEETFKKPSEEHKRYCLFSLCARGQEVEEIEEERERLAKLHEKEMAEMKLKHEQEQMEMDKKCNAKWDRVTEKCSQWKKV >KVI01285 pep supercontig:CcrdV1:scaffold_132:445597:448742:1 gene:Ccrd_020422 transcript:KVI01285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAIGLLIGGNPNAQGVILINNLTNQKSKLARFQALEVKVRPFLNQNTFILILISSQNLGKKEILCGNCNGAGFRGGFMSSSDQ >KVI01299 pep supercontig:CcrdV1:scaffold_132:308126:316806:-1 gene:Ccrd_020432 transcript:KVI01299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline/Ethanolamine kinase MGAVNIWNAMEVSGEARNNYDFEIVHSSLIVDHSLPLSEMKPRLVELCKDLFKHWSNLNESHFFAEKVSGGITNLLMKVSVKEENGNMVHVTVRLYGPNTEYVIDRERELQALHHLSAAGFGAKLLGVFGNGMVQSFIDARTLNPSDMRKPNLAAEIAKQLNRFHQVDIPGSREPQLWNDILKFFESASNLTFDDDEKQEKFVKISFEEVRAEITKLKELTGRLDAPVVFAHNDLLSGNLMLNDVEEKLYFIDFEYGSYNYRGFDIGNHFNEYAGYNCDYTLYPNKNEQYHFFRHYLNPEKPNEVSDNDLEALYIETNCYMLASHLYWALWALIQAKMSPIDFDYLGYFFLRYDEYKKQKNRCFSMAKSYLSRSNTM >KVI01289 pep supercontig:CcrdV1:scaffold_132:406213:407262:-1 gene:Ccrd_020426 transcript:KVI01289 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MGKGGALSEGVLKKIILSYTYVAIWIFLSFTVIVYNKYILDRKMYNWPYPISLTMIHMGFCSSLAYILVNLLKVVEPVQMTRDVYLKSVVPIGLLYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKEGFKSQTMTNMLSISFGVAIAAYGEAQFNTWGVTLQLGAVCFEATRLVLIQILLTSKGITFNPITSLYYVAPCCLAFLSIPWMIVEFPKLRDTSSFHFDYLIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYAIAFLGVAYYNHAKLQALKAKEAEKKAAQPDDESGKLLEEREGEKSANKTESDD >KVI01298 pep supercontig:CcrdV1:scaffold_132:301352:305802:1 gene:Ccrd_020433 transcript:KVI01298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTPTAGGHMRQRHSQGYASSGDDLEDDACSRIRPQSPAFPRTRTWVEVLENVLWIASAVFIVYLGDWHSNLIYILFHDGRVRRIPLYLGLFGVSLNVLCFLYTSMLVWGVRKSSERWEISSTDALPFVTILGLVSFCLFCFALWPIWSFLTLPLVFTLFMACMVILPYMVLGTLKQQPSDMFRID >KVI01291 pep supercontig:CcrdV1:scaffold_132:359639:365807:1 gene:Ccrd_020428 transcript:KVI01291 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDGNKDEALTCLKIGKDALRLNDRARALKFISKAKRLDPSLSVDDLLSTLEGNPANKSPESGDSSGVRRRVPATGSSTSSGSGTYTEEQITIVTEIRRKKDFYDILDLEKTCSVEDVRKAYRKLSLKVHPDKNNAPGSDEAFKKVSMAFKCLSVDVDRKRYDSIRSDDDEPVYHKHTTEHDVHQEFGNGVYYDGHGEDGDAETIFRNFFYGETNHQPTTTTRCTGNCMGTRTADNGLGGFDLDVVVQFLLVVLAAVACLLAFEAMFKQSYDEMDGNKDEALKCLKIGKDALGLGDRARALKFISKAQRLDPSLPVDDLLSTLEGNPTNESSKSPESGAGDGDSSGVRRRVPTTGSSSGLGLGSGSGLGSCTAEQITIVREIRRKKDYYDILGLEKSCSVEDARKAYRKLSLKVHPDKNKAPGSEEAFKKVSKAFQCLSVDENRKKYDLLGSDEPVYERQTTRQRAHQGFRNGFFHEGDVDADEIFRNFFFGGMNGPRTTTTQFTGFNFGTGMGTRTADNGSNGFNTRALLQLLPVLLILLVNFLPSNNEPIYSLSRSYSYEHRFVTQKGVNFFVKSRNFEQDYPLNSPERVQLEGRIEHDYLSILSHNCRLETQRLHWGYQRSTPNCDMLKQLSDPRVKKFLKLRQCFLFDHGSCKSVCLLVTGFCSEVVKATSDTYYSVKLVRDIFYV >KVI01286 pep supercontig:CcrdV1:scaffold_132:434939:438317:-1 gene:Ccrd_020423 transcript:KVI01286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MEVTNKYVAIKAQVDGAPEESDFEFKTELISLTVKPGSKDIIIKNLYVSIDPYQVNRMKTLSDSQKTSEFAVGIKPGEVRSILNDVLYALKVVIDAYGVGKVVASGNQEFEKGDYVVGLISWGEYSISKGVILSKLDPMGLPFGLTAYAGLFEICKPKKGEKVFVSAASGSVGNLVGQYAKLFGCYVVGCAGSPKKVKLLKEKLGFDEAFNYKEETDLNLALQRSEFYARLTVEWSCFFLRYFPEGIDIYFDNVGAGMLEAAILNMNLKGRVAVCGVISEYTDTGKRAAPDMLNIIYKRISIQGFLAGDYMSLFPEFASMTIDHVRTGKMHVLEDVSIGLETVPSAFVGLFHGYNVGKRIVQVSED >KVI01280 pep supercontig:CcrdV1:scaffold_132:208028:210710:-1 gene:Ccrd_020440 transcript:KVI01280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MKYKRGSIVEVSVKDKLCYQSWRCAQIVSGNGGNYTVSYDVYPGFTDEADVERISVKYIRPCPPLLENSEHWVPGDVVEVFHNLSWKMAIVLKDFGWDQFQVRLVGSFKELEVSKSELRVRQACQNGEWTVIGKVPRDYKDPNCNKLLVYDQDSGFLTKQREAEGDFYIKDLCFDPQIDNHLESHIVSSKTLKRVSPYGHSQGKTNQGGAQKFRMSEKEGTRVRVLVKSPEKVDAIANSREMLCEKDLHIAKENINGTFGSSRALTLELNDADSVMSSSGSCSINSYCGSGPVEEIEGHDSDAESVCQLGYQEKIDQSPTNSALSDEIHRLELHAYRCTPTLVIDDSQTWKEWQFSFFSSFSW >KVI01302 pep supercontig:CcrdV1:scaffold_132:253614:257788:-1 gene:Ccrd_020437 transcript:KVI01302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1644 MPKERRDRSVSIDKSRASPYRCSTSHPKRSLIKNPLESDENLKEWEDARCPVCMEHPHNAILLLCSSHDKGCRPYMCDTSYRHSNCFDQFRKSYSESQPPLVPPTEETPTEETPVIITESEPLLPNLIPESSLTSETEDKSKLVCPLCRGQVNGWVVVEAARIFMNTKSRSCASETCDFSGTYTDLRKHARLVHPLVRPSEADPERQRDWRRMERQRDLGDLLSTLQSSIGEDRNEDSTSSLSFDEGGWLTVFFLIRVFRPGNSSRSGSWSGTSRARAQVTVRRRLRTRLWGESYDGESRDDDNESSDGGSGSGPHNLFQLSRRRPTPDNDES >KVI01294 pep supercontig:CcrdV1:scaffold_132:65065:92725:-1 gene:Ccrd_020447 transcript:KVI01294 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDHD-like protein MRDSLAIEASFLQREEELLSNWWGEYGECSEGPKGSVPSTSKSEPAVKPYNLPSTQSEQRYADEDERVGVPVKGGLYEVLSLFFSSCMEMPLGGWPTIDPLEPLSEVDLVRRHSFPVYWNGDNRRVLRGHWFARKGSLDWLPLREDVAEQLEFAYRSRAIRIVSFGPILSALELLVSFVGILFQVWHRRTFQPSGLFAARVDMQGSSPGLHALFTGEDDTWDAWLNVGASGFASIVNFGGTGIKLRRGYAPSLSEKPTQDELRQQKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKANLVDDVGTFRHVTESLADLHLTSYQRGTQRVLFIPCQWRKDLKLSGESAVEKCTLPGVRGLRVTLSATVHDVLYYMSPIYCQDIINSVSNQLNRLYLKFLMRNPGYDGKVSLYGHSLGSVLSYDILCHQENLSSPFQTQWMFKEPNHKISPECESSMSSGNTDLTTHKDPPLKDITNAHSEAILPETYSEESGSPLTQASVSDENLSVESDSKKRDSPALDEVGVKSSDNPIEMQSEEKNGLDECTSINGEVLTSNSNGIDEEIIKNDENILIKSLKEEIDFLNARIKELESHRAEANEQEASIATTGSVSKSSPSLNNDTPESYTPYIIKYTKLEFKVDTFFAVGSPLGVFLALRNIRIGIGKGNDYWDNKNIIEEMPSCRQMLILLLPCDMVVASAPSHGTAVPACTIEPLVCKEYIDKRPVIIPYHRGGKRLHVGYQEFVEKVALRSQVVVDHMSLVKVKVLTVCQSRDSDDDHEEETSEQPEEIERSYGSIMLERITGSRDGRIDHVLQNKTFEHQYISAVSAHTKYWRDPDTALFILKHLYRDIPNEPGFPNKPTENDTNQENDEWDKLFDDEELPLTFADEKFLKQFSIRAKKFTKDK >KVI01297 pep supercontig:CcrdV1:scaffold_132:201347:203023:-1 gene:Ccrd_020441 transcript:KVI01297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KHHFLEERAKKLENFGSRNSQRRSESEVPPSAIPNFDFKIPAMSSSSSHPAEGDDVYIRQSKGAPFKFLVPLIYAPVLPLIRIALKHKPVLRDRLFIGVLVGAFAHGSYLVSDLYDSESK >KVI01300 pep supercontig:CcrdV1:scaffold_132:326826:327142:1 gene:Ccrd_020431 transcript:KVI01300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAVRAHLHGWKMIFLNDVVVLEKDLAHQDYKLEVQLYQAQITPLLLSSYSSPYDDSSTSRDDDSGSKATIQAPRQRFSPQGTIQAMIQAPRHDSGP >KVI01310 pep supercontig:CcrdV1:scaffold_132:6733:16954:1 gene:Ccrd_020452 transcript:KVI01310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MNLKSAQASSYRDRTQEFSSIGERLRKSLSSSNAVAGINSSGGAGGSTKAEGSRSTVAFQSEFNKRASKIGYGIHQTSQKLAKLAKLAKRTSVFDDPTMEIQELTALIKQDITALNAAVVDLQLVCNSQNESENVSSDTTTHSTTVVDNLKTRLMSTTKEFKEVLTMRTENLKVHENRRQLFSATTSKETANPFVRQRPLANKSTATASNSPPPKLADTESQPLLQQQNQQQQQMVPLQDSYMQSRAEALHNVESTIHELGNIFTQLATMVSQQGELAIRIDENMEDTLANVEGAQGQLMRYLNSISSNRWLMIKIFFVLIAFLMIFLFFVA >KVI01283 pep supercontig:CcrdV1:scaffold_132:464317:467328:-1 gene:Ccrd_020420 transcript:KVI01283 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX, N-terminal MKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKLINPQGKSSGDVCNRAAKRLRFSGGGAGDWTVVDGGMSMEEVDFVELLEKEIEKFNLFFVEKEEEYIIKLKAQKLIALFSCLLVRIVQELQDNVAKAKDSSEEMIKIRREMVDFHGEMVLLENYSALNYTGIVKILKKYDKRTGAVLRLPYIQKVLQQPFFTTDLLYKLVKECEVLLDRLFPLIEAPASSDDEAGGNAGGETPTTSNNGEGPTRPMNAREFAELEYMKSLYTKSTISALRVLKEIRSRSSTVSVFSLPPMQVSVLEETWNKFPLLEQLAK >KVI01281 pep supercontig:CcrdV1:scaffold_132:49809:56388:-1 gene:Ccrd_020448 transcript:KVI01281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, TMEM19 MDNQVIIAVLISFFIAFRAYRKNSLDVSGAFAGFFVMVVHLSVNYRFGAMLLAFFFSSSKLTKMGEDRKRSFDADFKEGGQRNWIQVLSNSGIATVLVVAFWNLTGSQDTCLNSKESYLITSLVGGLIGHYSCCNGDTWSSELGVLSDAQPRLITTFKPVRRGTNGGITKAGLLAAAAAGSVIGLAFVLPGLFSTSCTNDVFLKQLLVIPLSTLAGLAGSCIETRTNGEADLGSENSGQQWCEPCINIVDFNVDLLHLLIHLLKLAYEVKSCELTAQFLPF >KVI01278 pep supercontig:CcrdV1:scaffold_132:194405:194809:1 gene:Ccrd_020442 transcript:KVI01278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLMPIQPPVVVRASASTSGHQKSDSQRKSWWTPLFGWSSEPDYIDSGNADNCRKNTTTTTTTTLSARSGAISELDLDQRTVRSRISPGNFTEEKARKLRMLTKETESFHDAMYHSAIASRLASDFSDRSDL >KVI01301 pep supercontig:CcrdV1:scaffold_132:333969:337732:1 gene:Ccrd_020430 transcript:KVI01301 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MEEITEAVQNISITNDSHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNSGFAIEKKIMTSTVDMKDESRGRPIQKAKIEILLGKTDKFDELMAAAEEERELANGEEQR >KVI01303 pep supercontig:CcrdV1:scaffold_132:261744:263930:-1 gene:Ccrd_020436 transcript:KVI01303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MILSSSPSLIATPIIPPQNHPSLDLLSKCKNMEEFKQIHAQMIKNGLHKTQFALSKILHFCAINTFGDLSYALSVFDTIDDDEPPDQIMWNTIIRGYSLNSSPYSAIDFYRFMLLSGVKPNSYTFPSLFKSCARIDGTNEGKQIHGHVLKLGLGCDVFIHTSMINFYAQSGELEDGRLVFDKSPLRDVVSFTALITGYTSQGRLEDARKLFDEMPVRDVVSWNSIIAGYARSQRFKEAIDIFKEMQLAKIKPDESTLVTVLSACAQSGCLTTGKRIRNLIIDRGLDSNLRLVNALIDMYSKCSELAEARSLFDSMSNKNIVSWNVMIGGYTHKHHYRESLDLFRTMLQSNYEPNEVTLLSALPACAHMGALDFGKWIHAYIDKNFPESSNTSLSTSLIDMYAKCGDIEAAKTVFESVKLKSLASWNAMISGLAMHRRAHEAIGLLEKMVNEGLEPDDITFVGVLSACSHAGLVNHGRRYFISMIQDFKISPKLQHYGCVIDLLARAGLFEEAMTMIKNMEVNPDGAIWGSLLGACTFYQNVPLGEYFAKKVLELDPGNSGAQMLLSNLYAAARRWDDVARIRTKFKDDGSKKIPGCTSIEIDGVVHEFLVSDRTHPMSKQIYEMLEETNRLLHDSGHVPDNSLVLYDISDEWKEEILCQHSERLAIAFGLISTKPGTTIRIMKNLRVCSNCHSATKLISKIFDREIIARDQNRFHHFKNGACSCLDCW >KVI01287 pep supercontig:CcrdV1:scaffold_132:428372:433281:-1 gene:Ccrd_020424 transcript:KVI01287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIGNAVETCSTATVKTLSLKCKTLNQFKQLHAHLIKSHLPENPIAIGPLLSAAATSNNPSFFSYARLIFNHLRFRNTFMYNTMIRGYLQNDDKVCAIICYTEMLKFGLVANNYTFPPLIKACSCSSIANAKLIGCSVHGHVMKLGIEDDRFVGSALIEFYSANFEIGNARKLFDEIPVKDVVLWTTLIDGYGKNEDVKNAHQLFDEMPQRNVISWSSIMAAYSRVSDFENVISLFTELQDSGIKPNESILVSVLTACAHLGALAQGLWVHSYAKRHNLSSNPILATALVDMYSKCGCTDLALSVFNTISVKDMGAWNAIISGMAMNGEAKTSLQLLDQMASIEIQPTPTTFVAILTACTHAKLVKEGLELFNRMEKIYGVEPQFEHYACVVDLLARAGMLEEAMDFVEKKLGGVGKGDANVWGAVLGACRTYGNVGIGNRVWRKLVEIGVSDYGIYVVSYNMYKEAGWKREAEEVRRMIARFGMKKTPGCSMVEVDGMVKEFVSGDISHFRAPEIHKTLESLFNVSVSLNLTGTTAL >KVI01284 pep supercontig:CcrdV1:scaffold_132:449841:452971:-1 gene:Ccrd_020421 transcript:KVI01284 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase-like, multi-helical MASRASISQSQLFLRKPHIICTPKTPVFVTPISKPSQSLNLLVLKSKKMVVVAAAGTGTAAAEEKSKKRYPGEGKGFVEEMRFVAMKLHTKDQAKEGEKEPQEKPLPKWEPTIDGYLKFLVDSKLVYDTLEKIVDKADFPECHSIPEPSSPGLSYSAYVEELSKKDPQAFICHFYNTYFAHSAGGRMIGKKVAEKILNGKELEFYKWDGELSQLLQNNWTREEKNHCLEETEKSFKFSGEILRLILS >KVI01308 pep supercontig:CcrdV1:scaffold_132:19375:27624:1 gene:Ccrd_020451 transcript:KVI01308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGNRKDDSIAISSSNVFAALGSLKKKKKSDKEQGSSRKGGSSKKGMDKEGEEEQVFWAPAPLTVKSWADVDDEDDDDYYATTAPPPSVWGAGGGDQQDKVKGYETPVEYISVLIDKKEIFYSQCLDEIDDDNDDENDHEPEVSEEKKVAVEKPAEVLPPKDADRQLSKKELKKKELAELEAVLAELGLNESNSQEDTRGAAPEKVENQNGDPEKKDKTVAGGESKTAKKKKKKEKSSKDAKEQQKLSNEQETGNSSEAAGSEKAEELSELDVKEKIKKMASMKKKKSSKEMDAAARAAASEAAARSARLAAAKKKEKNHYNQQPVR >KVI01293 pep supercontig:CcrdV1:scaffold_132:105025:109773:1 gene:Ccrd_020446 transcript:KVI01293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSVFTFSFSYAFLVTLVVIHRITSEPTEDKQALLSFISTVRHASRIQWNSSASVCSWIGVTCDSTNSSVTYLRLPGAGLVGIIPPNTIGKLSQLRVLSLRSNGLTGEIPSDFSNLTLLRSLYLQNNRLSGEFPASVSDLTRLARLDLSNNNLSGSLPFSINNLIQLAGLLLQNNDFSGELPSINQESLVSINISNNKLNGSIPSSLSRFPASAFAGNINLCGKPLPPCNNAFFPPPSPAPSALESPPLQKSSKKLSKGAIIAIAVGSALILALLLLFLLLCLRRKRKQQRKQSPKPAPPSAAASRGIAEAGTSSSKDELTGASIDGERNKLVFFGSGIYTFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVSVTKKEFETTLEVLGKINNENVVPLRAFYYSKDEKLLVSDFMAAGSLSALLHVSSIVCYLGSRGSGRTSLDWDHRMRIALSAAKGVAHLHLASNVVHGNIKSSNILLRDATNADAFVSDYGLNTLFTKPSTPNHRVSGYRAPEVLETRKVTFKSDVYSFGVLLLELLTGKSPNHASLGEEGIDLPRWVQSVVREEWTAEVFDAELMTYNNIEEEMVQLLQIAMACVSTVPDQRPAMQEVVKMMEEMNRVETDDGLRQSSDDPSKGSGGHTPPTETRSSPRNVTP >KVI01290 pep supercontig:CcrdV1:scaffold_132:395739:401481:1 gene:Ccrd_020427 transcript:KVI01290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MEGKMSWTVADAVNYKGFPADRSKTGGWVPAALILGIEISERLSTMGIAVNLVTYLGGTMHLPSSTSANVVTDFLGTSFLLCLLGGFVADSFLGRFKTIVVFASIQTLGTGALALSTKLPNLRPPSCQPNKDCKEANGFQMGILYLALYLIALGTGGLKSSVSGFGTDQFDEKDEAEKTQMSYFFNRFFFFISLGTLMAVTVLVYVQDEISRTVAYGICSISMFISILVILSGTKRYRYKKSTGSPIVSILQVIVAAIKKRGMDLPYDENLLHENSTDGLRIRHTNQFRCLDKAAIVAEGDFVKNGSGSVSNPWKLCTVTRVEEVKMMVRLLPIWATTILFWTAYAQMITFSVQQASTMERSIGSFRIPAGSLTVFFVIAILLTCAIYDTLIIPMALGLILSTLGMAAAAAVEMKRLSVAKSVGGTTTINPLPISVFMLIPQFFLVGAGEAFIYTGQLDFFITRSPKSMKTMSTGLFLTTLSLGFFMSSFLVSVVKKVTAGSHGGEGWLADDIDHGRLDCFYGLLAILGVINFGVYVVVAAWNKKDDKASSAMEMEMEVEVV >KVI01309 pep supercontig:CcrdV1:scaffold_132:28865:30244:1 gene:Ccrd_020450 transcript:KVI01309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MAPFSSSWVQERHFPDLLIPISIDRAFSVLPEGPIQAQDGDTLYLSNLDDIIGARVFTPTVYFYTATRSSFDDVVDILKDALGKVLVPYYPFSGRLRGTNNGKLEVFFGPNQGALMVQAHTELSLDRLGDLTVPNPAWSNLVYKFPNEGNYKVIDMPLLIAQVTRFSCGGFSLGLRICHCICDGLGAMQFLGAWASTAKTGSLVVDPNPCWDREIFVPRDPPMVKYPHVEFMKIDDGSNLTISLWEVKPLQKCYWLSREFQAHLKSVARPMDSLGCTTFDAMAAHVWRSWVKALNVKPLDFGLRLTFSVNARSKLKNPPLKDGFYGNVVCVACATCTVSNLINGSLQDVTRLVREARLGVSEEYLRSTIDFVEVDRPNKLEFGGKLTITQWTRFSMYESSDFGWGRAIYVGPIDLTPTPQVCVLLPEGVADSSGAMVVCICLPEAAAHRFKELLCLMDT >KVI01307 pep supercontig:CcrdV1:scaffold_132:242312:246776:-1 gene:Ccrd_020438 transcript:KVI01307 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MGRESNSTSPEKSHSNMDTQVFLNVYDLTPVNAYSIWLGFGIFHSGIQVYGMEYGFGAHDFPISGVFEVEPKSCPGFIYRCSIPLGQVSISPTEFRNFIETVASEYHGDTYHLISKNCNHFTDDISQRLTGKSIPGWVNRLAKVGAVCSCLLPESLQVTTVKQLPEYHNCEEDGSGSMSTSTAQEAGEYEDTEQDKRLLSPQASGEVAFIREVAR >KVI01295 pep supercontig:CcrdV1:scaffold_132:163015:174442:-1 gene:Ccrd_020444 transcript:KVI01295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDDETSVYVGNLPYDATEDSIRSVFDLYGQIIAVKGSTTLGAASAGMQIPGTTDNIINDRGIGGKCYGFVTFTNPRSAVDAINDMDGRTVDGRVVKVNEVKTRGGRSNFGRDGFRRNDRDVELDRGRDRDRERDYGRVRDRSRDQNREWSRDRDQDKERGYDRARDLDRSRERFVDRDRGHDRDKDMDGTEHEHERDHEQAWEKDRQLDRDQVKEMRRSDIHHRSGNKYKDQTAKLANGERHSREHPSGSSHGDQDQVAKQLDVSRQKIEELQKEVFRMEELVEERGDHVSKLQEKSQKLEGALASAKKLTSNRKKQLIKLLVGSTMKELENHNGVDTDGLLANANG >KVI01306 pep supercontig:CcrdV1:scaffold_132:223596:241975:1 gene:Ccrd_020439 transcript:KVI01306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEDRNANIISVASIIILIIVIIISRILLALTEPFFLILGASIAAILAIIVFYFTRRRFNSRKRKMETIIASEGAELRIEYSFLRKVAGLPTKFRFKELEEATDNWKLLIGAGASGSVFRGKLKDGTAVAVKRIQHGEERGGKEFRSEIAAIASVQHVNLVRLFGYCSHSNNTHFLVYDFIPNGCLSNWIFNRPSSAVNANCTGGCLSWQQRSRVAIDVAKALAYLHHDCRSKVLHLDVKPENILLNENYHAIVSDFGISKLMKPDDSRIVTSLRGTKGYIAPEWLLGLGISEKSDVFSYGIVLLEIIGGRRSVKAIDVNDGDQSRKKFEYFPKIVKEKLRSGRIMDVVDPRLLNLGGIDENEVTKMVHVALWCIQHKSRRRPSMVDVVKWLEGRVAVVEPPETSMMVVDLLSIENEGHGAGDNGGWQNRNKRRKLGVVARVASQINGCLVPNSTSTARSRPHAGIKRFNDKKNTVGEEDRSQKRDCVKSKSRAPFVSPFPSSTGIASVIINMSYDGPSLGSGFDVSDLSEDAKQDVEGLDASAAHVLSLLSTEPSDIKLGVGGDLINKVEGDEAAARAASLPIMLCHGKGDDVVLFRYGEKSAEKLTSAGFQNLTFKTYDSLGHYTMPEEMEDVRSWLTSKLELKDES >KVI01279 pep supercontig:CcrdV1:scaffold_132:181962:186764:1 gene:Ccrd_020443 transcript:KVI01279 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b-c1 complex subunit 7 HKKRRDISIKSTSSARDRRPEIRIETRSSFRDRKFHIISMSNSQFLKTILDPKKNWFAALHKKTLAHRLSLMGLRYDDLFDPMESLDIKEALNRLPREIVDARNQRILRAMDLSMKHEYLPKDLQVKRERAEREALGALPLEQRTMP >KVI01304 pep supercontig:CcrdV1:scaffold_132:273677:279277:1 gene:Ccrd_020435 transcript:KVI01304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEVLEKRFTDCVYFLASPLTCKKGIECEYRHSEVARLNPRDCWYWLGGCCFNPDCPFRHPPLEGFKEAHGESSEPKNGPALPVNKTNIPCYFYSNGFCNKGDRCSFLHGPSDGSVACKSSKAASTMNNGIPCEKKLSSRSNTGLAPVKSHPDPSKTAQIEKTHTESKPTLDSPQFASGSAERSESPDISATQCQENVVRSNSLLPREGFVQSESDVYDQSSDQQVDGYVEREGWLESSPGFDVLVEGESERLGYEGDADYFSVHDEEGRKLDVHYAECGFADPNEYDPAYPELGIPLGEERYDVYDRLDNKRACDYLGKISGQSRESIFYRLSFQKRNLQAEPIFNGRRGPDLRERLKKCKVDDSFQSCFSKGYHSPHLVGQQVRRHPRRQGSRGEIDFDHRGNRLRKAPPVNRYKQPLKEKRLGKPQFLPSEVSRARKPALQQKRKSQVESAIFTGPKTLDQIKEEKKKTLQNQDLSGSFNRTESDGFQGPRPLSEILKNKRKLG >KVI01282 pep supercontig:CcrdV1:scaffold_132:41503:46845:1 gene:Ccrd_020449 transcript:KVI01282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MVATAATASLFPVSSPQPDSGAKNSGKHGGGLGSVDVRGIKTKSGSSGSLQVKANAQAPAKVNGSRIGVMDGLKIDDNPSSAAPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLEWKTKRPDMLSDMDPFGLGRIVEDGFIFRQNFSIRSYEIGADRTASVETLMNHLQETALNHVKNAGLLGDGFGSTPEMCKKNLFWVVTKMQVLVDRYPTWGDVVQVDTWVAASGKNGMRRDWLIRDWKTGEILTRASSNWVMMNKVTRRLSKIPDEVRAEIEQYFVNTPPIIDDDNRKLPKLDENTADHVLDGLTPKWSDLDVNLHVNNVKYVGWILESAPQPVVENYELASITLEYRRECMKDSVLQSLTSMVGNGGGGMADVDCQHLLRLAGGGSEIVKGRTKWRPKYANRFRSSSMGQLATESG >KVI01292 pep supercontig:CcrdV1:scaffold_132:340177:342370:-1 gene:Ccrd_020429 transcript:KVI01292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRRLSDKNGDGLFPNSGIGFLWCPRDDHLPQSGGLFASVGQMGKGGFGGVSPNSKNSGTNDGGGDMKFPFSSKFVSAPESSFRVLEVPEMEEEAGEEWVGGLKKKKKKKGGGKLKIKIGNPSLRRLISGAIAGAVSRTSVAPLETIRTHLMVGSCGHSTIEVFQDIMQTEGWTGLFRGNFVNVIRVAPSKAIELFAYDTVKKNLAPKPGEQAKLRIPESLIAGAVAGISSTICTYPLELLKTRLTVQRGVYKNLVDAFIKIVKDEGPAELYRGLTPSLIGVVPYAATNYFAYDTLRKAYKKFSKDDEIGNIATLLIGSAAGAISSSATFPLEVARKHMQAGAVNGRVYDNMLHALLSILEKEGVGGLYKGLGPSCIKIVPAAGISFMCYEACKKILVEKEDDEP >KVI01305 pep supercontig:CcrdV1:scaffold_132:292461:297101:1 gene:Ccrd_020434 transcript:KVI01305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MYVYKLDTGNRQPDPHHHPSTPPHTTAKKTISRSLGSLLRRSKTYRENNHHHNDDKEDRKEMVQESSKNTIFPAIEGGRRSVPAMEDGRKSITDGRKTVSGTGEGRKLGAEMEVGAVLGRRSAENRAEMVMINVGSMADLLHVRVLVTDMPAFMQVHAFRCARKTFDSLEKFSPKQIALNMKKEFDKIYGPAWHCIVGSSFGSFVTHATGCFLYFSMEKLYILVFKTKVQKNVNNLQ >KVI01296 pep supercontig:CcrdV1:scaffold_132:158407:161201:1 gene:Ccrd_020445 transcript:KVI01296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor EFM [Source:Projected from Arabidopsis thaliana (AT2G03500) UniProtKB/Swiss-Prot;Acc:Q9ZQ85] MASASELSLECKPHSYSMLLKTIGDQQLSNTHDQTQKIEEFLSRLEEERLKIDAFKRELPLCMQLLTNGIIIQKQPMIQDLPSISNSKFYVQIFDFDFVFLDLGLMFCDLFVAMETSRQQLQTYRANQGSPVLEEFIPLKNSNPEGPEKMALSSVSDNKANWMTSAQLWSQASSTTTTTTNATATAGTTTDTKITQNSTVFTTTANETDISFNANKQRNNGAFLPFSKERNPSCPTPALTLPDLALASGDKDDHEDNKSCLRENSSIKGGNGDISDNQTITTTTTAAGATTSSQTHRKTRRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQSAGNAAPQLVVLGGIWVPPEYATAAGAQTLYTTHPNATTTNTHYCTQQLPQDFYPTPVLPPPQPPHHHHHHHNTTTTTNLHHQLHHMYKPSSHTTQSQPDSNGRGGTTTTTTGGGNRSESIEDGKSESGGSWKADSGGETKALILRDECEESNGSEITLKF >KVI08607 pep supercontig:CcrdV1:scaffold_1320:28782:31785:1 gene:Ccrd_013017 transcript:KVI08607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MARNPATRLLRICSSQFKLSKRRYVSSSNRLASSSNQFSSLSTQMHQSRCFSHPYLNKFPSFSLDFRVHNSNNRWYSTPGEIGSPSSCLESTESILSERGGDNKTEDHESASTSEVFSHVAALDPAQIYRSLRDGPIASKLGHQEKETLREIFGSFARSGWASNQALAIYISASFFPTAASKFQHFFFKKSDRDIVDYLVSLGPGNEADRFLFPVFVEYCLEEFPDEIKKFRAMISSADLTKPETWFPFARAIKRKIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNSLGVYCSLLTGQEKKHVPFGNHTACTVEMVSTEELYDVAIIDEIQMMSDVYRGYAWTRAFLGLQADEIHLCGDPSVLNIVRKICAETGDELIENHYGRFKPLVVEAKTLLGDIRNVRSGDCVVAFSRREIFEVKVAIEKHTSHKCCVIYGALPPETRRHQANLFNEQGNEYDILVASDAVGMGLNLNIRRVVFYSLSKYNGDKIVPVPASQVKQIAGRAGRRGSIYPDGLTTTLQQDDLDYLIECLQKPFDEVKKIGLFPYFEQVELFAGQISDITFAQLLQKFGENCRLDGSYFLCKHEHIKKVANMLEKVQGLSLEDRFNFCFAPVNIRDPKAMYHLARFAQSYSQNVPVSLAMGMPTASARNDTELLDLETKHQVVSMYLWLANHFKEETFPYVKKAETMATDIAELLGESLIKASWKPESRNPGKKRNDNADGYQRPRSLVKLQEKKRQEKDSESVEKVSA >KVI08611 pep supercontig:CcrdV1:scaffold_1320:112192:116067:-1 gene:Ccrd_013021 transcript:KVI08611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPTESGKPALTLRFSPSTLVPVSSKKVLIDSCFDLEVFPKEFGYRCKSFSLHLRPLEVPRDSGLDHDVFPADFGSTVRWFFLCVALEEVPTESGKPALTLSPVVDGFPCVCCS >KVI08605 pep supercontig:CcrdV1:scaffold_1320:120468:121594:-1 gene:Ccrd_013022 transcript:KVI08605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKAHVSPLISGGLDFDLVVSIGSETESNSHQRRCSWCSRRRWERNGGWGGDVKKKRHGGHGGWNSRERRCSETVMVFFAVVALRGFGFQLDVLILADSTSSVWFNFQLLNGQQQRQLLGIKY >KVI08610 pep supercontig:CcrdV1:scaffold_1320:31907:42728:-1 gene:Ccrd_013018 transcript:KVI08610 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-type domain-containing protein MGLGLLASRSLRSSSVRVLSTKTIVRTIVSTPELHKPEAVAEAPTEPDLPKRTPVAGARVHFPNPDDVIEVFVDGYPVKIPKGMTVLQACSIAGVDIPRFCYHDRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTETKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEIAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVMRIVPRLNEDINEEWISDKTRYCYDGLKRQRLNDPMIRGADGRFKPVSWRDALAVVAEVIHQVKPEEIVGVAGKLCDAESMILLKDFLNKMGSNNPRVEAAMVNARIRKTVRANQAKVGYIGPPADFNYDHQHLGTGPETLVEIAEGRHSFSSALSTAKNPTIIIGAGIFEREDKDAIFSAVQTIASKHENLVRPDWNGINVLLLNAAQAAALDLGLVPESDTSIESAKFVYLMGADDVNLDKLPKDAFVVYQGHHGDKGVYRANVILPAAAFSEKEGTYENTEGCAQQTVPAVPTVGDAREDWKIIRALSEVSGVRLPYDTITAVRSRIRTVAPNLLRLDEREPATFSVSLKPESGSKVSKTPFGVTIENFYMTDAITRASKIMAQCSALLKK >KVI08609 pep supercontig:CcrdV1:scaffold_1320:44288:68809:-1 gene:Ccrd_013019 transcript:KVI08609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRREKHKGTKILNNKLSHCPQLITSPTAELRFIVVDLTCPKTSKTKTLHTLGIDTLPVRFSNISFCSHGLLQVHESPPLPMSWLRSAVNKAVEVGNKNNLTRTVKNYADTVVQHAGQAVAEGAKIFQDRIGARSFKSFKHTVKRLEEASISARGPERIHLMRRWLAALRETDKLSRGSLEDDEKNHEPHLPSEEIKDNLKKPSLVLYYDSDMVGDPMNFRDVFLYSQALEGITISMILEPPSEEEVSLLLEFFSCCLTGGKEVHNAIVSSIQDLAKAFSGYEDEVLVKREELLQFAQGAITGLKINAELRRIDAEASVLKEKLDGMEASGDNIGDGYEKASKEATIATIEALKKALAHIRVCSRLEGLLLKKKLLYGGETPEIHAQKVDKLKVLSDSLVSSSSKAEKRISDNRVQKEEALNFRVSKASEVGEIEKELAAEVAGLEKQRNDLEAELKRVNISLAAANGRLQNVREERDQFYEANDQLVAHLKTKEDELVKSIGSCKQEVNVLNTWVNFLEDTWVLQRANTETKEKQISDELAKHESYFVNLVTELLTTYEEGLKPSVDRIEKYVENLNSLKEGSDPPSRVDKEDSKVINPRRSLEEEYLDYEEKIVTTFSVVDNMKEQFYAQQGKTSRKENARIKELFESIEKLRLKFDSIERPNLEMENPDQEEETPETETNTKPAESQAHAKEKAAAKPETETQKNEHRVKSPGAKGDQGHGLDPEAELAKLESEFGKVNREYTEEEIGDWEFDELEKELSK >KVI08608 pep supercontig:CcrdV1:scaffold_1320:22855:27198:1 gene:Ccrd_013016 transcript:KVI08608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-dependent formaldehyde-activating enzyme/centromere protein V MDSEMVIHNGGCHCRNVRWQVRSPASVVAWNCNCSDCGMRGNTHFVVPFERFELLGDSEKFLTTYTFGTRTAKHTFCKVCGITSFYTPRSNPDGIAITYKCVDSGTLTHVEIKRFDGLNWEKSHESTGISSCSKE >KVI08606 pep supercontig:CcrdV1:scaffold_1320:75887:90486:1 gene:Ccrd_013020 transcript:KVI08606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MRSEIVRKTTTAEEEVERKDERRRKAEEEEDEYVVEDVRDHGSLEKRRSVFTLLAWDMGMDSTHRGFNRDKVIDGIKGFSHGLFIHPRNRWYRAWEKFILIWAVYSSFFTPMEFGFFRGLPNHLYLLDIFGQTAFFIDVFLQFFVAYRDSLTYKMIFNRNLIAIRYLKSHFIFDLLACMPWDNIYRASGRKEEVRYLLLIRLVRARKVLDFFSKLEKDIRVKYLFSRIIKLIAVELYCTHTAACIFYYLATTLPAIEEGYTWIGSLKLGDYSYSNFRDIDLWNRYVTSLYFAIATMATVGYGDIHAVNLREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTERYRDKMTDLLKYMDRNRLGRDLRNEITSHLRLQYDSNYTDSAVIEDLPSSIRAQISETLYKSYIEKVSLFRGCSSEFTNHIVTRVHEEFFLPGEIIMEQGIVVDQLYFICHGKLEEVVVCEDGSEETVSTLKPHDSFGDVSTLCNIPQPYTVRVNDLCRLLRLDKQSFSNILEIYFQDGRKILNNLLEGKESDSRMKHLVTDITAHIGMQEAELALRVNSSAYNGDLTQLKSLLRAGADPNKKDYDGRSPLHLAASKGHKDVTVFLIQEGGEVNISDNFGNTPLLEAIKNGHDNIASLLVKEGGSLKIDDAGSFLCSSVARGDIDFIRRILSNGIDPNSKDYDFRTPLHVATSQGSYIIAKLLVEAGASVLSKDRWGNTPLDEAKLLTDKTTRKKCTIYPFEPWEPKDQNKYGVVLWIPDTIDELMKSAANHLMLEVSSTRCIITEDAGKIVDVDMITDGQKLYLITIEA >KVD98082 pep supercontig:CcrdV1:scaffold_13200:1:1221:1 gene:Ccrd_024336 transcript:KVD98082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLWQLVLAIQGCFLLDQMGRLYYISSLGIPLVLLVVNLFLN >KVD98081 pep supercontig:CcrdV1:scaffold_13201:1:1221:-1 gene:Ccrd_024337 transcript:KVD98081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLWQLVLAIQGCFLLDQMGRLYYISSLGIPLVLLVVNLFLN >KVH88247 pep supercontig:CcrdV1:scaffold_1321:25807:34310:1 gene:Ccrd_024339 transcript:KVH88247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEGKIDGQPLIILINSGSTHSFVDESLIRKLRVPIENKANLQVTVANGEEAVLESIKSFLFSWDKEEKTLSQDRNLNEYSFAGTRSTNYFKPACVNEVASAMTKGTFTTRAKNLGSPPEHRRHGHSSASYKPYT >KVH88246 pep supercontig:CcrdV1:scaffold_1321:13514:34394:-1 gene:Ccrd_024338 transcript:KVH88246 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-2 MTVLPAFFYWIISPSHTLSHSPWVHVCILRIWFVGGRRVAMSAMFRWRSKILSKHIIAYRTITFSSSSSNYHHHRALNFLTPLRQSNYFNFGCLGFQQNRHKWEGSSNKYDHIKAQVNCPRCSQLMTLLFSNRPLSISSDTGIYHALNMCPSCRTAYYFRPFKLEPLLQGSFIEIGRVRAVKEGEVGTIAADNDENTKVGVKIWEKLRSYGGDAVDGSMVDAAGGVMEEQVKRGECGGDEQGKNGWWGGSKLGIGLPTPKEICRGLNEFVIGQEQAKKVLSVAVYNHYKRIYHSSMHKKSDCGISSDTPSGCQGTENQDVDYVELEKSNVLLMGPTGSGDHLFSRCIILTGKTLLAKTLARVVNVPFVIADATSLTQAGCQLPLAGYVGEDVESILYKLLVPKESFAFMTHFIASVTVITQPFALALIHSTREILLTNGDDGVLLPAPKWRVPYLAVWHPMQLVRPYRWRGIPQIAPEKNAADFDVEAAQQGIVYIDEVDKITKKAESLSTGRDVSGEGVQQALLKMLEGTIVNVPDSRARKQPHGEGIQVDTKDILFICGGAFVDLEKTISERRRDSSIGFGAPVRANMRVGGLTNAVVTSSLLESVSDHGIIFYIKAVESGDLVAYGLIPEFIGRFPILVSSSALDEDQLLQVLTVPKNALGKQYKRMFAMNNVNACLPLSPVKLHFTDTALRLIAKKAIAKNTGARGLRAILEDVLVDSMFEVPNPPEKGMDSVDAVVVDEEAIGSVEKPGSGAKILHGNGALQRYLSTDPLEARQVKKKKDGFELEVAMSL >KVH94479 pep supercontig:CcrdV1:scaffold_1322:120099:125682:-1 gene:Ccrd_003469 transcript:KVH94479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MKFCKKYEEYMQTQDQKKLPGVGFKNLKKILKRCRRDMNHSRSSLQSQSSLLLNDSSDNTSALCLHPCPVCDGYFFPSLMKEMSMVVGCFNKRAQKVLDVHLATGFRKYFVWCRDKFQGKHGALIQEGENLVGQAFRSQVKSMHMEILQSPWLCELIAFHINLSETKADMRKGSELFEGCSLIFSDGKPSLSCELSDTVKLEIDLTCSVCLDTVFDPVYLTCGHIFCFMCACKSGSVTIVDGLKATKPTAKCPLCREVWTFSVPSFFKLSIINICCPEYWEERLRTERAERIRQTKEHWQSQSRAFLGI >KVH94468 pep supercontig:CcrdV1:scaffold_1322:17942:26733:1 gene:Ccrd_003459 transcript:KVH94468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MSITVRLLFLLLVIGNVGFFIANGVVDEYQRDDFPAEFVFGSGTSAYQVLFLAVVRLNWIGDGSGSVNAKGLQYYNNFINELLSHGIQPHVTLFHDDLPQILEDEYGGWISRKAVLGGYDVGFTPPGRCSSPFGFGNCTNGGNSSYEPYLVTHHLLLAHASAVRLYRKKYKGRQHGFVGINVFAYWFEPYTNTMEDLKATQRAHDFYLGWFLNPLVNGDYPETVKKNAGNRIPSFTKLESERIKVPSGTIGSTESP >KVH94471 pep supercontig:CcrdV1:scaffold_1322:93535:103556:-1 gene:Ccrd_003467 transcript:KVH94471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone protein p23-1 [Source:Projected from Arabidopsis thaliana (AT4G02450) UniProtKB/Swiss-Prot;Acc:Q8L7U4] MGARVAEEGRGIDGDEQGGAYDVGAVSRIPEVKWAQREDKVFITVLLADTKDAKVNLAPEGVFTFSATAGTHQYDLKLELFDKVNVDESKINTGERSVFCILEKAEPKWWKKLLSGDGKTPHYVKVDWDKWKFGDMGGMGGMGMPGMGGMGMPGMGGMGMPGMGGMGMPGMGGMPDMGDFSMADDLEDSDDEGQEAETKTGATSKAAEGASEEKAEAAVPST >KVH94476 pep supercontig:CcrdV1:scaffold_1322:38393:40280:1 gene:Ccrd_003462 transcript:KVH94476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor MAESEANPTTEPLAQSTTDGGEKHLKHLEFVQSAVIYFVVCFSTVYEYAKENSGPLKPGVQTVESTVKTVIGPVYERFQDVPFEVLKFVDLKVDDTLNELNRHVPSVMKQAPSQAKFKELASEGVKMASRMANSVYKKYEPTAKELYASYEPVAEKYAVSAWREMNKLPLFPQVAQVAVPTAAYVAEKYNVAVCYTAEKGYPVAQYLPLVPIEKIAKVFKEGENVPPVAQSGQSAQSNQVMT >KVH94475 pep supercontig:CcrdV1:scaffold_1322:44955:46062:-1 gene:Ccrd_003463 transcript:KVH94475 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MNHNYHALDIPDAKGNDHKEDKNQHTLALAVAMTVAAKAAVAAANAATELARLVRSSEDLEWRTKNVAAIKIQSFYRAHLAWKALVGLRGVLKLQAVIRGQIVRRKVLNRMNNIQQFENARARVHRIRVPTFDQVSRICNDKKDHFTPHKERKDDQILESPTTIRNHRFDSQMCEMQRKLGLENKHHNSPTSRTQMSYYTKRHYHLSPDRSDDTSLPNSPIFPTYMATTESFMAKARSLSTPRQRLSFLDSCCNHSSSLATPMLSSSSSFNDGMKRNYRHMHL >KVH94472 pep supercontig:CcrdV1:scaffold_1322:84815:89702:1 gene:Ccrd_003466 transcript:KVH94472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin pyrophosphokinase MAEKLLMTHCSSFLLPPPFTNGKNSSSPTTYALIILNQHLPRFSPLLWDHGNLYSAAKIRLCADGGANRLFDNMPELFPLEDNASLIRERYKPDVIKGDMDSIRPDVLSFYKDLGTEIVNNSDDQDTTDLHKCITYVRDFVSDEDKPNVSRIHFATSNPISKEGYIGFVCLVELLHFANLNTCVFFSGLQLCILVAGALGGRFDHEMGNVNTLCHFSTTRIILLSDDCLIQLLPSSQRHEIHIQSSVEGPHCGLIPIGAPSGSSTTTGLQWDLDDTEMRFGGLVSTSNIVNGEIVTVRSDSDLLWTISLKKQP >KVH94478 pep supercontig:CcrdV1:scaffold_1322:29351:29857:1 gene:Ccrd_003460 transcript:KVH94478 gene_biotype:protein_coding transcript_biotype:protein_coding description:TspO/MBR-related protein MTYNVTRTRSQKKPSTAGGGVRSLATAIAVPVALTLADIMWYGGGQAYKDLDLPFWMPSLWVLHLTCLSTAFVMGLSAWLVWAESGFHRTPSAIVMYLAQLGFSLAWNPIFFKMDAIRVALAVNLAQMATIFSCSHMFGRLNPIAGDLVKLCLVWTGYLTVVNLYFVL >KVH94473 pep supercontig:CcrdV1:scaffold_1322:65860:79872:1 gene:Ccrd_003465 transcript:KVH94473 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MVETRRSSSSSKRTLSSPCSSPIPTGKRSKAAEAASSSTTGTPVEPIEAVVKESGGCESQEQEVRSSDPSKKDSDGCDEVAGVDVPEKSPVGQVEGQPLVSPMSLGDSLIDVEKTKANGGSTVLNRTKKRQSKSNVGVAWGKLLSQCSQNPHVVMDRSIFTVGQGRQCDLCLGDTSISKSLCSLRHIESQQGGASITLLEITGGKGAVKVNGKVCPKRSTLPLRAGDEIFQQLSNDNVGADVAPSVSILEAHSGSLKGLQFEARSRDSSAVAGASILASLSNIQKELSLLPPPSRKGKGLQTGMPTLPSACEVPDNRVADIDMKDASDPNDSGGVPSCEKAVVTPDAVNENVDGVSVDAEMGNVPAATHELRPLLRMLAGSSASEFDILKILDERREIRELLKDIDPPISLAARRQAYKDSLQQGILEPDAIEVTFKDFPYYLSETTKSVLIASTYIHLKRNEFVKYASDLPTLCPRILLAGPAGSEIYQETLTKALAKHFGARLLVVDSLLLPGGSAAKETDTSLKESARPERASVFAKRAPQTGVMHSKKPTSSVEADIIGGSTTCSQAQPKQEASTASSKSYTFKKGAPRMVIRAKSYFHLKRMALPRLELDSIGQFKKAMILEEFVRKIMAFSVQLIHFVLILRVAVKESKSSSLLLFVKDIEKSMLGNPEAYASFKSKLENVPGNVVVIASHTQMDSRKEKSHPGGLLFTKFGSNQTTLLDLAFPDELLLSDWKQQLDRDIETLKSQSNIISIRSVLNRVRLESSNLDTLCIKDQTLTNESVEKVIGWALSHHFMQSSEASVKDAKPDVVTENEFEKRLIAEVIPPSDIGVTFDDIGALESVKDTLKELWFGEGEKYVKAVFSLASKISPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLGATNRPFDLDEAVDGKFTRCRKQGENLESDIGKRGIGT >KVH94470 pep supercontig:CcrdV1:scaffold_1322:3575:5167:-1 gene:Ccrd_003457 transcript:KVH94470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MAARGLAVEALTAYRSLLRATRKSFAGDTVMLEGSAAEVRKKFEENRHVTSEPEIRKLLDEAREASDFISNMIVQAKLNSRGGYEVKASMDHAGATLEIPSEEILKKSV >KVH94474 pep supercontig:CcrdV1:scaffold_1322:53162:57298:-1 gene:Ccrd_003464 transcript:KVH94474 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG1-like protein MEPKEDVSLSGFSSVSSDKIETVDETNVSKSVDNNNNNGVSRLDVNSDDENEGFASGEEEAFETNLDENLETLVNVDEGDSFLETSEFPISKVESETVSDEVVVDDDAEKGNLVVEGLNSDELVKQEVLEGSEGDKVGEIKEDVVDETVSKVVSSDEVKSEVVAENGVKVTTEGDSIVEKVEIDTPAPGVVVVANTEEDEDEDEDEDDVVLVGGPDNSKPVVEASELESNGGNDVKVTSEGDSVVEAIDVDLPVAGVVGVAVVNKKDEDGVAAADVKLDQVLEEVVDKEVVGVTDGSFSPLDVEDSGGFDVEKSGKNLEAEVEKEVDYEGKENGSVVKSALDDVETVSPVDLQGDASLVTEVLDKGVPDEAAPVTEVLDKDVPEDGAQKMEILDKDLPDDGAQKTEILDKELPDGGAQKTEILDKELPDDGVQKTHILDRELPDDGAQRTEVLDKDLPDDGAQATESSSKDIPDMGVVSGGVEDNGLENGPADKFVLEESAEKDDAEEEGYMDGSPSDEDTDGVIFGSSEAAKQFMEELERGSGEGGGSNTGGESSQDRSQMIDGQIVTDSDEDDDEEDGKELFDSAALAALLKAAADGGSEGGNITFSSQDGSRLFTIERPAGLGPSLQTMRAAPRPNRANIFNPSSLMTAGETDSNLSEEERKKLETLQSIRVKFLRLVQRLGLSPDESVAAQVLYRLALIAGRQTGQSFSLDAAKRKAVELEADGNGDLDFSVNILVIGKAGVGKSATINSIFGEEKTVISAFKPATSSVKEIRGMVGGVMIRVFDTPGLRSSVMDQGFNRHVLASAKKFTKKNPPDIVLYVDRLDAQTRDHNDIPLLKTITTSLGPAIWRSAIVTFTHGASAPPEGSNGIPLSYEMFVTQRSHVVQQAIGHAVGDLRMMSPSLMNPVSLVENHQSCRKNREGQKVLPNGQTWRPQLLMLCYSMKILAEANSLSKPQDPYDNRKLFGFRVRSPPLPYMLSSMLQSRAHPKLSSEQGGDGGDSDVDLADLSDSDQEEDEDEYDQLPPFKPLKKSQLSKLSREQRKAYFDEYDYRVKLLQKKQWKEELKRMKEMKKRGKDAITDQPYPEEEGEGDAPAPVAVPLPDMALPPSFDSDNAAYRFRFLEPTSQFLARPVLDTHGWDHDCGYDGVNLEQSLAIASRFPAAVSVQITKDKKDFSINLDSSVSAKHGEKGSSMAGFDIQPIGKQLAYIVRGETKFKNLKKNKTAAGMSVTFLGENVVTGFKVEDQIAFGKQYSLLGSAGTVRFQSDSAYGANIEVQRRELDYPIGQVQSTVGLSIIKWKGDLALGFNSLAQFSAGRNSKVAVRAGINNKMSGQITVKTSSSEHLSLALAAVLPSVISAYKKFWSSYGEKNSAY >KVH94467 pep supercontig:CcrdV1:scaffold_1322:7790:11935:-1 gene:Ccrd_003458 transcript:KVH94467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTLGGSRHAIDSCTFQLHSWRPFHLPTTLNRTLEKSDNNKNSNTTSSKPHKRPCLSDRTTSFSIENLDMSKLSLFDDDGSRSSVKSKREKIHWMARKRRRRGSRSVSGRSSDRSGTRRRCCSVGASNAYGTCSDFMMANNAGTDSSGELFVNGGGGGDVNWASDASEAAAKNLRRESNGGGDRENTFVGLHHHHGNLDNQGNESGYGSEPGYRGDAEFGYGDEFDEEEDDTRVLFWGNRFGDAQNMEIIGENTLQKAHHRCRRKKHELRMVDAV >KVH94469 pep supercontig:CcrdV1:scaffold_1322:1501:2076:-1 gene:Ccrd_003456 transcript:KVH94469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTPAILVTFVLLSWLTPPLCSWASGRDDSYVLDACSVTRYQDLCIHSLASYSNIAKKDPSKWARAGVSVTIGETKNTTRYLVALKKRNRLKGRNRMALFDCLEVFQDTLDNLHKSLGVLRKLSDEIFDAQMEDITTWMSTALTDEDTCLDGFGGQNGEQVKLLERKVTRVSYFTSNALALVAKLASDGP >KVH94477 pep supercontig:CcrdV1:scaffold_1322:30417:33596:-1 gene:Ccrd_003461 transcript:KVH94477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MDEYKNLIHEAFGNSSEDSDDDDRRQKLQVRKEAQLVFGENPKWEQISEIKGLSLCRDFLTSDQQSTLLASILREGWFNDAAHNQAMRFGDLPAWAKELSTCVLELIRYSDYDPESMDTNTCQIDKQECIFPSELLHRGICAHVDLMRFEDGIALVSLESSCVMHFSRVENGVATNGKPIEAKIKIPVYLTPGSLLLISGEARYQWKHEINRKPGFQKWDGLEIDQKRRTSITLRKLCSSD >KVH94466 pep supercontig:CcrdV1:scaffold_1322:111107:120593:1 gene:Ccrd_003468 transcript:KVH94466 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MTSTSSFITSLSCSSSSAMATADDTSSGVLQWLSFIFLSPCLQKLLLAFIDTLFLIILFLFKIQKLHSSFITCNNGGSAINQPLVPKPTKTSLWFKLSLLISAILALLSIISSILAFTQNTQMPLKLINGASWLIQAISFVIITIFINSRKRFQDSTHPIFLRVFGIVNFIVISLIAISGIVRLVSSTELSKSGDLIASVFLPFSGFFFVVSVTGSTGITVIQESDEHEELSKSFVSGWASASIFSKTFWLWMNPLLKKGYKTPLTIDDIPTLSPEHQAERMSLLFERNWPEPEENSNHPVRTTLLRCFWKQIVFTGFLAIVRIGVSYVGPLLIQRFVNFTSGKSNSPFEGYYLVLILLVAKFVEVLSAHQFNFHSQKLGMLIRSTLITALYKKGLKLSSSARQAHGVGQIVNYMAIDAQQLSDIIIQLHAIWLMPVQVAVALAILYGYLGPSTVVTLIAIILILVFVIVATKRSNRFQFNIMMSRDSRMKATNEMLNYMRVIKFQAWEELFNERIQAFRESEYGWLCKFMYTVGGNMIVLWSTPLFISTVTFGSGVFLGIELDAGTVFTATSLFKNLQEPVWSFPQSMISLSQAMISLGRLDEFMISKELEEGSVEREDDVNTTTAIEVQNGSFSWHDEPSEGDIIKNLNFEIKKGELAAIVGTVGSGKSSLLASVIGEMHKISGTVRVSGSTGYVAQTAWIQNGTVQENIVFGSPMDGNKYKNVIRACSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAECVRGALGDKTVLLVTHQVDFLHNVDLILVMREGMIVQSGKYDELVQSGLDFTAFVSAHETSMQLVQMDSTTPETITKSLSHNTRNLKSETNEHHKSLERSQSSSIIGTSKLVEDEERETGRVSSYVYKVYATEAFGWSGVILVLLLSIVWQATQMASDYWLAYETSEDHAASFDPSFFIEVYAIIAAISFILMIGRIAFSASSDQTNIDVLLPFMMILSLGLYMSVISVIIITCQYAWPTVFLLVPLGWLNIWYRGYYLATSREITRLDSITKAPVIHHFSESIAGVMTIRCFRKQDRFVQENVDRVDGNLRMDFHNNGSNGWLGFRMEFLGSVFLCVSTVFMILLPSNVIKPEYVGLSLSYGLSLNGLLFWALYTSCFVENRMVSVERIKQFTNIPSEAEWLKKDSPPPPNWPNHGSVELRDLQVRYRPSTPLVLKGITLNIQGGEKIGVVGRTGGGKSTLVQVLFRLVEPSGGSIIIDGVDISTLGLHDLRSRFGIIPQEPILFEGTVRSNIDPIGQHSDEEIWRSLERCQLNDVVAAKPGKLDSAVVDNGDNWSVGQRQLLCLGRVLLKHSRLLFMDEATASVDSQTDAVIQKVIREDFAECTIVSIAHRIPTVMDCDRVLVIDAGYAKEFDRPSRLIEKPSLFGALVQEYANRSSGL >KVI04366 pep supercontig:CcrdV1:scaffold_1324:85851:89495:-1 gene:Ccrd_017321 transcript:KVI04366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFLCLLIFLFLTLLPLLPALPNQDAVQSFLPTPSSPATTIPAFPEQSDASGCPLDLPDDLFTSVKSACTGKHGSGPLRRSRCCPVLAAWMYSAYSATALGRAVKEPLQTASYYDLPLLPNDSETCIDRLENGLKSKGIELMRLNESCDVVYCECGIRLHPLSCTETFSVNSEGDLVGNPKVKRLEKDCLNNVAVNGFAGLNGCSKCLKTLHLLNKDDAVNTSKREERRSKMHNEDCELMGLTWLLAKNRSAYIHTVSAVLRATMMSTDGNSIPESCTLNSDGMPLAVDSSEINNSSSPSIIYSPFLSLIFVCMYVCVTVTPSIRQLLHSM >KVD98080 pep supercontig:CcrdV1:scaffold_13243:2:427:1 gene:Ccrd_024340 transcript:KVD98080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVTKSAYLGRVQPMELVISAKANQIASATSTVPKTRRHRLQKTKNHHQKTNRHHLPKMARHHLPKRARHHLPKRARHHHRKTGLPHLLTVVHRLLQKTGLLHLQLTVVQRLLQKTGLPRLLLTVVHRHHLPNT >KVI11189 pep supercontig:CcrdV1:scaffold_1325:39647:40617:1 gene:Ccrd_010400 transcript:KVI11189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase MIFTFYRAQGLSVGSSMVEEDKLDLATTLLAKAKEKGVSLLLPTDVVIADKFAPDANSKIVPASAILDDIGPDSIKTFNDALETTKTVIWNGPMGVFEFDKFADGTEAVAKKLAELGGKGVTIIIGGGDSVAAVEKIGVAEAMSHISTGGGASLELLEGKTLPGVDALDEAVDVPV >KVI11188 pep supercontig:CcrdV1:scaffold_1325:39667:40524:-1 gene:Ccrd_010401 transcript:KVI11188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLIASATPIFSTAATESPPPMIIVTPFPPKRTSVPSANLSNSNTPIGPFQITVLVVSRASLNVLIESGANLSAITTSVGNKRDTPFSLALARSVVARSSLSSSTIDDPTDRPCAL >KVI11190 pep supercontig:CcrdV1:scaffold_1325:102500:115934:-1 gene:Ccrd_010403 transcript:KVI11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYGTARNFFLQFATCYGRKLLPNAISATICYLLRQEISATEAEAPAPTTIFFHCLKVLRENQILHSDLKPQFCKRISSLPELQKQPANLSQLAVARDFCRSRKKKIKQNEKEGGRRIRILIENGVRTRHRSMFVIIGDKSRDQANLIDFFGADLGQSYTLHGDDRPRCSDSFGGHPNCIIAFICLYARGNFNTSNGFAFRI >KVI11191 pep supercontig:CcrdV1:scaffold_1325:82140:92437:-1 gene:Ccrd_010402 transcript:KVI11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MEKKKQLIGIWDVKHMFESSVIMFFIIATIIAFCYTMYEEDKSSPPPPPLVVNTTAAYVNYDSLDGCDLFSGKWVHDNHSYPLYKELECPYITGEFACQQHGRMDSNYQQWRWQPHACNLSRVIFVGDSVNRNQWLSMVCMLQSVIPLGKKRMQKVRNVSLLTFKALEYNVSVDFYWAPLLVESNADHPSMHKTNDRIVRIESIEKHAKHWVNADVLVFNSYLWWRMPELKILNGSFEEPKQYNIVDNHQGYNMVLEIWSNWLYTHINHTRTQSYFMSMTATHHRGKEWGMKGGQNC >KVI11192 pep supercontig:CcrdV1:scaffold_1325:102567:105345:1 gene:Ccrd_010404 transcript:KVI11192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MMQLGWPPNESEHLGRSSPCKVSPYLDLRINEEGTNKTKMKKKLFDRHYLLGSNLYAWERKTAYKIYNSGIGLISYSVRWSVTETSCDQRMEKYIDSSISVQGYSIANSCFTILILITRYSLRNFQVLILLTENKYVSNPVEDEVTANQEETGWKNIHGDVFRFSKHKSLFAAALGSGTQLFNY >KVD98079 pep supercontig:CcrdV1:scaffold_13264:3:471:1 gene:Ccrd_024341 transcript:KVD98079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MNTIFNKIETLKDQIEFQLHCSYIEVTFYCLNFKILKEEVRDLLDSAVIDRLETGDGNAENAVPGKLPVQIRKASDGAVSLSGSTEVSVSTQKEMTACLEQGCANRSTAATDMNSQSR >KVD98078 pep supercontig:CcrdV1:scaffold_13265:736:984:-1 gene:Ccrd_024342 transcript:KVD98078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLRTQYLASYRYKFAKHQMEL >KVH88244 pep supercontig:CcrdV1:scaffold_1327:78596:79676:1 gene:Ccrd_024344 transcript:KVH88244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MDIYGMSSSGQDFSGVDELLDFSNDHGDLFGASATDILHQHHNVTAASTATGCHFQYGVNNDDSNNYNNDIATNYHHHSTDFTDDLCVPSEDVAELEWLSNFVHDSFSDFPANNLAGTINYRPENTSFHSRSRSKRTRAPTNSTSWTSTTPLPTASISEKQTTKLETYSSPTSSSSDTTSEAGLVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKEMVHGQQQQQSPTSEYQLYGGGHHHNHNNYEVC >KVH88243 pep supercontig:CcrdV1:scaffold_1327:94176:105242:-1 gene:Ccrd_024345 transcript:KVH88243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSENKSNTSPPSSSSPVVDFGVLEKVRPFAGDSAVEWVDYAVQQAVMAQKTLVETLESTLSVTKSRLDQIKSTSTAHLHMTMESLQDLKSDYNVYEDIVFGKIKEGVYFAASHPFATSGLVVGSGILAVKRTRRSLYYNTLRLFSNEEAMLAKANAKVQKLRDSVRTLTEESKKLEKFSLDAEVELKRGRTKLRQTGKQIQGVIDSAYKIERQAGGLKDVLKELPNRDASTFRSEVSQLASQAKRERRILSKEVKKISNYGISV >KVH88245 pep supercontig:CcrdV1:scaffold_1327:12473:15914:-1 gene:Ccrd_024343 transcript:KVH88245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MAATSLLPAISNAIALFNPLNQPPKPLITRCSLASQSLSSAKSHLLDLISDQNRGLATQSDRRKLSQITDAVDSIALINRDQLTTNASLSGTWRLLWTTEKEQLFIIKNASFFGTKAGDVLQVIDVEKRSLNNVITFPPDGVFFVRSDMEIASPQRVNFRFTSAVLRGKDWEFPLPPFGQGWFDSVYLDDNIRIAKDIRGDYLIVDRASYQWKE >KVD98077 pep supercontig:CcrdV1:scaffold_13272:1:525:1 gene:Ccrd_024346 transcript:KVD98077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-3 EKAQGAKEGAYEKAQGAKESAGSIKDSAYEKAQGAKESAGSIKDKAYEKAQGAKEGAGYLKDKAYEKAQGAKESADEGKEEAMKKVKETKEKTLEAAEAAKEKAKEEGGEGLEWAKEKVKQGYETTKQKAKEGLESAKEAVGNKYEEVSAQHKQKANDFKEDVVLGGRSWDREL >KVD98076 pep supercontig:CcrdV1:scaffold_13273:4:963:1 gene:Ccrd_024347 transcript:KVD98076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKAEILSCNDSRGIRFLGNLVKSIVRESPAVRAVHKLKEKVKLFALQKQELWDEGTVRIGKKCLGHGFKKVKESEIKHLADSHSILSQISHFRKPGMETDHWYKVLLKIWMQDIDAKYAESEESILSNFITEKALPQDLKDSFCTFQKHAKKYVSSETTSTLALLPESISSSEVVSIREVLAPLKAIRTCLQRYGMTTSEGFPRACHMLLXLDHDHIIDWFSGIVHRWLRWYRLCNNFVEIKLFITEQVRKSCIRTLAMKYRLHESEIERKFDLDLSRLPSTEEIENEXLVSEDTCYDEGLFYGIPYSGLCLLSLARI >KVH88242 pep supercontig:CcrdV1:scaffold_1328:61727:80817:1 gene:Ccrd_024348 transcript:KVH88242 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MGEASSVDDDLLLKNFYAEVSEVERDNEVARILSCFKLNAFEYLNLPFDSSPEDVKKQYRKLSLLVHPDKCKHPQAKEAFSALAKAQQLLLDPQEREYLVNQINAARVPRSMIKLAFEMNIFLREEKVRNREEKNGVWRGILDAEEYLRRKWEKKMKVGLGNGKNFHAAVMPRIPICSQSGNYNGPRIVFEAMSSDTNLQKVESMKMEEIGIKSIVSDFQRWFRDQMKIFNQKLKEANVGRSIGKWSNEEKEAIEGLQMEAGVEFFAVKTIRDEEEFIIVKNREGIEELRAKRKKQLKKDTASKLKSLVNEGKYEQEYEKSEDFQHKLKLKVRELLTDQEWRRRKMQMRISEEEGRLKKDEEESKEMWKRKREHEEQWEGTRENRVSSWRDFMKGGKKVKKGEIRPPKLKTEDPNKSYVQRPVKRG >KVD98075 pep supercontig:CcrdV1:scaffold_13297:558:1040:-1 gene:Ccrd_024349 transcript:KVD98075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRATVIFNTLLARASKTPRNFIIYLTNVYKSAPNFVLPKSKDMPGTDEYFDQ >KVH97694 pep supercontig:CcrdV1:scaffold_133:382951:386576:-1 gene:Ccrd_000198 transcript:KVH97694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVGNGIGATKSVDPITSPSRRRQSLDPINEIDSSLARKTAAFAISCGPSVLPLRFENTVEFSSILKNLIASGVDATPPVIEHILAVVMMLPLHFGIITRAACLVPKNTPIRLTLITRSKSALSLSKMLSFGSSTIPALLTMISNFPCLATVVLMAFSTSDSRVMSQYTLNTQVLANQLPQVIFDIKNNYFCSMLYERPHNTFSNALSSTSNDGYL >KVH97718 pep supercontig:CcrdV1:scaffold_133:168680:176175:1 gene:Ccrd_000180 transcript:KVH97718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRHLLKLSRRSQQTLATTTRSASTATAVSTASDTRAPAPPPPNQMIYDRLAEQVKSKLKRLEHPDPRFLKHNSPIPAAADHTSLLTYPETRITTLPNGLRVATESNLASKTATVGVWIDAGSRFETDETNGVAHFLEHMIFKGTAKRSVRHLEEEIENMGGHLNAYTSREQTTYYAKVMGEDVPKALDILSDILQNSAFDDRLINRERGVILREMEEVGAQTEEVIFDHLHATAFQHTPLGRTILGPAENIEKITKKDIQEYISTHYSAHRMLTAEFLYDYMLQVISASGAVKHEDVVEQVKKMFTKLSANPITTSQLVEKEPALFTGSEVRMRDDDMPLAQFAVAFNGASWTDPDSVALMVIQAMLGSWNQSAGGGKHMGSILAINEIAESMMAFNTNYKDTGLFGVYAVAKADCLDDVAFAIMQEISKLCYRVGDDDLLRAQNQLITYGRRIPFAELFARIDAVDAATIKRVANRFIFDQDIAIAASGPVKLLPDYNWFRRRTYMLRY >KVH97725 pep supercontig:CcrdV1:scaffold_133:10887:11939:1 gene:Ccrd_000165 transcript:KVH97725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLWIGILFKEWFLRYQSIDSAHLMAYGLFGHTSNKFENRSFDMFIPLVFWKVGAGGSMHYIEVQN >KVH97707 pep supercontig:CcrdV1:scaffold_133:44850:50500:-1 gene:Ccrd_000170 transcript:KVH97707 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDEKRRLISSSAPYLRPTISIPSSSTVENLFTGGGGRPGESPGPMTLISNFFSNNDVESDCRSFSQLLAGTMSSPAQIPGRILSRDSDAGADASGGGAAVDFQFSNAGRASGLVVTQASTFTIPPGLSPATLLDSPGFFLPAQSPEFSSSGGHSHSHSQPPSVAADKPSEDGYNWRKYGQKQVKGSEYPRSYYKCTNQNCRVKKKVERNLDGLVTEIIYNGQHNHQPPRSEYAGVGTSGEPESFKGQTGNFNHSRVDAMSMKGDQRSGSSDGKEASDDVTMPQAKRRNVEVKAVDPVSSHRTVTEPRIVVQATSEIDLLDDGYKWRKYGQKVVKGNRHPRHCTSQGCKVRKHVERAASDPMAVITTYEGKHNHLVPVVRNNNHDTTKETASQLRPHGPIGHLRLKDEQIM >KVH97688 pep supercontig:CcrdV1:scaffold_133:333813:334426:-1 gene:Ccrd_000193 transcript:KVH97688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKLSDIGVACVVHPPVTEDTLAVVMILPLHFGIITRAACLVPKNTPIKLTLITCSKDIWLINYPCIVNHDVQLSMPSNCHVDGVLYICFKGYVAVNERYELNTQVLANRFSQVIFYISNNYFCSMLYERPHNTFSNALSSSRNNSYLIFKSKTYYASC >KVH97717 pep supercontig:CcrdV1:scaffold_133:181438:188135:1 gene:Ccrd_000181 transcript:KVH97717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MRRPLFHKFSLIFGPRPPWNWLLLCFVSVSALIVLFGSSSSSAFDSVTFSIKSDIYTNYRRLKEQAASDYLELTSLSTGSSSHLKEIRLCGKEREHYVPCYNVSANLLAGFKDGEEFDRHCELTRDQEDCLVRPPRDYKTPLSWPLGGDVIWNANVKITKDQFLSSGSMTKRLMLLEENQISFHSDDGLIFDGVKEYSHQIAEMIGLSSDAEFLQAGVRTVLDIGCGFGSFGAHLLSLKLMAVCMAAYELTGSQVQLSLERGLPAIIGNFISRKLPFPSLSYDMVHCAQCGILWDKKDGMFLIEADRILKPGGYFILHGSSSSTKKGSMASPIEEFAQKICWTFIAQQEETFIWQKTIDALCYSSSKQGVIPPCRGQEDIQSSYQPLAPCIGGTASKRWIPIQNRSSKIQQDEFYDDFESSRLALRNYWSLLTPLIFSDHPKRPGDEDPLPPYNMIRNVMDMNARYGGLNAAFLEAKKSVWVMNVVPTGTHNTLPLILDQGFAGVLHDWCEPFPTYPRTYDMVHANGLLSDFISKGCSMKSLLLEMDRILRPEGWVVLSDKVGPIEKARMIATQIRWEARVIDLENGSDQRLLVCQKPFLRK >KVH97695 pep supercontig:CcrdV1:scaffold_133:399731:412190:1 gene:Ccrd_000199 transcript:KVH97695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MASSTSTSIPKPRLENKVAIVTGGAQSIGECIVRSFVKHGAKVVILDVKDDLGELVCQDLGGFASHAYTSSKHGVVGLAKNVAAELGKYQIRVNCISPYVIPTPLSMKFFNMDENSSVYSNLKGKTLGPQDIANAALFLASDESEYVSGHNLVVDGGYTVLNPAFGLIMASSTSKPRLENKVAIVTGGAQGIGECIVRSFVKHGAKVVIVDVKDDEGELVCQDLGAEFVSFVHCDVTLESDVENAINTTIAKHGQLDIMVNNAGIIDEPKLSILDNDMAAFERVISINLTGVFLGTKHAARVMIPKCSGSIITTASVASITGGCTSHAYTSSKHGVVGLAKNVAAELGKYQIRVNCISPYFIPTPLALKFYSMDENSTAYSNLNGKTLRPQDIANAALFLASDESEYMSGHNLVVDGGFSVLNPAFGLFSIES >KVH97691 pep supercontig:CcrdV1:scaffold_133:358733:367131:-1 gene:Ccrd_000196 transcript:KVH97691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFGSSIIPALLTMMSSCPCLAMVVLMAFSTSDSRVTSHTQFLANQFSQVIFDINNNHFRSMLYERPHNTFSNAMSSTDAPPPVIEATLAIVIMLPLHFGIIIRAACLVPKNTPVRLTPITRSKSILSLSKMLSFASSIIPALLTMMSSCPCLAIVVLIAFSTSDSRVTSQWTKDTKSTPKSWQTSSPNSSLTSKITTFAPCCTNDLTIHFPMP >KVH97687 pep supercontig:CcrdV1:scaffold_133:310492:318884:1 gene:Ccrd_000192 transcript:KVH97687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MLSLLLGLFAGRRGASMLVRQTAARQLEDWRADWGYSLPVVVLDMTWNFVFVVVSVVMLFWTAREKPNVPLRLWICVYSLQCAIHIVLVWLEYRRRNRGVAGDQTTADCFCFGHSEDSDGSDVDLENYTMIGRVLIPNWTFRRYILSNGSFCIAVVMHPFTGNLFFGNFSDALRWENINTTISYMWWVVGFCWLLSDFKILLHSAPRLFWLALAFLAIDVFFAFIAFVLACLLGLAVCFCFPCIIAILCIIAGREGASETDISVLPKYRFEAFSDEEQSDVGAGRMIPIETNGRDFSVGRVLLAEDADCCICLSPYEDGVELLSLLCNHHFHATCIVKWLKMNATCPLCKHHIRRNEQVFARVLTDGAVLERMNGIHAIR >KVH97704 pep supercontig:CcrdV1:scaffold_133:73677:73991:1 gene:Ccrd_000175 transcript:KVH97704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 MGLNMSDNRNLQDQKRRLLAEKFELRRKLYKAFAKDTDLPNDVREKFRGKLADLPRNSSFTRVMNRCVFTGRARSVYQMFRVSRIVFRDLASGGLLHGVKKSSW >KVH97713 pep supercontig:CcrdV1:scaffold_133:219763:222265:-1 gene:Ccrd_000185 transcript:KVH97713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1675 MGDRTSNEMENLSLQMKKRFSRDLLQRFRVNTTSTGDPIGSDPGEDTSDEIELNLGLSLGGRFGVDKSNSKLVRSSSIAAILPVVKDDDVLGVSQGKTGPGGSYSGLIRTSSLPVETEEERRKRKELQSLRRLAAKRRRSEKQRNLTKVERDEYVAAMGRVGSSVGPSLGSGNWDFGDVEGLIGKGGTMNNGSGGGATSDGSGGGLTQPSLPGSVESQASSMSEFESRHFQEGSKQDDSRSKVVNPAVQTSRPKTENPSNNKGKEMRSNMVDMPCVFTQGDSPSGRRIEGILYKYGKGEEVKIMCVCHGSFLSPAEFVKHAGGTDVLHPLKHIVVNPNSSYL >KVH97720 pep supercontig:CcrdV1:scaffold_133:189178:193083:1 gene:Ccrd_000182 transcript:KVH97720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKERENHVYLAKLAEQAERYDEMVESMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENYVNLIKGYRKKVEDELSKICSDILAIIDKHLIPSSGSGEATVFYYKMKGDYFRYLAEFKTDEERKEAADQSLKGYEAYAIKTERLDLIYLAASASANKELPSTHPIRLGLALNFSVFYYEIMNSPEKACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGALALLNSAP >KVH97698 pep supercontig:CcrdV1:scaffold_133:444473:448871:1 gene:Ccrd_000203 transcript:KVH97698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MFQNLSQTLKSLPKSVISISYLHTRNKTLISNPAINFILDEFEDLQSSNPVSISTQQAPKTAEPVDSGSSSIQNEQEWPEWVGLMEKLMKNGYFDGVGNPFRSGGLIDGKGCNQIRTACLNFARDRPGLMRDIQTVAGSGCPSMDRKVVNSGKRLRAHMSIDEGNVCSSCILRGNCERAHVKAREDEGGRTVDVMRLVLTYGLNHITSSDVSEPFLNKRLEEAIRSLIKDMVKFSKDELDYDNSKRVPSVHRSPTQQQEHISIVSTTQSDWNCPKCKFLNFARNVKCKVCNSLLFHERHQGSWNSREFSQLKKGDWLCIRCHFLNFAKNTRCLQCHTNPPKRQLNPGEWECDSCNYINFKRNMVCLKCDHKRPKAFNNSTS >KVH97705 pep supercontig:CcrdV1:scaffold_133:76165:83587:-1 gene:Ccrd_000176 transcript:KVH97705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLCHRVSAAVSLIVLLSCLFNVAVDCKTLKRDVEIGRWPCLCLTVVKALNEIKASLGWRVVYAWVGDDPCGDGDLPAWSGVTCSTQGDYRVVTELEVYAVSIIGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKVLNLRWNKLQDVIPPEIGELKKLTHLYLSFNSFKGEIPRELANLPELQYLHLHENRFIGRIPPELGTLRNLRHLYLSTLCYHIPHQKEDVGNNHLVGTIRELIRMEGCFPVLRNLYLNNNYLTGGIPAQLANLTNLEILYLSYNKMSGIVPAGLAHIPKLTYLYLDHNQLSGRIPDAFYKHPYLKELYIEGNAFRPGVNPIGIHKVLELSDSDFLF >KVH97693 pep supercontig:CcrdV1:scaffold_133:341848:342243:-1 gene:Ccrd_000195 transcript:KVH97693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEATLAIVIMLPLHLGIITRAACLVPKKTPVRLTLTTRSKSVLSLSKMLSFGPSTIPALLTMMSSCPCLAMVVLIAFSTSDSRSTPKSWQTSSPISSLTSAITTLAPCCKKDLTIHSPMP >KVH97710 pep supercontig:CcrdV1:scaffold_133:63038:64328:-1 gene:Ccrd_000173 transcript:KVH97710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADAGILSGFSGLESIPGPELPKIDSFTRFNEENQKRYAENDARFKESPLLKELLEKSKLNKEKNRQAIQDKYCIRGAEWGVGDCSAEGMSPQEKDEFIAMLKKKAGVE >KVH97724 pep supercontig:CcrdV1:scaffold_133:14601:15533:1 gene:Ccrd_000166 transcript:KVH97724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETDNNNKCTPKTKSLTATTTTHNPQHQHQHHYHHLHFHPHYLFQHHSNTNFGFFNQNLYHPSSSPPLLPLPPPHSAISPLPLLGFRPKPHLHKPPWKQNHHHHHPPPLVAASDPNLSDETEFGMKM >KVH97711 pep supercontig:CcrdV1:scaffold_133:268896:272717:1 gene:Ccrd_000189 transcript:KVH97711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosamine/galactosamine-6-phosphate isomerase MAVVGSIKDRGEVRIHESLDELSRDLVDYIAELSEISIKERGVFAVALSGGSLISLISPARPSGVFLAILRSLGFRREEAANEYEFVIRQLVKTRVINVSAINDCPKFDLILLGIGPDGHVASLFPDHSMLEEKHEWVTFITDSPKPPLERITFTLPVINSAANVVMAAIGGGKAEAAHLAIDDVGSECPVLPARMVQPDVGKLMWFLDCQAASKLKRFC >KVH97690 pep supercontig:CcrdV1:scaffold_133:296850:297815:-1 gene:Ccrd_000191 transcript:KVH97690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENKKPVYRRNGSGELHVFEAARYFDDTTTGSLGHKHYLREGTAGRLSLDIPGNNRRNSIPLQAMMMENRNSIPLRAMMMDQNDPMTIKNQKKYKQPSSPGGKLAHFLNSLFNQTYSKKSKSKSTTSKQSVKDEDESPSGWRRKRSSISLFRSGNSHTNNNSNSAIASDTRSAYNSRTPPPKGTASYKDLRSHSDHKPTCEITKIPINETHNKNENQNLKIKSSSGVSEKKRSSGNGLVEKVRVSDVKHDDDDHHQKYDPTVEIREFKRFILDDDDGDSDSSSDLFELTNCDLGYYSSGLPVFETTHMDNIKRGAPIVSS >KVH97709 pep supercontig:CcrdV1:scaffold_133:58290:62202:1 gene:Ccrd_000172 transcript:KVH97709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEEEMISLRNIMPVELAIKRELEYRKKMDVSRNQRQNDLKPLVLTQGPPTQPGKEDIELAAKVSRKSPMPQFSSSCRTFQEQLAFSCKACQLTFATVFHLSGHFVGQQHKVNVSLMKKRKEAISNPIWCELCRSSCSSLSEMERHLNGSRHNSLVSEFKNGDLATN >KVH97723 pep supercontig:CcrdV1:scaffold_133:15545:19153:1 gene:Ccrd_000167 transcript:KVH97723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MGPIMFLISAIKTALEMIPRAINSSMGAKRDEFQPVRDLPPPAPPLKVARRPDSGGSDGTVISLLANHFLVKFNPLQQIYHYDVEISPKPSKDVARLIKNKLVEENSAIFSGGNPSFDGRRNLFSPIKFQKDRLELYINLPLPIPNSLITPNLEDNTEKLKLFRVSIKIVSKLDGGELSKYLRNDTDESIPLPQDYLQALDVVLRENPLSECTPLGRSLYSTSMGGAKDIGGGAIGLRGFFQSLRPTKQGLALNVDLTVTAFHESIGVIPYLQKRLSFLNDLSERKTRGLTGEEKKEVEKALKNVKVFVCHRETVQRYKVHSLTDESTENLWFRDRNGNNLWVLNYFKEQYNYKIQYRNLPCLQTSRRRPCYLPMELCVVCEGQKFLGKLSDDQTAKILKLAREFNLRVLTEMTKLNGRVLQPPKLKLGDGGEVTDLIPSRHDRQWNFSGSQVFEGSRIGRWALVSFGGTTAQKSIIPKFIDQLTQRCEQLGIFLNKNTVIRPQFESMQVLNNVNLLESKLKKIQRSASNNLQLLICVMEKKHKGYADLKRISETSIGVMSQCCLYQNLARLSSQFLANLAIKINAKIGGCTVALYTSLPAQIPRLLTLDEPVMFMGADVTHPHPLDDFSPSVAAVVGSVNWPAANKYVSKMRSQTHRQEIIQDLSIMVEEILHDFVRELSKLPKRVIFFRDGVSETQFHKVLRDELQAIRDAFSRFTGYNPPITFAVVQKRHHTRLFPADPVSGAARNQFSDENVPPGTVVDSVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENGFTSDELEKLVYNLCFTFVRCTKPVSLVPPCYYAHLAAYRGRLYLDRTGGSSGLTRCGPPKTIPLPKVRESVKNLMFYC >KVH97715 pep supercontig:CcrdV1:scaffold_133:242919:252212:1 gene:Ccrd_000187 transcript:KVH97715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthase RSQRLLLNGTLKDPNKNPFVVLGFKQRSEEYQEMCGIALIISGVRIDLSSLLLDTTSCSSSSQEPATFCLLVLHCNYEEYILSLNLWWINLGIFLYITIRVIVLNEEMLCKNFLLYLIGEVFGGIELSSDSNDAEVLMQYLGKCCNCLSHNREGACSSSGNGKYSVPELLSTIKGPWALIYWQASSKTIWFGRDALGRRSLLVHWPSTKDSRFMLSSVSPPSAIDERAVYSLSMSALKLDENLVGEVRRHNWTDPMLEELIKWERTYVEPRPEDLIESHGTFSSEQQGHLHQEVLAVLKESVRRRTIFSGTHLGNSPVAVLFSGGLDSMILAALLDECLDLEFGIDLLNVSFDGQSAPDRISARAGVIELRKKASLRRWNLVEIDAELPKLTLETKHVMSLINPSNTYMDLNIGIALWLAAGGDGWVCEEIGRNDDSEHHRFKYKSDSRILLVGSGADEQYLGQPSGVGDKKILREFGSRIARESNRKNFGSNRAANQASAGSALIHPI >KVH97696 pep supercontig:CcrdV1:scaffold_133:448471:451643:-1 gene:Ccrd_000204 transcript:KVH97696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MASVTNEHNYDRLKEVKQFDESKIGVKGLLDSGATTIPRFFHQPPENLPGPKPKNRPRLTVPVIDLSGQRSSVVEEIRRSSSTLGFFQIVNHSIPTTLIDSAINAMKKFYEQSTEYKMQFYHREAGKGAAYSTNFDLYQSKAASWRDSLQVRMAPMEPAWNAVPEMCREALVDWDKAVVGLGEELMSILCEGLGVKNDKLKELSCLEARVSVSHYYPQCPQPDLTVGLTTHTDPGVLTVLVQNEVGGLLQVKCGEDWADVEAVPGAIIINIGARVSIAVFFNPSIRENLYGPFPELITAEKPAVYREFTYEEYITRFFKKELDGKTLTNFYRIDNTKA >KVH97714 pep supercontig:CcrdV1:scaffold_133:210324:213575:1 gene:Ccrd_000184 transcript:KVH97714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLALPMLIIFAMAGSSSASYCVCNTGVSDSVLQKNIDYACGAGADCGQINQNGPCFNPNTVKDHCNYAVNSYFQKKGQTPINCVFSGTATVVTSPPSGASSACFSGTASGTSTPTNPTIAPPGSTGTGTSTGTGTGTSTGTGTGINTNPSFGGLAPSGTTGINDSSGAHQITSGFMVLTILVSGLIWSRVI >KVH97703 pep supercontig:CcrdV1:scaffold_133:68686:69350:1 gene:Ccrd_000174 transcript:KVH97703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFMSSIKSAAAGTTTEGDPKKANPDQPSASELLSSAKLVAEAAKCATSNQTEKIDKPKVAGAAADIIDATEKYGNFDETKGVGQYLKKAEDYLNDYEKSGATPPPPPAKEEAPPAKEEKKVEKEESGSGFGAADALKAAGSFFK >KVH97701 pep supercontig:CcrdV1:scaffold_133:136533:146881:1 gene:Ccrd_000178 transcript:KVH97701 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY LTHSFSPSLFVKPKTLDRAFSLSHAINSFDFSLFCTGSWYMDDKDKVDPVAGGFTSDNTWTFQGDYSFFGNDARESSVLNEFGWNFQPPPSVFDRIDSDVVGSSVSLPEVSVGVIASEVQAGSIDEAGDVSISNPLVSTSSSEDRMESSTASGDAAFGGKPPSSERAGKAKKKGPKRIRQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRLSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGLINHHEAVYARQLPPSSALQPANYSRAQFPSEMHAVVTSQSRPQLVSSESGDHMSHRLPQSSSQGSQVGQGLLGDIVPPRMRNQ >KVH97702 pep supercontig:CcrdV1:scaffold_133:161194:162546:-1 gene:Ccrd_000179 transcript:KVH97702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MRSHVIAAAAAAAAANSSESDEKFANLSTLMINGNGNDPSGNGNSSSYGLRENPKKTWRAVGSSTFPFPNEKVCKQCGKGFQSLKALCGHMACHSDKDRGMKDYDHSWTSENLDHDDKVVTDSQSDTDEPELQDPTRVTRSKSKRYKKLVVKPYSFSLANTSNNSNYNYGSSSVSEIDELEQEEVAMCLMMLSKDSTNWAGVGFVVESSDNNSAVLETKSSSIDMRISKKSSVLDAESFQFENSNSGYSRNGTRKVESDISVEELLRNGDRNKNKSKGGRELSYEEKLEIRRNLFREFGYRDPLKKRIRNDDDSYSPELEREASHKKKNKYECLTCNKIFSSFQGLGGHRPCHKKNNLFASSKHNNRENSLEHEYGPTRNPKYEKKIRVKKSKGHECPTCFRMFKSGQALGGHRRSHFINGSIGHIAATENEAPTCSDMIDLNLPAPEED >KVH97700 pep supercontig:CcrdV1:scaffold_133:431419:432054:1 gene:Ccrd_000201 transcript:KVH97700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGLKNLFNRKKKKKKSAEEDNTTHEHESTLPETNPTKLTPETHRANSLDSRIRIEEELEQVFNKFDVNGDGKICSSELGSIMGSLGHQPTEEELKNMIKEVDADGDGFIDLKEFIELNTKDIDSAEVLENLKDAFSVFDTDKNGLITAEELQNVLGNLGEECAIAESRKMIAGADRDGDGMISFDEFKVMMMVGSHFDSVGSKKHEPVNKD >KVH97721 pep supercontig:CcrdV1:scaffold_133:40202:44305:1 gene:Ccrd_000169 transcript:KVH97721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSRPYKKSRSRKFFFCSGRWQRRVSSSAPVRPVEQLTDGIVAPICQEETWFDSMSILGSDSDDDFSSVYGDSLSLGNASDQCPDSTTIQYENAPHFSDGKSGYNNGLHEAHLISDGTENEKFFIRNGYKDSKGSSFFNKSTLDNDLSCLTTVIVLSMNQKPSDGDEKTEICESKRLLFRPRAGLLIPRSMNDKPTPGS >KVH97708 pep supercontig:CcrdV1:scaffold_133:53128:55915:1 gene:Ccrd_000171 transcript:KVH97708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MGEETTDTKREFPIFRDIRRYTCEYCGIVRSKKTIINAHIQSHHQDEIEEKEGDREEDTEGGKLNVCEECGVSFRKPAHLRQHMQSHLLERPFTCPIDDCNSSYRRKDHLTRHLLQHDGKLFNCPIENCKSKFSIQGNMTRHVREIHDDLGSTADDMNEQKQYACLEPGCGKVFKYASKLQKHEESHVRLETVEAFCAEPGCMKYFANEQCLKTHLQFCHQHISCEICGSKQLKKNIKRHLRTHDKVVSKERIKCSFNGCNLTFSTISNLRQHVKAAHFQQKEFVCSISGCGMRFSFKHVRDKHEKSGRHVYTLGDFVEADEQFRSRPRGGRKRKLPTAIESLMRKRVVAPAESIQDISWSVD >KVH97689 pep supercontig:CcrdV1:scaffold_133:274640:291160:-1 gene:Ccrd_000190 transcript:KVH97689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHDVDEKSDGLDILSIGKLYQGPWEKKYWSSSRGTRTVFMDSQLNMICWNASQFLMPAVQKWIADRIRLIQGKDRHPYPVGYVAIRTNNGNTYKMAILEGLKGPEFVISSTNEQVCSGQTPDIAWDGFQKKSSVRIKFWRGKRFSCKMDGAELFGFKNPLVKKLLRELKATFGQITEHSLPSCSGNGKSEGTHQHHTRGTGCHAEKCKCPDLQVRSVKTQGKEKRAKKRKEVNVKSVSGTEHKRGRPQDLTQSGDGPHCRQSCEGNHNKGIPLCSLNSNENNTGPKTMASNENSLSHLVSAEHSPGDDGVQFDFSVSSEHLEKEKFPAAQEASNSHRNASSQLDVSMFTNASGFTSSKKVDGKGDPPVQKDSQMLDDIDLYAPDTLDLVLDSTCNPEEEIPNESNCTREDKLNGAQILVSEKCITESHSKDEIVIAAGSENSDKSDSDPVGQDIAESMMTLLLPRALPLLKTFSRKKKTFPNCGKKDPQAMSQEERKGTNQCPEDDAPGIATTGDAKSTSAVGFDYAAQKRTRGVTGVGAGNMSSMPSADHVAEKGARCFTGGVGDGSVSFTPLAKDSKGDNVTEISATNASASDSQIDKMRSDEKIYRLPNVRIPTGSNGSSCIMATVATHHDDVLSPNYKFTSDVCGASVSCERQSEASSYTELFEIQGHHDIDGSISISQDQRISHCDVTKMVHNNEDAKDESHLNKLTKMVQKDELENIFDFVGCYLHPTPISMVMLKTKGNEVFICVLCGYLMEKDRTLFVYKACIKGERRGCPSFIGHSTIISPISRNACGGQILLDSSSLQFTPDGKCLVLLNDIKTPYCREGNVNCQCSVCTSNCSGKNAVKVVQVKLGYVQAVCKLNTVTTVCCILVCEPSYLVAAEESGRMNLWTMNSTWSESTEHSYLPTSDCMPNYIVEMKRIPNFPALIVGHSIAPKFYCNTITYTTTKRDLTRRILVSKFSAPGTSFLPFIPINIFRCPSQPFSRTDACSKKQVADIMGETQMWSLERDNNASLPVEEEDLSLVLLVSSVSNLDLNDKHSYKDSGVGPVGCWSLALLAKSKLMSEIALDPSATVAGASAGYGIVGTCDGSLYIWELSTGATVSCLVVDDSDSGAFGVAVDGSQLQVYALGTSANE >KVH97697 pep supercontig:CcrdV1:scaffold_133:435385:435618:-1 gene:Ccrd_000202 transcript:KVH97697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTTNNHLLAVEDGEGVVAIHSQVKKIKQELEKTKHPAGIEQSEIRSVLREFSKSQKRCRSPLGISDRPISVGRS >KVH97719 pep supercontig:CcrdV1:scaffold_133:198615:204932:1 gene:Ccrd_000183 transcript:KVH97719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMSHLSLLPAKPSNISNFSSRISPTLALRTSQPVFLSARNSSEKRPVEFSGKQLRYCGWNQLLRPRCSVEIPVVKAAASADGGDREIEGFVSVVHLLVGVTYCLISWSIGLPKRAPINKDLLGVLTPVAACHALGHVMSNVSFAAVAVSFTHTIKGVSMASLTELSFNWLGFTSAMISNISFTYRSIYSKKAMIEGPKLMRYGFSDAIAKVGMVKFVSDLFWIGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFEDCTKGGLEDACLQTN >KVH97706 pep supercontig:CcrdV1:scaffold_133:100463:105126:1 gene:Ccrd_000177 transcript:KVH97706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MMMNSSITLFFFLTIFIGPSISESVSLSISSNSLSKSNNSVTINWSRVDSPSQLDWIGIYSPPNSSLYNYIGYLYLNTSPTWESGSGSITIPLINLRSKYKLRIFRWSESEIIPTRHDHDHNPLPQPKHLLAETEEFEFEQRHGPDQIHLALTGEVGEIRVMFVSGHGKESVVRYGSGPDRMDQVVETRVGRYEMEDMCDSPANQSVGWRDPGFIHDGVMVNLKPGKSFVSPDEDSGETIAFLYGDMGTATPYNTFVRTQDESISTIKWIARDIESLGDKPAMISHIGDISYARGYSWLWDHFFNQIEPVASKVPYHVCIGNHEYDWPLQPWKPDWAMYIYAKDGGGECGIPYSLKFNMPGNSSESTGSRAPATRNLYYSFNFGVVHFVYLSTETNFLKGSKQYEFLKKDLESVDRVKTPFVVVQGHRPMYTTSNEVRDRPIREKMLEHLEPLLVDNNVNLALWGHVHRYERFCPINNFTCGSGPVHVVIGMAGQDWQPIWEPRPNHLTDPIFPQPARSIYRGGEFGYTKLIANKEKLTFTYIGNHDGETHDAVEILASGKVINGEITNMMTDEGKHSDDSRGKSGKTSYSWYALGAAVVLFCLLSGYLVGLRSRAKKETVTNKEWTPVKTTEEA >KVH97716 pep supercontig:CcrdV1:scaffold_133:227249:233139:-1 gene:Ccrd_000186 transcript:KVH97716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MEKGNVMEAPSLSAQDEADAFVKHDYSAQDVADAFVKQYYNILQTSPKDAHKFYKDESILAHPCADGSMKSLTTLKDIDHELMSSNIKDWNPNLSTVYAQDSIMESVLVGVTGSLTDNDNVTRHFTHSFFLAPQNGGGFFVRNDFLQFIEINKISETSLPLDDAANHLIAPQSNDTAKDSSLETAEAPEKDLTKKSTSKKEDGDSSKGKKSLPAEPNKKSEPLTPQPAPNMQDDAKRVSYASIVAKEGSVASIPPASPNAVVRALPNTDRQSSMHLAIPKPSALPAKASTLPSNVATENIYDFKSIRIKDLPPKITHDSLLEARYIKFEDRESEIQYKRSSNQGGYNNMGRSPSGKGGFRNDNFWPRDGEGRGSGSWGRYNEHENGGDPSGQARDYNQRRTRFVQDQARSFT >KVH97712 pep supercontig:CcrdV1:scaffold_133:253489:263470:1 gene:Ccrd_000188 transcript:KVH97712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MESSCSSNDVRKWKAEEAIAGNAEALQALRELITYPLLYSREARKLGLKWPRGLLLYGPPGTGKVFTIFVRECDAHLIVLSPHSVHRAHAGESEKILRDAFAEASSHIKLGKPSVIFIDEIDAICPRRDSRRQQDIRIASQLIMLMDSSATSTSGTKVVVVASTNRVDALDPALRRSGRFDSEIEVTTPSEEERLQILKLYTKKVPLDPTVNLEVLAALCNGYVGADLEALCREAAMCAVKRSSNANEEANVCTLIMDDWKNARSIVGPSITRGVTVEIPKVSWDDIGGLNNLKKKLKQAVEWPLKHSDAFSSGAELFSMYVGEGEALLRNTFRRARLVAPSIIFFDEADVIAAKRGSGSSGSTTVGERLLSTLLTEMDGLEEAKVLHVPPPDLEARYEILRVHTRGMKISVDVDLRQLAEDTEYFTGAELEGLCREAGIVALREDITASIVHARHFQTVRCSLKPALTKQEIDSYATYIKKPTRKPPQQPASVGNQKRKKKWWQELVVPVTISVVGFIVVAEYLILLSLI >KVH97699 pep supercontig:CcrdV1:scaffold_133:414780:423366:1 gene:Ccrd_000200 transcript:KVH97699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MADALSAIPAAVLRNLADKLYEKRKNAALEVEGIVKQLTAAGDHDKITAVINLLTHEFAYSAQANHRKGGLIGLAAATVGLSAEAAQHLEQIVPPVINSFSDQDSRVRYYACEALYNIAKVVRGEFIVHFNKIFDALCKLSADSDPNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSLEIRQQADSALSEFLHEIKNSPINEFVKLGGDQLVPYYADILGAILPCIADKEEKIRVVARETNDELRAIKADPAEGFDVGAILSIAKRQLSSEYEATRIESLHWISALLNRHRSEVMSFLNDIFDTLLKALSDPSDQVVLLVLEVHACIAEDQHNFRQLVVFLVHKFRTDNALLERRGALIIRRLCVLLNAERVYRELSKILEGEADLDFASTLVQALNLILLTSSELGDLRDLLKLSLAYQHTSFVIQSLTEEDINVRFLVQLDKLIHLLETPIFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSYSFNTEQVTFASSSIQTMHISEDGNVNEDPGNEHNGINFASWLRTFKQIQQQHRVYLKSQASSQSSSISSK >KVH97692 pep supercontig:CcrdV1:scaffold_133:377339:394114:1 gene:Ccrd_000197 transcript:KVH97692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MASSTSTSTSIPKPRLENKVAIVTGGAQGIGECIVRSFVKHGAKVVIVDIKDDLGELICKDLGAEFVSFIHCDVSVESDVENAINTTIGRHGKLDIMVNNAGIGDAPKLSILDNDKADFERVISVNLIGAFLGTKHAARVMIPKCSGSIITTASVCSITGGVASHAYTSSKHGVVGLAKNVAAELGKYQIRVNCISPHFIPTLLAMKFFDMDENSTVYSNLKGKTLGPQDVANAAVFLASDESEYMSGHNLVVDGGYSVLNPSFGLLENKVAIVTGGAQGIGECIVRSFVKHGAKVVILDVKDDLGQLVCQDLGVECVSFVHCDITLESDVENAINTTVARHGKLDIMVNNAGIVDEPKLSILDNDKADFERVISVNLIGVFLGTKHAARVMIPKCSGSIITTASICSITGGVASHAYTSSKHGVVGLAKNVVAELGKYQIRVNCISPYVIPTPLAIKFFKMDENSTVFSNLNGKTLGPQDIANAAVFLASDESEYMSGHNLVVDGGYSVLNPAFGLLENKVAIVTGGARGIGECIVRSFVKHGAKVVILDVRDELGQLVCQDLGVEFVSFVHCDVTVELDVENAINTTIAKHGQLDIMVNNAGTCDKLELSILDNDKADFERIINVNLIGVFLGTKHAARVMIPKCSGSIITTASIASITGGLSSHAYTSSKHGVVGLAKNVAAELGKYQICVNCISPYVIPTQLAMKFFNMDENSTVFSNLNGKKLGSQDVANAAVFLASDESGYMSGHNLVVDGGYSVLNPAFGLFSLTG >KVH97722 pep supercontig:CcrdV1:scaffold_133:28422:29405:1 gene:Ccrd_000168 transcript:KVH97722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTPAHTLNLCGVLSESKRIVNAHSRHFLALSLLFLLPLSCSIVIFPTACLPQTRPDVVFSAGDYTLKSPRTLIFPIVYTFVVYLLSICALATITHSTYHGFYGRPVKFLPAIKSIIFSFFPLVSTAIAAKFFIFLISLSFLMFVVVIIKLAQNLGFVIDYNSSYFFWFCAFLGVALSLIMVYFQVNWGLASVVAVTESKWGFEPLWRSSYLVNGMRSVSLSLILVFGVQIGFWVWMASNSLLDFGTIDEWRSWDFVSQTIITSGFLSILTFLLLHYTAANTVLYMYCKALHGELAIEIAEEFAREYVSLPFDDAKVPHVVTVVSA >KVI11128 pep supercontig:CcrdV1:scaffold_1330:108340:112791:1 gene:Ccrd_010466 transcript:KVI11128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSATLPQEVKEEIHGDVKAVEQAVVDTDPPTEEGRTEVKDSSSPHEEKPKIKDSSPVQLPVTEEICHQNESDQPVAIEEVKENVEEEEKPTTEVIVVDKETELPTVEEKETEPVKIEEQVKKVEEEELETPTTEVMALDYETKNPAVETEEKKTEPIDVEEVKKTDKEEKPTPEVSVVDKETESPAVEIEKTEVKEAVAEPVEKKDDKHTDCSVIEEVEKKDVEKEPEAAEKIEIPVQEEVKNTGLKEDQKDNLPPSEEIKTDPETTAEKKKEPIIAEEKEHKQEVADQDSVPKSVTEIAEKNVDEQVDVKEADKVEDLPVKTETVVPKEIPEEKSTVTEQIDNKVEDESKTVEKKDEVEDKEVENGKEETVAAVETKGNGAENETVKETVADSENPKAVNTAETAAKEDEKVVDETKSTESNHKEEEKSATPKQSSSIMGKVKKSFIKAKKAIIGKNQNPKTPPQETKDDVKV >KVI11126 pep supercontig:CcrdV1:scaffold_1330:72644:73110:1 gene:Ccrd_010463 transcript:KVI11126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQXYAQIGMAKEAADAASQTKDGELLGHLRNTLQQSFASSSIFDTLRDRPSFPSVS >KVI11130 pep supercontig:CcrdV1:scaffold_1330:88944:89198:-1 gene:Ccrd_010464 transcript:KVI11130 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MTSSTDTDQANVLAAILSRNAKTEYLKLYNLDGATNRETFKSKNPMVTYKDLQPHIQRVVNGDRSPIYIKTLVPGLIDFPCDDA >KVI11129 pep supercontig:CcrdV1:scaffold_1330:92337:94214:-1 gene:Ccrd_010465 transcript:KVI11129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin head, motor domain-containing protein MRPSPNGWIQNLLLVSRDALAKIAYSRLVDKINSSIGQDSSSKYIIAVLDIYGFESFKTNRCFTVSLCKGYGPTGYALFVAVYAGLEVLAIPAIPFTMSAGLLFGPLNGTILVFISGTVIY >KVI11127 pep supercontig:CcrdV1:scaffold_1330:111367:129296:-1 gene:Ccrd_010467 transcript:KVI11127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGCKDMTSTSTSSHDILLVFMGTKDWNLQASSTQKQAGVPPVALIIFLLGSLLAQRFRSLPDQSLSLPMECSSLLPERESQSFQQLLVLFRHPSFRPLQSLSSPYVYRPSSPQDSWFRNREPSLQSLGFLILLLQPSSLVQFPFLQ >KVH88241 pep supercontig:CcrdV1:scaffold_1331:37670:41780:1 gene:Ccrd_024351 transcript:KVH88241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAITTFFSFSFILVLSLFTLISAKCHPDDEQALLSFKSAITADPSAMLSSWKPATDCCIWAGISCQAPNNRVNSISLSGQPNSYLSGTISSSLSKLRFLDGIYLENTRNLSGPFPTVLFHLPNLRYVYIENNKLSGRLPTNIGNLTRLYALSLEGNRFSGKIPSSISKLTELSQLKLGGNHFTGTIPDGIRQLKNLTLLALDRNKLTGSIPDIFTSFSDLRVLRLSYNRFSGNIPASISGLAPLLAYLELGHNSLSRRIPDFLGKFRALDTLDLSWNRFSGTVPKTFGNLTKIFNLDLSHNQLIDPFPVMNVKGIESLDLSYNKFHLKQIPKWVSSSPIIYSLKLAKCGIQMKLDDWNPSETYFYDYIDLSENEINGSSVKLLNRTDYLVGFWGSGNRLKFNLESLKFPATLKTLDLSRNLVYGKVPKAVTGLNSFNVSHNKLCGALPATTFPASSFAGNSCLCGSPLAPWLWK >KVH88240 pep supercontig:CcrdV1:scaffold_1331:28921:36259:-1 gene:Ccrd_024350 transcript:KVH88240 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1/A1 complex, subunit E MAVVWEGWRQARAEVGETRAERIVSGGAISGGRRRRGVEGGGGGGGVVVEEKKVVVVDRYGGLKWWRGDKSLDEMPQEFNIEKMQLVEAEKKKIREEYERKQKQVEVRKKIFKEAATMDKIDNNSRSYFKHYFREHFLHCYSHEIESHKWDDSYLNVSAALLKSGISTVSFTRHENTMIQTCSVASYTIVIGGGFGSYFLALNKKTYEMARGANSPGT >KVH88239 pep supercontig:CcrdV1:scaffold_1331:119863:121275:1 gene:Ccrd_024353 transcript:KVH88239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialidase MNFGRMVFVHGELTGIDGVNLSDGRVMLAYNTVSRAVLKVAISADDGDLWKDVATLEETEGMEFSYPAVIKASDGPCCSSTQNGAVMFYHIDLKRDIKLVAVLQQDLLGEFWKLIFELVIEALASATLIVVAK >KVH88238 pep supercontig:CcrdV1:scaffold_1331:75787:80060:-1 gene:Ccrd_024352 transcript:KVH88238 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent FMN reductase MAAKPIIKVVAICGSLRKASTNHGLLRSAIELSESIEGMSIEHVDISPLPMLNTDLEVDGKYPPVVEDFRRKILESDCFLFASPEYNYSITGNFDLPPNVWANKPAAIVSAGGGFGGGRSQYHLRQIGVFLDLHFINKPEFVVNAFQPPPKFNNDGDLIDPATKVRLKDVLLSLKAFALRLQQGN >KVH95498 pep supercontig:CcrdV1:scaffold_1332:89435:96760:1 gene:Ccrd_002431 transcript:KVH95498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLVVAAVSDAWSRWFGTGELPTAILFIAAGLSLFWLVRMLTSKNSHPPLPPGPPSLPLVGNLLSLDPELHSYFATLAKTYGPITRLWLGKKLGILITSPALAREVLKLNDTTFANRDVPVAGEEATYGGNDIVWSPYGDQWRMLRKICVREMLCNQTLDSVYSLRRTEIRNTVNYLYNRAGSPVNIGEQMFLTVLNVITGMMWGGTVKAEDRRSLGAEFRQVINEMTGLLGMPNLSDFYPGLARFDLQGIKKKMTVLAKRFDGIFETMIDQRRKMGGDENKDFLQFLLQLEDGGDSKTPFTLKHLKSLLMDMVVGGTDTTSNAVEFTLAEMMNQPQILKKAQQELETVVGKHNIVEESDINKLPYLYAIMKESLRLHPTLPLLVPHCPSQSCIIGGYSVPKGARVFVNAWAIHRDPEIWEKPLEFRPERFMDNKWDYSGNDFNYFPFGSGRRICAGTAMAERMFMLLLASLIHSFDWELGDGDELDMSEKFGIVLKKKVALVAVPTPRLPSATIRMPDLFSILTWFDLQGVERKMKKKLKQLDRIFTSFSDDPIKYSSKRSDKLT >KVH95500 pep supercontig:CcrdV1:scaffold_1332:37962:52001:-1 gene:Ccrd_002429 transcript:KVH95500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MGSWMVGSVAICLLVFVLVVKGGGFGEQKRFWGWEPLIRSPVDLEEDVDEAEADGATRWAVLVAGSSGYANYRHQSDVCHAYQILKKGGLKDENIVVFMYDDIATSEMNPRPGVIINHPQGDNVYAGVPKDYIGEDVTVDNLFAVLLGDKNSVKGGSGKVVDSKPNDRIFFYYSDHGGPGVLGMPNQPYLVANDLIEVLKKKHAMGTYKEMVIYIEACESGSMFEGMMPEDLNIYVTTASNAEESSYGTYCPGMDPSPPPDETHNLKKESLEQQFNKVKLRTSNYDTYNSGSHVMEYGNKDIKPEKVYLYQGFDPETVNLPANGIDFHKKMDGVNQRDADLIFLWQKYKKASESNRTEVGKKITEMLAHRAHLDSSIDTIGILLFGPEKGRLILHSNRGRGLPLVDDWECLKSTTYNTDYIISFQPLQQYKAPVFPFLFSQSLSIISPFSMVSWHLALNFSLLLLILITPSLEGGRSSCQLIGSCSLNVAQDAEDDSTTWAVLVAGSNGYYNYRHQADVCHAYQVLKRGGLKDENIVVFMYDDIAHHESNPKPGVIINHPKGHDVYAGVPKDYTGESVTSSNLYSVILGDKRSVKGGSGKVVNSKANDRIFIYYSDHGGPGTLGMPTSPNVYAKDFIQVLEKKHAAATYKQMVIYVESCESGSIFEGLLNEKMNIYVTTASNADENSWGTYCPGMEPPPPPEYDTCLGDLYSVAWMEDSDQQEANGETLEQQYKKVKLRTYNNNSSQGSHVMEYGTKDIRLESISLYQGFGASNISMFINDDTNVPMGVVNQRDADLVFFQTKYEKMMEGSEEKRDMLKQMNDIKSHRLHLDASVDAIGERLFGSGSGRLVLSSLRAQGKPLVDDWGCLKSMVRVYETHCGALTEYGMKHMRAFGNICNNKVSGAAMEEASIAACSGYDEIGEWSPLKKGYSA >KVH95499 pep supercontig:CcrdV1:scaffold_1332:56866:59474:-1 gene:Ccrd_002430 transcript:KVH95499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLALGIAIVSASASTSTSTAAFTTATINRCRTSRNFRTKIRCIGWDPEGILGPPSTGHLARREFNRRLEKDADAREEFQRQVQEEKERRRALRDSRQPPSSPTELVEYFLDTEAQELELEIARTRPMLNDEFFNHLKFELGQLRFAVSKTEDMEDRVIELEALQKALLEGTEAYDKLQANIVKARENLTKIFTSKDVKATLLDMVEKNEINRSLLALLDENIASAHKGNQKQAAEYMEKIRGAVRKYFTV >KVH95502 pep supercontig:CcrdV1:scaffold_1332:911:4332:1 gene:Ccrd_002426 transcript:KVH95502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructofuranosidase MTSSSDLENPLAASALPHSYAPLPDGEQPAGNNPTIRRPRKAALFLVSAFLVLLFVVALIAGNGPVQRKDLNTEVSLTAPEKVTPLLSRGVEKGVSEKSFHPLLGADDNSYPWSSNMLDWQRTAFHFQPKKNWMNGPVFYNGWYHLFYQYHPDAPVWGKIVWGHAVSKDLINWRHLPIAMETDQWYDEEGVWTGSATILPDGQLVVLYTGSTNESVQVQNLAYPADPSDPLLVKWVKYPGNPVLVPPPGIDSKDFRDPTTAWKTPEGKWRITIGSKINKTGISLVYDTEDFKTFELLDGLLHAVPGTGMWECVDFYPVSKQNENGLDTSVDGPGVKHVVKASMDDDRNDYYAIGTYDAHKGKWTPDNPTLDVGIGLRYDYGIYYASKTFYDQNKQRRVLWSWIKETDTEASDINKGWASLMGVPRTVVLDKKTQSNIIQWPVEEINRLRTNVTVFKDVVVEAGSIVPLNLPAASQLDIVAEFEIDEKTVNRLNGDDVGYDCVKNGGGAQRGALGPFGFSVLATEGLKEHTPVYFYVAKGVDGNLQTFFCADQSRSSTANDVDKSIYGNIVPVLKGEKLSMRILVDHSIVESFAQEGRTCITSRVYPTKTINDNARLFLFNNATATKVTASVNVWQMKSAHI >KVH95503 pep supercontig:CcrdV1:scaffold_1332:8147:10543:-1 gene:Ccrd_002427 transcript:KVH95503 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MSISSSTTSPTVPQLFHHLPPPSCSDQLCYVHCTHCDTVLAVSVPCTSLFKTVTVRCGHCTNLLPLNMPGLLLPPPVNQFHFGHTNFFSPSTHTLLDDQIPNGESNFLINQNYANDFSLTARGGFRELPKPPVTNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMADQTNAANKTSMRQQDYHTDRGFMKDGLFAASANVGVSPY >KVH95501 pep supercontig:CcrdV1:scaffold_1332:34906:35403:1 gene:Ccrd_002428 transcript:KVH95501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MNKIRGFRIRHKLVKLIKRGLHRRQIHSDYLRLNPPTYTARAISKLCGFARSLKKNICCRISNSNYIRLGEEEQNPIPKGHLAVYVGEKEDAAHRVLVPVIYFNHPLFGDLLREAEKVYGFNHHGGIHVPCRISEFENVQTKINAAGGCGGSDGFRPRRSWRLTL >KVH95496 pep supercontig:CcrdV1:scaffold_1332:118008:126805:1 gene:Ccrd_002433 transcript:KVH95496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNSIWSWWSEVISNKYDLTLVLLIFSVITLAVSWYKSAKFPSPPGPRGLPVVGYLPFLGVNLHHEFTEMAQLYGPIFKLKLGSKTHVVVSSSELAEVVAREQDDIFSNRDPPAAALEISHGGQNIVWSNNNSHWRNMRKTFVYQVINGKNLEASRTFRRGEVRKTVKHVYETMGTEVDFGRIMFLASLNVVTSLLWGKSLVDGEKSDDLGVELRQVLSKIVELLGTXNVSDXFPVVARLDLQGVVXEMKRQRMVVEGIFDRXINERMXSKVEETAEQKGRRDLLQILLELKEEKTASSFNITQIKALFMDIILGSTETTSIMVEWIMAELLQHPKIMKKVQDELEEVVGLSNIVEESHIPKLYHLDAVIKETFRLHPPLPFLVLRSPTQSCKVGGYIVPKGSNVYLNVWAIHRNPEYWDNPLEFTPKRFINPDGTTKFDYDGHNLKYFPFGSGRRKCPGIRLGEKMLVYLLASLLHSFDWTSPNDKELELSDKFGIVLKKRNPLIAIPSQRAWWEKRGGKGWWWKGFSTGSLMRGWRDTILGATDTTSTMVQWIMAELLRHPKIMKQVHNELEEVVGLNNIVEESHIPKLYHLDAVVKETFRLHPPLPFLLLRSPSQSCEVGGYTVPEDSNIYLNVWAIHRNPEYWDNPLKFIPERFINLDGTTRFDYNGYNFKYFPFGCGRRKCPGIRLGEKMLVYLLASLLHSFNWTLPNEEELELSDKFGIVLVKTQIFFFS >KVH95497 pep supercontig:CcrdV1:scaffold_1332:96050:98682:-1 gene:Ccrd_002432 transcript:KVH95497 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MISNSMWSWWSELTGNKEELTLALLAISIITVVVSWYRSRKHTFPLPPGPRGLPVVGYLPFLDVNLHHEFTKMAQRYGPIFKLKLGSKTHIVVSSSDLAKVVVREQDDIFANRDPPVTGIAITYGGQRITFLSSLNVVTSMMWGKSLVDEEKSKDLGVELREVISKIVELLGTANVSDLFPVVARLDLQGLLREMKRQKKVLEGIFDRIMNERIATKVEEGGEQAGRKDFLQILLELKGENTASSFTFDQIKGLFTEIITGGTDTTSTMAEWIMAELLQNPKILKMVQDELEEVVGLSNIVEESHISKLYYLDAVIKETFRLHPPLPLLITRCPNQSCSVGGYTVPKGANVYLNVWAIHRNPEYWDNPLEFNPKRFINPDGTSKYDYNGYNFNYIPFGSGRRKCPGVRLGEKMLVYLLASLLHSFNWTLPTDKEHDLSDKFGIVLKKRKPLIAIPSQRLSDKNLYE >KVH88237 pep supercontig:CcrdV1:scaffold_1333:18059:18826:-1 gene:Ccrd_024354 transcript:KVH88237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MDQRTTMEKSSVMNHSNSLKDQKNGGRSATNGIIKDSKAATDPYSWGNITDQDSLGDGGDLLGGFSWPPRSYTCTFCKREFRSAQALGGHMNVHRRDRARLRQMPSSRDLPLHPQSFSSNYSLLNLNQDPNPNPNPNLTFSPYISSNLSCNPTMFPSFSSKSMLSPLISPSPSSLQPNLPCLSPSYRLRPIGSANLTMMKSQIPSFGVHKYDGFLNENDNKIVKKSEIIRLNLEIGLLGESKSDDLDLELRLGVS >KVD98074 pep supercontig:CcrdV1:scaffold_13336:1:1112:-1 gene:Ccrd_024355 transcript:KVD98074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MVGYIFSLFFPNKIAGIITLGIPYMPPEALQQLQTLPEGFYMRRWQEPRRAESDFGRFDAKSVVRKIYILFSRSEVPIASEDQEIMDLVEQSAPLPSWFTEEDLETYAASYGKSGFLTALQVPYRSLLERVEPPNHDPNAPIVKAPALFITGEKDFFFSFPGMAEYLKSGIKKYVPNLEIMYLPEGSHFV >KVH93699 pep supercontig:CcrdV1:scaffold_1334:49796:50712:1 gene:Ccrd_004250 transcript:KVH93699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQVVSPLLPSSYFFSKISQSKHQIPSKSFSLQHYKHPQFSLVSSLSRINFKQHSSFGRFTNTCSAHDSTSTTNEKSEFVKEKSASIILLAGGGQGKRMGLIQRAHSTLGS >KVH93698 pep supercontig:CcrdV1:scaffold_1334:45026:49550:1 gene:Ccrd_004249 transcript:KVH93698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MTIRLFTGYASQSDDSAIHKIPFPKFNFDLGLKIILPISSQSQSAIRPSLNSSSLASRLPPLASLADELTNRNQFKIHESKSQSALTQSALDLALCLSRVSTIDRLSDSRSRSRILWLVRSHLLFGFKAVKNNSSGATPKSVLELMDVKDLTLSHVKSHLQHHKNKFWGFMDFGINIFKLRDYFMINVDC >KVH93697 pep supercontig:CcrdV1:scaffold_1334:3389:25293:-1 gene:Ccrd_004248 transcript:KVH93697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MIDGLPILRLVFDCCFTANAWEQENYKSYVGNTVGQLKDYYPDASILIFNFHKGESSQIASALADYDMTIMDYPRQYEDCPLLAMEVIHHFLRSSESWLSLGQQNILLMHCEQGGWPVLAFMLAALLIYRKHYTREDKTLDMVYKQAPRELLHFFSPLNPLPSQLRYLQYVSRRNVATEWPPLDRALTLDCVIIRMIPDFDGSGGCCPIFRIYGQDPFFPGDKTPKLLFSTPKRSKYVRRYKQARFSVLYLVHNSISRFQLSHWRSLHDDLDHEKVMYRAVFNTAFIRSNILMLNRDEIDILWDAKELFLEDFRAELLFSDMDAAASTIPVDLACFEEEGLPIEAFAKVQEMFSSVDWLAPKSDIALNVLHQLAASDIVQEKVETGSRRSVEARNLLHSQTPDAYQDKESTTSFKNKPKSTSYMVMEEPSSPDAGGLKVDGQRRDVEKQKLVPPLPPESLSSPPLSPSLPVEKTSALHQEPSSSPLVATDQKSASSLVSGTKPPMPTPTSPRPSASSPPSLPSSQPSQEVTDVKVKPSPSLKDTEDTKVDPSQLRPSTTTPPLKEKRGSVLEPARSPSTCNVTGSPFPPSILHPSPLKKDKTDAKVEPSQSLTSPPTDPQTPPLKDKRGTVLEPSQTTSHPQPSAPASSLVNDNRTRSGPPQAPLLPSTSFREDQAVRTETTSAAPTASVKDRPVTRADPSPPPPPPPPPPPVRDKRVASPVPSPPLPPPPAPNSSSLHLDTASQISSGPPPPPPPPPMFSANGNAAGVIPPPPPSIPRAANVSGVPSPPPPLVKGRSLSRIGNSKNQQSTKLKPLHWLKLTRAVQGSLWAETPKSGEAAKAPEIDISELESLFSASNPKAEAEKSKSKAAVANKPEKVQLIDHRRAYNCEIMLSKVKIPLNELMVRPTIYLLVILLDHVLALEDSAMDADQVDNLIKFCPTKEELETLKGYKGEKDKLGKCEQFFLELMKVPRTESKLRVFSFKLQFNAQVSDLRKSLNIVNSAVEQASYLPTRSSGKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTETRAKNNRMTLMHYLCKIKKLYDENFSSASDMRFTTNANPNKDCVRHQEGADYGITWLNENMHIECAAERMIMLRQEHESLMKVLADKLPEVLDFSKDLDSLEPASKMQLKFLAEEMQAISKGLEKVVQELSLSENDGPVSENFRKALKDFLRSAEGEARSLASLYTIVGKNVDALILYFGEDPARCPYEQDPKLLECMQEEKREGQTSSQDLTSAPYDRFISVVSTLLKFVRMFNQANEENAKLLEAEKKKAEKEASNEKMKQKKSDIVSEHMSQSPVKSQYTGLGFFQQHRAQVFLLEFPV >KVD98073 pep supercontig:CcrdV1:scaffold_13343:6:1022:-1 gene:Ccrd_024356 transcript:KVD98073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTRHAIKHMNQGSSIINTASVAAYTGSPKFLDYGSTKGAVVSFTRGLSQKLVDKGIRVNGVAPGPVWTPLEVAALDDEDIVKFGSEVPMDRAAQPCEIAPSYVFLASEDSSYYTGQVLHPNGIILHT >KVD98072 pep supercontig:CcrdV1:scaffold_13344:1:1079:1 gene:Ccrd_024357 transcript:KVD98072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase VDEVIAKYGRIDVLINNPAVQYETYSLEDITEDRLERVFRTNIFSYFFMTRHAIKHMNQGSSIINTASVAAYTGSPKFLDYGSTKGAVVSFTRGLSQKLVDKGIRVNGVAPGPVWTPLEVAALDDEDIVKFGSEVPMDRAAQPCEIAP >KVI11331 pep supercontig:CcrdV1:scaffold_1335:75825:77742:-1 gene:Ccrd_010260 transcript:KVI11331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAANNDNGKAPHSPIPIEKFYFQGREIKFILMLKCISANDLLNPQSFQRCDERSGEAVKT >KVD98071 pep supercontig:CcrdV1:scaffold_13361:2:463:-1 gene:Ccrd_024358 transcript:KVD98071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MYLPFLFLLPLIILSCYPHFATSSSPQNVLTKGSSLSVENNHHVLVSPNKLFTAGFHQIGQNAYCFAIWFSEPMSDGNHTLVWMANRDKPVNGKRSKFTLQKTGNLVLTDAGQMIWTTDTKSASSLQLQLIDTGNLVLKQSDEEPYLWQSFSFP >KVI08308 pep supercontig:CcrdV1:scaffold_1337:40290:43462:-1 gene:Ccrd_013323 transcript:KVI08308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAACRRIPKTIFSNFLSRSSTGYPSPFSFGPPSTLISRRGIASKLYIAGLSFYTTEKALLDAFSQYGQVVEATVMMDEVSSRSKGFGFVTYASGDEAEKAINEMNGKPLHGRIICVELAKPRRSKGSGVPIARGPPEPPKEQQ >KVI08304 pep supercontig:CcrdV1:scaffold_1337:16127:19528:1 gene:Ccrd_013325 transcript:KVI08304 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MSLTHLSSNHLPETLWYPYPIMDSSPKFPIDHHPHPPPTAFNFNLNPHYDDNQPQDSNCKQPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGGGDSAPAVDQQKGLLLSFEDETGKPWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDVVLFERHRFDCDRLFIGWRRRPAAMPMLDSVPVAQPTGGGGGGGGGAGWTTSGFYNAHYNYPSHHLPLSLPHPYKPDCLHAGAGGINDNVEKKQRDMVAASGNPRRVRLFGVNLECPDECEPSTPDGSSHQGGGGAGGQPHYQYHNNLNLNQNQDMNINFSQMRNQQHQG >KVI08306 pep supercontig:CcrdV1:scaffold_1337:61047:63368:1 gene:Ccrd_013321 transcript:KVI08306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, C-terminal MQDFGMAKGKEEKKAKKRQRLAKEFTELLYHIKEITASSTWKECQQLFEESSKYRDVGDESLAHETFEEYVARLLEKAKRNNRSGKVSSEFSDSFWTDEEAIMLANDSKYRLGCAVFPSNQHRAKAIASQIHCGAYARIGMAKEVAASQTKDGELLGRLRNTLQQSSASSSIFDTLRDRPSFPSVS >KVI08309 pep supercontig:CcrdV1:scaffold_1337:44013:58043:-1 gene:Ccrd_013322 transcript:KVI08309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dcp1-like decapping MSQSGKLMPNLDQNSTKVLNLTVLQRMDPYIEEILITAAHVTFYEFNVELNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTGTLSVIYYLVGFCFYWMTVSDSLFMRFLCVSLWMKAESGSLGILDVYATIILLEFALPVFRSQLRNRLFAYAMTAECSDDNLSVKTLRLLMIENLVENLLGDFEFELQVPYLLYRNASQEVNGIWFYNSRESEDVANLFTRYLFLFSFLCVTLHLFLVDTRCIFKGSPEFQSEQKVGGITLCWKPSRVCNKEAVPTSAVTEPPFTTPSSIDVPDDSSFVNFFSTLGECWNAQRCFLLGCLFLLLGMANLKMLLDGKSAINLGHTSSIPFSSVKPYHNSTMASPATPSPLLNGPTMQIPSLAPSTPAMPLRVDPESVNSSNLVTNLFKPLSFFTPSSSLSPTMPQPISSIPVTALQPPLNIQRSHGVPLLQPFPPPTPPLSLTPNSTSPPNYGPLGREKVRDALMLLAQDNQFIDMLYQALLKANQKS >KVI08312 pep supercontig:CcrdV1:scaffold_1337:99461:109838:1 gene:Ccrd_013317 transcript:KVI08312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRRGNLYHEPTIKPGLGTPRCPRCLSLLDSHSDKGEWTITPVLHDATAVAGCGLGGMLSAFYGLNTGMPYLQKHVKGPKWLPFVIGIPPLLMFSAASAALGGYGLPNFTQLSVTSYYAASSASHHGISLVTRYIEDTYMNRSDQQRLR >KVI08311 pep supercontig:CcrdV1:scaffold_1337:78444:82111:1 gene:Ccrd_013319 transcript:KVI08311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MPGAEGGPPEVTLETSMGSFTLEMYDKHAPRTCKNFVELSRRGYYNNVKFHRIIKDFIVQGGDPTGTGRGGESIYGSKFEDEINSKLKHTGAGIISMANAGPNTNGSQFFITLAPAQSLDGKHTIFGRVCRGMEIVKRLGSVQTDNTDRPIHDVKILRTTVKD >KVI08305 pep supercontig:CcrdV1:scaffold_1337:29527:36678:1 gene:Ccrd_013324 transcript:KVI08305 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MDLHQESDHPQKLHHLFSLSFPNKKDVAYSFILFISLLITSIVFCNLVTPFYPHLLLPFSFSRTPNASPCDYSYGKWVWDENYPTRKYSEDCPFLDPGFQCRRNGRLDVGYVKWRWQPHGCNLPRFDAKDFLERSRNGRMVFAGDSIGRNQWESLLCMLTHGVSNRSTVYEEHGNPITKHRGFLSIRFQDYNFTIQYYRVPLLVVIDDPPENASRQVRRAIRVDKLHRFSSKWVGADVLVFSAGHWWTQDKTLKMSTLKSWALKNCDPKTSHVFFRSYSPVHFRGGEWNTGGHCDLSNAPETNHLKPEVNELVNNQIIENVVKEMETAKHKVQFLNITYLTAMRKDGHPSRHREPGTPAKAPQDCSHWCLPGVPDTWNELLYAQLLSSGYKAW >KVI08307 pep supercontig:CcrdV1:scaffold_1337:67766:72869:-1 gene:Ccrd_013320 transcript:KVI08307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission ELM1-like protein MHRTVRRAVIIGNGFAGAENQCYGLIRALGLFNRHSFYRVRRPKDGISKWLNWLPVSTHKRLDQLKRQLYDRQFIFSGHGQKAAARLGELMPHSAVNAGADQSDIQEADAQLIATNASENFEKDGPLLVVASGRDTISVASSIKRLAPHHVFNIQIQHPRSHLNRFDLVISPCHDYYPLTPEGKKHIPWFLRMWITPRQPPGRNVVLTLAALHQVDSAAVQSAASAWHDELASLLRPLLVINIGGPIRHCRYGADLARELTASLINVLPTCGSVRISFSRRTPKQVSEIVVREFHNHPKVYIWDGEDPNPHMGHLAWADAFVITADSPVYVIGAERCTWKFAYFQKCLQERGIVRPFTGKENISETWIYPPLRDTAEAAEHVIEALAGRGWKLHT >KVI08310 pep supercontig:CcrdV1:scaffold_1337:87857:89614:-1 gene:Ccrd_013318 transcript:KVI08310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21e PSNQPSHKARHSFIVASARFCFVCDRSTEGKMPAGHGLRSRTRDLFARGFRKKGTIHLSTYLRTYHVGDYVDIKVNGAVHKGMPHKFYHGRTGQVWNVTKRAIGVEMNKQVGNRIIKKRIHVRIEHVMPSRCTEEFKQRVKKNDQLKAEAKAKGEVISTKRQPVGPKPGFMVEGTTLETVTPIPYDVVNDLKGGY >KVD98070 pep supercontig:CcrdV1:scaffold_13370:15:131:-1 gene:Ccrd_024359 transcript:KVD98070 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MIGEGKVVCVTGASGFIASWLVKLLLDRGYSVHATVRSL >KVH88236 pep supercontig:CcrdV1:scaffold_1338:52440:56246:-1 gene:Ccrd_024364 transcript:KVH88236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEEEDEGMDSYRKGGYHAVRVGDTFAGGRYIAQRKLGWGQFSTVWLAYDTQTSKYVALKIQKSAPEFAQSALHEIEVLSAITEGDPESKKCLIRLVDHFKHTGPNGQHLCMVLEFLGDSILQLIRYNRYKGLPLHKVREICRCILTGLDYLHRELGIIHTDLKPENILLLSTINPSKDPIRSKNGPILSRPEGGMNGGPVINAIEKKLKQRARRAVARISARRVSMGGGTPAAKPERCLDGIDFRCKVVDFGNACWGSTPIAEEIQTRQYRAPEVILQSGYTYSVDMWSFACTAFELATGEMMFAPKIGQGFSEDEDHLALMMEILGKMPRKISVGGLRSKEYFDRYGDLKRIRRLKSWPLSRLLVEKFKFSVNDAREFAEFLSPILDFAPEKRPTAEQCLQHPWLNKTSESGVDKVDAEMSKLQIKADK >KVH88235 pep supercontig:CcrdV1:scaffold_1338:96691:114118:1 gene:Ccrd_024365 transcript:KVH88235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAGGAPNMDQFELYFKRADVDQDGRVSGSEAVSFFQASGLPKPVLAQIWTIADQNRTGYLGRVEFYNYLKLVTVAQSKRDLTPDIVKAALYGPASAKIPAPQINIGALAAPQSNLNVGPPTPQMVGSGPAASQGIGIRGSQSYSPQQSQVMRSPHSPLPNTTFQSQHGVPGGGMMLTSRPSGSTLSVQPRPGVSSQLPGTPLDVFGLASSGSTLPAQPRPQGPTSLLQNAAPKPNGPNLSASVPGAKDSSSPVAGNGFASNSAFGDTFSAAPSQAKTNSVVTAPSASGLPVSPPNIPPSGGTQLPNKPSQPFHSNVSHPPTASQNQQMHSTFRPNQQVPVQNSSALPVRAENFPSSQSSQPWPKMSQSSVQKYTKVFMEVDTDKDGKITGEQARNLFLSWRLPREILKQVWDLSDQDNDSMLSQKEFCIALYLMERFREGRPLPKVLPASIFEGTPLPVSGQAPATYGAPLWRPPPGIPQAQGATVPRQVTPAAARPPRPVPVPIPETDEDMQPRQRKHTVPVLEKHLVDQLSTDEQKSLNSKFQDATEADKKVGELEKEILEAKQKIEFYRNKMQEIVLYKSRCDSRLNEITERVSTDKKEVESLSKKYEDKYKQAGDVASKLTIEEATFRDIQEKKMELYRAIVKLEQDGKPEDIQARADRIQADLEEQVKSLNERCKMYGLRGKPTSLVELPFGWQPGIQDGAADWDEHWDKFEDEGFTFVKELTLDVQNVIAPPKPKSLPLQNKSTFRDNGSTTVSASDANHKPEKLTVAEDKTPDNESTEQKKDSSGKTPPDSPASRNAAETPPKIFQDVSVEKNTGEDNSPHAIKTQSKHLDTQTGDKAFDEAGWGTFDTHYDSDANWDFNATKSKDIDQESKHENSFFDSSDGWGLNPIRTEVPKKAVFDSVPGTPAYSYAGSPPGDSLFQNRGPFSSAFADSVPSTPAYSYAGSPRGSFQQPFASVFADSVPSTPMFATDSPRRFSDGTEDHSFSNNFSRFDSFSSSTATHDGGLFPPRDSFGRFDSFRSTAQDSEYDQGFSQPQSVARFDSIRSSADSDFGHSLFQPQESFSRFDSMRSTTESSDFNHGFPSFDDADPFGSSDPFRSHDPFKTAVESETPRRDSVDGWKAF >KVH88230 pep supercontig:CcrdV1:scaffold_1338:40042:46029:-1 gene:Ccrd_024363 transcript:KVH88230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTFLRCPGVCGIKKEKEEGNASGFESGKDGEKNYLVDDLRECNTNFARYGHKDPFIDHLLIGM >KVH88234 pep supercontig:CcrdV1:scaffold_1338:114427:116556:-1 gene:Ccrd_024366 transcript:KVH88234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein FLDPLLKFANSHRKLFSFVVAKPTATGKNENDATARRMTVVDTGYGIGYHLLFQLIESKDRAMEAVDVLERVEKKGGKIDVVAYNTLVKGFCNMKKAKAARRFLKEMELKGCLPNADTYNALISGFCELGMFDSALDMFNEMKTVGITWNFTTYDTLIYGLCSGGRIEDGYKILELMEESRSGSLGGYIRPYNSIIYGFYKANRMDEALDILTKMATKFPRAVDRSRQILTFCEEGRIGNAKKIYDEMIQEGCIPSILVYITLIQSLSQENLLREALEMMKEMLSCGYVPDGLTVNGLVDRLCEEGKIGSAMKFMEDMVGRGWLSDSGSYSRLIYEFCNRGDVHKGLMLFMEMVEKGNVPNYIAWNSVVECLARESGWLQGKNLRFVNDLLEWILET >KVH88233 pep supercontig:CcrdV1:scaffold_1338:118167:118634:-1 gene:Ccrd_024367 transcript:KVH88233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MATLKEILKWRPVVATVRLTVPAGGAKPGPPVGPAIGQYRLNIIAFCKDFNAKTQKFKPDTPIATTVKVFTDNTFELSVRSPSVTWYLKKAAGIESGSRMPGHVVASRLTLKHIYEIAKVKQSDPYCQYMSLEAISRSIIGTANSMGIKVVKELD >KVH88231 pep supercontig:CcrdV1:scaffold_1338:36146:49023:1 gene:Ccrd_024362 transcript:KVH88231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANTCDAGHLDSNVLLPPRKRLLACMKKQNGDVSGNGNGNVNGNSYLPSTSSPMINFNTRINNMLSAHLSDDNPSQEEIVEAARSAAEVAVKIAIAARAAAQEKAVIATMAMAAAKKALELVAAIDEQETSSSSEQQLKKNKTKKRVEVQMLYDNKKPRVENDKTSDEELARQLHQVINSSPRISKYGSAPDLKDYEHKRLKIPTISENGRNNDQSVVAEENSPSSSNRNKLICERVAHIVDVDVNATKISKVDISESENGDSRRIHSKVKFGEDDSSTLGRKRGRMKQKKLPLSICHDRDQLNPKEDRRWRSSELVDKNIGKVTTAKDKSLLSVGPSANSVMPIERSSLWKCQSFKAAARLKQNKTMQS >KVH88229 pep supercontig:CcrdV1:scaffold_1338:120067:123912:-1 gene:Ccrd_024368 transcript:KVH88229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGPGTDVWPQCTSLDIGYSKECAPAMEEDEEPEDSDNINQPKAAKPPRNLQPMRHCISQAMLAGTSDSKSTNEGTGLKSQQNERSPYLPVFRSGSCSEMGPKPYMEDEYICVFDGHGGIDAASFTKRNILEFILEDSSFPKAVKKAVKNAFAKVDHALADTRSLDNSSGTTALIALILGRAVLGKRGRAIELSTDHKPSCTSEKQRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSDGPLSAEPELEEVTLNEEDEFLIIGCDGLWDVMSSQYAVTIVRKELMLHNDPEKCSRELVREASKRNSCDNLTVVVVCFSLDPPPKIEIPKMHRRRSISAEGLDVLKGVLGNV >KVH88232 pep supercontig:CcrdV1:scaffold_1338:24638:28745:-1 gene:Ccrd_024361 transcript:KVH88232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQMVSSNLSKYGLGNCATIMTSSDSQPVPTMRDVQNDNKNGPFDNSALQKDEAVTQPFQAKSPPGSTSNNNNVNRNLVYARRKSDAELSNSRTSDKNRISSYQQLGDQNHIPHTEAKIKANDSVPSFSRTPANSAAARIRNCENEQSVILNSPAMDNWNARFVQLQKYLKQCDSSNPEVYLQKVRSFSTDECSRRAVELERRAIQLMLEEGREIQRVKDLNVLGKSAANHPLLRPMAAVFKSEKLV >KVD98069 pep supercontig:CcrdV1:scaffold_13387:278:771:1 gene:Ccrd_024369 transcript:KVD98069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MSIDQEFLRHLNPPQVVVIREYKEETDKAAVEALESRCDFRQRRKPSLVTNLLGDPLCRVRHFPLHVMLVAEHGEEGEIVGGIRGCIKTVSRGEKHPVYIKLGYVLGLRVSPTHRSLHSLHFPN >KVI11133 pep supercontig:CcrdV1:scaffold_1339:67883:113035:1 gene:Ccrd_010458 transcript:KVI11133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MQFRVGDTSGSDQFEYGRVRNVVRALGTQIESRETARRLDQTGDGEKLRKRVESSREEESERGKGVRCNTQQQVRQSHAEAIRKAKRFRAGSEGGFNHPTLSAIPDVFFVFGLQLCLGNFVRFGSVFFSRPEPAQPELYTLVGGESFAFKLLTTYTYSSSDLSSSESFMETTPSRELNKEEVVVNVLEPKKEPPRATWPPPMAIPFPPREAILTGIVGESGEIFRSPAALARLKVYEGVPTPYNRKKRMVIPDVLKVLRLTVRHKYCLLGQLSSEVGWNHYETIKSRRLDQNQRKDKKRKQEQTRRNSMRILQQLRETRTRINENDKTVKCRSDPFNCRERSETRPKKPTCY >KVI11134 pep supercontig:CcrdV1:scaffold_1339:115015:115727:1 gene:Ccrd_010459 transcript:KVI11134 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MVEARKEAXIVMFGAIDELLAKTGVKVKDIGILIVSCILFCPTPSLSSMVLNHYKLKGNILSYNRPDGTKKAYVQLTPDYDVLDVANRIGII >KVI03400 pep supercontig:CcrdV1:scaffold_134:139000:142260:-1 gene:Ccrd_018303 transcript:KVI03400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor, TFIIS/CRSP70, N-terminal, sub-type MAVTKSAARMDYWREFFRTANADIFEIIEGAIMMAASDCPKEFRIRRDGIAQILNDDDYDDDNDDDDEDDNHHHHHQQQEDVELNENNHQISNYTYGDAEALTDAMEAESQIFDEVMRIKEIVDNSPDESTSVLCNSLRKLQLMAISVDTLKATEIGKSVNVLRKHALKDVRQIARTLIEVWKGMVDEWVNATTKIAASDDTPESINPSVLDEEDGLPSPPLDDLSFLNPHSMSLELSEFFDGMDDYGNPRKSGEFNKNRNNDGKPPVQKQNVSKWKQQKPSNEQTVIPKEDTDSFLAKQRTFVKPSKPPIANSSLRPNMERKLQNPGNPTLPKRPLAPQHRKLQERYQQAENAKRQRTIQVMELHDLPKQGMPLKNQHMRPGNNHNRHWGHGRT >KVI03406 pep supercontig:CcrdV1:scaffold_134:344819:346408:1 gene:Ccrd_018290 transcript:KVI03406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDDSNWFSKWEQELPSPEDLMPITQTLITPDLAIAFDIRSPHTHHPPSLHHHQQQPPPISTPSSQPNSGEFDSPELGGGGAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGSGGNGSGGDPATDHLFASSPVPAHFLHQGRPNSEHYLPYVPVAALQQQQQMAAAAAAGHHPQLQPRYGHVGHFGSPRNGQFEHPFLNRQSQQPIHRVGTPIHNSVPSSYVDDLESANGRKVLTLFPTGDD >KVI03399 pep supercontig:CcrdV1:scaffold_134:156631:159535:1 gene:Ccrd_018302 transcript:KVI03399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, superfamily 1/2, ATP-binding domain-containing protein VVDTRAIQVGHIEKKVASVLAPLIDSNLVHVEGIVPLPSTPINGVRSKLPCHIHIFAKIEAFETVKXSXSXCGLYLISQNDSLFSTSESMVVKEKKKSDVDEVFKLVDENVSKSRVMGTMEPPKDVILPDLFLHQKEGLSWLHHRENSDELPPFWEQRDDGFINLLTNYQTDKRPEPLRGGIFADDMGLGKTLTLLSLIALDKCKISNDGTSELMGIEXDQSVVQIGKKSRTRTVXCSKKQKTDDTLLDERKKKERIGXKMTLIVCPPSVFSTWITQLTDHTKRGRLKAYMYYGERTQDVSELQNYDIILTTYSTLASELNNSNAPIKKIEWWRIILDEAHMIKNDSAKQSKAVSMLNAKRRWVVTGTPIQNGSLDLFSLMAFLRFDPFSTKSYWYSLVQRPIAQGNDKGLSRLQALMATISLRRTKEKTLIGLLPRKTIITCNVELSVDERKVDCDCIQTELADASNNPKLLQKLVLMLQDGEDFDCPICLAPPTQIVITSCAHFFCRTCIIGALNHSISVCPLCRCQLSESDIFSPPPEYATEPNQAGSSSSCQPSSKVAALLKLLEASRNENPSIKSVIFSQFRKLLLLLEEPLKAAGFKTLRHFGRWLTRGGRTSLIGLGGILGWW >KVI03409 pep supercontig:CcrdV1:scaffold_134:266830:273941:-1 gene:Ccrd_018293 transcript:KVI03409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVSPEVDDETQTQAVLSVSADVSFASNQFPKYKLGPDNQILDEATVDSKGPPLKEVVAQETEQLSEQHRRLSVRDLASKFDKNLSAAAKLSDEAKLREVASLEGHVLLKKLRDALEYLRGRLGGRNKEDVEKAISMVEAMAVKLTQNEGELIQEKFEVKKLANFLKQASEDAKKLVNQERSFACAEIESAKAVVRRIGEALDEQERVSLTSGKQEMEEMMEEIQQARRIRLLHHPCKLMLKKWAEENKLSLYALGDSGNLGSIIRLQPCSEEAMELSKCSIQWYRLSSQCSRRELISGANKSVYAPEPIDVGRILQVDIISNGQKSTVTTACPVQPAVGLEGYVETLSQKSNSEFSVVISQMNGRNYSSHSAHLLRVGKTRMKLSKGWITKARDAYSSTSMQICGFRGGGNLAAKSLFWQSRKGQSFVLVFESERERNAAIVLARRYALDCNVVLAGPDDQASLYLL >KVI03398 pep supercontig:CcrdV1:scaffold_134:95713:96445:-1 gene:Ccrd_018305 transcript:KVI03398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVMVQIRLERNLLQTLKVKACLQISREAYNIYQGS >KVI03405 pep supercontig:CcrdV1:scaffold_134:205264:205621:-1 gene:Ccrd_018297 transcript:KVI03405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I25, cystatin MYRAALNSKLEFVRLVDVKEQVVDGKMYYLSFEANDAGNIKLYEAIVLVIPWRDNYREVEVFKAIDEAHVGSPEHNPFNLEG >KVI03407 pep supercontig:CcrdV1:scaffold_134:320720:332285:1 gene:Ccrd_018291 transcript:KVI03407 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPPKSKKNSKSKAFSHSDAASPSPHSSSSSYSNPNPGYNDEDDEQLLLRSLEEISTKYPSFIGTSALICRLIEDPSGGIESKGCKIWLSEASMVASSITPGSIVSVSLASLRKDIPGYSLSSLADECARHYGFNEKIAKEIGNYFVLATVFPSCKVSKNGVRLSSSLSSAMGYPISDRILFVYPVNNGNKIGHNSAVDSFSIYRCKDLHLLLVSSKRSISTKSNKPSHFEFSSEHNGHIENGNISSPKTPSLSRSRLSSPCSSRPTSPRHTESVLNSFNPSFDSFNIREVLEDDVGKKLLQTCTVSLLYSRHLLCGNLVVVPILSELCLFKVVGAEKVPELGNNGDVERKSDSYLRTSDVSNYTTDAFLVGHGTKVQLSLGLSSEPETSEKTYIDIYSKDSNDNIEVNIPKLGGLSKEYSILKDIIISSSVKNTLSSMGLRPTKGVLLHGPPGTGKTSLARLCANDAGVNLFSVNGPEFISQFYGESEQALHAVFDSASNAAPAVVFIDELDAIAPSRKEGGEELSERIVATLLNLMDGISRTEGLLVIAATNRPDSIEPALRRPGRLDREIEISVPSPFQRYDILLALLNEKEHHLSDTEIHHVAMTTHGFVGADLASLCNEAAFVCLRRCIGELGDELLCYQSSVSYNKHPDDNMKASSCSDNMKDLYSGNNLEYSSLSNSELHLGSVESDRMAKNLSVSLEDFEKARIKVRPSAMREVILEVPKVSWKDVGGQEEVKMQLMEAVEWPQKHQDAFKRIGTRPPTGVLLFGPPGCSKTLLARAVASEAKLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIVFFDELDGLANIRGKENDGVSVSDRVISQLLVELDGLSQRVNVTVIAATNRPDKIDSALMRPGRFDRLVYVGPPNEKDREEIFCIHLSKMTCSSDVCIKELALLSEGCTGADISLICREAAVAAIEEHFEIDCIA >KVI03411 pep supercontig:CcrdV1:scaffold_134:189276:193948:1 gene:Ccrd_018299 transcript:KVI03411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MSEPWILCAKLIYGIILMHTFLRLVDSERRVDSLTDEVLQLPGQPPVSFKQFAGYIAVDDAKRRSLFYYFVEAESNSSSKPLVLWFNGGPGCSSVGEGAFVEHGPFKPNGSVLIKNDYSWNIEANMLYLESPAGVGFSYSDNQTFYSAVNDVITAADNLAFLEIWLEKYPEYKNRDFYITGESYAGHYVPQLAKLIVHSKAKINLKGIAIGNPLLEFNTDFDSRGEYLWSHGLISDDTYELFNKVCNYSTIRRQAQSKSITPTCSQVANQAAKEIGRFINAYDITLDQDTETKVDVCVEDKTIEYLNRKDVQTALHARLVGVKQWAPCSEVLNYEMQNLETPMIPVLVSLLNGDQDSVLPLTGTRVDVNSVAKELGLNTTLPYRAWFNGNQVRASHEAPFSQPERSIALFRGFLSGKPLPQPNEEPQKREEEAHLH >KVI03408 pep supercontig:CcrdV1:scaffold_134:303004:309515:-1 gene:Ccrd_018292 transcript:KVI03408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calycin MFPSKEEAVLVIEPSITRIIFMMLASIILTMTLSLHTVFLCKEETASLYARSPCNERFHRSGSAPTSIVMMKIRSNHGYCKYFQLFRSYRISCLLDSSRSTPLSHSKEKSPICSIDASFEVISCMPYLCHSFTFSSIDATLHFFLTSCSTLNSFCLKEIETLDHTRGVTLILQRQWKQFIQLAIILVCTFVVIPRVDAVDALKTCTCLLKECRIELAKCIANPSCAANVACLQTCNNRPDETECQIKCGDLFENSVVDQFNECAVSRKKCVPRKSDVGEFPVPDPSALVKSFNMKDFSGKWFITSGLNPTFDAFDCQLHEFHTESDKLVGNLTWRIATPDGGFFTRSAVQKFVQDPNLPGALYNHDNEYILSSQIDNKPDDYIFVYYRGRNDAWDGYGGAVVYTRSSKLPESIVPQLQKAAQSVGRNFDTFIRTDNTCGPEPPLVERLEKKAEEGEKLLIKEAEEIEEEVEKEVVKVRDTEMSLFQRLAEGWKELLQDEQNFVRELTKEEKEILNELQMEATEVEKLFGSALPIRKLR >KVI03410 pep supercontig:CcrdV1:scaffold_134:196265:199531:1 gene:Ccrd_018298 transcript:KVI03410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MNSFVFSILFLFLFAKFSYSLPLSTKSRWVVDTLTGDRVKFKCVNWPAHLKPMLAEGLDKQPLNRIVEHVALLGFNCVRLTWATHMFTRYSTKTVMQSFKDLNLTKAIKGLEENNPRVLDLTVVDAFSVVIDVIGSYGVMVVLDNHVSEPMWCCGSNDGNGFFGDKYFDPREWRRGLSIVGNRYAHTPMVVAMSLRNELRGPRQNVTEWYKWVRKGARSIHKANCNVLVIISSLHYSIDFTPLKTEPLGLDSTLPNKIVYETHRYSFTAGPKNQWLHQPLNQVCDNVIRGINKKAGFLTTGSDPAPLFITEFGVDLRGGDRADNLFLTCYMAYLAEMDLDWALWALQGSYYLREGVQNVDEVFGLLDNNWAGLRNPDPKSRSWNYTFLHHPLTGRCIKNNDMNQIYAGECEGLTGWSHAGDGAPIQLSSTPWCLMVVGDGLPVKLTTNCYEKQSRWKSVRNSRFQFTNEDENGVNLCLDLDPSGSSTILSKKCICAGGGASKCLKNTQSQWFEFVYANRRYF >KVI03402 pep supercontig:CcrdV1:scaffold_134:159105:162403:-1 gene:Ccrd_018301 transcript:KVI03402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, superfamily 1/2, ATP-binding domain-containing protein MKTEGGGDSPVVNTRAIQVGHIEKKVASVLAPLIDSNLVHVEGIVPNTPKNSVRTSESMVVKEKKKSDVDEVFKLVDENVSKSTVTGTMEPPKDVILPDLFLHQKEGLSWLHHRENSDELPPFWEERDDGFINALTNYQTDKRPEPLRGGIFADDMGLGKTLTLLSLIALDKCKISNDGTSELMGIEDDQSVVQIGKKSRTRTVNCSKKQKTDDTLLDERKKKERIGTKMTLIVCPPSVFSTWITQLTDHTKRGRLKAYMYYGERTQDVSELQNYDIILTTYSTLASELNNSNAPIKKIDDCDCIQTELADASNNPKLLQKLVLMLQDGEDFDCPICLAPPTQIVITSCAHFFCRTCIIGALNHSISVCPLCRCQLSESDIFSPPPEYATEPNQAGSSSSCQPSSKVAALLKLLEASRNENPSIKSVIFSQFRKLLLLLEEPLKAAGFKTLRFKRAATLEDG >KVI03403 pep supercontig:CcrdV1:scaffold_134:243472:245951:-1 gene:Ccrd_018294 transcript:KVI03403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MASRHALQEQNRGEAVGVAKQKNMAVAGQGKSRRALGDIGNLVTLRPLDGKPLPAITRPVTRSFCAQLLANAQKEAADNKKKPMAVNIENNKVVAKKKVSVKPKPPPVPQNATVIEISPDSKELKKPESSSSRNKKPQSSLTSTLTARSKAACGLNYKPKPTNIVDIDALDINNELAAVEYVEDIYKFYKLVENESKVHDYMHSQPEINDKMRAILIDWLIEVHNKFELTNETLYLTINIVDRFLASETVARRELQCVGMSAMLIASKYEEIWAPEVNDLVQISDRAYEHRHVLVMEKRILGRLEWNLTVPTPYVFLTRFIKAAATPPEETINMEQMVYFYAELGMMNYEMIRYCPSMIAAGAVHAARSTLKKVPAWHETLQLHTGFGEEQVKECSKLMVAFHAVAKDDEKRKVIYRKYSSGTRGAVALYPPAKSLLAAPAPAPSSS >KVI03397 pep supercontig:CcrdV1:scaffold_134:107502:117518:1 gene:Ccrd_018304 transcript:KVI03397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, alpha-subunit, N-terminal domain-containing protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVVGIKCKDGIVIGVEKLIASKMMLPGSNRRIHSVHRHSGMGVAGLAADGRQIVARAKSEAHNYESVYGEPIPVKELAERVASYVHLCTLYWWLRPFGSGVILGGYDRDGPQLYMVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLSEMTCRQGVIEVAKIIYGVHDEAKDKTFELEMSWVCDESNRQHQKVPEALLEEAKAAAKAALEEMDAD >KVI03391 pep supercontig:CcrdV1:scaffold_134:390214:402303:1 gene:Ccrd_018288 transcript:KVI03391 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase, conserved site-containing protein MSELVARTGRHQQRYEAGCRLIAGCIPFRFRYSEGSNGNKSEKIVEVLMINSTSGPGLLFPKALDGNIAGEVCFHQTNAALVVYLHGNSCKMYKALNGGWENDETVEEAAAREALEEAGVRGELMHFLGHYHFKSKTLQDEFSPEGRCRAAMFALFVKEELESWPEQSRRLRSWVTIPEAVECCRHAWMREALENGFNQWSADGMIRTMKAVSMDDASDGN >KVI03396 pep supercontig:CcrdV1:scaffold_134:36377:71906:1 gene:Ccrd_018307 transcript:KVI03396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex, subunit 3 MTTPTSIRTGVPNSEAVSRGYNFASTWEQNAPLTEQQQAAILALSHVVVERPFPSKLSQEKNISRDNNGLSITTKHDTVEESGEIDGVLVNTNQFYKWFTDLEAAMKSETEEKYQQYVSTLTERIQTCDGILQQVDETLDLFNELQMQHQVVATKTKTLHDACDRMVIEKQRLIEFAESLRSKLNYFDELENVSVNFTSHSVLLVATSFYSSNTNVASENFLPTLKKLDECISSKLFYWKQRILTFASYCPHELASHHMHLFYLDHYSFHILMQRCCWRFRYVENNPQYAESNVYLVKFRQLQSRALGMIRAHVLAVLKSSTSQVQTALRGSGGNKAAVSEGVEASIIYVRFKAAASELKPVLEEIERRSSRKEYVQILSECHRIYCEQRLSLVKGIVSQRISEYSRKETLPSLTRSGCAYLMQVCQLEHQLFDHFFPSTSEDISSLAPLVDPLCTYLYDTLRPKLIHETNLDVLCELVDILKIEVIGEQLSRRSESLAGIRPTLDRILADIHERLTFRARTHIRDEIANYLPLDEDLDYPGKLEQSAETKSDPSSLDESSDVFKTWYPPLEKTVSCLSKLYNCLEQAVFTGLAQEAVEVCSLSIQKASKLVAKRSSLMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQTSLFDWSRSTSLARTLSPRVLESQIDAKKELEKSLKATCEEFIMSITKLVVDPMLSFVTKVTAVRVALSSGAQNQKLDSAMGKPLKDQAFATPDKVAEIIQKVNAAMQKELPRVMGKMKLYLQNPSTRTILFKPIKTNIIEAHEQVQSLLNKEYSEEERDTIGMVSIPELQAQLNNLI >KVI03392 pep supercontig:CcrdV1:scaffold_134:222748:225994:1 gene:Ccrd_018296 transcript:KVI03392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex NAC domain-containing protein MTAQTQEELLAAHLEQQKIEILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQSQAAEQFKAPNLGNVVSEPEPTAMAQDDEDVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTN >KVI03412 pep supercontig:CcrdV1:scaffold_134:4478:8283:-1 gene:Ccrd_018310 transcript:KVI03412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase class 1/Sedoheputulose-1,7-bisphosphatase MKFEVSMLQQLGIKSYSLICHHNKIQSLSFTDRHTHTQSSMAESTPFPISSSTTLTFSTTSTSISHLRPFHHQTQPYCYITGKPISSLRCQAVAGAAPATPAAATTTPIKKKNRYEIENLTTWLLKQEQAGHIDAELTIVLSSISLACKQIASLLQRSSIINLTGAQGTINIQGEDQKKLDVISNELFCNCLRSSGRTGIIASEEEDVPVAVEETNSGNYIVVFDPIDGSANIDIALTTGSIFGIYAPDEQCLVDYDNDTLDQSKEKCIVSVCQPGSNLLAAGYCLYSSSVVFTISIGNGVHGFTLDPAYGEFVLTHEDIKIPKSGRIYSFNEGNFDLWDTKLQNYLNHLRKPGGPHGKPYSGRYIGCLVGEIHRMLLYGGIYGNPKNEKAKNGNLRLLYECAPMSYLVHQRTPIFIGSPDEIDILVSYLA >KVI03393 pep supercontig:CcrdV1:scaffold_134:25742:26683:-1 gene:Ccrd_018308 transcript:KVI03393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MTMLLQEESNVIRDMELDNVRRIKKLLMISVTGTLHIHALRLIRRELGLPEDFRESILAKYSSEFELVDLEIVKLINRDGVDEGLKVAEVEKWREREYREKWLSEFETKYAFPINFPTGFKIIAGFKGKLKDWQRLPYVKPYERKEVFRASTCGGIEQFEKRAVGILHELLSLTVEKMVEVERLAHFRRDFGIPVNLRELILKHPGIFYISTRGSTQMLFLREAYRKDYLVSPNPVYVVRRKMLDLILLGCRSTRELDSPRQVKESVGDQNRGAVVESDFVTSILENLDDNNDGNKENGEDTAAVYREEASAT >KVI03404 pep supercontig:CcrdV1:scaffold_134:229755:232176:-1 gene:Ccrd_018295 transcript:KVI03404 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-tubulin MREILHVQGGQCGNQIGSKFWEVVCEEHGIDPTGKYIGSSDVQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDGVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFKTLKLTNPSWLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEYGYEEEEEEEE >KVI03394 pep supercontig:CcrdV1:scaffold_134:11031:17478:-1 gene:Ccrd_018309 transcript:KVI03394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosulphine MAGMEDAKDCDQMDETSRISIEKEEEVIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPTDGEDGSNRPSTEDAAAMNE >KVI03395 pep supercontig:CcrdV1:scaffold_134:72463:84526:-1 gene:Ccrd_018306 transcript:KVI03395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MANTQRWXMRGGGRSSITILWQRWGKREWAIATAGLAIVLLAFSFIISSDSSSSDNFFGATTSDFVPLTLLSNAVQRGAYCLDGTVPAYHFQKGFGSGFKRWVLHIEGGGWCNTVDSCSFRKKTALGSSKYMEHQVQFSGILSHDPSHNPDFYNWNKVKIRYCDGASFTGHPEGEQKNDSKLFFRGQLIWEAMMDELLSIGLSNAQEALLSGCSAGGLATLIHCDDFREILPKDAKVKCLSDAVRAWEQDECDGGSYRKDIAGNATIQSFYHDVVHLQGAAGSLKKDCVGKMEPSKVSFVVFVLEIEFFPCFFPQQIVKSISTPVFLVNPTYDFWQIKNILVPGPVDPLDGWYKCKLSIHNCGPEQIQVLQGFRNSFLKALSEFQQMKDGGMFINSCYIHCQTWMSETWHAPNSPRINNKTIAESVGDWFFDRRVTKYIDCPYPCNPTCYNMDFTGR >KVI03401 pep supercontig:CcrdV1:scaffold_134:164448:169078:-1 gene:Ccrd_018300 transcript:KVI03401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTMSTQETRIELEPWRDLRGTIVMVTGASSGIGWEFCIDLAKAGCKIIAAARRTERLRILCDKINKLDVSDGRVNQSQAKNNDVIAVAVELDVSADGPTIEASVRNAWEAFGRIDALINNAGFRGPIRGSLDLSEEDWDRTFSTNIRGSWLVSKYVCLQMLALNQGGSIINISSTAGVGRVFPPAVAYASSKSALDTMTKVMAMELGKHKIRVNSIAPGIFQSEITNELLEKKWFEKVVSRTVPLRDLGTTDPAMTSLVKYLIHDSSNYLTGNVFIVDAGYTLAGVPLFSSL >KVI03093 pep supercontig:CcrdV1:scaffold_1340:84072:88176:1 gene:Ccrd_018612 transcript:KVI03093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin MENSSALEIEENPTPKSCASCDFVWDKPLNQQDDYAMEGDRGTRGTEIVADQFSPDVDFDWGKNLSGMSSMDEDDESSFDHMVCDSSCRLIPNGFMRSDCTAKSYIIVSFVSFLPEVVMFINAGAETMVESDPNMKFEADKFFEGGDIFQTDECMTEGGDFSFIYHTARLGNFHYQFTNLPEGCYCIDLHFVEMINTFGPKGMRVFNVLSDFDIFSVVGANKPLQLVDLRVSVKNTEAIIIRFEGMIGSPLVSGICIRRASKLSESDVKHEFLRCQNCATEIEVPSVQESAEKYEKTIEELTSQCRSKTEECYQAWMALTAANEQLEKVRMEVDNKFFESCSFDQIMEKQSEQLRDISSRYEHDKKIWAAAVQELSNRITELKQDHSKLSREAHECVDSVPDLNHMVSAVQELVAQCEDLKVKYNEEQAKRRKLHNQKFVGFFQTSPYKIPYD >KVI03095 pep supercontig:CcrdV1:scaffold_1340:112608:114334:1 gene:Ccrd_018614 transcript:KVI03095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQVQESKSYSNTLQQKIDQLERKLKKQEQDSDSTLLHQKIKELEEKVKEQERRMSMRSTPFEGKHSIGSRDGFTYEAEQHILKSSNAMNCQRMSTYNRPSLPKRSDSHGGGGIEMKRKRLPRNSEMENVVIPLTYDHNDKKGRKSDKPLPRAPPTRAVDKPTPSNRRAVPHGRTTNPTQGIKEKENKKRMWS >KVI03094 pep supercontig:CcrdV1:scaffold_1340:88184:90932:1 gene:Ccrd_018613 transcript:KVI03094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIFC3 MIFKILLSSGNIRVFCRCRPLNKTEAASGCSTVVDFGAALDGVLGVLSNGSTKKTFKFDSVFTPKDNQGSFTKLNDVFAQASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTVGNRGVNYRTLAELFKVSKGRSDTFTYNISVSVLEVYNEQIRDLLAAPSATSKKLEIKQASEGFHHIPGLIEAKVENIKEVWDVLQAGSSARVVGSNNTLVCAFSMLCIMVRANNLLNGECTKSKLWLVDLAGSERLAKTDAQGDRLKEARNINRSLSALGDDSLGKSQIFVRFIDISEFMHLQTLLDSVSLAGGDSKTLMFVQISPSEKDLSETLSSLNFATRVRGVELGPAQKQIDTNELQKLKTMLDKAKHELHLKDELLRKLEESLQNVESKVRGKDQMYKNQLEKIKELEGIMELKTGLHSQSEKQ >KVI03092 pep supercontig:CcrdV1:scaffold_1340:1707:2720:-1 gene:Ccrd_018611 transcript:KVI03092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MSNNIALKQGRKDESQGSGGGRKTSSLRPPEQTLNCPRCDSNNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNIPVGGGCRKSKKAKSSTSKFVIGDSSLDNGGLKLLDGLSPPIMDFQLGGINFPPRLNQFTSSYGEASSSNLPFMNLDPLGFNFPLSTHATKQGDHHNQSGGGLANFQEMGFTYTNLHHSASLTSSIESLSSLNQDLHWRLQQQRLAMLVGGGGGGTVGGENEHQRQQPKETGLQPILFHNLEIPKSTPTSIDGESRKDGSSTGNGDVGGLTTELFFDNNYAPVNLQNPTSAMNPENDQNGNVNNWNGIQAWNHMNQYSAFP >KVH88228 pep supercontig:CcrdV1:scaffold_1341:1634:13579:-1 gene:Ccrd_024370 transcript:KVH88228 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MTQEHAIAIATTHPPKHDMSSSSTFPIHLKFIDICYKVKIEKNSSHNFFGTMLGGTDDHSMTQERTILNEITGMVHPGELLAVLGPSGSGKSTLLNALAGRLPGLYFTGSVLANDRKLTKAVLRRTGFVTQDDVLYPHLTVRETLIFCALLRLPNSLTRREKTAVADSVIAELGLSKCENTIIGNTFIRGVSGGERKRVSIGHEMLVNPSLLILDEPTSGLDSTAAHRLVSTLSGLARQKGKTVVTSVHQPSSRVFQMFDTVLVLSEGRCIYFGKGSETMKYFESIDFRPAFPMNPADFLLDLANGVWQHDGITDKERPNIKQTLTSSYNELLASEVKDACLDKTLRDQHMPVANLEPKEYTNKCVNSINTWFIQFTILIQRSLKERKHETFNPLRVFQVIAASLLAGFMWWHSDFRDIQDRLGLLFFISIFWGVYPSFNAVFAFPQDRAVFMKERASGMYTLSSYFMARIVGDAPMELILPTLFLSITYWMCGLKPEVGAFLFTLLILLAYVLVSQGLGFAIGAIIMDAKQASTVVTVTMLAFVLTGGYYVHKVPAFMVWMKYISSTFYSYRLLIHVQYGHGREIWYLLGCFHYGDKHASCRFIEEDIAGQISTVSCIGILVIMFFGYRLLAYLALRRIKA >KVH97240 pep supercontig:CcrdV1:scaffold_1343:60966:64877:-1 gene:Ccrd_000662 transcript:KVH97240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPNTAQNLQANPKKRPSDCNVNIQDSNYFKIRAVLKDLRPHFLEVLRTPDFQTSKAALEIGQQMKVLMKLYEEMTSESVTMERCKNLADGGHDQKKPADGKATETQPPPPLEKERVFIRKASEERPTSEMLEAATQQGSYIVGGSAFGWNFITYPSSILAAYYGRGKEEFRAANPIMPPTLENLD >KVH97234 pep supercontig:CcrdV1:scaffold_1343:71519:73790:1 gene:Ccrd_000664 transcript:KVH97234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bestrophin/UPF0187 MAATSKPSFHFMPSSSSRFPFKFPSKPSRILCSQNPNPPSPPRTLTLISVLRAIPDWADAIKESRVKQTRPLYKHEDWVEHRSSLRHIRHLLSSLSSRVILSLVPPVIAFTTVAVVIASYNTAVFWDLLPEFFPTLRASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVISGTNDFARQVIVSVGTDSALKMALLQYILAFPIALKCHLIHGSEIGRDLKNLLEDDDLAVVLGANHRPRCIIQFISQSLQLLNLESSTRTTLESKVTCFHEGIGVCEQITSIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCKLVYDNVQEALMSEKKIRDILDTKMEDRKQSSNPNGYPTSGSGQCTWPNN >KVH97231 pep supercontig:CcrdV1:scaffold_1343:21492:30086:-1 gene:Ccrd_000659 transcript:KVH97231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MQHGNIVRLQDVVHSDKRLYLVFEYLDLDLKKHMDSCPEFAKDPRLVKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRSYSFNSTYEAPSYLLKIAIIMGTPNEDTWPGVTSLPDFKSAFPKWSSKVIRPMILKGTNWSFNFQDLATVVPNLDKAGLDLLRVMPLLPLIMPFKEPPKMLAANLGISCASKLASWIRKMLCLDPSRRITARTALEHEYFKDIGFVP >KVH97238 pep supercontig:CcrdV1:scaffold_1343:33057:48797:1 gene:Ccrd_000660 transcript:KVH97238 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MPGPTMESELPVEPQSLKKLSFKSLKRALDLFSPLHSQLPPPDLESKKIRMSHKLHVEYGGIKTVPNQPAKNASQNQVEPSNSNALVLPGTESSKKNGTQSDLALTPVQPKNTGDGNLTGKSTAVIPVHGTSDRLCNVTVATIQQTVISGHLGWVRSIAFDPSNQWFCTGSADRTIKIWDVGSGRLRLTLTGHIEQVRGLAVSTRHTYMFSAGDDKQVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRSKMQIHALSGHDNTVCSVFTRPTVEQWQLLPIIRNQCVHWPSILPSDNGSLWFWDWKSGHSFQQSQTIVQPGTCSLDSEAGIYALSYDITGSRLITCEADKTIKMWKEDENATPETHPVNFKPPKDIRRF >KVH97232 pep supercontig:CcrdV1:scaffold_1343:14682:18631:1 gene:Ccrd_000658 transcript:KVH97232 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MNNLKLGVEVASAHDLMAKDGQGSASPYVELHFDHQKFRTTVKEKDLDPYWNETFYFTISDPKNLANLTLDAYIYNNAKGNNTKSFMGKVHIHGTSFVPYSDAVVFHYPLEKRSIFSRVKGELGLKVFVTDNPSIRSSNPLPQMDTHSHGRNETKDSRRTFHHLPNSNSNFHQPQFPAQQPYIATGSQPQPYAYGLEDMRSQNQNQNQSQSQPRVVRMYSGSSSQPLEYALKETSPVLGGGQVIGGRVVSGDNRRASTYDLVEQMQFLFVRVVKAQDLPSMDVTGSLDPYVEVRVGNYKGVTQHFSKTSNPEWNVVFAFSRERMQATVLDVVVKDKDTLKDDFVGIVRVNLHDIPTRVPPDSPLAPEWYRIEDKKGEKKKGELMLAVWMGTQADEAFPDAFLADAAMSSVDGSVSSMYTRSKVYHSPRLWYVRVNVIEVQDLVWGEKTRFPDVYVKVQINNQVLRTRPVQARSGNALWNEEMMLVAAEPFEDHLILTIEDRMGPNKDEALGKVFIPLNAVERRADDRVIHPRWFNLQDPSDHDVDEKKKDHKFATRLHLRVCLDGGYHVLDESTQYSSDLRPTAKQLWKPSIGILELGILNASALLPMKTRESRGTTDAYCVAKYGHKWVRTRTVPDSSAPKFNEQYTWEVYDPATVLTVGVFDNSQLENDSGNNPKDVRIGKIRIRISTLETGRVYTHSYPLLLLQPSGVKKMGELHLAIRFSSTSMMNMMYMYSRPLLPKMHYVRPLTVVQLEMLRHQAVGIVAARLSRAEPPLRREIVEYMTDANSHLWSMRRSKANFFRLMSVFNGVFAVSKWFGEVCLWKNPITTVLVHVLFVMLVSFPELILPTVFLYMFLIGLWNYWFRAQYPPHMNTRLSYADAVNPDELDEEFDTFPTSRNPDLIRHRYDRLRSVAGRIQSVVGDIASQGERVQGLLSWRDPRATLIFMMFCLVAAFVLYATPFQVLVTVAGFYVMRHPRFRHRLPSAALNLFRRLPARTDIML >KVH97235 pep supercontig:CcrdV1:scaffold_1343:74056:76552:-1 gene:Ccrd_000665 transcript:KVH97235 gene_biotype:protein_coding transcript_biotype:protein_coding description:APOBEC/CMP deaminase, zinc-binding MYCQTLPISNYNLNPTNTSSSFHCLNPNAQPIQFAKFQSVSKFRFGFCNSIRRVSVSPNNLRKHVGLVGIRCEGLQGKEDTKDDDDKVYIKRCLELARKAVGCTSPNPMVGCVIVKDDKIVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHHGRTPPCSEALIKAKVKKVVVGMVDPNPIVASRGVAKLRDAGIDVTVGVEEEILSDDLGEESTEAGGYYSRLLQEHDAVVLSSKSLQKHPLPESKEPNSNQPLHIVLVKRPDLPHLTLQEETASKVIIFTDQETDMGSEQGIETVVLDKMNLTTILEHCQHQGLCSVLLDLRGSFGDFEDILKGVEENLVQKFVVEVLPVWGESKEEASFEAIKISQRA >KVH97236 pep supercontig:CcrdV1:scaffold_1343:78286:81230:-1 gene:Ccrd_000666 transcript:KVH97236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein LSDARSLGHLEICKILEAHGGTDPGAFGEVYKVKWRGTEVAAKTIRSSIASNETVRKAFLKELALWQTLRHPNIVQFLGVLTDSDRLIFLTEYLQNVDEAGRLKVTDFGLSKIAQEKDMFQGRPSNREEPPEHVADKRAYEDSRPPLPSYYPERIR >KVH97239 pep supercontig:CcrdV1:scaffold_1343:54381:58775:1 gene:Ccrd_000661 transcript:KVH97239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MGSFCTKLIPCLVSPNKASILEDPNGGNEEEVGNLPVFKEFTFEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQVRIAVKRFNRSAWPDSRQFLEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVVALTALQKETEVPSYVLMGIPATTSFSPRSPLGEACLRMDLTAIHEILETISYKDDEGQTNELSFQMWTDQMQESLNSKKKGDTAFRHKDFKDAIDLSSTDRWCRQPSLLDVVYRTS >KVH97241 pep supercontig:CcrdV1:scaffold_1343:68390:70845:1 gene:Ccrd_000663 transcript:KVH97241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNTDKLVRRTTMVATVTAAYFLLTADYGPEPNVLDPIKNTIQSAEQSVKKFIFGSKEEAKKPAAEKHP >KVH97233 pep supercontig:CcrdV1:scaffold_1343:90331:92098:-1 gene:Ccrd_000668 transcript:KVH97233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36e MAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSKRSHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSGGGTEKKK >KVH97237 pep supercontig:CcrdV1:scaffold_1343:84850:88688:-1 gene:Ccrd_000667 transcript:KVH97237 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MELMESGSEGSCETFFTQSSLISGLPDDIALTCLARIPRRYHSLLKCVSRKWRDLVYSEEWHSYRQKHNLAETWIYALCKDKLEQLCCYVLDPNMPKKGWKRIPDLSPSCSKRKGVGFEVLGRNIYFLGGCGWIEDATDEVYCYDASRNAWSEASPLSTARCYFACEAIDGKMYAIGGLGSKSSDPHSWDTFDSNMNSWASHMDPNVVPEIEDSLVLDGKIYIRCGSSAVSSHIYAVVYDPLNGTWQHADSDMVSGWRGPAIVVNETLYVLDQSSGTRVMVWRKDTREWEAFGRLSSILTRPPCRLAAIGNKIFVVGKGLSTVAFDVDKAANMDGVLVSTSAPKLPSDDDVISCKSVSL >KVI11378 pep supercontig:CcrdV1:scaffold_1344:77519:97706:-1 gene:Ccrd_010212 transcript:KVI11378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MEQKKCLNIEKKKFMTMKKKGKHNSRRWKVLLWIKWERKKEKFEENGRVTIAKANELPDAMENAGAALIREVASKTNDSAGDGTTTAFVLARELIKLSLLSVTSGEQRGYRENTEVFD >KVI11377 pep supercontig:CcrdV1:scaffold_1344:38778:42490:1 gene:Ccrd_010211 transcript:KVI11377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MLEQNKKLSEITRHYDSVTERVTERWSISQGDFLAQNDGDESDHHAEFAGGNVTLVTTKDAWDQKLSEAKREHKVVIANFSASWCGPCRMITPYYIELSEKHPSLMFLTVDVDELTEFSTQWDIKATPTFFFLRDGDQFDRLVGANKPELLKKINGIVDSESRRHM >KVI11379 pep supercontig:CcrdV1:scaffold_1344:127945:129807:1 gene:Ccrd_010213 transcript:KVI11379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MWESLNNKKGGELISLDMILIDEEENLIHGSIWSSIVPKFKSLLHEGVLYEIKNFKVVPSDGNSRPLANDIKIIFQKFTSIKKLEEDIFSIPKNGFQFISANLVHSRVNDDTILSGIIYFATTSASKIFINLRINYVSSLIERFTTVANGVHFIENVDEKKRRDEDMLLERMIINDLLCATWDKGMKVPFIIVRGKITSVVSLLGWFYMGCKACYKQLTTINGGYFCENCKAEFEFPLVL >KVD98068 pep supercontig:CcrdV1:scaffold_13444:233:676:-1 gene:Ccrd_024371 transcript:KVD98068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MALKRIKKELEDLKRDPPAQCSAGPVGDDLFHWQATIMGPPDSPYQGGVFFLTIHFPTDYPFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLVPEIAKLYKTDREKYNEMGREWTRKYAM >KVI06709 pep supercontig:CcrdV1:scaffold_1347:55599:58443:1 gene:Ccrd_014935 transcript:KVI06709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MALSFLFGLLLLSQTPFISSSPSSPSPPSVLKPGSSLWVENEHDLLISPNGFFTAGFYSVGENAYCFSIWYTKPLSDGSHTIVWMANRDVPVNGKRSKLSLLKTGNLVLRDADQPLPIWTTTFRDSTESAQLKMNNSGNLYLQSQDGQIVWQSFASPTNTLLPYQLLTKDTPLVSSRSSTNHSSGFYKLLFDNDNVLRLVYDGPQVTGVYWPSPELRAWESGRSTYGYRRIASMDSSGHFISSDGLFFNTSDVGDQPARRLTVDTDGNLRAYSLDELRGVWRVTWQAISETCRLHGSCGENATCSYNPGLGRQCSCLPNYRMINHTDWSYGCEPEFKPSLCGNGEDNFLQLAHFDFYGYDAKYLPNTTLNACKKECANICNCKGFQFKYDRTQGFFLCYPKFKLLNGIISVNINGSIYLKLPKSISLSSSNKKAAEGFSLNCSGKPTIQLDRAYDKNAEQESMKYLMLNHMNLIEMWGYCAERKHSLLVYEYMENGSLAQNLHSNKLDWGKRFDIAVGTAKGLSYLHEECLEWVLHCDVKPHNILLDSDYKPKVADFGLSKLLDRDGIRNSQFTRARGTRGYMAPEWLFVNSPITSKVDVYSYGVVMLEMITGRSPINNANQSGGSERRLDSWVTAVMAAAGGKNDWMEEIIDSKVDGKYDKKRMEILIKVALQCCNEDKDARPSMSQRWSE >KVI06710 pep supercontig:CcrdV1:scaffold_1347:86976:95500:-1 gene:Ccrd_014936 transcript:KVI06710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein of unknown function DUF303, acetylesterase MLAFLCLILHLLTAYASVSNGKHIILLAGQSNMSGRGGVRNNTWDGVVPLQSQPHPSILRLTAGLTWVKARDPLHKDIDVNVTCGVGPGMSFANRVLEIHPQLGPIGLVPCAIGGPLGTKITQWKKGGFLYNQLLTRATAARTGGGSILAVLWFQGESDTVNEVDANMYKRRLANLFNNLRADLGSPLLPIVQVAIASGQGSFVETVRKAQLETKLRKVSCVDAKGLPLLADNLHLSTPAQVRLGGMLADALFRLRKNKKRTYGPINLLLSSISSPRFPHFMANNGLKLTFFFVFIISYEYWVNHVNVVDSSAASDHLVKDVFILAGQSNMAGRGGVIDGYWDGLIPPEVQTRPGKILRLGGDLSWEDATEPLHSDIDVNKTCGVGPGMAFANAVWRGDPRRDRAVGLVPCAIGGSGIQEWSRGGRLYNGLVRRAAVAVEGGGEIRAVLWFQGERDTVNRSDAESYKEKLQRLFMDLRADLKSPLLPVIQVALASGEGAYVEMVREAQHGIELPNVITVDAKGLELQPDGLHLSTHAQVRVGEMLAHAILQSNCFL >KVI00709 pep supercontig:CcrdV1:scaffold_1348:26696:57993:-1 gene:Ccrd_021038 transcript:KVI00709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MTYAQSQYSLKFATIKGAGHSVALYKPKEAFAMMDRYIGMGEEDAMQVFYYFVESERNPSEDPLFLYFAGGPGASGLYPFLYQNGPLSFNFESSKRGNITLELNPYSWSKVANVIFVDLPIGVGFSYAKTWEASRSSDSLLAVQGYEFLRKWLVENPRFVSNPLYVSGISYMGIIVPNVALEGYLIINPLTDKFIDFNSRFEYAHRLALISDDIYESTKASCDGDYVYNVPENSLCADNLKRVAECTSRIKFGFILEPVCNVTDRVPTCKDFTDTFIQTWVNSKGVQKALNIHEGTIGKWEYANTSIAYDLKKNDTVYYSYDVWSTIESHKQLLTKNCQALIMCADHDMVFPYLGTEKWIKNLNLPIENAWEPWFVRTQVAGYQMTYARRGSSIQFATVKGAGHALAMYKPEEALALMDRYVGVGEDDAVQVFYYFVESERNPTEDPLLLYLTGGPGTSGLYPFLYQIDNERGSQPQLNIKGCLLVSPLTDKFIDFNSRIEAAHRLALISDDIYQATKETCHGNYIDNDPENTLCSSNLEHVDECTNGINFSNILDPLCDETNMKPTCREAANIFIDAWANNKDVQEALNVREGIIEKWEFTNTSIRYNLDKEDTIYYSYDVFSSIADHQQLLTKSCQVLIICGDHDMTFPCVGQEKWISSLNLSIESPWEPWFVSKQVAGYQMTYAQSQYSLKFATIKGAGHSVALYKPKEAFAMMDRWLASHTYSSGS >KVI00710 pep supercontig:CcrdV1:scaffold_1348:19014:19304:-1 gene:Ccrd_021039 transcript:KVI00710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-1,5-bisphosphate carboxylase small subunit, N-terminal MASISASSATVATPGQLXLVAPFTGLKSNAAFPLTKKSNNDLSSLPSNGGRVHCMKVWPPLGLKKYETLSYLPPLPESSLAKEIDYLLRNKWVPSR >KVH92401 pep supercontig:CcrdV1:scaffold_135:78233:89138:-1 gene:Ccrd_005582 transcript:KVH92401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine kinase type-1 MIRTHKLSSITRRSQSNPLRKLSILREKSSKKDSRTMAPPILSLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPIMSVQFSNHTGYPTFKGQVLNGKQLWELIEGLEANNLLYYTHLLTGYIGSVSFLNTVLEVVSKLRSINPTLTYVCDPVMGDEGKLYVPPELVSVYREKVVPVASMLTPNQFEAEQLTGFRIASEQDGREACRHLHAAGPSKVVITSICIDGNLLLIGSHQKEKGQSPVQFKIVIPKIPAYFTKYPNNLDKAAELAVSSLQAVLSRTLKDYEEAGYDPQTSSLEIRLIQSQDDIRNPEIEYTSHLYD >KVH92391 pep supercontig:CcrdV1:scaffold_135:202970:206035:-1 gene:Ccrd_005574 transcript:KVH92391 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEHLLGLLRVRIHRGVNLAVRDVCSSDPYVIIRMGKQKLKTRVVRNNINPVWDEDLTLSISDPIPIKLEVYDKDTFSLDDKMGDAVFEIKPFLEAIKMRLDNLPNDTIVTTVKPTRTNCLAEESHVKWVDGKVVQQMVLRLQNVECGEIEIQLTWIDIPGSRGL >KVH92404 pep supercontig:CcrdV1:scaffold_135:104771:105937:1 gene:Ccrd_005581 transcript:KVH92404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKWSELEEQTLLAKYSDLHNSGTLAKLKTREKKFKPIAEHVNSVHHLRDPMNFPFKWSWRDVSIKVQNMRHQYLGVKQKIRVSDSEYNWKDGENHWENFMKYKEVFGDIELESKDNKRCNDLGDDVFGDSGYGYSRNGGDEYFGFGFEELQNDEEEDDGNGELGGGNDDTAAIDTELGVEKEDESDDSGSVHVKKLRKGIGGRMIGLVGAQMMELRDVVMRREEKRREREWRREEGVLEREERKREREKKGREREEEGKIQELEYEDRQLVWARRESESRMRLEMEVEEERQRRMAVEEKWEEEEMNWREKMVRMQMEHEKQMMQLHANACQNQMQILGVMARFFCQFLGSASDGLGTLGPQVLQHPGGLDENGKPDANSPSEFL >KVH92379 pep supercontig:CcrdV1:scaffold_135:379578:380946:1 gene:Ccrd_005566 transcript:KVH92379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrilin, coiled-coil trimerization domain-containing protein STDLCNDHHVWLPIGRLNHTTRKNKSSSSSPIPLKWHREIKITDVRMARAGGITNAVNVGIAVQADWENREFISHISLNVRQLFDFLVQFEATTKSKLASLNEKLDTLERRLEMLEVQVGTATANPNLFKT >KVH92403 pep supercontig:CcrdV1:scaffold_135:115098:130706:1 gene:Ccrd_005580 transcript:KVH92403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVGSITRSATEALPESADVPCSSLTTVSPGDLGSSSCSNCVTGLWMSALGVVGLALNLCAYDFVSQDQLQKHSVSENATIGESPEITGKCEDEKSAYQLAPADSVSKARQAVVRSTTTAGTTLCSAFLQSSAVAWFSLNGGSISIDNSSFISDGSDSNLSDESSISSKYKFQ >KVH92398 pep supercontig:CcrdV1:scaffold_135:30231:47661:1 gene:Ccrd_005587 transcript:KVH92398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase-like, plant mobile domain-containing protein MDTTGRNIGRIERRIPMARFSIGEDDEDAPLANKRARFSEPASQSQPRSQRQRSVQRSESRVVAVEEDEEEEDDLFPMSPIEDVESDSQGFEEEDEDDEFEGEGEVGSEDDSGGHAEGEAVSEGQIHQQQMTSSMAASSHLGLGNLAVGLRNCNTVSPVFNNAIGALQVVLTDPDVLDCPICLDPLCTPVFQCENGHIACSTCCSKVKRKCPSCCMPIGYNRCRAIEKVIESIRVSCKNSRYGCKEMMPYSKKGEHEQSCPHATCFCPHPSCPFAASSKNLYLHFGIQHAASTTRFTYNTTFTLRVETHQKHVLLQEQHESVIFILNHEVQERARSFNVDCVGTSLLKTAFIYQLSAKSMETSVSLQSVPEIYAKWSEHNPRKNYLTVPSEFSGYCGILSLDFRLNIADVAFGFQFPVSESRKTPQNYVVILVSPFQFSLTTGKLTTDSCKKLDQNHHPYKKPKKLSTFPLFTTLINHISYNLVYMVTDSSPNFDDGADIFSPMVPSESDSMVGKRKEMTGLELEGSRRKKKKKQGGTPRPACSWVHFSREFIKEYSASHPESSGLKVATKAASDAWKVMTLEEKAKYTKRAREVWDDYLSSAPALNVLKHFTSDQKEAVKSMGFGSLLDLKCRTLRRSLCLWLLERFNTIRRSLEICGERIPLYPHDVELVMGLPATGKDVVNSGPDDLVAELRQKYNASNRGISVRFLEERLGQPEAGDDFKRAFLLYVLGTLLCPTARLDVSPSFLHLLTDMDSIHEYNWAKFLLDRLVREVSRYRQGKQRAVGGCLLFLQLFYYESVAIGGTCEPGPVICKERGLGLGLLNDRDHVDVLPLREVAARVNRGTLVRQDENLNENTPPVRIDNYGYKNPLEYTNPNAHDNRGMYAGQSTCPLLNCNFTGLFQELSDHFSTKHWDSGRRFQYNSPLPVSLGMDETFLVLQAEDDGVLFLLNKGTENIGHTVMVTCIGPSSSNERFLYCLVSERGNSSLRLKSYTQTLPGRVEGIPPSDFLLVPFGYLNSSGELNLE >KVH92380 pep supercontig:CcrdV1:scaffold_135:368931:375791:1 gene:Ccrd_005567 transcript:KVH92380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MAMALALRRLSSSADKPLQRLLNGGHLYSMSSLPSEAVYEKENPGVTWPKQLNAPLEVVDPEIADIIELEKARQWKVVSLFLLLCFTLLLWRQLRRQTNLGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQPLSGSPSNFQVYTALLKAHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEVTKQGKEVFYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQTLMESGYELVSGGTENHLVLVNLKPKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAYFFDHAVKLALKIKGEAQVSSLIIII >KVH92377 pep supercontig:CcrdV1:scaffold_135:404017:405797:1 gene:Ccrd_005564 transcript:KVH92377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MARTMATIIVILCSFSILILATERVQRSSSLIGGSGIKQLRFDEQGEFRILQVADMHYADGRQTPCEDVLPEQFAHCSDLNTTFFIERMIQAEKPDLIVFTGDNIYGADTTDPVASMNAAFAPAITSNIPWAAVLGNHDQESTLSREGVMRYIAGMKHTLSQFNPSGVGVIDGFGNYNLEVHGIEGSSSVNNSILNLYFLDSGDYSTVPSIPGYVWIKPSQQFWFQQTSMKLQNKSKAPGLAYFHIPLPEYASFDSSNFTGVKQEGISSASVNSGFFTTLVEVGDVKAVFTGHDHINDFCGQLTGIHLCYAGGFGYHAYGKAGWSRRARVVVVSLDKESNGSWEAVNSIRTWKRLDDEKLTAIDDQVLWSKTPSGNRRKRENSAV >KVH92389 pep supercontig:CcrdV1:scaffold_135:179881:180132:-1 gene:Ccrd_005576 transcript:KVH92389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MADDTLEERERIFKRFDENGDGKVSATELGENLIKTLGSVSTEEVNRLMAKLDTDGDGCISFQEFTDFYNANRKLMKEVAKII >KVH92388 pep supercontig:CcrdV1:scaffold_135:161085:171678:-1 gene:Ccrd_005577 transcript:KVH92388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding METLVRSQAGSDNHHTEISDTSKPEAQTTPEKVVACSLPFAAGLKPHLFYKCLLNQHAQKFRKDLPIFQTHNEGFAHLPKFRSTVWFDGMSYTSSNTFQQRKAAEMDVSKTAYFAIMQKSKNDALHLILKDKTFCKSILVEYAAKMNLGKPTYETAQLDTSVPVFRSSLVFNGVTFQGDSAKNKKEAEQLAAHAAILKYLDSESGNDLSEIINCKFRHYVDMNKVREINNVHNDTSVVGTQMIVDNAIGLNEAKTELGTTKMPESSAFLLPVVTQSPIPKVSSEAITPTVPGPALLTQTPSAEINNSSLSSVVSAAPCLGKFASTPAPGLSSNVFQPINSEVSLGTVVPPLPLASQALEHLPFVGHTLGRKRNRKNKKNAQKKMRVDAQMPIPAVTSAVPPCSSQ >KVH92384 pep supercontig:CcrdV1:scaffold_135:231754:242125:1 gene:Ccrd_005573 transcript:KVH92384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set CHPIWLIPSTSLPHNLYTGNLQTRRPPLIRQFLRPAYLPDIHSFEDTSTMKCHTMGTSTQGLLSGQCGSKPISWSLWPNRSSSPANIYGFQYNLVRWCLRKDFRKFYNVNQDYCLSHGIRYAHWRLFCLPTTLQEESSSPQSKNSSKGNEYGSEASSEDVLEQPISNDQLKSLLADHERAKLLRKLSEANQHNRYLKRQLLVREDAFTQFKSELAITELELQVMLINSIVFLSLALLNMAKEIVSYGIPAGSRKINGKYIQSLLLLQLQGIQEKLKKQIKDVELTQSKEVSLFWSGMAESVQVMGSFDGWSHGEYLSAEYTGSYTRYEIKFLVDGEWLLSPDYPTVGEGLLENNLLIIE >KVH92402 pep supercontig:CcrdV1:scaffold_135:66902:74900:-1 gene:Ccrd_005583 transcript:KVH92402 gene_biotype:protein_coding transcript_biotype:protein_coding description:A1 cistron-splicing factor, AAR2 MDADTALELVKKGAALLFLDVPQHTLLGIDTQMFSVGPNFKGIKMIPPGPHIIYYSSSNRGGSEFSPMIGFFIDLNPSEVIIRKWDQRGERLVKVSEEEEERYTLAVQGLEFDRYLGPYTLSQFGEWKMLSNYITKSTIERIEPIGAEITVIHEPDILENSHKTVMEEALSEQLKRSKILISDDKLEKRDTNGAAKGPLTLLDDSFLSADSFLQHLCKTRKLKELLERSLGWVFQTENMGDGICYDEDDEFAPAVVMLDEPSDG >KVH92395 pep supercontig:CcrdV1:scaffold_135:23355:27992:-1 gene:Ccrd_005588 transcript:KVH92395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCVTSAFTSTPAVVYPQTFFLQNPNTHLLSHNTCNNSRRSKTRPSLSVKASSSFKTHTLSSNWDVPTSNYSASAPSWMPRFEELDTTNMLLRQRIVFLGSQVDDMSADFIISQLLFLDAEDDKKDIKLFINSPGGSVTAGMGIYDAMKLCKADISTICVGLAASMGAFLLACGSKGKRFCMPNAKVMIHQPLGKAGGNATDMSIRIREMVYHKVKVNKIISKVSGTPYEKVEADTDRDYFMNAWEAKAYGLVDEVIDDGKPGLVAPIADKTPPPRTRVWDLWKVEGSRKAMKNMPSEDKMLQNGHIGGEEDEDEEKKEEPASA >KVH92373 pep supercontig:CcrdV1:scaffold_135:437399:438259:-1 gene:Ccrd_005560 transcript:KVH92373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKLMCSYGGKIHHRPHDRQLSYVGGDTKILTIDRNINFSNLLAKLNALCDYYYEIRLKYKLPGQDLDALISIFDDDDVENMMFEYDLLRRISATPPRLRLFVFFQMATTTTTPVASRSVNPDFLFGFDKEYSLNYTTVVKNPEDKDTVVSPPENVGIADGVQKQIQEIPVPVLDNRTMTHGSYVFPTPFVYRAPMPSSGYFQAGEYRGVGNREQPVVYSFIPGGNREQPVGYGFIPVVAQEQPNIPMSGSPLSYDAARGESQLPTVMMGATCNHDGTGAQHDA >KVH92397 pep supercontig:CcrdV1:scaffold_135:49503:57326:1 gene:Ccrd_005586 transcript:KVH92397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyl transferase, C-terminal MHHSLMLRRFCCLPTPSFSSSAVAFSTSLTPSSAKKKKLVFLGSPSVSASVLETLLDASNAADSMFEVAAIVTQPPSGRDRGRKVMPSPVAQHALDRGFPADLIFTPVKASEEAFLTNFSAIEPELCITAAYGNILPTKFLKIPSLGTVNIHPSLLPLYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPIIACEKMEIDDHIKAPELLDLLFARGSKLLLQELPSIFDGSAKMKAQAQDDSKATLAPKITQEESWLLFDQEALVLHNKVRAFAGWPGTRARIYVIDEKSDKCSELELKVITSRVYHSNDVQSSKDDEVTFRKGSLIIPCGGNTALEVLEVQLPGKKVVNAAAFWNGLRGQKLKKLPLFPSSKPFRDFVETANQIACKKISA >KVH92374 pep supercontig:CcrdV1:scaffold_135:422592:431526:1 gene:Ccrd_005561 transcript:KVH92374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1399 SSWKIRSQFLRNFASKFLPKVYTPTLLRSNNQRFIRRRHCSLAIFSDVINIQGLLTSAGSFLLVRIKMEMEQESEWNAAQNISISEDLVTAAKHQLQFLAVVDRNRWLYESQCLQWAIYRYNACWLPLVAKHSESRVTEGPLVVPLDCEWVWHCHRLNPVRYKSDCEEFYGRILDNCNVVSSVQGISSRDTEEIWSKLYPNEPYDFDLARPSSSEFSETVYGTQSFSKYNFILAIERQSPFFYQLHPASYCNDLVELLGNVLEHDDTDQNRGKGQKLDTGFSETTKQWEETFGSRYWRAGAMYRGIAPSPITTTPCIPEIASNKADSTNELERLIDIPKTNYIEILLQCVEIKNLPEIHKGKVGVLFSKAQPDGIFNVKRKLSIQSELGQKQVAVFQCQPMGYLFFELVSQSKKTKTLGSCFISMEELSGPVSKLSVEKWLDVVPSSGTVGSEPIQLRVAASCTLPTPAPHVVQMLRSSPLSKTHCFFPLCGMVRFTRSWTRIIDAAGYEIIRLQMRENKKSAKEIFGLTRTGETLVLAQFLGKECYKKNGLHCLEYCRPI >KVH92378 pep supercontig:CcrdV1:scaffold_135:383773:392316:-1 gene:Ccrd_005565 transcript:KVH92378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup FVSIQCCADSSFTDPKNNITWIPDDKWFTDNRQCQDIVPPLQNGTSSDHARFFGTQYGTKWCYNLPTKKGQNYLIRGTFPLKDLHTSPITTFFDVLIGITPIGGVNSTDEAEVEGIVRATNDYINFCLLQKQGNTYVSKIELRPLNSDYLNGEPSNVLRLVHRVDVGNTGEEIRFPQDPYDRIWKPDSDLDQNGNSTSLDNRNIIVLNGTKMLPPIQVLQTARTHPERLEFQHNLNSGNKYILYLYFLELNASVQAGQRVFDIYINDEKRQQIDVISSDSNYRTTVMHFTANKFLNLTIRKASNGSQLGPILDAMVNIRDELLVENQDNQVLESWTGDPCLPHSWYGLKCKQSNGTTVITKLNVSNNHFIDSIPTFPYSSVLASIYYGCNRDFDKELPKRQRSSRLATDYGMCMGQKSSHPAPKVFIAAIAGAVLLLIGVLAILICLYKKRKMTNKGSERKRPTMTKNAIYAMPSSKDDITLKTISIESFTLQQIESAIQKYKTLIGEGGFGSVYHGTLPDGQEVAVKVRSTNSTQGTREFNNELTLLSAIRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGDASKRRALDWPTRLSIALGSARGLTYLHTFSGRSVIHRDVKSSNILLDNSMCAKVADFGFSKYAPQEGDSGASLEVRGTAGYLDPEKTEARCTLFKGKLNWAKPYIRNSRIDEIVDPSIKGGYHTEAMWRVVEVALACIEPFSAYRPSMIDIVRELEDALIIENNASEYMRSIDSFGGSNRFSIERPIVILPLPTPTQSEPSSLFSQPAPPQPR >KVH92390 pep supercontig:CcrdV1:scaffold_135:188868:190033:1 gene:Ccrd_005575 transcript:KVH92390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MMAKNLAVGDTNDVEKVFKKFDANGDGKISISELGSILGALGTVTPEEELKVVMKEIDTDGDGFIDLNEFIEFQRGGCSVADGDAVDKELREAFDLYDQDKNGKISAAELHSVLKSLGEKCSLKDCRKMIASVDVDGDGSVNFEEFKQMMTVKRTLERIIFEGTRL >KVH92383 pep supercontig:CcrdV1:scaffold_135:2382:3734:-1 gene:Ccrd_005590 transcript:KVH92383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MAFFDVKFGEQELIRAVVPLLKLLCLTVIGILLAHPRTQLVPKSTFKLLSKLVFALFLPCTIFIHLGESVSLKNLALWWFIPVNVIISTVIGCVLGLLVAVICRPPPEFFRFTVIVTGFGNTGNLILAIVGSICHDSDNSFGPYCHTNGTAYVSVAQWVSVFLVYTLVYHMMEPPMEYYEVVEEDGEIEIVREDLRANDLSRPLLHEAEWPGIEDKETKHCKTPFIARVFANVSDFSQTSIPDPDSLEDGHERPRSPKSIRCLAEPRMVRKIRIVAEQTPVRHILQPPTIATFLALVIGLVPFLKSIVYGDDAPLSFLTDSLDIVAGAMVPSVMLVLGGMIAEGPNESKLGIRTTIGILVARLLILPTLGIGVVLLADKLNLLIEGDRMFLFVLLLQYTTPSAILFGAISSLRGYAVSEASALLFWQHVFALFSLSMYSIVYFKLLMSYV >KVH92393 pep supercontig:CcrdV1:scaffold_135:140736:144468:-1 gene:Ccrd_005578 transcript:KVH92393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDSLTTTSVSTAAGDGDHTPTTPTTIITHTLRLIQDDNHDPYSKIEAAKEIRRLTKTSQRCRRQFSDAIVPLVSMLRSPSIEAKAAALLALLNLAVQDETNKISIVDAGALEPIVTFLHLGNLNLQEHATASLATLSATLVKRSTIGASGAIPFLVELLGHGNTPQVKMDAIMALCNLSSEPPNLALILQSHPIPYLVNILKSSKKSSKISERCTSLLESLVGFEEGRVSLTSEEGGVLAVVEVLERGSPQNREHAVGTLLTMCQSDRCRYREPILREGVIPGLLELTVQGTANSQTKAHTLLRLLRESPYPRSELEPDTLENIVCDIISQIEGEEQNGNAKQMLADMVQVSMEQSLRHLQQRALVCTPSDLQITSNCASEVSLK >KVH92385 pep supercontig:CcrdV1:scaffold_135:247361:258163:1 gene:Ccrd_005572 transcript:KVH92385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVLPRNNKKTTTDDDNDKNNNNNNNRVLITVNVVGSSGPLRFVVNEDDKVSTVIDSSLKLYARGGRLPILGSDFKNFMLYASDVGSASYLFIVLIAALNSSQEIGYCGGRNFVLCKKNNQKPDTRSQMITRQHSRLLNRPQLSFFGIEFGRKSPGDSGKMKMKMKMKKKNHDDETVKNKRFLITVNVLGSSGPLRFVVNDDDKVSEVIYSSMKMYARGGRLPVLGSDINNLLLYPSNAGSEAMKADEAVGACGERTFVLCKKQSRPQMTETRSEMINRHRRSRGWKAWFKILSH >KVH92387 pep supercontig:CcrdV1:scaffold_135:286689:290399:-1 gene:Ccrd_005570 transcript:KVH92387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTNDFNSIIIIEGRLSTSSECSSTSSLSDQEHYTQATNSVRRSQKWRKLLKKVVKESKKSIYGSSKPLMFQYDAVSYSLNFDEGNHGDEYC >KVH92376 pep supercontig:CcrdV1:scaffold_135:407739:410755:-1 gene:Ccrd_005563 transcript:KVH92376 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MNHNTNGIRRDTVIDIAKPMSFAGALEFVNLTYTVIKKKKTDQGKWVNQEADLLNRITGYAPKGCITAVMGPSGAGKSTFLDGLAGRIASGSLKGKVLLDGNEMSPSLIKRTSAYIMQDDRLFPMLTVYETLMFAADFRLGSITKAEKRQRVETLIEQLGLSSARNTYIGDEGSRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAQSVIEKVHNIAQAGSTVVLTIHQPSSRIQLLLDHLIILARGQLMYQGSPKDVGLHLGRIGRRVPKGESPIEFLIDVIQQYDQSEYGVDALAEFVVTGMKPPKLSDDEMSFSTPTPPPHWSSGKKLHLQMAGRHDQDNGFDHSVRSPWNNSKSWSQSGIMQSLKSTPARHRNQRTPNPASASPGYYTNSSDILARTPTPHSSDYTVNEDDYITPSMAADGANHHHLGPKFSNSFFAETWVLMRRNFINIRRTPELFLSRLMVLTIMGFMMATMFKKPGATMQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFVRETSHNAYRASSYTIAGLITYLPFLLLQAAVYAVITWFALKLEGSFVYFLIILYVSLLSTNSFVVFVSSVVPNFILGYAAVIAFTALFFLFCGYFLSSHDIPKYWRWMNKISTMTYPYEGLLINEYQRNEVFGQTLAGTNVTGIDILQSLHIYHEKDPKWSMIYIMLGWAVLYRILFYIVLRFASKNQRT >KVH92381 pep supercontig:CcrdV1:scaffold_135:349084:349416:-1 gene:Ccrd_005568 transcript:KVH92381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTDFITSTAQRRSSYFSGCMSPSCVPVEEQYTRIKSGRRGADRQRRRWRKLMNKMVEESKRSIYGSSKPLEFRYDALSYAQNFDDGNHRDELYLYERQCSQVLPECS >KVH92400 pep supercontig:CcrdV1:scaffold_135:60845:62051:1 gene:Ccrd_005585 transcript:KVH92400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA TCVLKVNIHCHGCKNKVKKILKKVEGVYSVDVDAEQQKVEVSGNVDSTTLIKKLVKSGKYAELWPSTDQHYNQNQDATSSINGGNHQNQDTSSFINGGNHQNQIQNLIIGLNYPKTRPPRSLEDQLSLERYLKQNMRMAEEREREQNFMPTINMENHGHMGWDGNGSLTGENSCGYIELEGSQLGGFGGSFNGGLPSYHDHQPATLPRFYHDHQPATLAMMNMYQPNYPAPLMTKRNYVQKMHGSMGNHVM >KVH92382 pep supercontig:CcrdV1:scaffold_135:329412:341980:-1 gene:Ccrd_005569 transcript:KVH92382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATYFNSITTIERRLSTSSECSSTSSGSDGEQYVKVDSNVRRSRKWRKMMKKLVEESKKSIYGTSKSLTFGYDAVSYSLNFDEGNHSDEYYL >KVH92399 pep supercontig:CcrdV1:scaffold_135:64129:66067:-1 gene:Ccrd_005584 transcript:KVH92399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRFPLLPSLYKITQTYFRTPNPYFRLPNALPDSLFVVGSRTPTSGSPFSFAQASRSYARGRQPHYDLFGNGKPGDKKFREAWGKEIDEDDCLWTGSEDESDTEKRKKHLDEEIKKVKQQAKDHSDLIDGDDSDELRSVWSGSDEEKTLWTGSEGDDDDDIPTDPYPNEKSDAYLDKLFEFEEKPKYRTLSELLKSEEEPEELSPGKQARKLAVENALKKLKKGPDGRYTNVWEVMSDLDILIGAFENIVSGPEYEELRKGGPKKLNMEFFKDIQARMRDPNYKFSPELKLKPKSRVVPRKKWQKAQSRRRKAQKR >KVH92392 pep supercontig:CcrdV1:scaffold_135:107038:130813:-1 gene:Ccrd_005579 transcript:KVH92392 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MEDSSERLESEPSEMKDELSMEIDPPFNENHATAEDWRKALHKVVPAVVVLRTTACRAFDTESAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPIYRDPVHDFGFFRYDPAAIQFLSYEEIPLAPEAACVGLEIRVVGNDSGEKAASGTKGGSSGSPVIDWQGRAIALNAGSKTSSASAFFFPLERVVRALNFLQKGRDSCQGKWEAVTIPRGTLQATFLHKGFDETRRLGLQSETEQLVRHASPLSETGMLVVDSVVPGGPAYKHMEPGDVLVRMNGEVITQFLRMETSLDDSVGQNVELEFERGGRPFTVQLMVQDLHSITPNYFLEVSGAVIHPLSYQQARNFRFHCGLVYVSEPGYMLFRAGVPRHAIIKKFAGEDISHLEDFVNVLSKLSRGARVPLEYISYLDRHRKKSVLVTVDRHEWYAPPQIYTRDDNSGIWIGKQALLPNSQLISSGIKVIEQGLTSNIVLENRLVEPLHQDIGEELVNGVASMDTSSSDHVTDEPHVQEESDNGTKKRRVEEDLSADGIVIADLNGLPQFEQLEDPRSPGDTVVRDEHGTSADSGNASVAERVIEPTLVMFESSGPTFTDMCLLSLHPLFSTYGPSESDLALTNLNFHYVIEPALHRGETVYLVGLSRSLQATSRKSVVTNPFAALNISSADSPRYRATNMEVIELDTDFGSSFSGVLTDEHGRVKAIWGSFSTQVLKKIISGTEAPSLLINGIKRPMPLVRILEVELYPTLLSKARSFGLSNNWIQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAINKKPVTCFHDFEDACHALDLSDGMEEKLGLTIFRQGREIELLVGTDIRDGNGTTRDPHPAVRALGWSHGSPVHRYGLYALQWIVEVNGKPTPDLDAFVNVTKEINDGEFVRVKTVHLNGKPRVLTLKQDLHYWPTWELRFDPETAMWQRKTIKSLGTGNSP >KVH92375 pep supercontig:CcrdV1:scaffold_135:415377:421451:1 gene:Ccrd_005562 transcript:KVH92375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaK MAASIFLRSTRRREFQSASLSAYRSVAGNVKSSVSSFMTNKWSTAARPFSSRPLGNDVIGIDLGTTNSCVSVMEGKTAKVIENSEGARTTPSVVAFNQKGDLLLGATAKRQAVTNPTNTLFGTKRLIGRRFDDAQTQKEMGMVPYKIVKAPNGDAWVEINGQQYSPSQVGAFILTKMKETAESYLNRRVDKAVITVPAYFNDAQRQATKDAGRIAGLEVLRIINEPTAAALSYGSNDKEGVIAVFDLGGGTFDVSILEISGGVFEVKATNGDTFLGGEDFDNALLDYLVNEFKTAEGLDLSKDKLALQRLREAAEKAKIELSSTSQTDINLPFITADASGAKHLNISLTRSKFETLVGNLIERTRTPCLNCLKDAGLSAKEVDEVLLVGGMSRVPKVQQVVTEIFGKNPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTKLINRNTTIPTKKSQVFSTAGDNQTQVEIKVLQGERAMAADNKVLGEFGLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKSSGREQQITIKSSGGLSEDEIQKMVKDAELHAQKDEERKVIMDLKNNADTNIYSMEKNLNEYKDKIPSEVAAEIESAISDLKKARDGENGDEIQAKLDAANKALAKIGEHMNKGSGSGGSEEPAPEAEYEEAAKK >KVH92396 pep supercontig:CcrdV1:scaffold_135:11473:14288:-1 gene:Ccrd_005589 transcript:KVH92396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISFSNPIFSGCRRHHHRCSRATVFRHGTESFIVNARRRNNDKKNVVDENMIVLRMRIKEVEIEEMGGLPLAAPENWMKWEKQYERYNEDVCEAIGKLQTWLMNTRPSLVLGVLILLMMSVFLSTGVVVYHFISSDIDTFDADLMMPLSNGGVNYLPFYKVELYVLMAVTKVL >KVH92386 pep supercontig:CcrdV1:scaffold_135:275994:278724:1 gene:Ccrd_005571 transcript:KVH92386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MARGKITIRRIDDTTSRQVTFSKRRNGLLKKAKEIAILCDAQVRQGMGNELSNMGVEDLQRLEIRLETNLRNIRLKKV >KVH92394 pep supercontig:CcrdV1:scaffold_135:442983:453903:-1 gene:Ccrd_005559 transcript:KVH92394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MPSHPNNSPPPPPPMSTATTILLFLLLTLNIPNCQSISPESLKFPCQHPHHNSYPFCNTTLPLPIRAQSLLSLLTLSDKIKRLSNNDTGIPRLGIPPYEWWSESLHGIAVNGPGVSFDAGLIPAATSFPQVILTTASFNRTLWFSIASAIAVEARAMYNGGQAGLTFWAPTINVFRDPRWGRGQETPGEDPMVAAAYAIEYVRGFQGEKSKNDRTGTENKKRNRRRRVLTGGGGGGDDELMVSACCKHFTAYDLENWGVYTRYNFNAIVTEQDLQDTYHPPFKSCIQEGKASCLMCSYNAVNGIPACADQDLLQKARTEWGFKGYVTSDCDAVATIYEYQNYTKSPEDAVAIALKAEEDIDKALVNLFMVQLRLGLYDGDPITGKFGKLGPRDVCTSDHKNLALEAARQGMVLLKNDNKFLPLQKNVVSSLAVIGPMANATSTLGGGYIGIPCSPTSIVEGLKRYVKRTTHASGCLDVSCTSNGGFLEAVSISKKADFVIIVAGLDLTQETEDHDRFSLLLPGYQMALITTIAATSEKPVVLVLTGGGPLDVSFAQGDPRIASIIWVGYPGETGGRALAEIIFGDHNPGGKLPMTWYPESFTRVPMNNMNMRSDLSRGYPGRTYRFYISDIVYGFGHGLSYTNYTYNILSAPNKLHVFESMKTKSRNILQQKEGSSNYLYVDEIEEHCDSLRFQMEIGVKNHGPFDGSSVVMVFARVPGSFKGAPMKQLVEFESVHTGSYSDAKTGIIVDPCKHLSIVNEFGKTILPLGDHILMIDDLEHILSIVM >KVI01185 pep supercontig:CcrdV1:scaffold_1351:83504:86218:1 gene:Ccrd_020548 transcript:KVI01185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0197 MVHSVKPISSPVPDAWYPTLAVLMLAVGLVITASFFMALDPCSCSLLRVSMFDLTMDFCIGIWKFKSVY >KVI01184 pep supercontig:CcrdV1:scaffold_1351:90903:102194:1 gene:Ccrd_020549 transcript:KVI01184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-binding protein RBBP4 MEEASSPLSQPKKRGRPKGSKKITREEREISGLKMRDRASAVGNKKAAVVDEKYNNWKSVVPILYDWLANHNLVWPSLSCRWGPLLEQSKNKNKQRLYLSEQARTDGSVPNTLVIANCDVVKPKVAAAEHISQFNEEARSPFVKKFRTIIHPGEVNRIRELPQNKNIVATHTDCPEVLIWDIEAQPNRYAVLGAAESHADLVLTGHSDNAEFALAMCPSEPYVLSGGKDKYVVLWSIHDHISTLGGGDSTNTSGSTGSIVKSVNNASLGPRGIFQGHEDTVEDVQFCPTSTQEFCSVGDDSCLILWDARVGTDPVMKVEKAHNADVHCVDWTDHSVCMFDRRNLTSDGVASPVHKFEEHRAPVLCVQWCPDKRSVFGSSAEDSCVNIWDYEQVGKKTEHGTKSPNSAPGLFFKHCGHRDKVVDFHWNVYDPWTVVSIWRMSDLIYRPRDEVLTELEDFKAHVATCGPRA >KVI01186 pep supercontig:CcrdV1:scaffold_1351:75084:82147:1 gene:Ccrd_020547 transcript:KVI01186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MPCNCVMPMQIALGLGVALYAFFPLVSKLAFEIASGVNMKPSQVRIMGANADDQDPEKTVVLIDLVPFGLPLSPPLPSSTIGSNGESGGRDNNGMTEKPLGVDVSKHRHGLKLNGSIVAIIVLSAAVVAVLIGALVWVLLFKHRDGSRSESALPAALPSFRKSSGSAKMFNLSEMEKATDNFNESQVLGEGGFGIVYGGLLEDGTEVAVKVLNKIGKQGGPEFLAEVEMLSRLHHRNLVRLIGFCMDDRSRCLVYELIPNGTVQSHLHVSIDSIARVAAVASMCVQTDVSHRPSMGQVVEALNLGLGLTRVHGFIRAELDFMPADDIEAWPTGIFEVIIALVDFD >KVI01190 pep supercontig:CcrdV1:scaffold_1351:16909:31455:-1 gene:Ccrd_020543 transcript:KVI01190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Density-regulated protein DRP1 MAEKPQPVQVPYCGVCGLPAEYCEFGPDFEKCKPWLIRNAPQLYPDLIQESNSKEADGVSDQLQSFSITDSTGPSGSSALKQEPVKRLPGGKIKKKVTRNKRKSITTIKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKDQIDVQGDIAYDIVEFITDTWPDVPETAIFFIEDGKKVPAV >KVI01189 pep supercontig:CcrdV1:scaffold_1351:35777:37201:1 gene:Ccrd_020544 transcript:KVI01189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPLTITIWRFQASDFVEVSIDHDDDEEPDAGKPNLRSRSLILVKVWCFLGLSLVVFLLTSSNGMRTLAAVVHHPTAVVVHRPTAAVVHHPTTAESPSDSSSLYINCGGKQFEDGKKVYEADVERGGASHFSSTGSRWGFSNTGHLLGNGRILPGSWWAHHELGRGGWWFRDPVENASFMSFGNDLK >KVI01188 pep supercontig:CcrdV1:scaffold_1351:39994:40866:-1 gene:Ccrd_020545 transcript:KVI01188 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4408 MVGGVASMAITSITSWFTPTVLFCVLNLMIAIIFIASNFKSPHHPPQNLQGELVRSPSLLERVKSVNFSSFYATTPESHDITGYNSMGQLSRPPSFLQRVKSIDLSFSSFYAPPIGLQSHDLHDSEQTHYDLPSQLTRVPSLLERVKSFKLPSPFNSDHHSGVGDISFTKDELSDMDRDPHHEPIQDDNVTNDEPETVDVTPAKKPRNSITKSRSEKRMPESDDDEDKDKDKDDVDRRRPATMKAAIGGKDECVDAKADDFIKRFKQQLKLQRLESLQRFREMLNRGTSA >KVI01187 pep supercontig:CcrdV1:scaffold_1351:63416:73585:1 gene:Ccrd_020546 transcript:KVI01187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLKRTKILFFSDQKFKKILLYKSHKPLFILSCLTLLILFFLFLFSIGNWDSRTCFLILKKEDFVLFVWNGFDCGNCLLGAESLCHCWFSCSSRIISFLCTQCSKLL >KVI01183 pep supercontig:CcrdV1:scaffold_1351:102711:126728:-1 gene:Ccrd_020550 transcript:KVI01183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 beta-sandwich GFRSLGSCNITAYQRQQKSNLALALNLFKGYMNVLPPPVSGSAQPPRMFGMASPLPNQQAMATISPTMGPAGAAGTGLSRIDPNQIPRPIPNSSVLLHETRHGNQANPPPPATSEFIVRDTGNCSPRYMRCTINQNFLLVEQIPCTSDLLTTSGMQLALLVQPFSLPHPSEEPIQIVDFGESGPVRCSRCKCYINPFMKFVDQGKRFICNLCGFTDKTPREYQCNLGPDGRRRDADERPELCRGTVEFVATREYLVRILANVLSFVPSIVLLSHACSVREPMPAIFFFLIDVSMNAIHTGATAGACSAISRVIADLPEGPLTLVGIATFDSTIHFYNLKRALQQNNKMADSAFGAGMQGAFLAMKSTGGKLLSCLLLALGLFLLERLKEEEAHRLLQPVDRTLKTMAIEFAEYQVSVDVFITTQSYVDIASISVVPRTTGGQMYYYHPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGVQVHEYTGNFCKRIPTDIDLPAIDCDKSIMVTLKHDDKMQDGTECSFQCALLYTTVYGQRRIRVSTLSLPCTTMLSNLFRSADLDTQFSCFLKQAALEIPFVPLPQLREQVTNLCIYILHSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSIGLRSDGRIDDRSFWISYVFPLSTQLTIPLVYPRMISIHDLNSKETDGVIPPAIPLCSEHQYDNPLSKKLNEVVNEIRSQRCNYLRLRLCKKEDPSVRSPSIMFTNSGSPCTSQDEAKF >KVD97804 pep supercontig:CcrdV1:scaffold_13518:1:525:1 gene:Ccrd_024372 transcript:KVD97804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTVIGCDDYAWLTSETNSRYVSTGCATRCPTPKDVVGDKCLGNGCCQSSISKDINYYRTQVYSMDDSDNMSYTRSFNPCSYAFVGEENVFKFNGATYLNHTLLNKKIEANVPIVLDWAIGNLSCTEAEATDGFACRYSNSSCVNSPRESGGYRCICNEGYEGNPYLSPGCHGTV >KVD97507 pep supercontig:CcrdV1:scaffold_13522:195:536:-1 gene:Ccrd_024373 transcript:KVD97507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MAINQTVSSRLEQWHDLSGKVVLVTGASSGLGRGFCIDLAKAGCRIVAAARRRDRLVSLCEEINGIRRNDDVGAVAVVVELDVSGKGPAIEASVKRAWSAFGRIDALINNAGVR >KVH89742 pep supercontig:CcrdV1:scaffold_1353:90754:91425:1 gene:Ccrd_008266 transcript:KVH89742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MEKKSDTASMADDHRLSPPSPSEEKATGTFITPPGLTDAEFLVLKTAITEFHTYDLKSAQCSSLLAQHVDAPPDVVWSVIRQFDKPQIYKHFIKSCSVKEGSTMAEGCTRDVIVISGLPAATSTERLDLLDDERQVMAFTIIGGEHRLRNYRAVTTVHEIKVEGSPSTKTIVLESYMVDVPEGNTEEDTRFFADTVVKLNLQKLASVTEAIARGGAAAVESLW >KVH89743 pep supercontig:CcrdV1:scaffold_1353:110482:111486:-1 gene:Ccrd_008267 transcript:KVH89743 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MDNSDYLGGRHDERLGVNKIGKNIRKSPPPHQPNFANPARQPPPPQVYNINKNDFQSIVQQLTGSPLHHSQEPLARPHQNSPKPPSMRLQRIRPPRLAPINVNRPQMPVHPRPQMPPRGSISFNNNLARPAQYGQPPPRQAMVPPPMTGGDHMGWANTAESPISAYMRYLQHSIIDPAQGHPQDHPQYQLRPQTPGQIQSQQGQSSGLQPHPSFPPFPSPRMNGLPPPFPSPRINGPPPPLPSPRINGPFIPPSPNSQFLLPSPSGYLNLLSPLSPYPLISPGYQHPPPLTPLFSFSPMAQSGILGPGPQPPPSPGMGFPSPGFFQLPSPRWRD >KVH89744 pep supercontig:CcrdV1:scaffold_1353:118276:122579:1 gene:Ccrd_008268 transcript:KVH89744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVVDLKNKSNIFVSILWKQLNRLGNIGFDPETFRINPYRIAQFVHAYSVFPLASDIDHWGRGLTASSNLRLLEWQVCKKKHNKLECRLFIFPRQFATTLSSKMTIHVQATIHTLDDSKIITPPIAPLNEDINQWKQHGETFYMNFRVGEEEAQAKMSCSVSELFLHEQLFLLYCGGMWKLPEFLVPTNGWPCQAHRRMLLMEEGQLIK >KVH89740 pep supercontig:CcrdV1:scaffold_1353:57992:64771:-1 gene:Ccrd_008264 transcript:KVH89740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase MKLTANSFSIPPYLALNAGCKFRSQVLVRSLSGGDPEALASESYRVDGLPSVGERSRVRYLKDVENGQLSHEVGGKKRREDVLEKLEVLWDDGYGTQSSKDFSKLAKDIMKPDGGPPRWFCPIPCGIPLKDSPVLLYLPGLDGLGLGLILHEKALGKVFEVRSLHIPVQDRTPLEDLVQFVEETVRLEHASSPEKPIYLIGDSFGGCLALAVAARNPTIDLVIILVNPATSFEKSQLPTLLFLLEALPDVLYGALNLIFSSAIRGLGDILPKDALMWRLKLLQSAAAYANSHLQVITAEVLVLASYKDKLLPSKDEALRLARSLKNCEICFLNGNKHRPLLESGDNLLTIIKGASKYRRTSYHDSVKDYVPPSMSEYRRESDGHWLYHLATSPVMLSTLEDGKIVTGLAGIPSDGPVLFVSNHMLFGLDLFILFLQFLKEKRITLRGLGHPENLKADHQSVIPDISIILRVFGMLPVTAINLFKLFSSKSYVLLYPGGAREALHRKGEVHKLFWPEEQEFVRMAAKFGATIIPFGSVGEDDVSEMIMDYNDMMEIPALNNSLQEFNKKAVRLRQGKEGEVGNQQLHLPLVMPKVPGRFYYLFGKPIKTKGLEVLNDKEISQALYLQIKCEVEKNIAYLMKKREEDPYRGFMKRVAFQAKTRTPYDQVPTFEP >KVH89739 pep supercontig:CcrdV1:scaffold_1353:41485:52408:1 gene:Ccrd_008263 transcript:KVH89739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MEVLTVLETFSRKLIFYSHLRTAMAMVASAVKETLGELNKDSFVTLLTKLIGESKYVQNNPPDLIPEEDRIVRHVLDALLPLTTTTGGGPLIVNHVTYKSNRGNLIVEYPGTEPGKIISFVGMHMDVVTANPSEWDFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMKKLGQTKPKLKSTVVAVFIASEENSSIPGVGVDALVKDGLLDKLKNGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLAHKVSQVQETAFGYGYSSLILFYSLDPGGGINQIPADCTISGDVRLTPFYNVPDVIKKLNEYVEDLNMNIEKIDTRGPVSKYVLPDENLRGRIEISFDEASSGVACNLESRGFHVLCQATKDAVGHVKPYSITGTLPLIRELQEEGFDVQTAGYGLMATYHAKNEYCLLPDMCQGYQVFTGIIAQLEE >KVH89741 pep supercontig:CcrdV1:scaffold_1353:77321:87139:1 gene:Ccrd_008265 transcript:KVH89741 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU MGDQEQNQNQSNSRSQNENQDQSQSHVGFESYVVIHNIAKRHNVGTLARSATAFGVSELILVGRRDFNSFGSHGSTSHLRFRHFYSLSDARVFLKEKDCDICGVEITDNAAAVNGHPFKKSTAFLLGNEGSGLSAKECEVCDYFVYIPQYGCGTASLNVTVAASIILHHFAVWAGFPERTRDGNKFVVAEKPVKNLGRNFCTETAESVTEERKLKRENDAVNGFFDESEGDDSSSSLLDAVFRD >KVH89738 pep supercontig:CcrdV1:scaffold_1353:13143:13760:1 gene:Ccrd_008262 transcript:KVH89738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MWNPNQPDATSHEEDDSWEVRAFAEDTGNIMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQSQPNSKNLYNISSSNSSSSSTLLIPTQELVGNGGLCLLYSLPTSNALFSPLKTFTDNPSSFLSIAPHPTTANLMNFPAAVPPHNIQSSPSNSSNTEASMSTNNHNNRVTLTTSEVAEIDLELRLGRSRSSSSPS >KVI08495 pep supercontig:CcrdV1:scaffold_1354:94549:101805:-1 gene:Ccrd_013138 transcript:KVI08495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMSSRVSGEGIGERSWLGSVLTGREVGTSSIGSRKRNWGGLQQLDLGNGRDKVGSGCGVGGHLFSKVFELGDGGGGGGEELGEAIGHGSHRFPNGWGIAFGRHGKSMKAPIDTTGRLEPVQAYLKKNKRGLGAETKKPQSTGDKKNVASDKTDDKLSSRSKAKRSKRLKKALEIEKKLQENEFQAAFFREFWPDNV >KVI08492 pep supercontig:CcrdV1:scaffold_1354:21607:42718:1 gene:Ccrd_013134 transcript:KVI08492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase MFVEATVSVVNLSPCFALNSGYKHRFSRVSVRCIGTAADSSIVESADSVRVSGRSSNKKVVSLNDVGSNALDDKSRWKEEKLETLWDDGFGTQTVKDYLDISEDIIKPDGGPPRWFCPVVADGNDNPIPNSPVLLYLPDLVIFVEETLRFEHALFPSRPIYVVGDSFGGCLALAVAARNPAIDLVVILANPATSFDRSQLQPILPILEVMPDTLHVTIPYLLSFVMGDPIKMAMVDSESSSIPNLEKLSANLTSMLPRLSSLSDIIPKATLIWKLKLLKSAAAYANSRLHAVKAEVLLLASGKDNMLPSKDEAKRLSRTLQNCKVCYYKDNGHTILLEDGLNLLTVIKGTCKYRRTWKHDFINDYLPPSMSEYRNGLQTNGPVMLSTLEDGRIVRGLSGVPDDGPVLLVGYHMLLGLELASLIIEFVREKNVVVRGIAHPELFTENSKSDLPEFSNLDLMKVYGALPVTPTNLFKLFSSKSFGLLYPGGAREALHRKGEEYKLFWPDQPEFVRMAAKFGATIVPFGVIGEDDVAELVIDYDDIMKIPVLNNYIRKSNEEAVRLRTEMDGEIANQQLYFPGLLPKVPGRFYYLFGKPIQTAGMEKKLKERENAKELYNEIKSEVEKSMAYLIKKREGDPYRGIVTRVVSQPLSTPVHQVPTFEH >KVI08490 pep supercontig:CcrdV1:scaffold_1354:88144:92130:-1 gene:Ccrd_013137 transcript:KVI08490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MKADEDVRMISAEAPIVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDIKDEAMTSMPTVTVPVGGPSDTFPYYYMPAQQSLQSGTPGMISNKPMLDPALYAQQPPPYMAPAIWPQPPQQPQSPSDS >KVI08491 pep supercontig:CcrdV1:scaffold_1354:114894:123205:-1 gene:Ccrd_013139 transcript:KVI08491 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MATATAPSRSFLQVAATEEAVAPPLRVVQIEGLVILKIIKHCTEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSKSNQGVLALKALKLSDSFMELYRNNDFNGEKLREKNLTWVDIFEEIPSDYDRLQLSTIPVMERNMEFLIECMDDLSMEQQKFQFYYRNLSRQQAQQQTWLQKRRAENMARKAAGEDPLPEEDPSNPIFKPLPEPSRLDSFLITNQVSNYCNQINSVAGQSFSRLYLMKALHEN >KVI08493 pep supercontig:CcrdV1:scaffold_1354:70779:79690:1 gene:Ccrd_013135 transcript:KVI08493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase 22kDa subunit QTALFTCFSSLSFLLNLSLSSSPLFHHQSLFPSINTKSNMNKQDFMKLQGKVTVTGNADPAVLVKKLVKSGKHAELWGQQKGGMNLFNNQFKNMGFDNKTQKGGGGGKDKQKDGKGPGTVVELPVQFKGKGGNNDAKNGKGGKKGGGGGGEGGKKSKDGSGGGFGGFFGGKIGGALLGGGKKKNNGGSPKEGKKGGGGVGGGGQMNGGGKKGGGKHLDEGKFNGSNKQNEFLEFSKPQNGGGMRNMNPNGGGGGGARNMSQMGGGGGGSGRNMSQMGGNPMMPQMGNYPMGQMGNYPMGQMASAQGLPMAAPGYRGQGMEQSNPYQQQQYQQQQYMAAMMMNQQRANMYPQMMYGPPPSSAYGPPPVNDNITHIFSDENTDSCRGEGRREKGERGQTRKMHSFGYRANALLTFSLTILALMCAMASVSDNLNSQSPTATVQVLNVNRFQKKSNGDDEVGITLDISADLQSLFTWNTKQVFVFLAAEYSTPKNSLNQVSLWDGIIPAKEDAKFSIKTKNKYRFIDQGSNLRGRDFNLSLHWHVMPKTGKMFADKLVLPGYRLPESYR >KVI08494 pep supercontig:CcrdV1:scaffold_1354:1:11367:-1 gene:Ccrd_013133 transcript:KVI08494 gene_biotype:protein_coding transcript_biotype:protein_coding description:APOBEC/CMP deaminase, zinc-binding YIRELHASSLRRDEHEIQNPKILVIVDRLSSPSLHVSLSLSLSLVIFPPFIPLNQTPTHMENAKVVEAKDGTIAVASAFSGHQEVVQDRDHKFLTRAVEEAYKGVECGDGGPFGAVVVHKDEVVASCHNMVLKHTDPTAHAEVTAIREACKKLNKIELSDCEIYASCEPCPMCFGAIHLSRIKRLIYGAKAEAAIAIGFDDFIADALRGTGFYQKAHLEIKQADGNGAMIAEQVFEKTKAKFAIDHKFLTRAVEEAYKGVECGDGRPFGALVVHKDEVVVSCHNMVLNYTDPTAHAEITAIREACKKLNRIELSDCEMYSSCEPCPMCFGAIQISRIKRLVYGAKAEASIASGIPIGDFISDALKGTGFHEKANFEIKQADGNGAMIAEQVFERTKAMFPKR >KVI08489 pep supercontig:CcrdV1:scaffold_1354:80336:85745:-1 gene:Ccrd_013136 transcript:KVI08489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MAFSFPKTASTFLFLLFTLIFFFLLLSNHLPPSTIHRRSLLNTTTCSHILQIQPNQRCSFSTHHCSVDSNGLLNYFSFHFCHFHQNPFLSIPFLSLTVVLQFYILVKTAQDQFSVVVTKLATHLNLSPSMGAVTLLALGNGAPDVFASIAAVGGGNARTGFGAILSAGTFVSALVVGFVAIYAAPFAVSPAPFIRDVLFYLTAALFLFYVYLSAEIFLWQAVGFVGFYLAFVGFVFWMDFGMDTGGKSKVGSREVVGLVGDGYDDHKGSMEIDSENGSNGMDFTKKKTGFGFRQAFEKISKIWEFPVSTMLKLTIPQASPSEWSRLYRSANIALCPLALMYSCKSVMPLSHPIVFLLPNVHFPLWLVVLFGSSSMAIVHFVVERKPPRTEQMPVVLMGFIMSVFWISTMAGELLNCLAALGSLMEVPPSLLGLTVLAWGNSVGDLVADVAVAKAGQPAMAMAGCFAGPMFNMLFGLGMALVIQTANVYPEAYELQFHVSIVVAFVFLILSLMGSLLVVTWCRFRVPRFWGFCLVGLYVFFIALSLLIAKLQF >KVD97160 pep supercontig:CcrdV1:scaffold_13545:2:166:1 gene:Ccrd_024374 transcript:KVD97160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVIVLMGLVVYWHMLLRQLMEGFITMQMTLFLTTRSGSECY >KVH96918 pep supercontig:CcrdV1:scaffold_1355:118460:118762:-1 gene:Ccrd_000989 transcript:KVH96918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MIEKLGAEGFMNELYKGFESLEDRDTKGFITFESLKRNASWIGLEKMNDDELRCILREGDVDGDGKLSEMEFCVLMFRLSPGLMDGSHRWLESTILMEFM >KVH96917 pep supercontig:CcrdV1:scaffold_1355:122445:123251:-1 gene:Ccrd_000990 transcript:KVH96917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf1 MEGNLVFLCMKIINSVGVVGLYYRFLTTFSIGPSYLFLLRAHVMEEGEEGISNNWFYYGTAHNVHIDLLCASASSTG >KVD97104 pep supercontig:CcrdV1:scaffold_13554:549:998:-1 gene:Ccrd_024375 transcript:KVD97104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CATRCPTPKDVVGDKCLGNGCCQSSISKDINYYRTQVYSMDDSDNMSYTRSFNPCSYAFVGEENVFKFNGATYLNHTLLNKKIEANVPIVLDWAIGNLSCTEAEATDGFACRYSNSSCVNSPRESGGYRCICNEGYEGNPYLSPGCHGTV >KVI11528 pep supercontig:CcrdV1:scaffold_1356:74494:77299:-1 gene:Ccrd_010059 transcript:KVI11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MELCSAQLLKLIFIVFLLPLACADLNSDKQALLAFADAVPHGPKLNWSNNTGICTSWVGINCTSDGNRVFALRLPAIGLKGPIPSSTLGKLDALQILSLRSNRLNGTLPSDLLALPSLHYLFLQRNNFTSDIPASFPPRLIVLDLSFNSFTGSIPAVIQNLTQLTGLNLQNNSLSGPIPNVTLSSLKHLNISYNHLNGSIPSSLHTFPSSSFLGNSFLCGQPLNPCSPAFPPFPSPPIGPKKQSSKKKLPLWAIIAIAVGGGILLILSVAIILFCCLKKKSSDPSHVTKAKSSGGGRGEKPREEFGSGVQEPEKNKLIIGRVGQHQNVVPLRAYYYSKDEKLLVYDYISGGSLLTLLHGNRGSERNPVDWETRVKIALGAARGIAHIHSIGGPKFTHGNIKSSNVLINQDTNGCISDIGLAPLMNFPPASSRHAVGYRAPEVLETRKHTHKSDVYSFGVLLLEMLTGKQPIQSPGREDMVDLPRWVQSVVREEWTAEVFDVELMKFQNIEEEMVQMLQIGMACVVRVPDMRPTMDEVVRMIEEVRVSDSENRPSSDDNKSKEQTP >KVI11530 pep supercontig:CcrdV1:scaffold_1356:55342:55614:1 gene:Ccrd_010060 transcript:KVI11530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1674 MVSQYDEEFTNSTNRFISKLTENLQTHQDQDGATNEEDKIQKAQDHGEENDEDEDHGEENDEETGKSGGPRGLEPTRYGDWERNGLCSDF >KVI11527 pep supercontig:CcrdV1:scaffold_1356:115307:118561:-1 gene:Ccrd_010058 transcript:KVI11527 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MATHGKNIDRKDRNGQWVLSKDIPTDILVQVGAAEFPLHKFMLLAKSNHIRRLVIESEEPDLRRINLSNIPGGAEIFEKAAKFCYGMDVEITVNNVAALHCAAEYLEMTDQYCDGNLASRTYAFLTQVALTSLSGAITVLRSCQQLLPIAEQLNIVHQCIQVASAEACNEANSPSCSPSNWWAEELSMVHITFFQRIIDLMKSRGTKALAIAGAITTYAKRSFPNHSVNGIKSPVSGDSSRIKQRDLLESIVALFPVESQQAVFSINFLCYLLRTAIMLENNDNCKKQLEKRISAILDQVTVDDLLLLSYTFDGERLCDMESISRIVTGFVGKEKNLSVFNYGDYKEAPSPAMIRVAKTIDVYLSKIAMATELSIPKFTGIANLVPKNARDVDDDLYHAIDIYLQLHPNLDEIEREKVCNPVDPLKLSVEARTHASQNKRLPLQIVLHTLYYDELQARSDIDGRNTPGAQSMRLQVHADAALAKENETLRSELLRMKTYISNIEKKQVGVPSPKLKKLKKPTFFSTMSKTLGKLNPFKLGSKDTSNISDEVDHNKPRRRRFSMS >KVI11529 pep supercontig:CcrdV1:scaffold_1356:25135:25623:-1 gene:Ccrd_010061 transcript:KVI11529 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEKKFRGVRRRPWGRWAAEIRDPHRRKRVWLGTYDTPEEAATVYDEAALKLKGPAAVTNFPRVTVTRTVTVESQSQTATTTSSSCSEEPLNHTAMSPTSVLYGNPELTAFDHGLGCCDVDSLGFDIDMPFNLPDFVASENYCGEELGEFNIDDFLVDFRENY >KVD96838 pep supercontig:CcrdV1:scaffold_13560:297:600:1 gene:Ccrd_024376 transcript:KVD96838 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein Rad51, C-terminal MYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRF >KVH88227 pep supercontig:CcrdV1:scaffold_1357:88396:89840:1 gene:Ccrd_024377 transcript:KVH88227 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEVFSHFHSKTPIFFVVLLATFITLSLGQGTRVGFYRTTCPRAETIVQAAVQSAIRSNPTIAPGLLRMSFHDCFVNGCDASILIDGPSTEKTAGPNSLLRGFEVIDAAKTQLEAICPGVVSCADILALAARDSVVQAGGTGWAVPTGRRDGLVSRAADTANLPAFNDPINVQIRKFTDKGLNTQDLVALSGAHTIGTAACALFSYRLYNFNNTNGPDPSINQAFLPQLRNLCPNGGDGSRRVALDTGSVNNFDNSYYANLRAGRGVLESDAVLWNDPTTQRFVQRFLGLRGLLGLRFNVEFGRSMVKMGNIELKTGTQGEIRRVCNAIN >KVI00843 pep supercontig:CcrdV1:scaffold_1358:1352:6871:-1 gene:Ccrd_020902 transcript:KVI00843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MLLAATPLSFYAPPKPLLPPQPSSLLKSRDFVTIFSTTTQSLVSSIQSPPTRLLATPPTGKFLRKDYLIQKYSAKEVQHLVKGERNVPLIIDFYATWCGPCTLMAQELEMIAVEYESNAMIVKVDTDDEYEFARDMQVRGLPTLYFISPDPSKDAIRTEGLIPIQMIRDILDKDM >KVI00842 pep supercontig:CcrdV1:scaffold_1358:30385:32575:-1 gene:Ccrd_020903 transcript:KVI00842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MESLPLLLVFLLFFVSGHSLNPTCNSHDQHSTLKVYHVSSPCSPFRPKTALSWPDTILQMQADDQTRLAYLSSLVAGRSIVPIGSGRQIIQSPTYIVKAKIGTPAQTLLMALDTSTDMAMVPCTGCVGCASAGFAFAKSTTFTSLNCGAAQCRQVMDSNCLGTSTCSMNMTYGSSSIAANLAQDNLTLAMDTIVGYPFGCIQKVTGTSLPPQGVLGLGRGSLSLLSQSKSLYKSTFSYCLPSFKSPKFAGTLRLGPDGQPKNIKFTPLLTNPRRPSLYYVKLVGIKVGPKLVNIPPTAFALNPNNGAGTIIDSGTVFTRLVTPAYTAVRDEFRRRMGRKTVVTSLGGFDTCYKVPVGKQVPTMSFVLEGVVMAIKQENFLIYSSNGGITCLAMSASPSLNVIANMQQQNHRILFDLPNSRIGISPERCS >KVI00841 pep supercontig:CcrdV1:scaffold_1358:95148:96848:1 gene:Ccrd_020904 transcript:KVI00841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNQPSVSPNINQEVEVNLIIIDYSMSGMTGYDLLTKVKILLAKSNVAGSVAFLNARLFFLLLLSSSNDMRQDNDIGRTEHVREQGNDTVGA >KVD95797 pep supercontig:CcrdV1:scaffold_13587:807:990:-1 gene:Ccrd_024378 transcript:KVD95797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEANKTAFMEIQGRMIETTAKLKQVAF >KVI00316 pep supercontig:CcrdV1:scaffold_136:366859:379458:1 gene:Ccrd_021450 transcript:KVI00316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MINFGDLYSVLTAVVPLYVTMFLAYASVKWNIFSPQQCGGINRFVAIFAVPLLSFEFISRINPYKMNLKFIAADGVSKVLTLIVLFLWTNLSKKGSLDWAITMFSLSTLPNTLVMGIPLLKSMYGDDKESIMVQAVVLQSPGSPEMLKKILKMVCFKLVKNPNSYASILGLSWALASCRWGIKKPQILENSVTILSDAGLGMAMFSLGLFMASQPKLIACGNRLAAYGMVARFVAGPAVMAVASIAVGLRGTILQVSIVQASLPQGIVPFVFSREYNLHPDIGKGSLITCSSETKRRFDEHRFKQVEEQRVGENNGESDESCGETRAVRRPARAAGKATRAVGSWRRPARAAGKATRAVGSWRRPARAAGKATRAGEMVETGDRLE >KVI00308 pep supercontig:CcrdV1:scaffold_136:181405:186956:1 gene:Ccrd_021429 transcript:KVI00308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MGQKSLIYSFVARGKVILAEYTEFKGNFTTVAAQCLEKLPPNNNRFTYNCDGHTFNYLIDNGYSKPKLKEQMVYCVTHPEEIDKIAKVKAQVLDRGEKIELLVDKTDNLRNQANDFKKQGTKMKRKMWVQNMKIKLIVGGLVLVLILLVVMSICNNL >KVI00327 pep supercontig:CcrdV1:scaffold_136:322401:326878:1 gene:Ccrd_021444 transcript:KVI00327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inhibitor of growth protein, N-terminal MSFLEDFQASLEPLPNILQRKYALLRDLDKSLQDIQRQNELHCLQEIEEFKEGVKSGNIGPGTSLNKFSEEAQDEQKHAIRIADEKVALAVQAYDLVDAHIQQLDQYLKKLEEQRREKDNAALAASLALNPDGNGKPGKGGEGGRGGRKKTRLGAAASTGASAAAANAPSMDLDLPVDPNEPTYCFCNQVSYGEMVACDNPDCKIEWFHYGCVGLKEQPKGKWYCSDCSGMQKRRKGK >KVI00330 pep supercontig:CcrdV1:scaffold_136:365305:382214:-1 gene:Ccrd_021449 transcript:KVI00330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNRWKEEVVGIRDNITTFPIVPLEASFHHLTFTRAEMARSRARKLWEWVGTALVAFPAALAGLLQLPTALVAFPAALAGLLQLPTALVAFPAALAGLLTALVSPQLSSLSPLFSPTLCSSTCLNRCSSNRLFVSLEQEEKEAHLPHIYYSISSIRMIVPLKPTAIDATAITAGPATNLATIPYAANLFPQAISFDSENIVIAQSKLPFFDKFVHKNKTIRVKTFETPSAAMNLRIDPGNELKGEKRDGENGYESVDTSTLLCINV >KVI00298 pep supercontig:CcrdV1:scaffold_136:256548:261710:-1 gene:Ccrd_021437 transcript:KVI00298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MIMVVMIMSASAQIPTTLDGPFKPVTRKFDPSLRLGSDDLPMNHPRLKKNVTSNFPEQIALALSSPNSMWVSWITGDAQIGKNVTPLDPSTVASEVWYGDKSGKYSKKRTGVSMIYSQLYPFEGLLNYTSGIIHHVKIDGSNTVVSESELSKHKGMLEKSARNKGLEPGLTYYYKCGDSSIGAMSDERFFETLPLPSPNTYPRRIAVVGDLGLTANTTTTIDHLIKNDPSLVLMVGDLSYANQFMEPLISRVPMMVVEGNHEIEPQADGVTFESYLKRFAVPSNESGSNSNFYYSVDAGGVHFIMLGAYIDYNRTGAQYSWLQKDLSQLDRSVTPWLVAAWHSPWYNSYSSHYQEFECMRLEMEELLYHYRVHAYERMNRVYNYSLEPCGPVYITIGDGGNIEKVDVDHADEPGKCPSPGDNIPEFGGVVNSTYALWTWHRNQDIYKANSTGDQIYIVRQPELCSVSTQHSQTRPSAATGFGVRVSSSCVLIYLIAFTWLHLL >KVI00311 pep supercontig:CcrdV1:scaffold_136:453576:459532:1 gene:Ccrd_021457 transcript:KVI00311 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MTIETFPRSPGLDAGVEKKITYFSNKYVLGLTVIAGIGGLLFGYDTGVISGALLYIRDEFEAVDQIGAMIGAAAGGGINDAYGRKQATLLADVIFALGSFVMAAAWNPYVIIFGRLLVGLGVGVASVTAPMYIAEAAPSEIRGGLVSTNVLMITSGQFLSYLVNLAFTEVRGTWRWMLGVAAVPAIIQFCLMLFLPESPRWLYMKRSRSDAIVVLSKIYDPFRLEEELDQLSAALEEERQRRNAISYWDMAGFRSNQLALLLSLIVALMNAAGTIVGIYLIDHFGRRKLALSSLSGVILSLILLSVAFYLESFGWLAVLGLALYISFFAPGMGPVPWTVNSEIYPESYRGICAGMSATVNWVSNLVVAQSFLSVAEAVGTSSTFLILAAIGVAAFGFVFLFVPETKGLSFEEVERIWKERASGSSIHSESLLENGD >KVI00324 pep supercontig:CcrdV1:scaffold_136:319627:320394:-1 gene:Ccrd_021443 transcript:KVI00324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MPNHSLTVLVFVMLVGVVHLGISNVVAVDPAPEVEEAGVHVFELYMHDILGGSNPTARPVTGLLGNIYSGQVPFARRIGFRAPSGGVVIPNANGAIPTVNANGIPLGTGLVGTQFAGNLNQNNGQSQIAAQLGPDGLGLGFGTITVIDDLLTADVKLGSQTLGKAQGVYVSSSADGSRQMMTFTAMMEGGEYGDSINFFGVYKIGTTMSRLSVTGGTGKFLHACGFAEIRSLIPAGQIVADGVESLLRLTVHLSY >KVI00300 pep supercontig:CcrdV1:scaffold_136:122435:126546:1 gene:Ccrd_021423 transcript:KVI00300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MGKKVKKAARNAQKEKQSRVSSPKTVSQEIVPASDTVVDGVSVTKETKSCPHLDKGINLEKFSLKMASLESLKCDDCREGVADRRTKKGKGKNGKNKGSGSKSESLSIWVCLECGHFSCGGVGFPTTPQSHAARHAKQNRHPLVFQFANHNLRWCFLCNTLIPVQSSDQNGEQKDVLSEFVKILKTRSSSETRVDVEDTWFGSGSVISGIKSVNTLPSCSETRGGYMVRGLVNLGNTCFFNSVLQNLLAMDKLRDYFLRLEGSVGPLTVSLKKLFVETSPSTVVRNVINPRSFFGCVCAKAPQFRGYQQHDSHELLRCLLDGLCTEESGVRKRSVEGNTAPEHALTFVDTIFGGQISSTVRCLECGHASVVYEPYLDLSLPLPTKRSPSKKAPSVSRSKKPKLPPKRQSKRLSKTKKASDASVAHSVSNISVSDESSGPVKSTEACAETNVAPSGDSTRPESAVLDGTGDTNDSSLQKLIVLQGNGRKQIKDSRIKESVISSDNLVQPDYVEQVTLSNNHEKATVNSSNISPLLDYSEPTMVSNDRDTAAHLGDVSVTEHTSEKELVQDELLQSTVEANEQVDSTDSVETPPLPDEPSWLDYLEPSTSTVHDMASHNQEPSVIQDSGNRNGISWEDEPLLKVRESEVLLLPYKEVTSTSGGDEITLSSVTTKQESSDFDGFGGLFDEPEVAAGPTVNPLSNGVEGSGFMATSNSESDPDEVDHTDSPVSVEKCLAYFTSSELLTKTEHAWQCEQCSKSLLEQRMRLKNKLQKPVPNGGENKISSASSDSGIEHLLPNGVRNLDNRSTEDAVLGESDENSVLHNGKSENSQVASEHEEGKIVVNSSNVEPPQHSVTALSKDHCSDQDTESCSINKPTNKCKNENVQQRESKLLARQQELDSSEDEEVDSKGVKVARDASKRILISRAPPVLTIHLKRFCQDARGRLSKLSGHVNFRDTIDLKPYMDLSCCRDRETYKYQLVGVVEHLGTMRGGHYVAYVKGGAKGDSGAEDNEDHLWYHASDAYVREASLEEVLRCEAYILFYEEM >KVI00336 pep supercontig:CcrdV1:scaffold_136:11604:12781:-1 gene:Ccrd_021414 transcript:KVI00336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAQHQTTLILAITMAVLYGTAMAQSSGCTSVLISMSPCLNYISGNSSTPSSGCCTQLASVVRSQPECLCQVLNGGGSSMGININQTQALELPKACNVQTPPTSRCNASSPTNSPSGTTPSGTGTQSNTVPSTDNGSSDATSTRFASIPLVSSLFVVAYTMVF >KVI00303 pep supercontig:CcrdV1:scaffold_136:82317:89739:-1 gene:Ccrd_021420 transcript:KVI00303 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MRRPGEGMMFKRPYNNRHQLKQVKGLFNRFTIVAIILVIMIIYFFVFASKANYLSPESPSEVDMQKLWYTADSGGWRPSSTPRSYWPPPPNESNGYLRICNAVLAARIMNATLVLPELDTNSYWHDDSGFQGIYDVDHFISSLRYDVRIVESIPEIRKNGKIKKIKSFQLRPPRDAPISWYSTVALEKMNEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKQQIMQLSQSIVAKLRSQGHFMSIHLRFEKDMLSCFDIFSPQEQKILRKYRKENFADKKLVYRERRAIGKCPLTPEEPFRAMFPLLENHNTVDPSSELTDNTQGLLGSAVDYMVCLLSDIFVPTYDGPSNFANNLLGHRLYYSFRTTIRPDRKGLAPIFIQREEGHLAGFEAAVRRVMMKTNFGGPRKRVPPESFYTNSWPECFCRDSATDPGDRCPPDNMMEVLEDQLEREMTNVTSNGVMNRVVD >KVI00309 pep supercontig:CcrdV1:scaffold_136:161278:176154:-1 gene:Ccrd_021428 transcript:KVI00309 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEMEMDIPVAEELEWLEAESHLHDDYLEEDLEEPPTIPEEEASYIEEVYEDLQAEPLIKPTIPVPEDHRIGSETQKPKKRFRPSSPNLLDTNNVDDPVEDKRCKIDASNAIEDDDDWLRYSPPLQEEAAVIVEEEKEKEKERFISRYATDIEGDFMPVTAPDGDRVYAKLIKEEKDDKLKKLDVKAPSKGLMPEPINVLMQRVEQDALQKALQASVSSQSDANLLGTPVVNERLWVDKYSPNSFMELLSDEHTNREVLLWLKQWDSSVFGSELKSTTEDVLSALKRHSSVSQTKKVHFRNSFGRNKEFTSNRETLREHNHLDKETYDNHGIQELSNKKSNGSGSLEQKILLLCGAPGLGKTTLAHVAARHCGYRVVEFAYPRIRRYVIFNTYNYHIYCLQINASDDRSSSTIETKILDVVQMNSVMADSRPKCLVIDEIDGALGDGKGAVDVILKMVSADKRSGAGTENIVQAESSGKTSSKKKRKDTPLLRPVICICNDLYAPALRPLRQVAKLKYICNKEGMRTSSVALTALAEYTECDIRSCLNTLQFLNKKKEVLNVLDISSQVIGRKDSSRSVFDIWKEVFQKRRLKGVRKSIDGCRSKFNEFESLHSLISNGGNYDLILDGIHENILQLNYHDPVMRKTVKCLHSLEISDILHQYVMRTQKMSLQVYQPAIAIAIHGLVSQVEKPNIQWPKAFHRYRTTLIDKVELLHSWHNKISPCISRHISTKSFVEDLVTPLLHIFSPRTLRPVALHLLSEKEKNEMSQLVKTMVSYSITYTKKKIDPLPGKLKNEVAMDASILSFDPPIGDFINFEGYSYNYFVLASAVKQLLCHE >KVI00295 pep supercontig:CcrdV1:scaffold_136:221314:229193:1 gene:Ccrd_021433 transcript:KVI00295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MARNCIWKLVASVSSSSISQEPYIPKSFLPGDFEIETRDFMDVNQVFEVPDTPDRSVAAAHNGHHNNIERQSDASINNCIVSNDYINRRMRNEPREKGKSVAVNRNRRLFIGPDSCSNSPVSRSGGSCSFKNARPTIDATRHDKGKGLCNSDAQNSAFKRSGNFAVAAEENRHGDYGVSRVNASRKGVLLLNGVSAVNSLDTSSKSCKLNNTLETGPSSDCGKGVDLLAAAHRKAESNASASMQPCTSSKVPRQKMLVRNGCISPQNIAKSKHVAEKHETGSVVKARNGSITLASDGQSKPVDSSSGFSVGASRCSAESGRWRTTHNHRKQREIEHQKNGTLQRDHRNGRDIINLDESDVVSSRHVCDLPPSMPPQKSGINGQREGTAPRNFGKRSMSCVDAPAGEPSSSRPKRNKSLHGVGTSNSVSEPNTRHGNDEGSSVRALQVEADEMLARELQEQLYNEPTPSVEIGERGPSMMNVHEPIRRPTIRRRLQRQVRTSTRMDPVRRVFSRSRTTFSSSNRRNSIFPANMDVDMRMQILETLEAVGDMRMPNDLLHMGREFNEDDYEMLLALDEDNHRHGGATRAQINNLPESTVQCIDEWLRRKTSCPVCKLSVT >KVI00305 pep supercontig:CcrdV1:scaffold_136:153759:157557:1 gene:Ccrd_021426 transcript:KVI00305 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MKIEGGGGSGMSESAGNSTNFVLLSEGCVSYILSHTSPRDACTASSISRGFKCFANSDAVWERFLPSDYQDIISRSVSPVIYSSKKELYFRLCDSPVLIDDGNIRWGRNEEFQKLGQDHRPTRAKALSIAWQDTLIFWRWRSLPESRFSKVAELLAVCWLEIKGKFTTKMLSSETSYAAYLVYNIGAVSHGLDFPAKTWVRHIDGREEVEEVEEVEEEEDVGVSVVYLTPPKTGESVQHSVHRHRECVGRVPQRRADGWMEIELGKFYNEKMDGEVEMGFTETQELLWKSGLIIEGIDIRPIAKDGVRE >KVI00296 pep supercontig:CcrdV1:scaffold_136:236610:245060:1 gene:Ccrd_021434 transcript:KVI00296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MAVEKMTSEVPDTAGAQLENGLTRNGSDIDSRIRNLSIQTGEEFSPEFIRDRTPRRKANTGEDCPQKVSKERRSPRNLLEVLEDCPLEYPQNHGASTRSSTENLTDQHQQRRIGFHFNPNQQLVYDDRAGCGISKGDPENRTGSSGFWSGNGIPVQAEKHAYSDSSSRCHYKDYTPREYQQHQQPLTDTDETYNHQLGFYSTSPQYKSGSPRSYQHYGHVSGIPDGSFCGKMKFLCSFSGKILPRPSDGKLRYVGGETRIISIRKTLSYQELMKKTSAICNQPHTIKYQLPGEDLDALISVCSGEDLQHMIEEYHELERGSQRLRIFLIPLNDPESPCSDDSRSIHQTDKSYQYVVAVNGMNEPCLRKNSSKENLLGRTVESSPSSQRYTPNSAQPMDAGGSSLNLNMKLINPTQMIQSPVNMMSSYMQAPAPHMPVQLKDPLNPQLIVYRDRVDPPAYDNPYHLDATSYHANHPLNSVTAGNVSSFRHPHGQGDMDVQRPVHNESAIQSKQFEAIKENTRTSGSDNLPTIATSDLDKQYAEWSQDNITWMAKNNSNLNSGRDPDQNQYIVSSATTSGEHHYIVRTPSSNAIFNLSSGLHYDSSQEMGRADVYSENHVLVTASTESDCILSSNLNENGPLINDLVIISPAIPTAIRGDVSRVKSSVDNVSDRSPSQISDDKNDADMPEFPLLVDGITDHLPPDIPSSEAVMPYTEDEPSDGVLTPSDKQNEGVAQSDSKDVEDDKGVKGEHISGSRIAQMEAGMYGLQIIRNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFSGKKSEQERLTKDFWREAQILSRLHHPNVVALYGVVPDGPGGTLSTVTEYMANGSLRHVLLRKDRSLDRRKRLIIMQDAAIGMEYLHLKNIVHFDLKCENLLVNLGDPQRPVGDFGLSRIKRNTFVSGGVRGTLPWMAPELLSGSSTRVSEKVRPKFRASNSGEFPMTETVDVFSFGISMWEILTGEEPYADMHCGAIIGGIMSNTLRPPIPERCDRRLKALMEECWSYDPAARPSFTEITNKFQVMSKKQNQAKR >KVI00310 pep supercontig:CcrdV1:scaffold_136:435158:445763:-1 gene:Ccrd_021456 transcript:KVI00310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MDSEDDMHDANDVDSYEEDYYSGDAMYSDDDDDAGYEFLDNDSDDSDDALVSRQQKNYTILKEDDIRQRQEDDITRISAVLSISRDSACMLLRRFNWSVSNVHEAWFANEDKVRKAVGLLDKTDIKPPKAGEVACGICFESYPLDKISTAACGHPFCNTCWTAYISTSINDGPGCLTLRCAIPSCGAAVGVDMVNMLASDEEKKKYRRYLLRSYIEDNRKTKWCPAPGCDCAIEFDLGSGSYDVTCHCSYSFCWNCTEEAHRPVDCETVSKWIMKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCNPPCKYEFCWLCLGQWSDHGERTGGFYACNRYESAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHQMQTVHLAKLSEKQCQPETQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEQEHAKRQLHQCAEKELHTYLNEDASQDEFNNFRTKLAGLTSVTRNYFENLVRALENGLSDVDAHGASSKTSSSKNAAGTSKGKGGKGKASSKGGAASRNDDSTGWSCDQCTYMNPKTVTSCQMCHTSR >KVI00314 pep supercontig:CcrdV1:scaffold_136:386230:394803:1 gene:Ccrd_021452 transcript:KVI00314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MAVVAVSSFVSLLFVALISIYLVASENRDFGGAVFSVNSKFYGNERSLGVLKAHDSLRFSHLKILASGVDFHIGGIGRLDDVGLYYAKIGIGTPPREYYVQVDTGCDIMWINCIDCQDCPKKGYHGLDLTLYDRELSLTGKPVMCDEEFCREINGGTVNGCKANVPCLYTEIYGDGSESIGSFVKDAIQYDSVSDMHTENSAGFMDSLVRCGANQTGNLASSEEAFDGILGFGKSNSSVVSQLASYGKVKKMFAHCLDGDNGGGIFAIGHVVQPKVNSTHLIQDEPHYAVNVTGIEVGTECLNLSTDSYGRGEKRKAIIDSGTTLAYLPKVIYKPLMDKIVEGWSDMISILQDQYTCFKFSGSVDYKFPAVTFHFENSLSLKVYPHDYLFVLEDFLCFGWQNNDIDPVSSSDTIVLGGNHFIIALPTRVAFEAANFDYSDLVLSNKLVLYDLENQTIGWTEYNCSSSIMLRDEATGSVHLVGAHSITSACSRIIYSPVMFLLLIALLNLIMS >KVI00312 pep supercontig:CcrdV1:scaffold_136:420837:427277:-1 gene:Ccrd_021454 transcript:KVI00312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRRKKLTEAEERTLIDQDRDSVLSLLICQSVCIRNSDIHAIILHCFKYSDQVIVITGFSSKTVQIFTCLSKMRAPSLFTQCLPGLAPHDWVAQSMSIASDKEMHLPSPAVEIIPSKMGYPHRYAGESIDGQGVNLLKGRVSVSDLIGTELISSKPDASLKSWDSSFELVNILKHEIRDGQLSFRGKRVLELSCGYGIPGIFACLKGASTVHFQDLNAETIRCTTIPNVLVNLEQARDRQSRQPESPLTPSRQILSPVVHFYAGQWEELAGVLSVVKNNVLEVPPPTNLSFSEEDFMDGFSSHDGSIMGQENSSRRSTRLSGSRVWERANDTDIGGGYDVILMTDIPHSATSLKKLFALIKKCLKPPYGALFFATKKHYVGFNSAARQLRSLVDEEGIFGAHLVKETTDAEIWKFFLK >KVI00335 pep supercontig:CcrdV1:scaffold_136:48470:53234:1 gene:Ccrd_021417 transcript:KVI00335 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYAMVFATRYLDIFTDFISVYNTIMKLIFLGSSFSIVWYIRHHRVVRRSYDKDQDTFRHYFLMLPCLILALLVNEKLTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHFVHWITWIAGVVQTLLYADFFYYYFESWKNNVRLQLPA >KVI00313 pep supercontig:CcrdV1:scaffold_136:428299:433377:-1 gene:Ccrd_021455 transcript:KVI00313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene/phytoene synthase MNGATTSSSLKVAFSHCVQQVRNYDYHHYLCLLELPTNMRRAGFALRAFNVETSRAMDLTSDPKIGLMRLLWWQEVIDKIYKNKVIEHPTAQALASVISDQKISKSWLKRSVDARINDAQRDASDIYETVEELERYAEDTSSTILYTTLQAGGIRSTAADHAASHIGKASGLLLLIKALPYHANRHQQFSYIPVKVAEKHGLLVKQGGRLEIRTDSRERLSEVVFDMASTANAHLQKARALAESVPKEARSILLPAVPSQVILDSLSRVGFDVFDSRLNRGILGIPPLLFQLKLKWHSWRGVY >KVI00307 pep supercontig:CcrdV1:scaffold_136:131009:138448:-1 gene:Ccrd_021424 transcript:KVI00307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase MAGNEWINGYLEAILDSKASNIDDHHVNLREGDHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERTSRLENMCWRIWHLTRKKKQLDWEDIQRMTKRRLEREQGRRDVGEDISEDLSEEEKGDILGDSLAPESPRKTPQQNVSNFEIWSDDKKEKKLYIILIRHEFSSLKRHIRFEFIKYVVELAAALAKMPGVYRVDLFTRQVSSPEVDWSYGEPTEMLTAGSDDPDAADVGESSGAYIIRIPFGPRDKYLRKELLWPHIQEFVDGALVHVLNMSKVLGEQIGGGQPIWPFVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTKQEIDEQWGLYDGFDVKLEKVLRARARQGVDCHGRFMPRMAADGDLSSLTNSDGASPKAMPAIWSEIALLDLVLNKIASAGDAFFDKSTQANDFGLVETRSEEKHYYALKSFRVFTTVLKLVDRYDLYGQVAFPKHHTQNDVPEIYRLAAKTKALNNGLLIDPHDQQAIASALLKLVSEKNLWSECQKNGWKNIHLFSWPEHCRTYLTRVVACRMRHPMWKTDMHADEMPTEGSLNDSLRDFQDASLRLSIDGDKSSSINGSLDGGTDVQDQVRRVMSKIKKPEPVEKADNSSNNGPSKYPMLRKRQKLIVIALDCYDNNGAPDKGMIGMLQETFKAVKLDSQMARTTGFAMSTAMSLSELLDFLRNEQIKVNEFDALVCSSGSEVYYPGTYEEGGKLTSDPDYTAHIEYRWGSDGLKKTIWKLMNMDGGEGTSGKQLKKLRMRGLRCHPMYCRNSTRLQLVPLFASRSQALRYFFVRWRLNVANIYVILGETGDTDYEELISGTHKTLIMKGSVKKGSEELLRTSGSYLKDDIVPGESPLIAYVNTPPKAQEIANALNKVSVAAS >KVI00302 pep supercontig:CcrdV1:scaffold_136:92137:98775:1 gene:Ccrd_021421 transcript:KVI00302 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 20kDa subunit (P20-Arc) MKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEEMQKYKLIDFIVQFMEVRFQRTLITAFDFMLHICLDIDKEISELKLSMNTRGRLVATEFLKQFI >KVI00297 pep supercontig:CcrdV1:scaffold_136:245649:253159:-1 gene:Ccrd_021435 transcript:KVI00297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MLSRPSLSTCRPALLFSPVTSQLSTLDSDHRRSGNMEYKNLGRSGLKVSQLSYGAWISFGNQLDVKEAKTLLQCCRDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVLSTKLFWGGPGPNDKGLSRKHIVEGTKASLKRLDTDYVDLIYCHRPDLCTPIEETVRAMNYVIDNGWAFYWGTSEWSAQQITEAWGIANRLDLVGPIVEQPEYNMFARHKVENEYLPLYSNYGIGLTTWSPLASGVLTGKYNSGVPSDSRFALENYKIQENMKAIKVIPKLTPDVMEKIDAVVQSKQKRPESYR >KVI00299 pep supercontig:CcrdV1:scaffold_136:274647:275226:1 gene:Ccrd_021438 transcript:KVI00299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEVPKKWFAICFSVFLILLLAAVVAAGDVHKNGATNPSPLETEQLQSQSSYNSSMAA >KVI00334 pep supercontig:CcrdV1:scaffold_136:27696:32076:1 gene:Ccrd_021416 transcript:KVI00334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILYQATAVVVLVVLYGRITAATWCVVRSEASREELQAALDYACGAGADCAPIQQSGLCFLPNTIQAHASYAFNSYYMRKSMAPGSCDFAGTATVAKTDPSYGSCVYPASPSTAGGTPTPRGGATTTFSTPITDVTPPPPATTMPFYGSGGGGLNPIGMVPTFDASSKANALIKFYDSRLCFLHMLFLVFDLWMFL >KVI00318 pep supercontig:CcrdV1:scaffold_136:188799:195013:-1 gene:Ccrd_021430 transcript:KVI00318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKGGKGEKNEEAIEYHVLHKLPSGDSPYVRAKHLQLVEKDPDGAIILFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEVIRSFRERCSNQAQESLDNVLIDLYKKCGKLEEQIELLKQKLRMIYEGEAFNGKPTKTARSHGRKFQVTVKQETSRILGNLGWAYLQLKKFAVAEAVYRKAQEIDPDANKACNLCLCLVKQGRYSEANTVLADVLEGKLLGSNDPKLISRAKELVEELEPWQLALVHPSPSQGLVTLEDAFVEGLDQLINQWTPFRSRRLPVFEEISTYRDQLAC >KVI00323 pep supercontig:CcrdV1:scaffold_136:288398:292208:-1 gene:Ccrd_021440 transcript:KVI00323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ham1-like protein MICNQQEEGVGGDSLILESSSKGGIVNSESCTVGGDRRRKMAVAGVVARNGLVSSRPVTFVTGNAKKLEEVKVILGQSIPFQSLKLDLPELQGDPEDIAKEKARLAANQVKGPVLVEDTCLCFNALKGLPGLNNMLMAYEDKSAYAMCIFSLAMGPNFEPITFVGKTQGKIVPARGPNDFGWDPIFEPDGYDQTYAEMAKEEKNKISHRSRALALVKSHFAEAGYVFDT >KVI00317 pep supercontig:CcrdV1:scaffold_136:382140:384124:1 gene:Ccrd_021451 transcript:KVI00317 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MKGMISRFLVLPFYIGCLSQSTVGEFDSTRVVKKSKPESNRVATSMAMEEGSSSSMVEIKKSWNPTTLNRSNISKAMDRLIRITFKGFTQMFAYKDMEDIKIETEMEIGFPTDVKHVTHIGADGSMTTNPSKNWDPIQLPETLSFPPVSLQQFELAMAVEAEEPASPSKRS >KVI00331 pep supercontig:CcrdV1:scaffold_136:350658:359663:1 gene:Ccrd_021448 transcript:KVI00331 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIP116 protein MLTGRESLIRLIGKRRRFLPNRQSIMSAHIQRDSSLVKDENGAEESLEERSENLAGTSGSDMVTCPVCGNKVRGEEYMINSHLDACLARGTKRKLSQQTLLQFNFCSKSKVQFHSTNLDDTKSNLTSLEKDITDLGGVGTEDTDSITCQSSSSSDDILLANADDSAEISVNDEKIGVRGGSPVNNGGRVNSPSLLTETEVSEDDSANSDDDILGKLLATFIVGRRFSEEGELHTGASISLCRDPENIKDPNAVKMTTVLQMLKNHMAFDPESGCSKVLGYIPRELAEHLSKLMDTFGLSFEGRIMSVPEHAHAVVPIQIWCQEKISSIELEGENFQVFKSLCRHILSAVKLSEASPPVTMKYQQNFCLLLQEVLRTTLHVFTHDEKIFLENFLLLSDDSQRLFIRLYTRKGPWFRMSNIAYVEILDSQHAVKKLSGNLILFTKYLQFITFAYILKAIIPIYTTLMRLCIAEAGYVCSIETTSELHKDDLEGVLNILTVGLFHVYNSIHSITTGMNPIRNTNHKRKRLLNRSQEYQLQCLLSKEEFFQNAVIASRNTFLHFFLHMWMDQGLAISQNHVCCSSLLKKAVLEKAGSCIRISSAADSLIWRVERLFFCNGEQDLSAFLLVDLGIVKYPTYNCVVTDQIFSSRNDLLSYEEALEVAQIMDESLEENDSSMVLRCIAISDSSISNSAARTIQLSTSKQLPAPFPCFSAFWIYSKVVLLGVSFLECQRRYDDAINLLEHLLLNFANDRRRGYWTLRLSINLEHLGRVNESLCVAETGLLDPWVRAGSRISLQRRVLRLGRPPRRWKVPSYSESLKRKIPEFLDVKLLRLGDLSFQFLLFILHLTRRICQLSFRPLNCKTGTKSRFYGEDGEQCGVEQLALQYYAGEGGGWQGVHSESGIWLTVFGLLMWDVIFADMPNNSPLDLDTDHFYESRKSIIEPLLVKIEEGMGEEMLITSWESHLGTACRGVDWNRHSLTELRAIVTCIGGRCLASICRHLAQDYRSWSSGMPDLLLWRFHGDYSGEAKLVEVKGPRDRLSEQQRAWLLFLMDSGFNAEVCRVNPPVSK >KVI00332 pep supercontig:CcrdV1:scaffold_136:55136:63490:1 gene:Ccrd_021418 transcript:KVI00332 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUB domain-containing protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHTNFSESTEAVLNLVCSTCGKPCRSKTESDLHTKRTGHTEFSDKTSETAKPITLEVPKKQSNDDVDMADASTTGEPEGIKYLLVLPVWFLIVPKMVVPDVDLKLLEELESMGFSKARATRALHFSGERAEAYDLKFLSRNSDLEAAANWIVEHEDDADIDQMPMVLFFCTSLTCVSAKSKSEPPKPSLTPEEKKAKAQELKYNFFDLLDLSVDTVERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEDNERKRIIALRKAEKEEERRAREKIRQKLEEDKAERRRKLGLPAEDPSAPKPSAPVVEEKKSSLPIRPATKAEQMRECLRSLKQSNKDDEAKVKTAFNTLLTYVKNAATKPEEEKFRKIRLSNAAFQDRVGKLEGGIKFLELCGFEKVEGDEFLFLARDKIDRAVLNSAGSELNSAINNPFFGVL >KVI00322 pep supercontig:CcrdV1:scaffold_136:305503:309415:1 gene:Ccrd_021441 transcript:KVI00322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSISKPHNNNHINLPLVFFFTFLSTLFLIFSSAAATGGGGGDDGANDEAILLFTWLHGGGGLPPSSFSSWTLSGVTKNPCKWDYVKCDSEGFVSEIKIQSIPLQLPLPTNLSSLSHLKSLIISDANITGTISDDLGGCLELVAIDLSSNSLVGPIPSSIGNLVNLQDLILNSNKLTGGIPHELGSCRSLKNLYLFDNRLSGNIPAELGQLVNLEVIRAGGNNELAGKIPDEIGNCSNLTFLGLADTRISGSLPSSLGKLSKLQTLSIYTARLSGELPPEIGDCSELVNLFLYENHLSGPIVPQLGKLQKLEKLLLWQNNFVGFIPEEIGNCTKLRMFDVSLNSFSGILPSSVGNLVALEEFMISNNNISGSIPNVLANAINLQQLQLDTNQISGLIPPELGKLTNLEVFFAWDNELEGSIPSSFGNCYNLQALDLSHNSLTGSIPPGLFQLQNLTKLLLISNDISGSIPPEIGNCSSLVRLRLGDNRITGEIPKEIAGLKSINFLDLSGNRLSGVVPNEIANCTDLEMVDLSNNTLEGALPDSLSSLSRLQVLDVSSNSFYGLIPASLGTLLALNKLILAKNTFSGAIPASLGLCSSLQFLDLSSNGLSGEIPPELGTIQALEIALNLSRNQLTGPIPVQIAALGKLSTLDISYNNLNGNLSPLSRLDNLVSLNISHNNFTGYLPDNKLFRQLSEADLAGNRGLCSFGKDSCFLSNMAESGNGKDEYKSRNAKRLRLAIALLVTLTIAMIIMGVAAVLRARRSITGDDESELGESWPWQFTPFQKLNFSVDRILKCLVDTNVIGKGCSGVVYRADMDNGEVIAVKKLWPSMTVDGGYVDEKCAVRDSFSAEVKTLGSVRHKNIVRFLGCCWNKKTRLLMYDYMPNGSLGSLLHERVGSSLEWELRFQIVLGAAEGIAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSNTIAGSYGYIAPGKHSFHYAHDFESISQEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVKQRKGWTEVLDPTLLSRPESEIEEMMQALGIALLCVNSAPEERPTMKDVAAMLKEIKHEREEYAKVDALLKGSPEKPTAGNRPGGVPAISSSSAATASGGMRSNPSSFSGSSLLHSSSCRVAYK >KVI00325 pep supercontig:CcrdV1:scaffold_136:318230:319230:1 gene:Ccrd_021442 transcript:KVI00325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase MAEQEGINPWGSKSWTYLSSLRQQSPLIQCITNLVSMDLMANTLLAAGASPAMVHSVREIPEFTPHTQAVLINVGTLTPDWLPAMKAAAGVANVYGKPWVLDPAAVGASSFRLNACLELIELQPTVIRGNGSEIISLSTASIGSTKGADSLHESSDAADAAKALAKSSGSIVAVSGSVDMVTDGERVVGACNGVPMMQKITASGCAVTALIAAFVALDPANAFEATAAALSVFGLAGEIGMGMANGPASLRMHLIDSLHGLDEESVVHGVNIPSIS >KVI00337 pep supercontig:CcrdV1:scaffold_136:17915:20488:-1 gene:Ccrd_021415 transcript:KVI00337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFTFFVFRFQSAIDFVCNTHREKDRERANARNGGKGKTKDDGLTPEQRRERDAKALQEKTAKKAAQAAGSGDAGGKNTKK >KVI00321 pep supercontig:CcrdV1:scaffold_136:275780:279431:1 gene:Ccrd_021439 transcript:KVI00321 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MEALNENAVQDPGEVVSHVEMTMRNHTERRKLGYFSCGTGNPIDDCWRCDPNWQRNRKRLADCGIGFGRNAIGGREGRFYVVTDSRDDDPVNPRPGTLRHAVIQDAPLWIVFKRDMVIQLKQELIMNSFKTIDARGVNVHIANGACLTLQFITNVIIHGLHIHDCKPTGNALVRSSPSHYGWRTMADGDAISIFGSSHIWIDHNSLSHCADGLVDAVMGSTAITISNNHFAHHNEVMLLGHSDSYVRDKLMQVTIAYNHFGKGLIQRMPSGLVWSDGILVCRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPVNPFAKEVTKRVDTDARKWHGWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSNMVGSITSNAGVLKCRRGHQC >KVI00326 pep supercontig:CcrdV1:scaffold_136:328317:333323:-1 gene:Ccrd_021445 transcript:KVI00326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MYRFATNLASKARVARNSTRQIGSRLSWSRDYAAKDIRFGVDARALMLRGVEELADAVRVTMGPKGRNVVIEQSYGSPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTGAIFSEGCKSVAAGVNAMDLRRGISMAVDAVVTNLKSRARMISTSEEITQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLYNELEIVEGMKMDRGYISPHFITNQKNQKCELEDPLILIHEKKITSINAVIKVLELALKVIDTFCIVAQKQKPLLIVAEDVESDALATLILNKIRAGIKVTVSKDDTVVLDGAGDKKSIEERCEQIRSAIESSTSDYDKEKLQERLAKLSGGVAVLKIGGASDTEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTEAIVVELPKPAGEAAPAMGGGMGGMGGMDF >KVI00333 pep supercontig:CcrdV1:scaffold_136:67597:76644:1 gene:Ccrd_021419 transcript:KVI00333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MVNKRIPDWLNNSMWSSSPTNSSPPPKSQSSPPQSPSHDDRIASHPSKPPSVTSSDSSVNERRESLPSPSSMRPESPSKPGPAPRTEVRDPLASSGSSDNDGSSPVEDVSRQAQLLQELSRKIINMGELQRLASQGIPDGAGLRSTVWKLLLAYLPVDKGLWSSELARKRSQYKLFKEDLLKNPSEVTRELEESTSSQNGERTRDGEGLLSRSEIPEGEHPLSLGKTSIWNQFFQDTEIIEQIDRDVKRTHPDMHFFSGDSASAKANQDALRNILIVYAKLNPGIRYVQGMNEILAPLFYVFKNDPNEDFVVNAEADTFFCFVELLSGFRDNFCKQLDNSVVGIRSTISKMSQLLKEHDEELWRHLELTTKVNPQFYAFRWITLLLTQEFNFADILHIWDTLLSDQEGPQETLLRICCAMLILVRRRLLAGDFTANLKLLQSYPSTNISHLLYVANKLRSHSTA >KVI00329 pep supercontig:CcrdV1:scaffold_136:336077:342085:-1 gene:Ccrd_021446 transcript:KVI00329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MWGLDLKKTIXWRVSLLRRCARSLWHQILACWIGKSICYRHLLHSGSGPLIPTSHRRPRRPERTTTAMDVATVYHQFPSVEMTASSGDGLASGEMTSSCGGSDHDKEVSDLVALKISLLGDQYIGKTNFLGEHAHGEEEECSWGRGRVLMGKRILRRGGCKGILEEWRRGRGYSVIVINDNIKEKVQVHCKSKDXDIGLKSIGLKESVTWSFCENIKSSTLFYCNFQLGKKHQVFDVYNRDAARFCSKGPDGDRRLCKWYIRYDGFYIIGMGGSQDVKQYDWKVNQARSNSTYKGSKRREP >KVI00315 pep supercontig:CcrdV1:scaffold_136:407868:412823:1 gene:Ccrd_021453 transcript:KVI00315 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MPHHEVDQIDYMAEEGDMSDFADDVDGEENGGGDRSLDDYDMILVRLEFNPESSIRFILTKVTDTSSAQARTGKDIQGILWERLNITRESYRRTRLEQYRNYENIPLSGDAVDKVVYLRRLPKCKQKSKGGYYYEFFHNTRSVKPTILHFQLRNLVWATSKHDVYLMSNYSIMHWSSLSQNLTEILNFSGHVAPTEKHAGSLLEGFTQTQISTLAVNDDFLVAGGFQGELACKRLDKQGVSFCTRTTYEDNAITNAIEIYDTLSGGTHFMASNNDCGVREYDMERFQLVNHFHFPWPVNHTSLSPDCKLITVVGDHLDGLLTVATVEGHLDYSFASAWHPDGRIFATGNQDKTCRVWDLRNLSNPVSVLKGNMGAVRSVRFSSDGQFLVVAEPADFVHVYNTNLNYEKRQEIDFFGEISGVSLSPDDESLYIGVWDRTFASLLQFNKRHRYGYLDSYV >KVI00320 pep supercontig:CcrdV1:scaffold_136:254870:255082:-1 gene:Ccrd_021436 transcript:KVI00320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLRRNDASSLFFFKAQIRSRSLLLNEVLDDFSSFSYSDVQVQVAKFEKSKKHRLSIELGYGSQSEMHG >KVI00328 pep supercontig:CcrdV1:scaffold_136:343262:343750:-1 gene:Ccrd_021447 transcript:KVI00328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MMEKPCSFSFIFFTTFLCIIISVAESCTFTQGYSVIVINDNINEKVQVHCKSKDNDIGLKSIGLKESVAWSFCDNINFPSTLFYCNFQLGKKHQVFDVYNRDVGRSCKKGPKGDRRLCRWYIRHDGFYMIGMEGSQDVKKYDWKVGQARSNSTYKGSKRREP >KVI00294 pep supercontig:CcrdV1:scaffold_136:197241:199780:-1 gene:Ccrd_021431 transcript:KVI00294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAAIDVAPYSHSPAHKAVLTKDYSNLRKIISGLPRLCDPSEIRTESDSIAEEAKADAISAVIDRRDVPNRDTPLHLAVKFSDIAATEMLMVAGADWSLQNEDGWSALQEAICNREEPIAKVIIRHYQPTAWAKWCRRLPRLLATMRRMRDFYMEMTFQFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRANQTVLFLGDGSEDGKVPPGSLCMISHKDKEVMNALDGAGGLATDEEVQQEVRAMSQTNIFRPGIDVTQAVLLPQMTWRRHEKTELVGVWRSKVYDMHNVVVSIKSRRVPGARSDEELFASRNENETDSEELNDVLTDEERKQLEAALKFGSPDLNNENENGVIDHRHSCCDRRDIPIADGFNHENGDNKQEKGKKGWFDGWRKRETKNDDSRKGARSPSTSHSQSQSVPGRHSIEIVAKGDENRNVKASKPSSSTSSIKRKDGVRESEYKKGLRPILWLSPDFPLQTNEFLPLLDLLANKVKAIRRLRELLTTKLPMGTFPVKVAIPVIPTIRVLVTFTKFEELQPLDEFSTPLSSPTDGDRNIPATGSSSSWFQWIKAPYQQASSSSSALEQVEDPFVIPADYSWITAEAKKKKMQEKSKSNK >KVI00301 pep supercontig:CcrdV1:scaffold_136:104942:108458:-1 gene:Ccrd_021422 transcript:KVI00301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase MAFATSSFWGPVTSTTEWCEQNYVYSSYIAEFYNTISNIPSILFALIGLVIALSQRFEKRFSILHISNMMLAIGSMLFHATLQRLQQQGDETPMVWEMLLYIYILYSPDWHYKSTMPIFLFLYGALFAVFHSQLRFDIGFKVHYVLLCLLCIPRTYKYYIHTQDKPAKRVAKLYMITLLVGLSCWLCDRLFCNNVSVWNFNPQGHALWHVFMGFNSYFANEFLMFCRAQQRGWNPRITHFVGFLPYVKIEKPKSQ >KVI00319 pep supercontig:CcrdV1:scaffold_136:212386:217439:-1 gene:Ccrd_021432 transcript:KVI00319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEQQQQQETSKKAAKKEAAKAEKLRRRQEAAAASAAASGVAGVSIDGPDPLAANYGDVPIKDLQSKVVSGRVWTKVSSLTDELKDHAVLIRGRAQAIRAVGKKMAFLTVREKGYTVQCVLTVAPDLVSAQMVKYATAISKESFVDIEGIVTVPPEAIKGASQQVEVQVRKIHCVNRAAPVLPINVEDAARSEVEIEKALEAGEQLVRVNQDTRLNYRILDLRTPANQGIYRLECQVSNLFRQFLLNEDFVEIQTPKLTAGTSEGGAAVFKLEYKKQPACLAQSPQLHKQMAICGDFGRVFVVGPVFRAEDSYTHRHLCEFTGLDVEMEIKEHYSEVMDVVDRLFVEMFDNLNQRCQKELEAIGKQYPFKPLKEAGVEVDPLGDLNTESERTLGKLVLEKYGTEFYILHRYPLAVRPFYTMPCPDNEAYSNSFDVFIRGEEIISGAQRVHVPELLESRATALGIDVKTIASYIDSFRYGAPPHGGFGVGLERVVMLFCGLDNIRKTSLFPRDPRRLEP >KVI00306 pep supercontig:CcrdV1:scaffold_136:145953:150961:-1 gene:Ccrd_021425 transcript:KVI00306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MFSLFYGLWKYLVSKTEFHILILGIDKAGKTTLLEKLKAQYSSLEGLPPDRIVPTVGLNIGRIETSNTKLVFWDLGGQAGLRSIWEKYYEEAHAVIFVVDSSSPSRFEDSKSALEKVLRHEDLQGAPLIILANKQDLADAVSTEELARYLDLKKLDERVYTFEGVSGYDGTGLKETVNWLVDVMERSKRTEMLRVRAGVTNSSGA >KVI00304 pep supercontig:CcrdV1:scaffold_136:158020:160123:-1 gene:Ccrd_021427 transcript:KVI00304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEKQKILQHSINKSSQRTDVCDVKREHLAGKEIVKEKRSEPDRALENAKSTSNLKNPSGPKQCEIRTPNTTVSVLASGGSATSSEIPKSTETTKKRPSGSFNFFERFRKVSVNGSQLNESVKKVPATSERDLRPVLFKFNEGFTNAVKRPVRMHEFFL >KVH88226 pep supercontig:CcrdV1:scaffold_1360:82821:93044:1 gene:Ccrd_024380 transcript:KVH88226 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory complex, non-ATPase subcomplex, Rpn1 subunit MEPEPNNTGSGSGNPKEEATLKVPSKDPKKKDDKKDEDLSEEDVALKQQLELYVERVQDVDQGLQKVALESMRNLAGEIAQEYAKRVNEEAAVKDLIELVEQIVAFHMKHNAEPEAVDLLMEVEFLDLLVKHVDSTNYKRTCLYLTSSARYLPGPDDMSVLDIAYTIYTKFEEFPSALQIALYLHNMQHWMFVWQHVKQVFTSCPDLLRKKQFCYILARQGLSFELDEEMCADDEDREALQEIINNTKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPSEASSGGSSGNWLFKNKEHGKASAAASLGMIHIWDVDAGLAQIDKYFHSNDNHVIAGALLGVGVVNCGIKNDCDPALALLADYLDKEDSSIRIGAITGLGLAYAGTQNEQVGISFPLHCIRDKLTPILGDPKAPLDVIAFTAIALGLVYVGSCNEDVAQAIIFALMDRSESDLGEPLTRLLPLGLGLLYLGKQDSVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQHFLGQCAQHLEKGETFQGPAVLGIAMVAMAEELGLDMAIRSLEHLLQYGEQNIRKAVPLALGLLCISNPKVHVMDTLSRLSHDADTEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKEASLLFCVRIAQGFVHMGKGLLTLAPYHSERFLLSPTALAGLVVLLHACLDMKAIILGKYHYVLYFVVLAMQPRMLLTVDENLKPLSVAVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVILKENPDYREEA >KVH88225 pep supercontig:CcrdV1:scaffold_1360:41815:43693:-1 gene:Ccrd_024379 transcript:KVH88225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPQVSSMIVGVSNEDLHVIVDEGGSNEGENVSMEVNEEPCEPPLNTTNKEAETDNNPFHNSKRPRRSKVWDEFFDPEMIKNQWKVRDESVECEFGSNTREGNDGSSGLFELLLDVFSGETS >KVD94553 pep supercontig:CcrdV1:scaffold_13609:77:340:1 gene:Ccrd_024381 transcript:KVD94553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDATVATGAAAMMAIRVLLDHDVPEENIMLCSLLMAESGVHSIAYAFPKVVIITTEVDPEVNENFYIVPGIGNFGDRFFGTESLS >KVI00793 pep supercontig:CcrdV1:scaffold_1361:115473:119611:1 gene:Ccrd_020957 transcript:KVI00793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plus-3 MANESEINLGLALGSSNQQQVESSGAGAGAGAGSNANSRLRIDNKTFVRPCPLPELVWSTQNGLSIKCADCSTCFADNNPCCLYKDVPSSQHEKYENVDEMKCVQFIEMESKGKETESLTNLKSILVGNVAGTSEGPHNQRTADLLPLLESKTENIGDGTDRLGLNIRLPLEIKEPTVHVKRDEDDSNGSGSSPEKMEETAENDVQDLIAKDAILENVERDLVPGEAPSSDSRMRVQNREESCKEGNKSHGSMESCNSANSLSKGNKRWRFSQQLIIGSKRIKKQSQGCPFSTPVIKQDSSFMNWISNMVKGIKPCQEEGHGHSLALSLGHPIDDDQKMDTCDKTLNFVTKKLGFQTVFQSLYSQDAKRLETTTQIENKFIGDSKEVILFDKTVSNHVPDDTLNQKAFGNLWIARFSPKTPSTLLSSNNSDLRSFVARDSSTDEASASVSLKQLEEPVALTLKTSIDVLKHTRPMVHSKTSCFFCGKRGHELRDCIQINEKEVEGFMKNIRLYEGLAKEAPCLCIKCFQLNHWASACPSKRGYKTKESWSDLNLKLKEKGNVLFKKKVSNFNEISKHPASSSGKILGIPKKMFDTIRRLRLSRTDILKWMNSRLPISSLDGYFLRLKLAKCEEGVGGAGYYVGCITDDNPSKGSKKPICVNIGGVECLVESRYISNCDFLEDELIGWWRTMLTSRRRLPIEEELASKLEDRKRLGF >KVI00791 pep supercontig:CcrdV1:scaffold_1361:41559:42341:1 gene:Ccrd_020955 transcript:KVI00791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRSGSGKQTSPTRLGAPTTSFWIGMSLEGPNSRTKCLLSPRRDFLKCNIDAATSENSNSAGFDAILRDSRGTFISTKATPNTSLPPVCECEAYSLRDAILWVQGRGLFNVIFETEAKIVVDAIYDKSHDISEFGDIIFDI >KVI00788 pep supercontig:CcrdV1:scaffold_1361:5225:6501:-1 gene:Ccrd_020952 transcript:KVI00788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPKYSAPFLLFTSAILVFGLSSSLHKALENSTAEFGNHTGISDFRLLNRRSLITCPNSGPYVRVKVSPISQLSDEQYVTVSITGVFNPSGSDWVAMISPSHSDVSVCARNAILYLQTGDLSLLPLLCHYPVKAQYVSNDLDYISCKKKECMVYHRGRCLVATCGATLTFHVINIRTDIEFVLFRGGFLTPCIVTRSRLINFKNPKQPLYGHLSSVDSTGTTVCNHFHCATL >KVI00794 pep supercontig:CcrdV1:scaffold_1361:124414:125135:-1 gene:Ccrd_020958 transcript:KVI00794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MASAKTAIAKKAPSTKKSKSHPPYVEMIKEAIVALKERTGSSQYAIAKHIEDHQKDLPANFKKLLLLQLKKLVAAGKLTKVKNSFKLASGEKPKAEKKAVPAQKAPAAKKAAKSGSQVKATKVVAKAKAVVKTKKVVVKKATPLKAVAKKPKSIRSPAKKVAAKKGKK >KVI00790 pep supercontig:CcrdV1:scaffold_1361:8439:10452:-1 gene:Ccrd_020954 transcript:KVI00790 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand-like domain-containing protein MQSEETSSAHGKKRKRRVDPMADGFSNAVTKLGATLEKMANKLNKGIEREELDKKRSMIISEISKMQSLAQLEKFKVISLIRDDPKKCIITKELRIVMKSLGQNPTEDEL >KVI00792 pep supercontig:CcrdV1:scaffold_1361:84494:108157:1 gene:Ccrd_020956 transcript:KVI00792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MAFRFSLILHFLLLTFIVFIQAQNVDERDPTIKTMEEHSGYPLHEILSISSFSVDSLNLQKQIDELSSFSDSPAPSVTRILYSENDVLARRFIKNLMAHAGLSVREDAVGNIFGRWKGSEPDLSVVSTGSHIDAIPYSGKYDGNLGRRITNQSANDALTGYGFKPKRSLEVIMFTSEEPTRYGISCLGSRLLAGSVSLAGALKQTVDNHNISFLDAAKSAGYAKNEDFSNVFLDDRIYSAFVELHIEQGPILENEGCMLFYSFTLPFFTLHIYKCQFTLSLEGVSIGIVTAIAAPASIKVEFGGSGGHAGAVLMPQRNDAGLAAAELALAVEKHVLESRSIDTVGTVGILELHPGAINSIPSKSHVEIDTRDIDEKRRNNVIEKIHESAVEISKRRGVEVLEFKIVNQDPPACSDDSIIKAMESATQVLNLSYKKMISRAYHDSLFMARKAPMGMIFIPCYKAPEDIANGVKVLALTLANLSNVNVSSQAAFSS >KVI00789 pep supercontig:CcrdV1:scaffold_1361:8168:8399:-1 gene:Ccrd_020953 transcript:KVI00789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDFREFLNHMEMAIGLLISLNGSKDERQPTLEISLIKEAFHVFQKDQNGFISTVACRHVTTNIGEKLTKMTKL >KVH88224 pep supercontig:CcrdV1:scaffold_1362:6199:38507:-1 gene:Ccrd_024382 transcript:KVH88224 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MFPISTFVSLLAMIVYAKPSTSKLYRISSCYKHKGGACYDLYLTGGVPPSSEVNLPYSTILVECVVGLALITLIFWGYKIYLNMSAYIVGFLAPLLLTLALRNSKKRKTRGLPANVGGEPGYAIRNYRFTTPIETAWVGIKTLAELFEQACKQHGEKKLLGTRKLISRDTEVHQDGKSFEKLHLGDYEWMCYSQVFQVVCNFASGLVQVGHKTGERVAIFADTREEWFIALQACFRRNATVVTMYASLGEEAICHSLNEVARVSVFEPPADPDPAPPTPRSDRTEVTTVICGNKELKKLLDISEQIDTVERVICMDDEILFNRTKGSNSWKIFLYSEVEGIGRESLVDADPPLPADVAVIMYTSGSTGLPKGVIMTHSNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENIIAAVGGSIGYGSPLTLTDTSSKIKRGTKGDASILRPTLMAAVPAILDRVRDGVWKKVDSAGGLSKKLFDLAYGRRLSAINGSWFGAWGLEKLLWSFLVFRKVRAILGGRIRFILSGGAPLSSDTQRFINICLGRHLCWVILGRIPRTTKARLRQGRVLFTNFIFFSMLSPCFYLGYYLSAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWPEGGYLTSDSPMPRGEIVIGGPNVTLGYFKNDERTQEVYKVDERGLRWFNTGDVGRFHKDGCLEIIDRKKDIVKLQHGEYVSPGKVEAVLSVSPYVDNIMLHANSFHSYCVALVVASQSAVESWASHKGIKFDDFTSLCQMEETVKEVYGSLIKAAKTARLEKFEIPAKIKLVSEAWTPESGLVTAALKLKREVIRKTFSEDLANFYSP >KVH88222 pep supercontig:CcrdV1:scaffold_1363:10707:11297:1 gene:Ccrd_024383 transcript:KVH88222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSSAVSNTTFFSVTSKSHQSSSRPYTYFFAVATISFPLLSSRTRCRRLTPTLITESLKENLGLVTKTWGDFTSLNYWVIRDYYRLVEYVNSFESRIQKFSDEQLTAKTAEFRRRLGKG >KVH88223 pep supercontig:CcrdV1:scaffold_1363:58125:72018:1 gene:Ccrd_024385 transcript:KVH88223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQDXMFQKFNFDTEWAVKLISRITNDEDVPIEGDSIVGQLLSLQVSTEKYFFNIRKSLVEFDEVLEHPSSWNLDELLKNFVAISGKILDESCTGITVESLMQSLANVNESSDNELCLPNLPQPLNAFKGIRKRTSSLKRWLSICSDDSLKDGRYRVTANLLRKYLESGSCEVPRLFLEGSSCKHEQTKFSGECKELWAQGSAGRIQNRWLSVFHLDAQRNSEVDCGITFEVLVISHGVPTIICIIELKDDMYENYR >KVH99426 pep supercontig:CcrdV1:scaffold_1364:89968:104079:-1 gene:Ccrd_022344 transcript:KVH99426 gene_biotype:protein_coding transcript_biotype:protein_coding description:FF domain-containing protein MQVKKLERYVLIEATIIAINSLLDPCLTYYHNKKTKLSSWEKPLDLMSPIERADASTDWKEYTAPDGRKYYYNKGTKQSKWKMPDELKLARQQMKTKSSTEEQEPTKDPDYEASAPSVTGLVSLARDADSPSSGAHEIVSSPVSVAPLVAAAQSGPVVTAEFSTGSRPSSNATSNAAEGQDDLKTVPITSEETEVPATLLNPAAPALSNTEDVSAKDSVAAENGPPAGNIEESEKGNVINHGANFMEEKTLDQENQDAKIAFKALLENANIASDWTWDQAMRVIINDRRYGALRTLSERKQAFNEFLGQKKKKEAEERRNRQKKAREEFKKMLDDSKEITSSTKWSKAIAIFEDDDRFKAVERSKDREDLFEDHIMELEKKERSKALEEHKRNRKEFIEFLKSCDFITASSQWRKVQDRLEADESCLRLEKVDRLEIFQVLVLDFFFAIDSDGEDLFHSIAGYLCQLTKEKDEEEQRKLRMEELRKTERKNREEFRKLMEGHIASGMLTSKTHWRDYCIKVKDLPAYLAVSSNSSGATPKDLFEDVIEELEKQYLEDRDQIKEAVKMRKVSISSTWTLEEFKSAIAEDISSYTISDVNLKLVFDELQERVREREEKETKRRKRLADDFYLSLSTSKEITSSSRWEDLKPLFEDRLESWFTVEESFYREIFDKYMTELKKARDERKHREEKAKKTVDRDRRTSSKSRRDKERGKKDKRRKEETDSDNGEENRRSGREKDKKHRKRHHQSYLEDMSLEEDEKEKDRSHRHSIERKRTKQQQLEQQSSATAGSVEYESRHKRYKRDHRHYDDQKEAEDGEVW >KVH99423 pep supercontig:CcrdV1:scaffold_1364:37974:40585:-1 gene:Ccrd_022342 transcript:KVH99423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETLKTAAAQSPEVAVVSDVPEAEKVEACEKEAVKDVAVEEEKEKVTASISFKEESNVVGELPDPQKKALDELKLLVQEALNKHEFTAPPPPPPVKEEEEEKKPSSAVEGGATVPTPVVESECKEEEKVTAVEPSVKEVTEVIVKAESCIDEDGAKKIEAIQETIVAVTMPAEPPRTEETAPPPCAAEETPISPEEISIWGIPLLADERSDVILLKFLRARDFKVKDAFTMLKNVVAWRKEFGIESLLDEDLGIEQEKVVYMHGVDKEGHPVCYNAYGEYQNKELYQETFSDDEKRKKFLRWRIQFLEKSIRKLDFSPDGISTIVQVNDLKYSPAPFKKELRQVLQLLQDNYPEFVAKQVFINVPWWYLAFYKMINPFFTQRTKSKFVFAGPSKTTETLFKEGEQEFTTTDSITEEIIKPSSQHTIELPAPETCTLTWEARVVGCEVSYGAEFVPNAEDGYTIIVQKSRKITGNGAESVINGRFKCGEAGKVVLTFYNQSSKKKKVLYRLKKKTCE >KVH99424 pep supercontig:CcrdV1:scaffold_1364:10627:12190:-1 gene:Ccrd_022341 transcript:KVH99424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLQLELIVGSMLRGCAGLKSLSAGQQVHAYAIKSGVEIYVVVGSSLAHMYMKSTRRRGGDQRKSQKLTAEMKLLDYKPDTGSILHDMNLEEKEDDLVHHSEKLAIAFALMNTPDCFPIRVMKSLRVCNDCHVAIKYISAIRNQEIIVRDASRFHHFKQGQCSCGDYW >KVH99422 pep supercontig:CcrdV1:scaffold_1364:80584:89685:1 gene:Ccrd_022343 transcript:KVH99422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF543 MAESKEIPSQYDVNAKWDAYLDLGVRRFAYSSATGALAGLLLFRSPVTRWVSVAFGAGVGIGSAYSECSQKFDGAETLVSKLILVLGSKASERSTRIRVYRISISEQRMLLGMDGAELGSCNKNQTFDAD >KVH99425 pep supercontig:CcrdV1:scaffold_1364:106186:112649:-1 gene:Ccrd_022345 transcript:KVH99425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNANEVASLIVGDLTESNFERDVIVEHRTSGKQNRFAPPPVLLAWAPSFTATEQVHALGGGRPLLQKEMRISVILLERKSRGSSTTSTIGLQENPPRPPVAPMGPPQSAYPPVPMQFHPAGPPRPPPPYMPLVSQQFLPVGRPNIGLPSQSQQLQLSQPMPHLPPRPGPPAHSMVPPPPPPPVPAPQAFSVPDGQPIRPGLSVSPQPQHAVPMHNNYLPGPSGPRVPLSLTYSLSTSATAQIHVNAEATNQYQQTSSTNMPSFPLSGSAWSSDGQTTKAVTPVLQPAEQISLAPSVPGLSSSSPAISGLGLVRVSPSSPELLGAIVFSNSFWKPSSSPTGWSPSSSXEFSCLVGAHRLLQQILGAHYLPRRFHVWLEQIVFSNRFYYFTILIQHILGNHHLGSIRQAPIEHLF >KVH99421 pep supercontig:CcrdV1:scaffold_1364:6431:12190:1 gene:Ccrd_022340 transcript:KVH99421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MEATGSASNFSEVETPLIDGVVDGFIDYKGRPVFRSKSGGWRSAYFIIGVEVAERFAYYGISSNLITYLTGPLGQSTATAAENVNAWSGTASLLPLLGAFVADAFLGRYRTIIIASLLYVLALGLLTLSALIPYSDCESDTSCSPPRFQVILFFVSLYLVAFAQGGHKPCVQAFGADQFDTENPEERKAKSSFFNWWYFGMCAGPLVALCVLNYIQDNLSWGLGFGIPCIMMSVALLIFLGGTLTYRFGEKVEEKGAFLRIGQVFVRAYRNRRTTSSSISLEEEACGTLPHQGSHQFRFLNKALVSPDGSKEEGKICSTGEVDEAKAVLRLFPIWASCLVFAVVFAQYSTLFTKQGVTMDRSIGLSFDIPAATLQTFISITIVILIPIYDRVLVPIARSITRIPSGITVLQRIGIGIFISVLSMVVSALVETKRLQIAEEYGLVDDPNATIPMKIWWLIPQYLLTGAADVFAMVGLQEFFYDQVPSELRSIGLALYLSIFGVGSFISSFLISVVDKTTGGNGEDGWISDNLNRGHLDYFYWFLAGISAIAFVIYLYLAKSYIYNRPR >KVD92196 pep supercontig:CcrdV1:scaffold_13643:1:1014:1 gene:Ccrd_024386 transcript:KVD92196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLWQLVLAIQGCFLLDQMGRLYYISSLGMPLVLLVINLLLHRLKSGSMLVMLEPIHMMCEPWLLLYP >KVD92070 pep supercontig:CcrdV1:scaffold_13645:1:1014:-1 gene:Ccrd_024387 transcript:KVD92070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLWQLVLAIQGCFLLDQMGRLYYISSLGMPLVLLVINLLLHRLKSGSMLVMLEPIHMMCEPWLLLYP >KVI11312 pep supercontig:CcrdV1:scaffold_1365:5567:10955:1 gene:Ccrd_010277 transcript:KVI11312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MMNGNGEEFPKKLQLYSFSTSSPSFSVRIALNLKGLDYEYKAVNLFKGEQHRPEFLKINPIGFIPALMDGDMVLADSFAIILYLEEKYPQHPLLPCDLAKRAINYQKYIGDNVGLEEKVPWVHKHVGKGFTALEKLLKDHAGKYATGDEIFLADVLLAPYIVGYLQRYNFDMSEYPLLSRLAEAYKQVPAIQDAMPEKQPDFPIN >KVI11314 pep supercontig:CcrdV1:scaffold_1365:66956:79520:1 gene:Ccrd_010279 transcript:KVI11314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MTTAGAEELPKKLQLYSFFMSSSSFRVRIALNLKGLDYEYKAINLFKREQHSPEFLKINPIGYVPALVDGDLVLADSFAIILYLEEKYPQHPLLPHDLEKRAINYQAANIISSSIQPLISLPILQYIGENVGPDANIPWIQKHVGKGFAALEKLLKGHAGKYATGDEIFLADLFLAPQIIGLTQRFNYDMTEFPLLSRLSEAYMQLWRFHVWHVIVMLSCMACYIRKLQRFRMWRVT >KVI11313 pep supercontig:CcrdV1:scaffold_1365:27230:37583:-1 gene:Ccrd_010278 transcript:KVI11313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA large subunit methyltransferase E MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVXDAAHLLLISVSLDLFDTVNDYNIPLYVHSLLARETPLVLSRKLYLPAKLSSDSKDXDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILKNGGKFIAKIFRGKDTSLLYCQLKLFFTEVTLAKPKSSRNSSIEAFAVCENYSPPEGFNEKDLHRLLEKVGSPSGADDLDCSSGWLEGANKVYIPFLACGDLSGYDSDRSYPLPKAADGSYKSLDPVQPPIDPPYKRALEMKKASNHNGFQNHP >KVD91199 pep supercontig:CcrdV1:scaffold_13656:199:939:-1 gene:Ccrd_024388 transcript:KVD91199 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold MGTPIQSIINSEDRKLFADQVASIGGRVAPSGAVYSVEEAITTAKRLGYPILIRAAYALGGLGSGFAHDETELRKIVSKALLHSNQVLLDKSLKGWKEIEYEIIRDSYDNCIAICNMENLDPLGIHTGESIVVAPSQTLTDSEYYLLRSMSIKIVRHLGVIGECNVQFALNPKSEEFYIIEVNPRLSRSSALASKATGYPLAYVAAKLSLGICLSDLKNSVTGSTTACFEPSLDYCVVKIPRWGLPV >KVI07179 pep supercontig:CcrdV1:scaffold_1368:15357:16319:-1 gene:Ccrd_014458 transcript:KVI07179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MSDHQSPAGDNPPILVLNPDGSCTRFISFPCSPPTPDQDSDTPVLSKDVAIDDSNKTGVRIHLPKETLITPVAKLPLIVYYHGGGFILMSASSTHTHNFCNQLASHLHAVVVSVDYRLAPEHRLPAAYEDGMEALHWIKSSKDPWLTGFVDFSNCYLMGTSAGANLAYHVAVRASLQLHDLEPLKIKGVILHHLFIGGVERTGAEIRLADTGGMLTLSRCDAMWDLSLPVGSSREHEYCNPMAGGGLDDVMGGMKKVGWRVMVTGCYGDLLIDRQMGFAKMLELKGVENECFFGEGYHGIELDDELKAKELFDVISKFML >KVI07180 pep supercontig:CcrdV1:scaffold_1368:37934:46369:1 gene:Ccrd_014459 transcript:KVI07180 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDIAITLGENYFSFLLVFLLTSFFLFSIAKTTFRSKQNIPPGPWRWPIIGNMHQVGKDPHVVTAVLAQRHGPLISLHLGTQLLVVAASPEAALEILKTKDRFTSSRFVPNAFQSYLLPFTLIWSTDCDENWKSLRTLCRTEMFSSKALESQSSLRAKKLAQMLDFLCEKKGEVVSIEGVVFTTMFNTLSNIFFGKDFLDLDDKNGSDGGLREQLFKTLATGVTPNVSDFFPMLARLDLQGLRTKNLKHVKGIFNSWERIIDERRSMVAVAEGEQCFVDCLLENGFSNDQISMLGLELFTAGTDTTTSTVEWAMAELVKNKNVMSKLREELKNEINTRTVVESEVSNLPYLNACIKETLRLHPPAPFLLPHRAYQTCEVMDYTLPRGSQILVNIWAIGRDPKLWEDPLLFKPERFLGSNLDFGGQNFEFIPFGAGRRMCPGLQSGVKSVQTILASLILRFDWLLPNDDDPVNLDMSEKLSPRLKSGPALSPHSCGPDLIMESAKCIPPNGPSVMDYSPYLENGTVHLLGVGGGQLSTPLPTCTKRGCRRLMGQHRLHEESR >KVI07183 pep supercontig:CcrdV1:scaffold_1368:71736:82401:1 gene:Ccrd_014460 transcript:KVI07183 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEITRFLMGNGLFSSLFLLLTPLVFVVVFFFTKKYSRSAKNLPPGPPPWPIIGNLHQIGESPQVSMAIFAQQHGPLISLHLGTQLLVVASSPEAAAGILKTQDRFLSSRAIPNAYDHAYSSFYFIWSTNCGEHWKSLRTLCRNDMFSVKALQAQSRLRQEKLDQMLGFLRGKKNQVVNIGDVVFTTMFNTMSQVIFAKDFLGYGDEHGTVGGLKWKLSTLLKYVTRPNISDFYPIFRRFDLQGLRKENFKNTQEVYSFWEGMVEERRAKMKSSKMVIEEEEEKLFLDRTDTTSSTIEWTMAELLKNKEAMSKVRQELKYNTNSNITHEFEFSKLPYLNACIKETLRLHPPAPFLIPRRAVETCEVMNYTIPQNTQIFINVWAIARDPRVWEDPLSYKPERFLGLNLDFKGQDFEYIPFGAGRRMCPGLPSGIKSVQSILASLIHEFDWVLPNDEDPSKLDMKEKFGMNNGRDSPNIVMEITHFLMGNGLFSSLFLLLTPLVVVVFFIIKKYSGSAKNLPPGPPPWPIIGNIHQIGESPQVSMAMFAQQYGPLISLHLGTQLLVVASSPEAAIGILKSHDHLLSCRSIPDAIDHGLAPFFFVWSTDCGQHWKSLRTLSRNEMFSVKALQAQSGLRHKKVDQMLGFLHGKKGQVVNIGDLVFTTTFNTLSNVFFGKDFLDFGDEHGIAGGLKEKLNTLLAYGVRPNISDFFPIFKRWDLQGLRKRHMKGMREVFSIWEHLIDERRAKYSSSMTVVEDKDKSFLDRLLESGFTNDQINICAIDLFIAGTDTTSSTVEWAMAELLKNKEAMNKLQEELKHKSDSNMITESHLSKFPYLNACIKETLRLHPPAPLLIPRHASETCEVMNYTIPQNTKIFVNVWTIGRDPKIWEDPLSFKPERFLGSSLDFKGQDFEFIPFGAGRRMCPGTQYGIKSVQSILASLIHQFDWVLPNDDDPTKLDMNEKFGVTLQREKPLQLIVKDSK >KVI07181 pep supercontig:CcrdV1:scaffold_1368:2340:2732:1 gene:Ccrd_014457 transcript:KVI07181 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTELIKNKNVMFKLQEELRNEIHSNTTVESKLSKLPFLNACIKETLRLHPPAPFLLPHRAIRTCEVMNYIVPRGARVLVNVWAIGRDPKYWEDPLSFKPERFFSSKLDFKGRF >KVI07182 pep supercontig:CcrdV1:scaffold_1368:87457:87692:1 gene:Ccrd_014461 transcript:KVI07182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMLLLGLKQRPISFSSFQHLVIRERKGLKNSRRRAFQHLVIRDIEKGFEEHRAFRFGDLVLIQMCDLFR >KVH89264 pep supercontig:CcrdV1:scaffold_1369:81302:90487:-1 gene:Ccrd_008750 transcript:KVH89264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGCFQSKTANVQSPDQVPESKPDLANGDEGSDQQAEDRGVPAFKEFELTELRAATNGFSSELIVSESGERAPNVVYRGKLRSNKVVAIKRFSKLSWPDPQQFVVFFSLNNSKELESCFMCIKNLYSTSKRGSKDAEAAGVGKVRHKRLVNLIGCCAEGEERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVAYHIAQALEHCNAENRKIYHDLNAYRVLFDEDGDPCLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTALDLIRGKNVLLLMDSSLEGQYTDEDATAMVELASKCLQYEGRDRPDINFLLTSVASHVLMGLTKTPVVVPTLLSPLGKACARMDLTAVHEILLKTGYRDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKGAIEYYSKLVSMMSVPSGTIFVRRALSYMMIGQPELALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGSSFEAKRQNSWRN >KVH89263 pep supercontig:CcrdV1:scaffold_1369:61484:65203:1 gene:Ccrd_008749 transcript:KVH89263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, cysteine-rich domain-containing protein MITSTGAVSNLFRPTVVRFEATNGAPPPESHNRPTPSPPPPLTPLLSVPKPSWIVRTESNVRLEKRRKPDPSCVVCRGSGRVDCYDCCGKGRRNNVELTMLPNGEWPKWCKTCGGSGLAYCSRCLGTGEYRYLMGFHFMKRNEDEAQDRDRGNYKVRDQFRSRKSAADLFQND >KVI06417 pep supercontig:CcrdV1:scaffold_137:168812:173146:-1 gene:Ccrd_015224 transcript:KVI06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNQTNRCTRAFARAYQPIPHKGREAIGDLLDSDKEALLGLRSFLEQGNKVNQGAYKQWDSQASSPCTWPGISCSGNRVIGINLSYNNIAGKIFGNFSALTELAYLDLSTNTIGGSLPVDLGSCQNLKVLNLSHNMIDGELNLSGLSSLEILDLSVNRFSGNISMSFPIICSSLVVANLSENKFSGEIGSSIDGCPKLEYVDLSANSLTGNLWFGFHRFKKFAVCENRLNNKLQTWIFPENCSLQELDLSENSLAGEIPKEISNCKNLTILNLWGNYFSGKIPGELGLIPNLQQLSLGNNTLDSQIPESLLGLKKLEFLDLSRNSFGGDIQEILGHLTQVKTLLLHSNRYSGGLSTSGILRLQNVSSLDLSYNNLSGLLPVEISQMASLKYLFLANNQFSGSIPSEYGSLLGLQALDLSNNHLDGPIPPSFGHLSSLLWLMVANNSLTGQLPPELGNCSSLLWLNLQNNQLTGPIWPELTNMGKNATPTFLINRQDSLIEDSGECSTLRRWIPADYQPFSFVYTLLNMKKCKSLWDKILKGYGIFPVCLPESNIRVQPISGYLQLSGNRLSGQIPPGIGKMGDYSMLHVGGNEFSGTLPDEIGGMTLVVLNVSQNKFSGRVPRQLGNLKCLRNLDLSYNNFSGKFPTNLNNLTDLSSFNVSYNPYISGSIPETGQLATFEIWSYLGDPLLRLPSFIDNSSNTSSATSDGISTPQKWGAVLVFIFLLLAFLVCGVMTIIVCLATKSTSDQPQFLLWETKSGNGTAGSSPWLSDTVKVISLDKTAFTHADILNATGNFSNDRIIGRGGFGTVYRGVLPDGRVVAVKKKLREGIEGEKEFKAEMEVLTGNGFGWPHPNLVTLYGWCLDGSEKLLVYEYMEGGTLEDLIHDRIGFPWRRRINVAIDVAHALVFLHHECNPAIVHRDVKASNVLLDKKGKAHVTDFGLARIMDAGDSHVSTMVAGTVGYVAPEYGQTWQATTKGDVYSYGVLVMELATGRRAVEGGGECLVEWSRRVRGRRFVIPMSFLVSGMSEGMVEMRDLLWLGVRCTTDEPQSRPNMKEVGHSFLKEYEAEHTYEMGSRLGIRIQMA >KVI06416 pep supercontig:CcrdV1:scaffold_137:198731:217685:1 gene:Ccrd_015227 transcript:KVI06416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MALFKRFFYRKPPDRLLEISERVYVFDCCFSTEVLEEDEYKIYMGGIVAELQDYYPDSSFMVFNFREGDKRTQISDILSQYDMTVMEYPRQYEGYPMLPLEMIHHFLRSSESWLSLAGQQNVLLMHCERGGWPVLAFMLAALLLFRKQYNGEQKTLEMVYKQAPRELLHLLTPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLALDCIILRVLPVYGEKGCRPVVRIYGQDSSSTTANRSSKLLFASSKTKKQARYYQAEECELVKIDIHHRVQGDVVLECIHLDHVREEIMFRVMFHTTFVRGSVLMLSRDEVDIMWDARDQIPRNFKAEVLFSDADALPSMITKEGEFEDGDETESPTPEEFFEVEEIFSNAVDGQDAKAEPDTLLLKDNKLNAENGDIVFKEESEPDAFQDYESDEGNKKPETKVYANLQSAKGNELSLRNGGLKLTVAVANEPDKTDAHEVKADGLSEEVNGKQDKEESPEKKSGKDDSQQKLSGNITRKNSANSKLNPDGVAKQKAKPQETSTTLRLAKPNVVSRWIPPNKGSYTNSVHVSYPPSRYNSAPPVLAQPKDLQSGEKKSLEGTTNKAENASRKHTSSPSLDVQPVQVADSSPLKSSIPQIPSPPSSVRRSGKSLDSCALQDPVSKLEGKTPKVTPLPPVASPPPTPISLPSSSLSTPATMPLTSTDRDIHVQATGRAPPPPPPPPPPPPPPPMSSDIYNTCAVVPATPQQPPVYSSIIGKPVKDGMAILATPALPPKQVAHPPPPPPPPPPPPPPPIYSAPPPPPPPPPPPRYGAPSPPPPPSGGPPPPPPPIRGPPPPPPPPGGRAPRPPPPPPSGGRAPGPPPPPPPGGRAPGPPPPPPPGGRAPGPPPPPGPPRPPGVGPPPPPPGGRAPGPPSFGPPPPRGRGLVRPAGMAAAPRRSNLKPLHWSKVTRALQGSLWEELQRPGEPQSAPDFDVSELESLFSAIVPKKDSSKGGGRRKSTGSKPEQVNLGISPIIEKRCGIDLRRANNTLIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEMELLKNYTGAKETLGKCEQFFLELMKVPRVESKLRVFLFKIQFNTQLSEFKKSLNTVNSACDEVRTSVKLKEIMKRILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKSPALLDFHVDLVSLESATKIQLKSLAEEMQAIIKGLEKVKQELTASANDGPVSEVFHKTLNEFIGFAESEVSSVTNLYSVVGRNADALALYFGEDPALTQTLLNFVRLFRKAHEENYKQAELEKKKAQKEVEMEKAKGERKQSESRVPGNSSAVNRQSKMLFEDGADPDGC >KVI06441 pep supercontig:CcrdV1:scaffold_137:334185:336170:-1 gene:Ccrd_015237 transcript:KVI06441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVKCLSENSESFHFFQSMMQNSSHSPSLFSLNQTHLNSLISLIKSTTQTTQLLQIHAYLLRTSLLQQSNFSVPFLQRITLPPFQILNYSLQIFSQILRPNVTHYNTMIRAYAMSIHPERGGKCDNACKVFEEMPLRDTVAWNVLVSCYTRNNRTLDALGVFDSMQSGEHGCDPDDVTCLLLLQACSNLCALEFGERIHEYIKDHGYHHALNLCNSLVAMYSKCGQLDKAYEVFQEIPNKDVVSWTAMISGFASNGRGREAISVFREMERTGIPPDEQTFTALLSGCSHSGLLDEGRLIFDRMQREFGVVPNIHHYGCVVDLMGRLGLVEDAYELIMSMRCKPDATIWRTLLGACKVRGHVELGERVIEHLIELKAQEAGDYILLLNIYSSAGNWEKVIETRKLMKEKGIQTTPSASTIELKGEVHKFRVDDTSHSRINEVYEMMDDIEKQLKIGGYVAEITKENEGSLSYSYHSEKLALAFGVLASPPGTKLRVAKDLRICIDCHNFAKMLSGVYNREVIIRDRTRFHHFREGRCSCNDFW >KVI06403 pep supercontig:CcrdV1:scaffold_137:458968:461248:-1 gene:Ccrd_015251 transcript:KVI06403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPEKRVFSSRLLSCLIAISMFFLILSCSLIFRIGDRSLVPRSIYKLIVVDSGSIEPKSHPSIPLNSCKEACSESMAVKPVASCDPNQALLKVFMYDLPPDFHFGLLGWRGAPNQIWPNVGNFSEIPKYPGGLNLQHSTEYWLTLDLLSSVTPNVPRSCTAVLVHNSSRADIFFVPFFSSLSYNRHSKVQGMEKNSTSDILQERLVEYLKGRDEWKRFGGKDHLIMAHHPNSMLMARWKLGSAMLVLADFGRYSDEIANIEKDVVAPYKHVVRTIDANKSPPFEERPTLVYFQGAIYRKDGGTIRQELYYLMKDEKDVHFTFGSATVGGIRKASTGMAASKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPYEDVLDYSKFSIFVLASDACKEGYLLNLLRGIEREKWTEMWEKLKEVAPHFEYQYPSRPGDAVDMIWQAVSRKVSPLHLKAHRKNRYHMSQEFLKAH >KVI06425 pep supercontig:CcrdV1:scaffold_137:434250:435661:-1 gene:Ccrd_015248 transcript:KVI06425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MESRNSMRSWSVHEVNSAEEEDVFSFPHQMPPLDFGFPEVGCNGYDLLPIPLDQHQNGFEDPVTCALGDDLVNVFGINNELISNQNQETPMVNSCGFSDQNQPLMITSTDEENSGKIMKNKMMNDNEAMKVEEMLELEMEVAVIRNPKESEKERVDHNGNGSGSSYTSKIMLSRETISQYFYMPITQAAKELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLINNVQQELGKDTGEREEEKLREAVMILEKERRMMEEIPDLQLEHNTKRLRQACFKANYKRRRTSTLISATAQRQSLSSCPTTNCSINPAGYGLLDDDSGHYEEQEEMKSILFSDCFPSSSDNIF >KVI06434 pep supercontig:CcrdV1:scaffold_137:354983:356641:1 gene:Ccrd_015239 transcript:KVI06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAPIGVLMVGILSMVSMVQGYNGGWVDAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTTLFNNGRSCGACFEIKCAGEPKWCHPGSIVVTATNFCPPNNALPNNAGGWCNPPLQHFDLSQPVFQQIAQYRAGIVPVHYRRVPCKKKGGIRFTVNGHSYFNLVLITNVGGAGDVTAVAIKGSKTGWVPMSRNWGQNWQSNSNLDGQALSFKVTTSDGRTVVCNNAVPAGWSFGQTFTGGQFT >KVI06431 pep supercontig:CcrdV1:scaffold_137:373064:381230:1 gene:Ccrd_015244 transcript:KVI06431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWWVLFLPATCSDRHVPHGASCSPVPLAALAKMARLVDVGIVVVTKLGVHAVTTRARENLIGFFQSLRLRICCISFRSGGGLWFFRLTLFIKGRSFGIVVQLQLIIRILTHTCGVNDVCGCVYIDEHALSLVPPWEGGRLVILAVSGNPIFFPNKMIPGSFMAMAVAVVKKSIKQSSEAVKQSSETETHLGRKRWRRETTTVTKSDGDGTTTVGESDRDGDGGGNGDGE >KVI06433 pep supercontig:CcrdV1:scaffold_137:402786:433464:1 gene:Ccrd_015247 transcript:KVI06433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MTIEMVFFLSKYHRLLQRDSGGEHEQYKKTEDRCMLSAFDITMRMVRQKTQIRKIENLAARQVTFSKRRRGLFKKAQEFMNQVLERHRLQSQNVGELRQPSREMQTESRKSAMLSKELAEKSIELRQMKGEDLQGLDLQGLDKLEAVIESGLVEVVKTKGERMLKEISTLKKKEAQLLEENAFLKRQLAMMDTSIGQMGIHDQCCDHHSLELTISSLSSRDPPHNYNISSSDTCLKLGQPFSN >KVI06440 pep supercontig:CcrdV1:scaffold_137:270741:274905:-1 gene:Ccrd_015234 transcript:KVI06440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease QSNYVQHIAIDAQLHFSAKILPIVLSPSPHGSDMVSTVDRSEIAFFDLETTIPTRVGQGYAILEFGSILVCPRKLIELESYDTLVRPHDLSLISTLSTRANGISADAVVSAPAFSDIADRVYDILHGRVWAGHNILRFDCVRLREAYAQINRPPPEPKGTIDSLALLTQRFGRRAGDMKMATLAAYFGLGRQSHRIKKYITCRSLDDVRLNLEVLKYCATVLFLESSLPDIFTENNWASLNSTTSYRCNGKSTLEGTGSTTSPLSSSRNIENPTLPLNPTFSVVIPEEQIPNLVESGSARFDPFQMGQFVDEMEKESLQSNEAMVEESSTTTLSDAVSNRTEFIEPDEVSIASITVAVSPLFHGYQRMQMLHRDIPMQIRCDRMLIWFGLSTKFVDRLSFVVDANSSNLCGDTTRWITEIYHQQSSLLPPTQLFVSGNYDVAELDALFRPGFVVDAYFSLDPYNYQQNAGIRLVAKKLIVHTN >KVI06418 pep supercontig:CcrdV1:scaffold_137:184885:186106:1 gene:Ccrd_015225 transcript:KVI06418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYERRGGRCLPLCLQFFFCPKSNVLTLEMVRKEAPRGLLHLLTLLNPQPSQLRFRLATLRHTSNFGLYHTLIPFQIWQRTCSLYIWSRFILNNSQQRSKLLFASSNTKKHKECEVVKTNIHHRIQGDMVLECIHMDEVNDEMIFRLNVTHNIDNIDVLRDVNDQIYANFKGEV >KVI06421 pep supercontig:CcrdV1:scaffold_137:134032:136603:1 gene:Ccrd_015220 transcript:KVI06421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MENMVKQRRVSFSEHENKESFNSEYAAAIAAASFAIHSFEEKTSSQHQKKQKPREEGEANSRRTRIMSLADKTPSFRRPASRPRDASANRSLSIHGGGNTKVDAWEKAELLKIEKRYEKSNQTILEWEKEKKARAKRRVEEKKKELDQRRSMNWQHYQNKLARIDHVAGGARSQTEDKKKHDEKKVKERAREMRSLEVSSPKYCFFC >KVI06420 pep supercontig:CcrdV1:scaffold_137:166135:168175:1 gene:Ccrd_015223 transcript:KVI06420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MVSVRNPPKIVCVIGAGPSGLVAARELKKEGHKVVVLEQNHDVGGQWLYDPRLDQDGKAIHSSIYESLRLTSPREIMGFTDFPFLMKKGRDTRRFPGHKELLLYLKDFCEWFGLREMIRFNTRVEYVGIQDYGGLGKDLRWIVKSKLKDSDHKVVAQVFDAVVVVVVGNSLSGQDISMELVNVAKEIHISAKSPAVSEGLSKVISKYDNLHLHPICRCFNVYHSLQIECLHEDGRVVFADGSWLTADTIIYCTGYSYSFPFLDTKGAVAVDDDRVGPLYEHTFPPNLAPSLSFIGIPRKIIGFPFFESQAKWIAQLLSGKRTLPSRDEMMKSIHDLYQSREAAGIPKHNTHDVADFEVVDYTLTITYIRFGFFWKYCDKYGDHVGFPHLEEWRKDLCISALINSDVNLETYRDHYDDEQLLQVAHQSPHFTQLEAEAVSL >KVI06422 pep supercontig:CcrdV1:scaffold_137:141422:143529:1 gene:Ccrd_015221 transcript:KVI06422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix leucine zipper transcription factor VVHCFSGKRSTYSIKNLFCSDSVPTYLSCFSFCLQTYMMFSRLSDYGVAEITWENGQPAMHGLGRANETLESIVHQATTCYNQSQNQEIDLQQSQSLPRSRNLSSNVASSSGKWGDSAGQSYLKKRPRSSAIFHDECVRNLGTATLQEDNISNGATINSKHNDTTMMTWPSFDSPNQSLKSKNTDDDSACQYGSENQEEECRNEGETVRSQSSRRSRAAAIHNQTERRRRERINQKMKALQKLVPNANKHMNLDMYNMAAFYRQQVNQGKSIGTSSSKSNHIRGE >KVI06424 pep supercontig:CcrdV1:scaffold_137:100542:105682:-1 gene:Ccrd_015218 transcript:KVI06424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVVQNSELKQAILNPPPPRVPFSVPTALAHARNSSPSQVLRVGLAPLLLLTYRLRSLRLISTAMDDDVSNSLRRMSTRARKVAPKMVAALASTDNRTQAILARLEALENDNPGAEVVEPNDDDDASLDEDDDQVYHKKQSKGTKRKTRQAKALETAKKAPRTFLELLHDVSGPDFLICYFCFIELPRSHCTIYETGKPGILASSCAILSKGSSWASKLHLPPPFLHCLWFLSKIYMRKMRNAFLCSPLSEYSQRYTLSEICCLDR >KVI06439 pep supercontig:CcrdV1:scaffold_137:288902:300039:1 gene:Ccrd_015235 transcript:KVI06439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEEGVSGRDIRVCYLIPRLISGALTGLFALAGAFTGAITGALAGIASDSGILRGAGLGALAGAILSVEVLEASRAYWCQELSGTRNSSMADFMEELLRGRFAEERFPPELLTAYHWQVTVSALRPVEMLVFSDEISYKGLSKDSLRRLPCHEISNETKPTQTICCTICLQIVKLASGGHYSTFPPTPTSSDLEKLCYVVSHGIGSLNDLEASLNEVKVSCCSSIITQVLDSSKREAPSRRLLRFFLWSEKQLDVRLEDKDYNHAIRVFAEKKDFVALDMLISNLGRENRVMESSTFSIVAETLVKLGRVDEALGIFKNLDKLMCQQDSTTVTAIVAALCSKGHVKRAEGVVYHHKDKISNVKLFIYRNLLHGWSVQENVKESRRIIKDMKGAGITPDLFCYNTFLKCLCKKNLKSNPSGLVPEALNVMIEMRTYGIAPTTISYNILLSCLGRTRRVKESLQILNTMKKTGCSPDWASYYLVARVLYLTGRFGKGKQMVDQMIEEGLVPERKFYYDLIGILCGVERVNYALELFELMKKSSLGGYEKVYDLLIPKLCGSSEFEKGRELWDEARAMGLTLECSSDVLNPLITKVFKPTQKVEKEVAIVESPNRKTGGKMKPIANLKKGNQTASSFDFPASFWAFMGAITDALAGRASDSGILRGAGLGALAGATLSVEVLVASCVYWCQELYGSRNSSMVNADFMKELLRGRFAEERFPPEVVTLYHWQVPFLLKII >KVI06429 pep supercontig:CcrdV1:scaffold_137:366305:370963:1 gene:Ccrd_015242 transcript:KVI06429 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease MRSDKKKRTSQTTTGHTRARNCKAKTMNPRGKGKRRSSSSSPTRNHRRTTTSSGVPLITPSATLLDTELVTEDDDLAYFRDLTISPPDKFSNPRSFPYSVKQQCWEKAEKIKGRDPDRWRRDPLGNTIFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNRTEMSRADLIQKSSYCRVSGRDMDLLELSAYGNVRRGQDSGGCSMQ >KVI06427 pep supercontig:CcrdV1:scaffold_137:359797:361241:1 gene:Ccrd_015240 transcript:KVI06427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MATVTQASVAVFRPSTFLSGSPGKLNRALAVKPATSSSVSFKIEAKKGEWLPGLPSPVYLNGSLAGDNGFDPLALAEDPESLKWFVQAELVNGRWAMLGVAGMLLPEVFTSLGFIDVPKWYDAGKSQYFASSSTLLVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPNEVGYPGGIFNPLNFAPTPEAKEKEIANGRLAMLAFLGFIVQHNVTGKGPFDNLVQHLSDPWHNTIVQTLSGN >KVI06409 pep supercontig:CcrdV1:scaffold_137:43424:46464:-1 gene:Ccrd_015213 transcript:KVI06409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MFGLKKPHLHRPAKQNSVDPRLPSQPSANPFDSDDEIDKRSTQKPSKRTSSEPSLSTPNSTISLFDDNEVKGTSSSSGYSLDHASRNKYKNDFVDSGGIENQSTQELEHYAAYKAEETTKTVNSALKIAEDIREGATNTMIALHQQGEQINRTHMAAADIEQDLGRGEKLLGSLGGIFSRTWKPKKGQTIKGPTHIREERATIWSKGKNWALQLPRIRDPHYEKGKQDDGLSDLSDILGELKEMAVDMGSEIERQNKALNPLQDDIEEINFRVKGANQRTRRLLGK >KVI06423 pep supercontig:CcrdV1:scaffold_137:112118:117932:-1 gene:Ccrd_015219 transcript:KVI06423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 79 MKFYIALFLFLASISGVLPQNVEEAYLVINGTLPVAHTDANYICATIDWWPTNKCDYQQCPWGSSSALNLDLSHPFLAKAIQAFERLRIRVGGSLQDQVLYSVGGFLGPCHPFFKMKGGLFGFSKGCLHMSRWDELNQFFIRTRALVTFGLNALHGRHQIKKGVWGGDWNSSNARDFIKYTVSKGYQIDSWEFGNELSGKGVGAMVEAEQYGNDLLELRGIIDNTYRQFRPKPLLVAPGGFFDKQWFAELLKVSGSEIVNVMTHHMYNLGPGVDPNLVKKILDPRFLSRASVTFNDLQQTIQTDGPWASAWIGESGGAYNSGGRHVSDTFVNSFWYLDQLAMAAKYHTKVYCRQTLIGGNYGLLNKTTFMPNPDYYSALLWHRLMGTGVLDVDRRNIGPHLRTYAHCSKGKTGITLLLINLSNQTHFKLGVHNILNMNLRTTQHETSKKKTSLVHGLKTTVSWVGSKSTDEELSREEYHLTPQHGYIRSKTMLLNGVPLELTKNGDVPSFRPAMVKLNTPISIAPLSIKFLQFPNFDAPGCT >KVI06405 pep supercontig:CcrdV1:scaffold_137:80424:89406:-1 gene:Ccrd_015217 transcript:KVI06405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGCFASKNADSKASRLSRWRATGISFPDEVLELDKSVRTLDLTHNKLVDVPEEISKLINMQRLLLLLNVSHNKLKSLPESTGSCFSLEELQANENSIEELPTSVCSLIHLKSLCLDHNNLKQIPPSLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEDRRKKKFDKQIDSNVMISSKGLDEGVDL >KVI06432 pep supercontig:CcrdV1:scaffold_137:391614:391814:-1 gene:Ccrd_015246 transcript:KVI06432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGMAPPQGLALSNLRSIKNVSIPPSARVRAAAPPAGPPPMTATRRFRPTILGLDMAATTLRRRG >KVI06415 pep supercontig:CcrdV1:scaffold_137:190745:196806:-1 gene:Ccrd_015226 transcript:KVI06415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHPAPNKRNITVRYDFGSQSNAAATICHQKKLRRLPHIFAKVLELPFYADADVSIEETNSRTKQTYRKEARLTREHTATHTLTGSRPLGARSRHSAVAASPRRSQPLGLGSRRPVRPLDMVPSGHWMKNRRIRREEQELKELVCDREMENETRSNGEQEMERASGANKVLCVVGMGMKMKTGDAKEGMSRST >KVI06419 pep supercontig:CcrdV1:scaffold_137:147297:150740:-1 gene:Ccrd_015222 transcript:KVI06419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MWSFASNAIAGTSGLKNDSARPNQASSDYSDDDVSVNNSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVKLPTLPIQLPFFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESLNGDRVKSHSSSCGDHLPVPAWSWTRGLISNQPSHTNNLVCPRVRESNRHDDSRSTHLNTERLHSSLRKSLIFFVHLTAKFPLVFIFLLIVLYAIPASAIILAVYILITILFALPSFLVLYFAYPSLDWLDHDLISSQDFR >KVI06437 pep supercontig:CcrdV1:scaffold_137:269471:269823:-1 gene:Ccrd_015233 transcript:KVI06437 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MKDALLLEGPCTDIILCEANLLLLNNGELLKAITENKMLQHIPVIMILTEDQVSLTLNGLGLGVADYLMRPVSADELLNLWTHILARS >KVI06442 pep supercontig:CcrdV1:scaffold_137:304619:306140:-1 gene:Ccrd_015236 transcript:KVI06442 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDMPPGFRFHPTDEELITDYLSNKVVNNTFFAKAIGEVDMNRVEPWELPRLAKMGEQEWYFFCVRDKKYPTGIRTNRATAAGYWKATGKDKEIFRGRSLVGMKKTLVFYMGRAPKGEKTNWVIHEYRLEGKLSLQNLPKSAKNEWVICRVFHKTSGGKKFHISGLSRMDSGSVAGSLPPLMESPVAFGGRMTNSSISVSVQVPCFSNPIEFQTTQKTMISTFLNNPAFPFDSDSNSNSNSISISNSNPLLEGQPDLLLQGNHEFPSGSPFPVHDQAILRSLISQGLKMEMEMITGSQDTGLSSAKNTETLSNLEKGKRGTEIEDQEAPSTSVGPIDLDCLWNY >KVI06428 pep supercontig:CcrdV1:scaffold_137:365234:366011:-1 gene:Ccrd_015241 transcript:KVI06428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDISRKFTWNRIQEKEWLISLHDLYSLFVRPVFLFIFATRTTACVAAQPRERVHVTEPCCVENGPGKKKALGVNPKIVMERLGTFWDPDDQRESLGLEEMVDLFVEDEPSLDEVKEAFCLFDKNNDGYIDVKELQNVLSGMGFLRVSESDCGRMIGRYDVDKDGKISFQEFLKVMEDGFCES >KVI06412 pep supercontig:CcrdV1:scaffold_137:1326:2768:-1 gene:Ccrd_015210 transcript:KVI06412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVSRITAWNSDQLPIYEPGLDEVVKSCRGNNLFFSSDVEKHVAEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGVKYQILSNPEFLAEGTAIQDLFAPDRVLIGGRETPDGRRAIKALKDVYAHWVPEERILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVSHAVGKDTRIGHKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKSRFVNRIITSMFNTVSGKKIAIFGFAFKKDTGDTRETPAIDVCKGLLGDQARLSIYDPQVTEDQIQRDLSMNKFDWDHPLHLQPMSPSSVKQVSVEWDAYAAAKGAHGLCILTEWDEFKKLDYEKIYENMMKPAFVFDGRNVVKAEKLREIGFIVYSIGKPLDPWLKDMPTVA >KVI06406 pep supercontig:CcrdV1:scaffold_137:67271:68773:-1 gene:Ccrd_015216 transcript:KVI06406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLIQETEQDWMKHFCKLCDKSFPSGRSLGGHMRSHVINSTDLHHQKQMKKLSSVNNGGKSKKNTATDVFDGSSNSNDSGYELRKDPKRTPKVKDSSEVENNSNCLVVLDKLCKECGKGFQSWKALFGHMKCHSDKVANNHNLNQDSWTSQSDDENSGAKVKKSRSRNTRIKRYITATVTTTTTVTTASSSISMNANNQMMISSNHASTSVVSEIEQDQESEIAMCLMMLSRDDGKWDNEYESSDYCNSSALVRLTKVEGKKPVGNGSKIKKLAETQVGVDYLGRSEVGSAGLPKIMIHNDKFIDESELGFGKTDQDSSKRKFECATCNKSFHSYQALGGHKASHKKLKGYLDPKTEPLFNHEHMINGFCAKPSDNHQSTKGFNLGAGSLKKTVVLGSHECPICFKIFSSGQALGGHKRSHIIAEAKLNQQTNMNLIEKVNEPIRGFLDLNMLPVPDTVEEEMMMMNNNTTRYKPWYWTDSSHSTNHESTTALLGLFSS >KVI06413 pep supercontig:CcrdV1:scaffold_137:225643:227692:-1 gene:Ccrd_015228 transcript:KVI06413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIYLHINTTTLIHLFRPRTSTMGTTVQIIRTSIHTFLHHYNFFSAAAILALPFSASLLLSSSSLLPDSLSVHSRLRLLFDAAGFPPQSEFFSILNLKLSQTITSSILVLPFTLSFLLIAKASVIQSLNIRRKPPPSLVRIFISIFETQIWNTLVIISANATCFWVLFIAFNCLENLHIPSLFFTVVGGITYSIIIANALIMCNLALILSGMELNGGFISILKACVMIRGRTSIALSLALPINMALAGIEALFQFRVVKAYSDSGMNKPTSPMVLEGLFIAYLYSILIIIDAITGCVFFKSCKIAYDQNTVDHEAGRIRFKEEDDEFALVKCVAKELP >KVI06414 pep supercontig:CcrdV1:scaffold_137:238810:244930:1 gene:Ccrd_015229 transcript:KVI06414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMMSDSDFANPEERFEERRKTASGLLPLKQRLLVFVLKNFKRAVASCKLNDAVIYNGLATESGPVQLASVGVSISIFNIVSKLFNIPFLSVATSFVAEDIPKNSSRSSSQGGAKRRTNGNDNDKAFVDIAERKQLASVSTTLLLAVVIGIFEGLALCFGSKVFLKLMGISSVLLFRLLFLEEDPTAKMHLEVAKQTPSSTERLPWK >KVI06411 pep supercontig:CcrdV1:scaffold_137:12779:15544:-1 gene:Ccrd_015211 transcript:KVI06411 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MDDYREALILPPAWMNKSPSELAESHLFIISCFIAGLIGILTIIYTAFQWRRNISLSWTKAIAGTKKDPKSSGKDLVAPHTWYLEGVARGKSFNCCVCLKDLVGSFIHRCSICGAVAHLNCSPNAHRDCKCVSMVGSEHVLHQWAIRWTEVIDQPDGSSFCTHCEEPCSASFLGGSAIWCCLWCQRLIHVDCHSSMYRETGDICDMGPFKRLILSPLNVKGLNQSSSGGILSSITYGANEIASSVRASIVSQTKKNKQGNRVSVYDGRGSLGESSTESTSDANLKNDAGKRDVVNKDLSYKRGSLSHQKDESQMVQMKQRYELVNLRPDARPLLVFINKKSGAKRGDSIRLRMNILLNPVQIFELSSKEGPEQNFVSPPPVAILPAGTGNDLARVLNWGGGLGSVERQGGLCTMLQHMEHAAVTVLDRWNISIANQKGRPIRPPKFMNNYLGVGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGARSMMDRTLADYPWQVRVDVDGVDVEVPEDAEGVLIANIGSYMGGVDLWQNENENNDNFDPQSMHDKMLEVVSISGTWHLGKLQVGLSRARRLAQGKTIKIQLLAPLPVQIDGEPWLQSPCTLTISHHGQAFMLKRTSEEPLGHAAGIVADVLENAETNLVINATQKRTLLHEMALRLS >KVI06430 pep supercontig:CcrdV1:scaffold_137:372385:374239:-1 gene:Ccrd_015243 transcript:KVI06430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MQPNRIHPATANLSRPQQTSHKILLFFTTATAIAMNDPGIILFGKKIGLPETAKITNLPPSHGGTRERACSSIYTHPQTSFTPHVCDEGRKDEANPDDELELDDNPKTPSLNEEGQPEKPQTTTGTEGDTANSQPKTLKKPDKILPCPRCDSMNTKFCYYNNSNINQPRHFCKSCQRYWTAGGTMRNMPVGAGRRKKKNPPSHCRFIISQEAFGSSAAAPQIEFAADSDGVHNTVHPPKVLSFSPNTPHAGANLSVASARVRENGDDCSSGSTVITSNSLVEKIQDNPGFHSQVHWIPGASWSYNPWNTAIPLPIPAICPPGYPPMPIYPSPYWNSVPWLHPATSATNFSILGKHSTDREETKPNEEPKRHKNSVLIPKTLRIDDPDEAAKSSIWATLGIKKENNSRGDLFKAFQAKGDEKKKHPTTEPSPVLQANPAAFSRSLCFQERA >KVI06426 pep supercontig:CcrdV1:scaffold_137:439703:443475:-1 gene:Ccrd_015249 transcript:KVI06426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGFLLKVHKNFFSNDVPIARIRGLDSGISPVQALNLFQVKELRFTEKIYRRSCGLMNDSVGGIPGNLT >KVI06410 pep supercontig:CcrdV1:scaffold_137:24499:35322:-1 gene:Ccrd_015212 transcript:KVI06410 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, ATP-dependent, DEAH-box type, conserved site-containing protein MHQRRSLHRHLLPPLRSHLLLLLLHLRANQCKENHGLTKLFMGKYVFESSTLFIVGWLMQASSVMNEMGSDLKIWVSDRLMSLLGYSQPTLVQYVISLCKLSSTKKASSPSDIHSNLKDMGVSSSNETRAFAEEIFLNQYFHCSFLLLLPYRQQEEEAAMLAKKQRTYKLLEADDDDENDERNGSISVAPQSKKADKRAKRFRKKTENQEDEDNEAYCFCWFGASYNDLLLLITGAGILKEERRVKQKASREESDGSESEEERLRDQIEREELERHLREKDAAKTTKAIRRANALEQDDIGALRKVSRQEYLKKREQKKIEEIGDDIEDEQYLFANQKVSEAELRDLRNKKQIYDLVKKRSQEDDNINELLFLLLSEMYLTTLFGMQYRMPDAYDIEGGVNQEKRFSVAMERYRDSKDGDKMNPFAEQEAWEDHQIGKATLKFGSKNKKQSEDYNFVFEDQIEFIKGQVMGGENADRKTLPVYPYRESLLQAIEDHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGMIGCTQPRRVAAMSVAARVSQEMGIKLGHEVGYSIRFEDCTSDKTVLKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKGTVSIHWFDPFILLFFQDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRFPVEINYTKAPEADYLDAAIVTALQIHVTQPPGDGDILVFLTGQEEIETAEEILKHRTRGLGSKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFVKMKSYNPRTGMESLLITPISKASANQRAGRSGRTGPGKCFRLYTAYNYFNDLDDNTVPEIQRTNLANVVLSLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKHGELTKVGRKMAEFPLDPMLSKMIVASDKYKCSDEIISIAAMLSIGSSIFYRPKDKQVHADNARLNFHMGNVGDHIALLKVYSSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELVSNPGDLEAIKKSITSGYFPHSARMQKNGSYRTVKHPQTVYIHPSSGLAQVLPRWVVYHELVLTTKEYMRQVSELKPEWLVEIAPHYYQLKDVEDLASKKMPRGEGRASKD >KVI06435 pep supercontig:CcrdV1:scaffold_137:250485:252405:-1 gene:Ccrd_015231 transcript:KVI06435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase MGQEGFTAQKRGSSTLPTSTAPNGGSRSGRSLLRGGGGGNRQIHKTLNNIKITILCGLVTILVLRGTIGFGSLVSDNDLENQAIIEETNRILAEIRSDKDPDDPEDNQDQSVINLNDTYSLGAKISNWDEERSLWLSRNQDFPNMVNGKARILLVTGSPPNPCDNAIGDHYLLKSIKNKIDYCRIHGIEIVYNMAHLDKELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMVFEIPLSKYKDHNMVIHGYPDLLFNQKSWIALNTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLITKTEWMKKVFVENSYFLHGYWDGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVQRCLSSMERAFNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLENVDQFDIRHSTQGSSN >KVI06438 pep supercontig:CcrdV1:scaffold_137:263109:264545:-1 gene:Ccrd_015232 transcript:KVI06438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPVVSRCLSTSVRTKNPSFCFTTFSVTNSVEKLWTHLQSNDPNIEKTLTRVGAKLDSSCVKEVIRRCGSTDQRSILGLRFFVWAGVQREYRHNSYMYNIACKLLRVNQNPNVIRDVIEAYSVDNCVVNVKSFKVVLNICKEARLANEGLWVLKKMDYFNCRPDTTAYNVVIRLFCEKNEMDEAFRLMEEMSLSDLYPDMVTFVAMVKGFCDLGRIEDASRLFEVVSQQGCSPNVVAYSALLDGICRVGNLEKGLNLLEEMENKGGVCAPTVVTYTSMIRSFCEKGRSLEAFTILDRMEACGCAPNRVTISTFINGLCKEDRVEEVYKLIDRVVAGGSLSESECYSSLVVTLFRVGNYEDAEKLFRRMLVSGLKPDGLASSTFLKRCLKEQRALDAFVLYNEIEKLGFAASIDSDIYSIMMDGLITKSHLLEASKLASMMVQKSIRLKAPHIDGVVECLKNAGETELVSHIYKVNGG >KVI06404 pep supercontig:CcrdV1:scaffold_137:458529:458777:1 gene:Ccrd_015250 transcript:KVI06404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFCVVFLSIRSENISVSALRSIDLALKWGEERLFSRNRSASVEELNTQWSLAPTPSLMFDPNQSNKRRVQRGSDPIHNRC >KVI06436 pep supercontig:CcrdV1:scaffold_137:244695:247205:-1 gene:Ccrd_015230 transcript:KVI06436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGGSKLSFTVLCIMFYSLSTNARFTPPENYLIDCGSPENTILDDGRTFKSDPQSVSFLSTDENIFATSISAPPLYRTARIFNTESVYKFLVFQPGRHFLRLYFFPLHHPSYNLTTAVFTVKTDGLVLLHDFSATNNSDSHFKEYLINVTSDYFSLVFSPLKKSFAFINAIEFVSAPNELVSDSATTVSPAGVFNGVSGYDFQVLHRVNVGGPTISPKNDTLSRTWMSDSDEYMMFPKGEKVVSVDPSTINYPDGGATSLIAPNQLYSSAASMADSGVSNSNFNLTWEMKVDPGFNYLIRLHFCDIVSSGLNTLYFNVYINGFIGVSELDLSSLTSDLAIVYYKDFMINALAISNGLIRVQVGPSDLETSAPNAILNGLEIMKMKNTAGSLDGLFSSGSNSRGSRTTTIAEATGVAIGVIMLLVLVVSLIRRKNRARDWDEGGNSFTSWFLPLNASYCSSLLSSKSKNKNGYSSVFPSKIGLGRSFAFSELRDATKNFDESEVIGVGGFGKVYIGEIEKGTKLAIKRGNPRSSQGINEFQTEIQLLSKLRHRHLVSLIGYCDENTEMILVYEFMANGPLRDHLYGSTLPSLTWRQRLEISIGAARGLHYLHTGGSSQGIIHRDVKTTNILLDENLVAKVSDFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALDPALPREQVNLAEWAMQKQRKGGIEMIVDPKIVKTISSESLIKYVEAAEKCIAEYGVDRPSMGDVLWNLEFALQLQDASSQLDPPQEKEDGTCNVKKMKLIGSEESKNSDVSIVISDDSGVVMGSPLFSKIQDFEGR >KVI06408 pep supercontig:CcrdV1:scaffold_137:47887:53828:-1 gene:Ccrd_015214 transcript:KVI06408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PNSTFSCVKDSVFCKLISEHHKSISSFIRELAEFRLNQTLEEKMSTCSSSGSSYVLDDEELLQFEARCKELRIEKDMLKESSFELIRSLESHARILAETRSNDKKRIQDLERELSNCSQEIDYLQDQLNGRDTEINHMTDYIHNLESKLREKEDFNEIVRSLGQELKVCNLERLALLEKLENKEQELRNSTLSIENLEESISNVALEYQCDIESMKLDLMAMERSFFEAKELQEEAAQGQRRMQELTKTYKLQIQDAQNVTCLVEENKELREKLKTFETNVMLFLQEMNSQFPELLKNQATLSSLSKSENDPRMYSDILSVLLAKLVAQRKSEADSENEMKKLSCQIHEYELLVNQLKEQLRDEKFKAKEEAEDFAQEMAELRYQLTGLLEEEYKRRACIEQRALQRITELEAQVSIYWKRTKENICCCSRPSQCLEVGFQECSDHIQNASEVLKHISLYFDLAHWIFDATRKHQKTAVMSIYQVSWHHSCQMVKFTTFAYGICI >KVI06407 pep supercontig:CcrdV1:scaffold_137:55805:57409:-1 gene:Ccrd_015215 transcript:KVI06407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MPSHIQAGGPLSEPTIESTTSYSPANSEVGAQKTVNNVTLTNIRSKDTHLSFCDVCNVRCDTEDVLKKHKQGKRHKKNVQKLAVPSAISQEMIRPAKHATLHQEAEEKKQDLLQSEASVDSLFVCGIPDVMGCIDQNILTVHIEGGNQVTNGPLCRDGHPNPTLVSLATVQPSIDKLDAHQIGWCEICKVNCTSDKTLQKHILGKKHKKNLKNSEKIVAPSLTPVASMSKGEKTKGETVHSEEPCNASCSSNVLSSYESGKKRTENLERSEKTPDLALTLPIGSLATPQKVEIPKSEENKSARCELCGICCNSCGELNKHILGKKHKKNMKKSEEIFGVGSEGSMEDERCKRKANWESDDDDDDEEEDRDRKKHKMMEKEKASISCKVCNVVCNSPTAFISHLASHDHSAMVLKQVKVEAVSYEVQL >KVH89881 pep supercontig:CcrdV1:scaffold_1370:13181:20493:-1 gene:Ccrd_008125 transcript:KVH89881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEVRQRRKAGDGKGWLRRKARAAAKAGDGGKERWKERWPRNTSYPWRNSTLAARLLHSSSPSLCCLISNTPKSENSISAEGANVEVGVSRSNREEVEGLEWWLPTEKRLVAEREHHIKNQKENENPGKSFEIEVSVLQFKGWICVSATAMAAIGGILRSKLRLSVFSWLHRDVMRIPILKGCVSSYASSSTAPLKFNHTTQSIDGVCHCQQQFQRYLRPRYYHDGRPRGSLWRGKKLLGKEALFVILGLKRVKNDEEKLDKFVKTHVSRLLKMDMVAVLNELERQEEVDLAVKMFWVIQKQDWYQPDVYLYKDLIISLSKSKKMDEAMKLWESMRKENLYPDSQTYTEVIRGFLRFGSPGDAMNIYEDMKQSPDPPDELPFRILLKGLLPHPLLRNKVKQDFEEIFPEQSIYDPPEEIFGLR >KVH89878 pep supercontig:CcrdV1:scaffold_1370:7259:7564:-1 gene:Ccrd_008123 transcript:KVH89878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MDGVKKMVSERPVVIFSKSSCILSHTIKSLFNDFGVNPTVYELDEIARGREIEQALSGLGCSTIPAVFIGGELVGGTNEIMSLQLKRVLKPMLIRAGALWV >KVH89882 pep supercontig:CcrdV1:scaffold_1370:10169:10477:1 gene:Ccrd_008124 transcript:KVH89882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MDMITRMVSERPVVIFSRSTCSMSHTVKSLLLEFGVNSSIYELDEIPKGREIEQALLRLGRNPIIPAVFIGGEFVGGATEIISLHLQRSLQPMLKNAGALWV >KVH89877 pep supercontig:CcrdV1:scaffold_1370:47819:55758:1 gene:Ccrd_008128 transcript:KVH89877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIMKDLNSPHSMGTTIIGVTYDGGVILGADSRTSTGLYVANRASDKITQLTDNVYLCRSGSAADSQLVSDYVRHFLHQHTIQLGQPATVKVAANLIRLLSYNNKNMLQAGLIVGGWDKYEGGKIYGVPLGGTIVEQPFAIGGSGSTYLYGFFDQEWKDGMTKDEAEKLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLPLWHEELPPQNSLLDILSSSSSPEPMVS >KVH89879 pep supercontig:CcrdV1:scaffold_1370:27878:39798:-1 gene:Ccrd_008127 transcript:KVH89879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MYTSGMDYDREIGGGATAAGAGTMLIPTRFVWPYGGRSVYLSGSFSGWSEQWQMTPVEGCPTVFQMICSLPPGYHQYKFIVDGEWRHDEHQPFVTGSYGTVNTVVLAREPDYIPTVLSPQMTCGSSMDVDNEAFQRVVLDLLLSYCFQIVSEAFVRVSDGILHEPLPKILEADLEVSRHRISAFLSTHMAYEVLPDSGKVIALDVDLPVKQAFHILYEQVHPLQLLIDWDHYSSSLGFLQGAVCWSSKCVGFYFNHAGGKFKLNSINNIHLGSQASNLTEEELETHTISAWKEAKLYLSKQTNERGKAFSKRLVQAGPDENLKNCALKILQHGLATIPIIHPSAEDGACPQLLYLASLSEILKCVCRYFRHSASSLPILQQPICTFPLGTWVPKIGEPNRQPFAMLRPSASLSEALNLFVQARVSSIPIVDENDSLLDVYSRSDITALAKDKVYTHINLEEMTIHQALQLGQEPYSSYGAAGQRCNMCLRSDSLHKVMERMAKPGVRRLVIVEAGSKRVEGIISLSDIFRFLLIS >KVH89880 pep supercontig:CcrdV1:scaffold_1370:22211:26762:1 gene:Ccrd_008126 transcript:KVH89880 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHMPTVWFADNGERLGTYRGHNGAVWCCDVSRDSSRLITGSADQSAKLWDVQSGTQLFTFSFDSPARAVDFAVGDKLAVITTDPFMGLSSAIHVKCIAADPDDQVGDSVLVLKGPQGRINRAVWGPLNKTIISAGEDAVVRIWDTETGKLLQENDKEVGHKKTVTSLAKATDGSHFLTGSLDKSAKLWDIRSLTLIKTYFTERPVNAVAMSPLLNHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFKIPSI >KVH89876 pep supercontig:CcrdV1:scaffold_1370:56451:64076:-1 gene:Ccrd_008129 transcript:KVH89876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MKAPANASGSTLTMENPGEGGEKKSIINPELWQTCAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLQSKLLCILHGVTLHADPETDEVYAQMTLQPVPSFDKEALLRSDLSMKINKPQTQFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDTVWTDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAVCSNQISLGMRFRMMFETEESGTRRYMGTITGISDLDAVRWKNSQWRNLQVGWDESTAGERRTRVSIWEIEPVTAPFFICPTPPFYRPKRPRQPGMPDDESADLDNFFKRTMPWLGEDMSTKDPGLSLVQWMNMQQSSIQPNYLNPLSGSVLQNYGGQDLSRQLGLSAPQMLNQNTIQFNSQRSAMQLDQLQKLPQAAPMVQPQQQLADPNQQLMRQNVINQTLPQNQNQPQIHPQNVLQQQSSIQNHHLYRNFQQQQQTVAVSQSQQNNLLPPQFPDQQVSQQLQMPDNQIPLQLLQKLHQQQQSLLAQQPPQQRSQLVQPPQDQQKPLMDASQSFSRSVSTSQTMDTAPQQMSRNNSQANLRFSHLPQQSNLHSNQQFSGQMAGSTPTQTHQLSTNGSSLMTGTAGGGGGGQSGITDDVPSCSTSPSTNNCPTMVPSMINGRSYMSTTMGGEEMTQASAHLMNTGVATVSANVHNFGKSCQQNAPSVNIAKSHNQGLLSPLTYLHNIAGTQMDFLDSSSSATSVCVPQNDLHLQHQNSNQLSSNSQPIVPPRDAIQDGEVQNDPRTDIPFGVNMDNHLGMPSMILDPLTTKGMVGSSKDFQNNLSPGGMILSYENPTKDTQPELSSSMVSQPFGVPDMAFNPIDSTLNDNSLLNSGVWAPPPPQQFQRMRTYTKVYKRGAVGRSIDISGYSGYEDLKQDLARRFGIEGELEDGQRVGWKLVYVDHENDVLLVGDDPWEEFVSCVRCIKILSPQEVQQMSLDGDFGGNSGVLPNQACSSSDGGNI >KVH88221 pep supercontig:CcrdV1:scaffold_1371:98893:102924:-1 gene:Ccrd_024389 transcript:KVH88221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MKFQKGSRVEVMNKTELPTSWCVAEVVSRNGHTYSLRYYCYPGVEKVSREFIRPCPPRAKDLQSWMAGDIVEVFDDNSWKTATVCNVSDAGHFSLRPHGFTHEINVHKSNIRARQSWQDGQWVPIVKISGNYGDVNVNQMVEPDCGANLGLQIPVADAKICQEKDNCLVVYNDAGLQESRVLSSKTLKRASPFCSPHLEASPGNAKRFKATEKEQRRRFITDQSLEKVDALDCPRETRGYKKSQVSSFYNTVNGFNELEKGNLNGVVGCSLARISEPNDSDNDQCSVGSCSISSDGPNKWSTPCTHQETEILSSTESFSTSRDEDGNSSPPSEEGVAARIHRATIGDMHLLLPKVQTSFWVLLSFSWKKQWDILNIHFATDKNVSLDMLLKESKR >KVH88220 pep supercontig:CcrdV1:scaffold_1372:27740:32856:1 gene:Ccrd_024390 transcript:KVH88220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MFTNGQRQEERTGKYGTPRVQYLQELVAQFQNASNEELKEKIVANLANFAYDPYNYTFLRQLNVLELFLDCITEPNEKLIEFGAGGICNSCVDPANAAVITKCDGVPLLIQCLSSPVKNTVNYALGALYYLCNVSTKEAILRPEVVNIIRRYAAAGGVSISFSNLARSFLDKHVPENR >KVH96834 pep supercontig:CcrdV1:scaffold_1373:47018:47549:1 gene:Ccrd_001073 transcript:KVH96834 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MRLDRSNLNLLYSTRYSKEIPDTYEWLLVDAIEGERRFIRSDELDASWSIFTPLLKELEAKKIAPDLHLYGSKGSVGSHYLAANYNVRWGDLASDE >KVH96835 pep supercontig:CcrdV1:scaffold_1373:49957:106184:1 gene:Ccrd_001074 transcript:KVH96835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANIKERKAVGCLVGNKEGSQWWLDLVAFCFLFSDGSVGRREHEDGAVSSDDHLQQIWMKTEALQQRRKDGLCFRCPEKFFPGHKCSPPQFLIIVDNDDQQSLSDPNDTTPPEATPPSQLWSLSAAAYFGMCVRFIVIRLMACPISELVAQQNHSLHPIYLNRSSSSLTLSSDFFFIAGSDSGVGWCKCDLIGSDIALVVPYSCDLTASEIVLIALVKPSETLAGDTNNGVEGILPTDNSKYIFEGARLSCRHLMDHSPSHPRPIEHDHSVTTIKTIGKQTGLQNIEIKDDNKNYYPNISCVPFIPSGARTTQEQKKVRRLLFVVVDRSKTVMLFVVDRSIANSEGEGRVDRSFVVHRYRDTSIVVRPEETGVVKL >KVI08019 pep supercontig:CcrdV1:scaffold_1374:11420:23470:1 gene:Ccrd_013613 transcript:KVI08019 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS-like, N-terminal MMALEQQRKRPPLVPLPHQLVANAGWLPKQVYFSKERKATSTKSAKKLREPKDVLEEKDYPHIMWWKEICVKTSSAQLVKRLAYSNLLGLDENLKNGRQVGDFYEAIGFDACILVEYAGLNPCGGLRSDSIPKAGCPVVNLRQTLDDLTRNGFSVCIVEEVQGPAQARSRKSRFISGVSNCALFSWTFITSSEVGSSSATSKDDVWHAHPGSPYVFGLVEDDHDLEFPEPMPVVGVSRSAKGYCMVSVLETMKTFSSEDSLTEEALVTKLRTCHYHHLFLHKSLKNNSSGTSNWREFGEGGLLWAECNGRNFEWLEGDMVNEILFRVKELYGLDKKVTFRNVTVASENRPRPLHLGTASQIGAIQTEGIPFLLKILLPSNCTGLPAM >KVI08021 pep supercontig:CcrdV1:scaffold_1374:42326:52427:1 gene:Ccrd_013614 transcript:KVI08021 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, C-terminal YVRDILLNPPAHAIATTIQEDYFSFLSQFADICKLMSNVSCTIPEFTCISPSKLVKLLELREANHVEFCKIKRVLDEILQLYRNSELKRILRLLMDPTWLDECESVSSRIGELISLDGESDQKMSSYVNIPSEFFEEVESSWKGRVKRIHLREAYEEVDKAAEALSLAVTEDFLPIISRIRAITAPLGGARGEISYAREHRAVWFKGKRFSPAIWTGNPGEEQIKQLRPSIDSKGRKVGEEWYTTVKVEDAITRYHEAGANAKTAVLELLRGLSAELQAKVNVLIFATTLLVIAKALFAHVSEGRRRKWVFPTLVPFSGSEEKGQTNGYHGMKITGLSPYWFDAAEGSAVQNTIDMKSMFLLTGPNGGGKSTLLRSICAAALFGICGFMVPADSAVIPQFDSIMLHMKSYDSPADGKSSFQIEMSELRSIITGATSKSLVLVDEICRGTETAKGTCIAGSIVETLDSIGCLGIVSTHLHDIFKLPLMAKTTVFKAMGSEYVDGQTKLTWKLMDGICRESLAFETARREGFPEAIIHRAEELYTSMYREDLNSGKSNIKVGHFPCSANGSHKAYNHPIQIQESAEQKEKSLKDVETAVSIEVCKMKTTSEVAIRCVVIGPREQPPPSAVGASSVYVILRPDNRLYVGQTDDLEGRVRAHRSKQGMQNASVLYFLVPGKSMACQLETLLINQLPKHGFRLSNVSDGKHRNFGTSDVSLEGLSLHRQ >KVI08020 pep supercontig:CcrdV1:scaffold_1374:70881:72893:-1 gene:Ccrd_013615 transcript:KVI08020 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K9 methyltransferase, plant MGSLIDLNLYPDSPVMDTTDVAGGNIREATTALTLSIPKLEPKEEPFDEPPQSRHSHTNSSNPNLNFTLSTQPDTSEPAQQPVSDETDVYSEFNRISELFLTAFSKKTDKYDDAAALMDPNSQAIVPVPEENQHSAVSDSSRRRNQQRSAELVRVTNLGIEDERYFRDVVRKTRMIYDSLRVFCAMEDEKRKSHMYGRLPRARGDLKSASVMKDRGLWLNRDKRIVGAIPGVYIGDVFFFRMELCVVGMHGLPQAGIDYLTSSQSANGDPIATSIIVSGGYEDDQDAGDVIIYTGHGGQDKHSRQANHQKLEGGNLGMERSMHYGIEVRVVRGLKYEGSPSGKVYVYDGLYKVVDSWLEAGKSGFGVIKFKLLRIENQPEMGSAILKYAQSLRNAQIEVKPKGYICQDMSKKKENIPVLVFNDIDNNHEPMFYEYLVTSVFPPFVYHLGGNNGGCNCVSGCLDDCICTAKNGGAFAYDHNGLLVRGKPLIFECGPHCNCPPSCQNRVSQKGIRNRFEVFRSRETGWGVRSLDLIPAGSFICEYTGVVLTRQQAQLFTMNGDNLVYPNRFGERWAEWGDLSQIFTDYVRPSYPSIPPLDFAIDVSRMRNVACYMSHSSCPNVLVQLVLYDHSNFAFPHLMLFAMENIPPMRELSLDYGETDEWTGKLSICN >KVI06599 pep supercontig:CcrdV1:scaffold_1376:80494:86768:1 gene:Ccrd_015053 transcript:KVI06599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKQLHMIVVQELPDEVSVEEFKWVEEEGKSCCNQDPSSMIGIMFVTLEKISQSDLKHSDIFLLENYAAFQNSLYDMANVVPPLAKFYHQASEFYEQSCSRHISVVIYYHFERLFQFARRIEDLMLTNAPEEIPFQVGMSKADLRKVIKSSLSGVDKHITLMHKKLVKNMTSEELMPTLWDKCKKEFLDKYDSFAQLAAKVYPSESIPSVTEMRDILASM >KVI06600 pep supercontig:CcrdV1:scaffold_1376:89874:91805:-1 gene:Ccrd_015052 transcript:KVI06600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0114 MAAVILIRTSILPSALGAPAKMIKPRAIKFTCMSAGFGPRKDQLIGDVERKSVAVTKVAAISMVAATAPPQTREKVDLATLMVLVRTTILRKLKVVKRRRPWSSVIQSLVEKVIMDSRFFAMIGVAGTLLGSVLCFLEGVFLVLESYLQYFYALSRHSDHSHIMHLLIEALDMFLVGTAMLTFGIGLHFMFIGSRATKGNGSPLPSSNLFGLFYLKEFPTWAGMKSISEAKSKIGHALMLLLQVGILEKFKSVPLVTGLDLACFAASVFVSSAGLFILSKLSMGTKT >KVI06597 pep supercontig:CcrdV1:scaffold_1376:103138:106016:1 gene:Ccrd_015051 transcript:KVI06597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MATKGGRKNLKRAVNDETLTLQPGQSIMQVVSLRGSNSIEVTDAKGEKALAFFPAKFQKSMWIKRGSFVVVDDSGREEAVESGRKVACVVLQVLFHEQVKVLQKSPEWPEIFKSTIVDSSNGNSQRNPSTTTEENELDSSEDDGLPPLEANTNRRIPVETQSDTGSDSEIEDS >KVI06596 pep supercontig:CcrdV1:scaffold_1376:14938:20370:1 gene:Ccrd_015056 transcript:KVI06596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF616 MFNNGISIPVADDHPDEFGGKLRSRPRRKRRKSEFRGKSELANRTCKQLTRWWPILVLVAAVLLLIFETSKLGWKSSAVKSELGIHDKKPNVVVSSAKKPEGNLNRLDAPRCLKLLPPEELQQLDFPLNKDSFVPIKKVIYLSESDTPYDTNSQQEMGTTRFNLFTGYQTLNQREKSFKVNETASVHCGFYSESGGFTISNEDKSYMQTCKSVVSTCAFGGGDDLYQPIGMSDASLQKVCYVAFWDEITLATQEAQGHKIDEERFIGKWRIVVVRNLPFRDQRLNGKIPKMLAHRLFPHAKYSIWVDSKSQFRRDPLGVLEALLWRSNFVLAISEHGARSSVYDEAKAVVKKNKATPEEVELQITQYRHDGLPEDKRVNGKKALNEASVIVREHTPVTNLFMCLWFNEVVRFTSRDQLSFPYVVWRLKVLKNINMFPVCTRKDLVNSMGHIRKAKPLGL >KVI06594 pep supercontig:CcrdV1:scaffold_1376:44068:67706:1 gene:Ccrd_015055 transcript:KVI06594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex, component Exoc1 KAKGEQTKAFLRVLKYTNGGVLEPAKIYKLRRLAKMEVVTSDHSGCTFMLGFDNIRNQRVAPIQWTMRNLDERNRLLVCILNICKDAMGHLPKVVGIDIVELALWAKEHTSAVSKLDNDEDGGTDVGSEGDSMVTMENDLVSQAEEEDMEALLGTYVMGIGEAEVFSERLKRELHALEAANVHAILESEHLVDEVLRGLESATTYVEDMDEWLAIINVKLRHMREDIEAIEARNNKLEMQSINNKALSVELDTLLDRLHIPPEIIGLTAVSFDEASMRQNIKLCDRLRDALRGLDASTLGPDYAKIRAVCALPIVLSPVLLHFLCYNVSFDVESSNALCIPVTVNLTISDVLGSKYLNHYHYKDIVMVDTLSCQIHHRHSIFISILHSVLLSGEVFNFYVLLLEILFTGVKEKTAELNIIKLTFVRRACEFLRDYFVSLVDSMMNDKSYFSQRGQLKKPDHANLRSKCWIYARLLQHMKAREFSNELRAGTKAPKVPSVWFEGSTGPNQNVNNIDTSMVSGAYSKMLAVFIPLLVDESSFLSHFMRFKISTPDPPSHDDDASDDDLGMMEINENDVNQGTLEMGPLNESLRDLLEGIQEDFYAIVDWAHKIDPLLCISMHGTTEQYISDQKVDAAGYVGLLLDALEDRITTLFIRDRGCVLGVGSGTMLDVTSSGTCL >KVI06598 pep supercontig:CcrdV1:scaffold_1376:122329:124336:1 gene:Ccrd_015050 transcript:KVI06598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase MIAAVDFCLSSPIPSWTILSHSDRRTSWRAAVSPIISAESNSLFQQSSRRRCLFYDMHKEVIPFAEAWSWQKSVVEERKTLIDSNEDGLDALIALQHQPVYTLGTASSENNLNFDIKNPPFPLYQTERGGEVTYHGPGQLVMYPIMNLRYQKMDLHWYLRALEEVVILSRWLTYHGLALNVSTDLSPFKEIVPCGIRDRGVGSIKELLIGYDGDDGKLIDIAHDSLVKEFCQVFQVELCHQPISMLNH >KVI06595 pep supercontig:CcrdV1:scaffold_1376:76705:80093:1 gene:Ccrd_015054 transcript:KVI06595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGSSLYARFAVLATRMEQYIQGQYRELVDQAYIKIWYQSNDPWTMTHSVASRVDEMEGSIGTVQAEVGSLREDVQLFDGDDLEGWLFRCEWFFHMDFTLDSATLDNAKVKLASIHIEDGALQWYKSFRRNMGVIDEPTCENYMRHSKGGVGKEFMKILWQTSRDLLDLDPYRITLTI >KVI06707 pep supercontig:CcrdV1:scaffold_1377:106917:108655:-1 gene:Ccrd_014938 transcript:KVI06707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MATYIRYDHLSWGFLLAVMYLALLGCASEARVNMGNGGGFVRSKGANFVLDGSPFLFNGFNAYWMMNVASDPGERHKVTQVLQDAANSGLSVCRTWAFADGGDKALQISPGAYNERVFQGLDFVVAEARKLGVRLILSFVNNYKDFGGRPQYVEWARSSGVQISSDDDFYTNPIVKGYYKNHIQRMITRVNTITKIAYRDDATIMAWELINEPRCQADYSGQTVNGWVQEMASFVKSLDQNHLLEIGMEGFYGDTMPERKQINPGYQVGTDFISNNLIREIDFATIHAYPDQWYMLSGKTEDSQMAFMQRWMSSHYQDSRTVLKKPLVIAEFGKSNKDPGYNINKRDSYINAVYRNIYMMARSGGTVGGGLVWQLMAEGMDSYCDGYEIILPENPSIAGIISQQSHAMSTLSHLLRTEPQNMPVSHHAHDLGTRSR >KVI06708 pep supercontig:CcrdV1:scaffold_1377:83362:84069:-1 gene:Ccrd_014937 transcript:KVI06708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFICGSFHRQDQEDAYEVLWPFPSTSPKRRHVFSCRTSKNSTNPYADRGLDKFEALLSDLDHKRQKILTQKGSEDVSMVKFVYRSPHEEVTPIVIKLRHQRKHNKTSLSQTQEPKQHQKDVAFAPDKYGGNGIDEGKRGKPALVDGKSKMIKKIKCDEWGRKVREWWKPSCYLALFVILILVLLMFLGRSFAILCTSLGWYLVPIINETLHVQSSRRRSRSKSNEKANNKQTKR >KVI07753 pep supercontig:CcrdV1:scaffold_1378:22940:27440:1 gene:Ccrd_013880 transcript:KVI07753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MKGLNVVCSLIFLASLLRESHAGEAESNGVYIVYMGAAAASRDSHNLLVSSKRNAVVHNYRNAFLGFAARLTKTEAQDIARKPGVVSVFPDPLFKLHTTRIWPESESFNDDGFGPIPSKWKGTCMQSDDFTTKNCNRKIIGARYYKGGSDFNTSRDKNGHGTHVASTAAGNAVSNASYYGLATGSAKGGFPGARIAMYKVCGVKGCLGSAIMGAFDDAIKDGVDVLSLSLGASAGYGPNFQNDPIAIGAFHAVEKGITVVCSAGNDGPGRETAVNIAPWILTVAASSIDRDFQADITLGDKTVIKGGGINFGNIQRSPVYPLLYGNLAKRNNSSYDESDARNCVPRSLDANKVKGCILLCENEDGVYTAKEKLAFVKLLGATGMILISDEARAVASSYGSFPMASVDLNDGSKIVSYLNTTRNPTATILPTVSVTEYKPAPVVPYFSARGPSFAAKDIIKASSLLPPGVAILASWPENDSVVTLGGKSSPRFYIISGTSMACPHVSGLAAMIKSQNPNWSPSAIKSAMMTTASQTNNMKKPITTNSGTDATPYDFGAGEVSSSPLQPGLIYETGTIHYLLFLCGVGYDITTIKLISSTVPPDFSCPNKSVDNSVSDMNYPSIAVSKLTEKESRQVSRTVTNVDGGETTYTVSVETPTGLEVKVTPNTLRFTESNKQLTYQVTFTSNGNLPEDNFGSITWSNGKYKVRSPFVVSSS >KVI07755 pep supercontig:CcrdV1:scaffold_1378:3328:12403:-1 gene:Ccrd_013882 transcript:KVI07755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein PITSQKTTTQPEIFQLKVPIREGEEDRRLPETEREXRFGKGKTEDGEPEWEPGGKTKTRTESRKWRSAAGRGSEDWKSGTDERXRFDGEIPQCLGNISSNLVMVDLGNNNFHGTIPNTWDDCGKLEGLILNGNSLEGEVPSGLSECKSLKVLDLGNNHLTGTFPHWSANLQHLQVLVLKSNKLHGPIETSSIVEHPFSSLKVLDLSQNKFXGHLXRNYFQNFDAMKNRGDKNRTKPEYLRIGKFYSITVAVKGSELQFPKISVDYTIVDLSSNIFEGXILDVIGSLXSLIVLNLSHNNLNGRIPKALGNLLKIESLDLSCNQLKGEIPQSLAFITDLEVLNLSQNHLVGRIPDGTQFRTFKATSFEGNPGLCGFPLPKCEHQSAPQLEVDADEESGFTWKVVMLGYGCGTLLGFGMGYMMLSTGRPKWFNAIADEIEHMIMQRRKKRRH >KVI07756 pep supercontig:CcrdV1:scaffold_1378:114:506:1 gene:Ccrd_013883 transcript:KVI07756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNSIKSVAKAVGEYQYPWRDRLTKYKDELSKGVWGHWHLGAWKPLGVXRKEMRTKRKGHXCDRISAEKRAKTAELMRKMPQMLADYRKKWWEKKMNEEDAAAKKS >KVI07754 pep supercontig:CcrdV1:scaffold_1378:12182:15969:1 gene:Ccrd_013881 transcript:KVI07754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFLGRRLISIFETPFSSSSFLPAPTPALRLRSSPSQIGAPSPSQMAGNLIKSVAKAVGEYQYPWRDRLTKYKDELSKGVWGYWHLGAWKPLGISARRRARLRKEVLLAGEDWPYDPERKEMRTKRKGHKCDRISAEKRANTAELMKKMPQMLADYRKKKWEKKMNEEDAAAKKS >KVI07759 pep supercontig:CcrdV1:scaffold_1378:45579:127352:-1 gene:Ccrd_013877 transcript:KVI07759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDSGNNNALLTVIKANFPPYGEDFMGGKPTGRFSNGKIMPDMIAERLGLKDYVPAYLDPSVEENELPFGTSFASGGSGYDSLTSKIVLEMFKEYIAKLKRIVGEEEANNIIEKSLYLVSWSSNDWAIPYTAVPIRRLQYDVPAYSNLLVNIATNFAQEIYKLGARRIAFFGAPYFGCFPLGRTAGGGILRMCGDKLNEEAQSFNNMLKRQIEVLGSSLPQSRITYIDYYKLMQQIIENHQQYVFTLQKKDITSLWIMLFQISCDQVCSKIMDLVMKTKTLTPATTSNQCRTWKKHVHNSSLYNEVIVAIFGGKQMFLFHAYFYYCAEKELSPFIFLMHLPVVKGILFCLCASIYLCRSEEPINLSKNVSVSALIAFGDSFVDQGNNNYIKTLGKANFPPYGKDFEGGKPTGRFSNGKTIADILDPSLQAKDLLSGVSFASGGTGYDPLTPKISSAIPLSDQLDNFKQYIGELKRNIGEEAAQNIIANSVLLVVASTNDLLITLPIRRLEYDVPAYVNMLVKLAYEFVQVLILSKCFKNSLKEIHKLGARKIAVFSAPPIGCFPAVRTIAGGVFRSCKDGENEAALLYNTILKQQLQILASNLPQSRVAFVDFYNPLLSIIEDPNQYGLEVVDRGCCGTGKIEVVFLCNNLSSTCYNDSKYLFWDSIHLSEEGSVLDAIQLLGPLENFKQNIAVLKRNIGEESMQNIINIGRSKHRRSTYNLPIRILEYDVPAYININMLEDNGLQCTPIGYFCATKMLAGGPLRRVETRNLSKNVSVSAVLAFGGSSVDQGNNNYIDTMIKANFPPYGKDFEGGKPTGRFSNGRTIADIFAKALGVKEYLPAYLDPSLQEEDLLSGVSFASGGCGYDDLTVTITSAIPLSAQLENFKQYIGELKRNIGEKGAQKLITNSVFLVVASTNDLIISLPIRRILQNDVPAYLNLLVKLALDFVQELHDLGARKIVVFGAPPVGCFPAVRTIAGGVLRICRHEENEAAQLYNSMLKQQLDVLAHSFPDQSRVVFVDFYNPLMSIIENPKNYGLEVTNRGCCGTGNIEVGFSCNSGVEAITLSENVSVSAVLAFGDSFVDQGNNNYIVTLGKANFLPYGQDFEGGKPTGRFSNGRTIADILTEALGVKEYLPAYLDPSLQEEDFRSGVSFASGGCGYDDLTNTLSGAIPLSDQLDNFKEYIGELKRNIGDEGAQKLITNSVFLVVISTNDLIISLPIRSLFEIDVPAYLNQLVKSALDFVQLDTLLDLLGALFRTLQTISLLLSITKKAGSLKLWLFYDTIKVLNGLPTSGHIRSEVEDTGAKIQICRSEPHPISLFLQKWIERIHFGWDVVHHKGGKVWLEHMDCLLQLQTLLEQKSHSLVLSLCGVEAITLSENVSVSAVLAFGDSFVDQGNNNYIVTLGKANFLPYGQDFEGGKPTGRFSNGRTIADILTEASGVKEYLPAYLDPSLQEEDFLSGGAIPLSSQLDNFKQYIGELKRNIGDEGAQKLITNSVFLVVVSTNDLLISLPIISISQNDVPAFLNLLVKSALDFVQDVDQETKMERLWATSPASLVVWLPARKWLLSYMVGSLSPVLEPIAEALGVKEYLPAYLDPSLQEEDFRSGVSFASGGCGYDDLTNTLSGAIPLSDQLDNFKEYIGELKRNIGDEGAQKLITNSVFLVVISTNDLIISLPIRSLFEIDVPAYLNQLELYELGARKIAFFSAPPVGCFPAVRTIAGGELRTCKDEENEAAQSYNNMLKQQLEVLASSLPQSRVAFVDFYNPLISIIENPNQYGLEVTDKGCCGTGELEDADQEMEMERLQATSPASLVMWLPVRNCPGVEVSWRLWWRVEVAGRWCLRMSADVSPINDSEETVSLPKDVSIPAVIAFGDSFVDTGNNNHIKTLIKADFPPYGKDFMGGKPTGRFSNGKAIADILAKALGVKESLPAYLDPSVQAKDLLSGVSFASGGTGYDPLTPKISSVIPLSVQLDNFKQYIGELKRNIGEEAAQNLITNSVFLVVASTNDLVLNYFGVPVRRLEYDVPAYANKLVKLASNFVQEIYNLGARKIAVFSAPPVGCLPAVRTLAGGALRRCKDKENKAAQLFNSMLEKQLQVLASSLPESRVGFIDFYNPLISIIENPNQYGIHYIQYMFFYEQKFVNIFWNLRYINMHTHITISFFFFFVLVFPRVGITIPYSQEEAVLGKMWSLNMEGRLEFVKWSQGTRSEGVSSSISRPFHRSQRFLSGVVLLLVALASRGRRSIWRSIKSIEKDLGDIGINIDSHLIDVPDRNGWSWLLEANNIFSVRSLRRLIDCVTLPSVEQETDWLKWIPSKANIHLWRTLNNRLATRDNLMKRGVACNSDECPTCLVTVENLDHVFMNCSTTKVINAHMASWVNWWPENATSVRDMWSVVCAIGDSGRREVGKVIMTACFWTVWTQRNHKTFRGKCKSDKDVCSDIQFTAYEWIRCRAKISKSFNWEN >KVI07757 pep supercontig:CcrdV1:scaffold_1378:19840:30434:-1 gene:Ccrd_013879 transcript:KVI07757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGVSMLLKIADFSAPPIGCNPVVRMLSGGAFRRCQDKENNATQLFNNMVSRYTLSRNVFYELLTTNGLRTLYLPFDHVIDPKLSSGKLPLDVKKRGELFPPSVTTESFSGHEARMATPGAATSGCTRAIISCRAHHRDPLFDCMKGANGDRIILKSGSGKTDTTPGFRAISCASVFVKRAANPKKAFRNKVKFGAFFKS >KVI07758 pep supercontig:CcrdV1:scaffold_1378:31953:39500:-1 gene:Ccrd_013878 transcript:KVI07758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MRRRKNQPKLLPSPEIGSERERETCAARVREKEQQIGRSWRREGTSKVDSKRGCHQAAERKKSSSRGLSIGSSRRGKEAAAEKEKKSIGDSEETVNLPKDVSVSAVIAFGDSFVDTGNNNHITTLVKADFPPYGKDFMGGKPTGRFSNGRLIADILAEALGVKGNLPAYLDPSLQTKDLLSGVSFASAGTGYDPLTPKISSVIPLSVQLDNFKQYIGELKRNIGEEAAQNLTTNSVFLLVASTNDLVLNYFGVPVRRLQYDIPAYANMLVKLALDFVQELYKLGARKIAVFSAPPVGCLPAVRTLGGGLLRGCKDKENEAAQLFNSMLEKQLQVLASTLPESRVGFVDFYNPLISIIENPSRYGLEVTDRGCCGTGAIESVTPLSVQLDNFKQYIVELKRNIGEEAAQNLVTNSVFFVAAVTNDLVVNYFTVPTRRIQ >KVH98713 pep supercontig:CcrdV1:scaffold_1379:34334:37851:-1 gene:Ccrd_023061 transcript:KVH98713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGNLFCLVQVDQSTVAIKESFGKFADVLEPGCHCVPWIFGSQLAGHLTLRVQQLDVKCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRSQIQAYVFDVIRAFVPKLNLDDAFEQKNQIAKAVEEELEKLQE >KVH98715 pep supercontig:CcrdV1:scaffold_1379:19389:30178:1 gene:Ccrd_023058 transcript:KVH98715 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADC synthase MNGCQVRNPITPLGMTETRTFSPVSSPAMAMDRLNSAIIKLGCDPPVFESGIIRLQVPIEQQIEAIDWLRGQQQFQHLPRCFFSGRRQPRINGNDLFDYDTNNRDSSPVSIAGLGSAVFFRHFTPFSLHDWRSIKRFLSKKCPLIRAYGAIRFDALANISSEWEAFGSFFFMIPQVEFNEFEGSSMLVTTIAWDQSLSWTFKKAAHALQATMGQVSSSIVKLRKEVPTTSILSSNNVPSKKLWDFGVNRALQMINKNNSPLIKVVLARSSIIRTSADIDPLTWLASLQVEGKNAYQFCLQPPDAPSFIGNTPEQLFHRNRFDIYSEAMAGTRARGDSKALDLQIELDLLSSSKDDNEFAIVRECIRRKLEFDILSSLHPTPAVCGFPTEEARVLIAETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGALIYAGTGIVEGSSSSQEWDELELKTSQGPDYMSCGLTNHHLMPVFIHSPKVQMPMQVTGAEC >KVH98712 pep supercontig:CcrdV1:scaffold_1379:30533:32656:-1 gene:Ccrd_023059 transcript:KVH98712 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MEGIRFCFLFFIFSVPTIEANIAHYDEFWQKRAEEAKSSARVAYRSKPEEVINQLNHEVHLTVTGRRNNTRKLHKKYNGPCMTTNPIDQCWRCDRNWAKNRQKLAECAQGFGRKATGGKDGKIYVVTDPSDNDMVNPKPGTLRHAVIQLEPLWIIFERDMVIQLAQELIMTGNKTIDGRGAQVHIAHGAGLTLQFVQNIILHSLKIHDIKAANGGIIRDSTTHQGFRTRSDGDGISLFGAVNVWIDHISMSNCQDGLIDLVNCSTAVTISNCHFTHHNDVLLFGASDTNPQDEIMQVTVVFTHFGKGLVQRMPRCRFGFAHILNNDYTHWLMYAIGGSSHPTILCQGNRFVAPADRNAKEVTKRTHAPESEWKHWIWKSDGDLLTNGAFFVQSGDPGHKFKMTEDMISPKPGSYVSSLTRFSGALNCVEHTPC >KVH98714 pep supercontig:CcrdV1:scaffold_1379:33162:34128:1 gene:Ccrd_023060 transcript:KVH98714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIESLTSEQSVRGVEEWERFENGTTTEEKEHEKKEKHEGKEDGSGEKGEEKAKDEKKTKDDKNDSQGGKKMEEKNTENKTDPTKLKAELNKIDPKFMHST >KVH97163 pep supercontig:CcrdV1:scaffold_138:337484:340125:1 gene:Ccrd_000742 transcript:KVH97163 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC7-like protein GLLEGVSHSFTKSLNIIDSVKAYLSYVLLRASVSQSPAIFQYATGIFAVLLLRFRESLKVEIGIFFPLIVLRSLDGSEYPLNLKLSVLRMLEKVCKDPQMLVDLYVNYDCDLDAPNSFERMVTTLSRIAQGTQSVDPNSVNATQIGSIKGSSLQCLVSVLKSLVDWEKVRRESKQSKDQKSIEEESSAAESQGRSDLANNFEKVKAHKSTMEAAISEFNRHPVKGIEFLKTNSLVENTPVSVAHFLRNTPSLDKAMIGDYLGQHEEFPLAVMHAYVDSMHFSGMKFHTAIREFLRGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMTKAEFVRMNATNDPEECAPTELLEEIYDSIVQEEIKMKDDTA >KVH97172 pep supercontig:CcrdV1:scaffold_138:260640:267823:1 gene:Ccrd_000736 transcript:KVH97172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Engulfment/cell motility, ELMO MDDRGSGGGGSFVAVRRISQGLDRSNTCQSTSAEVVAGSAAWLGRGLSCVCAQRREDDSRASFDLTPAQEDCLLRLQNRLDIAYDSSIPEHQEALRALWKAAFPEEELHGLITEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNFPKSFQDLLRKQEGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLSDNESAFDILYCIAFKLMDHQWLAMHASYMDFNAVMKSTRHQLERELLEDDITRLEELPSYTLLTQ >KVH97164 pep supercontig:CcrdV1:scaffold_138:332757:336985:1 gene:Ccrd_000741 transcript:KVH97164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold NSKEISKEVTSSDANKVTASDGGDKSVPDPDDGTARTEVEADATPSASHDTEEAEIAGAQAASGGNVKTTLASAGHTLEGAESELVLNPLRLAFETKNPKVLELALDCLHKLIAYDHLEGDPGLENGKNVPLFTEILNMVCSCVDNSSPDSTTLQVLKVLLTAVASTKFRVHGEALLGVIRVCYNIALNSKSPINQATSKAMLTQMISIVFRRMETYLMSSHKRSGSSPKVEESSLGEENGQKITPGEVNDTPLASLQELHNLAGGTDIKGLEAVLDKAVQLEDGGRTT >KVH97151 pep supercontig:CcrdV1:scaffold_138:430149:439368:-1 gene:Ccrd_000750 transcript:KVH97151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MSVNQSRGDKNEPSQNRRSGRSGNPASQRNFQGGGGKGGGGGSTNAPPSSSYYTGKSFKKVDSNAQGVQSRVTGPHPHPNVNLGSSNSSTLGIAVQNGAHIQPPLRGTPDAPFTGATLKPADASIQKSTPGLPKAPQSNATPPSYGTIGATGPVTPVKGSTDGSRPFPLQFGSISPSVMNVMQVPARTNSAPPNLDEQKRAQARLDSLKTSSFPNPAVPKQHLTRKDVGAIDQSNAGEAHPTPKEKRDLLGSTAPVSAHIQKPSGPPRPSVSRVSVQMPFHQSQLHLPFGGPNPPMQSQGMANTVPLPMHLPVANPPQVPQQVFVPGIPHHPMSSQGIIHQNQGMNFSSQMGPQLGNMGMGLGPQYPQQQMGNYGGTRRTVKITHPDTHEELRLDKRTEYVNGGSSGPRSHPHGLSQSQAIPSFPPASMNYYSNPYNASSLFIPLPGSNPLTNAQTTPGSQAPRFYNQNAFKQVTVKPAISAHGEKVGDSSLAGSSPPMDKCEISEIQKAGGEGASTHPHKNPEPIAIKIATSSKPSVAAFGSLKSENLTDDSISSASPATVEDSTALVDSGSEVSNKASLGGQSSSVISSSVGDHGSLHSGVHETSKSSTPFSGPDKDVLESSNKVVPSTNEGDRNSTEVIGFGTNSNNAPKVEGSVAEIVGSSEREKDSVDQNQHTTVPDVTAAGTEIGLDTKHLVLSEPASLVTPDAQQQEPVNNVDGNSSASIATSELDVLTAGTSSLNLGSSHGDKIPSSDNLDDHLSSYDCKIDHHADSIESVPLDEESHAVLVSVPVTLPLTLEVEGIMSNTTGLISPSSSGLLETSKGKGNIAKGKKLLKEILKNADARGTTSDLYMAYKRPEEKKETSSEIVGCSSDIPTKQASVDSSEKDVTSDEKRGHSKFEPDDWEDAADISTPKLETDEKRVRADLKHRSEDDNDGMTKKYSRDFLLKFSDHCTDLPEGFEITTDVAEAVIVSNVNAHREPFPSPGRGAPAAGPRLDRRSSNVGDDDKWNKLPGSLGAGRDMRPDMIYPGSAYAGGFRPHGGNFGVLKTPRGQTPSQYSGGILAGPIPSPGQAAMQRNNSDSDRWQRGTGYQKGLIPSPQTPMQVMHKAERKYEVGKITDEEHAKQRQLKGILNKLTPQNFEKLFEQVKEVNIDNAGTLSGVIDQIFDKALMEPTFVEMYANFCAGLAVELPDFSEENEKITFKRLLLNKCQEEFERGEREEQEANRTEEEGEVKQTEGQREEKRLKARRRMLGNIRLIGELYKKRMLTGRIMHECITKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDAYFDMMFKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSNRLARGSNSNQSLRRGQQMDFGPKGSSVLPSNSQTGGFRGLPQQLRGYGNQDSRFEERHSFESRTLSVSTRPVGDDSITLVPQGGLANRMSIRGQMPSHEMPNATDSRRIVANPNGYGSMPDRTGYGSRDDLGSRYVPERSAPRPIFDQSNIQEHNVNYVNRDMRNTGRVFERVPPSSPPTRGGGRVSTPPQLGSDKVLSEDRLHDMSIKAIREFYSANDEKEVALCIKDLNAPSFYPTMISIWIADSFERKDMEREFESVLSTLEDAVNDAPKAPEFLGRIFSRMLLENVIPYKEAWRLIHEGGEEQGQLVEVGLAAEILGVILEIIKSEKGDVFLNNLRADSNLDVQSFRSPTTKRTSRLDKFI >KVH97152 pep supercontig:CcrdV1:scaffold_138:35431:37255:1 gene:Ccrd_000727 transcript:KVH97152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGELASTYACLILSDDGIPITAEKIATILKAANVQCESYWPSLFAKLAEKKNIEDLIVNVGAGGGGGAAPAVAAPAAAAGGAAAAPPPEEKKEEPKEESDDDMGFSLFD >KVH97154 pep supercontig:CcrdV1:scaffold_138:40668:55348:-1 gene:Ccrd_000728 transcript:KVH97154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MAQNQGGGGGFFSSLASSFSNFKNQVNGLLGYEGLEVINPEGGTEDAETEAQRGRWKQEDRDSYWKMMQKYVGADITSMVTLPVLIFEPMTMLQKMAEVSHHPPMSAAHAENEHFVYDITSKVKTKFLGNSLDVYPLGRTRLKLKKDGVILELVPPPTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNASEEPKILMTGKWNKSMSYQPCDLEGEPLPGTELKEVWRVAEAPANDKFHLEEKQRAEKRAREAKGQEFSPRWFEITEEVAPTPWGDLEIYQYNGKYSDHRAAVDSTSTFEDVDISTTEFNPWQYGNVAETEQKS >KVH97175 pep supercontig:CcrdV1:scaffold_138:209830:229098:-1 gene:Ccrd_000735 transcript:KVH97175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calycin-like protein MQSPSMEASRTFWRNTNTQFLGFLPSSSSSLTLTTLRHPYSRVWCNSLNPNNRRIATVKAKGKDNVWSIDNDAANGSRGGERRNKGDRRRTKVRNSTTKKRRGRGGGNESDEGIMVSGSMLMETEKVLQTQLAERRLRNSTSVSRSGFKKFEHREPVIIPVWNTFASSVSGIWKGAGAVFSPITAQMEPIEVGRNNEHQFDCYTLSRIEAVPSTNGGHTSQIRRTVNWVTLNSYGENKQLHSHLNKSKEMPVHEDASLSTIEALDGRRTNHVLPKFESFDFETSDLMEEDLMGVEPGLVFFEDGSYSRGPVDIPVGEVTESNYYLSPTFKFEQCLVKGCHKRLRIVHTIEFSDGGSDIQIMRVAVYEEQWVSTANLPDKSDVDFDVKPFSQRKRVQPSELTGSWKVFETSATPIYGEETNPKENDDDPPYVYLCTETLKKRSLPESAAYFGEEEVLDMVDVTMLWLPGGVTGYVDVSKDGILCIGVGWYSDEGINLVMERDYGTDGKLKEVRSKTEVKRRWSDPPPF >KVH97170 pep supercontig:CcrdV1:scaffold_138:90604:95436:1 gene:Ccrd_000730 transcript:KVH97170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQGRSFEVDANKKLSCHQHTQGGERERERERDQREGSEMAVMEKLKMFAVQEPIVAASCLIGGVGLFLPAVVKPMLDSFESSKQVRQPDLNNVVSETPVANPGPTERDN >KVH97162 pep supercontig:CcrdV1:scaffold_138:340924:350944:1 gene:Ccrd_000743 transcript:KVH97162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MRSKNVEALRTLLDISDSEPDALQDTWNAVLECTSRLEYTISTPAMAATVMHGSNQISKDAVLQSLRELAGKPSEQVFVNSVKLPSESVVEFFTALCNVSAEELKQNPARVYSLQKLVWARIWSVLAIHFISAGSHHDEKIAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVVLMRNSRSESIRRLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDLEPIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKTSHRISLKAIALLRICEDRLAEGLVPGGSLKPVDDNADATSDITEHYWFPMLAGLSDLTSDPRPEVSNCALEVLFDLLNERGSKFSSNFWESIFHRVLFPIFDHVRHAGKETTSYGDEWIRETSVHSLQLLCNLFNTFYKVCRKLEGLYTSEVCFMLPPLLNLLLDCAKKTDQSVASISLAALVHLIEVGGHQFSDSDWDTLLKSIRDASYTTQPLELLNALGIESGKSRLVMARDLEVSANDSPSAMSANGRVQDNQEERPSVDLHDAEGQTPSPGKVQKASEAADLQRSQTIGQRLMGNMMDNLFVRSFTSKPKNPALDVVTPSSPSKSLDVVEHDAEEVAESPFMGTVRGKCITQLLLLGALDSIQKKYWSKLKAYQKITILEILFSMLEFAASYNSYTNLRLRMQHISSERPPLNLLRQELAGTCIYLDALQKTTSGVDPNRNGELETNGSRDEDDDATVKTDAEEKLVSFCGQVLKEASEFQSSIGDTTNMEIHQVLGLRSPIIVKVLKGMCVMEKEIFKKHLRSFYPLITKLVCCEQMDIRGALAELFSMQLNGLLQ >KVH97156 pep supercontig:CcrdV1:scaffold_138:416107:426436:-1 gene:Ccrd_000749 transcript:KVH97156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MSMLVDCSNCHTSLNLPPGARSIRCALCQAVTSIADSTGSSLPTSPSGVHPFPGLAAHMPSLSPYNHDPLSFSGMSPFPGLATDMNSPSPYNHAPPGPPPSVHGRKKAVIVGVSYKFTRHELKGCINDAKYMKHLLISRFQFPEASIVMLTGCQPGDSLLFHYSGHGSRQRNRNGDEIDGYDETLCPLDFETQGMMIDDEINATIVKPLPFGVKLHAIIDSCHSGTVVDLPFLCRMNSSGQYVWEDHRPKSGIWKGSSGGDVISISGCDDDQTSADTSVSFKEQALSKITSTGAMTFCFIQAIEHGNASTYGTLLTSMRNAIRNGRRGVGGVSGAAVTSVFDMLSVGGGGGDGGGGGGLTTSRGFGQTISRPPLLALW >KVH97153 pep supercontig:CcrdV1:scaffold_138:72826:79556:-1 gene:Ccrd_000729 transcript:KVH97153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYYSIGMKLQKNMIPLKMDRVQYTTLGSDPVSDVIVYTEKDSRFCVDITSTKDGKFITMPDLIVDYDMSRRSFSIVHQEEVLGISSQARNNEAHEWMNISDAYFCERKEVLSEDGTIVPLTILYSHKAHKMGQSPGILHGYGSYGEALDKNWCAERLSLLDRDYLIKEGYVHKDQLAAVGHSAGGLLVGAAINMHPKLFRAAILKTNMSGGHFGEGGRSGQCEELAYEYAFLMKVYEMNRREKAYVADTGP >KVH97169 pep supercontig:CcrdV1:scaffold_138:152087:156666:-1 gene:Ccrd_000733 transcript:KVH97169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase LSFPLLFTCSSDSLSIPHRSFNSLPGKHPPHSHLKQFHSFSLGFLRSISPAMTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRFASENVNKLLVGNKCDLVKNKVVSTEVAKAYADEIGIPFMEASAKEATNVEQAFMAMAASIKDRMASQPSMNMSRPPTVNIRGQPVNQSSGCCSS >KVH97166 pep supercontig:CcrdV1:scaffold_138:292591:298466:-1 gene:Ccrd_000738 transcript:KVH97166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFPQEILRATEPRLRSLIDVVNSRNIDGITRGYSKPFWSVTHPVVDLQLYTFENEDDEEEEEEEWFLMMNGLNWDFLRGELRNPYGKLRELKRDDEDEDDDREMDCGCGGDWEIEMLQNLEEVVATKIRVGLRTIGLFSSQDFTGRVLRLKEGSINLLQKDYFGFFFGLIELSVKSCHGPRVMLSTPTKIPLN >KVH97161 pep supercontig:CcrdV1:scaffold_138:355525:362749:1 gene:Ccrd_000744 transcript:KVH97161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22, bacterial/chloroplast-type MVGWHRHVQSILRQVGKRLENSCHAHANFSSSSLKFSPVQGLPPHLQVLWVPSSTNVSRPSYHHFQQLGFTSTRRLLSSEATPGPSPLTPTLAIGSGQAEAEKAVSKPSKVQAILKNIKQSPKKVNLVAALVRGMRVEDALLQLQLTVKRASKTVYQVIHSARANATHNYAFDGDRLIVAEAFVGKGFFKKRVSYHSKGRSGVRVRPECRLTVVLREITPEEEAEIARLKVNNFAKLTKRERRLVPHKLIETTPIWNRKGKPRNHGDDAAAMAA >KVH97171 pep supercontig:CcrdV1:scaffold_138:117870:121747:1 gene:Ccrd_000731 transcript:KVH97171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-tRNA ligase, alpha subunit MGILTLPLVTSLLKPHKPHFSLLFTTTVHHHHHRPTLFHHLPIRSRFSRATIPRCSFTTSAITQRDQSSVTIDDSSSNAEERPIKVSIPTFQQAIQRLQEYWASVGCTIMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYAEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLSALGCGCDL >KVH97159 pep supercontig:CcrdV1:scaffold_138:377253:385689:1 gene:Ccrd_000746 transcript:KVH97159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II, regulatory subunit MYRDRGGSSAKSEMVGGGGGGPLDRKRINDALDKHLEKTSSPSTSRVLNSNIKEKERLSMPSTSAAGAGGKSHHMDHHRDNRSSSTVTNPKNKCSDDESETDSEESDVSGSDEDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYFDYALDLILDAESSHGAQEFTAVDNPAFLLASQTYHDRVLYRWCLFWNHLPSPVFDELRPPEATKGDTKLHPESIRLQAPQTMMNQFAYRSHSIDDGALVVLPSGSSN >KVH97157 pep supercontig:CcrdV1:scaffold_138:405191:415795:1 gene:Ccrd_000748 transcript:KVH97157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae KVDERVNKAVAAANRSSNAARVGAVKAVHKQLPNRSNWTRYGASLRKQIKKMEVSQHSKYFCEFCGKSWGEIIGLKGGQDKERQLLNRRLRKMGYLSDASNEVEKTARLSWRKSTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KVH97158 pep supercontig:CcrdV1:scaffold_138:401102:401632:1 gene:Ccrd_000747 transcript:KVH97158 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYRFRNGDTYAGEYFADKMHGFGVY >KVH97174 pep supercontig:CcrdV1:scaffold_138:176834:178170:1 gene:Ccrd_000734 transcript:KVH97174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQGEISEGSFTSAMTLALQGGENIPERYVLPSLQRPNLSLLGHPSISLPVIDLSSLNDPLLRSQAVDEIHAACNKLGFFQVINHGIPTSVMEDALDTAKEFFLLPSEEKMQFASANVREPVRYGTSMNHVMDKVFYWRDFIKHYANPISEWIHLWPSTPPTYK >KVH97173 pep supercontig:CcrdV1:scaffold_138:274331:292083:1 gene:Ccrd_000737 transcript:KVH97173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRSSNFMPIYGYDVLLGSIAAVYVFMAPFTKVEESFNIQALHDILYHRHHIENDILIKFGFLATVGINLGALLVSILASPMVVAINLLHLSKIYSLIAVRLALGFFILATLRFLRVQIRNKFGLQVEAFFMILVAIQFHMLFYCTRPLPNILALGLVNLSYGYWLKGSFYATLRCLIFATIVFRCDMLLLLCPLGLELLLTKSISLWEAIKCCAGAALFSIGLTIIVDSIMWQKLVWPEFEVFWFNSVLNRSSEWGVSFTHPFYWYFTSALPRSLLVAYPLFMLGVILDRRIAFYVLPVLSFVVLYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWNLLYVVLLGSLVISLGCTAVTFMASYENYPSGYALKSLHRVGHTENTTSESWVHIDTFSAMNGISRFCQSDNQWRYSKEEGIPLEELWHRNFTYLLNEHSSVKGFKCLFQVDGFSRIRLWVGFPPISMVKEPKVYILGNIKNTDIIQRSWPGCS >KVH97165 pep supercontig:CcrdV1:scaffold_138:319143:322485:1 gene:Ccrd_000740 transcript:KVH97165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MADLECPTVMNKLITFLSSLLQRVAESNDLNPRFHPQKISAFHGLTRPTISIQSYLERIFKYANCSPSCYVVAYVYLDRFTQQQPALSVNSFNVHRLLITGVMVAAKFMDDLYYNNAYYAKVGGISTTEMNFLEVDFLFGLGFQLNVNPTTFHTYSSYLQREMLLLQPPLDHHHLITSPSSSSSSSSSSSSSYKSSISSKLLYEDDHQQQEVAAV >KVH97168 pep supercontig:CcrdV1:scaffold_138:136393:145466:1 gene:Ccrd_000732 transcript:KVH97168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-tRNA ligase, beta subunit MQQAGISVDTEQRKKTILEQSNNLAKGVGGCLVMQSSLLEEVVNLVEAPVPVLGKFSESFLVLPKDLLVMVMQKHQKYFALTDDKGTLLPYFIAVANGAINESVVKKGNEAVLRARYEDAKFFYELDTSKRFSEFRGQLNGILFHEKLGTMEDKMIRVESTINELGLALGLSEDKIQITREAASLAMSDLSTAVVTEFTSLSGIMARHYALREGYSEQVSEALFEITLPRFSGDILPETDAGTVLSIADRLDSLVGLFGAGCQPSSTNDPFGLRRISYGLVQVLVEKDRNLDLRHALEVAASVQSLKIDTVHQFVTRRLEQFLVDKGINPEVVRAILVERANWPGLAAKSAYKMETLSRGELLPKVVEAYSRPTRIVRGKDVDVDTQEDERIRKNRLALLRNISELPRGIADLSVLPGF >KVH97167 pep supercontig:CcrdV1:scaffold_138:292918:298605:1 gene:Ccrd_000739 transcript:KVH97167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLLHPTISQSPPHPQSISLSSSSSSSSLFNSLNFPYGFRSSPLKKSQFNPFIIKNHSSSSSSSSSSFSKVYSYGTVDYEKKPGTTVAWKAIYKRISVMGDPEKGATDVLNQWENEGKKVTKWELCRIVKEMRKYGRHKLALEIYNWMSNRPERFRISSSDAAIQLDLVSKVDGISGAEDYFQNLPDNLMDKRIYGALLNAYVRARMVEKAETLLVEMKKKDYTSHALPFNVMMTLYMNLKDQEKVEAIVSEMMKKNIDLDLYSYNIWISSRGSQGSTEKMEEVFEKLKLDPSINPNWTTYSTMATLYIKNQEFEKAEDCLRKIESLITGRDRIPYHYLLSHYGSIGKKIEVQRIWETYKTIFPYIPNLGYHAVISSFIRMDDIEEAEILYEEWVAMKSSYDPRIGNLLLGWYVRKGFTEKAESFLKEMLEVGKPNSSTWEIVAEGHIKESRVSDALSCFQKALSNEGSSFWRPKPVNISAVHNICEQENDKKSKEALFEVLRQAGVLEDNVYMSLLPFSNGSNPKIIKESEVDDDDGTTDMILNELQASV >KVH97160 pep supercontig:CcrdV1:scaffold_138:369744:372679:-1 gene:Ccrd_000745 transcript:KVH97160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPLQSKCCSLKSNAQQLKPEAVKRASSQLSLVVALPLSAVGTRSLRKSAIVGHLVAVAHSLVRSQTRLLALVSFSSRLSASWRWSSGEDLSSDFIHQQYFPN >KVH97155 pep supercontig:CcrdV1:scaffold_138:20422:26924:1 gene:Ccrd_000726 transcript:KVH97155 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGCTASKLGNEDSVRRCKDRRRLMKEAVYARHHLAAAHSDYCRSLKITGSALATFAAGEPLSVADQTPAVLLRTRSSTSTTTTVKPPSFHTYETPKPPPPPPLQVPRSPSVASSKLPPILSAAPSRTASINHHQRRPKPTVKLPHILSESSEASTSPPPKSFHHPPRENPENNYSYNPDKYTYNAQANYASTPSQASSVWNWENFYPPSPPDSEYFNQRQPNFDTEDDKSSIYSGYKEQGHRENNEDKRSVYSNYSQKNHQHHPKTQTHQQQDDYHLETEDDGEREEREEREEVQCSEWGDHYSTTSSTSDDDDEEIDRDSRSEMESRSNFGSSVHNESVAPPPSAFASAPKSKFSTMSKSEKSDDAGSSASWKTGHYDDNSDMRMVIRHRDLTEIVASIKEYFDKAADAGDQVSVMLETGRAQLDRSFRQLKKTVYHSSGMLTNLSSSWTSKPPLAVKYRFEPGSIGEPGASNSLCSTLERLLAWEMKLYKEVKAREGVKIEHERKLSSLQSQEYKGEDESKLNKTKASINRLHSLIVVTSQAVSTTSTAIVGLRDAELVPQLVELCHGFMYMWRSMNQCHEVQNHVVQQVRGLVNRSTKGESTSDLHRQATRDLEAAVSAWHTSFCRLIKFQRDFIKSLHGWFKLTLLPINTEEANINGSQPSEVYLLFDEWKLALDRLPDTVASEAIKSFINVVHSISLKQAEEMKVKKRTESASKELEKKASSLRTIEKKYYHSYSMVGLGLPDSGPDNGHGLDARDPLSEKKSELVVCQRRVEDEMVRHSKAVEVTRAMTLNNIQTGLPGVFQAMTSFSGLIMEALEVVCNKSYAIK >KVH88218 pep supercontig:CcrdV1:scaffold_1381:65195:84110:1 gene:Ccrd_024392 transcript:KVH88218 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MVCLLSIYDNKEKDHLITMAVFNIQEVIIISGETGCGKTTQLPQYILESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGEPLGESVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDHNLHGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSNYFEGAPMIHIPGFTHPVRAHFLEDIIEITGYKLTPFNQVDDYGQEKLWKTQRQLVPRKRKNQITVLVEDALSQSSLENYSSKARESLSCWTPDVIGFNLIEAVLCHICRKERPGAVLVFMTGWDDINCLKSQLRSHPLLGDPNRVHLLTCHGSMATSEQNLIFEKPSPNVRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQVGSIGEFLSAALQPPAPLAVQNAVDFLKMIGALDENENLTHLGEYLAMLPLDPKLGKMLIMGAFFRCFDPILTIVAGLSVRDPFLLPPEKKEKASTAKSRFSAKDYSDHMALVRAYEGWKQAEREGSAYEYCWKNFLSAQTLQAIHSLRNQFNYILKDALLLEADAGVNNRLSHNQSLVRAIICSGLFPGIASVVHREASMSFKTMDDGQVWLYTNSVNKQYQTIPYPWLVFGEKVKVNNVFIRDSTGVSDSILILFGGGLVNGVLPGHLKMLGGYIDFFMDPTLAESYVSLKQELDQLLQKKLKDPNVDIHKEGKYLMLAIQELVSGDLCEGRFVFGRESKKPKESPENDSFTRDGANPKSLLQTLLMRAGHSPPKYKSKHLKTNEFRALVEFKGMQFVGKPKRNKQLAERDAAVEAMAWLTHTSGAKKDEGYPNSPPDLTDNMLKILSKPRKPNSRSGRT >KVH88219 pep supercontig:CcrdV1:scaffold_1381:58804:63936:1 gene:Ccrd_024391 transcript:KVH88219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRLGFLLACNSRRKPPLLATFFRIFTHNTLSNPSTSAVLSSSKINHGGPFFRFLSSIMHCGGRRYISGHAVDQFSDDEYECDYENHPASSSVANIDEWKWKLSMLLNSGTDQEIVTRDKRDKRDFEQISNLAKRMGLYCEMYGKVVVASKVVIPLSLQRRVEGLLQEHLDRMQLYSGDVASSKSETSHQAELVNNGGHPDSLVDGSLMEKVLQRRSLQMRNMQRAWEESPEGKKMLLARMSLPAFREKERLLQAIAQNQGTNNIEAPQQQEPQRRLTEGDHGLSSSTEEPL >KVH99418 pep supercontig:CcrdV1:scaffold_1383:31807:36261:1 gene:Ccrd_022348 transcript:KVH99418 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEGMILVHVFVFLVALISYIFIRRNRRDMAKLPPGSLGWPYIGETLQLYSQDPNVFFANKQKRYGEIFKTHILGCPSIMLASPEAARFVLVTQAHLFKPTYPKSKENLIGPLALFFHQGEYHTRLRKLVQGSLSLDNLRGLVSDIEAISVSTLNSWTDGHIVNTFYEMKKILLHLCFTLVYIQVRVKFCLHLYVLINDFCWNCLTINVLSFEVGILAIFGHLGTSQKEQLKRNYSIVDKGYNSFPTKLPGTPFKKAIMARRQLQKILSELISKRKEKTSIEKDLLGCLLNSKDEKGEILSEDQIADNIIGVLFAAQDTTASAMTWILKYLHDHPKLLETVKVILESLRMASIISFTFREAVTDVEYKGFRIPKGWKVMPLFRNIHHNPEFFPNPREFDPSRFEVAPKPNTFMPFGSGVHACPGNELAKLEMLIMVHHLVTKYRWEVVGSESRIQYGPFPVPMHGLPARFLKEST >KVH88217 pep supercontig:CcrdV1:scaffold_1384:5926:7687:1 gene:Ccrd_024393 transcript:KVH88217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLEFPKDQSEGSGEEAVSEAVNSSQSSMSSDSCTSFCRLSFDALELLPPSRPLYSPENMILKPHRSSESSWQAIRTVALKRSSSEGYGGVDGGSSLSFRDFSLVRQIGSGDIGKVYLCRLRNEDEEEGRCYYAMKVVDREVLALKKKIERAATEKKILNMLDHPFLPSLYAQFEASHFSCVVMEYCSGGDLHSLRHKQPRKRFSLCAARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLTDFDLSLCSDAIPAVQSQSLSSSPDPASSSPAVQRSSSHPFSCLPSQLFRSKKIQSFSATNRLFVAEPVTARSCSFVGTHEYVAPEVASGNSHGNAVDWWALGIFIYEMVYGCTPFAGVTNEATLRNIVKKPLQFPTVSPISSRETQARDLISRLLDKDPENRFGSKRGAADIKTHPFFNGLNFALIRSATPPVIPRQTTTSSRYFGGPTSTFDFF >KVH88216 pep supercontig:CcrdV1:scaffold_1385:66313:72593:-1 gene:Ccrd_024394 transcript:KVH88216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MPQLRSGVRRGRPSKRPIAAERTEPEGVEEAVRKTDKRGRKVNNKTGQVNRVEQKNGRGRKKAVQEPVVVSDEDSEEKNAVRTTPEEEEKERKPELAASAAEIKDKEKEEEEAGEKKMDDNDSVAPSGDKGLGAEDEGSTAPLPERVQVGGSPSYKIEKKLGKGGFGQVYVGRRINAPVPHERTGSGAVEVALKFEHRSSKGCNYGPPYEWQVYNVLGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGSPGTSDEKKLFLVDLGLATRWRDSASGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGFQGENKGFLVCKKKMATSPETLCCFCPAPFRHFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLMYQVGHKRGRLMMEEEDYEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADARLPQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTSQVYQLSPMFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYIQQSYKVSESFPFKWINKKWREGFHVTAMATAGSRWAIVMSRGIHRRWDAGYRITSTAATWDQAAFVLRKVGKESVHCFCVLWSNCFMSSRSSSFSFLDC >KVI02801 pep supercontig:CcrdV1:scaffold_1386:72794:75941:-1 gene:Ccrd_018908 transcript:KVI02801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGVRGPKPRFLIGNLLDVASLISKSTSFDMPSIDHDIVPRLLPHLVLWSKIYGKRFIYWNGTEPRMCLTETELIKELLVKHSTISGKSWLQQQGCKHFIGRGLLMANGDDWHHQRHIVAPAFMRDKLKSYAGYMVECTKEMIQSMEKEVATGRTEFEIGEYMAGLTADIISRTEFDSSYEKGKQIFHLLTVLQQLCAQASRHLCVPGSRFLPSKYNREIKSLKMEVERLLMEIIQSRRDCVEIGRSSSYGNDLLGMLLNEMQKKRGGNGFSLNLQVIMDECKTFFFAGHETTALLLTWTIMLLASNPSWQDKVRAEVRQVCNGGSPSVEHLSKLSVLNMVINESLRLYPPASVLPRMAFEDIKLGDLHIPKGLSIWIPVLAIHHSEEIWGQDVNEFNPNRFASKSFSPGRHFMPFAAGPRNCVGQSFALMEAKIILAMLISKFKFTISDSYRHAPVIVLTIKPKYGVQVCLKPLEEGETC >KVI02798 pep supercontig:CcrdV1:scaffold_1386:13456:14789:1 gene:Ccrd_018912 transcript:KVI02798 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MRMSCNGCRVLRKGCSDDCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPENLRPGIFRSLLYEACGRIVNPIYGSVGLLWSGRWQLCQNAVEAVLNGSPIVQVASDTEETNNGPPLKAYDIRHISKDENSGGSNELHRIRTRCRFKRSASKGKAKDNRVWVGSCEEVSGHDEINNRSSSHESTLSHQSEAAHVAEGESHETAEESLVSVETAEGSAAAPVKIERDESATIQDNNDEIGLELTLGYEPVRRSRSRSRSPQNVQKLTGASDNSGEAIYRMELGLDYPV >KVI02799 pep supercontig:CcrdV1:scaffold_1386:15558:25187:-1 gene:Ccrd_018911 transcript:KVI02799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPWRPAGNPEGAPPPPSLVAAAGKAGAIVGAYGFVYASHSTDSKKTDDGDRIKKYLNILGVISFLGMCKATMAHVILMTSTQNSCYEASNESCAFSKAEDEINQIHMLIKFSMLETTMIFDPVILNQGARLLRD >KVI02802 pep supercontig:CcrdV1:scaffold_1386:27226:30175:1 gene:Ccrd_018909 transcript:KVI02802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEPRGYPSPLRDFELQGYPVPPTVTGFPGTVDLKMPNNGRGSAMGPGVGNASELSDNNLIRRKVLMMVTIGMDDGFIIKYKI >KVI02800 pep supercontig:CcrdV1:scaffold_1386:24208:26084:1 gene:Ccrd_018910 transcript:KVI02800 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MEDNRLSSFKRRSNPLSEKGGNRRGKFGDQFSENPQASETVYRILCQSRKIGSVIAKGGGIVKALREETRAKITIADSVPGSDHRVFVIYSPLTKKPVKRNADGDSEDMGLEKNDMELQCAAQDALLKVHDRIVEEDGGTDNGDVIQRLRSETGANIHVLPADQLPVCAVNTDALVQVNQILQRGLLMKFLPCCIKIHERTNLLLDSLPAAKWRGGYVSENSRFGPAEFDGDYAVARGGEVRAEFAMKILCPTAKIGGVIADSDEHVIRVSAIEALWNPRSRTIDAILFLQDKTSDHSEIGIITSRLLIPSSKVGCILGQGGQLINEMRTKADIRVYSKEEKPKCAGEDEELVQVRLHQDIEARSLRDAKPGAEPAPAISSRGNLDVET >KVI01495 pep supercontig:CcrdV1:scaffold_1388:18769:21872:1 gene:Ccrd_020233 transcript:KVI01495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein IRVLQGDDSGPKATIHNSRVYKRSVLSILRLLSLIVSTIDSSFLYLFHSRVNCVSIEDLLIFKLLTSLLEEIQASMDLHIETAGAIGGVVASLVRVPTLVVKQRMLTCQFASAPDXVRLIVSKEGFKGLICGALTGDNDFPRCNKN >KVI01496 pep supercontig:CcrdV1:scaffold_1388:28789:29952:-1 gene:Ccrd_020232 transcript:KVI01496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTVMMSGMDVKLTSVKVDGGGDVESVKCECCGLTEECTQTYIATVRDSNQGRWICGLCAEAVKDEMERSCSESEEAALDRHMRFCKNFRSSMNSPPLEDLISAVKQLLIRSLDSPRSSSVESNYHSSSSSLVRSNACHFSLDN >KVH89970 pep supercontig:CcrdV1:scaffold_1389:27935:29445:-1 gene:Ccrd_008036 transcript:KVH89970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MTFSFYRYLVVVVSSIGINYGQIANNLPSPEKVVPLVKSIGADRVKLYDADPKVLKAFAGTNVEFIVGLGNEYLSKMQDLDSAQSWVKSNVQTYLPATKITSIAVGNEVLTFNDTSLSGCLLPAMQNIHTALVNLKLDRQVTVTTAHSLAVLETSYPPSSGAFRQDLKGCLSPILDFLSKSCSPFLINAYPFFAYKANPKQVSLDFVLFQPTDGIDDSGNNLHYDNMLFAQIDAVYAALGSLGYKTLPVQISETGWPSKGDEDEVGATPENAKKYNGNLLKLVSQKKGTPAMPNSHLDIFVFAMFNENLKPGPTSERNYGLFKPDGTPAYNLGFSGISSSGSNNTGTNAPSYILPPENPSSGEEFPGGALESYH >KVH89961 pep supercontig:CcrdV1:scaffold_1389:69666:73011:1 gene:Ccrd_008042 transcript:KVH89961 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCI/PINT associated module FFLKSLSYSFTHATKLSGVSVFLRSQSSIRSVFSVDMSTSYLPATTESIDLASEAKSRPEAITILYRVLDNPAASSEALRVKEQAIAKLSELLREENRGEDLRGLLTTLRPFFALIPKAKTAKIVRGIIDSVAKIPNSTDLQISLCKEMVEWTRSEKRTFLRQRVEARLAALLMDSKEYSEALTLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALDDPRAVYSLKYMLLCKIMVNQADDVAGIISSKALKFLGPELDAMKAVADAYSKRSLKLFETALQDFKAQLDEDPIVHRHLSSLYDTLLIEPFSRVEIGHIASLIELPVEHVEKKLSQMILDKKFAGTLDQGVGCLIIFDDPKTDAIFPATLETIQNMGKVVDSLFVRSAKIMA >KVH89962 pep supercontig:CcrdV1:scaffold_1389:74205:74501:-1 gene:Ccrd_008043 transcript:KVH89962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGGMRKSRSRNLRLNPSCSLKESSSESKPIWTSLWRKIVKQYDVKKKKKMTCYDCSYDWEGYMQNFDQGSEKGNVDFLCRSFSARFANPSSKNLMV >KVH89964 pep supercontig:CcrdV1:scaffold_1389:86766:120557:-1 gene:Ccrd_008045 transcript:KVH89964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MATSDTNYIHSYPVYDPKIFNRTSLIRLSRIQYSRNRHLLGLFFYGFILTPGLYISSPAHPAPCYLLRPSVLLRVMKIHRVLNRQGLEFFASTIKFVEGCIFNMEKVDEDASLEFKWLKRRGFGGKKKEIQFYESFIYDGVKYMLYDCVYMYKEGLREPYIGKLIKIWELRDSKTKKVKVQWFFRPEEISKWLGDTTTLENEIFFASGEGVGLANINPLEAIAGQCNVVCVSKDRRNPQPSDEELKVADFIFYRTFDVQSCSILDKMEEKVGGLGIEYIFNRKEGENTSTLPQSISDRKEENQNTTTCGEVHQLLTKSLTNKLKDDKEDIKLSAEVEVKVDAKGESQGKDIPNLLAENDSCILKADKDNSRLKDMPFSKDKAGKDEMKTSMVPIHKVEAKERNKPPTGSDKLHDRPLKKIMPDDKNPSKEKQVELEVAGDSDRLKDMPSKKSEVEKSIKLPDRSGWFRRLVQKLDKKSIKLYKPWEERLKNAYDQGTAVLLYNVDPNYTSGEVEDIIWHALTENCEAKILQRTAVSSPHYGQALILLKTKEAAQRVLAKLDEECLMLSNGRPLVAITCPPILDEAVSTSHFSQPNTIEYDMAMEWSLLQSRSKKWWEMIHKKSGTLYNATLTEPQIGFPSFRAGSSILVPFEVEVLQFYNVSSSLSFQLVSSNSKNYGGTLIAFLKNHGLMKMNS >KVH89965 pep supercontig:CcrdV1:scaffold_1389:39601:51670:-1 gene:Ccrd_008039 transcript:KVH89965 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenase acyltransferase, catalytic domain-containing protein MAQLLNSFIPTSPCLRRQSMPSLTQPTSRKPQIQAKIREIFMPALSSTMTEGKIVSWVKSEGDKLSKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAAVGSAIALLAETEDEIAQATSKAKEQSSSSSSSAAPSSPPPVETKIPDSXPVATVVKPAMVTAVVSTHPASGGGKRIVASPYAKKLAKELNVDLSGVVGSGPMGRIVAKDVEAAVVSGAVAAAAAAVAEPTKQLFVAPGIELGSVVPFTTMQGAVSRNMVESLGVPTFRVGYTITTDALDALYKKIKPKGVTMTALLAKATALALAKHPVVNSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLQNADKVDIYSLSRKWKELVDKARAKQLQPQEYSTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVATKDGRIGMKSQMQVNVTADHRVIYGADLAQFLQTLAKIIEDPKDLTF >KVH89963 pep supercontig:CcrdV1:scaffold_1389:76836:79715:-1 gene:Ccrd_008044 transcript:KVH89963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQSKIENEESVSRCKDRRNFMKEAVTARNTFASGHSGYAMALKNTGAALSDYAQGEADEVYHPHLGGGGGGGVGGESSEPPPPPPPIMEHHLPPPPPPLPTYSPVPPPMPSFSPTPTPPLQRSVTMPAIPVTKKGGRKMNNGAILEEDEEEGEDEEEEDNVIDLRGRKGRKSGGRMAAEDDAPSPLTQTPVAPPPPPPESDKMPWDYFDMGGMPWTHLNEEYDEGIDEKDEEEEVEEEEQMDGAHHHLHHNNHHLHHHQVEPKTPEKVVMEGFTTEEEETPVMAKDRQFTHSNTAPPDINRRGGGNGGGGGMVVGSSSVNLLKIFGDIDDHFLKASESAQEVSKMLEATRMHYHSNFADNRDHIDHAARVMRVITWNRSFKGAPNGDGGNEEYDDKNETHATAGELMKLEYQRKVALLNKLKKRGASTESLEKTKAAVSHLHTRYIVDMQSLDSTVSEVNDIRDKQLYPKLVTLVDEMSKMWEAMCTHHENQLTVVLDLKSLDVSGAPKETTKHHYERTIQLYHVVQGWHSHFETLVTHQKRYIQALNNWLKLNLVPIESSLKEKISSPPRIQHPPIQTLLHSWHDHLQKLPDELAKSAISSFAAVIETIMNHQEEEMKLKEKWEETRKEYLRKNQAFEDWCQKYAMRRGPQDETDPDRISGTDPNDPISERRFVVETLKKRLDDEIEAHQKLCVQVRDKSLGSLKIRLPELFRAMSDYAHVCRDSYKRLRSIVHSQNHPNGN >KVH89966 pep supercontig:CcrdV1:scaffold_1389:35487:38633:1 gene:Ccrd_008038 transcript:KVH89966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MAEQKRAPRLNERILSSLSRRSVAAHPWHDLEIGIFDQYSLKMLFVCYAEFKIIDPYLPTQDLELPRLSMCKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGENDDKIIAVCADDPEYRHYTDISQLPPHRLAEIRRFFEDYKKNENKEVAVDAFLPSSTAHDAIQHSMDLYAEYIMQTLRN >KVH89967 pep supercontig:CcrdV1:scaffold_1389:20755:27504:1 gene:Ccrd_008035 transcript:KVH89967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MKSRSHRLPTISDTPDDWVDESWTVDCVCGVNFDDGEEMVDCDECGVWVHTRCSRYVKSEKLFACDKCKSKKLRKESEETEVAQLLVELPTKTLRMDSPYPMSCPPQNPLQVWTEIPLEEKVHVQGIPGGDPSLFGGVSSIFSPELWKCTGYVPKKFNFQYREFPCWDERQDDGNEGKEEMETRASKGADALFSLSKENALPAQVAAFSGMKTLDNGGCDMGPPTTDKKKQEVENYEGKRQHGGVRRERSLPKPIVIHSGNQKKDDSRVFKDLNRKKNGKAVDKEGDSRKRDLHSFESVPTTSSDAKHSESYEGKGLKVFKTDKQSCKHENSREDVQANHKSGGCLDMFNTNNNPSSSGQPSEKVPFDDSGLNPSKEAKQMEENDGNQVPPSLEISPQTEDGMASSLIHNPMETITMKDEVGQDVVDDVNENRTSCSRSNGTQSNVNNLEKVGLAPNSELHASPLRSIEVKAVETNCPGKTSNSEILSSDIKLDSSKTVPQVTRISNDPLSANFEVKKEAVSVSQIYKVQNVDKGLPHVDGQADEPDEPPCGPSQPKGHIKGSENSTVARGSSEFKHGAGPPEGLSKSHGTVRSPSRAPNQCKVAVSTVVKSSVGKPSKSSVPDKARYSNADDRNSSGKQKGVCDNNIKGKRDNASESPRIGDASEKPKKLVKDLPKSLSTSALKSSHLSKSSHAPVSKKNSSDSKDPAILSSSKNSSVQIAATPDSGDSANSLRSENGTLEQNKSTSELSGRGEKINQLNRQPAPKNNPPHVHPLPSTNPPATLSDEELALLLHQELNSSPRVPRVPRMRHAGSLPQLASSTPTSTLMKRTSSSGGKDNGLVSRKKNKDLANNGPSNSREFDGAKKVDRLPSLPNSRRHDLMKNGSAKHIDKSAPPASTTASSGPSSSNEANEHNMSSTRTSPQNASDDETVAIGVPTHRTLPGLIAEIMSKGRRMTYEELCNAVLPHWPNLRKHNGERYAYSSHSQAVLDCLRNRSEWSRLVDRGPKTNAGRKRRKSEADAQNLESEEDDYSTDRNTKDVDSKSLESQRSGKQKARKHRRLALQGRGIKDVRRRRKAEVLSDDIDSSDSSEESAFSDEGGTNEASASSDEMGTMS >KVH89969 pep supercontig:CcrdV1:scaffold_1389:31313:35702:-1 gene:Ccrd_008037 transcript:KVH89969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGSSGGDERKKCCIYIYKRVGVSKSNERGDKTPYIAVWQGVEQDTRIHRDPAVLVGFRPITIMMKVSCSTIVASLLAASTAALSSSHSNPNLQELRGRIGEESSLEKEKFAPRFDGLRFIETLVTAHK >KVH89959 pep supercontig:CcrdV1:scaffold_1389:53649:59944:-1 gene:Ccrd_008040 transcript:KVH89959 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MSFQIQTFELSFCPEETLLYSKADTQIASAVGTFGRVLECWDRQTREYVAIKVIRSIRKYRDAAMIEVDVLQQLAKNDKGRSHCVQILNWFDYRNHICIVFEKLGPSLFDYLKRNKYCPFPVDLVREIGRQLLESVAYMHSLCLIHTDLKPENILLVSPEYLKLPGYKRASQGETHFRCLPKSSEIKLIDFGSTAYDNQNHSSIVSTRHYRAPEVILGLGWSYPCDMWSVGCILVELCSGEALFQTHENLEHLAMMERNMISQYVPVGCSRSSIVDLLYGLLEFDPAERITARQALDHPFFRNIPA >KVH89968 pep supercontig:CcrdV1:scaffold_1389:14457:16656:1 gene:Ccrd_008034 transcript:KVH89968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MESKAINDLSDKDIICTAAVAWGPGQPLTIQQIRVQPPQKMEVRIKILFTSVCHTDLSSWQGLNVSQRVYPRIFGHEASGVVESVGEGVEDMKEGDHVLTIFNGECGECVYCKSKITNLCERFRVDPLQSVMRNDGKTRFLTQDGKPIYHFLNTSTFTEYTVVDSACVVKIDPKAPLDKMTLLSCCLSTVHEKIREMSEGGVDFSFECAGNLDVLREAFLSTHDGWGLTVVLGIHPTPRMLPLHPMELFDGRRITGSVFGGFKAKTQLPLFAHHCMHHQEGLKLEEFITHELPFSSIDQAFQLLINGKSLRCLLHL >KVH89960 pep supercontig:CcrdV1:scaffold_1389:66354:68764:1 gene:Ccrd_008041 transcript:KVH89960 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S ferredoxin, iron-sulfur binding, conserved site-containing protein MQTLQQKASEWSGVDQQDAFAIDEINLFDKLGLQTFIDLSTDFYTRVYDDEEEWFRSIFANSKKEDAIQNQYEFFVQRMGGPPLYSQRKGNHTLAYLWPNNIASAPRTEDCVGCKRCESACPTAYSDHSLVIWSATAKRSYNQKAYCILFGGWK >KVH99594 pep supercontig:CcrdV1:scaffold_139:125717:129154:1 gene:Ccrd_022172 transcript:KVH99594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDKSPIFFSFLILSLVSPVSSLSSDGQTLLSLLSSADSLSSWNSSSLTPCSWQGVTCSPQNRVISLSLPNTFLNLTSLPPQLSSLSFLQLLNLSSTNISGQIPPSFGSFPHLRLLDLSSNSISGPIPPELGQLTSLQFLYLDSNQLSGTIPPQLSNLSSLQFFCIQDNLINSTIPSQLGSLVSLQEFRIGGNPYLIGEIPPELGFLSNLTTFGVAATGLSGVIPTTFKNMISLQTLAIYDTEISGSIPPELGLCSELRNVYLHMNKLKGPIPSELGKLQKLTSLLLWGNSLTGHIPLELSNCSSLVVLDVSANELSGEIPSELGKLTVLEQLHLSENSLTGPIPPELSNCTSLTAIQLDKNQISGQIPWQIGNLKMLQSFFLWGNMVSGMIPSSFGNCTELYSLDLSKNKLTGEIPQEIFGLNKLSKLLLLGNSLSGKVPKSVGKCQSLVRLRLGENQLSGPIPKEIGQLPNLVFLDLYTNHFSGVLPYEIGNITVLELLDVHNNHITGEIPLELGELVNLEQLDLSQNGFTGEIPWSFGNFTYLNKLILNNNLLTGLIPASIKNLQKLTLLDLSSNSFSGPIPPEIGSITSLTISLDLSSNRFQGEIPESINGLTQLQSLDLSHNSFHGKILILSTLTSLTYLNVSYNNFSGPIPMTPFFRTLTAKSFIQNTGLCESIDGYTCSSRTPTRKGLKSAKTIGLVVVILVSVTIAAAATWILMSRNHRYMIKNSLADSNPGSRGDDFSYPWTFIPFTKLGFTIDNILDCLKDENVIGKGCSGMVYKAEMPNGEVIAVKKLWKTNKDEEPAIDSFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNHIANGNLQQLLQSNRNLDWETRYKIAVGSAQGLAYLHHDCLPAILHRDVKCNNILLDCKYEAYLADFGLAKLMSSTNYHHAIGFCCLFAEYGYTMNITEKSDVYSYGVVLLEILSGRNAVENRVREGSHIVEWVKKKMGSFEPAVTILDMKLQGLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSSPEEWGKTSQPLMKQSSTHS >KVH99599 pep supercontig:CcrdV1:scaffold_139:152379:180626:1 gene:Ccrd_022169 transcript:KVH99599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLAPAPPPPALLPPALYSSARQHLRWTAVNFVRSTNLLRPRTAVAAIGRDVDTFTESSGYLFELSTSEAESLTDYNISKIASIYRKKPFIVLRRLLQIVNTLGKWLALRYLDGITERSNLMFEVRASQLRQILVKLGPVCIYKNCLGYLISTYRISPFSTEVAFRTIEKELGAPLDQLFTEISPEPMAAASLGQVYQARLRDTGQIVAVKVQRPGVQAAISLDILILHYLAGLVRRAGKFNTDLQVSYHKSIAVVDEWASSLFRESVPLIMIVFFGNHIECRRWITLMKQKMELGSEYAPAISGTCGVIVICYGYCLLLPEPHHFLLPLVGSISIVKKPHQTLLNNPHHAEKSLISFALCEPTAEQYTTGYFPLHRCIRELYGSLKDVLVPDMYIEKTTRKVLTMQWMEGQKLSEVKDLYLVEIGVYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLASNLGLVSGQCVVPTSSLLSPLCRLEYAGVSFWIAGLGVSVGCWVLGERDYEKSGQAEVGKQNFGMMGDFKQELRDGFIEACLHLINRDYDALAKDFVTLGLLPATADKDAVTKALTGVFQNAVAKGVRNISFGDLLGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAINSDPNYKVLGGTYPWIARKVLTDSSPQLRSSLQALLYEVEHPEYTWLRFISCDSIHDGVFRIDRLESLLSESLRARTEKALVKVEDNNSDVVIKQILSFTLTEKGAFVREILLQEFAKGLDALGIASLESLTSAATANIPFAPSPSPIADEDITNLRNLHRLLQLLSGSQKMADANTGVEEFSPYTNKRTTFEEISLVFNQLTSIQDKLPLLNVVTELPPDLQQQLIRLPVDLVGKLVSRVAARTIRRALL >KVH99593 pep supercontig:CcrdV1:scaffold_139:133009:133368:1 gene:Ccrd_022171 transcript:KVH99593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLPSHPLTEGASHLCLAIGSVFFCALTLFMCAGHSRKWVHHLKACYSYSYEEPVIQLNGFQDEEEEEEEEEEESVWQKNILMGGKCQLPDFSGVIIYDSQGNIAPTKPRLLALTWK >KVH99598 pep supercontig:CcrdV1:scaffold_139:294393:297688:-1 gene:Ccrd_022164 transcript:KVH99598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin NILTVNGQFPGPTLYAYEGDTVYVKVYNRARENITIHWHGVKQPRNPWSDGPEYITQCPIQPGDTFNYKIIFSMEFGTLWWHAHSDWSRATVHGAIVVYPKHGPSSYPFPRPDQEVPIIFGEWWKEDVMEVLREFVESGGAPRNSDAYTINGQPGDLYPCSSQGMFNLNVKYGKRYLLRMVNAAMNEILFFAIANHSLTVVGADGSYTKPFTNEYVVISPGQTLDCLLEANQVLPRSHYYMAARPYSPTTSIPLDNTTTTGVVRYDNDDDDDISMTIFPSLPFYNDTIAAFEFLGGLRSLNPPLFPLTSYDTQILSTVSVNTFPCRNNSCSGPNGTRFAASMNNISFVFPSTIDILEAYYYHINGVFGTRFPSVPPFIYNFTSTDLPAILLTPEWGTEVRVIEYNSIVRVVFQGTNLVVGLDHPMHLHGFNFYILGWGFGNFDKDNDPLNYNLVDPPFRNTVLVPVNGWAAIAFKAHNPGVWFLHCHLERHLTWGMDTVFIVKDGKRIEERILPPPNHMPPCVL >KVH99590 pep supercontig:CcrdV1:scaffold_139:31004:36670:-1 gene:Ccrd_022174 transcript:KVH99590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MSCFNNHFFVAKYISKSPIFHKFFYPIGVSSNPNMIHHHRQRSRNRSPERSWVEKCLGCTCLELFWPRIVTRKWLNISTKSSDYSADSDDGIYDSDEAFHDWPKESRLKNKKGDEFQSDPDGAVPRSRRRKSEIFREQYIDAKELRVSVDTWNVGGELPPEDLDIRDWLDTDHPADIYVIGQVFTSDFQEIIPLNAGNIFGAEDNRPIPIWENIIRETLNKAQPVKTKFKCYSDPPSPSRFKPSDDVPYMEDVELESDCEDEEEIYTFNEESNFGQLEDGPVGQNGSISIDSEEKVEESASLNSRKSTKLLGGTEIPSDLAAHCISEIPNSFKFVKSFKASKSFKNHRPLESYLNGGNRVIPEDHWLAKLDLDSIMHRKRRSEYVRIVSKQMVGVFLTIWVRRSLRKHIQNVHVSTVGVGVMGYIGNKGSISVSMSIYQTHFCFICTHLTSGERDVDAVKRNTDVNEIHRRTCFSSMSKAACPRSIRDHERIIWLGDLNYRINLSYEATCDLISKNDWSKLLESDQLRKGCAFDGWTEGTLNFPPTYKYEPNSDKYYGEDPKVGRRTPAWCDRILSLGKGMRQLSYRRAELRLSDHRPVSASYMIEVEVFSPRKLQKALAFTDAEIGNDES >KVH99604 pep supercontig:CcrdV1:scaffold_139:385035:389886:1 gene:Ccrd_022162 transcript:KVH99604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMSTCECSSGCESGWTMYLDQSLNSGDGKWSYHEDDEDLSMVSDASSGPTRHKIHDEDEDEESKYGFCYGLNSQEKRKTRLKKDDDDDTASSTVFTKV >KVH99597 pep supercontig:CcrdV1:scaffold_139:270051:289185:-1 gene:Ccrd_022165 transcript:KVH99597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component Sec6 MMSISVEAAAAHDSLSDDKELINTYERLTALDGKRRFALAAAASHKEEVGRLREYFEEVDRTWETFEQTLWSHISNFFELAKESPQTLVRALRVVEMQEIFDEQVAEEAAEAEGGGAMASVANPRRAGKKSTTAVASSKNLAVQKSKQGKCYKDKCYEHITKAVETRFSKLLSELVFENLKGAIEEAKKIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQWLRLLSDRANDITNIEILKVTGWVVEYQDNLIALGVDEALAQVCSESGAMDPLMDSYVERMQATTKKWYMNILEADKVQPPKSTDEGKLYTPAAVDLFRILGEQVQIVRENSTDVMLYRISLSIIQVMIDFQAAERKRLEEPASEIGLEPICAMINNNLRCYDLAMELASSTIEALTENYAEQVNFEDTCKGFLEVAKIIIHGMQSPNLVEKYFEEAVHQTVNVIFDDPGVQELLVKLYHKEWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVESCLEQTVIVYVDHLLSQKNYIKEETIERMRLDEEKVENRLRILIDLRELASAESPDTFTLVYTNILEHQPDCPPDVVEKIVGLREGIPRKDAKEVVQECKEIYENSLIDGNPPRAGFVFSKLKSLTTSKITNIFRKLH >KVH99603 pep supercontig:CcrdV1:scaffold_139:329620:342905:-1 gene:Ccrd_022163 transcript:KVH99603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MYGARRILTHNGDELVVFCWCFAMSLRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMRSFDTLDTWHEEFLKQCFQANPSDPSTFPFILLGNKIDIDGGNSRVVRSRYAHSVSEKKAREWCAAKGNIPYFETSAKEDHNVDASFLSIARTAMANEHEQDIFLQNTKKIQQNRIEKRELEIRGGKKEIAGGTYENEHDDIYLYEDVLLPDISRESLRLYKKQSNEVVVHVNHTKE >KVH99605 pep supercontig:CcrdV1:scaffold_139:404262:424755:-1 gene:Ccrd_022161 transcript:KVH99605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYEQQVRSLTETGVVPPSPHQQPHQQPQQQLFGRSSRGCGRSSRGGRSSYGRGRGGRNTAPMQIVTPACNFPLKCLRGGGKVVIVNLQKTPKDKKANLVIHGFIEKVIGGVMKLLNMRVRPFVRIDLLLTILTQAFSLDKRYVNWTLQIASIHDKKALLPFIKSVEVSFSDNQPMKEAILDKHPFQLKRRTVWTAEPFDVILKVNFSEGCGCSMKMLARLASEHLLEIRQAFRQFPQTNRSLSTALNYDIDTPDNDPKITPACNLPLKYLRGGGKVVIVNLQKTPKDKKANLVICGFVDKIRPFVRIDLLQTVLTQVLSLDKRYANWTLRIASIHDKKAVLPFIKSVEVSFSDNQPMKEAILDKHPFQLKRITVWTVEPFDVILKVYFNEGCGCVYSRIKIPIDFHASTDTLKRDNYHSLNDESGSLSLLYRKMVSRGGGRVQGKDHYDGGKKHKKERDVNGNCEVVRR >KVH99606 pep supercontig:CcrdV1:scaffold_139:430920:431345:1 gene:Ccrd_022160 transcript:KVH99606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MSEFDLVEYHFTTTQVNELLSFLHSELPANSGSGSGSGSGSGYCDHELETRHRRMVSNRESARRSRQRKKRHLEELIDQLNQLRVGNQDMKNRLNWLQYQCRTVLSQNLQLGSECIHLRSKMFGLCQLLVNMQFQSSFTTH >KVH99595 pep supercontig:CcrdV1:scaffold_139:81733:83166:1 gene:Ccrd_022173 transcript:KVH99595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSIHHRLQFIFFALLSSTFLLHTTVVFYHHPITASLISFLSTHLLLTTITSLSAAFLLLLYFRSQAYPVLLLNYSCYQPPSHRECTYDLANNFLMHSARFTTSEPVDFMRNIYLKSGLGNHTYAPPFMFEKNQTPSQKNAIQEAEEGIFSSVDSLLSKTQIKPEEIDVVIVTCGGFSPSPSLSSLIVNHYKLKSDVKTYNLSGMGCSSGVLSIDLAHQVLRGGGRKIKNALVVITESITLNWYDGEERSMLVTNCIFRVGCAAAIITNDPSRRSSAKMELTQSLRTHHAADDSAYHAAFQEEDSKGITGISLTKDLIRVAGVNLREHVKILAPRVLPLNQLVMYAYSLAASILSSGQLKPVVPDFTTAFDHICVHTGGKAVIEQVGRVMKFSESVTEPARMSLNRFGNTSSSLVFYELAYFEAKRKVKKGDKMWMIAFGTGFKVGSLVWKWIQDPEPENDNPWNDSIHQYPLKSW >KVH99592 pep supercontig:CcrdV1:scaffold_139:132803:143371:-1 gene:Ccrd_022170 transcript:KVH99592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTARILTLSISSTSIPRLSFSSTSITRLSFSLPSFPKRHPPRRVYQGYRALSSIAAAPITDEASLESSKHSILLERLRIRHLKDSVGSTNKSNGKKPQSILGQESEIDDGSSKNKEKVLSFSELGLSEEVLAALGEMGITVPTEIQSLGIPAVLDEKSVVLGSHTGSGKTLAYLLPLVFSVSKSISHHARFRSTMVSGGGRLRPQEDALNSPIDMVVGTPGRVLQHIEEGNLVYGDIRYLVLDEADTMFDRGFGPDIRKFLGPLKNRALKADGLDFQTVLVTATMTKGVQKLVDEEFEGIEHLRTSTLHKKVASARHDFIKLSGSENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLSENQLATVNYHGEVPAVERVENLKKFKSDDGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLITKKDLTLANRVEEAMRKNESLESLTIDNVRRDSGRPKLQDHKAKGTRPVKVSSDQRSNKTFSSAKSPAKSFSMPKSGKSVTFSKSPTKRTSPGPKSGKAVAFSKSKKTTANPSSKPPTFSKPNSSKRPIVTAGGKTRSIGQKAGVVKSPSKLNVVGFRGRSSS >KVH99601 pep supercontig:CcrdV1:scaffold_139:207664:212461:-1 gene:Ccrd_022167 transcript:KVH99601 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MDRYQRVEKPRTEAPINENEIRITTQGRMRNYITYAITLLQEKGSEEISLKAMGRAINKTVMITELIKYEKLYKMLHLLLAIDGSPNMRGRGRGGRRRGRGRGNYNNGGIEYNGDGGWEGYGGWDDGRGYGGRGRGRGRGRGGYRGRGRGYGGGAPQQEFGGYNDYGGSGRMPAPAGRGRGRGRWSGRDGSRRRGGGRDLRSDGLPVQAVA >KVH99602 pep supercontig:CcrdV1:scaffold_139:227045:235888:-1 gene:Ccrd_022166 transcript:KVH99602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSDPGGSPGEAHLCEYGSSYTGDALHSLRINLDDPNSVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQIGLLKNLQYLELYSNNISGPIPNDLGNLTNLVSLDLYLNSFSGPIPVTLGKLSKLRFLRLNNNSLTGPIPMQLTNITSLQVLDLSNNHLSGSVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVPPPPIALPGGSSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPNEPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGLLKERKLEMLVDPDLEANYIDSEVEQLIQVALLCTQGSPMDRPKMSDVVRMLEGDGLAERWDEWQKVEVLRQEVDLAPHPNSDWILDSTENLHAVELSGP >KVH99591 pep supercontig:CcrdV1:scaffold_139:413:3919:1 gene:Ccrd_022175 transcript:KVH99591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline/Ethanolamine kinase MAVKINGFIEGTQPEELLKLLLSLASNWGDVFDTNKLKVVHLSGAMTNVVYRITWPRNTTGNDERTVLVRIYGEGSDIFFDREEEIRTFESISTHGHGPRLLSQFPQGRVEEFIHAKTLSACDLRDPEISTLIAAKMREFHNLNMPGXRNVLLWPRMRKWLIKARSLCSXEXAKEFQLDILENEIDILEKELSQGQHDVAFCHNDLQYGNIMIDENXRSITLIDYEYASYNPVPYDLANHFCEWAANYHTDTPHVLDYNMYPEYFYVLLILEDLEERRRFVQSYLSSTGNEVQDGEVDELIDDVEKYSLANHLFWGLWGIISGYVNHIDFDYIEYARQRFRQYWLRKPQLLTGISSDDSLAT >KVH99600 pep supercontig:CcrdV1:scaffold_139:197711:200349:-1 gene:Ccrd_022168 transcript:KVH99600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSKRLDPTKIPQSHPLWGHNSSPSRFLSNLSKTVSDQNPLRSISNTIPIHRKTGLFLPNLMGSELIESVRVSKSKSSSSSGKFFPPGFRFHPTDEELVLYYLKRKICRRSLKLDIIGEVDVYKWDPEELPGQSKLKTGDRQWFFFSPRDRKYPNGGRSSRATMNGYWKATGKDRIIKRRSCSVGIKKTLVYYQGRAPSGQRTDWVMHEYTVDEEELKRCPFAQEHYVLYKIFKKSGPGPKNGEQYGAPFVEEEWSDDDCLDVDALLVQKLNPIPVNDFRTGREEEETMLSSDIMEFLNKIIDEPEILPPLPQLLEEGQEFSPESCSTKDDAFGFETPSGPSHEQQDVQPRSEPQPLVVNEDFLEMDDLIGPEPSIHNFESFAFPNFDSFSEFDLYHDSTRFADTGSFGTQQIQLQAAFLDNVEYGTENSGLVSEVINHHHDELPISYDLWEYDQGDSSIMTAQTTHKAISQPSSGVVIEENPGKAEYCVNETNMDNGTSSWFSSALWAFVEAIPTTPASASESSALVNRAFERMSSFGRGRNVNVAAAVGVSTSKRLEKKASSSRGIVYFSVLGVLLAILWVLVGTCMELLGR >KVH99596 pep supercontig:CcrdV1:scaffold_139:449403:458022:1 gene:Ccrd_022159 transcript:KVH99596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-arabinofuranosidase, C-terminal MEVVCDSDGVNACPVGGVGVYNPGYWGMNIERGKSYKLVMYIRSLSSINMTVSLTGMQTLATTDIIATDVSNWKKMEVILEAKATDHNSRLELKSSRKGVIWFDQVSLVPMDTYEGHGYRNDLFKMVADLKPGYIRFPGGSFVTGNFLRNAYRWKDTVGPWEERPGHFGDVWSYWTDDGLGHFELLQLAEDLDASPIWVLNSGFSQEEAVDPSNITPFVQDALDGIEFARGDPNSTWGSVRADMGHAEPFNLKHIAIGNQDCGRGNYRANYLKFYVAIKKAYPDIKLISNCDGSNTQLDHPAEMYDYHVYENANTMFSMAHKFDHTSRIGPKAFVSEYAVTGKDAGSGSLLAALAEAGFLIGIEKNSDVVDMASNAPLFVNANDKRWMPDAIVFDSYRSYGTPSYWMQRFFSVSNGATLLVSTLQSNSSNSLMASAIRFHRFKPQSRKAGRI >KVH93469 pep supercontig:CcrdV1:scaffold_1391:90067:90356:-1 gene:Ccrd_004479 transcript:KVH93469 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MVGMGRGVFDNSYYANLRNGRGVLESDAKLWSDPTTQRFVQGFLGVRGLRGMRFDVEFGRSMVKMGNTDLKTGSEGEIRRVCTATN >KVH93470 pep supercontig:CcrdV1:scaffold_1391:34940:36401:-1 gene:Ccrd_004478 transcript:KVH93470 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MKSLVVMEGSFLTKMLILMVLLLATFTTLALGEGYGRGKKGTQVGFYRATCPKVEFIVQSAVRSAVKANPTIAPGILRMFFHDCFVKGCDASILINGASTEKSAGPNSLLRGFEVIDAAKSRLEATCPGVVSCADILALAARDSVVLTGGRSWQVPLGRRDGLVSRASDTASLPAFNDPISVQIKKFADKGLNTQDLVTLVGGHTIGTAACGVFSYRLYNFNNTNGPDPDINPAFLPQLRALCPNGGDGSRRVDLDTGSVDRFGNSFYENLRKGRGVIESDAKLWSDRRTQKFVQGFLGSGGQPALRFNYEFGRAMVKMGNTEVKTGRQGEIRRVCTATN >KVH93474 pep supercontig:CcrdV1:scaffold_1391:16443:19313:1 gene:Ccrd_004476 transcript:KVH93474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTSTPAAPENLCTNDVSKRLTLPVSRATRVDALPPFGQSARSWGRNAGFISGSGSLKAGKFTVSDARETNPSLRPVGRLQAVPPVYKMTTASRAARARISAQETTPGHTVSICVFAASITSNPTKERLGGAVLSVDGPETSTDASQPKTKQSWKNILSKPAATAGLVWKADRTVAWTMVWTVGQVV >KVH93473 pep supercontig:CcrdV1:scaffold_1391:32364:36213:1 gene:Ccrd_004477 transcript:KVH93473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIVYVYNHLHLPSLPPSISYSIHIHHHSRPQVRSGLEELRFSLLTPLPRGEGHQPSPLDRPAIQILLLCTKCSGFKSELIREKSKQRTHRLLVLLSLMKLDRVIIWKPNLEFSIPSNNTIQVLLIELPNLSTLPVSRSTRLDPSPPLGQSARSWGRNAGFMSGSGPLVSLQYQMLGIPIHLYGLMAPANYVRRTTESRAARARISAQDTTPGHVASSRDFAASITSKPLKRELGPALFSVDAPLIRIDASQPLTKQSWKNILSIPGAIVGLALTADRTADWTMNSTFGQVAL >KVH93471 pep supercontig:CcrdV1:scaffold_1391:7905:19459:-1 gene:Ccrd_004475 transcript:KVH93471 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MKMASSINKMHILLCLLLATLTTMAQGQTRIGFYGTTCPTVQTIVQATVRSAFQTNPAVAAGLLRMFFHDCFVLGCDASVLVSGPSTERTAPPNLSLVGFEVIDAAKTQIETVCPGVVSCADILALAARDAVVLTGGTAWSLPTGRRDGLVSRASDTVNLPAFNDPISVQISKFADKNLNTQDLVALVGGHTIGTAACAVFSYRLYNFSGTNQPDPDINPAFLPQLRALCPNGGNASTRVALDTGSVNRFDTSYFVNVRNGRGVLESDAKLLSNPTTQTFVQRFSGAAGVPALNFNDEFARAMVKLGNTELKTGSQGEIRRMVSSFNKMHILLCLLLATLTTGAQGQTRIGFYDTTCPTFQTIVQTTVQSAFRSNPAVAAGLLRMFFHDCFVLGCDASVLLSGPSTERTAPPNLSLVGFEVIDAAKLQIETACPGVVSCADILALAARDAVVLAGGIGWRVPTGRRDGLVSQASNTVNLPAFNDPISGHTIGTAACAVFSYRLYNFSGTNQPDPDINPVFLPQLRRLCPNGGNGSTRVALDTGSVNRFDTSYFVNVRNGRGVLESDAKLMSNPTTRTLVQRFSGAVGGPALRFTNEFAISMVKLGNTELKTGSQGEIRRLSESKAMLASYFL >KVH93467 pep supercontig:CcrdV1:scaffold_1391:117546:118765:1 gene:Ccrd_004481 transcript:KVH93467 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEVLSLNKISILLFLLLGTLTTLALGQGTRVGFYSTTCPRAESIVRSAVQSGVRSNPRAGPGLLRMFFHDCFVNGCDASILIDGPSAEKTAVPNVPIRGFEVIDAAKTQLEATCPGVVSCADILALAARDTVVLYLHLHRFMDIMQSGGXSWGVPTGRRDGLVSQASDTSNLPAFNDPISVQIRKFADKGLNTQDLVTLVGGHTIGTAACAVFSYRLYNFNNTNGPDPDINQSFLPQLRALCPDGGDGTRRVGLDTGSVNSFDNSYYANLRNGRGVLESEAKLWSDPTTQRFVQGFLGVRGLRGMRFDVEFGRSMVKMGNTDVKTGSEGEIRRVCTATN >KVH93468 pep supercontig:CcrdV1:scaffold_1391:129457:130081:1 gene:Ccrd_004482 transcript:KVH93468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSLNKISILLFLLLGTLTTLALGQGTRVGFYSTTCPRVESIVRSAVQSGVRSNPRAGPGLLRMFFHDCFVNGCDASILIDGPSAEKTAVPNVPIRGFEVIDAAKTQLEATCPGVVSCADILALAASGGTSWGVPTGRRDGLVSQASDTSNLPAFNDPISVQIRKFAD >KVH93472 pep supercontig:CcrdV1:scaffold_1391:3138:12158:1 gene:Ccrd_004474 transcript:KVH93472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRWGKMLVKLIHLYALLAPANQFHLPARCQANGLKTTVEQYTTAFAVAEPILKHGKDMTTASRAARARISAQETTPGQAVSICNFAASITSNPTKERLGGAVLSVDGPESSTDASQPKTKQSWKNILSKPAATAGLLRKAD >KVH93466 pep supercontig:CcrdV1:scaffold_1391:96767:96972:-1 gene:Ccrd_004480 transcript:KVH93466 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MDEEFSNPMQSCGATQRRKGVRGLRGMRFDIEFGRSMVKMGNTDLKTGSEGEIRRVCTATN >KVI04709 pep supercontig:CcrdV1:scaffold_1393:26629:27461:-1 gene:Ccrd_016966 transcript:KVI04709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MANAASGMAVDDECKLKFLDLKTKRNYRFIIFKIEEQQVIVEKVGSPDESYEDFTNSLPNNECRYAVFDFDFITDENCQKSKIFFIAWSPDTSKVRSKMVYASSKDRFKRELDGIQVELQATDPSEMSIDIVKARAI >KVI04710 pep supercontig:CcrdV1:scaffold_1393:50899:54421:1 gene:Ccrd_016967 transcript:KVI04710 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III subunit RPC82-related, helix-turn-helix MVSPHGIKLAVHLISTYFGDIVSKVCECLLCKGTLSLAQVIRYTELGGFGEAPKIVTQYMALHDNIIHHMRFPKFLAIVSDEFGQECMELFEGLLQHGRLSFNQIMDRHKDKHRAVVTSGG >KVI04708 pep supercontig:CcrdV1:scaffold_1393:22439:26343:1 gene:Ccrd_016965 transcript:KVI04708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MQPEWYNEGISNSVWGSRSKRFFRYFSDTICLPLLSAIMTATSRSSVRLLFFLLFMFVAAFLSTRLIDATNSVTSVAENPSGSSVQTTTTVHTEPTITQVISKKPPKKIEIPLNCSLGNLARTCPADYYPKIFKIQDLEYTSEPPHECPEYFRWIHEDLKPWKETGITEEMVERAKRTANFRLVILNGRAYVETYQKSFQSRDVFTLWGILQLLRRYPGKVPDLDLMFDCVDWPVILKKFYRRPNAVAPPPLFRYCSDDSTLDIVFPDWSFWGWPEINIRPWGSLLKDLEEGNMRTKWIDREPYAYWKGNPVVAETRMDLLKCNVSEKEDWNARVFAQDWFKESQQGYKQSDLASQCVHRQGNQSNLYKIYIEGSAWSVSDKYILACDSVTFVVKPRYYDFFTRGLMPVHHYWPIKEDDKCRSIKFAVDWGNNHKKKLLKYKPQVPEKAAELCSEAMACSSQGFEKQFMMESMIKGPAAVHPCTMPPPYEPQALKSLLRRKTNSILQVEKWEKGYFENQNNKP >KVI04712 pep supercontig:CcrdV1:scaffold_1393:64618:68828:1 gene:Ccrd_016969 transcript:KVI04712 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III Rpc82, C-terminal MLRAERYFALQXFEEKEKVPTAGICMEGEALAWFHWANSRCPVLVWEDLKLQLINRFRLIDGGSSLEQLLALRQTGNFMNGLRLKFDYYGHKMGDVPQTLEARALAAAAPMESIRFLVEADTLSNGALDDNSKKSSTTEIVGEKRKQDSLEPKPNKEILWRVNFEEFVRRLRHKSCVSHVTTRXDSIAGIVLSAIFEASRREETKVKMEKTVPLPMNTIFEEAMKSEEGRSLTLERVRASLVQLGCELPTLGIDETYSVDLKKIIDQAQAQEVLSVLYIIL >KVI04713 pep supercontig:CcrdV1:scaffold_1393:108191:119958:1 gene:Ccrd_016972 transcript:KVI04713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MKGEKKCLNSELWHACAGPLVSLPIVGSRVVYFPQGHSEQLFQFCIANVVFIVAATTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTAVISCQSSNMQEQKDTFLPVELGTPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLVIVHSILMLLPTKMEDHTLISLSSHLPRNLLRGISMTLNGSSGISLGVGIKVIFINFASCKSAIRYVNCGSYGICILKRRNHLAAQTSSSYHRVECFCEREETCCWRFCAFYLLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTIFYNPRASPCEFVIPLSKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWYPGASSFQDSRDDAVNGMAWLRGDTGEQGLHSLNFQSVGMFPWMQQRVDPSFLQSNLNQQYQAALAAGLQNLGSGDALKQQLMQFQQQQQPIQYPQHSGASNPLFQQSHQPIQQLIPQQFVHGQTQMLDNLPRSQQQQVNNQPEEQQQQQLPPNSYPETYLVQHEQLQTRPQSTHSFQKTEFMDPNVKFTSNITTSAMQNMLGSLSPEGNSNLLSFSRTGQPALAEHQSNQQSWVSRFAQSQANLSSGSPSVLPYPEKNGGAEQETSSLDAQNHSLFGGTNIDSSGLLLPATVSNIGASSTETDLTTMPSGASGLQNSPYFGYMQDSSELLHTTGQIDPPNPNRTFVKVYKSGSVGRSLDITRFNSYPELREELGQMFNIEGLLEDPQRSGWQLVFVDRENDVLLLGDGPWEAFVNSVWYIKILSPEDVQKLGKQELESLSQNTGERMSSLANDGRDLSGLPPSMGSLDF >KVI04714 pep supercontig:CcrdV1:scaffold_1393:92876:94474:-1 gene:Ccrd_016971 transcript:KVI04714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGGSHIASKHLKSCRKALTLLDQPCLTRSHIDQIHAHLIGSGSISDPFAAGKLLFSCILSTRADLQHAYNVFRSIPHRSTYIWNTMIRAFSEQEQSRDALSLFLPTNHTFSFVLRACAHLCELQVGLVCHAQAIKLGWESYDFVQNGMIHLYAVCNCLECCRRLFDSSSNRDVITWTAVINGYVKSGKVDVARELFDEMPKKNNVSWSVMINGYVQVGLFKEALDLFNDMLHRGFQPNHSSIVVVLSACGFLGALDQGRWIHAYVDKKNMILDGILGTALVDMYAKCGCIELAYHVFEEMPYRDVFAFTSLISGLANHGESACAIALLSRMETEGVRPNEITFICVLNACSRMGLVEEGLRVFESMIDVYGIEPNVKHYGCLVDLLGGAGMLEEAKTVVTEMSMEPDSYVLGALLNACRVHGNVELGREMVEGLAERGLDRSGVHVLLSNLYASVHQWGYVERVRKKMEDEKVKKDPGCSLIEVDGVVSEFVAGNKMLPEEIELMSSGINKHLRSYSYDITCGS >KVI04707 pep supercontig:CcrdV1:scaffold_1393:2601:3705:-1 gene:Ccrd_016964 transcript:KVI04707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 31 [Source:Projected from Arabidopsis thaliana (AT3G48970) UniProtKB/Swiss-Prot;Acc:Q84K70] MVEERVEEIEIEMEMQKVVVKGYGLEERKVLKAIKRAGKAAEPWPYPGYSSHVASFYKYPTHIANEYYYDRNQAAAGHNLHTFFHTPSLYSVAIASDEAVASLFSDENPHACTVM >KVI04715 pep supercontig:CcrdV1:scaffold_1393:75784:83824:-1 gene:Ccrd_016970 transcript:KVI04715 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRAT-like domain-containing protein MLTPHANLARLRSWISDIHLSLPYSYTKQPSQSSNPSSATKGKESMEDIKQILSFKVDKSQIKPGDHIYSWRKAWLYAHHAMSIKHHDMKLQQALHKSTRLMRFAIMAAKIGIYVGDEKVIHFTRGGGQEIGTGTVLDRILLSSSPSNSGDPCLKCGDQSNADGVIASCLDCFLSGGDLYLFRYSVSPIIFLAKARGGTCTLANCDPPEDVVHRAQFLLENGFGGYNIFKNNCEDFAIYCKTGILVFTTISVGRSGQAASFLAFTSAAFSSPLRYMTSSFPGLAVVGCGIYCISRYVADIGMRRDVTKISVEELVGSARLAEPLALIETDMVPHACVKELEALISKDSQVSVERLEVAEALVEEAKVAEAPVATAVGS >KVI04711 pep supercontig:CcrdV1:scaffold_1393:60895:62927:1 gene:Ccrd_016968 transcript:KVI04711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGLVPLLFLNKDGALVIVVLPQQSNLSMYVGLESIHEIALTLDLEDLIGKTLKFRRNDEIREVSRLSELHFFSDEENLILLQIGINKNSTAANVLHENFNTLIQACYIERCPAHEPFLAPEEGDAPAKKRTARSKVTTISPTELANCCFICISFWAKPILAVPL >KVH91292 pep supercontig:CcrdV1:scaffold_1395:73388:75091:1 gene:Ccrd_006687 transcript:KVH91292 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MATQQEICKETEMSEKTAMDEANAVKMSRSYRIQVSNTKKPFIFYLNLAKRSISRYNSVELTALGMAIPTVVVISEILKGNGLATQKLISLSTIKTTDKSTGRLIQKAKIEIVMATTEQSDNAMTLKNKSAKPKAEVESGKTKKKTKGKLAAAPEITACKLQDETVNSKDDVKNADTLIGPEKSEENVDMQK >KVH91294 pep supercontig:CcrdV1:scaffold_1395:92314:106784:1 gene:Ccrd_006689 transcript:KVH91294 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4, C-terminal MMMVVMTISKNSAFYMLFNIFKGTSRTSLLFIKTPFSSSELASGIRPSQTILAHFHLKSASNHEPQFDRPRSIFEKVTKLDHALKLFDGMIQTQPLPSVPKFNQLLQAITKMKHYSHVIDLFKEMTTLHVPISVYTTAIVIKCCCQTYRTSEGFAIVAYGFRHGVEPDMYIFNAILNGLILEDRILEAERLFKKLIKGKLCVPDVVMYNTMIKGLCKFGNNETAVALVKLMDERGCKPDVVTYNIIIDSLCKDQMVDDALELFKDLIFHKGILPSVITYNNVIRGLCNLGRWDDASKMLKEMEEDERISPNLITFNILVNAFCKEGLVEDAAAVMNIMIERGKNPNLVTYNSLIDGYCLRGEMKKAREVFDSMRIRGPIPDLVTYNSLLNGYCKKLMIDEGMHLFHEITEKGMKPNIVTYSILLQGLFRVGRCKAAHKLFREMQAHNQIADACTYGIILEGLCNNHLMEEAMSLFRLMGDNELNSNIIVYNILMDGACKCGKLDIARDLFSDLSVKGWHPDVRSYTMMISGFCREGLVGEAKELFLQMNERGCPPNSATYNVLLQGLLKNKQLDTIETLLQEMEGRGFLLDASTLTLFLVHIKPGSADATLLKSIGKLVPKQGAHPQCFTISTPRWLILNLDVDTRKLSWTFEKEDMKLEWRWKFKPAENCKIITAGILDFLMDANTRLMEVVMKTRSNEQLKAEAEKRVVQSEKFQNEKVEFETTIYTKVLTSFSYRRKGFQLSISSSLKRQIPELKIRLWRQNDDDEGESRKYSFSCLLEIARILLALFHSISSSNRHSMFDHHRRKFEKIAKLDDALKVFDEMTQRQPLPSVVEFNRLLDAVTRMKHYSCSVDLFKQTCALGAPVNDYTMNIVIKCFRHLYRTNEGFGVLGCFLKRGIVPDVFTFTTLLNGLIIEDRIPEAERLFKKLIKEEICEPNVVMYNTMINGLCKFGKNDVAIALLTLMDERCCKPNVVTYSTIIDGLCKDGMIDDALKFLRDNGVSPNVFTYNSLIHGLCNLGRWDEVSQMLKDMEDKRIFPDVRTFSMLVHAYCNEGKIEDAEAIINVMIQSGKDPDVVTYSSLINGYCMRGEMSKAREVFDSILLKGLLPDVVTYSSLLDGYLKNMKLREAMEFFREINKKGLKPNIVMYNIMLRGLYRAGRSGAAHKLFSKMQLQGPIPNEITYGIVLEGLCNNRQVDEALSLFRLMDDEKTNLNIVVFNILIDGASKCGKLDIARALFKELTDKGLHPDVRTYSVMISGFFREGLVRDAKCLILKMEESGCPARSVTYNVILQGYLKNKKYDEVEMLLQEMDRRGFLLDASTLSMLLHHISIRSLDGTLLKLIGTEGRRCGGCHQRNDPNPDDINVIQLTGAECTVKRAK >KVH91295 pep supercontig:CcrdV1:scaffold_1395:116119:123340:1 gene:Ccrd_006691 transcript:KVH91295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVIPEATEPDELTVIVQKVLDSTYESEPPAHANKKPSSCHQLHQQENPNIVMNQDAVQEFEQVLTDQKEDVSTNLNVPEDHANTKASSCHEIHKHDHRHIAMNPNAVQDHEQVLRVQEADASTHLNDDGCGVVVRSRRKRSKRNIDTVSGVVTEDVLTENDHVQQILIKIDVVLSARVEAGMEIKEAMSKFPDDEEFKEYKNQLDDMFKERACNTTHDTHSSGLKDHSTAKNDGQPSTYIVVSQPSGFNENPLPKIWLSPGFIEAVDKVVENTISTSKRKRPYAAITPPKFDLGISPIKQSEPLSMVLHEEAECNVSEDATKYQVERATRRELKLGDHLRSPFVIRAVDLNVTPEERRIHEWAVAGLGGKYELLFSTPNDTTLHRHAIEILGRTTTIYVSVIDAWATLLNYEERYRNRDSLRRYFFSTEVMVDTKLRSKSVNHNTQYALFKKGLLSCAKNNWEVVQMRNVDLVFFPLLEKGHYYLVVFNLKNPSVVVIDNRYQEVSDDDQLLQMYDFITDIMQRLMIRHLNVVGHPARRELDEIGQERLRMDWQTRNNFDDYGVFAMRHMETYMGDVRTWNTGLSKEGKTQEIQIASLRMKYVAKLLVSNYNKKKEYVVKEVEKFQTMDEDIRKKLRKHADDTKTGRL >KVH91296 pep supercontig:CcrdV1:scaffold_1395:92407:92839:-1 gene:Ccrd_006690 transcript:KVH91296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAIGYDCKTLTGAIRLATTLDHNGSSIEDDQTVVHDSKQTLNENELESFGKDGFQKLILKMRKGF >KVH91291 pep supercontig:CcrdV1:scaffold_1395:41847:48147:-1 gene:Ccrd_006686 transcript:KVH91291 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2, C-terminal domain-containing protein MYEEHQLQSMNGTREIEMYKEADREETKERSRRLPEHQLESAILMGMEVADVCLDKEADCVMIYSNDHSGDSRNDTTSNNGSSEPNVSVNGNLKPDVQTTNEVKEFEVEKRVIEDVQHTKELGQVQRCDEDDTLDCETCLVKEKTASDTPQTEGESKKLNTIIKPSTKSAVGHAKTKHTVPQPFALATEKRALYGTRPVGAAPQAGNLVAKSSHPSSSPAQVTKKTAEIVPPSVARKPLQPDNKKHPDEDDSCSVASLYPYLQFRFLFSLTTQSTAASARTVTSKPTSASAPVFRCSTRAERRKEFYSKLEEKQQALEAEKIQCEARTKEEKEAALKQLRKSLLFKANPMPSFYHEGPPPKAELKKPEFMTVDSYNLLPFFDNDS >KVH91293 pep supercontig:CcrdV1:scaffold_1395:77619:88251:1 gene:Ccrd_006688 transcript:KVH91293 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 MDSARHTCLKLQISQPIFIKGTWFSSHFHLSITDALNAWTCDGIITSFNHLKFLDFFFAASEEEVKERASNWDQPISEYIDMSERYLGFQQPGSVYGFADAGGGYKRLSWTFDKEGTKLEWRWKFKPAENCKTITAGILDFLMDANIRLSEEVVMKTRSNEKLKAEAEKCLAQSEKFQNEKAEFETTIYTKFVGVLNSKKSKLRELRDRLSNQESTTNLQEDEEASTDKTETFDGDSEDEDSTMDVTSTSKDTPGNKPRGRKRK >KVH91290 pep supercontig:CcrdV1:scaffold_1395:7419:19204:-1 gene:Ccrd_006685 transcript:KVH91290 gene_biotype:protein_coding transcript_biotype:protein_coding description:START domain-containing protein MEDQNYFVNLMDFINKPALIETFVDIFFCAVPIWVAVMVGVVIGWAWTPRWTSLVFLGFRSKLRGFAWTAPPGFGARRLWLAFTALSAFSVGRRLWSNFREKERKDDQSPLRAVEGGESLPALQYVDESGVDIKCSIVNSGGDHDVVTEKDLEYLLQLLDGKDAVWQSMMERSTSNMACQAWRYEPETGPVVYRSRTVFEDATPELVRDFFWDDEFRPKWDPMLTYFKILEECPHTGTMIVHWIKKFPFFCSDREYIIGRRIWEAGKNYYCVTKGVPYPALRRRDKPRRVDHYFSSWVIRPVQSRKGDGVLSSCEVILLHCEDMGIPRDVAKLGVRHGMWGTVKKLHGGFRAYQNARKQDASISRCALMATIATKISFDGGVESVPGEQKTGDVSTRGGNGNDNGNVGGGGGGVDWRWLAVGLAVAVVCGVRTGAIGKVVLVGAGQRFGRRRL >KVH88214 pep supercontig:CcrdV1:scaffold_1396:51102:55010:1 gene:Ccrd_024397 transcript:KVH88214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQLSGHLYAPTAVECNDFSREFSHRVKSVSMAKFSAEEVRSLEDGGNKELKDGFFEKSSYGRCSTGRRDEYYKKHSSIRSYSRGGHEPRSVMNSVEGKSPWYQENGTPCARRTRSCARFDIIDDRFRDNDYGKVTMHERHRFTGSLQRGVSLSPDILTSTETTPWEVQYVKEICGDRIPSLKLGEAIKEKEKKVAETSSPASTNGKQEVQKIERSNSMIDTKVKADAAPESSNASVSSNRYEVVQSSNRGNSLFFSSTQQRSPEKASKPPSVNFVEVLLLELASPVVVPVGSTSEVPSSVDALSTTPATLSNGVNTSATASNMGLLALPSSGGDSLDEVANGKHLLETKQHQPSASSTVDSSSTDRQSSLPIETSSNKARIIPTSCYRSHSSIFFVEFKF >KVH88213 pep supercontig:CcrdV1:scaffold_1396:50002:50329:-1 gene:Ccrd_024396 transcript:KVH88213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGESDGDDHRGRKLRTGDDHSVLNRRRRRWSPNHWSGNNRVRRRRWRRLEETVSDENEGEMEDVMET >KVH88215 pep supercontig:CcrdV1:scaffold_1396:38705:47065:1 gene:Ccrd_024395 transcript:KVH88215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MSEPPNTSTITPPNIVNVTPYPAISYKNHHLPAIIHGGATTSTVTLSPASNHLREYRKGNWTLEETLVLITAKKLDDERRINATTSATLNIRGGELRWKWVENYCWSHGCLRSQNQCNDKWDNLLRDYKKVREYEVRSPAQDRPSYWSMDKTQRKERNLPSNLLLNIYEALNDVVHRKIPHRQQQPLPPALPPPPPPPPPAAVTTHPPPPASEASAESSETEGEETEKVDSETKRRRVRDIGSSIVDSTTLLSQTLKSYEEKKEKRHRELMELEEQRLRLEETRNEMNRQGIVGLVASINKLSDAIHSLISEKRGKP >KVH93276 pep supercontig:CcrdV1:scaffold_1397:56206:62751:1 gene:Ccrd_004671 transcript:KVH93276 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MVASKKVNYEESRMKRLEENKKRMEELKLTALAQSLRTTLSPKPSPMKKVKRTPRQPLDLSAVRRSNRVADKPPASYKEVPIEPLGRRRSYGKSARDLSNRVYASHEDREYTSGRAEELHSTLEADFPSFVKPMLQSHVSGGFWLGLPVHFCKSHLPKRDEIMTLVDEDGDEWQTKYLPRKTGLSGGWKGFAEDHKLVDGDALLDNSMILLIRYTLPMPTSVGIFILTSFLAILLAYK >KVH93279 pep supercontig:CcrdV1:scaffold_1397:112691:113242:-1 gene:Ccrd_004676 transcript:KVH93279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSKTSFLDIQYSISKRKFLRKPSRMFSGGDRQLSGIPMFQPDINETKRVFDKFDRDKDGKISRGEYKAIVKALRQEGAERDIQKIFEVADLDGDGFIDFKEFVEVQKKGGGVKAVDVQSAFRTFDLDGDGRISVEEVYELMRRLGERCSLQDCRKMVRAVDANEDGVIDMDEFMTMMTQNMKI >KVH93277 pep supercontig:CcrdV1:scaffold_1397:46407:50677:1 gene:Ccrd_004670 transcript:KVH93277 gene_biotype:protein_coding transcript_biotype:protein_coding description:NO signaling/Golgi transport ligand-binding domain-containing protein MVREVSESCMDSLLTEIVSSYCNRFYANKPELAARRIEAIGYQVGHQLSERYTIERPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNKFRWLSRMSGDPSEISGAAQDPSTMSENKAAQATGMHLYFPCGIIRGALSTLGIPCAVSADISNLPACSFVVRIKV >KVH93278 pep supercontig:CcrdV1:scaffold_1397:117342:121264:1 gene:Ccrd_004677 transcript:KVH93278 gene_biotype:protein_coding transcript_biotype:protein_coding description:CGI121/TPRKB-like protein MVLLECLTPISHPIYSLHFAAYCSLLRLLRTASPANKQPFTSATFLPKVYEISGPTLAVALFTEVSNSKVDHLLLIHISESLKRCGISDVTAYVLAARFGASDEEMIAMKALIKGNEIDLDELEGRANKPQILKHYKITGVELGVSTLGDAITCRIAARDAL >KVH93284 pep supercontig:CcrdV1:scaffold_1397:35998:37495:1 gene:Ccrd_004669 transcript:KVH93284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MDTKRCKSIDVMISGMDGSESHGGVRRSRGTEVTTSGSGKRRRRFDPRDQESVELKIQCRSGVDVNFMEDVVSSTESGSCDRALSPKQELSLDLKAELRFETETSMSSNDDFSRETSSSSEICLDSEYMESSSTLKNKTAAPQATISRPKPATASITPMAAEIEEFFSAAEKKDKERFTDKYNYDIVNDVPMEGYASDTVKEILCSVYMYRHINS >KVH93274 pep supercontig:CcrdV1:scaffold_1397:83933:85547:-1 gene:Ccrd_004673 transcript:KVH93274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEKGLFMGPRISFSNDFVESKNHHENMSYREAPVSSDFEFCVPSFSANSADEVFFKLPPLKEMNSKVTLRDELLAGGDDDDGYNSCKNSSSGTRWWREKFRLRKSQHKNHGVIWRLMEVVV >KVH93275 pep supercontig:CcrdV1:scaffold_1397:69398:78535:1 gene:Ccrd_004672 transcript:KVH93275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDFDLDDLLSTDAANNAKTSTKFQPKAKPKPKPKPKPKIQLEQKSESIPLRQFVEDVAAANNEFTGRIVEEQPGNDVNQISGNINDDWQSSFEKSQEVHGESFLTLESLNDFPPQSTIVTVNSPPCSNAPTGHVSIDGNLEKGPIEPDLSYNIDSCTNFESPAQLDPLTGEEAAIFNDNGDFQIGNLSGPKDAESSQFWESLDILSHSKTCSGPRVGKFKPKPKAQTRKPEQIVTSPDKDLASVQYEENVHSVSSQTNYMQNKDVPSFTEDDILGSASVRVTDSAPTETIFDFHVNEESMNLADQMDSVIPEEHLDAVTESPSAGPESVKKGKRKTRKGLNPTQLDNSPESSLENQVGTSSERLRRRSNKTLEPIDESDDEGIDDGFVHGNEDSGDYEPGSEYLDEKKGSKSKKPVNEKERPIRKRKKANEVPAESTKVAKKKFPHRTRRNKRQVNPDLLKMTEDELEMHMHTVPMKELIRLAEHRERLAKKEASTSGTPARNQSASSFSNNYDEDEAFAQGTGSAYEHDYSMEAENATYYNYRTHMKITPRIKWSKQDTELFYEAIQQFGTDLSMIKECFPGRTREQIKAKYKKEERQQPLRLSDALTTRAKGHSQFEVAGLDATKPVELQKEVETDTKFEDSEDEMDWSQYKSEV >KVH93281 pep supercontig:CcrdV1:scaffold_1397:90107:107470:1 gene:Ccrd_004674 transcript:KVH93281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVNELAGCVEQDAPLTTSNMKENLLSPRFQPRKKSHHLEKLALVELLFEALPSTSDAGTPAGYQIPSNWLHGFQSGSNRTSLFPPIKLRPHPPALLLKRNANSSCKMMKRIGLVAIIDGGGVESLDIGIELPSPSSDFSGCHKINGSISGGKLVLSSGTSSSTEIGTFTLFVMGSKAGLFEPQAGRVASFPLQFSSLPDLYKPSSPSSESSQVKSSLESLVSETDKTSSSSSSIKLGIKTNCSDFIWCAQYKISVSNRYQNGGKMSAPLSFEPGRVIT >KVH93280 pep supercontig:CcrdV1:scaffold_1397:94931:107323:-1 gene:Ccrd_004675 transcript:KVH93280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MEEDDEEVLSVSETSDSSDDFTCDDSEDGDDGLYRSGSDENCKGNDATRPAASHQKSQNVDALLRGNLIVKRQSLLPRVLSVTEREAVLKKPFKPPSADGYSNQNEQLVRRLWARKRFVPWGSNRPALLPITNRVNVPISVEEEVPEESTSLPPEIEPLILWQPEKSEDGDGNSIPIEVDHILVKFLRPHQREGVQFMFECVSGLCSADINGCILADDMGLGKTLQSITLLYTLLRQGFGGKPMVRKAIIVTPTSLVSNWEAEIKKWIGERVKLVALCESIREDVISSIDNFRSPHSKLQVLIVSYETFRMHSSKFNDSGSCDLLICDEAHRLKNDQTLTNRTPIICGREPTASEEDKHLANERSAELSAKVNQFILRRTNALLSNHLPPKVKKAIAEEVKQTKILAYITVLKKLCNHPKLIYDTIKSGIPGTSGSGSWTGGEGAWVELSGKMHVLARLLAQLRKRTDDRIVLVSNYTQTLDLIVQLCRERRYPFLRLDGTTSISKRQKLVNCFNDPSKDEFAFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADSKIQGNNLSTEDLRDLFTFHEDARQALLFLIKTVYLMYEKSWSDIHEKLICTRCQNSGGQAADETPNAGMGDCVGPPNEGCQSDEEDIGGFAGISGCLHNLRSSEKQVGTPLEEDLASWGHHSSPSTVPDTIFQASAGDEVTFVFTNQISGKLVPVESVVRSKTDEANADTHSSRGNSNKSYVISSKRQQTIPYTSSNSGSIRSKLPTFGKTSQWTPKEFIGRPIGGIAHVASKPKPSLENYLPQKRLSSSHVDDDDDFA >KVH93283 pep supercontig:CcrdV1:scaffold_1397:11530:23151:1 gene:Ccrd_004668 transcript:KVH93283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAVLLRSISSCTSHACIRTFSRSSHRLSSNRHRLIPNIHRRSPIRHHLRRISTALPSRLHFNTRFSHISPKAIATSSPQFSPDSIGAHDDVAEKLGFEKVSEQFIDECKSTAVLYRHKKTGCEVMSVSNDDENKVFGVVLRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDIQTFQQEGWHYELNDPSEEITYKGVVFNEMKGVYSQPDNILGRTSQQAIFPDNAYGVDSGGDPQVIPKLTFEEFKDFHRKYYHPNNARIWFYGDDDPNERLRILSEYLDMFDATSARHESKIETQKLFSKPVRVVEKYPAAEGGDLKKNHMVCLNWLLSDEPLDLETELALGFLDHLLLGTPASPLRKILLESCLGDAIVGGGMEDELLQPQFSIGLKGVSEENIQKVEALIMSTLEKLAEEGFNMEAVEASMNTIEFSLRENNTGSFPRGLALMLRSVGKWIYDMDPFEPLKYQQPLAALKARIEKEGSKAVFAPLISKFILNNSHRVTIEMQVMLGPVLQLLYIHDHSFLIIRHASSTNLYVLFNQPDPEKASQDEALERENLEKVKAGMTEEDLAELARMTHELRLKQETPDPPEALKTVPSLSLQDIPKKPTQIPIEVGDINGVKVLQHDLFTNDVLYTEIVFDMSSLKQELLPLVPLFCEGQSLLEMGTKDLDFVQLNQLIGRKTGGISVYPLTSSKXGSDAPVSHIIVRGKAMSARTEDLFNLVNCILQDVQFADQKRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNNAGWISEQMGGVSYLEFLKDLEEKVEQDWSGISSSLEEIRKTLLSKNGCLVNLTSDGKNLKNSERYVGKFLDLLPSTSPVTSASWNARLPSTNEAIIIPTQVNYVGKAANLYGTGYQLKGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLLKTLDVYDGTSDFLRQMETDDDTLTKAIIGTIGDVDSYQLPDAKGYSSLLRYLLGITEEERQVRREEILSTSLKDFQEFANAIDAIKDQGVVVAVASPDDVDAANKERSNFFQVKKAL >KVH93282 pep supercontig:CcrdV1:scaffold_1397:3058:6732:1 gene:Ccrd_004667 transcript:KVH93282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MSSADEIMKEVPGLIRVFKDGRIQKFRLPILVPAGVDPSSGVNSKDVVFSPENNIFARLYIPKTTTPNHKLPLVIFFHGGGFIIESAASSNYHNFLSLVVAESNVVVVSVDYRLAPEFPLPVAYEDSWEAIKWVAQHVNGNGPDPWLNEYADLQNIFLAGDSAGGNISHHMAIRIGSDILEGLRFRGAILLHPYFWGKERVGNESDSIEAEVIGILDDLWSLVHPGGSGSDDPLINPGMDPKIAGMGCSKILVCVGGNDFLRERNWYYKQVLEKSGWKGELEVVEDEGEGHVFTQVTSTIQHEASVLKK >KVH88212 pep supercontig:CcrdV1:scaffold_1399:66775:88053:-1 gene:Ccrd_024398 transcript:KVH88212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDDFEPLFGEPNVEWSAATASQVTTPVRPFLFQIHAPDSSSLGVNVTDFFSNSFGAVRSIQQLEDMRDDTGIGGSWSEFLEYLVNSIKFGDVKLVLEGHRKSDGPESARLVAQKSKGMPRISVFLLRLVGTAANEVMARLSLDLYKAFKSSHKLLVQEQDGCDQLRKMLPAAEQEKSEHIQTHVDFPLHSKRHKIHDKVTSSSATTTFHDVSDKQADQNPSPMKASNRVVPAHRRIMVVFPFL >KVI09084 pep supercontig:CcrdV1:scaffold_14:947944:953099:1 gene:Ccrd_012543 transcript:KVI09084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGNYTSIQNQNASGSVPPAPDPPGQVSVKFNESTLQTFPPSAPQGKIAGGSGPPRDADDTFSKPSSGSSEQPSAGWLKVFTIAAYQPYFDVDTSDILERIKDSFLPFRGTFNEKTASNPDLYGPFWICTTLIFVSAAIGTFAAYLAHRISHKDWDYDIRLLTWSASLLYAYAVFVPISLYIILKYLSAPLGLIQLVCLYGYSLFIFIPAVFLSMIPVEMFRWVVAGVAGFMSAMFVASNLRTHIVSAGEKWFWIVAGIFLLQLGLSIVLELYLFSSSIFELIPLGQDTDTMGILGGFISIPNDTYLFHGGGAHWLEVKRMVPVELLHGKVSLDLILSDLWIIDA >KVI09149 pep supercontig:CcrdV1:scaffold_14:671551:672429:1 gene:Ccrd_012511 transcript:KVI09149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEKTLPPTPTPTPTQIQIYLRTPPPTVATIVPRCSEMETPTRRQPNRTGQQLPTNRQNLPPVAFAFGKCLKNHAASTGGYALDGCGEFMATPNSTPTDLTALKCHACGCHRNFHHREVNQLQSRMERIIECPYQPQQYHLHPPTPPPPSRLVAIANNTRGTLDSLSPPPIPSSYCPAPPHMFFAFNPGFSAPQPVDKNRPSIITTGSNRRRKKRFRSKFSEEQKAKMQEFAERIGWKMLKSEEETIVGFCNQIGVDKGVFKVWMHNHKNIIVRDNHHQNRRDDGANGSSSSS >KVI09089 pep supercontig:CcrdV1:scaffold_14:952734:955246:-1 gene:Ccrd_012544 transcript:KVI09089 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MVKICCIGAGYVGGPTMAVIALKCPDVQVAVVDISVPRITAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVCEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFKPDRVLIGGRETPGGQKAIKTLKDVYAHWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSQVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKTRFVNRVVASMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKALLSIYDPQVTEDQIQRDLSMKKFDWDHPLHLQPMSPTTVKQVGVVWDAYEATKDAHGICILTEWDEFKNLDFQRIYDNMQKPAFVFDGRNVVDSEKLRQIGFIVYSIGKPLDAWLKDMPALV >KVI09078 pep supercontig:CcrdV1:scaffold_14:50298:66634:1 gene:Ccrd_012467 transcript:KVI09078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFLSSAKSLLLSPIKHLIHDDFHDIFQTMTLIDRLLFIIIHGVDKSRIQWHRLPVFLGLIYLAIRRYLHEQYNLVNVGKTPVGVRFNPGDFPFRTDDGKFNDPFNAGAGSEGTFFGRNMPPVHQKDKLLKPDPMVVATKLLARRELIDTGKQFNMIAASWIQFMIHDWIDHLEETQQIELNAPEEVANQCPLQSFKFYKTKEVDTGFYDIKKAKSFRDGSAIYGSNSSKLHQLRTFEDGKLKIGKDGLLQHDDHGIPLSGDVRNGWIGLSTLQALFILEHNAICDTLKKEYHDLGDEDLYRYARLVTSAVIAKIHTIDWTVELLKTDMLHVAMRANWYGLLGKKFKDTFGHVGGAILGGLVGLKKPNNHGVPYSLTEEFVSVYRMHSLLPDQLFVRDVNSTPGPNKSPKLTKKMDMINLIGWRGEKELSNIGFTTQMVSMGHQACGALELWNYPVWLRDIVPQNIDGTDRPDHVDLPSLEIYRDRERNVARYNDFRRSLFLIPISKWDELTDDKEAIDTLREVYNDDVEQLDLLVGMAAEKKIKGFAISETAFLIFIIMASRRLEADRFFTSDFNKDVYTKKGFEWVNTTESLKDVLNRHYPEMTDRWMNSASAFTIMHGVDRSPIKWHGLPVFLGLTYLAIRRHLHNKYSLIKVGKIPVGVRFDPADFPFRTPDGKFNDPFNKYAGSKGSFFGRNIHPADWRKKLLQPNPMVVATKLLARRQFIDTGKQLNVIAVAWIQFMIHDWMDHLESTQQIEMKRPTGLGNQCPLKSFKFYKTKKEVQMPVFCRDGSAIYGSNSFSLNHVRTFKDGKLKIAKNGLLRHDEKGFPIAGDIRNSWIGVSTLQALFILEHNAICETLKKEYNELNDEDLYHHARLVTSAVIAKIHTIDWTVELLKTDTLHAGMRANWYGLFGKRFKDTYGHVGGPFWGGLIGMHSLLPDQLFVRDIKSAPGFNKSPKLSQKVDLVNLIGKKGENELSEFGFTTQMVSMGHQACGALELWNYPLWLRDVIPQNVDGTDRSSPVDLASLEIYRDRERNIPRYNEFRRLLFLIPISKWNDLTDNKEAIDTLHEVYGDNVEQLDLLVGMAAEKKIKGFAISETAFVIFLIMASRRLEADRFFTSDFNEIVYTEKGLEWVNTTESLKDVIDRHYPEITNKWMNSTSAFTVWDATPEPYNPIPIYLRIPH >KVI09124 pep supercontig:CcrdV1:scaffold_14:145961:157513:1 gene:Ccrd_012470 transcript:KVI09124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEKDAFYVVRKGDIVGVYKNFSDFQPLLYGPNVAVFKGYRLPKPAEEYLASHGLNNAIYSVGASDVQGELFGQLVPCPFQKPSKALATQVLDCWPVCKTLKKNTLGKKSSEDTLAMTYANEQPPSVKDKAGTKNPADNRIKKKVGSTSFSEGPQRKLPEIESFMETLPCSCILEFDGAAKGNPGPAGAGAVLRAIDGSLVYRLREGLGVATNNAAEYRAVILGLRYALERGFRHIRVQGDSKLVCMQVNGLWKTKTQNMTSLCKVAKELKDKFASFQICHVEREFNTEADAQANLGIHLQIGEVQEEVERR >KVI09142 pep supercontig:CcrdV1:scaffold_14:342958:346243:-1 gene:Ccrd_012482 transcript:KVI09142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MLLFFRPASVIRLPNGDSYGHPFDPTRVTQISWHPRAFLYRNFLTDKECDHLIKVAKDKLEKSMVADVKSGKSVESKVRTSSGMFLKKAQDDVVAGIESRISVWTFLPVENGEAMQILHYENGQKYEPHWDYFHDKINQAVGGHRIATVLMYLSNVEKGGETVFPHSEIKESQPKAEEDWSECAKKGYAVKPKKGDALLFFSLHPNATIDPLSLHGSCPVIEGEKWSATKWIHVRSFSHRRSRKQGCWDENANCAAWAVRGECDKNPLYMVGSADAPGNCRKSCKVC >KVI09106 pep supercontig:CcrdV1:scaffold_14:780397:781493:1 gene:Ccrd_012520 transcript:KVI09106 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MWRSRNIATILVMILGLASTTVKGMRFDLRSGATKCITEDIRLNAMTVGKYSIVNPVEGFSLPEEDRVTVRVTSPLGNNYHYADRKASGSFAFTAGEAGDYMACFWAAKQSPTKIMSIDFEWKSGLAAKDWSKVAKKGQVEVINKHKPLSYHQRHCLLCVLVYMMWDALMMELELRKLYDTVTTVHEEMFSLREREEEMQDLIRSTSSTMATFTFFSLVICLSVAGLQLWHLKSFFQRKKLI >KVI09100 pep supercontig:CcrdV1:scaffold_14:833919:836949:-1 gene:Ccrd_012528 transcript:KVI09100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase, AroQ class, eukaryotic type FNFQFRCLFILLLISLCIRFLFSYLYALLFSVIASSNDYFLPSQMEAKLCGNQLFPAISPNSFSKSSRFIVPVALPTWKNWNFRAPISGVSKNGIRSIQVTASLGLKSSARIDESEMYTLDGIRSSLIRQEDSIIFSLVERAQYCYNEDTYDPKAFFMDGFQGSLVEFMVQETEKIHAKVLHPSADLININSKIWDMYFKNLLPRLVKKGNDGNCGSAATCDSICLQVLSKRIHYGKFVAEAKFRASPDDYEAAIRAQDRGKLMDLLTYPAVEEAIKKRVETKTKTYGQVVDVGSMEVEPEPVYKIKPSLVADLYGIWIMPLTKEVQVEYLLRRLD >KVI09099 pep supercontig:CcrdV1:scaffold_14:400488:405458:-1 gene:Ccrd_012488 transcript:KVI09099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic porin/Tom40 MVKGPGLYTEIGKKTRDLLYKDYQGDHKFTITTYSPTGVAITSSGTKKGELFLADVNTQLKRNNITTDVKVDTNSNLSTTITVDEPAPGLKAILSFKVPDQRSGKLELQYLHDYAGICTSIGLTANPIVNFSGVLGTNIGAIGTDVSFDTKTGNFIKYNAGFSFSNADLIAALTLNDKGDTLNASYYHIVKPLTNTSVGAEVNHSFSTNENTITVGTQHSLDPLTTVKARVNNFGKASALIQHEWRPKSLFTLSGEVDTKAIDKSAKFGLALALKP >KVI09115 pep supercontig:CcrdV1:scaffold_14:552446:560731:-1 gene:Ccrd_012502 transcript:KVI09115 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MTETAKGMPAQAEKKKEQSLPFYQLFLFADKFDYLLMILGSIGAIIHGSSMPFFFLLFGQMVNGFGKNQSDLSTMTHEVSKYALYFVYLGLVVCVSSYAEIGCWMYTGERQVSALRKRYLEAVLKQDVGFYDTDARTGDIVFGVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWKLALLSIAVIPGIAFAGGLYAYTLTVVLYQQAIAQVRTVYSYVGETKALNSYSDAIQHTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGRAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLLEIIKQKPTIVQNSADGKCLTEVNGNIEFKEVTFSYPSRPDVIIFRDFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQILLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATMAQVEAATSVANAHSFITLLPSGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDSGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVEMGTHEELISKCGAYASLIRFQEMAGNRDFSNPSTHRTRSTRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDRKNPAPSGYFFRLLKMNAPEWPYSIMGAVGSILSGFIGPTFAIVMSNMIEVFYYTNPARMESKIKECVFIYVGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEDHNSSLVAARLATDAADVKSALAERISVILQNMTSLLTSFVVAFIVEWRVSLLILGTFPLLVLAHFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTIAAFNAQDKILSLFSDELRVPQKQSLRRSQFSGILFGISQLALFASEALILWYGAHLVSQGVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAIGSVFSVLDRSTRIDPDDPDAETVETVRGEIELRHVDFSYPSRPDVIVFKDLSLRIRAGQSQALVGASGCGKSSIIALIERFYDPTAGKVMIDGKDIRRLNLRSLRAKIGLVQQEPALFAANIMENIGYGKDGATEAEIIEAARAANVHAFVSGLPQGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDNIGVVQDGRIVEQGSHGELVSRGGDGAYCRLLQLQQHRI >KVI09154 pep supercontig:CcrdV1:scaffold_14:697862:699652:1 gene:Ccrd_012514 transcript:KVI09154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMGSLAFVNDHTTIETAALLNNHHRPKFPLFAYYRPRKSLLDKTFVCNNSNVAVGGRVWRIKATGEKSSGGDATGDTDEALQAVIQKSKKLLAKQRDLLQQIAERRDIITSINNTTINSEIEEISSKEEESFLELDSNLPDDNYTADNPSSSSVDPVKYPLPDNLHYDEEASESGINLPRK >KVI09077 pep supercontig:CcrdV1:scaffold_14:31275:31643:-1 gene:Ccrd_012464 transcript:KVI09077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCDRLTFSIGSNWWSGIADDAKTDVNRCWYKGLSPFKKIKEWSDLIVRPKLKALIRRFNKQRCRQGNFQYDLTSYLLNFDEGPGHSEGNHRLLRNFSTTYTSILIPAKSSIKLGDDRPAFT >KVI09141 pep supercontig:CcrdV1:scaffold_14:354172:356172:-1 gene:Ccrd_012484 transcript:KVI09141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MDSCSLTTSSIPYITFNLQNLWPSKSHRKKLRHRPLFVVISCSSTKDIWRKTPKSRILRPSSSFPQPYRRNPEVGHLDHSVDMDELVSSINQTTSEHELFALLSPYKSRQLSIRFMVTVLSRETDWQRSLALLDWINEQALYTPSVYAYNVVLRNVLRAKQWEIAYGLFDEMRQRALSPDRYTYSTLITHFGKEGKFDDALSWLQKMEHDHVSGDLVLYSNLIELSRKLCDYSKAISIFSRLKQSGICPDLVAYNSMINVFGKAKLFREAQVLIQEMRSVGVKPDTVSYSTLLSTYAENQKYLESLSVFSEMKEVNCLPDLTTCNIMIDVYGQLDMAKEADRLFWSMRKMGIEPNVVSYNTLLRVYGEAELFGEAIHLFRLMQRKDIDQNVVTYNTMIKIYGKTLEHEKANNLIQEMQNRGIEPNAITYSTIISIWDKAGKLDRAATLFQKLRSSGIEIDQVLYQTMIVAYERAGLVGHAKRLLQELKRPDNIPRATAIMILAGAGRVEEATWVFRQAYNAGQVKDISVYGCMIDLFSRHRKHASVIEVFDKMRQAGYFPDSNAIGLVLNAYGKLRDFEKADSIYVEMQDEGCVFPDEVHFQMVSIYGAKGDFERMESLFERLDGDPNINKKELHLVVASIYERANRLKDASRIVDRLKDIGLLRS >KVI09136 pep supercontig:CcrdV1:scaffold_14:886076:892336:-1 gene:Ccrd_012533 transcript:KVI09136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein WINAGFRREKINSDNDEVQQCYVCSQFGVPHFHSISCDPSHQPAWEALAGSSLTPTKSRQVTDQNRRSSPARVLDPRSESVLQWSRVVLLARYAALAVDPFFFFAVALMDDAEGGGGACLYIDGRVARWVSFVRTCVDAMLLCHILLQFRLAYVSKESLVVGCGRLVWDARDIAFHYLGSLKGFWLDAFVILPIPQVVYLWVAARLVREEKIRMVMVVVQVVFMVQFIPKVYHCYCLMQRSRQVTGYVFGSIWWRFGLSFTAYFLASHASGGYWYALAIQRVVSCLVKQCHAGKICSRLILSCQNNSTPDQTPSVWMKMDLFLMESMSXPFPLFQLILTMGNILEPTSQILEVILASYMVLVGLLLFTTLIGNIQVINYFSFLHMLILKNVFLYALAGRTTKMQVRLRDIECWMKRRQLPSELRKRVRHFENQRWSVMQGEDDMNMAPLFESMDDVILDNICDRVTFLVYSKGEKIIREGDPVQRMVFVVHGRVKRSQWLSRGMVATSILESGTFFGDELLSWCLRIPFIDRYPAATATFTCVKATEAFALDAKHLRYITNHFRYTFVNEKTKRTARYYSSNWRTWAAINIQLAWRRYVSRMRRGAAIYRVRNSDRLRHYAAMFMSFKPHDHLE >KVI09147 pep supercontig:CcrdV1:scaffold_14:485447:492087:1 gene:Ccrd_012498 transcript:KVI09147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKTVLFGKKSSKSNLVKDATSEIKTSITGKAPPNDIGADSMVISSPVRPVLNSSGEQTELEKSSSANLVPDTAEHVRSPIELNAADGEELIKLEQAATKAQAAFRGYLARRAFWALKGIIRLQALVRGHLVRRQAVATLSCMRAIVEFQALVRGRRVRLSGNGQVQQKYVPRQLVGKKRAELLLVGSLHTTMALSFQYEPAEPNSVRSWLERWSSSCFWEPLPQLKKPLDAKPKRKQLKLQAEETETVKPKRSVRRVPAANNDNSLSNSSENEKSRRTVRKVPNHQPESVQEQSLNELEKVKRNLRKISLSASLAPEKSEIKSEIDTEKPPDGLNKVLSSPSPTPELPEQETDHPFEKINGSYVESVNQPEPEEAPPVQPLEDKPLDIQQDDHPTIEPSSPETNGKFENEPPTNVELNGKEDHGSKENQKTRRRKSLATKQENHESISQNTPALPSYMAATESAKAKLRAQAAAKAAEEGGAENGFSRRHSLPSSTVKPSLHSPRVQKPNSKGGSKPNKSQKKWSRQGGEGEFAGMRSANPWREEVRLC >KVI09104 pep supercontig:CcrdV1:scaffold_14:824505:828902:1 gene:Ccrd_012526 transcript:KVI09104 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MGGCVSSHAKKSRKPWHRHTKKIRKHAKMMKRNSNAGKSIFVRTTTSCKNITSDSSFNLTQTEWHHSQFDASVICREESWFDSLSKIDESESDDDFVSVHEDGFRNSSSGQVLQYETSSCIAENKCPQIQIRKSAVIRLSFKRTSLDREETSEICSARKYLYRPRPGLLIPRCTDEKPRPGCWSAIDPSSFTLRDDNFFKYKTKSPAPSYCPYTPIGVDLFTCPRKVNHIGQHLELPSVKGDGKIPSLLIVNIQLPTYPTAMFNGDSDGEGLSLVLYFKLSETCEKDVSSRFQESIKSLIDDDMEKVKGFAKESVVAFRERLKIMVGVLNPEELVSSSTERKLLHAYNEKPVLSRPQHNFYQGSNYFEIDLDIHRFSYIARKGLEAFRERLRNGILNLGLTIQAQKAEELPEKVLCCLRLNKIDFVNHGQIPSIVTHS >KVI09082 pep supercontig:CcrdV1:scaffold_14:89533:121600:1 gene:Ccrd_012468 transcript:KVI09082 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MRANWYGLLGKRFKDTFGHVGGAILGGLVGLKKPNNHGVPYSLTEEFTSVYRMHSLLPDQLFIRDIHSTPGPNKTPKLIKKVDMINLIGKNGEKELSKIGFTTQMVSMGHQACGALELYNYPTWLRNIVPQNLDGTDRPDHIDLASLDIYRDRERNVPRYNDFRRSLFMIPISKWDDLTDDKQAIEMLHEVYDDDVEQLDLLVGMAAEKKIKGFAISETAFIVFLTMASRRLEADRFFTSDFNEEVYTKKGFEWVNTTESLKDVLYRHYPEMIDRWMNSTSAFSVWDATPEPYNPIPIFFRIPKMTLTDKFLFLNVHGVDKSGIGWHRLPVFLGLSYLGIRRRLHDKYNLVNVEKTPVGDGFNPRDFPFRTADGKFNDPSNEFAGSKGTFFGRNMPSVDQKDKLLKPDPVLVATKLLARRELKDNKKQFNMIAASWIQFMVHDWIDHLESTEQIELKAPAEVVGQCPFKSFKFYKTKNVDTGLDDIKKGHLNIRTSWWDASAIYGSGSSELQQVRTFKDGKLKIAKDGLLQSDNGGLRIAGDIRNNWIGLSTLQALFILEHNAICDVLKEEYGELDDEHLYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAAMRANWYGLLGKRFKDTFGRFGGAILGGLIGLKKPNNHGIPYSLTEEFTSVYRMHSLLPDQLFIRDVNSTPGLRKSPKLSKKIDMLNLIGNKGEEELSEIGFTTRMVSMGHQSCGALELWNYPLWLRDVAPQNVDGTDRPNHVDLASLEIYRDRERNVARYNEFRRSLLLIPISNWEDLTEDEEAIKTLREVYGDDVEQLDLLVGMSAEKKIKGFAISETAFVIFIIMASRRLEADRFFTSDFNKDVYTKKGLEWVNTTESLKDVLDRHYPEMTDRWMNSRSAFSVWDDKPEPHNPIPLYFRVPNNKGQPLEAWHGAQLGRVSDVFGDGEELGPRLCYLLHCKGDTCRREVSKVIMAAFFWSIWNQRNRKTFNEKSKSDKKMIHGVDKSRIGWHHLPVLLGLVYLGIRRHLHNRYNLVKVGTFNDLIYEDASGHQGTFFGRNMPPVDQKDKLLKPDPMVVATKLLTRKQGSKQESKQESDKKQLNMIAVSWIQFMIHDWIDHSESSEQIELKAPVEVAGACPLKSFKFLETKEVPTDSCEIKKVRTFKDGKLKIGEDHLLQHDNDGLPIVGDIRNSWIGVTTLQALFIREHNAICDALMKEYQDLDDEYLYRYARLVTSAVIAKIHTIDWSIQLLKTDTLVAGMRANWYGLLGKRFKDTFGHVGNAILGGFVGLKNRNDHGVPYSLTEEFTSVYRMHSLLPDQLSIMDVNSTLDHNKSKKLTKKFDIDMIDLIGKKGEKELSKFGFTTQMVSMGLQECETLGLFNYPTWLRDIVPQEVDGTDRPDHIDLASLDIFRDRERNVPRYNEFRRSLFMIPISKWDDLTDDGEAINTLREVYDDDVEQLDLLVGMAAEKKIKGFAISETAFFIFLTMASRRLEANRFFTSDFHEKVYTKKGLEWVNTTESLKDVLDRLCPGMTDRWMTSTSAFSVWDNEPEPYNRMPIYFRVPK >KVI09086 pep supercontig:CcrdV1:scaffold_14:923864:930173:-1 gene:Ccrd_012541 transcript:KVI09086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MQLHFSPSMRSVTISSSNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPKLLGRGDDSERLVKDVYNILNQVNTEEVPVGLKIPDNFTQLVSYMKSNKYTANDFAIILKQMMERSEREIRESKFQELMNKHFAASSVPKGIHCLSLRLTDEYSSNAHARRQLPSPEFLPVLSDNSYYHFILPTDNILAAAVVVTSAVQSSLTPEKIVFHVITDKKTYAGMHSWFALNTISPAIVEVKGVHQFDWLTRDNVPVLEAVENHNVIRNYYHGNHISGANLDDTTPRSFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWEIDLGGNVNGAVETCKGEDSWVMSKRFRNYFNFSHPLVAKSLDPEECAWAYGMNIFDLRAWRKTNIRETYHAWLKENLRSNLTLWKLGTLPPALIAFRGHIQPIDPSWHMLGLGYQKNTSIESVKKAAVIHYNGQSKPWLQIGYEHLRPFWSKYVNYSNEFVKNCHILES >KVI09108 pep supercontig:CcrdV1:scaffold_14:784862:795932:-1 gene:Ccrd_012522 transcript:KVI09108 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTQYVPWWWPMMKTNKYDLTPILVAIFVPIGVAVLWYRSTLSRCLPPGPRNLPIVGYLPFLDPNLHTHFTNMAHSHGPIFKIWLGIKLYVVINTPELAKTVVRDQDETFANRSLTIAASIIAYGGQDIAWSNSNSSWRNLRKILVHEVLSNKNLEACSSFRTDEVRKMIKNVYSKIGTRIDVKEISFFMIANVLTTMVWGKDNHLGAELQMVVSKIVEMLGRPNLSDFFPFLTWFDLQGVERESKVHFKELDRVFTSIIDDRIKLNSERLDVGVGHEGKKDFLQILLELKDQKDATSLDITKIKALLTDIMVAGTETTTSVIEWAMTEIMQNRHVMKRVQEGLAEVVGPNNIVEESHLSKLKYLDATVKETLRLHPVVPLLVPRSPSQTCTVGGYTIPKGSTVFVNVWAIHRDPRNWDNPLEFDPERFLTEEGMDKYDFKGNNLKFFPFGSGRRLCPGVPLAEKMGMYILASFLHSFDWSLPKGEEHDFSDTFSIALKKRKPLVAIPSQRLRDSPWWQVMSTHNHNLTPVLAAIFVLIGAAVLWYRSTLSSSLPPGPRHLPILGYLPFLDPNLHTHFTNMARSYGPIFKIWLGSKLYVVINTQELAKVVVRDQDETFANRSPTIAALAMSYGGQGIGWSNNNSSLRNLRKILVHQVLSNKNLEESGSIRRDEVRKMIRNVYSKMGAKIDINEISFSMISNILTTMAWGKDNHFGVELQIVISKIVEMIGRPNLSDFFPFLKWFDLQGVERETKMHSKNLDRLLSNIINDRIKSNSESLDVAARHEGKRDLLQFLLELKDQKDTTSLDIDKIKALLTDTMIAGTETTTSLTQWAMAEIMHNRNIMKRVQEELADIVGTSNIVEESHLPKLKYLDATIKETLRLHPGVPLLIPRSPSQTCTVGEYTIPKGSTVFVNVWAIHRDPRNWDNPLEFNPERFLTREGMDKYDFKGNNLKFLPFGSGRRACPGVPLATKVQTYILASLLHSFEWSLPEGEEHDFSDVFSIALKKRKPLVAVPSQRLSDVSLYM >KVI09130 pep supercontig:CcrdV1:scaffold_14:916408:917162:1 gene:Ccrd_012539 transcript:KVI09130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSPKCPSTPSQETPPPIHPLRMNSPTPAGSSAKIVTPDRLMVPKAFKYPEMYKSPTDQIMSPVSKGLLARTKSKKSSGLLPPSSTRNQQHKIQASKFQDPAAIIT >KVI09081 pep supercontig:CcrdV1:scaffold_14:2840:6986:-1 gene:Ccrd_012462 transcript:KVI09081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MATRRLSSVLSSSYAPALLRSLGRYSSRGTGIARLSTAAALEEPITPHVQVEHTKLLINGQFVDSASGKTFPTLDPRTGHVIANVAEGDIEDVNRAVSAARKAFDEGPWPKMTAYERSRIMLRFADLLEKHADEITALEVWDNGKPYDQAAADEIPLLIRLFRYYAGWADKIHGLTIQADGXHHXXXXXXPIXVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTAEQTPLSALYVSKLFLEAGLPPGVLNIVSGYGPSAGAALASHMDVDKLAFTGSTETGKIVLGLAAQSNLKPVTLELGGKSXFIVCEDANVDEAVDLAHLALFYNQGQCCCAGSRTYVHERVYDEFVEKAKARALHRVVGDPFKKGVEQGPQVDSEQFEKILKFIRSGVDSGATLEIGAKEEIFGPVQSILKFKDVNEVIRRSNASPYGLAAGVFTQNLDTANTLTRALKAGTVWINTFDVFDAAIPFGGYKMSGHGREKGIYSLSNYLQIKAVVTRLKNPAWL >KVI09157 pep supercontig:CcrdV1:scaffold_14:766539:779701:-1 gene:Ccrd_012519 transcript:KVI09157 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS, C-terminal MFIIPPESSPLNPHRTMKFGKEFASQMVPEWQAAYMNYNHLKILVKEISIFRRLQQNGSPPSSQANPPPPLQGSSMKKKVSLHRAFSGLTNSNPDNKDKEDEVILVSAMHQSSEENYQTIFLRSSEDGGEAELHFFRRLDDEFNKVINFYKAKVGEMVNEADELSKQMNALIALRMKLEDPAFCSSSPVFSSRLFDEEQIREVIRETKMHSKRRTGSDHRIDKEHEMVSLGVLNHVKINVASESPISSLKHAFSSSKSGVSFSKRELRDAERKLKQAFIEFHQKLRYLKSYSFLNRLAFSKIMKKYDKVTSRNASKAYLEMVEKSYLTQSNEVAKLVESVEAVFIEHFSNGNRSQGLKMLRLKAKTDKHRITFFMGCFVGCSLALVVAIVLITHARKLLKSDGRDQYMTNVFPLYSVFGYLVLHMLMYAGNVYYWKRFRINYSFIFGFKPNTELGFREILLISSALSVLALAAVLSNLEMDMDESIKSFRTVTELLPLGLVIVVLLIAVCPFNIVYRTNRFFLITCLWHCICAPLYKVTLPDFFLADQLTSQVQLLRNLQFYICYYGWGDYKKRESTRCQGALYNNVYLVIAIIPYWIRCAQCLRRLFEGEDSSQGVNALKYLSTVASVITRTFYAQKKGLTLKIMAASTSTVATVFNTYWDLVCDWGLIRRNSKNPWLRDRLLLPHRSIYFLAMVFSIRDSGERDTKTSLDANRVRFSRRAVPSSNGINRYGRESGDHSPWCMELLQAGERTFEQRRKISSLQICTITFYISFDSNWEKPNIQMKFGKEFTSQMVPEWEGAYMNYSHLKTILKEVLIFRRWKQKQSPPSPFTPRKRNLLKKSTFYRAFSGLTNRHSNYSNNDDEDEAILVSEMQQQELPSAEGESYQTLFLRSSEEGAENELVFFRKLDNEFNKVIHFYRGKVEEVVVEAEDLNKQMDAFIALQIKINDPNFQSSSPSPSSNSGSLPLEEIQELEGRPERDQQKRVSLEILNHVKINVIPESALSTLKNIFDGTKSDLSFSTGELRNVQMKLKQAFVEFHRKLRLLKSYSFLNQLGFSKIMKKYDKVAKLMERVEAAFIKHFANANRRQGMRDLRPRAKRDNHRVTFFVAVISNLEMEMDERTKSFQALTELVPLSLVIVVLLITFCPFNIIYRTNRFFLIVCLWHCVCAPFHKCLRQWWERKEYGQAANGLKYLSTIVAVVTRTIYVQRPGLAIRIIAASSSVIATVFNTYWDMVLNVILRLAWMQTVLDFHETPTLHRSTVIFIFAGLEIIRRGIWNFFRLENEHLNNVGKFRAFKSVPLPFSYEDGDKEL >KVI09091 pep supercontig:CcrdV1:scaffold_14:125829:131740:-1 gene:Ccrd_012469 transcript:KVI09091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MVLVAKYEEEGSIFRKTDVVDDHDGRRLLCMGGGKDGRKIHVGDCALFKPPHDSPPFVGIIRRLIVGKEDNLNLSVNWLYRPADVKLVKGASLEAAPNEVFYSFHQDEIPAASLLHPCKVAFLRKGVELPSRISSFVCRRVYDIESKRLWWLTDQDYINERQEEVDQLLNKTRVEMYGALQAGGHSPKPLNGPNGTTQLKSSTDNIQNSSSMPSQVKSKKREHGVHSSDSVKRERLSKADDADSAQLRPEDTLKIEIAKITDKGGLVDFEGVEKIIRLMQPETAEKKVDLACRIMLVDVISLTESFDCLGRFVQLRGLLILDEWLQEVHKGKIGDGSPKGSDKSVEEFLFALLRALDRLPVNLHALQTCNVGKSVNHLRSHKNPEILKKARSLVDTWKKRVEAEMNIIETRSGTRRGGSWPNKPMMPEVSPMGNRRIGGASEGGAKISTPQPSVLKAQQGKHNSGEAIVKSPESPSTIKPLAPVAAGTGSADVPPVTTKEEKSSSSSPSANNSHSCSSEHGKVGASCKEDAPGSTSGCINKISSGISHSRKSINVVHGSAVPGVQKEGGLGKSVDRNFVSGKGSPIRATPERGADTSFADNINNQRLIVRLPSTGPSPARTGSGGSVEDASATFGKSSLVHSEKQDHLDRRTRGKGDAPPGNNLLAMSTNSSQGKDGLVGFDDVKKGIIIPGDEHGRDGEIAERLTEASKATGSASGGTLKSTKSYEASYSSINALVESCAKFSEVNASAPVGDDVGMNLLASVAAGEMSTSDASPAGSPENKRALPEDTSPRNDAKSRQSIENGCQSEDKLKVTNGHVMMEQVSSVGCLPAQGGSQQQVLSAVNHICTDGKVASFVDSSVAGLPQNGNSVLVAPEAKPAALVADTSALLPSIESTGTGKEGDEVFQSHDGRKFSPNKLRSYHFPNLKPNNSSPLSDEDKNAGSALEKATENKRVCSDATINAKVETLLNDESASWSSEKHEDEKKLVLKVSSGSNVLLQKEHSKGSELPTTSCGYVGLGPKAEEAEDKKMGSHAEQSEKANVDPDSSVLLQTSELAQESIDKNEVVVSGGSAPSDKSPVVAVQQVRTCLKQSDVPEGDISEQPASRGDFSTISTPVSETVVKLDFDLNEVLPSDDGIQGDVEMPSNPGRFSAVHTPCSLPSAGSVMTGNRPASITVAAAAKGPFISSENLLRGKTELGWKGSAATSAFRPAEPRKVIDVPASDNKQARGFLDFDLNVGVVEDVGNSGPSGGGLDLDLNACEESPDVGHLSVGISRPAIPQLPPRSLLSGRFSNLEPNSSRDFDLNNGPGVEEIGGESIPLTRNGIQFLSAVPSMRMNNMEMGNFSWFPPSSTYPAITVPGVLPGRGEQSYPMVLGASSSQRMLSPAGTSFNPEIFRGPVLSSSPAVAFSSSTPFQFPGFPFETNFSVPSNTAAYVGPPGGGGLCFPTIPSGGYRPSPYVMSVGGGNNDNRKWGSQQQQQGQGGLDLNSSGPGGGMTERGDDSEQLKMFHQQLAGGLKRKEPVDGWDGDHRNSSYKHHPSWQ >KVI09092 pep supercontig:CcrdV1:scaffold_14:434980:442087:-1 gene:Ccrd_012494 transcript:KVI09092 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein CISYTDSFCINPTNWDFGASWVYRISISSEKNQENKRKNRNLMACNHPLPSTSTNHDSTTKVTDMDMDSLVHCASHLTLQDLSNMAISCKFLNRVVSSDSIWRRLFRERWPQQEAYIISQTSGVREAYMARYRALQQFKFFDPLVCDVYIGAKSSDLLFSKDSIIFSQGPLIQILDIDKLLEGKVVFAPLNDHRARITSMRLFPLKETSLFRNEAQINENVLVTSSCDHSIRLWWKGSCQRCFRGHNGPVTILSDKLLGDGTGKVFASGGEDSTVRLWSLSSSGKRGQHALKGTLYGHEKPIVLMSVTGHRASLIVSMSKDSKVRVWDASISASDRNSSCVGTTSVSGVPVGMKCHDSLIYIAAGSSIEAVDLRTMKRVFRTSTHQGKLYSFDIMPSNFLACTGGLGRAMLWDIRRSTGTTEACPMAELDGHIGPVTHLHMDPYKIVTGGPKDPNVNIWQADNGNQTNILISSPLDNAGCSGLAADGFRIVTAGYNQEEGVLRFRDFSNAVSSGSSTDQITGSKFWCPSTSGDADDSDG >KVI09155 pep supercontig:CcrdV1:scaffold_14:747527:748099:-1 gene:Ccrd_012517 transcript:KVI09155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSFMILELIQYFFLQMVLNRFARILKFFSRCKFFIHRQLGFSLEHSKIQVEKKNLDSRLSKSHLSFTNRIIVEDGSVRRDEMELVMANLGVFGHPEDQKLPEVLTSADLFNIFEEEEPKLEEVKEAFDVFDENKDGFIDAREVQRVLCAIGMKERAAMEDCKKMIRAFDENADGRIDFSEFVKFMESTCF >KVI09121 pep supercontig:CcrdV1:scaffold_14:259315:266161:-1 gene:Ccrd_012478 transcript:KVI09121 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MNVSIFGGAYVVGFILLWRLAIVGLPFILLLVIPGLIYGRVLMSLSRKIREEYNKAGTVAEQAISSVRTVYSFVGENKTITEYSSALEGTVKLGLKQGLAKGVTIGSNGVVFAVWSFLSWYGSRLVMYHGANGGTVFAVGAAVAIGGLSLGSGLSNVKYFSDAIAASERIREMIKRVPEIDSDSMEGEILQEVSGEVEFKNVNFAYPSRPESVIFKAFNFKVPAGRTVALVGGSGSGKSTVIALLQRFYDPQGGEIYVDGMSIDKLQLKWLRSQMGLVSQEPALFATTIKENIIFGKEDAAMEEVIEAAKASNAHNFISQLPQAYDTQVGERGVQMSGGQKQRIAIARAIIKSPRILLLDEATSALDSESERVVQEALDQASVGRTTIVVAHRLTTIRNADVIAVVNNGQVVESGSHNELIQLENGFYTSLVRLQETKPHDEPTNHFPLGPSSAFDVHNTSSRRHSIVSRSSSINSVNHGENPNLANEEFPVPSIKRLLAMNAPEWKEALFGSVGAVLFGAVQPVYAFAMGSMISVYFLADHDEIKHKTMIYALCFAGLGVFSMVINIIQHYNFAAMGEYLTKRVRERMLSKILTFEIGWFDQDENASGAICSRLAKDANVVRSLVGDRSALLIQTFSAVMIACTMGLVIAWRLAVVMIAVQPIIIVCFYCKRVLLKNMSQKAMKSQDESSKLAAEAVSNLRTVTAFSSQARILKMLQETQKAPMRESIRQAWYAGIGLGFSQSLMACTWALDFWYGGKLISDGHLGAKALFQTFMILVSTGRVIADAGTMTNDLAKGSDAVRSVFAVLDRYTLIEPEDPDGKKPEIITGHVELRDVYFAYPSRPDVMIFNRFSINIEAGKSTALVGQSGSGKSTIIGLIERFYDPMKGVVKVDGRDIKSYHLRTLRKYFALVSQEPTLFAGTIHENIIYGASEEVSESEIIEAAKAANAHDFIAVLKDGYDTWCGDRGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQDALERMLVGRTSVVVAHRLSTIQSCDTIAVLEKGKVVEKGNHGSLLGKGASGAYYNLVTLQKPNSANH >KVI09133 pep supercontig:CcrdV1:scaffold_14:907071:908793:-1 gene:Ccrd_012536 transcript:KVI09133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAGSLTTSVVFHIPALGFSECYHTRLQISRFSEILSSDIKSNSWRAKPKVPPSSANSRGKRLGKSVPKVSIAYGSIIKEHPKWDDEEEDVVDDISEPSIVSENRLQFLEERDEKNLSWRLLKLSRADKVRSALELYASMDFMGLRPESHAFNALLSCLLRKEEVDDALQVFESMKSSGRTSSHSYNLIIQGVAKARGFEAAFGMFEELVADDDHKKKVDQVLFNMMLTLCRDEKDWVKSERIWWKMNENGIVGNSVTYRLLVTIFLAFGQYGLAIEAYLEMVRNRVQPDARTMEAAIVAFVKDGRWDFALDVFRGMMKRKENPNITTFNALIHSLGNNGQVNLAFKVYDCMRSLGHVPTSYTWNALLVALCRAKQYGDALRLFDRVQQETPSALGLHLYNSALTACKRLGSWKRALQILWEMEDLGLSVPVTSYCRVIGACEVGNEPKVALQVYQHMVHQKQTPDTLTLLSLIRCCVWGSLWEEMHEILKLVPPNAHLYNAAVQGLCLRDKTESAKKLYQEMRELGLSADHKTRAMMLQFLPRS >KVI09097 pep supercontig:CcrdV1:scaffold_14:415070:420910:-1 gene:Ccrd_012491 transcript:KVI09097 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1747 MADGHLFNNISLVGRGGTNPGQLRVHSRGLLWKKQGGGKAVEVDKADIVRITWMKVPRSNQLGVQIKDGLRYKFTGFRDQDVSSLTNFFQNSCGIAPEEKQLSVSGKNWGELDINGNMLSFIVGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEMSFHIPNSNTQFVGDENCPPAQVFRQTITNIADVGAGGEEAVVTFETITILTPRGRYNVELHLSFLRLQGQATDFKIQYSSIVRVFVLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVMQFETDYVVESTLMMNEELYATKYKDKLEPSYKGLIHEVFTMILRGLSGTKLTRPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLVLHDEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGGGVQTTDGVAAVLRDEEDDAVDPHLERIKNEAGGEESDEEEDDGSPTDDSGGDDSDSASDGEKERIPKKETKKEAIASKTSSTKKRGKGGDDDSLKKKKQKKKKDPNAPKRAMSGFMFFSQLERENVKKDIPGIAFKEIGRVLGERWNKLSAEEKAPYEARAQADKKRYQSEISDYKNPQPATTNLVDESDSN >KVI09114 pep supercontig:CcrdV1:scaffold_14:576767:583197:1 gene:Ccrd_012504 transcript:KVI09114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin homology MSSQKKRNFQIEAFKHRVVVDPKYAEKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVSTMTLHLKEIATSVEASQGPLFLEELNRKWMEHNKALQMIRDILMYMDRTFIPSTHKTPVHELGLNLWRDNIIHSSNIQIRLKDTLLEIVQRERGGEVINRGLMRNIVKMLMDLGPSVYQEDFEKPFLEVSANFYQGESQQFIECCDCGDYLKKAEKRLNEEIERVSHYLDAKSEVKITNVVEKEMIESQMSRLVHMENSGLVNMIVDDKYEDLGRMYNLFRRVPNGLFLIRDVMTSHIREMGKQLVTDPERLKDPVDFVQRLLDEKDKHDKIINLAFNNDKTFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVSEEDVEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSSKSVSDDAERSLILKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYEAMGPVLADGPTLSVHVLTTGSWPTQSSTACNLPPEILSVCDKFRAYYLGTHNGRRLTWQTNMGSADLKATFGRGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQAVEIPTMELKRCLQSLACAKGKNVLRKEPMSKDISEEDDFFFNDKFSSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKARRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDREDRKLYRYLA >KVI09088 pep supercontig:CcrdV1:scaffold_14:972539:974770:1 gene:Ccrd_012547 transcript:KVI09088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARTVKDVSPHDFVKAYAAHLKRSGKMELPEWTDIVKTATFKELAPYDPDWYYIRAASIVRKIYLRGGLGVGAFQRIYGGHKRNGSSPPHFCRSSGGLVRHILQQLETMKIIEMDTKGGRRITSNGRRDLDQVAGRIVLAP >KVI09075 pep supercontig:CcrdV1:scaffold_14:358725:359354:1 gene:Ccrd_012485 transcript:KVI09075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFQNDLIIRLKNGKDKYLIAEQDKESVTKCRDGLTKNAQWTVEVNDHESLYLKSCYGKYLTASNMPSIPGIVAKNLRVIQTLPEKRNTSHLWLPVNQSDSRDPLTLSLKTLHGTYLQANSGPPPLGNLITHDLLRKDGPNPVNKKILWQIEVVDAPSDQWKQSESTMSKMIVGVKSLMNEIHKDKEKNKNLKDEKGTTKGLNPLHVL >KVI09103 pep supercontig:CcrdV1:scaffold_14:818193:823555:1 gene:Ccrd_012525 transcript:KVI09103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF872, transmembrane MASRRKINYTRLADEDNDYIGKEGYDPRFDYSPATLDEVPWKSIALALFLLFLGCGLLLLSFFIFTGHMGGDRSQAYGLLGLGVLTFLPGFYETRIAYYSWRGAQGYRYAAIPGY >KVI09117 pep supercontig:CcrdV1:scaffold_14:513925:515271:1 gene:Ccrd_012500 transcript:KVI09117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCASSKQRPLCRNCRGPYAPMSRSYSMHVHHPPQTDGDSYHVVALTSTTLGYLQLDPSNSNEVRSVKNPGVVQIRPEPVRQNEYEKDSKEFAVGVIDAKTWSKMIEEKIPKFVPRTPIRTPPGEPETINAWELMEGLDDTSPLRPKSTTNHIRSFSFHVNPNSFTSPLDESATESQGKDPQTKISDKPSCLQTTEHNSDSNSIFNINDTSVASDFDTEVITAFRNSLELLPPANPFHLKPLVNEKEPSSDGYGDDRLEITNTKKSLQNGFASDGKNNSLPRVKEKLVLYFTSLRGVRKTYEDCCHVRNILKATGVRMDERDVSMHSGFKEELKELLGGSIGGGLPKVFIGNEFVGGATEIRRLHEDGQLEKVLEGCETMDAGGFGGCGGCEACGDIRFVPCETCSGSCKIYYEDESDDEEKEESDYGFQRCPDCNENGLIRCPICCD >KVI09080 pep supercontig:CcrdV1:scaffold_14:28121:29224:1 gene:Ccrd_012463 transcript:KVI09080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MMMDFGEERWVSKHTHVKMSWLKYEIHKRHVEFQQPHLYSSSFSPPFAVTIHKTSNTLSSSSGTKINPAVLFIIVILALLFFISGLLHLLVRFLTKHHSSSNPSQSTRYPDGSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFMYTEVVGAKESFDCAVCLSEFREMDKLRLLPTCSHAFHMNCIDTWLLSNSTCPLCRNTLFNPGFSMDNPIFEFDDTREADESGLAAGPKTMEPEQMIAEKGVILVRLGKFRKLIEGEEETGGESSSSSNLDARRCYSMGSYEYVVGDTSLKVALNRKRDSQDANGNLRVSEETEGKKITIKAKTDSYSVSKIWLWPKKGKFASCSENSSVDMELPWMGRMQGR >KVI09074 pep supercontig:CcrdV1:scaffold_14:367744:389852:1 gene:Ccrd_012486 transcript:KVI09074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-2 MVKGRGNNGVGKKITVGVCVMEKKVKCGPEIIHFGDKVILEDPIERWPICDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEPQHLLHDRRKVYECLEMYGIPVPRYALVNRDKPYQELEYFVEEEDFVEVHGQRFWKPFVEKPVHGDDHRIMIYYPSAAGGGMKELFRKGNPSLAKDLGEKVTVLVYVKETSLSWAQLLSPETPANLFALDVPFFQVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVGSNEELRSNIPLPEVDNVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQGVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSTTIPPILPWKVNEPAQPSEGLTRQGSGIIGSFGQAEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAVQLQDLLDATRILVPRTRPSPGSDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKADTEEERPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNDLITSGVKAVQANGSSKRPWMVDGAGLPLNAAELLPTLVKLTRKVTEQVRLLAKDEDEKLAETTSYDVIPPYDQARALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNIDGLDELFKVAQFPESLQLSQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAINIAELKSQCQSSTSIKNVKEHTDHQPKFVIKNEESRRTSFTSEKSMDQDEDDDKEIKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCNQALERLLKTKELDYMSYIVLRMFENTEELKKKSIVTSPLCLCELDSKRYRLEMTFSRGADLSPLEKNDDDVEAALLHQEHTLPIMGPERLQEVGSYLTLDRMEQMVRPFAMPAEDFPPPTTPQGFSGYFKSAGVLERLVNLWPFHKHAANGNHEKSTSKTSSKTKDKSSLGGGDSFGTI >KVI09123 pep supercontig:CcrdV1:scaffold_14:176818:179609:-1 gene:Ccrd_012473 transcript:KVI09123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MAGGQRFGSLNKPCVLLIVIAGIERFVFKGVASNLVIYLTDVMDMSNSAAARTVNSWCGFTSMLPLLVAPLVDSYWNPVNRGNSSSSSSMFLSLYLISLGQGGYNPSLQAFGADQIDTDDELPTAKSDQKSDKKSMFFQWWYFGICSGSLLGVSIMPNIQDTVGWGLGFAMPAMAMVISMVMFSCGSRFYSYSHDQSNDFKSLEKVVQALKCSVSKFVHHKTQEKSTIIELELEDKPLCLKGENGTESLGDQKSNNSNRLVKIAKVIIRLLPIWTTLLMFAVIFQLPVTFFIKQGMTMKRNIGENYKIPPATLQSAITISIILLMPFYDTIFIPFTRFILRNEKGITTMQRIAIGMFLSVIAMIFAATVEMKRLETSTESESATLSIFWLLPQYILLGISDIFTVVGMQEFFYSAVPNGMRTMGIALYTSVFGVGSFLSALMVYLVEYFTSSAGGKGNWFSDDMKEARLDKYYWLLAGTSVVSLVVFVVLCRFQKTSPVDVEQS >KVI09146 pep supercontig:CcrdV1:scaffold_14:351008:351947:1 gene:Ccrd_012483 transcript:KVI09146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MGSVASALLGGGDNTPEGDSSSEESRVIEFHSSNRWQLHYNQSKQSPKLMVVDFSATWCGPCKMLEPFIRSLASKYQEIDFIKIDVDELKDVAQEFGVQAMPTIVVLKQGKEVERVVGAKKDELEQKILKHREVPKFAA >KVI09094 pep supercontig:CcrdV1:scaffold_14:467305:470822:1 gene:Ccrd_012496 transcript:KVI09094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G39890) UniProtKB/Swiss-Prot;Acc:Q8LGJ5] MGMEKVSGERKKRRMRQKKITAVQKLYDTCKEVFANCGPGVVPSDEGIERLKDILNGMTQLDVGVRPNMPYFRIRETGGFPKITYLHLSECDKFSIGIFLLPPFGVLPLHNHPQMTVFSKLLFGTMHIKAYDWVDDGALISSAPKADSSEAEVKPVSVRLAKLKVDSDFTAPCNTSILYPTDGGNMHCFTALTSCAVLDVLGPPYNDPEGRHCQYYQTYPFAHFSADDKKLDDGEKSEDYAWLEEIEKPKGLAVIGAIYNGPKIVEK >KVI09110 pep supercontig:CcrdV1:scaffold_14:308384:316360:-1 gene:Ccrd_012480 transcript:KVI09110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MCRSESPELKIRETVGAMGGFCYHGIVDVGFVKVDIGFVYRGLIVQKDRDAPPRYGEEQVGMAFGFNDPRWSHRGRRGHRSREGLDGQRMAMKGGKATVKEEDGMNSMAHRLLSAAVKPAWDSSSIQSQAMDNDYRPKIQRFGRWFTNKHKFRSHSSLSTHLSDGSGDEYEEEDLSISSEMDPCILTNELRIFVATWNVAGRSPVGSLAMDLDEWLNVKESADIYVLGFQEIVPLKAKNVIGGEDLTEATNWNLLIGQILNGCPWMLNPVTSEDYNYLNVSKRETRAGTSSQEEELNGCSRYRLMASKKMVGVFISVWMNTRLFKRYCISKVKVCAVACGVMGYLGNKGSVSVSMSIEGTSFCFIVAHLASGEKKGDEGRRNHQIFWFGDLNYRLYLQDDIARELIKKQDWRALQEFDQLRQELGDGGVFQGWREGNIEFAPTYKCDRILWYGKGVKQHSYFRSESKFSDHRPVSALFSTQIEVVKSDDTSVVSLQPDVPTTVYSKHTERGNAEASSTLLSLITKELKASPTNKKKS >KVI09098 pep supercontig:CcrdV1:scaffold_14:403570:413547:1 gene:Ccrd_012489 transcript:KVI09098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGLEDLTTIKEKRDVDEEGKSKMRNPMWFRNTAGRNKDMNCKRSKLNSKYMKAEFLLQMVKSVRISVVGILLFMVLYFARKVPTKCLSLPKGHLSSSIWVTGRSTPSSRVQRSPMFVSIFRI >KVI09096 pep supercontig:CcrdV1:scaffold_14:409977:411824:-1 gene:Ccrd_012490 transcript:KVI09096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MISLNDAYHILAATIPLYVAMILAYISVKWLKLFNPDQCSGINKFVAKFSIPLLSFQVISKSNPYKMNLKLIYADVLQKVIVLVGAAIITKVRSNGHLNWIITGFSLSTLPNTLILGIPLLKSMYGDEADVLLAQIIALQSLIWYNLLLFLFELSNARDAHMIQLSAATGMYFKWDSEARQEAQGIDKREETNSRTLRRTKIMLLLLTVGKKLLMNPNSYATIAGLAWASISFRCNIKLPKVVENSISILSDGGLGMAMFSLGLFMASQANIVACGKRLALLAMVLKFIVGPVMVVPSIVFGLKGVPFKIAVIQAALPQGIVPFVFANEYNVHPDILSTGITVGLLVALPIALVYYLLLGL >KVI09118 pep supercontig:CcrdV1:scaffold_14:527876:529943:-1 gene:Ccrd_012501 transcript:KVI09118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSSRRSGSTPRITDEQIIELVSKLQQLLPELRNRRSNKASASKVLQETCNYVRSLHKEVDDLSDRLSQLLSTIDDDSPQASIIRSLIN >KVI09150 pep supercontig:CcrdV1:scaffold_14:656036:656858:1 gene:Ccrd_012510 transcript:KVI09150 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox protein, Cys/His-rich dimerization domain-containing protein MRRKRTKRVPQEESRMRVVALKNPSNNVRIYVRYGECMKNHAVKVGGYAIDGCGEFMASDAAEGTQGAMICDACGCHRNFHQRVLEARNV >KVI09135 pep supercontig:CcrdV1:scaffold_14:896658:898902:1 gene:Ccrd_012534 transcript:KVI09135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASKCLAGTGGLRFRIGFRKLTQGVQQLSDNEASSTISREEGLECPICYESFNIVENVPYVLWCGHSLCKNCVLGLRWAIVKIPPLPIQLPLLISCPWCNLLSPRLVLQGNLRFPRKNYFLLWMVERLNDDKLKKSRDDPCSDSRPSKSTQISHSNVQRFQSSGSTTVHDRVVGIRCYVQKCLLLFVELTAKFPLVLISLLIVLYVIPASATILVLYVLLTILFVLPSCLVLYFAYPSLDWLVKEIMA >KVI09148 pep supercontig:CcrdV1:scaffold_14:493603:498081:-1 gene:Ccrd_012499 transcript:KVI09148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like phosphoesterase superfamily domain-containing protein MAPKRMSARRKKSSSSSATTVTLVDQELPTSEVETGAGLKLEETETELLGQLIVGVGKMVLVLAIGDLHVPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPSLHITRGEYDEDSRYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVSGHTHQFKAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTSTATI >KVI09152 pep supercontig:CcrdV1:scaffold_14:683826:684816:1 gene:Ccrd_012512 transcript:KVI09152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFVAVFLLALIAIFMLQATVSAKGGHGHGSGPGSLTSSRKFYILLLDSVFDLFD >KVI09127 pep supercontig:CcrdV1:scaffold_14:227812:246623:-1 gene:Ccrd_012477 transcript:KVI09127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase interacting MVSRMKRLRDDAYGSSQFKRPFGSSRGESVGKYGISMAEMLSSENQPEKTQKYGQPQAPGGGSEGAGVAGASVVGVGVGVGVGGGSSAQKLTTNDALTYLKEVKDMFQDQREKYDMFLDVMKDFKAQRIDTTGVIARVKELFKGHNNLIFGFNTFLPKGYEITVIDEDETPPKRTVEFEEAISFVNKIKVELLFPYFVKETTIVATLFDDQPDLLDEFTRFLPDASAAASAHHASFGRHAYQRYDERSSAAVPLRPTQMDKRGRRDRINAPHAERDLSVDCPDTDDKTMMKLHKEQRKRVEKEGRDRRNSDQDYKDPDLDSNRDIHRLEKRKSARKVEDFGVHSGSAPCDDKDALKMLALSIYSLENGQWVNVSDLLGKHTDLMEGFSAFLERCENIDGFLAGVMDKKALWNVTKSTRTEEKEREHRREIDAGKEKDRYKEKYWGKSIQELDLSNCQRCTPSYRLLPDDYPIPSVSQRSELGTQVLNDLWVSVTSGSEDYSFKHMRRNQFELDMLLESVSSTAKRAEELLNSINDRSIGSEAPIRIEEHFTALNLRCIERLYGDHGLDVMDTLRKNPTVALPVILIRLKQKLEEWTKCRSDFNKVWADIYAKNHYKSLDHRSLVAEIKEIKEKSQKDDDVLLRIAAGNRHFIMPNLEFEFTDKDIHEDLYKLIKYSCEEICPTKEQLNKVLWLWTTFLEPMLGVPSRPDDSDGVEDIGTSRHGDAKTEGMPAGESDGSPGADSGTFNLKQGKPVSNGDDNTSPKKVDSSKNILVNGGTSLKEDGSRLEKEVRNTSVGDKASGSNRPSALAERATDSGPVVGLVNDNIVSRISTELSGRDATPFRPNSVCEDVHEAKSNIDEVPSSQHTDISRPATLANRSFALANGSLAKVANVLRYDERSAEPSKFEKEEGELSPNGDFDEVDFAAYGDSGSHAKAKHSAESSFYRAAREEARQDGGREIDADADDEDSENVPEGGDDVSGSESAADECSREEHEEDGDRDDLDCKAESEGEAEGIEDGNFVGGDGTSLQSSEHFLMTAKPLAKRVTSPSHDGGKKDCNVFYGNETFYVLFRLHQVLYERLLSAKLNSTSAEMKWRATKDTSPPDLYSRFMSALYNLLDGSADNAKFEDDCRAIIGNQSYMLFTLDKLIYKLEKVLITPFWMQLQNVAGDETDNKLLQLYEYERSRKPEKFIDSVYYENAHVLLHDENIYRFQCSSGPSCLVIQLMDDGNEKPEVVAVSVDPNFAAYLHNDFLSIVPGKKESGIMMQRNKRQFSDMDESSAISAAMEGVHVVNGLEYKMSCSSSKISYVLDTEDLLFRERRKRKKRKVSGMSSSSRSSSYWHPQSRNLGGINYGENKCNKWEGLLLLHERREVLMLKTASTYRHQLMLLVTKENRTAGVLDNFIEGEKYAEHGLRKFVRNKSPEIMPGVNSFFADPK >KVI09134 pep supercontig:CcrdV1:scaffold_14:901187:906889:1 gene:Ccrd_012535 transcript:KVI09134 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MATTPSFSGVLINVPSSTCIDRTALFVQPSLSLNSFSKPRRTTLRSLQLKTRSNDVLLLTRTGDRFGGKTSRSFVVRCDASSTGRITQQEFTEMAWQAIVSSPEVAKENKHQIVETEHLMKALLEQKNGLARRIFSKAGVDNTRLLEATDKYIQRQPKVIGESAGSMLGRDLEALMQRARDYKKEYGDSFVSVEHLVLGYVQDNRFGKQLFKDFQISLKTLKNAIESIRGRQTVIDQDPEGKYESLEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQIVLFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTVSILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTSDTDKASKDRLNRLEAELALLKERQAGLNEQWEHEKSVMTNLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLLRQLDTAEKELVEYMSSGKSMLREEVTGDDIAEIVSKWTGIPLSKLKQSEREKLLHLEEELHKRVVGQDPAVTAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHADVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILDTDDDSMPKGQAYETIKQRVMDAARSVFRPEFMNRVDEYIVFQPLDRSQINRIVWLQLDRVQKRIADRKLKIVVSEAAVELLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGEFKDEDTISIDTEVTAFSNGQLPQQKLAFKRVDSSTADAPEGQETLSQTYRG >KVI09129 pep supercontig:CcrdV1:scaffold_14:213314:218520:-1 gene:Ccrd_012475 transcript:KVI09129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome maturation factor UMP1 MIILCCGYQVGFDIDIKGSIYLYRCSVVRISIVSCSPSVTCLKSFLRVFTEPSFSFYTKKKELKKQAITMEASKTIEHEIGGLKNDALRFGLQGVKSDIVGSHPLESAFQTAVVREAEMKRKILANTYGSAFPLKQEFDRKILSRFQRPPGLIPSSMLGLESITGALEDFGVEDYLQGAHDTETMRIPDMHHGMEVRVGLSKGPVHPSFMRNKSTIIARYATVTDNVLVNIVVGQGGAGD >KVI09139 pep supercontig:CcrdV1:scaffold_14:858235:862339:1 gene:Ccrd_012530 transcript:KVI09139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF863, plant MTTKVQSKTYVPGSMMDLNNGFPSGTREVLWEDLYPDDRTSDSRKSNCYDPFLVRQTMHQYLRFLQDRMRETMLKQESIFRHQLEELHRVYKRQRDLMNELTMKEDYKFSMPAEASKSSHFLSQVPSRITKDVYNSSQVDSTFGRVSISESNSIFSSHNPLKGKCILKENVSNLSTERAYNLADLNEPIQAEEPSFAASLINKNSVIENLQKQDSSANSNSGFLYSSKISQQNLKERDGQIAGGDHIVGERHKKEQLSYSFVDGKCYDNGCGNGNFSQQNNVFQAEPKKSREHFLFPVSDQNKVEPRRKSTIFGVELCERSHTPSFDSSQSSSWDQNTSIIQRNHWLGSYDASRQIPEDFAGNKQVNNSSSRFMTHSRAGVPYQNGINSKVSVSDILEKPDEKNNVTDGQKNHENLEKGLPSWLMAKSSLGSEWTKGKEPTIYQMNLDSLQHHSQQFFKKTEMTKGAHDPKVQTDNSQGITRILGVPITDVPDNSKDLANHGHDLNDETKDFVKENGKNNCILELRHHIDLNLAFEEEETPSTSYIPEAIVKIARREIDLEAPVVLESETETETDPPEIMVDTSKLPLDETSMDAHEDLVKVAAEAIMSISSSELLPVHQKAPEPADTLLRWFAEVIASGDDKDSGNVKDDEESITKGMDYFEYMTLKLQESKEEYYMHNEPMILEEKEEVRKRTTKGKRGRQRKDFQRDVLPSIVSLSRREVSEDLQTFEEAFSGIGVSWQSSLSKRKGAGGKNGRGRRRLVVPSSSSSHTPPSPPPQEVVCREVALEEKSLSGWGKRTRRLPRQRSCQNGGGGGGNHQSLALKC >KVI09122 pep supercontig:CcrdV1:scaffold_14:162885:163460:-1 gene:Ccrd_012472 transcript:KVI09122 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MGGPFYAVKLGRRDGLVSRASRAQYILPKPTMSMNQIIKIFTYRGFSIQEMVALTGAHTIGFSHCSEISSDIYNYSRTSAFDPSYNPRYAQGLRNACADYRKNPSLSVFNDIMTPRDFDNSYYKNLPKGLGVLRSDRALTMDARTRPFVELYARDQKAFFEAFGRAIEKLSLYGVKSGRRGEIRRRCDSFN >KVI09087 pep supercontig:CcrdV1:scaffold_14:965536:967401:-1 gene:Ccrd_012546 transcript:KVI09087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dyskerin-like protein MSEVELIRSDKKKKKSKSKDTEAANEIPDSATAAADYLIKPQSFTPPIDTSEWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYIRYGVINLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDAEKHLVVFWISCEAGTYVRTLCVHLGLILGVGGHMQELRRVRSGIMGEKDNMITMHDVMDAQWVYDNYRDETYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIENGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPTASMKKKLITEGKLSKHGKPNDKTPSEWMRNVVLPTGGDSMVASLAAAPATEQTVEVTETLKVIETVKVDSEKKKKKKHKDNEDGDEGRKRKLEDADVADTPIASKKKKAGTEAEETPKAKDKDGEEVEGDTTEKKKKKKKKEGDGAVSKHENGDDDKSEKKKKKKKKKEGDGAVSKHENGDDDKSEKKKKKKKDKDAENGDAPSTPLAAASDDDASKSEKKKERKKKKKKDAEEE >KVI09132 pep supercontig:CcrdV1:scaffold_14:908932:911437:1 gene:Ccrd_012537 transcript:KVI09132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEELEEIEKVEDGEEGVWEAEEVAVEGVKEDRLKMEGMASIALLPNGSISGHFVHLPHSVCYGIHGTELACERECSRGEDYCLVKLTIIDFNSKKERDVVVERRGHDAARLCNVDHAHGWEKDVVNLVDEKHKKSKIVVSFKCETLKADEAAEEHLRRFMPKLTGMDAVVNIGKMSITGLDFKAEVGVTEPSS >KVI09140 pep supercontig:CcrdV1:scaffold_14:393552:395611:1 gene:Ccrd_012487 transcript:KVI09140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MSKEPKNSPSGSSTLSFQDLSINSSPSTDLSVSTVSGSNNCSTCKASDSGIEEKEECEGSEKTSLSSSSYCYSVDPNEAKVRTFCPSKPHKANDIRWDAIQSVKARDGDLSLSHFRLLKKLGFGDIGSVYLAELRGMGCLFAVKVMDKGMLVGRKKLIRAQTEREILSLLDHPFLPTLYSHFETEKLSCLLMEFCSGGDLHILRQRQSGKHFSEQAARFYASEVLLSLEYLHMMGVVYRDLKPENVLVREDGHIMLSDFDLSLRCCVSPRLVKSTNEPSCTATSYCIQQSCIDPSCRLPACVEPTCFQPSCFKPRLFNSSKTSKPKTERTNAVSLDSRPVLIVEPTAARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGIFLYELLHGKTPFKGNGNRETLFNVVGQSLKFPEGSNISFAAKDLIRGLLVKDPQKRLGYKRGATEIKQHPFFESINWALIRGIHPPEIPKPVDLSFLNQTIKSTLPQNDKKASDSDRSSGPYLDFEFF >KVI09113 pep supercontig:CcrdV1:scaffold_14:611393:611653:1 gene:Ccrd_012507 transcript:KVI09113 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox protein, Cys/His-rich dimerization domain-containing protein MARKKLVVLRNEEPSLDSTNSLNNVRIHVSYGECQRNLAAEIGGYALDGCREFMASDAVGTEGAMICAACGCHRNFHRRVVEAEDV >KVI09109 pep supercontig:CcrdV1:scaffold_14:803351:804568:1 gene:Ccrd_012523 transcript:KVI09109 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MARKRKQANDHERIMSERNSDHPEENMTWDDMVKESAAAAALGGPRRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPSSQSSSTTPALPSKITNLLLHRLKARNNSLAAAAAASSHHTQLTTGCHDDKKQHEEFRDDIGELPDTIFTDFLNDPDEYIPENYEILLTSSNDNYDNRNPLSSLPVKDDFDQLIAPDNLDNHDDHMASQCSSDDTNNEGAMEEDEFEEEGSSDMGVIDFGFIDELGSSCNFSPFEIAQEIASHELQEEVYNTEGDEPLTISEAMKRMKYERKYSASLYAFNGIPECLKLKLGLGRGIKSRERSKMQRTIKELDKKADEESKKDVEEEAVISSTTNIIDGELSLWSSLDLPTICYVN >KVI09101 pep supercontig:CcrdV1:scaffold_14:851515:853317:1 gene:Ccrd_012529 transcript:KVI09101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQVSAPIRAPAWVSTRRLFEQKLSDLHKCNNLSQLKQVYALIYKSNLHQDPFVTPKLVTAFSLCRQMPCAVKAFNQVHEPNVHLYNTMIRAHVHNSQPAQAFAIFFLMQSSGVFPDNFTYPFLLKACSGQNDFSLVKMIHTHIQKYGFCSDIYVPNALIDSYSRCGLVGVGAAKKVFSVMDDKDVVSWNTMIGGLVKANRLNEARQLFDEMPERDMVSWNTILDGYAKAGQLNDAFELFEKMPERNVVSWSTMLTGYSKAGDMEMTRMLFDKMPVKNMVSWTIIIAGYAEKGLAKEATDLYEQMEEAGYRPDDGAIISILAACAESGLLWLGKRVHQSINKNRHQCSILIENALIDMYSKCGSLSKALSIFNGMGKKDLVSWNAMIHGLAMHGHGSKALQLFSKMKQEGFAPDKVTFVGVLCACTHGGFVDEGIQYFYTMERDYRVPPEIEHYGCIIDLLGRGGRLQEAFRLVRTMPVEPNVIIWGALLGACRLYNAVELAQEVLEHLVKLEPQNAGNYSMLSNIYAATGNWDSVADMRLRMKNTGNEKPSGASLIELEDGVHEFTVKNTLHPASDKIYHMVDGLSHHIKKVGYFPDAFY >KVI09111 pep supercontig:CcrdV1:scaffold_14:591287:592629:1 gene:Ccrd_012505 transcript:KVI09111 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDEVMLPGFRFHPTDEELVGFYLKKKIQHRVLPIELIKQVDIYKYDPWDLPNLAPTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTSCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLSQSQSQPSPPKKILDKSLPPNDAWAICRIFKKTSSMAQRALSHSWVSSLSEHKESELFTESNQFSLENISCMTDQLCNNDSRLASPDSFSALDIPSYTPMNPTACKLSPFSVPHTGFPTGFMFSTVDISGPTTKNTSDVTPMLFNLDPDLLGDASKTSENMVFGGPQQQFNNFSMKSPQDRQGSIDTGDHDEGLRKNSDPINDNHKWANVRTSGFPFSVPSTVTDDWNLNMPWDSPTCPSEMSTTYSTNKCYT >KVI09102 pep supercontig:CcrdV1:scaffold_14:805102:810157:-1 gene:Ccrd_012524 transcript:KVI09102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated domain 1 (KA1) MEGSGHGGSSVEMFLRNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKGRNIVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRLLVVDPMKRMTIPEIRMHPWFQAHLPRYLAVPPPDSMQQARKVRNIMASFDLNTLSTDEDILTEVVKMGFDRDGLVESLRNRVQNEFCHIFQGTVAYYLLLDNRFRNSNSYLGAEFQKPVEGYNRINSNEAAASVLAPRTTGYMDYQGTNMRSQVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWSPGHHEGMVNDGMQSSHYFGDESSIVDADPASPSPNVVKFEVQLYKTREEKYLLDLQRVYGPQFLFLELSAAFLAQLRVL >KVI09090 pep supercontig:CcrdV1:scaffold_14:962339:964538:1 gene:Ccrd_012545 transcript:KVI09090 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2-like protein MEMKGDIAGAVTLTPSKSKLSRKSKRPENVNPNVNSPNPKVLKSPALKSASKSQKSSLKKPNPVTSPSPIPRNKIRERKFVVAKKNSKGGKPKTPASVNCKCKASVNPKKCLCVAYETLRASQDEFFKIVSANPSSSRSEIEEDEDEEQKKTLTENPNLMSEFEGKLSEGEIVEAYDEKVSAHVKRRDKLLEVARQSIPKCGRVMHLVKAFENALTLPKSNTETENEEQNKDLEEETRKVLKWELPGLRPRAPVTEFSSPDLFLTPESLGLDSRISSCSSGSSHGRLVILNLTNFCCCSLELDLNGLLFFSISNRNSGGGRHSRRSVCANSLMSSESTATFGGSRGKRRTLKATPQQPFKLKTEQRGRSKQEEFMRKVQEMMIEQEKQRIPVAQGLPWTTDEPQCLARPPVKESTRPVDVVLHSNTRAVERADFDNQVQEKLSFIEQFKLERERQEKLAEEEELRRLRKVLVPKAQPMPYFDKPFIPKRSEKQPTLPKEPKFHNPQHKKMFVGH >KVI09137 pep supercontig:CcrdV1:scaffold_14:878008:884263:1 gene:Ccrd_012532 transcript:KVI09137 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MASQAKLVLNCVGPFRYHGDPVVAACVEAGCDYLDICGEPEFMEXMEAXYHEKAVENGSLVISACGFDSVPAELGLLFNSRQWVSPSVPNQVEAYISPEPRGRMVGNFATYESAVLGVANADKLMELRRSRPRKTRPRIRGPAPLGGYAIEHKKKLRLWAVKLPSADVSIVRRTLSILTDNPSGLQGVNEDPKQANKRAIFWSSIKPAHFGVKIATKNRMGIRSLILVGLSIGLLSSFPTGRWLLLKFPSIFTLGIFKKKGPTEEEVTGGGFKMWFVGHGFSDSNLINKGDAKPDTEIITSITGPDIGYMTTSIILVQCALILLQQRGDLPKGGIFPPGIVFGPTNLQDRLQENGISFNLPEFMERMEAFYHEKAVEKGSLVISACGFDSIPAELGLMFNSRNWVSPAVPNRVEAYLSLESDKRIVGNFGTFESAVLGVANADKLAELRLSRPKRSRPPIPGPAPPRGSTIEHQKKIGLWAVKLPSADAIVVRRTLSILTDNPSGLEGVYEDPKQAEKRAAFWSTVKPAHFGVKIGTKNLLGLLGFFPAGLSIGLLGMFSFGRWLLLTFPSFFTLGGFRKNGPTEEEVASASFKMWFVGHGFSDSNLVSEGNAKPDTEIITRIMGPEIGYLTTPIILVQCALILLQQRGNLPKGGVFPPGIVFGPTDLQDRLQENGISFDLISKSDIST >KVI09126 pep supercontig:CcrdV1:scaffold_14:218843:224751:-1 gene:Ccrd_012476 transcript:KVI09126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein MAFSSSSHAGNSNIRRGVAAGWTRSLLPFTSSNTKSAKHIRKSRKRTALKDFIFANFFIIGLAASIIFFLFVVYRYGVPRPISSQFRIGNSRVFKSRKPVYRKTTSSRFLSSNHSNLSLGAAAVDLTTKDLYDKIEFKDVDGGPWTQGWRVSYKGNEWDTEKLKVFVVPHSHNDPGWKLTVEEYYDRQSKHILDTVVETLSKDERRKFIWEEMSYLERWWRDASDAKRESFTNLLQNGQLEIVGGGWVMNDEANSHYFAIIEQMMEGNMWLNETVGVIPKNSWAIDPFGYSSTMAYLLRRMGFENMLIQRTHYEMKKELALHKNLEYIWRQSWDTYETTDIFVHMMPFYSYDVPHTCGPEPAVCCQFDFARMHGFMYERCPWGKHPEETNQENVKERALKLLDQYKKKSTLYRTNTLLIPLGDDFRYISIDEAEAQFRNYQMLFDYINSTPDLNTEAKFGTLEDYFRTLREEAERINYSHPGEIGSGQIGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRATEMTMVFLLGYCQRAQCEKLPTGFSHKLTAARRNLALFQHHDGVTGTAKDHVVQDYGMRMHTSLQDLQIFMSKAIEVLLGIHHDKNNQNPSQFEPAQVRSKYDAQPVHQAISANQGTVQSVVLFNPLEQTRNEVMMFIVERPDVTILDSNWTCVKSQISPELQHSKMKMFTGRHRVFWKASVPAMGLQTYYIANGFVGCEKAKPAKLKISSNANSLPCLAPYSCSKLEGDSVQIRNRHQALTFNAKFGLLQKVTQIKGAQNVIDEELAMYSSPESGAYLFKPNGDAKTITQAGGEMVISEGFLMQEVFSHPKTGWNKSPVSHSTRIYNYEGSVQELLIEKEYHVELIGGEFNDRELVVRYKTDIDNKRIFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFMQESKGRRFSVHTRQSLAVASPNNGWFEIMLDRRLTRDDGRGLGQGVMDNHPMNIVFHIIFESSISPVPDPISHPHPLSPSLFSHRVGAHLNYPLHAFIAKKSQEISVQPPPRSFSPLTAPFPCDLHIVSLKVPRPLKYTQQSVEEPRVVLILQRRHWDSSYCWKGKSDCSRIDDEPLNLLNIFQGLDVLNAKATSLNLLHDDTEMLGYGEQSTDVGQGRVLISPMELQAYKFDLRPHQ >KVI09112 pep supercontig:CcrdV1:scaffold_14:596814:597293:1 gene:Ccrd_012506 transcript:KVI09112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQALSDALSLDKPSIFLDNFPAPQPTSSYNTSTQTTTYASSTGNIANLLKGFMKNAPISSKSSSDSSTCKTTGIDSSEGFESLLGFDQSFGSPNGSDLSLSNMSPKESKPELIGAQLPFTMFENWLLDEAGGDDVINQRKTDLTHFAFDQNPDHFRN >KVI09093 pep supercontig:CcrdV1:scaffold_14:449938:455146:-1 gene:Ccrd_012495 transcript:KVI09093 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, c/d subunit MYGFEAMTFNIHGGYLEAIVRGHRSGLLTVADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTVKLVDEYKHMLSQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYQFCQKLGGATAEIMSDLLAFEADRRAVNITINRCCHDSILCSSLEQSLHEMIVESCILVSAYCKTNYPYGHEELAVCEDIDQVHVLACVYIIDVRGVMEKYPPYQAIFGKLSYGESQMLDKAFYEEEVKRLCLSFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVSIF >KVI09119 pep supercontig:CcrdV1:scaffold_14:621525:626262:-1 gene:Ccrd_012508 transcript:KVI09119 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox protein, Cys/His-rich dimerization domain-containing protein MTGKKVAELKKEEPMRSANSSNNVRIRVWYGVCQKNQAAAVGGYALDGCLEFMASDAEGTEEPEILFRKNMSRMKVVILKNPPNNVRMRVRYRGCKKNHAVKLGKYALDGCGEFMASGEEGTRGAMICDACNCHRNFHKRVMVSENV >KVI09151 pep supercontig:CcrdV1:scaffold_14:688756:693093:1 gene:Ccrd_012513 transcript:KVI09151 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-8-phosphooctulonate synthase MDLSTALYSQLKEAQPFFVLAGPNVIESEEHIFYMAKHIKAITSKLGLPLVFKSSFDKANRTSSKSFRGPGLAEGLKILEKVKVAYDLPIVTDVHEANQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGRIINIKKGQFCASSVMTNSAEKVRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWMREANCPVVADVTHALQQPAGKKLEGGGVASGGLRELIPCVARTAVAVGVDGLFMEVHDDPLSAPVDGPTQWVSFMISNILVLFISPFLDLVFCASKQPLRHLEELLEELVAIARVSKGKQQYKIDLSPFRE >KVI09116 pep supercontig:CcrdV1:scaffold_14:556958:559587:1 gene:Ccrd_012503 transcript:KVI09116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIESTFLMVERRCATTTVVLPTIRRSRASCTMLSEPESRALVASSRSKIFGFFNIALAIAILWNLVNEAFEGPDKEIFKDAF >KVI09107 pep supercontig:CcrdV1:scaffold_14:781570:783163:-1 gene:Ccrd_012521 transcript:KVI09107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYPKGHHYESGDDVDDFDDYDSTPYGGGYDIHLTYGRPLHPSEEICYPVTSTSSSGFDYESSIYTSSAEPSAYGVEALNEEYKSYVRSKPRPGHAYGRPPAAVGYGGGSGYGRHEEPPSEYGSGYGRRPEEPTSEYESGYGRRPEEPTSEYDSGYGRRHEEPTSEYGSGYGRKHEEPTPEYGSGYGRRQEEPTPEYGSGYGXRQEEPTPEYGSGYGRRQEEPISEYGSGYGRPAKYGEEQTEEAYGGGREERPSYRRGDDDEGYGGRKKHGDDDSDEDDERKKHRHHHRKHYDD >KVI09076 pep supercontig:CcrdV1:scaffold_14:32721:34486:-1 gene:Ccrd_012465 transcript:KVI09076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxia induced protein, domain-containing protein MDNMGATNREFEDLFPEKKRVRNPFVPIGALLTAGVLTAGLISFKQGNSELGQKLMRARVVTQGATVALMVGTAIYYGEKL >KVI09128 pep supercontig:CcrdV1:scaffold_14:203336:209443:1 gene:Ccrd_012474 transcript:KVI09128 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MPSNQRATFQAMGGSDPAISPLKLTVDPTPHLHTPPFTYNTLGLTCPNLPSSRITITPPFTSPPVYSESSTGKWKKRRGCSIQGCFIFKNSVLSSNVPSAFSCLTDRCCSLVLTFSAVHACRKQFRLGRSVQFVSINILVEVKPALFMENIVAIYRSLDATFNANLLHPVCSDDGHPASFKMDANNNPRKEKVDIAQDGNSSNRQSMILQTAMPTLLNGSAEELVARNFEKCITPESNQKQGFKMCSGDKPNLSNTTGQVVAERHMEIGVSGVGFIRLEQLSPPLSSDSKNMDGNCSDPSSTNMNAGRYSLKRLVENDAYGTNLVSNNRCTSGAKCTIEVKRQKKTTDRLNDTRLDTNGPQTNVLASENAATGKSSVDLKKSPCAFCHSSKQTEGSGPLVSFFKGKKAEGNAADSPKATHIFFKDGIIQNLEKEVARANKLKCSSCGKKGAGLGCYMKSCPRTYHVPCAYDDLDCRWDCDNYLLLCPKHASHNFPEEQKSKAGKHDTVERITTHLNPCNSLLKGGKNLVLCGSALSSEEKCSLIEFARSSGTIVSKYWKPNVTHVIAAVDSNGACTRTLKVLMAILNGKWIVTVEWVKACVEAGCLVKEEPYEVHLDTHGCSGGPKAGRLRILNNGPKLFNDFHFYFIGDFVQAFKTDLLSLITTAGGTIIKTKDQLLSSSNDTDATVKRSALVVYNADLSDCSEFEDEDSIKFQRLAAAEDVARECKSQIVGHTWILESVAACSVVPIIPSK >KVI09144 pep supercontig:CcrdV1:scaffold_14:426740:431036:1 gene:Ccrd_012492 transcript:KVI09144 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MALIDVKSEETSVRRHINESPERRVKRRRRDLTLDCKTQQLQPSQQTDQASPTTVKRSSKYRGVSKHRWTGRYEAHLWDKLSWNVTQKKKGKQGAYDEEESAARAYDLAALKYWGSSTFTNFPDLSAHRPLTFVKVFDYEKEIEIMQTVTKEEYLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKNVELPNCFTGTQEEAARAYDIAAIEYRGVNAVTNFDLSTYIRWLKPGTQTPAACQEPQTQRELKFKPFNNFGLIEEPEPSFLHYSKNSIVDEMSLPQKEEVSETKSPLSPSTKSSPTALGLLLRSSIFRDLVQKNLNGGDKEDDAEDMKIQPQIVNDEEYTDIYYEADDNILPFMISQNANAIKLQGDFNTNYNFQESPLGSTKFIVWQKLSLATMGGYGFKNIKCTCDGVVQRCQ >KVI09083 pep supercontig:CcrdV1:scaffold_14:941735:946932:-1 gene:Ccrd_012542 transcript:KVI09083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MQPTNPTLSDLYAHQRQHPTSQYYSHFPPQNPNPYPLHLHRQLQIESQISSAAMNLDPPASNTYFTTYSVNPAGGAYNAHHASGVTYSQTIGVAPPPAYAADLLVQNWPSEESVQQYANTLYATVGTVTQDGLQQLPSAIPTPSGWTNPTFLPRGPWKKLPKKTKIAQSAWCEICKIECNTKDVLYQHKLGKKHLKNLEKLNSVSSVATSSFIPHVHPAVPSNPIIGPPENPKLVNPVPKKKKAETPEDLEMKRRKVLEGGAAANAVRTCRICNVICNSDTVFRFHLAGQKHSFMLKKLQGAGMTGL >KVI09153 pep supercontig:CcrdV1:scaffold_14:700682:702891:1 gene:Ccrd_012515 transcript:KVI09153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch synthase, ADP-glucose type MVVAPRYGSYADVQGTGIRKRYRVNGQDMEVNYFQTYIDGVDFVFIENPMFQNLGNNIYGGNRTDILRRMVLFCKAAIEVPWHVPCGGLCYGDGNLVFIANDWHTALLPVYLKAYYHEHGFMRYARSVLVIHNIAHQGRGPVADFSYVDLPPHYLDLFRMYDPIGGEHFNIFAAGLKTAYRIVTVSHGYAWELKTTEGGQGLHGIIKENDWKLKGIVNGIDKTEWHPQVDVHLTSDGYTNYSLETLHTGKPQCKAALQKELGLPVRPEVPLIAFIGRLDNQKGVDLIARAVPWMVAQDVQLVMLGTGKPELERMLREMESGHREKVRGWVGFSVEMAHRITAGADILLMPSRFEPCGLNQLYAMCYGTVPVVHAVGGLKDTVQPFDPYNESGLGWTFERAETSQLIDALRNCLLTYHEYKESWEGIQRRGMMQDLSWDNAAYQYEEVLVAAKYQW >KVI09125 pep supercontig:CcrdV1:scaffold_14:158253:161443:1 gene:Ccrd_012471 transcript:KVI09125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFASSSISCCKPFNPHKNPTTLLPASISTTTFSSYLPVRLGLTLTIPNSYCNSFISNKNSSNFNKIRSVAGEESVIEQDTVTNQGDIEPTVSVPVSPSDILTMFFQAEGTMSEGAIPSVTSALEETEGISSLRVQVHEGIASVEKQTTVQATGVASNLVEIIQSSGFKLQALNLSFEDEDAN >KVI09158 pep supercontig:CcrdV1:scaffold_14:748987:752583:1 gene:Ccrd_012518 transcript:KVI09158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRTTSRTVAATSILLCNRMLFSLQRSTHSIKVSHLFALFSLKQHCSSSPFQEPQIEPHKSNKSHIDTSSVYVSGFTKSVLDKCSALLVRRTEHSTSCASSLEECLLSVSHLNPRITRKFWRKSRLEPQDVLELLLGYESSAGKLGIDVQKVGSLLGIFKWASASTKQGRSFKHLDQSFKVMVGLLVQVGLFKDAECLLLAMDKEGILLDDHEVFSNLIEWYVGIDELEKSINMYHRMRALNLVPSLSCYRTLLNYLVHRNQTQLISSVYGDMLEMGLKMGVAEKDIYENVVKVLCRSVEKVQESRNLIKKAFLYGIKPTSLVLDAIASGYCEKQDYGDLLSLFVEIDCVPDVVVGNKIIHSICQNFGAEEAFVFLEELEHLGFSPDAITFGILIGWNCQEGNLRNAFVCLSIVLSRGLKPHRYSYNAIISGVFKQGMWNHAKEIVLEMEDEGITPDMSTFRVLLAGYCKARQFDEVKVIVEKMVHNGLIELSPLQDPISISFMLLGIDPLTVRVRRDNDVGFSKTEFYDSMGNGLYLEGDIVDYDQTMTSVLNNSMVPDCNRLIMKDDGLNISKTASELVHWGQELSFAAFSTLLKKFHASNSSFKTITTLLENMPKLHNELDEETLNLLVQMHVKRGFILKAKKIYDEMVKRNLKIKNKTYSVLVLGLCKKGNSRDLHDCWELPENQNWFPTLNDYRTLLCSLCQNDMLMEALFLFERAMLVYPHEVLELSYAFLEKICGIGFTKVACVLFDELLARGYDLDQVAYSHLLKGLCKEKRFSEAFVMSVKMLGKHSAPDLGVSGSLEVDIHNVLLHGYCLAKDLRKVKEVVGVILKKNITISISSYSKLVSLMCNDGRIPFALLIKDLMVEQSSSHLTLYNILIFHLFASRNSACVDILLDEIQDKGLEFDNVTYNFLVYGFSQCKAVPRSLQYLTAMMSKELKPSNRCLRSVISLLQSGGEFKKVLKLSREMEARGWIHCSTFQNEVVEGLLKINKLQEAVDFLDKMILKDLIPENINYDNLIKQMCRYGRKDKAFHLLDIMLKKGNTPNSTSYDCLIQDLCVSHKMEEALDLYTEMSNRKLIPSTKTHEVVTEKLCQLGRTSEAEKLIDAMISMGEHPSKVMFGSVVSRYRLERNFPKASKLLQRMQEFGYKPDFETHWSVISTLSRFSGKDKDDNSRNFLSRLLSESGFNPKSK >KVI09138 pep supercontig:CcrdV1:scaffold_14:863975:865954:1 gene:Ccrd_012531 transcript:KVI09138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHGSAFTFSLKSCIQLCSQEFGTEIHADILKFGLNTNRFVGSSLIGFYSMCRDMVDACKVFDEITERDLVAYTSMITGYTQTNNHQAYKAFAIVRQMQTDNLEPNRVTLVSLLQAAAQLRLLDHGKVIHAFAIRRGIDCFDEVFETSLMDMYIKCGPPNKATMVFGNMSTKASVCWNVLIDGHLKSRQPSEALNLFYRMAQEGHRLDLVALANGILSCSKLGLLRVGKSIHGYMFRNGLLLDVVAKTALIDMYSKCNNCSKAREIFDTLKDKDVISFNVMMAGYLHNGHDREVIEAFHNMRRLGLTENEGTILTVISAFSNLKDIRQGTSIHGFVITHGFELKTDIANQIMYLYVKCGYIDCARQVFDLIKHKDLVSWTSMMMGYENLGHADEVIALFQEMMQLEKELNPDSVILTCLLQAFSRLGCLSQVKEIHCHVIRVSMEEEITIMNSLLTTYSKCGMYRTARDLFHQMGTKCLASWNTMIAASGMHGDCFGSLELMDQMKKENIFPDEVTFMSTLSSCSHAGLVEKGLHLFRSMKEVYGLVPGEEHYGCMVDLLGRAGQLDEALEFLKWLPPTQSGSAVSALVAACRVHGNNEIGEGLGRWLLDLDPENSSSYGLVSNMYAEGEKWNEAAQIRATAKQKGLKMTTGCSLIELDR >KVI09085 pep supercontig:CcrdV1:scaffold_14:918918:921423:-1 gene:Ccrd_012540 transcript:KVI09085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein, HCG-1 MSGVQDSLEIKFRLIDGSDIGPKSFPAAASVATLKESILSQWPKEKENAPRTVKDVKIISGGKILENNRTVGECRSALCDVPGGITTMHVVVSQPPQERGTSKIVKTYIVDGVVEFILENDFLLHKDCWCGVTDDTAVDEWLDKEES >KVI09131 pep supercontig:CcrdV1:scaffold_14:913956:918415:-1 gene:Ccrd_012538 transcript:KVI09131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3571 MAAAISALSPKSTTALQTLDLLKQPNFKFFSGSPLEEFSLNLRPGCGSKAREFSGLVVSGSAGATTTCDGGSGGGRRDVKTADVKDCIWLSHSAKRTSRNHTILERHYRNATKVYATRRRRSNMETDTYVLMEPGKPEEFVSEEELRVRLKGWLENWPATSLPPDLAIFESIDDAVTHLVKSVCELEIDGDVGSIQWYEVSFSSISEKLIEMAAAISVLSPKSTTSLQTLDLFKQPNFKFLSGSPLEGFSLNLKPGGGSKAREFPGLVVSASAGTTTTTATAGGGSGRLYVNFTGFPFPLGPFLNRRTIRTEAVKDCIWLFEQEQALGFSSVSTNTRMTVIKLKSGGLWVHAPIAPTKECVQLVKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPQAQVWVAPRQWSWPLNLPLEFFGIFRAKTLENEDLSTPWAAEIEQKVLSSPEVGIGPYVEVAFYHKRSKTLLVTDAVIFVPRQPPECISNDSLLASAKNGLAVKLLSKGKEVSQEPVIDNAINRQKGWERMVLQILFLGPSNLLEPKASFSQMSQKLIVSPIVKTLVFSKVRDWIDSIARDWKFKRIIPAHFNAPVNANRSDFLAAFAFLDDLLGERYVTRPSLQLFFTSIMGKAASYFPPDDMKTLSSLDQFLVSVGAVKKTVSGRKRP >KVI09156 pep supercontig:CcrdV1:scaffold_14:704902:708217:1 gene:Ccrd_012516 transcript:KVI09156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HTPKRSAPVFVRDNRSVLLLSNQNPSRFLPEMSEGKDPAIKLFGKTIQLPDVLPVDGVFSQDVDGGAAGCVKSEDRSSSSTSSSEADSKGVGKDREPDDDDDDDDDNKDPAEEKSVDKEEDKAHSTISEEVTEPNATSMLNENSKTPSAENESAGAAKASKLEEEQSEMSNNQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKTSASQYRQITVTEALPTELNHTVLKPNGTVLTFGSDTPLCESMASVLKIADKTLRQDSFRKAEELIVPVNDDNGSRSSTAEMQNCHGFSPQVPCFPGAPWPYPWNPQMGPAFCPPGFPMPFYPAAPYWGCAVPVPGPGSWNMQWVPPPSGYHPAPPSTYAAPPPSGPISPTLGKHSRDEKMVRSGDEASKENEGEKSLWIPKTLRIDDPDEAAKSSIWATLGIKNERCVGVNGGGMFKAFQSKGGEVKKPLKEASPALQANPAALSRSLNFQENS >KVI09079 pep supercontig:CcrdV1:scaffold_14:34745:44001:1 gene:Ccrd_012466 transcript:KVI09079 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease, C-terminal domain-containing protein MGVHGLWDLLSPVGRRVSVETLAGKRLAIDASIWMIQFMKAMRDERGDMVRNAHILGFFRRICKLLFLRTKPVFVFDGGTPALKRRTVIARRRQRENAQAKIRKTAEKLLLSHLKATRLKELANDLENQRKNNGDKGKKVITDHADLSGTALNTNSSDTKAFDQEVLDAMLAASIEAEEGEGFIGDASTSGAGFLAEDEDGDDDEEMILPALQGKVDPAVLAALPPSMQLDLLVQMRERLMAENRQKYQKVKKAPARFSELQIEAYLKTVAFRREIDEVQKAAGGRGIGGVKTSRIASEANREFIFSSSFTGNRQSLASSGVEGNSNVPSQIATRHPSTGSVNDVVSTNKSNSTIESIMNKPRKAFNEDVETYRDERGSIRVSKVRAMGIRMTRDLQRNLDLMKEIEQDRIDPCIKSNDRISHCKETAFPSTVPESSKLPGTSLHDRSVCSNETNQDSIPVSGTSIEVSFEDDNEQQFVDSDDDVFACIVAGDPLVVTSADNTSSAKQPAMTLSDCEWEEGMLGDTKGKRLLAGHSTSDESEVEWEEGPSDKPESGAACEIECRETVSKGDLLEEADLQEAIRLSMEDMRCQKDIDASSAPEEFKGNKNMDTECAVFVSEYVETDRAAPRLPSESVPPNKLSIATVAGVDDGVDSVDETKIKKANSSPTTQLEVTTSDDPSLMEVRMETSYQSDLKYHPELSIREACDDRNSQGETEHVDNSQGETEHVDVINPTKEDETESSIELHEDNCGGNSKTPISSNIFSCTTNHISKPDVGMPDAPLADAQQSELKALVSDHIPEITKPKETAVNESKHDFDVALKVTEERDNYASYEQREDDVNKFVLTDMSEEQVEIAKASLEEEMTNLTKERTDLGDEQKRLERNAESVSGEMFAECQVRTTACLASLEAHNEIGGVRCEDDSLKMHSSGESDKRWELLQMFGLPYIIAPMEAEAQCAYMELENLVDGVITDDSDVLLFGARSVYKNIFDDRKYVETYFMKDIETELGLTRDKLIRMAMLLGSDYTEGISGIGIVNATEVLNAFPEEDGLQKFREWIESPDPTILGKASSTRKRGSNSSAEEASTVNQGVTNSDDDIHRMKQIFMDKHRNVSKNWHIPSTFPSDAVISAYASPQVDKSTEPFSWGKPDLFVLRKLCWEKFGWGTQKADELLQPVLKEYNKHETQLRLEAFYTFNERFAKIRSKRISKAVKGIAGSKTSESMDYDELDASSGKERKEVKHEEGNVDVTKEQLTAPTEKSELSTQEGERKNTKERSSVGGRGRGRGRGRGRGRGRGRGQSVGKRRRKTKYNLELSGTSSDDGNNSDYVQEVHAEHSEGQHEVRKSKRVRKSVISSTNNEDSEMDEAREVAGNGEPSREEERLVSHDDKFMLSGSGIRSDKHWCQEEEGGPVIELSEEYLKMGGGFCLDEEEGDKEPTGMLNEATNEGETEASSISPNVEADIVVGVSNEEDDQTRPVTHLSGIPNLRRKRKKG >KVI09145 pep supercontig:CcrdV1:scaffold_14:430635:432668:-1 gene:Ccrd_012493 transcript:KVI09145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTAVSVVITTVFLLLTTSTTSIPIIGLDSFLSQQSRLDPQATNDTFLLLSSPLKKSLSSNLRPPPTTSALLSLQISVPIYVKLVGPSSSFPSTSPSLLFSFLSAAVSSDHFHVITPFLTNTNHRLALTHSPSLDVSLSSSSLSVKLSDKIKSEIATSPSPLRSNLISISHDSIDSIIKQDFEREKPLQGVYIYLLNLGTQSKPYAYKYTDTGDSSLGVTKCSGSIWTGKGRYLWMDLSAGPVDYGPALSGDGVLPRGEFHPLTALHGRPKSQKALLADMASLIWSAYKVLLVPSLRIPVPFENSLIVQFIHIHGPDKDPKGLDFNVIEKNFMDEAHDGELLFKDQSLKFKTYDVDLAECSICSFAISLSVTSYTSRYLFDNYTLIVSEYLDSKRLHQTLSESQDEIRRLAKLPEEDFGRVLPVYIFDLEVNTILLLDRYHQSVAFKDMVIAVRTKNTQTVSDYSCNGRHVFTQTRELERPLVGSILQSMWGVSPTHLVWSPQHNSTLVDYTWSVGHTPFGPFSELSSLSFIQKDATRRNVLLTSLNYTITSALDVLESIAAHGGERKLLPHNELPEFIQRWNLFKYKLDKVVSSLSHLDFEMALYYLRSSDHDLYAIHSIVYHASQDLEASLVCFEDPPFPWASFSMSIGIFFVLLYAYLQRDKLFSNKRKQF >KVI09105 pep supercontig:CcrdV1:scaffold_14:830265:833107:-1 gene:Ccrd_012527 transcript:KVI09105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGCISSKHVAKSSISPLHDSSLTFTDDAKASRRSLNSSTFRNRNYNHDHNYGLSANIKEETEDDDKIIIDSKRSNLVVDDGGLESVNNNKHSKRPAFTFNIRFGRSTVAEHVAAGWPAWLIAVAGEAIDGWTPLKWENFERFEKIGRGTYSSVYRARDLRTGRMMALKKVRFDNSNPESVSFMAREITILRKLDHPNIVKLEGIITSRVSSSIYLVFEYMEHDLAGLLSSPNIRFSESQIKCYMRQLLKGLAHCHSRGVLHRDIKTSNILVNNEGQVKIADFGLANLLASKSRQPLTNRVVTMWYRPPELLLGSTDYGTYVDMWSVGCVFAELFKGRPILKGRTEVEQLHKIFMLCGTPPDEYWTDPALPLATMFKPQHAYDSSLKEKFEELPKSAVSLIQTLLSIEPDKRGTAASALESEYFKTKPYACDPASLPKYPPSKEMDAKFQEEHRKKAGVRLRVSGASRSLRRGQKTMQEQTTFYKVSTESQARRNAYFYGANGSVDTKASYDTVSDVSQATEASQADTVCTLPAQTTTSSGWSTKRRKHVSFVTPTSQDVHSIEPRQAPLMPESENFRSRAQSGLRRANYAYESQDLSSQQHHEEERVEYSEWHRTNVRQEGYSRNGVPRSRFSRGTSSNMQNEERQMEVQQSLVID >KVI09143 pep supercontig:CcrdV1:scaffold_14:337050:340542:-1 gene:Ccrd_012481 transcript:KVI09143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKKAYAEIILNTAKEAANRAMVSECKALRFHHDLHNTKDEALRMLLRFKHIIDAKTTEAEMTTLGQQKRIEELDAQLNETEGVIVDLREELRKAHERFEEVKKSHMLQSNEPFGNEHMHDIEDTAHDKKIDVTEQFRSSSQCGSKEPDFCRNGYTHRIRAIERNLVDGQNISEDRPAQELCTKKQLIINVDEKSSGKCAPSSIDAESKDMNIDPKNINGSEALFINSSVAKDQSVKAQRLPRNRVRYAQSSPKLSRFDCRVIKPTAVPENLNLKENSLGRDEKLLETNEQSACTLRRSIRKRKVRCLDEISSLFKSRTALSRCKKNSGSAGLKLDEHQYRYKSVAEMNQMIAPISPSTNASEETKDDELPGPLHIYPVENTGLLNELVVEKNEVAAKESAEHTGGSAFDNDKNRLLKYTFSRKRKKNLSSKSDNCSNTGKSILSRKMGERSSFSPEQEKTSLIEDPSADSQNMVEVACQ >KVI09095 pep supercontig:CcrdV1:scaffold_14:475233:480988:1 gene:Ccrd_012497 transcript:KVI09095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSTPARKRLMRDFKRLQQDPPAGISGAPYDNNIMLWNAVIFGPDDTPWDGVYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREVVEQSWTAD >KVI09120 pep supercontig:CcrdV1:scaffold_14:644543:645334:-1 gene:Ccrd_012509 transcript:KVI09120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein METPTPGQPNRMGQQLPPSHNPPQVTLALGRCLKNHAAGAGGYALDGCGEFMLAPTSTPTDLTTLKCDVCGCHRNFHYREVDQLQSPAERVDVIENPNQPQQHHHLPTTPTPTRLVAMANSSSIPNSPTPSPISSSYNYYPAPPHMFFAFNPAGFPAPEPADRNGQSILTTGSNGTRKKRFRSKFSQDQKAKMQEFAERIGWKMPKKEDETIAGFCNEIGVDKGVFKVWMHNHKNISIRENNHQKHRDDGGNANGANGSSSSS >KVI03472 pep supercontig:CcrdV1:scaffold_140:240468:241306:1 gene:Ccrd_018228 transcript:KVI03472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MVLIQEFSLQDHPWLKDMFALKSQWIPAYFKELPMCCLMKTTSRSESANSFFNSFSKSGNNLFQFMLGFEFALEKQRREQCRLDYHTRTTLPKWLTYSQVERHACEIYMRSVFFEVQTEIHRAAWTCSIKSVNSNEEAETYLIEHLDKRDEKIAEYKVVRNLKESTVVCSCNHIGXHGYLCRHVFKVLLNAGVETIPKEYILRRWRRDLITIAVSMLDMSVSSV >KVI03465 pep supercontig:CcrdV1:scaffold_140:413167:415223:1 gene:Ccrd_018234 transcript:KVI03465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYFFIHLPKRRFLSPPIFHPHRHHSSSSMDTLLRTHNSFEFLHPVNRFAGNLSSLSLSKCQIQDLGCGPKKSHVKLGHRGGIKARSSALLELVPETKKENLDLELPMYDPSKGLVVDLVVVGGGPSGLSVAQQVSEAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCIDTTWSSAVVYIDEGSSKNLGRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVIKVIHEELKSFLICNDGVTIQATLVLDATGFSRSLVQYDKPYNPGYQVAYGILAEVEEHPFDVDKMLFMDWRDSHLNNNLEIKERNSKIPTFLYAMPFSSNRIFLEETSLVARPGLKMEDIQERMVCRLKHLGIKVKSIEEDERCVIPMGGPLPVLPQRVLGIGGTAGMVHPSTGYMVARTLAAAPIVAKSIIQYLGSEKGLTGTNLSAQIWKDLWPIERRRQREFFCFGMDVLLKLDLEGTRRFFDAFFDLEPHYWHGFLSSRLFLPELFTFGLSLFSHASNTCRIEIMAKGTLPLATMINNLAQDKE >KVI03485 pep supercontig:CcrdV1:scaffold_140:101876:108386:1 gene:Ccrd_018219 transcript:KVI03485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWRDQAVSSNQEVTRTRSNSYRKPPLGGNLNWQQSVPSWEKKFIASFGSVPWKKLVETKKFIHLYDNVIKWNDSAGKEAFQSAKNKFYANMHGLPSDKYFLDPDIYIDKIDWNSQVDPNLILDLESDSVVPESCCNDEPVVIFGTSFPPSYQSFSPYGWGDSDDDDKKKDPNTSPEYNIHQRETEGNTWGVVDDGNNWWGSNEDDKTANGDHGGGNRNDHWGWNVYDNNNYYYGDVNNEMRNGSGRSMSRYKTSRFRRDDNQPRRNNGNGKKSSSGCRPWKCAPVNPGSAGGGAHRWSVKKPVS >KVI03466 pep supercontig:CcrdV1:scaffold_140:417825:419192:1 gene:Ccrd_018235 transcript:KVI03466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MAEAINDQTLSIEEEAFGTTDGNPHEEWLHSILKAKSPPSCSTQIHRVPMILREHKDHDKYYVPKVVSLGPYHHGNPNLESVQNFKPLFTNELVSGNQESLQSLYNKLEEMVQTLRGYYEEDEDDRFSDEEFTKMMMLDGCFILYFIEHIFLNNDTLGLKGHQIMFVQQDLFLLENQIPYPVLTEVMKFVPDKMWDFKIKRFIDDNILATERHRRGGQANYAKANNPIPATINHLLELLQTRVTREKSLGPRANDRYTFRNVNELIEVGIHFKPSVTRSLAHIEFSKHGFFANLQLPPITIDDATKPMLLNLVAYEMCSNDAKASWVTSYICLMDSLIDHSEDVKVLRKAGVLDNRLGSDKEVAKLFNEIGTDLVPNNFAYSEARFAIQKHYDSKRNTWISQLKHEYMKSPWAFVALFVGVVGLFLSGVQAYFSVWGLRSDCDYVCKALKERHNL >KVI03482 pep supercontig:CcrdV1:scaffold_140:1716:2668:-1 gene:Ccrd_018216 transcript:KVI03482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III domain-containing protein MLPDHLLECLVTSDLPPGSLTDLRVAAVNNENFARVAVKHNLHVHLRHGSSALEKQIRAGGKQQQQQFKYTLLSSHTNVQISQVYAVSSKAVLTVFEDCLQQALNQTATASLEVSQQPILCYS >KVI03473 pep supercontig:CcrdV1:scaffold_140:127847:130565:1 gene:Ccrd_018221 transcript:KVI03473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MTLVSGHTSTLNPNAPLFVPAAVRQVEDFSPEWWDLVTTSTWFHDYWLSQQQGEGGFFGNTEDDFDFPDVADLLPDSIDTDEETLTMESQYEQFLLSSEMDRINAYSTSTLKQMPTNGMEMGPEGLIRSLSLSKSMQQRGPKSPVEIARYWEKPAKTVSPKSRAQRIQQPR >KVI03478 pep supercontig:CcrdV1:scaffold_140:180148:186786:1 gene:Ccrd_018224 transcript:KVI03478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAEIILSSFITVLFEKLASADLIKLARSARIYSQLDNWKITLSQIQAVLVDAGQKHITQIAVQXSQLDNWKITLSQIQAVLVDAGQKHITQIAVQQWLQTLHHLAYDIDDLLDDLATEAMRRQLNKGSEATTSTSKVLKIIPTFTPRNIMYGRQMSSKLEGITARLHQLVEQKNILLLVENVERSTRTSRRLEETSLVDESRIVGREKDKQALLSKLLDDESXNPNFSVVSIVGLGGIGKTTLAQALYNEKXVEDXFELKAWXCVSDEFDVFTISKAIFQAVGGGDQSFANLNMLQVALREKLLXKRFLLVLDDVWNENYDQWELLQRPFVVGAPRSKVIVTTRNTIVTMVMDSVQVYLLEVLSNETALTLFAQNALGEQNFDKHPTLKLHGEGIIKKCDGLPLALRTLGRVLRXKXDADEWEELLNSGIWNLHNESKILPALRLSYYDLSSHLKQLFAYCCLFPKDYLFNKDELVLLWMAEGFLHEPNAXKSMESFGREYFEELVSRSFFQHSTDDISRYVMHDLINDLAMSVGGEFFFTLDDKMGNEALEKVHHXSYIPQXYGXYKKFKALQRARRLRTFLSVXXXRWQXFXLSNKVLHELVPQLQFLRVLNLSNHSITEVPESIGSLKHIRYINFSQTDITCLPDQVSDLYNLQSLLLRGCYSLSSLPDSFAKLXNLRHLDIRXTPXLNKIPLGIGGLTXLQTLSKVIIEGDTTGFKISELKVLMHLRGXLXVKGLHNVRNGIEAKEANLQQKKDLDDLVMEWRVDMDDSRNKENEYEVLEGLRPHCKLRKLSILFYGGMKFPSWFGDSSFDQLRNLTLRGCRSWTHLPTLGHLQSLQKLFVGSMNEVKTLGIEFLASANSFHGIAFASLEVLEFDDMQGWERWSTSGGDNNRPATSFPRLREISIKRCPKLNEVSIDLIPSLRVLRIGQCSEEVLRSMVGVSSSIVRLIMWDIKGLILLHEEVLKHLGQVEDLDISSCDELRYLWESESKACKFLVSLKILEVETCEMLVSLGKEEDNLGINLTSLKQVKLYDCKSIERYKCPESIEKLAMKNCGSLTSLTFPTLQDLPSTIKIIRIKHCENLEESWLLNNFLSSLESRHLEGR >KVI03479 pep supercontig:CcrdV1:scaffold_140:234112:234780:1 gene:Ccrd_018227 transcript:KVI03479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKFAGKINPKNLFRSKKHGTVSRSESSSFGSSITTTSGSPDSTHHHKSKSKGLATPTSVLLTHSHEISSDDWSDISADVQFELVHAFRIMDTDGDGRITRAELEALLSRIGGAEPLSPEELSLMLNEVDRDGDGSISLEEFCVISSAFGPPSCDEELRGTFEFFDTDRDGLITADELFSVFKSIGGGQCTLEDCRRMISSVDKNGDGFVCFEDFTRMMEQQR >KVI03464 pep supercontig:CcrdV1:scaffold_140:456039:459987:-1 gene:Ccrd_018237 transcript:KVI03464 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RPB5 subunit MAEDAMETEMPNGGGGTGRCLSSFVDEGSTESHRYYLSRRTLLEMLRDRGYDISNSEIDLTLQQFRDLHGQATDVDRLRISASHVSDPHNKVLAVFCGTGAVKVNVIRWIATQIMNKETLSRLIIVVQSHITNQAMKAVDLFSFPVEIFQITDLLVNITKHVLKPKHRVITPVEKEKLLKKFNLNEKQLPRMSQKDAIAQYYGLEKGQVIEVTYNGEITGLHVTYRCIW >KVI03470 pep supercontig:CcrdV1:scaffold_140:355900:356292:1 gene:Ccrd_018231 transcript:KVI03470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYC/MYB N-terminal MDSVSDLEMYYLTSMYYLFPFDKPSSPSQSFNTSRSVWVSDSRSCEEHYQSRAFLAKLARFQTLVLVPVKRGVLELGSFKSILEEQSLVAMVKTLFNGCHPKVLSKIFGHELSLGGGGAKSSRIRVGEGL >KVI03481 pep supercontig:CcrdV1:scaffold_140:1192:15453:1 gene:Ccrd_018215 transcript:KVI03481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSRGSNAYGQQQQQQPKPQSYSSQSAYGQNLGPDTASQMSIASRHSALLGGHSSAGAHYGGQYTSVYGSTALNSALQVPATSAKGAGPSVLEGRSGYGSTLQESSKFTSGDYPAASQKEEISETDLGYRTAAGRILYLLPKSLAAWWTLSIRRLPSSFNRSVNEAIVAWCPRYVVEAAKLL >KVI03467 pep supercontig:CcrdV1:scaffold_140:362817:365193:1 gene:Ccrd_018232 transcript:KVI03467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRIVHAHRCILAARSLFFRKFFCSGGTDSPTGSGSDPLGSRMGVGMGMGMGPASPRNSTSSQVVIPVNSVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLSAARSFGVEQLVLLTQKQLAIMVEKASIEDVMKVLLASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSLMPHHHQHHHHDLSVAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPVGKTPLHIASEMVSPDMVAVLLDHHADPNVRTIEGITPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVISREEGNAAANAASNSTPIYPHMNDDHSHTHTHSSSSNSSGNIGNLNLDSRLVYLNLGAAAAAQIGCNKMDVGGGREDNSHHNNHHHHHHRHGSSQGGCDTSMYHHPHHEY >KVI03483 pep supercontig:CcrdV1:scaffold_140:13206:15470:-1 gene:Ccrd_018217 transcript:KVI03483 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 NRISSTSNFAASTTYLGHHATIASLTERLKLDGSLRIDNVHHAAKDFGNRYSILPAAVLYPKSVSDISSLINYVFQMGPTSGLTVAARGHGHSLEGQAQAHQGVVVNMESLGKSQEMRFHIKGETRPFVDVSAGALWIDILHEGLKYGMAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQINNVYQLEVVTGTGQVVTCTEEMNSDLFYGVLGGLGQFGIITRAQISLEPAPKMEQLISSNNSFDYVEGFVLINRTGLLNNWRSSFNCKDPVQASRFFSEGKTVFCLEIAKYFKEEDTEITDQKIEGLLSKLNYNESTLFISEVSYVEFLDRVHVSELKLQEKGLWDVPHPWLNLLVPKSKIHIFANEVFGNILTDTSNGPILIYPVDKS >KVI03468 pep supercontig:CcrdV1:scaffold_140:402303:411381:-1 gene:Ccrd_018233 transcript:KVI03468 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MFRSLKLKRSLQSFVTHHKNPHFNHSLEPLSSSTSKTLPLNLIRQFSSSSPPPNQPPLSAASATSSELRKYLGYSALLLSCAVATYYSFPFPENAKHKKAQLFRYAPLPDDLHTVVNWSGTHEVQTRVFLQPETLEELETIVKDANEKKQKIRPVGSGLSPNGIGLARAGMVNLALLDKVLEVDKEKKTVRVQAGIRVQQLVDAIKDYGITLQNFASIREQQIGGIVQVGAHGTGAKLPPIDEQVISMKLVTPAKGMIEISKDKDPELFFLARCGLGGLGVVAEVTLQCVERQELVEHTFVSNLTEIKKNHKKLLNDNKHVKYLYIPYTDTVVVVTCNPVSKWRGPPKYKPKYSPDEALQPVRDLYQESRERYRGQPMATSSTDENEPEISSLTFTELRDKLLSLDPLNKDHVRKINQAEAEFWKRSEGYRVGWSDEILGFDCGGQQWVSETCFPAGTLSKPNMKDLKYIEDVMELIEKEEIPAPAPIEQRWTASSRSLMSPASSSSNDDIFSWVGIILYLPTTDARQRKQITEEFFHYRHLTQSRLWDQYSAYEHWAKIEVPKDKAELAALQARLRKRFPIDAFNKARMELDPNKILSNGMLEKMFPLDDNGTSSL >KVI03475 pep supercontig:CcrdV1:scaffold_140:152158:154759:-1 gene:Ccrd_018223 transcript:KVI03475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMKKPQLDCPSPEVSQGDSDSESTSVSSSMQSSISSATSSLDQDSSNVKGGTRQKKTLNKSISKKLSTFGSFMSSRRRAQSRLCQSSIKLPDEGSTTAPHPSSIEVSDE >KVI03471 pep supercontig:CcrdV1:scaffold_140:243869:244350:1 gene:Ccrd_018229 transcript:KVI03471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKATLKELDIRCEELIKEEFGERCNFDVYYCVGLKRANEIIGTTTEELVLKARQEGSA >KVI03477 pep supercontig:CcrdV1:scaffold_140:200668:202063:1 gene:Ccrd_018225 transcript:KVI03477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKRKLPKSCIKKAEIILIEEGSSRITSSATLPKGAKPMKSFYHRDEVSDLYNLQRLLVRGCHRLSSLPYSFAKLINMRHLDITDTPQLNKIPLWGSESKACKFLVSLKKLEVDRCEMLVSLGEKEGHLGISLASLKEVELYGCKKLEHYKCSNSIERLVIWNCGSMTSLTFPTMLDLSSNIKIIHIDGCENLEKSWLLNKFLSSLESLVVWRCDDMMSFPEGCFVYLTRLEIWNCDNIESIPDKGFGFLPLFCLKTLWIRRCKNLKSFPHEHLPSLTSLEELKINNCPSLDYSFPCGLWPPNLRNLRIGCLNKPMSEWGVQNFPSSLVELRLYGQNSGVVSFEDVRNTTPSSFLVPPSLTSLHIDCFEDLESVSTGLQHLTCLEKLATWSCPKLRDLPEKLLPSLSFLRVRNCRKLEKRCRGGTGKYWPIISQIPHLDV >KVI03469 pep supercontig:CcrdV1:scaffold_140:253025:286868:1 gene:Ccrd_018230 transcript:KVI03469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGGFAWSTSAFDSKSGQVILLVLLVMTASFYTGTFFGRNSSSTPSSSSELIGSPIFSNKVTLTHHKSPLKIPETGMNICPMEFNEHIPCHDPSYIKELMPNLDLSKREDLERHCPPLERRLFCLIPPPQDYKMPVRWPTSRDYVWRSNVNHTRLSEVKGGQNWVHEKDQLWWFPGGGTHFKHGASEYIQRLGNMTTDETGDLRSAGVYQVLDVGCGVASFSAYLLPLNVQTMSFAPKDGHENQIQFALERGIAAMISALSTKQLPYPSRSFEMVHCSRCRVDWHENDGILLKEINRLLRPNGYFVYSAPPAYRKDKDYPIIWDKLVNITSGMCWKLIARKIQTAIWMKEADQACLQHNAEQNLVNICDSVDDHKPSWKTPLRNCVALGTTGSEKLPPIPHRLSVYSESLNDIGITQEKFLADTLYWQDQVRHYWRLMNVDDTSVRNIMDMNALYGGFAVAFSTWPVWVMNVVPATMNNTLSAIYERGLIGAFHDWCEPFSSYPRTYDLLHASYLFSHYGNHQDGCSLEDIMLEMDRLIRPQGFVIIRDDESIISRIREIAPKFLWEVKSYVLENQQQQSEPLLICQKKFWAIA >KVI03463 pep supercontig:CcrdV1:scaffold_140:424199:425662:-1 gene:Ccrd_018236 transcript:KVI03463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MALQDGNIASGIQISNEDQESDEAWLIKWITSNADPPPSSTRRIPRLPTMVFTKMMILDGCFILYYIQSIYGGEVETCELNSHQIVFVHQDLFLLENQIPFQVLTEVMNLVKINRRKKIKDFIYDNFLASVRPKRGWFCAGTGHIQEAQTSGAGHNQGDFNFTVDHLLQFLHHTLTGEDLYSNVSKKPHLVQTTNSNTINKKDLHRCTFRNVNELIDVGINFKPSSIMGLTQIGFSKRWWLFSADVELPPITVDDSTKSMVLNLITHEMCSADGHEAWVTSYICLLDSLIDHPEDVKALRKAGVLDNSLGSDKEVAKLFNEIGTDLVPNIAAYAEAKNKIQKHYGSLRNTRLSELKHEYIKSPWAFLALVGAVMALVLSGVQTYFTAWTPKSQCDDLCMFLKMNHHL >KVI03484 pep supercontig:CcrdV1:scaffold_140:91096:94843:-1 gene:Ccrd_018218 transcript:KVI03484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEAAVMLHGIRRRTNSPNKTLFRFLHIRSKTTIPQPNTLITKLGTCLLVASISKSLSEPGGTRNLNNGESSLISLSEALVLQILRRSSVDVSKKIGFFKWCSLRHNYKHSAGTYSQMLKTLCINPVIHKDDIFHFLDSMDREGVVLDSSTFKFLLDSFIKSGNFHSALEILDRVENILDNLNPDAYSSVLIALVRSNNLNMALFTFFKLLDSVSDKNESLVDNAACSELLVELRKAGMQAEFRNVFDKLREKKKIFPVDIKGYNVCIHGFGNWGELETSLNLFKEMKEKSLRLGNSFAPDLCTYNSLIQALCFKGKVKDALIVWEELKSSGHEPDAFTYRIIIQGCCKCYMMNDATKIFSEMQHNGFRPNTIVYNSMLDGFLKSGKLMDACQLFEKMVDDGVRASCWTYNILIDGLFKNRRGQAAYELFRDLKKKGQFVDGITYSIVIFHICREGLVEEALELVEEMEARGFVVDLVTITHLLVSINKQGRKDWTERLLKHIRNGNLMPTILKWKSNMEASMKYPTSREKDFTSMFPGKGDFHEILNLINLAESNTDRKHSLRKWTIDPWSSSPYMDHLADEPKSNIHLLSSSKGKRVQEKGLDSFDINMVNTYLSIYLTKGKLSLACKLYEIFTDLGVDPVNYTYNSLMSSLVKKGYLNEAYGVLSEMVEKVSAADVATYNMIIQALGKMGRADLASAVLRKLTDGGFLDMVMYNTVINVLGKGGRLKEVNMVIEQMKKSGINPDVVTYNTLIEVHSKAGRLKEAQEFLKMMVDAGCAPNHVTDTTLEFLEKEIEKQKQKAKQSNNLFLTNVLVYDTLVHSCLFGYDKEFGWCKAGTGGVSLGISFLEDDWLESFYRAQKVVHMRILGLGISVAPFMLE >KVI03480 pep supercontig:CcrdV1:scaffold_140:209403:212441:1 gene:Ccrd_018226 transcript:KVI03480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MDISNEAKVDRFSIGPSTVFGRTIAFRVLFCKSMTHFRHQIFHSLLYYFHIIRTNVSDIATPIISWFHPRNPQGILVLVTLIAFLLKRYTNVKMRAEMAYRRKFWRNMMRAALTYEEWAHAAKMLDKETPKLNERDLYDEELVRNKLQELRHRRQECSLRDIIFCMRADLIRNLGNMCNPELHKGRHQVPKLIKEYIDEVSMQLRMVCDFDSDELLLEEKLAFMHETRHAFGRTSLLLSGGASLGAFHVGVVKTLVEHKLMPRIIAGSSVGSIMCAVVATKSWPELQSFFEDSWHSLQFFDQMGGIFNVFKRVMTQGALHDIRHLQVLLRNLTNNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRGGEIVPYHPPFHWGPDEASDTSVRRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKEFIRAYGGNFAAKLAHLVEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSHLELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAAASHGASSTVRFNASKRIPSWNCIARENSTGSLEEDLADVASSLHHGGRNWRHHRNVHDGSDSESESAEANSWTRSGGPLMRTTSADQFVEFVQNLDTDSKKAIMVYQNLRVIPPDRSSDPETDSRDTNGRVLNSNSSSSITVAAGDLLQPARIHNGIVFNVVRKGDLTPSNRSHDSENNSLCDSVAECMQLDSPEKDMDASSTSECGEIEIDNKNRGTEPESSCEPKDPRSPVPVDDDGDEKS >KVI03474 pep supercontig:CcrdV1:scaffold_140:111941:112735:-1 gene:Ccrd_018220 transcript:KVI03474 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAPSTASPPQAAAASTIPWQILDIVANNLDPKTLALASCVSKSWSSAMSSDHLWEHLCTTHFPSLSHLRFADSPPLPYRRLFGLGLTSTKRRQRPPQKPRIPLESLLFIITVNNSKSPPVTLIKPGTALPSDPKSMFRFDFDVRDHEKWLRFEVLEETNVTWNVVLRGFEGVFTMMDCKGKGSFVSGSDGWFSTELPAAGCCCAASCGGTSGMVADMRLVMREEGRRRVVERVSVGVLSIVSWRYVGVDDGLRYLQHFLEPCDV >KVI03476 pep supercontig:CcrdV1:scaffold_140:148982:151681:-1 gene:Ccrd_018222 transcript:KVI03476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding domain, plant KPTPKFTRTGSLRSVKIFRSKSKNKSSFKPKKPSIDKTSDISEESHLERATFSSILKDSKFPEHLKHQAGPLEPEEVLPVAKFCPYQHCSLHGHHHHHEPPPKRFTYLKRRTANDQKSVKPESKSADKSSGKKREAKVTKKISNRESAPPDVDFSIEFYAKTRSEPLESYGHDDAEFADILFGVNSLQEKNKTTRVSNDRRSVDLKDENDGNSDGLKSELAKKPVLKKANHMSMWHMIHRHMVSGLAAESGDEIPQQLDDEKKSHETEIRKMFAIKLVREAIEKILLPEVQDDQSTTSEVISEQNLSEISRNGNEDEITSHPKDEPEANNDRAEEQPVMEEPVEKITEKRTPKRWSNLKKVILLSKFVKELEKVKKFNPKKPINLPLAPEPESEKVSLRRQKTDDKKNADEWMLDYALQKVVSELAPTQKKKVALLVKAFETVAPAHEDQQDSRSNAELQRVGSETKVKFQGIHESGVGSKADFEIKTTPDVGSSSFSERSEEKKESRFDFGAGNQLEKEKHIKMWHMIYQHVATDIATKIGSELLLDGEESTNSEEKNLQEVEGHTGRKHGFRFTDAVKLVRESVDEILLPDIPDNSSQETQSVACDVSSDHEEHTENVEKGLVFDENKKPEIVGSFGNRLQQQKSKNWSKLKKLILLKRSIKALEGFRKLKPQTPERKILINETEEERVELRRQMMDERKKAEQWMLDYAVQHIVTKLTPARKKRVSMLVEAFEAVVPLPDI >KVI05416 pep supercontig:CcrdV1:scaffold_1400:28991:30862:1 gene:Ccrd_016250 transcript:KVI05416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNSLGGKKKTVKIMKMNGETIKLKTPVNAGDVVKDYPGLVLLDSETVKHYGVRAKPLEPQQDLKAKRLYFLVELPKFPEDKGARRVRSGINMSAKERLESLKLARRSASDLSYMKAPSIMVENGGGGGGVDPLRVKLRLPKAEVERLMRESKDETEAAEKIMKLCMERSGGGGGGGAPTEKRRVQWKDDGSKGHGKRVGFLPVSQELIPE >KVI05419 pep supercontig:CcrdV1:scaffold_1400:114017:114415:1 gene:Ccrd_016248 transcript:KVI05419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPILLLILAVVVLAPIKAMNPRKLDQTTSTDTAIGGSTDDHQIKCGGCPCNNPCNTSPPPPPPSPPPPKKPSPTPGLNCPPPPSSYIYINGPPGNLYPINPYASSADHRVWVAPSLLVVTGVVGMLAFW >KVI05418 pep supercontig:CcrdV1:scaffold_1400:35436:41424:-1 gene:Ccrd_016249 transcript:KVI05418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MADGDNMCCQCETRISESCTTYHCRRCSRLFCESCVQGSGSFVVVVVESGSATQVTLDIQYCKHCANIDVTQKLGGNFDQKIHPPDSSCQSSEPDISDTFDGQSLQAVSIRRSSGRSDEDEADDSAKNFFSPCSLDTSDVDSSSVSTRRDFNSFMSVGSSPSDSPSRIQITSNRLRHCVHSDDQGTPRSSNDGSYDQEHVAVLKSHEQGTEDSQTHTYDECSIFRDQCEKSRKPLDFETNDHIWFPPPADDENEDADSNFFSYADDDDEMGDSSAVFSSSGSLMSLKTNEEHQEPFRTVVQGHFRALVSQLLQSEFNSTEEWLDIITSLAWQAANFVKPDTSRGGSMDPGDYVKIKCVASGSPTESTFVTGVVCTKNIKHKRMTSQYKNPRLLILGGALEYQRSCDHLSSIETLLQQEIDYLKMIVSRIEAHRPNVLLVEESVSSYAQEYLLAKEISLVSNIKRPLLERISRCTGAPITPSIAHISTTQLGQCELFRLEKVSEEHEIANQFNKKVAKTLMFFEGCPRRLGCTVLLKGSFREELKKVKHVVQYAIFAAHHLSLETSFLADEGASLPKMAINPSEPEPERTNADNSLSNLSSSTALLPLEVTNSPRYIKGPTALNLKLDRHDIAFDGQSFSPMEDRDIEIVSNLLGDDLEASVGLESSRLCIVASADEEERQPFELDELKKVEMVEVIEASDEYYPATDNNQSILVSFSSHCVLNRTLCERSRLLRIKFYGCFDKPLGRYLQDDLFDQTSLCRSCKEPAEAHVTCYTHQQGNLTINVRRLPSLKLPGDKDGKIWMWHRCLRCTHVDGVPPASHRVVMSDAAWGLSLGKFLELSFSNHATANRVASCGHSLQRDCLRFYGMGDMVAFFRYSPIDILSVHLPPSVLHFGDHVQQDWLKKEVAELLSKIEALYDEILDVLNGFEAKSAFFGNELPDSEKLTNHVMELKGLLIKERQDYVKVLLQSAGEENGAEIDVFQLNHLRHALMIDLHFWDQRFCSPNLYGKNSNFKPIQDATSSPEAEFWRSDSIIAGNIDQNGADHDSEYQTAQNEGSDVVSDSLSTSFDLCKKQEVEIGIKHKLPLERNPSAASVLSDKIDSAWAGPLNAAFHLPKTEVPEPPVNLSSNPLLSRLSPSPARVYSFDSAIRYQERIKKGLPPSLYLSTLRSFHASGEYMHMVRDPVHNIQMRSYSQDFPNEDHKLDFLLMNRPLLISSTSFLPEGARLMIPNGGQSNVVVAVYDNEPTSIISYALTSKEHEQWVSDKPNLSRNDSVASNASAWQSFGSMDLDYTHYGSYGSEDPSTAIGPLFTDPKNSNHIAIPFEDDSGVDGKVKFSVTCYFAKHFDSLRKKCCPSELDYVRSLSRCKRWSAQGGKSNVYFAKSLDERFIIKQVTKTELESFEEFAQEYFKYLTDSLNSGSPTCLAKILGIYQVTVKHLRAGKEAKMNVLVMENVFFKRNISRVYDLKGSSRSRYNSDTTGTNKTLLDMNLLEALRTDPIFLGSKAKRNLERAVWNDTSFLAYTWDKHLETWVKASGILGGPKNATPTIISPKQYKKRFRKAMTSYFLTVPDQWSS >KVI05417 pep supercontig:CcrdV1:scaffold_1400:11663:14651:1 gene:Ccrd_016251 transcript:KVI05417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAGVDSTAILAAIQSLLRLVRDVARNSASGFAGEFKKDCTDLSRRVALLSHLLEEIRDFKGDFRLLDSSPSSSSSSSCLADITVALQAAKKLLTIAANFDHKISLDGAAQKIAFQFQCVTWKLEKALANLPYDHFDISEEVQEQVDLVRGQLRRARERYGRPLKSVVLSHGLLQPSEKELDRRGSLHVENTSNINHQEVETKVETAPKENLSKGYYQNEAIDQLESSKNSSASSETSLLRTADVDDGDNSSNKNVDENKKMDAPAIPVDFLCPISLELMRDPVIVSTGQTYERSYIQRWIDCGNTTCPKTQQKLQNVTLTPNYVLRSLITQWCINHNVEQPTSLTNRRLKRSDGSFRDVSEDIEAIEAIVRNLSSRSIEERRAAVSEIRSLSKRSTDNRILLAEAGAIPILVSLLTSEDNVTQENAVTSILNLSIYENNKGLIMLANVVPSIVQLLRVGSMATRENAAATLFSLSLANENKIIIGASGAIPALVNLLENGSVRGKKDAATALFNLCIYQRNKGRAVRAGIIGVLLKMLSDSTGCMVDEALTILSVLASHQEAKSAIVKASTIPLLIDLTRTGLPRNKENATSILLSLCKRDNENLACISRLGAVIPLMELVKNGSERAKRKAKSLLEHLGTI >KVH95020 pep supercontig:CcrdV1:scaffold_1401:63864:68855:-1 gene:Ccrd_002912 transcript:KVH95020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MWAIRKASVHIKSQPFSSAAFRACYAKLEISSSSYMDNTGFVESPPYISDRSLLLKRFSSRAHGAQSSFMGKHRFSSQAGTKSSGEEDDDLKYDFSELDATSNMDQGKNTEHESDNEMLSETDLSESEDEGGDIAVEEPQTELDLSDTEKVAAKSNGRGKRASSGLFKALIASTSVTAKKNLDKWLEEGNDLSRIEISRTMLELRRRRMYGKALQETVEFGERDYASRVDLIAKIRGLQQAESYIEKIPESFKGEVVYRTLLANCVLNTQTAKAEKVFNKMKDLKLPVTAFACNQLLLLYKRTDKRKIADVLLLMEKENVKPSLFTYRLLIDTKGQSNDVSGMEQILETMKSEGLEPDLRLQAVLARQYVHGGLKEKAKMVLKEMEGDNLNENRMACSSLLHIYGLLGSADDVKRVWEVCRPDPRLEECMSAIDAWGKLKKVEEAEAVFDQMFKKWKTLSARHYTSMLRVYANNKMLSKGQNLVKQMGESGCRIGPFTLDAIVKLYLESGEVEKADSILKKGSLQIQTKPLFSTYMMVLDQYSKRGDVHNSEKIFHRMRQDGYVSRFRQYNSLLQAYINAKIPAYGFRERMKADNVFPSKSLAAQLVQVDAFKKTAMTDLLD >KVH95019 pep supercontig:CcrdV1:scaffold_1401:54129:60464:-1 gene:Ccrd_002911 transcript:KVH95019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGDKGNGGDFFLEENEDDEVQYDDLEDNYSDGDDDDEGSRNSTRSSSHFSSRQWPQSYQQTIDSYSITATPNFGLLKSPSAIIYSSLYDIGIESNFDADAKARLLSECEKLYSKEDLEKISRKISTWSGKGSLHEQLGELPISHGCSVTQTVFNAVNVMVGVGLLSTPYTIAQAGWAGLGLLLLFGIICCYTTSLMRHCFESKDGILSYPDIGEAAFGKYGRLIVSIILYTELYSYCVEFIILEGDNLSSLFPGVSLNLGGFSLDSVHLFAVLTAVILVPIVLLKNVRVISYLSATGVLATIAVIFCVFYLGTVNVGFHESGPAVKWSGIPFALGIYVFCYSGHSVFPNIYQSMADKTKFSKAMMISFILCVLMYGAVAIMGFLMFGESTLSQITLNMPDDAIASKLALWIVLLPVSVSRTTWSYALLRTALVLSTACVAIVIPFFGAVMALMGSLLCVMVAIVMPALCFLRIQGSKATTTQIGLSISIVVVGIISALVGTCSSLANIAMKY >KVH91462 pep supercontig:CcrdV1:scaffold_1402:80702:82237:1 gene:Ccrd_006514 transcript:KVH91462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLLLLICRVRLPVELSALITMMPLHSAIASACLKSGLSLESESWGLVPQGISMPL >KVH91463 pep supercontig:CcrdV1:scaffold_1402:85899:86882:1 gene:Ccrd_006515 transcript:KVH91463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MMMIIGRETLHSNPTVQVPPWDSTLDDPTDHIHSVFFDELEMAGYDEVGEYDDVPMDAFSCDHFRMYEFKVRRCARARSHDWTECPYAHPGEKARRRDPRKFHYSGNPCPEFRKGTCQRGDTCEYAHGVFECWLHPSRYRTQPCKDGVQCRRRICFFAHTPEQLRLLPVSHNGSNHLESYDGSPSRFGVDSLIGTSPTSTLYRSPCSPPLSPVSSIGPNSVNELTSSVRNLQIGNGGRLSMSPMSGFCSPRSLSILRPGYTSLPSTPTRNSSRTCVNSFDLWDQGCTTEEPIMERVESGKEIRAKIYAKLSRENSLGGRVDSSGLVS >KVH88211 pep supercontig:CcrdV1:scaffold_1403:27711:32262:-1 gene:Ccrd_024399 transcript:KVH88211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDFSSFLSGTIFLIFFFQPPFPRAQNPPFQPLFQVKDGSMGDGLKMNTQMNQSCHGCRSPPLTVDCCGLKGGLVLPSDDVIYLSRGLIFVKFGAALEGLLPFFSFCLGAVLASVPELFRKLILLILGLIPASVSALYT >KVH96901 pep supercontig:CcrdV1:scaffold_1404:110629:111444:-1 gene:Ccrd_001008 transcript:KVH96901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MLSGESAMGSYGLKAISMLRMASTRMELWSHEVNLVQKFLLPLGVSLPDRIAEQICNSNKLEVDAIFLYTKHGEIVSLLSRNRPNLPIFAFTNENSRRMALNLQWEFV >KVH96900 pep supercontig:CcrdV1:scaffold_1404:105226:105825:-1 gene:Ccrd_001007 transcript:KVH96900 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG domain-containing protein MKSSCRIRSCSSADGATTTNTFKGDNSQTNSTEIPITFHLSNHESTAAVKIQSAYRSHAIRNLTNKIKAVNSEADKLQRLIQLQETVDAVRTNNRDRIKMNEALMRLLFTLDSVPGLDPTVREFRRNVSRRIVGLQEILDSICEAGEANWDGFLRDWDDVIMGIEHEICKEKGGADSHEFERFCAENLGFQCLQRFLRQ >KVI01478 pep supercontig:CcrdV1:scaffold_1405:38442:39652:1 gene:Ccrd_020250 transcript:KVI01478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHIFEIYGFALAFVVDDYSTFSTLKIYHGGVFIICPSREYINGKYHFVDLVDSEEYLIQKFRVLMKEIGIRNDASTVYHFKIPRKDLNFVLEALGNNLDVLNLLKYAPQCKIIEVYTEVIKELPIELSPELNQRVLLEWVDKNQEVDCFDLFDDFNPFDETMEYQNNEVNEESDSDGFVHDDRNVLDDGVINNEVNVDHELELEDFESNTNQSNLEVKRFTYLKKLNKKKGSNIGFDKSVNFYPSQVIGSKEGIKKMVDQHAIETRREIVVVKNNLHRMRAVC >KVH94505 pep supercontig:CcrdV1:scaffold_141:307011:311836:1 gene:Ccrd_003420 transcript:KVH94505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSTALKPSTSFHNTLTLFTPLHYSHRHLSSSSVTAPSIMASSSTAAPKSRYPGLQKLQGDLTVGDFKSLRRKINNLKKEIQEEKDAYVRERLLQNKKKMESDAAHRLALAASRGDAMRNSGLVNKLSLRMQNPIILPQGSYDQDIDSLPEALIPVTAKLPLVEKIPPYTTWVCLKRNQRVIDNRSVIGKPHIGNEQFGGKPLVCSDNEEEIDEPGNQKHEFSEGEKRIVRMVYQDYEPSEKLLQFLTQFIGGTTSEIHEVFNMLKKEDEDKLNQTVSVPEEVEHSTGMPLEKSLASYLNSYDTLFCRQCLTERVESPIDSQDGIPCSDQCYLLLQTAMTDPERGGSSNMDNADQDKIKDNSKEGTKKTAEVPVINDASTLSCVQAEGSPSIREWKRLEKDLYLKGLEMFGRNSCLITRNLLSGLRTCIEVYNYMHDVSSSYASDVDRETDVGHKEKEMVSRTELVGRKGKAKKQKLSLTPSGRASARRIAGKHPLGKNYTPCKCSPMCGKDCPCLKNSTCCEKYCGYIVCLVLRAVFFQLRNVYDDDVCLGAQKGAKIGLGDANVQRVNAEASNARALLLDENATQISAVIVGLICVVGVLLICSCGDGSSGEPPKSGEGPCGNMKLLLRQKRRVSYISYPNY >KVH94496 pep supercontig:CcrdV1:scaffold_141:361696:362244:1 gene:Ccrd_003414 transcript:KVH94496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MHASRGRRIILSDGTLQEYENPVTVAELMLDHPQQVVVEFDQKARKPTPLPADLKLETSKIYMMMPMKRSMSCEEARYLLLRANALLSSSNSFVSAYTGFLPLFARIRLAVKKGKSESQMVLKAKPGVGLFMKKEMEMEMESQGRSADYYLSRQLSGKASWKPSLDTIKEKGVKAKIRHWLL >KVH94492 pep supercontig:CcrdV1:scaffold_141:393755:395141:1 gene:Ccrd_003410 transcript:KVH94492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRAPCCEKVGLKRGRWTAEEDKIMIDYIQTHGEGSWRWSLIAAHLPGRTDNEIKNYWNSHLSRKIIPSRRLPVPSMPDLSPHKRKGRTSRSTMKINKTYTSFSNANNKKLMTDPTVVCANKTTSWDAPPTLISPVNVPITPLTPCTEKESIHVYLDPTCSSSYQHSDDGDMMVDDDGMNYFIENGALLLEDVVEEEKITQDATSNGGKEGKEIESEKGELVGGNRSSSSTDLLNVGDGKQVVDGEWEWNWNWNFDDEEVGLGLGVGEEEEDIILSWPWQESELASTTTDNIAAWLLS >KVH94490 pep supercontig:CcrdV1:scaffold_141:375960:377839:1 gene:Ccrd_003412 transcript:KVH94490 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MVSSPSPPHSHGCCLEKKHWWLTKQKIVDKYVKDARSLIVSQQQNNIASAINLLDAALAVSPRSEMALQLKATSLLHLRRFKEVAEMLHHYIPSIKAHDSSTSSSSSDNNSQPLSRERVKLLSAAANSDRSFTCFSVSDLKKKLMDGIARNRDQEGQWRYLVLGEACCHLGLMEDAMALLQTGKRLASAAFRRESVCWSDDSFISSGETFAISHQPQTTESDSICHLLSHIKLLLRRKTAAMAAAEAGLYSEAIRHFSKIVDSRRGSPQGFLAECYMHRAAAYRSSGRIAEAIADCNRTLALDPSCIEALTTRASLFETIHCLPDSLHDLEHLKLVYNSILRDGKLPGPAWKRQNVGYGEIPGKLRCMGRKIEELKGRVCSGEIGNVDYYGLMGLRRGCSRSELERAHLLLSLRHKPDKSTSFMDRCEFADEGEMDSIRDRAKMSGLLLYRLIERGYTSLMRIVMDEEASEKQRKRDSLQEAMQEQQQEQESSSCKLECVKKRNMEKKNVYQGVFCRDLAVVGSLLSQAGGGGGGGCNRPIGAIPIKYEALSC >KVH94502 pep supercontig:CcrdV1:scaffold_141:288465:289337:1 gene:Ccrd_003423 transcript:KVH94502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKVTKLELQMISCENIIMKLKETLQVLTITLLSLLLPLSFLLLARLSTASYLLSLNGDFPAAEPPSLVFFSLLLKYIPLSPLHLLVSLVCIASLVHTLNDGRITFPSFSVSPATTVRPRLYTAWIVLCTLQVCVGLGIEGSIGAGIVGGGFGQESNLICRIVFFLGLHGTTVYWSRMIVKPVVDDTMFGYEMEERWMDRVVMGVSLGGLWWWKLRDEVESLVGVTTGVGVGAVELLGWWLYYVVVTIGMVRVVKGLIWFGVILLYRKVEQTSDDVDNGSSLRVQEKV >KVH94532 pep supercontig:CcrdV1:scaffold_141:197282:198717:-1 gene:Ccrd_003436 transcript:KVH94532 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIF system FeS cluster assembly, NifU, C-terminal MALATPILMSQPQFQQLSSTKAITQVTRSPVSIVITNRIRWVVPQNRRCITTINAMAGGGLYSAQQFELTPQNVDTVLDDVRPYLIADGGNVDVVSVEDGVVSLKLQGACGNCPSSTTTMKMGIERVLKEKFGDAVKDIVQVYDEQPAETTVEASFLIDLKNNLYEYCVVTYILQLQAVNGHLEILRPAIANFGGSVEVLSVEGGECVVKYTGPESIGSGVKAAIKEKFPDIVNVIFT >KVH94533 pep supercontig:CcrdV1:scaffold_141:183772:186810:-1 gene:Ccrd_003437 transcript:KVH94533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVVSSPSPLRTKKTRAIGIPIIDLSLDPTTLSQHMIAACQDYGFFKIVNHRVPIDVISRMEEAAHAFFSKPANEKLKAKANPPTPFGYGCRNIGFNGDTGELEYLLLEAKRSDTSSFTDHPTNFSCAVNDYIHVVKELTCGLLEMLALGLSLPDTHVFSRFIEDTDSDSCFRVNHYPSVKGQSNQLKPTHRIGFGEHSDPQIFTILRSNDVPGLQISTVDGLWIPVTTQPTEFCVFVGDALEVLTNGRFKSVRHRVMANTSTKSRTSMMYFAAPALNEWISPIPQMISPGNLRLYKSFTWNEYKKAAYSLRLGDQRIDLFKCHSTLC >KVH94531 pep supercontig:CcrdV1:scaffold_141:203679:209544:1 gene:Ccrd_003435 transcript:KVH94531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF724 MLVYRKGNTSLPKGWSQVEVKSQEEGFHLVATVTRRPPPISTTKKVSKLCRHHSEWCTGVTTGGVEPSNSVVTFYRFPQFRIFESWVDGKWVRPEEQEPVCNDGHSVENAVERGESSSLKCITEGIATVSLNFKDSVEDTSHTTSGIDEQTSIVTSMKRAKLTSIGSNDKHLKPSKKSKSGISSDDPSVSSVGKSKEVSNPPAGQSWGCNSQEANTSDQTFDNIENLPHGNKMCKALTYSRTKKQLGPPLAPETTGNVEVTEVDTNSITKRKRGRPRKLPLKIPETSLAVNHQNGDALQGLGFVEGSKMNASIKVESGKENPEVNVDKPLNRSSGKALTVQHEKPKIVKGRRGKRRTISSINIEASPQGATIVKIHRNKKQMEGWRQMVRQEIPNLLSRNISTQCRMINLSRVAAQQRKVEQSSDASKKQLENLEICPAVANGEMVADDGGNLSFVKSSPLWETLESMEVFRMIPQNPHFRLLNSLKESAREGQAISQMVNFSKVVENTSQLRFDCPRNTIEECLEVLVELEIHGFDVKLIRDRLTGLLLIKDKQEELEARWKEVGDKIERVNVEGGRDDEEIELLDKKIRELLERRSQILAKKEKMGSEQGGLEVEIDGIKEGLNEIRYKFDGLAAASLCPP >KVH94506 pep supercontig:CcrdV1:scaffold_141:266166:271283:-1 gene:Ccrd_003427 transcript:KVH94506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRKVKKIFLTSGMRITSERYPSSTAVVNGDITLHLDGDEIDGSVNNIHWSLLKHLLILCIIICATDADFTDNQIEEQILSPPTAPFASLEIPDLPLPVRLPLFQKQHRRRLSPLAAPKLVLAPAQPPDYGPLITSTHPPSSSHLSRPSMRKSETVPPATGLTPPRLADIAPSQSGAGTLPTGLAQPPLSPHASNCCGPETVLKRDSEGCHCVYPIKLDILLLNVSSNPNWNLFLQQFATQLGLRVAQIELINFYLLSLSRLNISMDITPHTGVSFPSREASRINSSLSTHKVRLDPRLVGGYELLNLTWFKPVAPAHAPRIATSPTKAPPSTSSSPTSVNTSGRGKHLSLILVVGIGVGILSVAVICVLIICSCASRRHKPEASPKETAKPRTVEALPSGGSFPHPTSTRFLAYEELKEATNNFQHSSILGEGGFGRVFKGVLHDGTEVAIKRLSSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSNRDNSENLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFNAKVADFGLAKQAPEGRATYLSTRVMELADPKLGGKYPIEDLARVCTIAAACVSPEASQRPTMGEVVQSLKMVQRVTDQLEAVANGEAFTGFLAKDEASRSVTEKQKRRKRPRVLVFSESIVQ >KVH94488 pep supercontig:CcrdV1:scaffold_141:56680:57223:-1 gene:Ccrd_003447 transcript:KVH94488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-5 ITRIISITHNNRALQHKFSSSSTFRKLKSIKSSIGQMATTKLISSIIVDQLSVVIARRGFAMAAHGSVSGTVRGSGVAMMKKGGDESKKSSTPWVPDPVTGYYKPEDQTNQIDAADLRELLLKNKTRRH >KVH94512 pep supercontig:CcrdV1:scaffold_141:430023:432081:-1 gene:Ccrd_003405 transcript:KVH94512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19/L19e MPNWEVINIHYHLSRKTLVLLFAAVKKEMVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKLARREERLAQGPQERAPAAPAATPAAQPAQASKKSKK >KVH94489 pep supercontig:CcrdV1:scaffold_141:66102:67319:1 gene:Ccrd_003446 transcript:KVH94489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-5 MARSFSNAKRLSSFFGDQLSVVISKRGIAAAPQGGAFGGGAAMMQKGGEESSKSASKVSDPAVIRPEFRSNQVFSLLNYIHPFSSHVNVYKSQIPSIFSLSSRFSTASTPSVASPAVNHARPLSPHLSIYKPQSNSMFSISNRIAASFLSAAVLVFYLICMKTGLICFTYNSFYQIFFGIAGFTDLVCYSAIPLTILHLLHVLKH >KVH94514 pep supercontig:CcrdV1:scaffold_141:417559:423973:-1 gene:Ccrd_003407 transcript:KVH94514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MEMGIRHQKNSDRLRTIWTPEMDRYFIDLMLEQVGKGNRIDDHLFSKRAWKNMMLLFNTKFKFHYEKDVLKNRHKTLRNLYRAINNLLSMNGFSWDQTRQMVTADNNVWDDYIKGIEDELFVDMQVHPDARSYRIKTIPYYYDLCQIYKNISTEGNLDTSDENPERDCKALGTEGEDCLVKSLSGSVGDAILENETGNADEADLEALHEIMIDEDFRVSISKEFADGIPQSEEDMGLTSGRTRARTYWQPPMDRYFIDLMVDQVQKGNQIDGLFRKQAWIDMIKSFNARFGFKYDVDILKNRYKTFKRQYNTIKKLLESEGFFWDDLRQMVIADDRAWQDYIEANSDARQYMTRPVPYYKDLCIVCKEVNTSDGRESLSDNHSNQKDEAGILKQTHSATVSDEQESPHLDLKRKLENRWSSEHLKKARMDEESMASALREMATAVSCLADKMKNEDDDDDDDDNSSKLIAVVKAIQGLPDMDEDLILDACDFLEDDDKKAKTFLALDVKLRRKWLIRKLRPQHSSIQVKPTQL >KVH94508 pep supercontig:CcrdV1:scaffold_141:279602:283576:1 gene:Ccrd_003425 transcript:KVH94508 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MAQSCLPSLRFNSLVFSSTKNPSSISEPSFNPLPLLPLRSRKASKLRGNQKTLRTSSVKAVYSGDYFSPDKNSRQGIWSIREDVQVPSSPYFPVYAQGQGPPPMMQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCVGLAASMGAFLLGAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDLDIQANEMLHHKANLNGYLAYHTGQSLDRINQDTDRDYFMSAKEAKEYGLIDGVIVNPLKALQPLAAA >KVH94528 pep supercontig:CcrdV1:scaffold_141:236308:238035:-1 gene:Ccrd_003432 transcript:KVH94528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MVFKALPYSTLKLVLFISRLLLRLHKNHTKALKRTLSNTHASHFKPQTPFSLADQSDLSQKTLIFDVEGTLLRSSSVFPYFMLVAFEAGSLIRAFVLFTLYPLLCLVSDELSLKIMVMVCFFGIKKDSFRVGSSVLPKFFLEDVGLEGFNVLRRGGKKVGVSKLPQVMVEGFLKDYLEIDFVFGRDLIVYGGYFVGLMKQNKNHIKHRLNDVLDEEEPKVCFSNRSIKHDWISCSKEVYLTSDGEKMAYQALPRGRYPKPLIFHDGRLAFRPEPLSMLVMFMWFPFAMVLTIFRAIIAIFLPYGALTPILSFTGMQLRLSNNNSTKNSINDHKQHKGLLYVCNHRTLLDPLYLSFGLKKPFAAVTYSLSRMSEIISPIRTVRLTRDREQDAKTMDKMLKQGDLVVCPEGTTCREPYLLRFSPLFAELSDRIVPVALDTHVSMFYGTTAGGLKCLDPFLFMMNPNPVYRVRFLEMVSGVSSSTSYGDGKSASFDVANYVQNEIGKTVEFECTSLTRKDKYLVLAGNEGIVNSACNKR >KVH94481 pep supercontig:CcrdV1:scaffold_141:53682:54419:1 gene:Ccrd_003448 transcript:KVH94481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-5 MPGAKLFSSFILARRGFATATRGIGGAKGSGIAMMKKGGEESKKSTSWVPDPVTGYYKPEGQIKQVDGAELRELVPKQKNQK >KVH94485 pep supercontig:CcrdV1:scaffold_141:95918:103693:-1 gene:Ccrd_003442 transcript:KVH94485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MASTYISNLLFFSSLLLLICSSSAQSSGAAVCNDTPYPSFCRTSLPINNSSANLHDYGRFSIRKSIATATKFSNAIDKYLTTTSGLTMAAIRALQDCQYLARLNVDFLSNTYQTVNAGQTSLPATKSEEDQTMLSAILTNTQTCIDGLQANAASWTSKNDIVATMQNDDKLFSVSLALFNKGWGESKAVFETVGRRKLLQAGAAGNQVVVSNIVVVRQDGSGNFTTITDAINFAPNNSASSAGYFLIYVTAGVYEEYVNIPKNKKYLMMIGDGINQTVITGNHSVADGWTTFNSATFIVVAPNFVAVNITIRNTAGAVKHQAVALRNGADLSAFYRCSFEGYQDTLYTHSLRQFYRECDISGTVDFIFGNAAVVFQNCNMYPRLPMSGQFNAVTAQGRTDPGQNTGTSIQKCNIRPADDLASSGGSTKTYLGRPWKEYSRTVYMQTFMDSLITPAGWSIWSGDFALNTSYYAEFNNSGPGSDTSQRVTWPGFHVIDATVAVNFTASVFIPGDDFLPQTGVPYDGGFLKFPSHPSMADSTSIPNFIFFSSLLLSISSTTTTVATTASVCKDTPYPSFCQTFIPINNSSSNLHDYGRFSIRKSISTATKFSNLIDKYLTTSTTVTTAVIHALQDCQYLARLNVDFLLATYRTVSAGQTSLPATTSEHAQTMLSAILTNTQTCIDGLQTNAASWTSKNGVFYHIQNDNKLYSVSLALFNKGWGSKTNNKFDFSNRKQTGFGNDRFPRFKMSEQSTAIFETVGNGRRKVVQGGGAGDEVDVSDVVVVSQDGSGNFTTIADAVDFAPNKSASGAGYFLVYVTAGVYEEYVNIHKKKKYLMMIGDGINQTVITGNHSVADGWTTFQSGTFIVAAPYFVAMNITIRNTAGPTKHQAVALRNGADFSTFYRCSFEGYQDTLYAHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNIYPRLPMRGQFNAITAQGRNDPGQNTGTSIQKCNIREADDLAAGDGTTVTYLGRPWRAFSRTVYMQSFMDELISPAGWLKWSSDSALITLYYGEFNNSGPGSETSERVPWPGFHVINAMDAVNFTAAVLIHGDDFLPQTGVPYDSGL >KVH94500 pep supercontig:CcrdV1:scaffold_141:258437:262048:-1 gene:Ccrd_003429 transcript:KVH94500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASSTPPPTLTTSKPYQNHHIQGIQNHHRRTHNGHNPHHPSPKVSLHPPTPRNPTANKPPVPTTAAPAASSSLHHRNPNFAPLSASKSELAADFSGRRSTRFVSKMHFGRPKAAASSRHSVLAEDALHQLIRCSGDDRLVDSVLLGFQPKLCGSDDYNFLFRELGNRNEWSMAIQCFEFAVSRERRRTEQGKLASSVISVLGRLGKVDLAKKVFETAVTDGYGNTVYAYSALISAYAKSGLSDDASRVFETMKLSGLKPNLVTYNALIDACGKGGADFKRASEIFDDMLSNGFQPDRITYNSLLAVCSGGGLWETAMNLFNEMSYRGIDPDIYTYNTLLDVASSGGHMDSAFQIMAEMPTKNIMPNEVTYSTVIRGCARSGRLDQALSLVSEMKYAGIRLDRVSYNNLLAIYASLGRFEEALNVVKEMESTGFRKDVVTYNALLDGFGKQGRYDKVKELFKRMKTEKVPANLLTYSTLISVYLKGGLYQEATEMYKEFKHEGLKADVVFYRDADKKLDNRDEDRIIKVFEQLAAGKLSVVEKASKGRKEIICVLGVFRKMHELEIKPNVVTFSAILNACSRCSSFEEASLLLEELRLFDNQVYGVAHGLLMGYRENVWVHALTLFDEVKRMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENTWSDSCLDLHLMSSGAARAMVHAWLLNICSIVYEGHELPSLLSILTGWGKHSKVVGDCALKRAIEALLSGMGSPFRVATSNIGRFISPGHMVAAWMRESTSIPNLLILQDDRVYPETPRLNHHLQPVSL >KVH94509 pep supercontig:CcrdV1:scaffold_141:284801:286515:-1 gene:Ccrd_003424 transcript:KVH94509 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEQDSPLNDSDIQPSKKRQNMIFCFFLQGRKVADKIVVTVKLEGKKKTENPSCDCWSWRKYGQKPIKGSPYPRGYYRCSTSKSCSAKKQVEICRTDASMLIITYTSAHNHPDPALSKESKQAKTKIPHDDSNSTAPEPDQKNEDQKPIITVVDDQDPIIEENDNFRYIQTPENETHLTVDLENTFFDEEPLSYPNLMTFSALKSEENDFYDELEELPMSSSTFKSFMRTNFFEERVLVQPS >KVH94497 pep supercontig:CcrdV1:scaffold_141:345141:348840:-1 gene:Ccrd_003415 transcript:KVH94497 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MITSRPFFCFILLALIGLLLSTSFIPFGVSKIKQVEKQVDVITKKSHQEIWSVIQNAATTTLLPMKSSATNLAKVANMSLTETDITFYDIQAKVAPLLFQAMVTIPHLSQISYIRQDGLFFALYSKDHHQIVAIYSNTSFSKATRSRFHYLWYTQPVNSDTGKLYGDAVVFPPQLLINETWLQQALNTRNGFASLGKSLNDVNNLLVLNTAGVDKNGVISLGFELKSLINVFSSVKPLGGGLYLATRDGKVLTEGIPNTRMVLNGNGTFSFMLWKADGYQSGSITLQLNYETPQAYTLDIPGTKYTLYSSSVDIIGMQLVYVLALPYEGLPSRMHKNIIFVFALLSATFVIVAISIFSFVVLTVRASRKEMCLRAALIKQKEATQQAERKSKNHSLAFVSASHDIRASLAGIAGLLDLSISNVQQGSELAVHMGLMQKCTRDLKSILNSILDTSKIEAGKMQLEEKQFDLANALESVVDLFYPVGLKKEVDVILDLQDGSLTKFSLVKGDERKFKQILANLLSNAIKFTSEGYVSVRAWVRKPRLQNSTFDSSHNQSRRCLSCFFSNNDEVHGDLEAIDEVRHDPNFMEFVFEVDDTGKGIPKEKQASIFENYVQVKETGPQLEGTGLGLGIVRSLVQLMGGEISIVDKEVGEKGTCFRFNAILKVCQSGLISLSEDEKTVSPGDLSAGSNTPLHHPRQLDHNSSIVVLFMTGDGRRKMAQNSLAAQGIKVVAVKNTRQLSETLREFRREEKNDCSSKSDLNLSFGYLTWPTSRDSNTRSREVPLSSLDGTDMSPIRTTNTVSLSNFILLVIDTTKADFRELCRTTAEFRKYSKNGNSRVVWLGSRCIQLQGLDEKKLPPSDIIIPMPLHGSRLYSLIGLLPEFGGKFSSPPNQRQAGTKEENHHPPSRQLEVQEIARISPSSSSPLRGKRVLLAEDDAVQQTIAKKILLKHGVTIETCRNGKEALTMVSKGLSDQRNLGASHILPYEYILMDYQMPVMDGCEATRQIRLKEKDYGVHAPIIGLTAHTEGEELNKLFVAGIDIHVSKPLNEQKVLKVIEDLHSRK >KVH94495 pep supercontig:CcrdV1:scaffold_141:321400:322742:-1 gene:Ccrd_003417 transcript:KVH94495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MASFLCSPKFFLLLLVLSAIPIAIIISLESATPISHHYHFHSTGWLRESTKWDHVNRRFIVSLMDGGGLGVLPLPDEDDRTGAVLQEIVVVKNTDAIGNGSCGLFIDRPRNRVVVAIADVLGNTYSAVAAYDLDSWKRLFFTHLSFSDDGKTFADDVTVDAEGNTYVTDAKGTKIWKVGLNGELLSVIKSPLFHAKEWYKDLVTLNGIVYHPNGYLIVAHTLTGYLFKVEINKDNQVSVVKIDDSLAIADGLELLSPTKLVVAGANGVKLVESNDDWETAAIVGRSPVLKHRLVTASTVKDGKVYINHAIGMGYPKKKHVLVEAVFS >KVH94516 pep supercontig:CcrdV1:scaffold_141:448600:450333:-1 gene:Ccrd_003403 transcript:KVH94516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MANHLVTSVLSMGLSILFILGVVALVAKHGTSDDDDTPLNSMAIHTICKPTEYTDACHDALEDVSKNSSSTKKDYIFASFRATIDELKIASEKATSLRKDLHGKKDDYSKYARADLKNCETLLGQASDELQHLLQVASKQKLADLPDQTDPILVRLTAIRAYQTTCVEEIKDDKLKNDMQNALEAANKNTYNSEKIMYNIPDILMEFGVELDLFHGAIAGHRRLLDDEEEEHEHDEYEHIEQAYFKTPEPESIDDITNVKPNVVVAQDGSGQYKTIKEALAAYPSDHKGRYVIHIKAGEYNEGQIIVEQNNVFMYGDGHDKTIITGSENYAFSKCGASQTATFIAQGERFMARGIAFRNTIGESGLEAVAFRSMSPHTLMVDCSFEGYQNTLYYHAHDQFYKNCTIYGTVDFIFGSGRAYIQDSVIYVRKPGKNQNNTILSDRRMKNEEKGGVVLQKCKIKATKELKAVQGHVKTYLGRPYKEYSKEMVMQTEIGSMLEPEGWTKMYEPEGNKYHDTCSIREFYNKGAAASTEDRPTKWKGYMVIKSKKYAKGYSADKFIHAGSWVPHAGVDVDLKL >KVH94484 pep supercontig:CcrdV1:scaffold_141:91150:95097:1 gene:Ccrd_003443 transcript:KVH94484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MEAIRHTKIRVNGINMHVAEIAGDGLPVLFLHGFPELWYSWRHQMLYLSALGYRTIAPDLRGYGETDAPPSAADYSAFHIVGDLVSLLDALGLDQVFLVGHDWGAIIAWYFCLLRPDRIKALVNMSVVFSPRNPVRKPIESMRAMFGNDYYICRFQQPGEAEEELARVDTALVIKKFLASRNPGLLCVPKEVGLGGNPNSKITLPSWLSEDDVNYYATSFNRTGFTGGLNYYRALDLNWELTAAWTGVQIKVPVKFIVGDLDLTYNTPGVKDFIHKGGFNKHVPFLQELVIMEGVAHYINQEKPQEVNQHIYDFIKKF >KVH94482 pep supercontig:CcrdV1:scaffold_141:116231:132446:1 gene:Ccrd_003441 transcript:KVH94482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MDGTTTSEGTTETFPEYGEMWNGFPSWVRKKEKTLLQAAVEDTEYDLVVAKDGSGNFTTIGEALSVAPNKSTTRFVIYIKAGAYYEYLEIDSKKTMLTLVGDGIGKTLIKGNRNVIDGWTTFRSATVIAVGSSFIAKGITFENYAGASKHQAVALRSNSDLSVFYKCSFVGYQDTLYVHSFRQFYRECDIYGTVDFIFGNAAVVFQKSNLYARLPDPNQKNLFTAQGRDDPNQNTGISILECKIAAGSELIPYQTMFKSYLGRPWKKYSRTVIMRTYIGDLIDPAGWLEWSGDFALDTLYYAEYMNRGPGSNTSGRVTWPGYKVIVNSSEADQFTVENFIQGGEWLDETTSETAETFPEYSKMWDGYPKWVKKKERALLQAAVKETEYDLVVAKDGSGNFTTIGEALNVAPNGSTTRFVIYIKAGAYYEYLEIDSRKTMVMLVGDGIGKTLIKGNRSVVDGWTTFRSATVVAVGNNFIAKGITFENFAGISKHQAVALRSGSDFSVFYQCSFVAFQDTLYVHSFRQFFRECDIYGTVDFIFGNAAVVFQKSNLYARQPDPNQKNLFTAQGRDDPNQNTGISIMECKIAAGSELIPNQTMFKSYLGRPWREYSRTVIMRSHIGDLIDPAGWLEWSGDFGLNTLYYGEYMNRGPGSNTSGRVSWPGYKVIVNSTEAGQFTVGNFIQGGDWLNATGVPYYLGLN >KVH94510 pep supercontig:CcrdV1:scaffold_141:406008:406394:-1 gene:Ccrd_003409 transcript:KVH94510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTTRVYKGVKGYWRRRRYQRLGTTTAPKRRTKWRIRISPRLKLRVSPKRLFAGVRDAYVRLMIKMANSPVVRCRTMSNGHDGEGFGTTARKEYDEKMIIEIYKTLAMRQGQLVTQQIPSQIACLV >KVH94517 pep supercontig:CcrdV1:scaffold_141:457384:459031:-1 gene:Ccrd_003402 transcript:KVH94517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 eukaryotic/L18 archaeal, C-terminal MNEFFDVMGLQENLLRGIYAYGFEKPSAIQHRGIVPFCKGLDVIQQAQSGTEKTTTFCSGILQQLDYNVESKWKLEELFRSDQNGILDIIFQLILMEDEPEKYQSHFSEYIKAGVDLENIEELYKKVHSAIRADPTPKKLDKQPPKEHKR >KVH94491 pep supercontig:CcrdV1:scaffold_141:364788:367983:1 gene:Ccrd_003413 transcript:KVH94491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin type III-like domain-containing protein MAATTIPLSLPHLFLLFLFVLAVSWPTGNALRLFACDPRNAAVKDLPFCKINLPIADRVRDLIGRLTLKEKLGLLVNNATAVPRLGLVEYEWWSEALHGVSNVGPGTRFGGDFSGATAFPQVITTAASFNASLWEEIGRVVSDEARAMYNGGWAGLTYWSPNVNIFRDPRWGRGQETPGEDPIVAGEYAARYVRGLQGNLPGDRLKVAACCKHYTAYDLDNWSGVDRFHFNAKVSRQEMVDTFEVPFRQCVMQGNVASVMCSYNQVNGIPTCADPRLLKDTIRGAWRLNGYYFWFCIIAFEFIPSLACFAFYVFNIVSPNRYIVSDCDSVGVFYDNQHYTATPEEAAADAIKAGLDLDCGPFLAVHTQGAVERGLLKENDINSALVNTLTVQMRLGMFDGDSAAQPFGNLGPRDVCTPANQELALEAARQGIVLLKNHGPSLPLSPRRHRTVAVIGPNSDVTVTMIGNYAGIACGYTTPLQGIGRYTKTIHQPGCPNVNCKNAHHFGDAINAARQADATVLVMGLDQSIEAEFKDREGLLLPGYQQELVSKVAAASKGPIILVLMSGGPIDVSFAEHDPRVGAILWAGYPGQAGGTAIADVLFGTHNPGGKLPMTWYTQDYLSKVPMTTMDMRSNLARGYPGRTYRFYKGPVVYPFGHGFSYSNFIQTLASGPAIFTVPVDGRHKNTTISTNAIRVTHAKCGRLSLGLQVDVRNAGSKDGSHTLLVYSTPPVGHWGPHKQLVAFKKVQVPAGTQLRVPINIHVCKFLSVVDRSGIRRIPMGQHSLHIGDVKHLLSLQSTLGVIKS >KVH94511 pep supercontig:CcrdV1:scaffold_141:413462:414517:1 gene:Ccrd_003408 transcript:KVH94511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MVMIVLAPSLQSFHPAEAIRSSHTHIDGYIRLPGGHIPGTVTYRSSFRRASTFRNAAGCQTRKGSVDVCDPSLVHVAITLDFEYLRGSIAAVHSILQHSSCPESIFFHFLVSDTGLKTLVGSTFPQLKFKVYYFEPEIVRKLISTSVRQALEQPLNYARNYLADILEPCVRRVIYLDSDLVVVDDISKLWSTSLGEKTIGAPEYCHANFTKYFTTGFWSNRKYSSIFSERKPCYFNTGVMVIDLVKWRQFGYTKRIERWMEIQKSDRIYELGSLPPFLLVFAGHVAPIDHGWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWLRLDSKRPCPLDALWAPYDLYGQSM >KVH94499 pep supercontig:CcrdV1:scaffold_141:311867:315244:1 gene:Ccrd_003419 transcript:KVH94499 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein MHGNILMILRFCLENPMLLVGEHLYRYQSIYICRSSNHYIYIYIYVCMDCWLNQKYVMSAAKKDEYLGEYTGELISHEEADIRGKYYDLFINTEEINYNDTLKLKSTLSYAMQLVLDACRAGNKLKFANHSSKPNCYAKITKVGGDHRVAIFAKENLEAGQEIFYDYSYKPEQTPAWAQEPDDEHTRTDSRYLELQ >KVH94494 pep supercontig:CcrdV1:scaffold_141:330377:345170:1 gene:Ccrd_003416 transcript:KVH94494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MNLVGQSIEQLFDHETGQQRHTLLENSVHQFSQQGHAVVVYESLGYAYFVLGDVEAKQCLYMDLGHHTKASSTSMQPLGFIDRLHAKTQHQSESEIGHAVVADIDVDLREIYFLIMHFLSDGPCQRTFTQFCTELMEYQLLPKRYHAWYSRNGEVTGDKTDDGISFPLSYDGAAQRYSHIEKDHLVKLLKQLILHVAPSRGIFGRTAPTAADVPTLLGIGAFSLLGCTQKEVDVHVKPLPAYLRWPHMQADQVRGIGLREIGGGFPKHHRAPSIRFACYAIAKPSTMVQKMKNMKKLRGHRDAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVNLNNTLVASASNDYNIRVWRLPDGLPISVLRGHTGAVTAIAFSPRHNSVFQLLSSSDDGTCRIWDSRYSQCRARIYVPRRTDDVAGGNNGPSSSNGPSSSTISQNNQILCCAYNANGTVFVTGSSDTFARVWSACKPNIDDSQQPTHEIDVLAGHENDVNYVQFSGCAVASRSLTSDSTDEKVPKLKTSWYSHDNIVTCSRDGSAIIWIPRSRRSHGKIGRWTRAYHLKVPPPPMPPQPRRGGPRQRFLPTPRGVNMIIGTIEGAQLHIGRESMTARFATPPAWMAAEEAADLGIQNDDCRICVWNASDGRLVHSLTGHSDSTYVLDVHPFNPRIAMSSGYDGKTIIWDIWEGMPIRIYEIGRFKLVDGKFSPTHLMQETQLPPYRRNIQDALCDSSMLPYPEPYQTNYQRRRLGALNLEWRPPSLKFAIGTDIGLGQEYQILPLADLDVVAEPLPEFLDAMFWEPENDVIVDSTDSEYNVTDEYSSDEQGSLSNSSVDGESSGGNNIDDGSHKESRRRSKRKKPKDLEWTSMSGRRVKKRNFNEHEGTSSRSKRTKKSKSSRNNSKRKSCQVTPSRPQRSSARNGKKFSFGNEKDDDFSEDDSSESEPCIGEGKPVSLDETVNAVPPNESRRKLVLKFSFPKKSAASENTMDQGNNQTTSSRLEESSTPIKEELSEDHNTTREREENGRPHDRVEASTGTNGSDFRRKSTIIRIKHNIKVDSDVKVSTSAGDDLTTTTMGECSQTKTQVDDIASQKRKPIKLKLSYHGDGRMVENPLNTKNSDNLTEADSSETAADAMRRTRSLKSKASSRETNDAPQKHKVRLSMKTPRSEEKSAKNPVPLIPFSSCMTSASGSKSDAELGKTSWLLLCSQEEGGRYIPQLGDEVVYLRQGHQEYIDSCHSSERGPWMLFGENIRDVEICSVDDVDYAALAGSGESCCKAKLRFVDPLSSAFGRTFKLTLPELLNFPDFLVERTRYEASLERNWNSGDKCSVWWRDEEGGGKWWEGRIVSISDKSIDFPGSPWERYFVTYTVDDNVDPCRHSPWELHDKDSEWEQPGIDPEIRKTMLALLSRLEQSARGNQDKYGIMKVKQISERLDFINRFPVPLTLEIIELRIKNFYYRSFEAMNHDITVMLSNVRTYFAKHEEMSAKMRRLSDWFERELSKL >KVH94518 pep supercontig:CcrdV1:scaffold_141:6710:8125:-1 gene:Ccrd_003454 transcript:KVH94518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNYIKQDQSVFLLALVLSNVEVPQVRYLFEKLLSEVTEILFFSRVIVTESPRLPALPPTLILSCRNFSSDAISMILSSTGFPQSMTKVAPFFFPPFAPAPPAPRLIFASLCLSLRLEQQNQQSIIKLVARKP >KVH94519 pep supercontig:CcrdV1:scaffold_141:365:4311:1 gene:Ccrd_003455 transcript:KVH94519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPKKQALDLLFVVGSLRKLQPLTWRSLDV >KVH94504 pep supercontig:CcrdV1:scaffold_141:291647:303087:-1 gene:Ccrd_003421 transcript:KVH94504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MMFSRSKRQLHYADHKNVFHGSSSKVGVTKSHRFMKAVKGVVDSSGGTKELRKAVWLCGWDGFTDDGENRVYIITHKNKASKVTTKYTVIKNKMENSYDCSCNCFVRNGILCRHALKVMLNDEVDRIPEKYILRRWRRDLVPVEWLPARFRYGEVDAEKERLMSLAYSYFERILGRVRNEKDILARFVDQLEQWDSKVDIELPLQSHTEETTASIKEFLGVSQPETVDVLPPTGIRNKGCGTGKRLISAAEKAISNGKKQKRKCRLCGQMATHDSRNCPKHSLANTCLNETSYGSSSSKTTGLSAVFLNLENEFLIMILSLNFLTES >KVH94520 pep supercontig:CcrdV1:scaffold_141:12352:16612:-1 gene:Ccrd_003452 transcript:KVH94520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKPQDSQNSEGKPPSDHQSHLPIVPFTSITLSFPQLLPTHFISSPKISPFSPKIPTTIKIPSQISSLFNLSLSSTTTLPTKSLLKSTVSANPLQNPLPLNPRRPSDPSNPAGLRRASVVWFRNDLRVQDNESLTSANNESMSVLPLYCFDPTDFGKSSSGFDKTGPHRASFLIESVSDLRKNLQARGSDLIVRIGKPETILAELVKEVGAEAVYAHREVSNDEVKGEEKIEMGLKDEGVEIKYFWGSTLYHIEDLPFKLEEMPTNYGGFREKVKGLKVRKTIEALAQLKGLPSGGDIEPGEIPSLVDLGLNPSAAMSQAKPVSNGPLVGGETEALQRLKRFAAECQAQPPKETKDGNNDSIYGANFSCKISPWLAMGCLSPRSIFITRKYSSSKQRNIAPVTACTA >KVH94487 pep supercontig:CcrdV1:scaffold_141:81457:86531:1 gene:Ccrd_003444 transcript:KVH94487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILIHSPWRSPPPIPLYLYLSCSCNSYLFAMRAVQAAVAVMGIDLVAGGKSKKTKRTAPRSDDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPISLSRLIRYMSGKDDKIAVIVGTVTDDVRVHEIPCMKVTALRFSETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVRHFGKAPGVPHSHTKPYVRANGRKFEKARGRRNSRGFRN >KVH94521 pep supercontig:CcrdV1:scaffold_141:6571:8350:1 gene:Ccrd_003453 transcript:KVH94521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22e MSRGAGGAGAKGGKKKGATFVIDCGKPVEDKIMEIASLEKFLQERIKVGGKAGNLGDSVTITREKNKISVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >KVH94525 pep supercontig:CcrdV1:scaffold_141:161091:173856:1 gene:Ccrd_003439 transcript:KVH94525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-grasp domain-containing protein MASAPFLSVSVECVNLSKYSKGDGSGRYDCSPISCAWKAPRALTGLLASTAHSSPLNHGQSERKIRRVNERRRQEETMNDVWLKRRAVLEQNLEIIICRCEAASMGAWLSFALDHLPPRRLVRSSLPDLVCRKWVVSCSSSLPSGYDEVSPEDLWEDLKPAIAYLTSDELILVRGALNLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNLVTFEKIEKEFGATVRHIVEGETKVSKLGKLKYKNESHSVQDVKAHDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPSHKQSSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPQDYAKVKRRVAELCKEQEKEIEEANKILIKKIQDDQFLDLMTVKTEVLSVYKEPYSIHKSVLKSGGSINEVNQIAQLRIIVKPKLCVGVGPLCNAQQICYHVLGLVHGIWTPIPRAMKDYIATSKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMNLIAERGIAAHYSGKVVVNGLVRHTIANDRNLRGKTVCLNNANVALRIGWLNAIREWQEEFVGNMSSREFVDTITKDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTDIGNSMVNGNIVPPLHVLANAEVVEIVTYNALSSKSAFQRHKQWLQHAKTRSARHKILKFLKEQAAQSASQLTLDSVNEFLADSGDDSEVEEVADYSKGTRHTWEKILMNVMEMSSMKMISEDLFQFKNGSIKVPKVNGKHNKHLQHVNLKAKGDALSHGNGVAKMVLADVPMYKEVLPGLESWRDGKVASWSDFEGHSIQWLCIVCIDRRGMLADISKVLADVGVTICSCAVSGCSRVDLVLGVLGWSTGCSLTETQQIREC >KVH94513 pep supercontig:CcrdV1:scaffold_141:440898:444498:1 gene:Ccrd_003404 transcript:KVH94513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, C-terminal MEETFVPFRGIKNDLHGRLMCYKQDWSGGFRAGFRILAPTTYIFFASAIPVISFGEQLERNTEGALTAVQTLASTAICGIIHSIIGGQPLLILGVAEPTVLMYTFMYNFAKQRPELGHKLFLAWTGWVCVWTAALLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGLVDEFRIPARADSKATEFIPSWRFANGMFALVLSFGLLLTGLKSRKARSWRYGAGWIRSLIADYGVPLMVLVWTAVSYAPAGSVPKGIPRRLFSPNPWSPGAYENWTVVKDMLDVPILFIFGAFVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVETARESMRMNSSLGQLYGNMQEAYQQMQTPLIHQAPSDGLKELKESTVQLASSMGNIDAPVVDSVFDVEKEIDDLLPVEVKEQRVSNLLQSCMVGGCVAAMPFLKLIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEDYHATFVETVPFKTIATFTLFQTTYLLICFGLTWVPIAGLLFPLMIMLLVPVRQYILPKFFKGVHLQDLDAAEYEEAPAIPFNYPQEGEFGARSTSADAGEVLDEVITRSRGEIRRTCSSRVTSTSATPSRDGMNLQSPRLSLKAYSPRINELRVLHSPSGPSSLGRK >KVH94501 pep supercontig:CcrdV1:scaffold_141:262694:265258:1 gene:Ccrd_003428 transcript:KVH94501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKSSFICRIIHLYLLSDFLAFLPPSSAVPPPLALNCGSKDAANDADDRRWESDNKYLVAAHKSVSSTALTHEPSLTSDVPYMTARIFNGETSYKFDVNSTSRYIIRLHFYPSNYPDYNVSDSYSVVSTGAITLLNNFSAFITAEALSQAYLIKEYSLAAFDSDSITLTFKPAGKSFAFVNGIELITEPELFDDQAALVGVAEDAGTIDGVSSNMQNMFRLNVGGQFIPPTNDSGGLMRSWYDDTPYMFGAGTITHIGNVTIEYNDLPEATAPADVYRTARTQGPDPNVNKQSNVTWVFQVDANFTYLVRFHFCECQLEKANQRVFEIMLNNQTAFATADVIAWTGGKGIPTRKDYAVFSGDKPGDEELWVTLHTNIDLKPEFYDVLLNGLEIFKLSDSQSNLAGLNPVPSKLMQKQLIANRAPDNSSITNAVVGGAVGGAATIGVAALVLFMIRKRRRVPGPDSGATSWLPVYGASSTSKSTISGRSHGGSNLSSDAVCNCRYFSLLEIKNATNSFDESNVIGVGGFGKVYKGVVDGNTKVAIKRSNPSSEQGVNEFVTEIEMLSKLRHRHLVSLIGFCEEGNEMVLVYDYMGKGTLREHLYKGNKITLSWRQRLDILIGASKGLHYLHTGAKYTIIHRDVKTTNILCDDNWVAKVSDFGLSKTGPNMNQNHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPNLPKEQVSLADYALQCGRKGTLEEMVDPHLKGQITPEEMKKFTDCALRCLSDHGLDRPSMGDILWTLEYVLRMGANGEEGTRNHTKLESLGGGMGEVPIDRNDMIAMHLNTLNLDVEDEDDANGIFSQIVDPKGR >KVH94498 pep supercontig:CcrdV1:scaffold_141:315020:317292:-1 gene:Ccrd_003418 transcript:KVH94498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQTSFSFKRTRFFVFYLTVSFSIIFLTFFSIWVFKTDPLFINQETRFQFSEIQSSSLTSVRNSPTQNSSTRLVAATVVNLNHTLFGKLLNTSQTPNISFSFDGFQKKSSGSQLPNLVSHKIEAVNGTNFSGFDVNSLFNATNMLELIKGKRLVFVGDSINRNQWESMLCLLITAIKDPKRVYEVHGRRITKDKGNYCFKFVDYKCTVEYYVSHFLVREGKARVGKKRLQTLRIDTVDKGSSRWKGADVLIFNTAHWWSHYKTKSGVNYYQEGDTVVPHLEVSTAFKKSMITWASWVDEYINPRKTQVVFRSSAPSHFAGGEWNAGGHCKEASQPLNRTASSIYPAKNAIVEEVIQQMKTPVKILNITSLSDYRIDGHPSIYGRRRGSTVRGEDCSHWCLPGVPDIWNQFLYVHLQSKVRNSYMQ >KVH94480 pep supercontig:CcrdV1:scaffold_141:47990:51851:-1 gene:Ccrd_003449 transcript:KVH94480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-5 MERKSSMKRKSSIDRTAVASAVAGRLAGRRLMNGGAMERRGFAMAAHGSVSGTVRGSGVAMMKKGGDESKKSSTPWVPDPVTGYYKPEDQTNQIDAADLRELLLKNKTRRH >KVH94524 pep supercontig:CcrdV1:scaffold_141:176261:178321:-1 gene:Ccrd_003438 transcript:KVH94524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAPAAVNIHRPSNSKKKAYVTFLAGNGDYIKGVVGLAKGLRKVNSIYPLVVAVLPDVPEEHRRILESQGCIVKEIEPVYPPESQTQFAMAYYVINYSKLRIWEFVEYGKMIYLDGDIQVYDNIDHLFNLPDGHFYAVMDCFCEKTWSHTPQYQIGYCQQSPEKVRWPADMGSKPSLFFNAGMFVFEPNITIYHDLLQTLRDFLNMYFKDIYKPIPLDYNLVLAMLWRHPENVDLEKVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVKKWWDIYNDKSLDYKNPNNLGGGHRHVTASSNNSDQMVFPSALSDPKIPAVCAPSAA >KVH94527 pep supercontig:CcrdV1:scaffold_141:244193:247388:-1 gene:Ccrd_003431 transcript:KVH94527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRADSDIDDDFSELYKEYTGPPRSTTTGVPETAKTSKRSHAGSDEEEEPLDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMVCKICGESSHFTQGCPSTLGASRKSQELFERVPARDPQVKALFSDRVIRNIEKDVGCKIRMEEKFIIVSGKDGQILSKGVDAVHRIKNEGDKKGESISNANADKSSLPKGRSPVASRMGRSDSQKSIPSPRNPSRYNQRSGRQDKITEEHVREEFQKYPKGSPQAYGNDGGRSRSTHSKSPARPPYTGGSCSLNDNHSQNRAVHRNERRDADKRGPDLQSTHKGGYSTFSQTLEELELEYKSDAMDIAKIRDKEEDEENYRHREAVKEIRESYTKRLAMLREMHAKQWEEFLQLDVQRRRQQACQQMPTSEFVGYKHNNNYYEYGNTSGNPYTNNMPMESRVRYPELDNYSSLRSNNSYDEFQRQRHEDYGETYNRY >KVH94486 pep supercontig:CcrdV1:scaffold_141:62911:73524:-1 gene:Ccrd_003445 transcript:KVH94486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKPKTIIIGIVFLYFSMSSAIRVGKPSAYDVLKSYNLPVGILPQNVSGYNVDPTSGQFSVYIGDNCDFKIDQYEIQYNPTIKGVIHQNQIENLDGVRVQIALLWIDVKKVSRNNDQLEFQIGDFVTKDFPIGEFNKSPRLMSSIIVFTFSILLLSTFAISSLLDAADNGGNDNFTAYQVIQSYGFPKGIIPIGVIGYELDKPTGSYDLKYQSTIGGIISNGRLKDLTGVSVKVFFFWLNIVEVYRTEDELGFSVGIASAGFPIDNFEICPQCGCGLNCNGVVDDHEDKQVQKIRTNTIVSSI >KVH94493 pep supercontig:CcrdV1:scaffold_141:380697:383261:-1 gene:Ccrd_003411 transcript:KVH94493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MDGLFRLKAGHLTLTLLPNQIPSHRTTTACHFSRAPLTSLFAANSNCHHVEDTVPGRKAQNQNWVMRVLQMRSLWKPETKNHTLEQGDEKVRVENEKDHDIITDQDSCDADACRVDDQDHILEFDKNSFSKLLSTVPLPEARLYAKMAYLGSLSYTIPKIKLGNLLKRHRLRFITSSLEKKTELAAKPKKEQQGHQGSTKRKEAQTFKSQKEMEAENQEKNTDGITPYSTAYQIAASYLHSHTKSLLKSSKTNTSDNLSQVGESEVAEDVSMLHANADPVVVAAKDEVKQSSVADNDLNSTCSSPCEWFICDDNENSTRYFVIQGSESLASWQANLLFEPIQFEAQVDVIVHRGIYEVAKVVYEQMLPRVHEHLQRHENGTATVRLTGHSLGGSLSLLINLMLLIRRQVPDPSCLLPVITFGAPWVMCGGDHLLHKLGLPTNHLQGITTHRDIVPRAFSCNFPTRVADLLKAVNGNFRNHPCLNNQNVLYAPMGELLILQPDAKVSPSHELLPSGSGLYVLKCEASEKQTRAAQLVFLNTPHPLDMILSEPSAAYGSILRDHDVETYLKSIRSVIRQQLIRRMRQRRRRRRRRLEGVMAKLGDVMMRWVKESEVKKVLRVLDDGLKQMHLLVVMLVPATLLIMETCTKLVNWS >KVH94503 pep supercontig:CcrdV1:scaffold_141:291508:299140:1 gene:Ccrd_003422 transcript:KVH94503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MAGNFQPGIFIAPNRVRKLSERIMIRNSFSKFKNTADNPVVLDEDEPDDFEMNQATFQHAESVPPFTPSDDSTNFEEEAGDQVNPVAVELNSIDVQVKPVADHVNPFDVQGNXVEEQLNPVAGPFHTVEEADDSTHCAVNINAPFEDLLSFQPICLNQTRLNIHIYLFLNAHHNMKVFFPLLDKGHYYLVVFNLKNPSIVVIDNRRIEVSDDDHLLEMYDFITDILQRLMIRHLNAVGHAAGKDLDETGQERLRLDWQTNNNFDDCGVFTMRHMETYMGDMKSWKTGLAPESKTQENQIANLRVKYVAKILMNSYNVKKDYVIKEV >KVH94507 pep supercontig:CcrdV1:scaffold_141:275361:277595:1 gene:Ccrd_003426 transcript:KVH94507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPKLENTALNPSLQSVVVLPKRSNRPAEARDWMMIIRCRSRILLAENPTLLRTFCTVVEEANDVAEVVSPPPKESSNEKRLYRRLSALGATGGTTAQTLNQYIREGHFIKKIELERCVRELRRYGKYHHALEVMEWMDKRDVNFSNPDLAVRLDLISKVHGVAAAEGFFNALSPPLQTRNTYGALLNCYCKLKMADKALALFQEIEAKNFTTSLSFNNIMTLYIGLNQPEKVPPLVEEMKKRKIHLSNFTYNIWMLSFSILGDIEGVERVFEEITRDKKESCNWTTYSNVAGAYIKAGLHEKAKSALKMLENEMGRPSREAYHFLLTFHASLHNQEEVHRIWDDLKSSFETTSNVSYRVFLQALAKLEDLDGLKSCFEEWELNCSYYDTRVANRSNGPFVQTLALFMKYYLGNQQMDMAWKCLETAAAQVETGNWQPHSDNIDVFMKHFKEERDRESAEKLFKVLKKLNCVDDKVYSLLLQIYMAAGIKDPEIRQRIERDGILITAELEDMLQKVCSE >KVH94530 pep supercontig:CcrdV1:scaffold_141:212688:217907:1 gene:Ccrd_003434 transcript:KVH94530 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MDKQFAVKVEDGRKGVDGDPSVGPIYRNLLAKDGFPSLDSDLKTTWDVFRNSVEKYPDNRMLGWREIVDGKWGPYIWRTYKAVYEEVLHVASAVRASGIGSGCKVGIYGSNCPQWIVAMEACNAQSYISVPLYDTLGSGAVNFILDHAEVDIVFVQDKKVKELLNPECTHTQRLKLIVCFSSMKDEEKDKADSMGIKSYSWSEFVQMGSEHPSELQTPKPTDICTIMYTSGTSGDPKGVILTHQNATTNIRGVDLFMDQFEDKMTVDDVYISFLPLAHILDRMIEEYFFRKGVLKGLEEVNPRRRKIFNILYNYKLKWMKAGYKQKYASPVADMLAFRKVKNRLGGRIRLIVSGGAPLSSEVEEFLRVTSCAFVLQGYGMTETCGLATLGYPDEMCMLGTVGSAFVYTELRLEEVPEMGYDPLADPPRGEICVKGRTSFAGYHKNPELTNEVMKDGWFHTGDIGEMQPNGVLIIIDRKKHLIKLSQGEYVALEYLEKVYGITPIVEDHAERWAHQNGNKVSYAELCNLTQLREYIISELKSTAERNKLRGFEHIKAIIVEPRTFEDEKDLLTATLKKRRDKLMKRYKAGIDILYKNLTANKS >KVH94526 pep supercontig:CcrdV1:scaffold_141:252170:252664:-1 gene:Ccrd_003430 transcript:KVH94526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQDLSQTLGDLLEIKGKYMNSSSRNLLWKKVMEAQAKRSTWLGSLNQKLITNIQAIKASGGGRGQQHHSNLAIMKTRRNPTRNNALKMIKRRRLRRVGQEGSRRATTMAIERKVRTLKKLIPKGESTIGLDGLFRETAEYISNLQMRVRIMQAVVDALSNSE >KVH94483 pep supercontig:CcrdV1:scaffold_141:148969:152686:1 gene:Ccrd_003440 transcript:KVH94483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MASSATLLLLLLLLLLLTLSSAILDASPVHHRHRHRQSAHQNYKDALTKSILFFEGQRSGKLPPNQRMTWRRNSGLSDGAAMKVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGRLMKGELGNAKTAIRWATDYLLKATAQSNTIFVQVGDANKDHACWERPEDMDTQRTVLKIDRNHPGTDVAAETAAALASASLVFRKSDPNYSKILLKRAIKDELLWGAAWLQKATRSPAYLNYIQANGIALGADESDNTFGWDNKHAGAKILLSKAFLVQKVQSLHDYKGHADGFICSIIPGAPFSQTQYTPGGLLFRMEDSNMQYVTSASFLLLTYAKYLTKSHKVVNCGGAIITPRRLRTIAKKQIDYLLGDNPLKLSYMVGYGPRYPRRIHHRASSLPSLSAHPGKINCGSGFTFMHSENPNPNILIGAVVGGPNGHDRYSDERSDYSQTEPATYTNAPLVGALAYLAHSSGQIYTDNLD >KVH94529 pep supercontig:CcrdV1:scaffold_141:218636:224118:-1 gene:Ccrd_003433 transcript:KVH94529 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGTERKRKVSLFDVVDEATATAKVNKANGGGAVHGINTTTTGGMPLVNRWNGRPYSQRYYDILEKRKTLPVWHQKEEFLKALKDNQTLILVGETGSGKTTQIPQFVLEAVDVESADRRKKFMVGCTQPRRVAAMSVSRRVAEEMDVTIGEEVDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFFGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKITKEVANMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPSPTTEGGPAGRKIVISTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQMGKMLVVSSEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDQSWCYENFVNHRALKSADNVRQQLARIMARFNLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDVRGEWIVDIAPHYYDLENFPQCEAKRVLEKLYKKREKDKEESRNRR >KVH94515 pep supercontig:CcrdV1:scaffold_141:424595:429097:1 gene:Ccrd_003406 transcript:KVH94515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein RRCRWSGFDLLSLHTKILYKKKDSFVLHLFFLYCLIPCITCAILLLFTIDIIMYFFYTLAIYAGVLAATFVCPLDVIKTRFQVHGLPHLNGGSIRGSLIVGSLEQIIRKEGIRGMYRGLSPTILALLPNWAVYFTIYDQLKGSLSSDGNHQLPFGANMIAASGAGVATTIVTNPLWVVKTRLQTQGMRTGLMPYRGTLSALRRIAHEEGIRGLYSGLVPAMAGISHVAIQFPAYEKIKSYLASRENIEMDQLGARDVAVASSVSKVFASTLTYPHEVVRSRLQEQGHHSEKRYSGMVDCIKKVFQQEGLPGFYRGCATNLLRTTPAAVITFTSFEMIHRLLVT >KVH94523 pep supercontig:CcrdV1:scaffold_141:20929:28809:-1 gene:Ccrd_003451 transcript:KVH94523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 MLHELLLALLGYTGDLIIDEREFRQTVGFSPEDSMPNQCTFKVAPDISFIQPSERDIIENIITLGFYYRELDRFATKSRNLSWIKSGNESSVSRASEILKETRDNQSVYRRAIANGVVEILSVYRSAVLHIEQKLLSDSLPILATVTQGLNKVEFEFSCIASKYRGWIVRVPRNFLADLASAFLVFLPPLYELIIEIERDGIHGGKLLNLLYKRCHCGVPEQQACVQRLLWHGHQVMYNQLACWMLYGILHDQYGEFFISRQQEGKSENEMTHIDNIQKMAQMSVSDGSLNDWYMGFNISLDMLPEYIPVQVAESILFAGKAIRVLRNPSSAFRSVDVLSHQATGPQTLKESLRNEPSSNTKLTGDELLPQSEADKIESMLKNLQESSEFHKRLFEEAIGSIRSIAANHLWQLVVVRADLNGHLKAIKDYFLLAKGDFFQSFLEGSRQLMRLPPRQSTAEADLMVPFQMAITKTISDEDKYFSRVSLRVNIRSSQVDVREPTTFANGGPSAISDTSSEMSLDAWDGIALEYSVDWPLQLFFTQEVLTRYTRIFQYLLRLKRTQVELEKSWEYVMYQDHSDFAKRRSDPKDGKTSQQRRQRFRPMLRVREQMAFLIRNLQFYIQVDVIESQWNRLLARIKESRDFTDLVGFHQAYLSALISQSFLDIGSVSRILDGIMKLCLQFCWKIESQETDEFSSKSNSLYTILRSSRLSGSQRAPFLRQFLLRKCPRWYECHQTTTNSPGLPTLAALNLADPL >KVH94522 pep supercontig:CcrdV1:scaffold_141:32663:39879:-1 gene:Ccrd_003450 transcript:KVH94522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MLVFFPTRNCFSTLRAIHQRLRTGTIADMAASGDVPLNNTIYINNLNEKTKLDELKKSLQAVFSQFGKILEILAFKTLKHKGQAWVVFEEVSSATSALRQMQGFPFYDKPMRIQYAKTKSDVIAKTDGTFVPREKRKRHEEKGRKRKGQHDANQASTGANAAYAGAYGATPQLSQIPYMGGAKSAIPEAPAPPNNILFIQNLPHQTSSMMLQMLFGQHQGFKEVRMVEAKPGIAFIEYGDEMQATVAMHSLQGFKINPDSPMLITYAKK >KVI00939 pep supercontig:CcrdV1:scaffold_1411:102231:105695:-1 gene:Ccrd_020798 transcript:KVI00939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MMSCQQNFAHHLPTLRFPVSTTVVFIRRHQPPISASSLHHSQETSDKILRTHNAKSTSLLFLIDKASPKGENQNGYEYDEISKLLELSMARKRTPQFPGSIYVQSPADVDVNSSLPAIFNGENDDYDFEVIMRAVEIRREVTLEIFKEAMRKGKFGITYSTNLASKLFPEFIDYVMIQAASIKQLPEFANSSFNVRAKACLESSNVVPLIRWLKHNGLSYPQIGKLICSSRGNIESIRSTAEWLKSIHVNGRFIGVALLRAGKNILERNTEELDEIVWYLEKNGVRREWMGYVVSRCPELLSFSMEELKNRTNFYFDMGMNEKDFGTMVFDFPKVLGFYSFEEMNQKVAYLKEFGLGNEDVGRLLAFRPQLMGCSIEERWKPLVKYLYYLGISRDGMRRILTVKPMVFCFDLESNIVQKVQFFRDIGVQQKGIASMLVKFPSLLTYSLYKKIRPVVIFLLTKAGVSQTDIGKVIGLGPELLGCSISKKLEPNVKYFLSLGIDLKTLGEMIADFPMLLRYNIDILRPKYRYLRRTMVRPLNDLIEFPRFFSYSLEERIIPRHKILVENRVNFKLRYMLSCTDENFHQRVEAAVQRRQMFESGISNNIESDSPTDDSSEDETEDEFSTLAD >KVI00944 pep supercontig:CcrdV1:scaffold_1411:59130:63516:-1 gene:Ccrd_020795 transcript:KVI00944 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY/SEC61-alpha family MGGGFRVLHLVRPFLAFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIVQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRSDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFLVDLLGKWKESEYSGQSVPVGGIAYYVTAPSSLADMAANPFHALFYLVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGLFGF >KVI00943 pep supercontig:CcrdV1:scaffold_1411:29541:39179:1 gene:Ccrd_020794 transcript:KVI00943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVEERILAVGRYCCCLYHHNAVCNWILGLALLEFRAGVSRDPYGAFGTWNPDDHDPCSWSNVHCVDGNVQVLDLDGLSLEGVLAPEIGSLTHLRRLAIIALVPFYLILTITLPFDASVLSHNQFAGAIPKELGELTMLEVLDLRDNNLSGHIPSELGRMHSLKRLLLCNNNLEGSIPMELRTLNFLYEMQYDENLLSIVADGIAEPEMVHNVKQDANVVRRKLAEESPNLAAAPSPNDGFGPPKIIALPSSRSSGSFPAVPKDKKAHAINQPPSYGLVQGSPEESSSTSSQPPTNDQPPQSRMNSYKCRILIAISCIVLLLIIGIALCFVCRTRAVKTIGPWRSGISGQLQKAFVTGVPKLNRTELETACEDFSNIIEAKESYTLYKGTLSSGVEICVASTTIASLKDWSKRAELGMMVFEYAPNGSLSEHLHGDNLGFLLNPTTYILLDDIELVFFVTVEELEHLDWSLRMRIIMGTAYCLEYMHELNPPIPHTNLNATLIRLTDDYAPKHSELDGICEVIEECIQQDARKRPTMKEVVTKLREVLAISPEQAIPRLSPLWWAELEILSGEAA >KVI00945 pep supercontig:CcrdV1:scaffold_1411:19119:20555:-1 gene:Ccrd_020793 transcript:KVI00945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase METKKGTILMQRYEIGRFLGQGTFAKVYHGRNLKTSQSVAVKVIDKEQVMKVGLIDQIKREISVMRLVKHPNVVQLYEVMASKSKIYFAMEYVRGGELFNKVSKGRLKEEAARKYFQQLVAAVDFCHSRGVYHRDLKPENLLLDETGNLKVTDFGLSALCESRRQDGLLHTTCGTPAYVAPEVINKKGYDGEKADIWSCGVILFVLLAAYLPFHDNNLMEMYKKISKGDFKCPQWFPPEVKKLLSKILDPNPSTRISLAKLMENPWFQKGFKKIEVPKTILTRSKSIIDIDNAIKFMDTPTGSYNNLKELDDAKASLSNSPSYSSFSPSSSSDTLKPTSMNAFDIISLSQGFNLSGLFEDDTGNSGPKREARFTTKKPPSAIVSKLEEVAEMERFGVMKTVDGTVRLQGSKEGRKGQLAIDAEIFEVAPSFHVVEMKKLSGDTLEYNNFCNQDLRPSLKDIVWTWEGEQQQDDEQHNN >KVI00940 pep supercontig:CcrdV1:scaffold_1411:109530:113226:1 gene:Ccrd_020799 transcript:KVI00940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MDLIESIHDIPVQNPSIEDFSAADLKWIKFGTPEHHDDVALIPYARVDEFIVGECSNVECPTRFHIERGRKRSKGSLLEFKSDEYLEYRLYWCSFGPENYGEGGVILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALVVYNDRRHVNKSGFICHGPLDRDAIGPGAKKIPYVGNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSDVKVNSLASQYVHKLGMIIKRSTHELDLDDQASVRIWADRNKKSIFYYQDSSETEPFILGIQTEWQLQQMLRFGHRSLIAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWIITPSVAKPDISKWMKALLARVRAVDPAWKVNGFLIDDAAAETDPIRETFSCPILFSVWRVRRSWLRHIVKNCRNIEVQREIFKRLGEIVYSIWGGVNPFVVLEKFTQDFVDQTDFMQYFTATWVPKIASGAIEAYHVKLKVKLFDDSHLGALRRVDWLVHKLTTELHSSYWLDRYADESDSFQTVKEEYINSTSWHRALQIPDMDVTLDNDNNLFAKIVSQNDRNRMHLVWNPGSEFAFCDCEWSLRGNLCKHVIKVNMICENLRGYQSSMSFQSYQEILMSMFKKPPDDSLELDLSIAWSHQIHDQIQKLVELNRSTDIGTVVNNLPLKWGDKKNRTALGRPATMGPSLARTSPSKNINVRKKSRKRKRLSRLR >KVI00941 pep supercontig:CcrdV1:scaffold_1411:71611:73365:1 gene:Ccrd_020796 transcript:KVI00941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MVGEVGETAEKGGSIRRWRMTTVVPLLRVLALFATAAATVAMALNKETHTFTVATIGNTPVKITLTAQMFVIANGVATLYGLVMLALSFVIHKYNLKGPWFLTVATLDMVTIAVVSGAATAVAFMGELARNGNSHARWNKICDNFQRYCNQGSGAMLASYIGIFFLMLVNMVHIFQLKRLNNLKNAIAA >KVI00942 pep supercontig:CcrdV1:scaffold_1411:86673:101873:1 gene:Ccrd_020797 transcript:KVI00942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVYLRNKCHGGTVQWHVIDSRQLDDAISLLIKLNSLVRGESGGSSLAIQSLIDSLTFFSLRNLSTNFVNQIPFQITILLRRSPQLSAWVVLDQRVPTGTSPNHPITESELVHTEQESRNLDHVAVPTLALRRSSKIPKQPSYFDDFVCNHISSDASMPYLEI >KVH99491 pep supercontig:CcrdV1:scaffold_1412:22163:26241:1 gene:Ccrd_022274 transcript:KVH99491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin MYIESGKSLRRAISLSLPMATHRLNPSGIAFLSLLLFISIASAKVFFEERFDDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDANDKGVQTSEDYRFYAISAEYPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKNIMFGPDICGYATKKVHAILTYNGENKLIKKDVPCETDQLTHVYTFILHPDATYSILIDNEEKQTGSLYSDWDLLPSKQIKDPEAKKPEDWDEKEFIADPEDKKPEGYDDIPKEIADPEAKKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPKLKYVGIELWQVKAGTLFDNVLICDDPEYAKQLAEETWGKQKDAEKAAFEKKQQEEEESKDDPVDSDAEEEEEEEGNDSDDAEAEDDESDI >KVH99488 pep supercontig:CcrdV1:scaffold_1412:80766:83182:1 gene:Ccrd_022276 transcript:KVH99488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMGGDQATAIDSRATRGRGQQRRRRRQGRALCGVGGGGRVGQRRRRRARRHYRRSTASSQSVNII >KVH99489 pep supercontig:CcrdV1:scaffold_1412:94445:99929:-1 gene:Ccrd_022277 transcript:KVH99489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALEAQLDSVGRVRSRGIDEHETRASKLRRRSQADINAPAFDLGISPSKEDVLACVGSSKAIGGHENVISTMPKRDPKLSFKLRSPYVTRAVTFEVSSDERKLQDWILRGIGGTFEPVFMTTKGKTVTRQTMQSLISQSGMDAIIRDEDLNVNQRYDRFRKNITSCMNNDKELINMRNVDLVFFLVVEPSFYYVLVFDLKRPSVAILDSQNRDGKVDDIYGASTVGLQDMMIMHLLKKGHGAWKVYPEMDQDHIKTRWQFRENTVDAGVILMRHMDTFFGGDVMKWECGLYKEGTKQKRQLKDLRTKYCSKMLLSDENIRKTSIVSDVERFIAMETSYVVRKNRGARLMSRGKK >KVH99490 pep supercontig:CcrdV1:scaffold_1412:27923:31400:-1 gene:Ccrd_022275 transcript:KVH99490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCDNSNQNLYSISNHYHRDQQFLHNHYHHESLTLSASETFQGEIVVSAFDQISNHQTASNSLNLHPQRISRNEGDLVAKLYYAKKKLVWEFLDGPLKSKIEIQWSEISAIRAFMYEAQPGLLEIELNQQPQFGREINPQPRKHTQWKQTTDFTQAQASICRRHSIIFPPGVLDKQYEKLLQCDHRLFGLSQQPFPINNYPFFYHHSNSCLDYSYTNVHHPPPTHLLGSNLPMPVMRFPYSDEGATRHPNENYEIGNSQSERIPAIPNGVQNHLLPYNRHELWTPVQQPQMKTLSREINSIEYVNRGISSIDQININHGTAIHEPTSWTSVSDMYGFPWEPITEDLRGLHGNQHNNHN >KVI08371 pep supercontig:CcrdV1:scaffold_1414:114:1447:1 gene:Ccrd_013250 transcript:KVI08371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e MSQYAATANVLTDATKSDSLSDEKMQEVMNELLSIGISTGDVGKALEICYNEPTKVKVDSTAAVVAPPAKTKVLLRGPKNCREAVRHFGKAPGVPHSHTKPYVRSKGRKYEKARGRRNSRGFRN >KVI08375 pep supercontig:CcrdV1:scaffold_1414:51258:53995:1 gene:Ccrd_013254 transcript:KVI08375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MDQMTTDQSLQQVGTKRPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSFEYHQETLDNLRTAMDNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGQEITISTDYTIKGDEKMICMSYKKLAHDVKPQSVILCADGTISFTVLSCDTENGLVRCRCENTAVLGERKNVNLPGVVVDLPTMTEKDKEDIMKWGVPNKIDMIALSFVRKGSDLIEVRKLLGEHAKTILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMVYKCNLQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICMEAESTINYSDVFKRITANAPVPMSPLESLASSAVRTAISSKASLILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDSFDWSCSDESPARHSLIFRGLIPVLIAGSARASHAESTEEAIEFALQHAKEKGLCKIGDAVVALHRIGTSSIIKIVTVK >KVI08377 pep supercontig:CcrdV1:scaffold_1414:61188:65796:-1 gene:Ccrd_013256 transcript:KVI08377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCPELNTQIQTWLRDYDKIQSVTVILIYIQIGCALIGSLGALYTGVSLVNLGIALFALVAIESSSQSLGRTYAVLLFSAILLDILWFILFSHEIWYMSSEIYGKFAIFSVKLTLLMQVIGFSVRSSSSLLWIQMYRLGASPVDSTCPQEGDQDLRNSFINPATPSVMRPASGSNDVLGGSIYDPVYYSSLFSDNQDEGFLREGQNRFSSSGRFISDVPQLKSLVSGSSQDILVRKEPDWEFLKWDYDINGGLLVYSNLGAVYSRGRLWYFCRISKGRWCVAVRLNDIFSVGASIQCPWL >KVI08369 pep supercontig:CcrdV1:scaffold_1414:81113:82756:-1 gene:Ccrd_013258 transcript:KVI08369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MVLLCVATTPLVTAEDPYHFFDWKVTYGTMSPLGVPQQVILINDKFPGPVINCTSNNNILVNVFNNLDEPFLLTWNGIQHRKNSWVDGTPGTMCPIQPGTNFTYKFQVKDQIGTYYYFPTTALHRAAGGIGVLQVHSRPLIPVPFDNPADEFAVIIGDWFNKGHKSMKNILDGGKSIGRADGVHINGKSAQVGAAAEPSWTFEAGKTYRFRFCNAGMRTSVNFRFQAHDMRLVEIEGSHIMQNDYMSMDLHVGQCLSVLVAADQPPKDYYLVVSTRFTKKIHSTVATIRYAGSNIPASQELPPPPVENTPGIAWSINQFRTFKWNLTASAARPNPQGSYHYGQINITRTIKLVNSRNYIDGKLRFAFNGVSHIDRPTPLKLSEYYGLADKEFKYDTIKDEPPPDVEKNVQLAPNVLNATYRNFVEFIFENRENTIQSYHLDGYSFFAVAIEPGKWSPEKRKNYNLEDAVSRHNVPVFPGCWAAVMTTLDNAGMWSLRSEMWERFYLGQQLYVSVLSPERSLRDEQSLPETQQLCGIVSNLPRPAPYV >KVI08370 pep supercontig:CcrdV1:scaffold_1414:3662:7270:1 gene:Ccrd_013251 transcript:KVI08370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase, C-terminal MATNLRRFKGFGSIFINHTNHLRGLSSSSAVLGSVDAQLQAPGSGTGKSINLYTAINQALQIALDSDPRSYIFGEDVGFGGVFRCTTGLAEQFGKHRVFNTPLCEQGIVGFGIGLAAMVSSYKFCTTVSCNQIPWSILSYTCCCCLSYFCNRGIELLLKFNLQITFFLLLIRHIVNEAAKFRYRSGNEFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPKQAKGLLLSSIREPNPVVFFEPKVITFLCSKEVPEGDYTLPLSEAEVIREGSDITLVGWGAQLSIMEQACDGISCELIDLKTLIPWDKETVEASVRKTGRLLISHEAPVTGGFGAEISASIVERCFLRLEAPVARVCGLDTPFPLVFEPFYMPTKNKVRILLPFWCIIILDAIKDTVNY >KVI08378 pep supercontig:CcrdV1:scaffold_1414:94694:98755:-1 gene:Ccrd_013260 transcript:KVI08378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extensin repeat-containing protein MTPSAVGHRRLWPILIAFTIFAVSNLIAVSAEKPYIYSSPPPPSPSPPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPPPSPPPPYLYKSPPPPVHSPPPPYYYKSPPPPVKSPPPPPYYYKSPPPPVHSPPPPYHYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVKSPPPPPPYHYNSPPPPVHSPPPPYYYKSPPPPVKSPPPPYHYNSPPPPVHSPPPPYYYKSPPPPVKSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPAHSPPPPYYYTSPPPPTKSPPHYYYTSPPPPTPYHSYPHPHHHKVIVKVVGKVYCYSCYDWKYPVKSHAKHHLKGAVVEVTCKAAGEKEIVAYGKTKINGKFAITVEGLDYSKYGGAKACIAKLHMPPNGTTCNIPTNLHGGLKGAKLKVKSKNAHLVVLYAKPFAYAKANTDSGSLLLQVSATTVANLPLQVTTATNTHLPLQSPPPPTPTYHYKSPPPPSPTYLYKSPPPPTPTYHYKSPPPPTPSHPTPYVYKSPPPPKKSPSYHYTSPPPLKKSPPPPYHYTSPPPPSPPPPYHYTSPPPPMKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPTKSPPPPYHYTSPPPPIKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPTEYPPPPYHYTSPPPPVKSPPPPYRYTSPPPPTKSPPPPYHYTSPPPPTESPPPPYHYTSPPPPKKSSPLPYHYTSPPPPVKSAPSPVYIYTSPPPP >KVI08372 pep supercontig:CcrdV1:scaffold_1414:32502:47747:1 gene:Ccrd_013253 transcript:KVI08372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase 2 subunit beta MKMKIQTQSYILLVFYSMLVLRSAVVLSENLLGVAPQDEEYYKGLSSSGTIICKDGSKTFTTTQLNDDFCDCSDGTDEPGTSACPSGKFYCRNAGHTPLSIFSSRVNDGICDCCDGSDEYNGKIKCKNTCWEAGKVARDRLTKKIATFKEGVIIRKHEVEQAKILAAKDEAELSRLKNEENILKGLVQQLKERKEQIEKAQEKERIQKEKEEKQKKEAEEATVKEQKGEEIVNVQEQEYKESKSDDDARIHNHPPSGQDPNKGAADPGVSLAHDDDDDDDDKDASVHNIEEHAEKNEESSKVAHEHDPSHGSGSKEEDASENAESSSREELGRAIGSRWTGKKAEQWQDEDAGTARNNDDNEYDETSDTAHEEEDSGYDTETEEDHQHHEEDDNEDQMDDVGGDEADDDSSEHKYESDDEMEDMEGTSPSWLEKIQQTVRDFLQAVNPFQTPVDTSESESVRKEYDDASGKLSKIQSRISSLTKKLGHDFGPEKEFYSLYGRCFEIKENKYVYKVCPFKEASQLEGHSTTHLGHWDKFEESYRIMLFSTGDKCWNGPYRSLTVRLRCGSKVEVSDIDEPSRCEARNW >KVI08373 pep supercontig:CcrdV1:scaffold_1414:21702:25812:-1 gene:Ccrd_013252 transcript:KVI08373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSVLMSTPSSCSYMSFSSCSKPKFTPNSSHSSSFICYPFWKCLRWNFLPPTTTVIFSVPKSSLFRTSALAVGEILEKSTLEDSVSSLPKEPMPKIDKSGRFCSPRAARELALSIIYAACLEGSDPVRLFDRRINARRGYEFDKEALMEYNHMSFGGPPVKTETTEEADELLRVDEKASEVEAEVLSAPPKLVYSKLILRFTRKLLVAVAEKWDGHVLVIDKAVDLAKRFCDGSAPRIVNGCLRTFIKDLKGNSNSVVLPPAPDLETEKEITSTDSSILLLTKGSTNFFKNSLDESIKWPGLVLVMVMVMVNWTASE >KVI08374 pep supercontig:CcrdV1:scaffold_1414:56222:57376:-1 gene:Ccrd_013255 transcript:KVI08374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEEETASTRYWCHECARVVDPIMEAESIKCSLCNGGFVEEVDSIRSDHHQQQDGGSDSDRALSLWAPILLGMMTTPRRHRRFRQLGFDEDNDEEDRRDSDDRRDSDDRRHQEGDMELDPELESMRRRRNSTAILHLLQGIRAGMVSESENNVEGGRGDNNREHDRDHERERVILINPFNQTIIVHGGGGGNPFDSSNPSQNHPLGSFGDYFVGPGLEQLLQHLAENDPNRYGTPPAQKEAVEAMPTVTIEENSIQCSVCLEEFEMGGEAREMPCKHRFHGDCILPWLELHSSCPVCRYQLPADESKINREQETANGGVGNLLESGNGRNNEERRFSVSLPWPFSSLFSSGSNTVNLNSSSVAGPSSSGDSESSPRGNEAERSDD >KVI08376 pep supercontig:CcrdV1:scaffold_1414:68479:74167:1 gene:Ccrd_013257 transcript:KVI08376 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUR-alpha/beta/gamma, DNA/RNA-binding MDFNSGGGGGAGSGGNDVELLSKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFNGISWFFDIFNYYVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSTRDEGWSAFRNILEEINEASKLFVLPNQQNSEGIAPERLVGLSDDVGAGFIAGNSTQSGPPASSDLNLGDRTSTFDLAPPSSDESGSFGVSKVIRADQKRFFFDLGSNNRGHYLRISEVAGTDRSSIILPLSGLKQFHEMVGQFVEITKDRIEGMSGANVRSVDPPQR >KVI08368 pep supercontig:CcrdV1:scaffold_1414:94666:98064:1 gene:Ccrd_013259 transcript:KVI08368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELILLVVEVMCSVVWWRRRFCRWWGRCVVVWWRRRLGRWWRGCVAVVEGMCNGTVEEEILSVVGVRCSGMVVVVRCSGMVGVVTSQVVAVRCSGMVVEVICRHMVSDVKGLVVEETCSDKWVLVAVVTCKGKLAMVVEETCSGNCSSKLYRPPSRLLCGGEGEGRSGRELVVEEKCSSSGEVIWLVVAEKCSSMEVVENVPVGEETCSSMAVVENVLVGEETCSSMVVAVTSRVVVGTCSSMVVVENAPGEEENYSGMVVVVT >KVI06637 pep supercontig:CcrdV1:scaffold_1415:74972:75268:-1 gene:Ccrd_015012 transcript:KVI06637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAFKTTSMTQNSDDGRRELTVKEFKRWLMKFDSDKDGRISRKELRRVLRATGGWFTWWKGNAGIKSADKNGNGFVDGCEIENLVQFAQKELGVRIVPY >KVI06635 pep supercontig:CcrdV1:scaffold_1415:54774:64205:1 gene:Ccrd_015011 transcript:KVI06635 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MYAVGRLGSYITQGVYTVSGPFHPFGGAVDIVVVQQPDGSLKSSPWYIKFGKFQGVLKAKERVVDINVNGVDADFHMYLDPRGEAYFLREVDPEEVVDAVSSQSSSYEEIDGKPHDKIPPKSKSMNYDFDRCDSVSDVTNGKVLSRTNSKRSRILGFVLGRRSMQEHSLSKEENDSNVDRIASLEHAEIAADLLEMKWSTNLSSRNIANDCKESIKDNGESIGGRLDASFVLHEQHFVNKSGGDNEMPVMEVHETCVADVVNKEVDEEHSSCVENCVSKEESSRTQLDDHNEAEVGIITAEEPLKIAEKICTEVAATEQGEASIEVVSCSSIAVPVCLNKDDKPTMQLEEANEIRLPLESDGEFQDVSGDSLLIKQVPESFVEEQLIYGDLDDSKPSINSTEQGTQSVSTSQVDEICSESMSLKRGPYPPPLPVRIRQECFKGDIEGHKGYIRRSPSHVDIPRNCEVAEMGVGRQAKSLPNMWSPFDDPNSLNEDSQFYSPAAKFRSSNWDLIREDIKKSNSEKELRLSDSQIADTLSKDLKDGGIASDGPSGIVDGAGGSWNLWPFRRLGSKNTSQKEQNSKKDSDVETVVETDGEKEASSPKSNKMHTRALAPTPEQLASLNLAEGKNTVTFTFSTSVLGAQKVDARIYLWGWDTRIVISDVDGTITKSDVLGQFMPLVGRDWSHIGVTHLFSAIKASITRQFLFNLKQDGEALPDGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKACFPSDRNPFYAGFGNRDTDEFSYLKVGISKGKIFIINPKIFDLTAINETVSVLVSRLDSGIAFPAGKPTSGSPNNLPELISADPAIFITVKLHQPSFELLNREFSSAIVGVLDHVSRLECH >KVI06636 pep supercontig:CcrdV1:scaffold_1415:91645:91998:-1 gene:Ccrd_015013 transcript:KVI06636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASSSILFQGFSWLYGSSRGEIELQEIVNGLINTQMYNSPRISVTLIFITVGVGFKLSPTRSHQWTRSAIVGEVKKLIDRLVLLLFNGLNHLNNLYV >KVI04167 pep supercontig:CcrdV1:scaffold_1417:110661:122186:1 gene:Ccrd_017523 transcript:KVI04167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MFGTSWRCLIPFVGFRFADCKKEGINLRLSPYKASKARYPWKSQVENVLLGRKQHSFIYDFGLHLLLNPTAAQEMLDDAAVEGYTTPELSKMKDVSRESDIYNLGVILLELVTGKEPINRKEYLDQDFHLPMSMRNGILDHWMSDLYHPDVLMNEDDNVGLSPVNEGVILEFVQLGPRRESLEKSLSLIVRCCITMMIKERNKAKPNNGDDPILTVGFKIVALRAIIRSNIEVLNWHGMIDDLMGGNKWSDEFDYNMVMVDDGRYLGANDEEAKKKLKSKYLLFYLFKIER >KVI04168 pep supercontig:CcrdV1:scaffold_1417:96360:97751:1 gene:Ccrd_017522 transcript:KVI04168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MLTILENCRISPPPATVGERSLPLTFFDTIWLIFSPIHQVFFYEFPHSKQHFIQTIVPKIKHSLSITLQHFFPFASNLIVFPKPNHSTVARNPEIRHLEGDSVAVTFAECDLDFNDLTGNHPRNCNKFYPLVPLLPPSTKVSDYVSIPLFSVQVTLFENSGVSIGLTNHHTLCDASTRFNFLKAWTSIARNGSDELFLASGSLPFYDRVIKYPTTLDEIHLNQPGIETIKEGYQPPQLDSHTDRVRATVVLTQAHINRLKKWVRIQQPTLEYVSSFSVACAFVWSCTAKSLAHIGDKKGDDDIEMFVCAVDWRSRFDPPIPQTYFGNCVGPCITPTTKSTLLAGEKGFLVAAELFGKALSETIKNKDGMLKEAETWLKRVSAPVPSLSVSGTPKIKIYDVDFGWGKPRKHETISLDYNRSISVNACKESTTDIEIGLSFPAKQMDAFITVFERELETTCSEQE >KVI04169 pep supercontig:CcrdV1:scaffold_1417:9203:9827:-1 gene:Ccrd_017521 transcript:KVI04169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 MRINWSWANTHVEKETIAKFELMDGAPVRGESIPIRLFLSPYELTPTHYNINNKFNVKYYLNLVLVDEEDRWRAKVM >KVI11119 pep supercontig:CcrdV1:scaffold_1418:43832:58347:1 gene:Ccrd_010474 transcript:KVI11119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MHDMFGSVRRSLVFRAVDSVAPETLVDKINSCIRKSRVFSRLSSFLSSPPKIELGTTRLPLRGISSNNLRSDRVFSRPSSFLSSPPKVVKENDAQVPTIRWRKGELIGCGAFGHVYMGMNLDSGELLAVKQVSIAANGASKEKTQVHIRELEEEVKLLRNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGPFPEAVIRMYTKQLLLGLDYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELANGSGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYIGTTKSHPPIPEHLSLEAQDLLLKCLHKEPELRPSASDLLQHPFVTGKSQHDSFIATSTMENIEAPSSSCTNTLDHSPGSLDVCNLGTLNFSTVNSGKFKESKHEWGAENSDNDMCQIDGDDFMEGEGVKLRSVSMLDDFNKSLNPVEEPSVDDAYGLQGGATLDNEQHLDGLVGNMIKSPTDSCTSFQFGRSLSEDDDELTESKIRAFLDEKALELKRLQTPLYEEFYNSVVPSCSMDNVEVAGNGGGPNYLKLPPKSRSPNRFPLGTPYKAVDAASNASPGSGSRRTSNVSGEESAHSPMDLPSPQCNDMIGAESQQEATKMMRQVGVGGKTSSPIDRGSNRARDKSRFASPGK >KVI11118 pep supercontig:CcrdV1:scaffold_1418:7382:11700:1 gene:Ccrd_010473 transcript:KVI11118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIFC3 MADKSPELETLTMNMKLLKLDPDQTLEESPNCDTGSLECNGDDSNSEMQSEQEQQTLPIFEKFEKLSNKVANLRKEHMALCNEVKIITADSFPGSEVFTALQSLGEEHEILKKKYQEECQALKNRCQLECSERRRLYNEVIELKGNIRVFCRCRPLNDDEIAKGSTSVVDFESAQENELKITGSDSSKKQFKFDHIFKPEDNQEAVFAQTSPLVVSALDGFNVCIFAYGQTGTGKTFTMEGTNENRGVNYRALEELFRVSEERSDIMKYELFVSMLEVYNEKIRDLLVEDGNIKKLEIKQSAEGTQEVPGLSEVPVYKTDEVWELLKRGSRVRSVGSTNANELSSRSHCLLRLTVLGKNLVNGQQTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSSLGDVISALASKTAHIPYRYASYTLTSYHR >KVI11120 pep supercontig:CcrdV1:scaffold_1418:62576:65218:1 gene:Ccrd_010475 transcript:KVI11120 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1995 MASSYYSNLCCCSSSASIPKLPSYTCFPSSLHPSESCGHSGVSLLRPSFDSRIYAKFDKFEAQTSNEETPSLSLQQTLQQGDVEEEEEEEEEDDSCLPSDLEGAVRQSSEASAAFVSSGGMRAIVELLIPQLQFLDDEGAQAELWELSRIFVDALIEETGCQRVKAIFPDAGAAALLNLSDRKPVEKDDEIVVMVLPDYQMLEYVERIASHLSDEPPRPLIMWNPRLISEDVGVGFNVRKLRRYFLRSFTTVYSMKPLPTGAVFRCYPGLWKVFFDDKDRPNRYILGKEMISRPDSEDIEIIFGGGGDDSEQGPSLFSQAVGIFKSLNRFMKVISK >KVH95038 pep supercontig:CcrdV1:scaffold_1419:56311:72998:-1 gene:Ccrd_002894 transcript:KVH95038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidyltransferase-like domain-containing protein MGSDGGLESSKRFLATWLIGGVVAGLSVLGLCAAWPQLSPLAIVGKKKKRPIRVYMDGCFDMMHYGHCNALRQARALGDQLIVGVVSDDEITTNKGPPVTPLHERMLMVSAVKWVDEVIPDAPYAITEEFMRKLFDEYNIDYIIHGDDPCILPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERTSGDSPSHASLQRQFSHGHNQKYDDGGSGSRTRVSHFLPTSRRIVQFSNEKAAGPDARIVYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTVSANRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSRDMITTFNISLIVHGTVAEDDDFEKNERNPYDVPESMGIFKVLESPLDITTSTIIKRIVSNHEAYQKRNERKGESERRSEN >KVH95037 pep supercontig:CcrdV1:scaffold_1419:81234:95314:-1 gene:Ccrd_002895 transcript:KVH95037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MGWQGMNTMPGKRRWSWLVIAVLGLVLLSMLVPLAFLLGLHGGFHSVTHTGYATEQRSSASSRKDAALDSPLTKEGLSTRVDGLLKNLGPTLPKDFRRNSVKEAENRTTGFAVPTQEVKPPPKRHDVGVSSSAEVTKTIESIGEGQKMCELKFGSYCLWRQEHREKMKDFIVKKMKDQLYVARAYYPSIAKLPALDQFSHEMKQNIQEFERILSESSSDTDLPPEVEKKLQKMETTITKAKSATVDCNNVDKKLRQLVDLTEDEANFHMRQSAFLYQLAVQTTPKSLHCLSMRLTVEYFKTSPVDADQSESLLNPELLHYVIFSKNVLASSVVINSTVMHARASRNQVFHVLTDKQSFFSMKMWFFTNTYKDATVQVLNIEDLDLEGHDRTTFSSLSVPQELRVSFLNGDKLSSTESRTEYISVFSHLHYALPKIFSTLKKIVVLDDDIVVQRDLSDLWSLDMDGKVIGALQFCTVKLGALRSYLGKENYDANSCTWMSGLTIIDLVRWKEQDVTRTYESLVLQRLSKEGKETEAARLRATLLTFQGLLVALDDGWVVSGLGHNYGISNEVIKKAAVLHFNGNMKPWLELGIPSYKAHWRKFLNREHRFLSDCNVNP >KVH95036 pep supercontig:CcrdV1:scaffold_1419:43804:45099:-1 gene:Ccrd_002893 transcript:KVH95036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MTKVYPKAVVPPPLSSDRHRFSTESNSNAAVLTVWKKSLLFSCDGFTVFDTKGNLVFRVDNYAAANKAEVVLMDASGRSLLTIRRKKLSLADSWLVYDGDTAVNPRFSVTKHVNFLNPKSLAHVSSAKKNNRNVTYAIEGSYTQRCCVVYDDKRRCMAEIKRKEAVGGVGFGGDVFWLVVQPGMDSSVAMALIVVMNQMFGGSSRRCKPY >KVH97804 pep supercontig:CcrdV1:scaffold_142:434270:446113:-1 gene:Ccrd_000095 transcript:KVH97804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MLCSQLLWRFSFPCRRSPLFSTVLRRLFATSPPLQMGKASNSAPKSSLAIAKDDSYLQSVIPKRIELFESLKAQQIAHRQSISGDPIKVTLPDGTVKEGKKWETTPFDIAKELSKSLASNALISQVDGVLWDMSRPLEGDCQLKIFTFDSDEGRDTFWHSSAHILGQALEMTYGCKLCIGPCTTRGEGFYYDGFYGELGLNEDHFDSIVSGAKKAVAEKQPFERIEVSRKQALEMFSDNKFKVEIISDLPEDKTITVYRCGPLVDLCRGPHIPNTAFVKAIACLKASAAYWRGNKDRESLQRVYGISYPDQKRLKEYIALLEEAKKYDHRELGKKQELFFFHPLSPGSCFFLPHGTRITDKLMSFIRTEYRKRGYLEVTTPNMFNMQLWETSGHAANYKENMFVFDIEKQEFGLKPMNCPGHCLIFDNRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKDEVKSVLEFINYAYDIFGFTFELKLSTRPEKYLGDLETWNKAEGALADALNEFGKPWQLDFQLPQRFNLSYSAEDECKRERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSDKSQVYGQQVKDQIDEAGYYVDIDTSDRTIQKKVREAQLAQYNYILVVGEEEANTGKVSVRVRDKQEHSVKTIPDLLKHFKEEVEAFH >KVH97801 pep supercontig:CcrdV1:scaffold_142:260111:265142:-1 gene:Ccrd_000079 transcript:KVH97801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF220 MFTTDLSSMSEKESSNTRKNLQMHEIKERPRINLPALRGFLLQFPGKLQKHLKSRFKRPTEKVGGNREMFKLKDSKFNLEKQLQAWRKNPVWDDNQPPGIEVIVPKGSFCQLNVKVNVGLPPDAIYNIVTDPNNKRVFKNIQEVLSRKVLLDEGSRQVVELEQAAIWRFLWWSGTIAVHVMVDQNREDYSMKFKQVKPGFMKRFEGSWRVEPILLDEKLCHPFKPKTLSEYMSYTQGKGRIASKVTLKQLIEPAIVPPPPISWYLRGITTRTTEMLINDLLDEAARIKGVSGTDHIVTTESDLRQGLSDERLPDETFDIKERWALRRRKARKPM >KVH97811 pep supercontig:CcrdV1:scaffold_142:19768:36107:-1 gene:Ccrd_000067 transcript:KVH97811 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 1 IDGFVCGEEVKLFETSDKGISSPPSPVQNINATVKHGPDPARSLCISHVKKLDSDIELVKDMSNSVGHGFLRPTVLEHQIKTNSNGIGGNTLFQPQANSQIRKFSSSSSSSPSLLPSDFLGHRLTVRKLRLPRNLVVSGFAQAVLTTDPASEQLGGRFILEGDIEMQVDVKISSIALVEIQITNSADHLYLHWGGMRDRQEKWILPSLRPEGTKVDNNALRTPFVKSGSNSFLKVEIDDPAIQAIDFLIVDERQNRWYKNNGQNFHVKLPIGEKQVSNVGVPEDLVQIQAYLRWERKGKQTYTPEEEKAKFEEARKELQRELEKGSSLDDIRKKMTKGETQKKVQKQPGKKTYFTPERINRKKRDLMQLLNKPIPVTVKSVEEKVSTKPKSLSALQLFSKSIEEQNGANILNKKMYRLADKELLVLVTEASGKTRVHLATDQEEPLTLHWALSERAGEWLASSTSLDKAAETKFSTISIDGSSNKIQTLELEIHEGIFVGMPFVLLRGENWVKNNDSDFYVEFGGPKKPIKDAGDGKGTAKTLLDKIASLESEAEKSFMHRFNIAADLVEEAMDADDLGLAGILVWMRFMATRQLTWNKNYNVKPREISQAQDRLIDLLQNVYRTYPQYSELLRMIMSTVGRGGEGDKNNKCKGGMMEEWHQKLHNNTSPDDVVICQALINYIKSDLDISEYWNTLTTNGITKERLLSYDRAIRNEPNFTRDQKEGLLRDLGSYMRTLKAVHSGADLESAISNCMGYKSEGQGFMVGVKINPISGLPSGFPELLQFVLEHVEDKNVEPLLEGLLEAREELKPLLSKSTDRLKDLLFLDIALDSTVRTAIERSYEELKNAKPEKIMYFITLLLENLILSSDNNEDLIYCWKGWNQALTMLKNKDNDWALFAKSVLDRTRLALASKGELYHQLLQPSAEYLGALLGLDEWAVSIFTEEMIRSGSAASLSSLVNRLDPILRSVANLGSWQVISPVEAVGYVVVIDQLLSVQNESYELPTILVAKTVSGEEEIPDGAVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPDILDDLRAKEGKLLNLKPTSADITYSEVKEENLARSSNLEEVGATPTIKLVKKEFNGRYAISSEEFTSEMVGAKSRNIAYLKGKVPSWVGIPTSVAIPFGVFEKVLSDELNQGVSEKLEILNKQLGDGGSDVLGEIRKTVLDLAAPPQLVQELKSTMQSSGMPWPGDEGEQRWEQAWMAIKKEIISADYAFVIHTTNPSSGDSTEIYAELVKGLGETLVGAYPGRALSFISKKDNLDSPKVLGYPSKPIGLFIRRSIIFRSDSNEEKVVLDYTSDPLLVDVNFQKSILSSIARAGDSIEKLYGTPQDIEGVVRDGKIYVVQTRPQM >KVH97809 pep supercontig:CcrdV1:scaffold_142:56878:61379:1 gene:Ccrd_000068 transcript:KVH97809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MDISQNLEKNDRKFALPVDSEHKATEFRLFSMATPHMRAFHLSWISFFSCFVSTFAAPPLLPIIRDNLDLTATDIGNAGIAAVSGAVFARIAMGTACDLFGPRLASSSLILLTAPAVFLSAIANSPAAFLMVRFFTGFSLATFVSTQFWMSSMFSPAVVGTANGLSGGWGNLGGGATQLIMPLVYSLIHSHIGSTKFTAWRIAFFIPAVFQTLSAFAIFFLGQDMPDGNYVRLEKSGEKHKDNFSQVFYHAITNYRGWILALTYGYCFGVELTIDNIIAQYFYDRFNVNLHTAGIIAASFGLANLFSRPGGGILSDVVAKRFGMRGRLWTLWVVQMIGGFLCLLLGKVGSLTASIVVMLVFSVFVQAACGLTFGVVPFVSRRYVLSPVC >KVH97815 pep supercontig:CcrdV1:scaffold_142:345324:350094:1 gene:Ccrd_000087 transcript:KVH97815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIPGVLLKLLDGMNSGVNPTSEHRNSLLQVTDIVPVDLDEKDLFPKHGFYIKVSDSSHSIYVSLPFEEDDLVLSNKLQLGQFIHVKKLEVGSPVPIAKGVKPLPGRHPFVGIPEPLIGLIGKEEKSEQKGIVILDPVSNLNSKSSASKRGSWDTGQKVEYGVCVSPLALNPSPLQVDRCTPVKKKSSKNLNRTPIFPAPRRGSWVACQKGENGVCASPMAIKGSPLKFDQSTPVKEKYSVITVRSAEKRSSNGRASVSKLTETPVPVKKSSDTSSKVKIPRSKSSLCDKVAKTLRSPFKLAVGTSSLLAKVFLLQACRVNLDMPNSTLRVADFERIPDGKKGLESPPSTDSPEEQSDGNRCERKGLESKSKSNLKSDTSPRAEEKRSATRSSRVASSPIADVEIKECSNTKMGSQLESPYDAHSSSETNLSFNLPRNLSLLGKKEHRRSPFKRLEMPQPSKPLFVLSRFIEKTNKQHRVILTSSLHPTYRTLSTLSKSVNPEVPADCFDQFLEFHKQLVQAISDMVSIKAATKTSNKEDDTQILHDIMNNKSDSNNTSRRRARNDQQSTILGRHLRSKVNQKGKMGLFENKNPGLSCGDPDDMIKLGKQIETEAGNWFMEFLEKVLEKGMKKSKMDGKEVSESLLLKVIKWVEDEQCDSNKRLHPKATEIARKLRIKMKNL >KVH97808 pep supercontig:CcrdV1:scaffold_142:370498:380634:-1 gene:Ccrd_000090 transcript:KVH97808 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type MRIARLNLDIATQNANLVYLQALKNYISERRGVLGDGWVVKFEFSESICKRAPIYYAPDGSRFNSMPEVAHHFGLLLPPNSFETNDKGGSFALLQNGSHATKSLDSASRSLNANIIRERKSMSQSGVSNGGTAIEVDPMGNSNRGSELFLDGFPVQFQDFFVTSLGKIDPRVSFHTASQIWPIGYKSIWHDNATGSIFVCNVLDAGDCGPIFRVNRHPCTKHSIPNASTVLCRPKSRPSDGKDTAGSHDSAVNRAFQAFLDERINTRDKLAEQQKPPSLDDGSYSCLDKVASCLTTESAFPLQCIPSNNVIRGDIIGEFMVEGRSPSSAWQMVLETLLSACHQAFKDLSVLTFCCNHTVDRQHIDDSYNINSLDKFGYLRGPKIVNLIRTVEQLDGCCMVLRRWLQPDRFGLDAEFVQELVEQLPGVSACLGYKSLVARCQNSPHTVGSGFFTVLRKYSSQPTVSDSLVENRKRLSPPGNIIASNLPPRLIGDILQAHEFYLRFHKVLGLEALPSREKLEYELLNPWDDDLKPPNSHEGDKIEDLTSYSTIAPDMKSRFGDFVDATEESEGDGSRSEVAIKCTGVSLANFHMALVKVLVEDMLAKLTICEQSGAAESKSRKGRKKNMEIMVSSKKIKLSMFPVNEITWPEIARRCILAVLSMDGSLEASDVTNREFSEVFHCLKGDGGPLCGSLTGMAAMEADAMVLAEASEKIFSSVNSKFVNFIIDKNDLNIRDSATETNRTDNGCPKWIEVLEPVRKLPTNVGAKLRKCVYESLKQQPPPWAVEMLVNSISKDVYKGNASGPTKKIVVSVLEHVRELNPLTKKKAKESRVVRTLYDVIMRRCRMVLRSVAAEDENRAFFILMAESLFKRNESCDGGHPAPVSRPLDFRTIDLRLDAGCYGGSHESFIEDVREVLTLVRKTVDYGNDSISDETKRELNTMLADTITSTLSVAPWEDGICKVCGKDENDHILLLCDRCDAEYHTYCLDPPLQRVPKASWYCPSCISFITNQAMSSQEEESDTPVLFRDPDKKKTCKEIVRNGLESLAELADAMDSTIIRDHINAVDCNIRRDFLGRDSEGRLYYILGKPERVVVSGPHSIGEVCIASESSSSRELDASERCSWICYESDAEIEALVEWLRDDDAREKELKETIIQWQRNKSNNQNGEAGKVNRLMSSIHDTNARAALEKKFGSFSEGRVFDDGKIYRCDCLELVGSTRAHCFSCHSTFFSNEIHNDGKCGIHKEGDALVKQPTLTNDQNEPDVTFVFEEIRANFCAESLRKEVIKDVGIVGSNRNPVLVKHRVEAENIESSTPLVGRVSKILRYLKATLLDIEAALPNEAFRPSRRDSHRLRAWHMVQATIILEDMIRTEHLRNEWWYWSSPSAAIKISTVSSLALRIYALDAAIFYENPPTPPADLTEPVTPSDSNSKKEASRKSNPKSSSSTMVAMSNNSEPSKTSKSGKRPKKKKLRFSAD >KVH97813 pep supercontig:CcrdV1:scaffold_142:320289:331107:1 gene:Ccrd_000085 transcript:KVH97813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MATNGYTCDDAIEGLKKLLSQNSDLESVAAAKIKQLTAELEATETNEFNPVERIQNGFARFKKEKYETNPTLYSELAKSQSPKFLVFACSDSRVCPSHILDFQPGEAFVVRNIANMVPPYDTVKHSGVGAAVEYAVLHLKVEHIVVIGHSCCGGIKGLMSFPDEGPTSTHFIEQWVKICLPAKSKVKTDCSDDMDFTDQCTNCEKEAVNVSLGNLLTYPFVREAVVNKQVSIKGAHYDFVKGAFDLWNLDFAISPSLFQ >KVH97803 pep supercontig:CcrdV1:scaffold_142:448644:449783:1 gene:Ccrd_000096 transcript:KVH97803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIQPQNRLQNPSPSSPPPSVHPPPLSNSKTSSTFLFARNIIIVIAIMFFICGLLHILVRYLMKRKRLLLSSSSNSHFQSSRFQENGDGGVDGDGDAYERQLQQLFNLHDSGLDQAFIDALPVFSYKELMGLKEPFDCAVCLCEFTNQDNLRLLPLCSHAFHMHCIDTWLLSNSTCPLCRGTLFTPGFSVENPVFEFDDSREEGEEGDGDDGHGHGVFGHFKKPEEGIIGNEKRVYLVRLGKFRATNVGKREEKEVGETSNSNFDARRCYSMGSYEYVVGNSDLKVAFVPNRGSFGNKDVTGFDKDKGNSSIDGGIDGKKISNRSKGESFSVSKIWLWSKKDHHHHKPQVSSGIHHNHMVNSSVNVSLPMRYANNSQS >KVH97810 pep supercontig:CcrdV1:scaffold_142:74165:77234:-1 gene:Ccrd_000069 transcript:KVH97810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLSLIVFNLMVFFWLFTIIPSVEGRKFHSPCKKHHKGSGHFCNSTTIFNILSFGAKANGVSDDSKWINFKWVYNLTIQGSGTIDGQGCNWWDPIKSKHISDIKPTALRFYASEDTIVRDITIRNSPQVHLKFDNSERVKVNNITISAPRNSPNTDGIHLQNTRDVEIMHSNVGTGDDCVSIQTGCSNVHIHHINCGPGHGISLGGLGKDKSTACVSNILVENSVIQDALYGARIKTWQGGKGMVKNVTFSNIEVANVNFPIVINQYYCDKVVCQNQTNSVAIKSVRFDRIIGTYSTQPIHLACSTDVPCTDIDLSDIQLKPFGGLLGGSQLQRALCWNSYGNSKGPLVPSSINYCLRKGGGGGSGSVHEMSRSYDEVC >KVH97795 pep supercontig:CcrdV1:scaffold_142:233389:240909:-1 gene:Ccrd_000077 transcript:KVH97795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWRKVMIGLYFEGGGTGGKFCFIFGQATFLFSFFYG >KVH97807 pep supercontig:CcrdV1:scaffold_142:402386:409631:1 gene:Ccrd_000092 transcript:KVH97807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthase family MTEQTVADLPMKKPREGEENGAIATANGGGCISSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQDVMVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSVEHIDICEIDKMVVDVSHLDLLNFTVGYEDPRVTLHVGDGVAFLKAAPEGSYDAVIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVVNCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPEVDFKNPINPIDAIENQTKSIGPSLKFYNQEIHSAAFCLPSFAKKVIEAKSVN >KVH97805 pep supercontig:CcrdV1:scaffold_142:428183:433498:1 gene:Ccrd_000094 transcript:KVH97805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYC/MYB N-terminal MEEQVVSQSTHPRTWEAQFQAGIKTIALVAVGEGVIQLGSIHKVIEDLSFVVMLRKKLSYIESIPGVLLPHPSSSLYPFKPEAYNTPELWPPFHGGAGGSSGSPVAYNQPLNITPSMSSLEALLSKLPSVVPVSPPPPPAPLFGEAVPPHFVAVSPEKEVVEEIKDVGECSSSMSYGHHQQHFQHHDVNVSSCMTNNRY >KVH97818 pep supercontig:CcrdV1:scaffold_142:291340:292236:1 gene:Ccrd_000083 transcript:KVH97818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MEVHKSIDLSSSSGYDPDPKDYIEQSAADEVNFGFNHLKIDENDSHKQQLTPESPETDDEEDEEEEEEEGDFTFMCIGDNDSQTNEVFESGQIRPVFPLFDQSLLLGGDNDGEGRWRLPIHVPVDKVFIESPRRSPSSMASGDQQTDEVSAGTFCALPKESDTGTPEINMKSNSTGFSKLWRFRDKMNRSNSDGRDAFVFLETPERTRTTPSTSIGKSNAGDDLPAKVNGAGGVGKAKVVKKGSKAQNSKASAHEVYLKQRGGQTEDERRRSYLPYRPGLMGFFTNVNGGLSKNVHPF >KVH97819 pep supercontig:CcrdV1:scaffold_142:352204:358687:1 gene:Ccrd_000088 transcript:KVH97819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSYCIWMRRVKMATTTTAAASSSVFRPTTASSISPLFTPSSSKPTPPLLSHLFHRHSSISTTATPQSSRFPISQTLDHNCSSSSGAEDGGINPKDSQKILLKGMRYKELENWVISKGYRSAQALMLWKLLYGNNSNSIWAHSFDELEGLNKDLSMMLSKYAKLKALQVKDIVIASDGTRKILFMLDDGLVIETVIIPNGDRGRNTVMGLRRNLTAAEIVEQAVFARRLFTSEVGSIRNVVFMGMGEPLQNIENVMKAADIMVDEQGLHFSPNKVTISTSGLVPQMKRFLRDIDDAKRIVDLVEGIPCKINLITFNPHSGSHFHPTTDKKMVEFRNTLAEGGCRVFMRPSRGDDQMAACGQLGNPGDFQAPLLKVPPRFQPALEPSVRSL >KVH97816 pep supercontig:CcrdV1:scaffold_142:269880:270482:-1 gene:Ccrd_000080 transcript:KVH97816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQMPVVYPVSDTVPTSNNSSNGSYGAVFIVLAVIVVVSAIGCFLGRLCNKGQDVARPYKDQSHPPKEKDVKRNTNVFQTKDGDIESGYDKRLASAKVAATSGEPSMAQPEPFGEPMARPNSFQEPTVSRTNSYDGPNQVRANSFHEPAMGRPSSFHEPTMRQPNYLQKGEFQGDQVKFGADRGHEINFKPRTRAQRY >KVH97817 pep supercontig:CcrdV1:scaffold_142:279398:280318:-1 gene:Ccrd_000082 transcript:KVH97817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYALTPLTPKIPKYFSNSFPSFSFSSNKSNKKTSTPIPGLLGVPTFFKPLFTTFPLYAIAFSPLAASPPAVGHPVSDTTTGIRSYPSLSIAKDRRSPLMAMNCREGIEGVGSNRVVVPVAIEGRSSLGTPIVSWIPEPENDWSDSGLGLNSRMLKIPLVVMTLTAAEGGGRLLATRP >KVH97800 pep supercontig:CcrdV1:scaffold_142:139163:146338:-1 gene:Ccrd_000072 transcript:KVH97800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MPLSKTRKLFIMEKREHIFLIFGFVVLTWVNGSSATLSPSGVNYEVVALMAIKSDVKDPHNVLDSWDFTSVDPCSWRMVTCNSDGSVSALGLPGQNLSGTTLQNNAISGPITGAIGKLWSLQSLDLSGNKFSGELPASLGDLKNLNLLRLNNNSLTGPVPESLSQVGGLTLVDLSYNNLSGSLPKISARTFKIVGNPLLCEHNSENGCSVVFPEPLSFPPDGESSSGEKSRRLAIALGTSFGAVLLLILVIGLLIWWRYRKNQQIFFDVNDQYDPEVCLGHLRRFTFKELRAATDHFNVKNILGKGGFGIVYRGSLSDGTIVAVKRLKADNNFGGEIQFQTEVETISLAVHRNLLRLWGFCSTENERILVYPFMPNGSVKKLHQDGKLNLMVDKALSNNFDRVELEEMVQVALLCTQFNPLYRPKMSEVLRMLEGEGLVERWEASQTIETPRFRALESFPQRYADYIEESSLVVEAMELSGPR >KVH97820 pep supercontig:CcrdV1:scaffold_142:360798:370939:1 gene:Ccrd_000089 transcript:KVH97820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MANSTNSPEKTITEQQNPTQPPAPDNPKQPNSDLPDSSTAQPSAILATTANATVVALNPNLNNLQPTPLQPTGIPPPPQIQPFVPPSQLSTVPPSFRPATPSVPLPTAPQFSPIPNPNFQNHGIQGQGQVQVQPPGVMMPPPSQSSGMMSSVQQMMPPQYGQPGQQPMRMYAPMPNGYQMAVPQGTMNPPGILRYAPPPYPSMLRPAYPQRPLGAVGVIPALPRPPMMPMRGPVVPTIVRPAINPTITPTEKPMNMVYVGKIASTVDNDFMLSLLQLCGPVKSWKRVQDTGGFKGFGFCEFESPEGVLRALRMLNKLSIDGQELMLNFDHATRKYLKLYVEKKKDNFKNLKATDTEGSGKGEGNASGLEKNEPSESSVEESKDISKEEKKEDDNNESNKEIKDIATFGLVTNEDKEADREASEKITGMIEERIKNKPLPPPPSPPQTAPDVPGNSTSEHPARSRDGDSDVDITRTVEDRNGEEMTSKSKPSGENERPETSSPDRSNKNDRRSKDRERDLKREKERELERYEREREQERAKREREREYKIREDERRYRARLKEWESREKEKERARKQEREREKDREQDRKYEILDQENDDGYSKKRKYRSSGEEREERKRRLREKEEDMDDRIKEEEEIAEAKRKAEEEREQQKEQQKHALELLSSNATNGAENAMLIDGGLLDIRNKAVDQTTDGEVAHGNEIDSRIIQNGTGDDSAVGSAAASEMRQSNTVTTKKLGFGLVGSGKRTTVPSLFHEEEDEDAQKDKKMRPLVPIDYTTEELQAVQDTAPVAPSPLAAAAEFAKRIGNANSKEERPDSERERSRRSHDRSSHRDRDRNFEEANRSRDETRKEPIERERGSEKAKTPDNKKLLDAKQLIDTIPKTKDELFSYPINWATYDKNGLHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVTADEMLDRLQSILDDEAEMFVLKMWRMLIFEIKKVETGLAGRSKT >KVH97806 pep supercontig:CcrdV1:scaffold_142:411925:414047:-1 gene:Ccrd_000093 transcript:KVH97806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MDCFVIFLHFLIFTLCTLVKSQSSLCRSSCGEIQINYPFGIDDGCGSPNYRNILVCSEPNRLELRTPSGRYLIRRIDYSDPHILVTDQSMWNCQDGSRFRQPRPFSLDTSIHFSLSPQNDYLFFNCSEKDVIVEPKPIFCERFPDRCDSTCDSASYLCRHLPECGHVFDGRTSCCSYYPKATESLRLMLKYCDTYTSVYWRNLGVNQPYNTAPEYGIRIDFDIPVTTRCLQCQDGRAGVWYFRKVKGAKPVTHGVQTNENRLF >KVH97799 pep supercontig:CcrdV1:scaffold_142:159261:166698:-1 gene:Ccrd_000073 transcript:KVH97799 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 2 MARKKIREYDSKRLVKEHYKRISGSELAIRSAQVTESTDLNDLIEKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVSEFVKARLGKEVEMGGCKGPITTFIVEPFVPHNDEFYINIVSERLGCSISFSECGGIDIEENWNKVKTIFLPTGVSLNQEICAPLVATLPLEIKPVIEEFITVIYALFIDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGSIEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGQKRALVVGGGIANFTDVAATFNGIIRAMKEKESKLKAANMHIYVRRGGPNYQKGLARMRALGDEIGIPIEVYGPEATMTGICKQAIECITAAA >KVH97793 pep supercontig:CcrdV1:scaffold_142:213602:219036:1 gene:Ccrd_000075 transcript:KVH97793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPYHFFNQLLPLYPLSLCIHNFLSLKVSILIDYSLSIGSLIVSRYEIFIAEIIFDLLLSLSLLLWFDYWSGSSNDLPDLLFFLLVILQDLARFWTSERKMFGGSNTNSLVPVFLDENLFQYPSNQLQLFGNVPATRNVDPVNYSVREHNSPVFRSNKRPREAEANLMQKKLQISLNQNFYNEETDRPSTIPTPHPVSTGLKLSYDDEERNSSITSASGSMTAAPSIMSSFGDGVTNELDRHNEELERFIMIQVGENMVKGVKEIRQRHMATFLASIGKGIEKKIREKDLEIETINLKNKDLVGRIKQVANEAQNWHYRAKYNESMVNMLRTNLQQALAQGNEQQQQVKEGFGDTDVEYDAVSSIDPNNYLNAPGKSLKDNNSSMMVCKACKAKEVSVLVMPCRHLSLCKDCDRGVNVCPVCQIVKTVGVEVYMS >KVH97797 pep supercontig:CcrdV1:scaffold_142:120733:128216:-1 gene:Ccrd_000071 transcript:KVH97797 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MNLKKLVPISDHFPYFAFPRKKVVSGFGLGVVSFSIVVCVVFFNVSFKNPISNYFVSQGSNVSNGSSVISWPFSLRRTTPFSLNATSFVKNGENPHGELISESRVESNSSDEKFVEIGDAHFSNFTKNGSFEKVLGLKGTQMVNLTGDVKNGKSDDLEGTHLSNFTNDMKNGSFDAISEKPFDINPNLDLKSGANGVLMLRNYSSSYGECDIFNGRWVRDDTKPYYPAGSCPYVDRDFDCHLNKRPDDEYVKWKWQPFECEIPREDYYQEGDHVYPRLKVLDAYRRALSTWARWVDTNIDTNKTQVIFRGYSVTHFKGGQWNSGGKCHKETQPIFNTSHLTKYPSKMRAFDNVLRGMKTPVVYLNISRLTDYRKDGHPSIYRMAYKTEEEQIAAELSQDCSHWCLPGVPDT >KVH97796 pep supercontig:CcrdV1:scaffold_142:220617:231010:1 gene:Ccrd_000076 transcript:KVH97796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEITVDFVGNSTMPHFRRTLSINRRSEIVSTRQSSCSSYLNGTEVSFSTFHSVDNLLADITCFFRQKVGIELVVEKGDFPEHQSVNVIVANECIDLPSESNAVCLESGLVDYVLKHGNQKDSTCHSCFPIREHLKTGTGVVCSKSNRNIETTMEAVVVISELSELMNPSCFRVCGSKTEVLYFNDFSPGSISQSLLNGLKIIDWRSYGLSLKCISDEDGCAFVEWEDLPPAKERNVDRNLAKKAVKLALNDLKEKNAGVLLSAHAIKIRSYAPDLAKTIAGLISTSNDVKFRGECASLLGLHSHDAESIMDCIKQRLISVIDLNDREPQTKRMREGAPLLFHDDCFQETEFADEEYEDGEVSFSALDL >KVH97794 pep supercontig:CcrdV1:scaffold_142:182113:195951:-1 gene:Ccrd_000074 transcript:KVH97794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTALSYWLNWRFFLCALWVLIAIIAAVILILKYEVFNKKRCRQRDNEADIEPIGILYEDETWRTSLKGMHPGWLLAYRLIAFSILLALLISNLVIGGARVLFFYTQWTFALVTFYFGLASSLSIYGCYQYWNEVGDDNINRVGLDTEQGTFTAPLIEHSLASQHLPTEPSKHEEINVRKTAGTWGYFFQIVFQICAGSVGLTDTVFWLIIYPFLTPLTYKLNFLNVSLHSINAVLLLIEVILNRLRFPFFRLAYFALWTCTFVIFQWILHACVSMWWPYAFLDLSSPYAPIWYLGVGLIHLPAFGIFALIVMWKQLLFSRFSQNLVA >KVH97814 pep supercontig:CcrdV1:scaffold_142:334943:339504:1 gene:Ccrd_000086 transcript:KVH97814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAEQNKQQPAFAKIDQLRPGAIGLNLTVKVVSSKMIMTRGRNGTQGRNMRLAECLVGDETGIIVFTARNDQVDAMKEGSTVILRNAKIDMYKGSMRLAVDKWGRVEVTEPAAFSVKEDSNLSLIEFELITVEE >KVH97798 pep supercontig:CcrdV1:scaffold_142:84018:97170:-1 gene:Ccrd_000070 transcript:KVH97798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MEEMYGFNSMSDYTISPETNLISSSEYHGVTSTSVDHYRTFYGSDNLLSAAASVISEAVSLAATPHQILLPRTRSSRQRDQNKNDSDHHHVDVCDDIIKAKIASHPLYPKLLDAFIDCQKLGAPPEIASLLDEIRRENDVRLRNAASSTCLVADPELDEFMETYCQVLVKYKSDLARPFDEATVFLNNIETQLSNLCKGIRIYTVDDDGTVSSEEEFSGGETENTQVSREEQELKDTLLRKFGGHITDKIALAESTGLDQKQINNWFINQRKRHWKPSENMQLAIMGSFSGNQDFYDD >KVH97802 pep supercontig:CcrdV1:scaffold_142:242588:247576:-1 gene:Ccrd_000078 transcript:KVH97802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C15, pyroglutamyl peptidase I MGSEAPKSVVIHVTGFKKFNVFATNPTETLVSDLRSYIEKTGLPPGVSLGSCTILETAGDGALATLYKVLEASVSSENKSSSKEVVWLHMGLNGGASKFAIERQAVNEATFSCPDELGWQPKRLPIVPEDGGLTRTRETVCPINTILEFVKNIKGCDATISDDAGRFVCNYVYYHSLRFAEEKGHRSLFVHVPPFSRINEETQKQFMAALLEAIALSC >KVH97812 pep supercontig:CcrdV1:scaffold_142:297054:303723:-1 gene:Ccrd_000084 transcript:KVH97812 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 NASTSDVNWLRSRVTHSYPSPIISCIHKAPHAAEISRITSPSSENRHPKSFVDESTASNVLKDVHISTQLLNGFLEVAKDNTSKDLETCGVLGAFLKERTYYVTTLIIPKQDATSSSCQAVNEEEIFAIQNEQSLVPVGWIHVMLPEAVAIVMAPTDASRSYGFFRLSDPDGMNILRECQERGFHTHQEPVDGTSLYEDCSNIYLNPNLRLEICDLRHLC >KVH88210 pep supercontig:CcrdV1:scaffold_1420:26220:30365:-1 gene:Ccrd_024401 transcript:KVH88210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MRIQRYHYILQSILLVLASSLLNSLAKQSSFCSTEEDLLDSNPMATTLGGLQNSSASNSADIDSLAHFAVQEHNKKQNAMLELARVVKVQEQVVAGTLHHLTLEVVDAGEKKIYEAKVWVKPWMNFKELQEFKHIGEQTTSTPSDLGTCQQVGSGIKKSGISIMEKYCDGSGYQSVPVHDPVVQDAANHVLMTLQQRSNSLYPYELQEVIHAKTECVEGSAKYDILLKVKRSSKEEKFKANVHKDKDGNFHVNNMVQDHS >KVH88208 pep supercontig:CcrdV1:scaffold_1420:41121:43123:1 gene:Ccrd_024403 transcript:KVH88208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MDKASIDEVVLVGGSTRIPKRIIPDEAVAYGAGYLAANLSDLGDEVVRGLKLIDVTSLSLGGLSRRETQKFRELFFWDNLVSLVFLLLPGGGVEIKIRYDIDDNGILHVSARELTTGRNKANKITDDGSLSRAEISKMIKDAERYKQEDEAHIKKAMAHKALNDYAYRWRVNLNRYKISYFFASVRPAAVIPRHRTTNSSITQLLPRHSCSASTAVAVFRLAASSYSKQQGTGTVSLRVPCPDSRHQPAVFRLTADSTSSCRNSPRLSFSPANSSCRNSPTAACFPPLGLPTATPDSGSAVLFLRLR >KVH88207 pep supercontig:CcrdV1:scaffold_1420:40016:40928:1 gene:Ccrd_024402 transcript:KVH88207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVITVPTYFNDSQRQSTKDAAKIAGLEVLRMINEPTAAAIAYALDKRTSSDGKINVLVFDLSGGIFDVSLLTTDGRGVIKVKATGGDTHLGGEDFDNRMVNHFVREFKRKHKEDLSGNRKALVWSG >KVH88209 pep supercontig:CcrdV1:scaffold_1420:16583:23402:1 gene:Ccrd_024400 transcript:KVH88209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFTRSRTRPNRPNSLGGMDFSDPVKKIDVVRRILLAAGLIALCITIIKISPTFSSPSPFSRHETGITHVLVTGGAGYIGSHASLRLLKDSHRVTIVVNKIFYDNAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAMAAHNVNTLIYSSTCATYGEPEKMPITEETPQHPINPYGKAKKMAEDIILDFHKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISVDAHVKALEKAQPGEVGIYNVGTGRGRSVNEFVEACKSATGVSIKVDYLPRRPGDYAEVFSDPSKILRELNWSAQYTDLEKSLRVAWRWQKLHHNGYGPSKASS >KVH91506 pep supercontig:CcrdV1:scaffold_1421:9644:10240:1 gene:Ccrd_006471 transcript:KVH91506 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF640 MEKYHSFTMDVPPAQEVDSIITTNLNAGMLSPTSSSSSSTSSPATTLSRYENQKRRDWNTFGQYLRNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGMPETNPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQLPQSQTPPPPPPPPLC >KVH91505 pep supercontig:CcrdV1:scaffold_1421:98813:107247:-1 gene:Ccrd_006472 transcript:KVH91505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGLQQSKDELLYQQVSYGTTEGIKSLRSEGAGLEWMDKEGKTPLILASMNPQLYDVAKTLIELGANVNAYRPGRHAGTPLHHAAKRGLEQMVKLLLSNGANALVLNDDCQTALDVARVKGYSNVVRAIENHICLFSGWLQELYGPGFLELLAPQLLSRKVWVVILPCGARKLTTPFKLELAIYSGLQDAKPRTIIALWKANMDEPNFNQSDPAVIISSSNIPRRWRRKRCILSSQVRQSRVKLAPVNENEKQQLQRFCNACKGIPQVIHASFPFNNQGADVEGPAQTTPEDADLALAISASLQSASGVRPVPPNTYPGSGSSTSAGWTNSTSQNSETATHNGKSKWEIHDVGTSSTTTHYHPHTDTNTYSQIVPTAPITPPSVPSAPPVSDMVDDGPIHYPTIDSTPMDLSSSVEESRPAAKAGEKEKDNNDSSSCVICLDAPVEGACIPCGHMAGCMSCLNEVKGKNWGCPVCRTKIDQVVRLYAV >KVH96561 pep supercontig:CcrdV1:scaffold_1422:1113:1596:1 gene:Ccrd_001342 transcript:KVH96561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MHPFHMLGVAGVFGGSLFSAMHGSLVTSRKTTENESGNEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTTLGINTMAFNLNGFNFNQSVVDNQGHVINTWAGIINRANLGMEVMHERNAHNFPLDLAAIKALSTNG >KVH96563 pep supercontig:CcrdV1:scaffold_1422:12602:16512:-1 gene:Ccrd_001344 transcript:KVH96563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MGSSVVLFLLCVLLVFGTLSVVFSNTVNIGAILNTKTINGKVSTIAMKAAVLDVNSDPTILPSKKLSLSIHDANFSGFLSIVGALKYMEIDTLAVIGPQSSVMAHVLSHLANELLVPFLSFTALDPTLSPLQFPFFIQTAPNDLYLMTAIAEMVSYFGYREVTAIFTDDDQFRNSISTLGDQLSERLCKLSYKAPFPPVSSLSSQDIKDILLKVRSMESRVIVVHTYSKVGLMVMETAKSLGMMKKGYVWIATTWLSTVLDSTGVSPAHAPSVQGVLTLRPHTPDSDKKRAFIRRWKNLSNGEIGLNPYGLFAYDTVWMIAYAIDKFLKEGGKISFSXDSXLGGLRXAKSLNFGALSVFNGGKQLLRNILQTNMSGLTGPLWFNLDQSLXHPSFDVINLVGNQGRRLGYWSNHSGLTVQSPETLYAEPSNRSIANQHLRSVVWPGNTKDRPRGWEFSNNGRPLRIGVPLRVXFKEIVTVVNSSHEIHGFSXDVFMAAIKLIQYPVPYEFIMYGNGRENTVSTLGRMVLFIWLFVVLIINSSYTAXLTSILTXQQLSSPIRGIDSLIASNERIGFQIGSFSENYLMEELNIPKSRLVALGSPEEYAEKLGGGIVAAIVDERP >KVH96562 pep supercontig:CcrdV1:scaffold_1422:9190:12576:-1 gene:Ccrd_001343 transcript:KVH96562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGELQKIHDHWLKRKTCSLRNSDSDQLQLESFCGLFLIFGVACALALGIHFCMVLREFGKHDPSPEKGSRSVRLQRFLSFADEKEEISKRKLKRKRDGREVNRSNRIQAEVDEDQNCVSDFDSINTSFDSLIKSRSSDSDQNDLIQIALHLGSVRLEAGKRSDRKRSSFHNAVVWPLPPDLTIKGYRYLGLGYRFVHRLEKRRFWRRRDGEDVPDGEEETAINEYFKPVDKQAEMIVEMQLKEEEKTMKQMMHTMK >KVH96566 pep supercontig:CcrdV1:scaffold_1422:48548:49591:1 gene:Ccrd_001347 transcript:KVH96566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MSLLPLTLTLICFFFLIPSLLAETLDVKHHDKDYTFVNPKLPPNVFTTSKKYEGSSELVNLRYHMGPVLSSPINIYLIWYGKWLPTQKLLIKDFLLSISTTKRRAAPPPSVSDWWQTVSLYTDQTNANISRNILIAGEYSDRKYTHGTHLTRLTIQDVIASSVRSAPFAVDHKNGAYLVLTSGDVTVQDFCRAVCGFHYFTFPSKVGYTLPYAWVGNSGKQCPEVCAYPFAVPGYMGSGGPGSLASPNGDVGVDGMISVIGHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGSGGGGGYIGQVMKDGSGRTYNMNGRRGRKFLVQWIWSPVLKACAGPNALD >KVH96569 pep supercontig:CcrdV1:scaffold_1422:99677:103050:-1 gene:Ccrd_001351 transcript:KVH96569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDDYTTMFGSIKENSLDFVVTNERSCNDGWLESLNLSSSTHLDFSSSYLHSSDIYMPSFDPNLCPEETDMKPLDDYGEVFDDRLVQAIEYLNERCITDTDLLVQLWLPVIRHGKRVLTTENKPFMLNSDGTSFSNYREVSKSYRFAVEYDSTEILGLPSRVFLKKFPTCTLDLRFVTEANDPRVSYAQKLNLVGCLNLPLFELGGGPCLGVLEVVTTSQKVNFRDELENIYKALEVVDLRTSEFLIHPKLKDFSEPYQVVLAEIRDVLRSICDTLKLPLAQTWGSCKDSSRAYISIIESASYVFDPEILGFFEACCDQQLVQGEGIAGKALGMNQPCFTVDIADFCRADYPLAHQAKMFGMSGAVAIRLRSTYTGLMDFILEFFLPPDCKDHEEQRQMCSSIASMIQHISWSLHGIDDEEPVEEASFSVKKTNTRDESWISHMLEAQQRGEKVIVSMGVHKEEPEEGFKVINQFYQGLAFTDPEGQTYLEWGPNSRSQSSSAKRSKEKSRVKIERNISLQVLQQYFPGSLKDAAKSIGVCPTTLKRICRQHGIMRWPSRKIKKVSHSLKKLQLVIDSVQGAEGMIKLGSFYTNFPELSCPISLSPRPKVNDRVNLLKSQTTPSNSSLSSCSHGSSSSLKSCGLQKRPCGNSSFTKNMLQEETKLLVRSENNKLAEVIAPAPKSSSPISQDECAFRVKATFGEEKIRFRMSKECGFGDLKREITRRFNKYDMEHMTVEYIDDDSDWVLLTCDADLEECMDLHTSTNNPTIKLFLHRSSFLPMTHPNDDIHIW >KVH96568 pep supercontig:CcrdV1:scaffold_1422:117607:118088:1 gene:Ccrd_001352 transcript:KVH96568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYGNMLVLSRPSPKPIVSPRQPSPPPATQPPDQPPVESDSISLRPLGRTGLAPSLSHLTFPLIQSKDSSVLPKTNRFVPPHLRPGFHGREAKPICPCDECGSERAALSSVGLMKKIVGRLDEEEEATIRKEG >KVH96567 pep supercontig:CcrdV1:scaffold_1422:58272:69065:-1 gene:Ccrd_001349 transcript:KVH96567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF833 MCISVFLWQAHPLYPFLLLLNRDEYHDRPTDPLNWWEGGKILGGRDVTAGGTWLASSRGGKVAFVTNVREVKLISNAKSRGDLPVRFLKSNKNPMEFAEEIAKEADQYNGFNLLVADLLSMDMVYVTNRLKGDNCYMTTVSPGVHVLSNASLDTPWPKAQRLEHGFRDLLDQYCEGEIPINEMVDKLMGNTVKDEISMLPEVYNPEFEYQLSSVFVDTVTPKGRYGTRSTSALAVKASDEVFFYEKHLENDSWKEQTATYMIEKDEK >KVH96564 pep supercontig:CcrdV1:scaffold_1422:26145:33153:-1 gene:Ccrd_001345 transcript:KVH96564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLMDFGKKAMFYVRVLSGYEERRIRSQRLQLEKRIIEAERRKAEIRKIPEQLILSEVRQMVEEMQAVNKQLEETETAINEYFKPVDKQAEMIVEMQLKEEEKTMKQMMHTMKVQAFEKEMEKNANLKIDETKQETTDKAEAGGLR >KVH96565 pep supercontig:CcrdV1:scaffold_1422:37346:47891:1 gene:Ccrd_001346 transcript:KVH96565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWSGGLRFFFLLAAIAFIYTQVRLFKAQSEYADKVAAAVEGENHCTSQMRLLIDQISMQEEKVVALEEKAKRQEEECEQLRTLVQNLERKGVKGLFQQVQEPVAAVVIMACNRADYLERTIKSILKYHGSVASKFPVFVSQDGSNSDVRAKALSYSELTYMQHLDYEPVHTERPGELIAYYKIARHYKWALDQLFFKHNFKRVIILEDDMEIAPDFFYFFEAGADLLDKDKSIMAISSWNDNGQKQFVHDPYTLYRSDFFPGLGWMLSKPTWDELSPKLKENHEGRQFIRPEVCRTYNFGEHGSSFGQFFHQYLKPIRLNNVPVDWKSMNLSYLREDKFVKHFAALVKAAKPLYGTDLVLKANNVDGDVRIQYRDQLDFEDIARQFGIFEEWKDGIPRTAYKGVVVFRYKTIRRIFLVGPDSLEQLGIQTT >KVH96570 pep supercontig:CcrdV1:scaffold_1422:79531:83841:1 gene:Ccrd_001350 transcript:KVH96570 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MNSLLFRTSSKRLTSLVSLTTYNSLKHLLVPSSTPSSPANHQQLPNQSQTFHSSAGLRRSFHGSRWVSIRASDVSTQSAGYAVAADPSYEGDRAASSDGLEISKLGISQEIVTALAKKGITKLFPIQKAVLEPAMQGRDMIGRARTGTGKTLAFGIPIMDKIIQYNEKNGRGRNPLAIVLAPTRELARQVEKEFYESAPNLDTLCVYGGSPIQRQMSTLDRGVDVIVGTPGRVIDLLKRGALNLSEVKFAVLDEADQMLNVGFADDVETILEYLPRERQTMMFSATMPSWIVKLTTKYLKKPLTIDLVGDSDQKLPDGITLFSISSEMRDRPSIIGPLISEHANGGKCIVFTQTKRDADRLAYGLQNSFRCEALHGDISQNQRERTLSGFRDGRFNVLVATDVAARGLDVPNVDLVIHYELPNSSEIFVHRSGRTGRAGKKGRAILMHSSQQWRDVKGYEREVGCKFSELPPIAVDAGSRIEIGGGFGSSGGRFGDSGFGGSGGFGGNRTGGFGSYGASSSRGGGFGRSSYGGSGGGFRGPSSGRASGFGEDSSRPSAGRRSGFGEFGSDRSSGFGGGRSSGFGSDRSSGFGSDRSSGFGSQRSSGSGGGRFGGFGGDTDF >KVH89260 pep supercontig:CcrdV1:scaffold_1423:29322:37128:1 gene:Ccrd_008751 transcript:KVH89260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme, N-terminal MTERDRLELRGLLPPNVQTEEQQTERFMTDLKKLEMNARDGFDDTTCLAKWRIFNRLHDRNETMYYKILIAHIKEYAPIVYTPTVGLVCQKYSGLFRRPRGMYFSAADRGEMMAMVYNWPADQVDMIVVTDGSSIMGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPIMIDVGTNNETLLKDPLYLGLQEHRLEGEEYLSIIDELMEALFNRWPHVIVQFEDFQRKWASKLLLRYRNTYRMFNDDVQVNTYIALDQAGDAPPLSFIAIVLFYFNLVLLLDLLIFHVLLINAT >KVH89259 pep supercontig:CcrdV1:scaffold_1423:101968:112312:-1 gene:Ccrd_008754 transcript:KVH89259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MATMESLIGLVNRIQRACTALGDYGGGDSEFSSLWDALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGRQEEYAEFGHLPRRRFTDFALVRKEIQDETDRITGKTKQISPIPIHLSIYSPKVVNLTLIDLPGLTKVAVEGQSETIVEDIETMVRTYVEKPNSIILAISPANQDIATSDAIKLAKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNTDMMYARRREQEYFATSPDYGHLASKMGSEYLAKLLSQHLESVIKAKIPGITSLINKGVDDMEAELDRLGRPIAVDAGEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVRKIVSEADGYQPHLIAPEQGYRRLIEGSLNYFRGPAEASVDAELRRFPTLQSALAAAAGEALEKFRDESKKTVVRLVDMESSYLTVDFFRKLPQEVEKVGPTPSPADRRASSADRKNPPSDRGIPAADRGSAVDPLGDRYAEAHFRRIGSNVSSYIGMVSDTLKSTIPKAVVYCQVKEAKQNLLNYFYTQIGKKEAKQLAELLDEDPSLMSKRLEIAKRLELYKGARDEIDSVSWVR >KVH89262 pep supercontig:CcrdV1:scaffold_1423:65669:66615:1 gene:Ccrd_008753 transcript:KVH89262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme, NAD-binding MYLFPGIGLGTLLSGARIISDGMLQAAAECLAECMTEDEVLKGIIYPPISRIRDITKKIAVAVITEAIEEDLAEGYREMNSRDLQRLTKQETSPTFLVSAML >KVH89261 pep supercontig:CcrdV1:scaffold_1423:47489:52756:1 gene:Ccrd_008752 transcript:KVH89261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme, NAD-binding MMIDFPKQNIVVVGAGSAGIGVLKAARRTMARMLGNNEDAFESARSQFWVVDVNGLITEEREDIDHEVKPFARKTNEISHRGLREGASLVEVLQEVKPDVLLGLAAVGGLFSKEVLEAFRGSTSTRPAILAMSNPTTN >KVH96960 pep supercontig:CcrdV1:scaffold_1424:24026:27132:-1 gene:Ccrd_000945 transcript:KVH96960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGLLRIWFGLFVGIVLINGMNIGVEGWSKEGHMMTCKIAQELLVPEAAHVVRMLLPDYANGDLSAMCVWADQIRHWYRYRWSSPLHFIDTPDDACSFDYSRDCHDTHGHKDMCVAGAIRNFTSQLSHYHHGTSDRRYNMTEALLFVSHFMGDIHQPMHVGFTSDEGGNTIDLRWFRHKSNLHHVWDREIILTAAADLYDKDMESLQKAIQTNFTHGLWSDDVTTWKDCHDLSACINKYATESIKMACKWGYKGVEAGETLSDDYFNSRMPIVMKRIAQGGVRLSMILNRVFGGANSSEDTLVAD >KVH96962 pep supercontig:CcrdV1:scaffold_1424:27891:29426:-1 gene:Ccrd_000946 transcript:KVH96962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MVTEAASRNKVKRVKPPKPVKPLQELLTSRKPNCNGPGAACYDPRFIGGDGIVFYFHGKSNQHFSLISDSDLQINARFIGLRPEGRPRDYTWIQALGLKFGHHNFTLEATKTAKWDDNVEHLNLSYDGTELVIPEGHSSEWTSTNGDIQVERTSTTNSLTVHIPDVAEISVNVIPVSEEDSKIHNYQIPANDSFAHLEVQFRFLGLSSKVEGILGRTYRPDFENPAKPGVAMAVVGGDDKYKTSSLLATDCALCVFDPNEIKNGDGARVMEYGMVDCTSGGNGITCKK >KVH96961 pep supercontig:CcrdV1:scaffold_1424:17174:21101:-1 gene:Ccrd_000944 transcript:KVH96961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, FAD/NAD(P)-binding domain-containing protein MAFARISRNWRRSSGTGTINRYINNNDIPSYSSSDSTLNAKTFGKMSYLESKTKVDHMNFEGRGTQAIQGYRFGHAEGISEDYSDSETENVQYASLEATKAGDKPRVVVLGTGWAACRLLKGIDTTIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQSALAKDPNSYFYLSSCYHIDTDKHETVSDNGLPHEPSRFKVAYDKLVIASGSEPVTFGIKGVSEEEKERMLHCVVIGGGPTGVEFSGELSDFIVRDVCQRYAHVKNYVRVTLIEANEILSSFDVGLRQYAMKHLTKYGVRLVRGVVKEVHPKKLILSNGSVVPYGLLVWSTGVGPSEFIKSLDLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLENTGRQVLPALAQVAEREGKYLVELFNNKIGKQNAGKAGSANDLVLGDPFVYKHLGSMASVGRYKALVDLSQSKDAKGVSMAGFVSWLIWRSAYLTRVLSWRNRFYVAINWATTLVFGRDNTRI >KVH96436 pep supercontig:CcrdV1:scaffold_1425:71007:76020:1 gene:Ccrd_001478 transcript:KVH96436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSIFGKQLLLTLMLLCIALYGFGLGTGNGYIPQPGGGGGFGNGGGVGGGGGFGNGGGVGGLGGIGGGVIAKALVCLSDKASDFHPALNPCSVQSSMHDQLTESGDLHVPLDYTNQYCSGPCLRETKLVLSCINDVLSNFVFYNRATVRDVRDTITAGCSYGPTRGDFNVAEYVRAYGSNSYKLSYPNLLDQKR >KVH96433 pep supercontig:CcrdV1:scaffold_1425:121048:121759:-1 gene:Ccrd_001481 transcript:KVH96433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLVQKIESNRAVWLLYRWFFHLQVNTLSQKLEIYKLYLCIQMVFSYRWFSRMFDKSYLEPSFSCFFRPFQWRLSLNVTVTVDHGFLL >KVH96437 pep supercontig:CcrdV1:scaffold_1425:105843:109896:-1 gene:Ccrd_001479 transcript:KVH96437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIITINPLKDGGVQSCPDHIVNHTFLFFFLVSRRYASEVGFCRNDVVENLVNKTMLEVHCWKTQDPIQGDPLTGLAFLSSPFSTAFFACSQLRGLNQIDLEQEKGRIEVRYCIKYTSRRSVKRITCKKQTTLSNLPMLHHRLKLLGRLINTLQQNSVASSIFDTLKDRLSFSNVS >KVH96434 pep supercontig:CcrdV1:scaffold_1425:112033:115113:-1 gene:Ccrd_001480 transcript:KVH96434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MASSREQRWSLKGTTALVTGGTRGIGYAIVEELAGFGAAIHTCSRNPTEIKERLEEWKSKGYQVTASVCDLSCKQQREELISTVSSIFDSKLNILINNAASTRMKDATDHTTEDYRFIMGTNFESPYHLSQLAYPLLKSSGNASIVFISSVAGVMALPSLSVYAASKGAINQLTKNLACEWAKDNIRTNAVAPWGVKTTISDKEKIDDKLIEAYAALMARTPLRPIAEPDEISPLVVFLCLPAASYITGQVIVVDAGYTAGGFKS >KVH96435 pep supercontig:CcrdV1:scaffold_1425:62570:65509:1 gene:Ccrd_001477 transcript:KVH96435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosulphine MAEYEGFSSGHDHNNEDHERAFFDSADWALCKQGAGVNQKSTTTIETLQPKLQRTPHQRLPPRRPACVSRRDHHTE >KVI01745 pep supercontig:CcrdV1:scaffold_1426:124641:129752:-1 gene:Ccrd_019976 transcript:KVI01745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A carboxyltransferase, C-terminal MLGFLLRSAKSTSISSPCRWPSSIRAISMMSSSSIRDFSSQSQGHNVLRDGVDRASDSFIRNSTEMESLISQLHSRIHQVTEGGGAAAIKRHKSRNKLLPRERIDYLLDSSSSFLELSQLAGHELYEEPLPCGGIVTGIGPVHGRLCMFIANDATVKGGTYYPITVKKHLRAQEIAAQCKLPCIYLVDSGGAFLPKQAEVFPDKENFGRIFYNQAVMSSEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSSEDLGGADVHCKISGVSDYFAQDELHALSIGRNIVKNLHMAGNEGMMDGLRGIISDYKEPLYDVKELRLIAPTDLKQSFDIRSIIARIVDGSEFDEFKQLYGTTLVTGFARILGQPVGIIGNNGILFNESALKGSHFIELCCQRNIPLVFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIVVGGSFGAGNYAMCGRAYSPNFMFFWPNARIGVMGGAQAAGVMSEIEKNKKQKEGIQWSKEDEEKSKAKYVEAYDKESSAYYSTARLWDDGIIDPADTRKILGLCISASQNRPSQPTRFGVFRM >KVI01746 pep supercontig:CcrdV1:scaffold_1426:20729:21205:1 gene:Ccrd_019975 transcript:KVI01746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEHDKLLPVANVGRIMKRILPPTAKISKEAKETIQECASEFISFVTGEASDKCHKENRKTVNGDDICWALGSLGFDDYSQAIGGYLHKHREFERERASAAAASSSAALAASNQIQIANSIDQATVGCKGQLSYPANSPLEFRSLMEKGQTSHTEPL >KVH89729 pep supercontig:CcrdV1:scaffold_1427:7738:11436:1 gene:Ccrd_008269 transcript:KVH89729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MCLISAAVSDKCCTMDVFEKRAAVFMETTKRFPRKIWKLGRDDPRRAIHSIKVGVSLTLVSLLYLLNPLFKGVGENVIWAVMTVVVVLEFTAGATLCKGLNRGLGTLFAGSLAFLFKFIATDSGKVFCAVFIGASVFLIGKRLSQFCTYLRFFPYVKKNYDYGVVIFLLTFNLITISSYRVDDVLKLARERLYTMAIGSGVCILMSLFIFPIWSGEDLHNFSVSKIEGLAKSIAACVDEYFSDGEPDAGKDKSIEDPIYENYKAVLDSKSTDETLALHASWEPRHSWHCNPFPWQQYVKLGGVLRHFGYTVVALHGSLQTEIQVLAVFSVLFALAYNYPCTRLATEVSKALMELADSIRNRRQCSPEILSDHLHQALQDLDTALKSQPRLFLGPNGPNNTANMLALVAETTRSKPEKHLSSVKTESSALFEWRSKRTSKQSMEAEGRLLRPTLSKLAITSLEFSEALPFAAFAALLVEAVAKLDLVIEEVEALGRIACFKDFEIGDDVKAETSPGPSESVPNLVRGPPQFLGKKALYRNSVS >KVH89730 pep supercontig:CcrdV1:scaffold_1427:46733:48165:1 gene:Ccrd_008273 transcript:KVH89730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSWWKGNKDSASSGVKTTDSKAIVSVEKAAEEVPAMNGAVEVLRPALDVDVTVFEFGSVAVSADKVTLAGYCPVSDDFEPCRWEILPASGSDAPQFRVVF >KVH89737 pep supercontig:CcrdV1:scaffold_1427:47468:52536:-1 gene:Ccrd_008274 transcript:KVH89737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase, alpha/beta/alpha domain I MNKQQQSMLLQSASSFPPPKGTKLSYGTAGFRADASILQSTVFRIGILAALRSLKTGGSVIGLMITASHNKVSDNGVKIADPSGGMVTQDWEPFADSIANAPDPESLIQKENRIVSVASVSGAASELINEFAKKENIPLDGAKAATVLLGRDTRPSGESLVKAAKHGICSVAGAVATDMGIVTTPQLHWMVRARNKGLKASELDYFEQLSSSFRCLIDLIPKKSGDNNPVGKLIVDCANGVGGEKLQVLKEKLNGLHLEIRNSGESGILNEGVGADYVQKEKVAPEGFGPADVGIRCASLDGDADRLVYFTVIPNGNNKIELVDGDKILSLFALFIKDQLSILGDKNEYQPRVGVVQTAYANGASTKYLNQLGLQVIFTPTGVKYLHGKAEEYDIGLEKQNAVKRLWAVTKLINQAVGDALSGLLLVEAILQHMGWSVDKWNELYHDLPSRQLKENTHKADVLLDHREQRTL >KVH89736 pep supercontig:CcrdV1:scaffold_1427:68772:74117:1 gene:Ccrd_008275 transcript:KVH89736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MKIRRGKRSESFWPSMVMKKWLNIQPKNNDYSEDEIDTETESETDGAPARAVAKHKRGKSETMRVHYIKTKDVRVTVGTWNVAGKLPNEDLDIDEWLCMHEATDIYILGFQEVVPLNAGNVLGAETRTHVSKWETIIRKSLNKSLEPATLRKSYTVPTSPHADIITDSKIKEMNPMAGIKRFDDLDWPEYPLDKKHDVCLSGGKYLRRVLSNSDRVRNDWFTNPVDFGPHGSRVGDLGGLRRARHSLGDLGLPWTPVQPERTNDVRSLYDVSEQVPEEDDVEHENASSQNGGKSCRFVRIVSKQMVGIYISIWGSISVSMSLHQTRLCFVCSHLTSGHKDRDDERRNSDVVEVLRRTHFSSVLDPDQPQTIPSHDRIFWFGDLNYRINIADADVRKLVAMKQWDKLLYYDQLRNELRKGRIFEGWKEGVIDFPPTYKYEINSDRYVGENPKEGEKGRTPAWCDRILWLGKGIKQVCYRRAELRMSDHRPVSSVFSVEAEVFDPRKLRRALNLTSGAIHQEIVVDDEHEREL >KVH89734 pep supercontig:CcrdV1:scaffold_1427:97887:109995:-1 gene:Ccrd_008277 transcript:KVH89734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MRTPRSCLRDSCLKVRKGSMRTFKNARGEGCVFNMELTDEDGTQMQATMFNEAARKFFDRLEIGKVNYISKGTIKVATKQFRTVDND >KVH89733 pep supercontig:CcrdV1:scaffold_1427:11711:14497:-1 gene:Ccrd_008270 transcript:KVH89733 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MLVLNNGKLFAILEKKFCVAKSFYFWIFQIFSRYSLVSRSRRKFYKKMGSVSLPPGFRFHPTDEELIGYYLKRKIEGLEIELEVIPVVKLYKFDPWELPEKSFLPKQDMEWFFFCPRDRKYPNGSRTNRATNAGYWKATGNDRKVVSKSSVLGYRKTLVFYRGRAPFGDRTAWLMHEYRLCDDVSHGTPSFQGSFTLCRVMKKNEQKTSYVNSEPKTKGIGSGSSNRYSNSIQVTNEPIGNESSFSSPLTSYQKTPISNEPATSTGPSDPPSFWNKFPKPTIPRQPHDQFGISPSSSYSNFTEEDDLIRFGCMSPYSGDESLMGFFGIEDPTSYEPCDWTNSLEI >KVH89732 pep supercontig:CcrdV1:scaffold_1427:16288:20542:1 gene:Ccrd_008271 transcript:KVH89732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNSGPGLFSDIGKKAKDALNCRLRYVSAFQIIIVNIGNHHGVEPGKIHLVLEYCKGGDLSMFIQRRQGRIPKSTAVHFMQQLAAGLKVLRENQIIHRDLKPQALLASRRPSAAPTPATH >KVH89731 pep supercontig:CcrdV1:scaffold_1427:29845:42152:-1 gene:Ccrd_008272 transcript:KVH89731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MMSEIKSNSCTEGESMVRITLRTIGPSPPSSLDVHSPIRVRDLRNLISANGRLPLENLRLILQGNVLHDSKYGDDISVHFNNGDTLIVVVKPKPPAKHVQNGLEDDEEEMKFQLPELNSGWKRRLLIILHDKLKIPDMLLMAIFSLSPKVWAVIIMWFILAPIAHRLDVGPLYILGTGFAIIFLNLGQRQHGDMSAYSIFNEDFRELPGTFNAERADRDIRAGQF >KVH89735 pep supercontig:CcrdV1:scaffold_1427:82958:89419:-1 gene:Ccrd_008276 transcript:KVH89735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVCTANDLLGWKDFPKGLRVLLLDEDIDSAAEIRSKLEEMGYSENEDRRFKFLESAKDLPTIMNSDVNCLSTMMKCIAALAASVLEVHMEQKDIKEECNKNQEHNEEVSVESDKYPAPSTPQLKQGIRLVDNGDCQDQDQDQTNRSPEKECVDHDGESKFVETTCDNLVVETTIEMNSAKSLEEGIDKPANDECGLDSKNDGKKRNEASEHQQLGVDQAIPSRILELMNVEGLTRHNVASHLQKYRLQRRHILPKESGRKWPQTRHSTPRSYYPQKPIVAYPPYHSNHALSGSQVYPAWPPPHSYPPPPQMWGSPYYPAWQSSESWMWNPYSGVQADAWGCPTVPPQPTFPQNVSQFQGRDSMQSSQSIPKPSSDHFPGDEVIDKVVKEAINKPWLPLPLGLKPPSTESVIYELSKQGISTVPPRINGSRQR >KVH99631 pep supercontig:CcrdV1:scaffold_1428:86895:92874:-1 gene:Ccrd_022132 transcript:KVH99631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQSESGLLSSEGDSQRTQYPYVTGTSVIGIKYKDGILMAADMGGSYGSTIRYKSVERLKQVGKHSLLGASGEISDFQEILRYLDELILHDNMWDDGNSLGPKEVHNYLTRIMYNRRNKFDPLWNSLVLGGVKNGQKYLGSVSMIGVHFEDSHVATGFGNHLARPILREEWREDLSFEEGVKLLEKCMRNLLYRDRSAVNKLQIAKITEDGLTISQPYSLKTFWNFGAFQNPTVGAEGSW >KVH99630 pep supercontig:CcrdV1:scaffold_1428:72932:84802:1 gene:Ccrd_022131 transcript:KVH99630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MDSSSSAEFDYLFKLLLIGDSGVGKSSLLLRFTSDNFEDLSPTIGVDFKVKYVTIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLHSTNQDCIKMLVGNKVDKESERVVTKKEGIEFAREYGCLFIECSAKTRVNVGQCFEELVLKILDTPSLIAEGSATVQRNILRQKPPVSNASTNGCC >KVH99632 pep supercontig:CcrdV1:scaffold_1428:96010:97508:-1 gene:Ccrd_022133 transcript:KVH99632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MDAPVVFICRNNGWAISTPVVDQFRSDGIVVKGPAYGIQSIRVDGNDALAIYSAVSKAREIAVNEQRPILIEVKNAIGEAEKAVKPQLKYLFTDVYEDLPTNLIEQERLLRETVQRHPQDFPTDVPL >KVH99633 pep supercontig:CcrdV1:scaffold_1428:102447:107621:-1 gene:Ccrd_022134 transcript:KVH99633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MWLTTTRRGGGGNFVKKIICNNPSLCSYYQTHSPSTYLLHSHLNNSIIRSNDCGFDRKPTRRFFYSSSYNSSYVAFVGALRYKSTTSGEQLLVEDDREKNTFDEIQVNVINTSNGEGFPGGKIGFTCELNFLPGSSEKRVQCYRVLDEDGYPISSTTMEHYREPGILLWRGFTLQEFANQCFGNDAGHGKGRQMPVHYGSKDLNFLTISSPLALH >KVH94665 pep supercontig:CcrdV1:scaffold_1429:61499:66932:-1 gene:Ccrd_003270 transcript:KVH94665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MGARCFFLSFCGWSNLKSNDTHPSDLENGGGDNDKLKLPAFREYKLDQLRAATGGFSVENIVSEHGEKAPNVVYKGKLEDDDRLIAVKRFNKSAWPDTRQFLDEAKAVGQLRSQRLANLLGCCCEGNERLLVAEFMPHDTLSKHLFHWESQPLKWEMRLRVALRDGKSYSTNLAFTPPEYMRTGRVIAESIVYSFGTILLDLLSGKHIPPSHALDLIKGKNFQMLTDSCLEGHFSNDDGTELVRIASRCLQYEPRERPNAKSVVAALTPLQKQTDILEKIGYSGDEGVTDEAQEALGDAMQAQVISPDWSTALYLQAAALFTLGMDNDARETLKDGVSLDSETK >KVH94666 pep supercontig:CcrdV1:scaffold_1429:92209:94660:-1 gene:Ccrd_003271 transcript:KVH94666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MAASASNHGQKRRDGNKRKEIEEIVRHMSNLPSYLERGKPIQDRALNFGVMDWGRLEKWQYHHQKQGLFRSNKCSPSSSNSSLLFSTDGSSPHSTRGQSSSPASLKPHRVTLQSSLNISPEEISSRFVKSSSGNLDKSQDVKDALLNYSGEKRTTNRSRNKPRGCNMDDSYPRNRHESKSSDDLGSFHTASSSPSSKGKKKIQDELVNELGNLQDPSYGTCDGAMHKTVVLLPRDAPRKSRTNGEMSKNIHSDPNNGIPRSFPSETEAINRKKSQNEAISERNSTEERESSFSSNNSNFKTDTIAASKQRSISPLRRFNFSMSSKSAALTSANTILESPPASRTHSSPLRRLLDPLFPSKSPEDSTIKAKVKLDLRSCKEVRVDDSHMTKMNESSSTKQALFQIAVKNGRPFFTFAVDNNINILAATVRSLSGKDDSNGWIYTFFTIHEVKKKKSGWLSQGTKGTAQGYHPNITAQMKVSNPSISSREFVLSSVDPNRLDHQVLDGQPQDELAAIVVRFLREADDEEDQDCFSTTVILPGGHHSVPSKGEPSPLIDRWRSGGVCDCGGWDMGCRLRTLANKVQSTRSKSPEAHMTSNKFELFFQGEVPNERPFFSLSPLKEGIFSVEYNSSLSLLHAFSICISVIECRKSCQHTELKTYVAKRVDDDEAPVTYASFPPLSLVERV >KVH94670 pep supercontig:CcrdV1:scaffold_1429:4923:14621:1 gene:Ccrd_003264 transcript:KVH94670 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like protein MGFDNECIINIQSLAGEYFCPVCRTLVYPHEALQTQCTHLYCKPCLAYVVSSTQACPYDGYLVTEAGSKPLMESNKALAETIGKTTVHCLYHRSGCMWQGPLSDCTTHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQTCNVNGTNTQPQQGSETAQDPAVSGAAVSIDQTKTANQVAAPASQPQASHTATAPASAQNPNQPATANPVPQAMPANAVPTPEQWYQQQYQQYYQQYPGYDPYQQAYQQYYPYQQQPAQQFQQPPLQVQGQAQNQVQAQPQPQPQPLPQPQLQQQMQTPMQLQPQVQPQPVQPQAQNYPLTQAQGHSQPQPQTHSQAQPQIPSNGQPQPLYPQAVVVGSNQSQGQVNPPQQAHPGGQPHVPIQSQVQPLARGHMPPQPYSQAQPHPVQNHAQPHMQVPQYQQPHPQMHHPQLPQVHPHSQTQSQPQPHPQAHPHFQPHPQPQSQPINAQHPPVQSMGGHPSYPSQPHQQIQHAPPQQHPMQLQPSTGSLPPGQMPVQFPQPPPHMRPTQPPHMLPSQGQTPGIPPIQQHIHPHNQPGLPAHQRPAVPPVQQPMPQQYVQQPQVFAGQASGGQPHQAGPFAQQHSQSNVNMTSQPHVYSEQHLNQYMPPLGGAMVDRKGDQTFERRAEQQEDKSPSLKKSEPVANDFGPNFNEVKPETGMNDERKPGGGSEDDHRKDEALSKDAVSELHQVQGVPGDSVTVQRVKVESKDGVVDHSPGGKLSHNKAEDVGVATIDSVKQGEASVNFQGSSEVDNGSLSVPPGSSQGPLNGRDHVQQDRTFNQSQTTPQGQFGDVSGGFPSKGTDHSSHTALTDQGRSPHPPVPYAFSGQQQRPAAPSLLQSAPPTGQTLGQPPSHIRPPGHGYLPHGPPPGQPEHFQPPGPNQPGPFHPEYPMGGPPVPGSASTLGVAPNNFSNSRGYEAHSAGSHGQYNQGQIPQSSQARPSRMSQGEPLGPSLSSAPLPHGPDGQTVPRHPGPMENDMYQSQRPPHFDSRRPDTHFPGNLDRGPYGQPFGVESNSMRMNGAPLQGHDSASAPVYRDEKFRTPAGMHPDNFSMGPSRHLEQGEFMGALKQFPGPPHLGSEDSPKFANHSSRPLGGYGMDGPSRFLDKDPHGYGYDAGQRVDPGTGGPPSAFLPPYHSAGGLHPNESGGRPLPASMHDENRGRFDNSRQNPDYLAPMHGFGRHHMDRLPPGSPGNPSRSFGIPHSIDVDGREMERHPFGERFPMVPPGHMHRGEFDGPGKLRSGELSQMQRGEPFGLRNLSGHPHVGEPGFGSFQDYGRSGESNGPGGFSHQQPFGESFGAKSTRPHLGEPGFRSSYSRQGFPSDGGFYAGGSDSFDQLRKRKSFSMGWCRICKIDCESVEGLDIHGQTREHQRMAMDMVISIKQKSAKKQKTSNDHSAREEASKLRNAEIHARVAALLFKMVFRNWEFLWKLGLQHIRGSIVVVLKSQLIECRDADYLNHFDKSLRLVRRNAVSYGSGVPPRWPVWICHAIGLPAVKERLADVPVCLIRDCVFAYAFVHVSINTCVAANSQCIMAPGSWQHYGLVMQLDRNVDFILGLESQMLYLVKG >KVH94664 pep supercontig:CcrdV1:scaffold_1429:31993:32983:1 gene:Ccrd_003266 transcript:KVH94664 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAR1-like protein MALILFLGLCLLLQVATGEIMCDELAGAKCSFSIASSGKRCVLENYVRNNGNVEYQCKTSEIHVKNMKEWIESDECTNACGVDRMSVGISSDSLLDPRFLSKICSSSCYENCPNIVDLYHNLAIGEGVFLSNLCDVQRRMPRHAMTQLLSSGAASAPTSAAMAEAPMAEAPCSNT >KVH94667 pep supercontig:CcrdV1:scaffold_1429:55860:60289:1 gene:Ccrd_003269 transcript:KVH94667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim10/Tim12 VVRIERRLRPKWVFLPSLNPRLPQLADLCVSPLAKVSVGSFISVMAANNDMPTALDKEQIFGMAEKEMEYRVELFNKLTQTCFAKCVEKRYKESELNMGENSCIDRCVSKYWQVTNLIGQLLGSGRPPM >KVH94663 pep supercontig:CcrdV1:scaffold_1429:37017:41794:1 gene:Ccrd_003267 transcript:KVH94663 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAR1-like protein MATLVLFLGFTLFLQGAFGEIVCKELPVGVCSFSIESSGKRCVLEKYYESNDGAIGFQCKTSEVVVKGMWEMIESDECTNACGVDRNSVGISSDSLLDPKLTAMICSTECYQNCPNIIDLYYNLALGEGVYLPELCNVQRTMPRRRAMSQLLSSGSASSPTNGPITSEEYYVSFVMTVSGIQYEKLFFMAANDD >KVH94669 pep supercontig:CcrdV1:scaffold_1429:24290:27728:1 gene:Ccrd_003265 transcript:KVH94669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRSIASIGSSWKQSSFNLIRRTLSTSSPASASTSTSASNPSTTLPKSKRKKKKNLFEVAQFLPNWGIGYQMAKTHWSGDGKHGKAWGIVHKDGIPAADAPKKISGVHKRCWKYIPDSNKTKQITPESQPQTEPEVQAT >KVH94668 pep supercontig:CcrdV1:scaffold_1429:47676:49656:1 gene:Ccrd_003268 transcript:KVH94668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MSATDSVADIEIAAIAVEGGDGVENNGVGRHRRRRRRGCSHRRRRNIPFDYSTSLIFSDPEDHSSPYLNASVGSSGMLSYNQCHGGYLPEGVDLESGELEAKVHSSANDAKQCRICHLNFEHGDDDDDGDGDDDDDDDDRGYAMELGCDCKGDLGTAHQKCAVTWFLIKGSLNCEICGAIAQNLCFQPIEEENYDVAAAVAEVQTPDPEPEPEPESEPEHVSPRVTEPVSESESSVCSRWFVNGVLACMVLAFVVSWLVHYNNLQRSD >KVI00636 pep supercontig:CcrdV1:scaffold_143:277393:279930:1 gene:Ccrd_021105 transcript:KVI00636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MARQNQNQNQNQNQNRNQNQNQNRNQNQNQRQNQNQNQNQRQNQSQNQNQNQNQNQNQRQTQNQNRNSDPNSNLNGNDDFSLRETRPSLGGGRVPGNDRFGTAFDLVEQMHYLYVRIVKAKSLPPKTGNASILPDPYIEIKLGNFIASTKHYEKNPNPEWNQVFAFPKGRIQSLILELVAKDKNNTDEDDFIGGVVFDISELPVRVPPDSPLAAQWYRLENVNGGRDGGELMVAVWMGTQADESFPDAWHLDALLVNGDGVTNIRSKVYLSPRLWYVRVQVIEAQELQNINNGNNRQPEVLVKGAIMNLVLRTKVLPKPLWNEDLMFVVAEPFEERLVLSVEEKLGNKEEVLGKCLISLHNVEKRMDNRVVTSRWYNLEKHTKGENGQVNVVVLNSRIHVRVCLDGGYHVLDELTQYSSDLRPTSRLLGTPSIGVLELGILNAQGLAPMKTRNGRGAVDAYCVAKYGHKWIRTRTVIDSLNPQWNEQYTWEVFDPCTVITIVVLDNCHLQGVDNGRGSKDSRIGKIRIRLSTLETDRVYTHSYPLIVLQPSGVKKMGEIQLAVRFTCVSMLNLMQVYSQPLLPRMHYVHPLTIFQQDSLRHQATQIMSMRLNRAEPPLRKEVVEYMLDVGSTMWSLRKSKANYCRIIEVLSSLILFCKWFNHICNWTSPSLSVLVHVLFVVLVVYPQMIFSTMLFYLILIGIWKYRSRSRHPPHMDIKLSHGDKSSADELDEEFDGFPSSKNADVLRMRYDRLRSVGSRVQTVIGDLATQGERIQNLISWRDPRATTMFLVFCFVLAIVLYVVPIKLVVLAMGFFVLRHPKFRHRLPSVPMNFFRRLPARTDGLL >KVI00645 pep supercontig:CcrdV1:scaffold_143:326833:329608:-1 gene:Ccrd_021110 transcript:KVI00645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSSPLATDPSSKLNILGHNRHSLGVNRTKISVLEKPDQISLRGFLERSDGATLKPQIGLEILCDFPNQSLEWKFPDQKLGTLLVSDSKFGI >KVI00654 pep supercontig:CcrdV1:scaffold_143:48973:50202:1 gene:Ccrd_021094 transcript:KVI00654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDDDMVIGLDLNRSPFVDPSPSSPPAPPSPGLASFLDEMETSRGGIEDRIRQLEAVTARAHQRQRWRRLMNNPELTYMSVSESAVGMRNENQGDNGVVEGPMNGGGEERERNCKRDISHLAKALEMDLDGKKTDNGGVADANGVNGNFFDCNICLDMAKDPILTCCGHLFCWGCFYQVPYVDSISKECPACKGEVIDSNITPIYGNGKNSQVLELESGVKIPPRPRARRVEGVRQQNFGRGVSHIPVADALRRIRIGLGLIEGNSHPLGLSGLVPTSVANPVVLHGSEAEESHDGRQFSRVMSDAASLSSISSAVNSTERFVEDLETYISVANTTVNIQSEPQPAEMNLSVPLSSRRNLDTTVLHMGTLGTDGSLEIDLTISRRASSRSRGVSNLEGQSSLELRRRQLR >KVI00651 pep supercontig:CcrdV1:scaffold_143:198099:202517:-1 gene:Ccrd_021099 transcript:KVI00651 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMSY N-terminal MPFPLSFACYFLFTPDISFCLGELEPHDRLFEIVAGTDDDLPPSHQNRIPRGGRVAGNGRAAVMGSTQYPRMYAETDMEAQIHHLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGKVNADDVIRRIREWRQSGGIQPGILGTGQAVHDPSPSPSVSASRKKQKMNPSGASQSFGGPPAPFHPQPVSAPNQPSSSAARRAPLTGTKGKNKKSAQMMGGASSMKMQYPPSDYNPVEGRHALVYDIGTANETWEWVNLAEISPEDIQWEGEDPGIPHKGGFGRSGHGMNRPVGRDGGPGAGRGRGVTKGQTRKEFPPSQNGIGKKGPDDIQLLHTDALIKEVERVFGSSHPDPLEIEKAKKVLKEHEQALADAIARLADISDGESDSHMGVSVADSNGSGLRCGTRGRQD >KVI00639 pep supercontig:CcrdV1:scaffold_143:430068:434195:-1 gene:Ccrd_021120 transcript:KVI00639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDGGSSDAIARDMNSLLHSSTFKHRSEGEFAKIKELISSDSYSNYNDHEHNHNHNHNLSRNQNQNQNHQRHDLGGQNESSLMRYRSAPSSFYANLLDESGCDDFLAPVDTSSNHEPEERFFSSNQQQQQKFRSDSHEFLQYASTSASMKLETKEAGIQKLDLPPVSTAMYGGSSQQAPHFSPCNGDGNYTNHNNLGSSSTFRTMNSTSTNLIRQSSSPAGLLSSLNAETGRRDVDKGNPSSGLNNNHISFSSQPSLSPMYLPQIVENTNEMNDSPYRSLKRTRDGDSKMFHSSITMDTESGASGHYTPSLIHHTSLPKTSSEKAVVEKFLRFQQDSIPCKTRAKRGCATHPRSIAERQTSTADMLDLAVQYIKDLQKDLQTLNNARARCTCSSK >KVI00647 pep supercontig:CcrdV1:scaffold_143:363059:363319:1 gene:Ccrd_021116 transcript:KVI00647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPVIDLTPYVDGVSGEFCLDGVLNPELEKTGVLLVKDPRCSAEDDDRFISMMEKYFEMPDEFKRLQARPHLHYQ >KVI00649 pep supercontig:CcrdV1:scaffold_143:341539:342619:1 gene:Ccrd_021114 transcript:KVI00649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MEKKEVVVVVVVVVVLGVMVQGTMGFPCGSTFFSALVQLIPCRASVAPFSPIPPNEACCNALRVLGQPCLCVLVNGPPISGVDRSMAMQLPEKCNVNFGPCELMKEKERT >KVI00634 pep supercontig:CcrdV1:scaffold_143:257981:260752:-1 gene:Ccrd_021103 transcript:KVI00634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF953, thioredoxin-like protein MPLKMVDATLSTFNTVFEKFKSEAPNNKSNLILFLADIEPSTNLSWCPDCVRAEPVIYKKLESSPDDVALLRAYVGDRPTWRNAHHPWRMDTKFNLKGVPTLILWENGEVKGRLEDHEAHIERKIDDLIAIK >KVI00638 pep supercontig:CcrdV1:scaffold_143:294189:297275:-1 gene:Ccrd_021107 transcript:KVI00638 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MKRIQTMMLIVQVIDAHDLKPKDGEGSASPFVEVDFQNQLSKTTTVPKNLNPVWNQRLSFHFDKTYENNRHQSIEITVYHDGKSTLGRIFLGRVSIPFKNVVKKGEEVYQKFQLESKRWFSFVKGEVGLKLYISPESEPLKKLEARDSVPRIEASKSATNEEIEVLSEAKRASKPFKKIETSAVVAPLPPDSVPVIEVRSETKRASKPLKKIEACTVIAPLPPDSAPVIEVRSEAKTRESLLVKMQPRGNLEADHDYELKDMNPQLGGRRWRGGGTYGGRGWLGGERSGTTYDLVEQTYHLYVRVVKAKDLASGDPYAEVRLGNYVGRTRHLEHRANPEWNQVFAFSKDRIQSSTLEVYVKDKEMFGRADYLGRVVFDLNEIPTRVPPDSPLAPQWHRMERGRGELMVAVWMGTQADEAFPEAWHSDASTVQGEGVFNVRSKVYVSPKLWYLRVNIIEAQDLILNDNTHVPEVSVKVQVGNQVLRTKICSGRAMNPIWDEDLVFVAAEPFEELLVLTIEDRVNSSRDEVLGTLNLPLTTFEKRLDHRPVHSRWFNVEKYGFNGLEGERRRELKFSSRVHLRACLEGGYHVLDESTLYISDQRPTAKQLWKPPCGILEVGILGAQGLLPMKIKDGRGSTDAYCVAKYGQKWVRTRTILDTLKPKWNEQYTWEVYDPCTVITMGVFDNCHLGTEKHGAGPSTVRDSRIGKIRIRLSTLEANRTYTHSYPLIVLQPSGVKKMGELNLAVRFTTLSMANMVSTYGNPLLPKMHYLHPFTVNQIENLRYQAMNIVSARLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRLMSLLSGLFSIGRWLNDVCHWKNPVTSVLVHILFLILVWYPELILPTICLYMFLIGLWNYRSRAKHPPHMDPKLSWAEAVHPDELDEEFDTFPTTRTADIVRMRYDRIRSVAGRIQTVVGDVATQGERFGMLLTWRDPRATSLFVFFSLCAAVVLYATPFRVVALVGGLYMFRHPQLRSKLPSVPNNFFRRLPAQTDSLL >KVI00641 pep supercontig:CcrdV1:scaffold_143:390849:399895:1 gene:Ccrd_021118 transcript:KVI00641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MELPVIDLAPYVDVTSGKFTCDELLHPELKKVCSEVSRILKETGALLVRDPRCSTEDNDRFIDMMEKYFEQPEEVKRVQERPHQHYQVGSTPGGVEIPRSLVDMEMQKKLRALPEDRRPAIPTGPDLKSRYMWRVGPRPTKTRFQELNSAPVLPEGFPEWKKIMDSWGFKMISTVEAVAEMAAIGFDLPKDSFTNLMKLGPHLLAPTGSDLGSHGEEGTVFAAYHYDINFLTIHYKSKFPGLSIWLRNGEKVEVKVPDGCLLIQAGKQLEWMTAGDCKAGMHEVVLFSNVASDAMLKPLGHFANSPLAEKYPPMHAGDYFEKELSVINLKGKNEVH >KVI00642 pep supercontig:CcrdV1:scaffold_143:337193:341269:1 gene:Ccrd_021113 transcript:KVI00642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MELPVIDLEPYLDVISGKYCGDEVLNTQLKTVCSEVSRTLRETGALLIKDPRCSAQDNDRFIDMMEKYFEQPDDVKRHQERPHLHYQKKLQSLPKESQPSTPTGPDPKWRYMWRVGPRPSTTRFQELNSEPVVPEGFPEWKETMDSWGSKMISAIEAVAEMAAIGFGLPKDAFTGLMKQGPHLLAPTGSDLRRHGKEGTVFAGYHYDLNFLTIHGRSRFPGLSIWLRNGKKVEVKVPVGCLLIQTGKQIEWLTGGDCIAGMHEVVVTNRTLDAIKVASQENRSLWRVSSTLFAHIASDAVLKPLGHFAQSPLADKYPPICTGEFVEQELAVINLKGNRGEL >KVI00635 pep supercontig:CcrdV1:scaffold_143:261147:265896:-1 gene:Ccrd_021104 transcript:KVI00635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like protein, N-terminal MFYSHNLLARKGPLGTVWCAAHLQSKLKKSNYVTVNIPSTVEQIMNPQVPIALRMSGHLLLGVVRIYSKKLEYLQHDYNVLRIDISKAYTYADINLPEDANQAKFESITLPENFALDVLDVDDYDPFGSPDTHLRRHEDISLMDQSPVSLSKNGNRTPAGYIMISLGEDVSRTPSVSRYNSRSSPMPMEASSHPLSPPETTAVVQEPDPNNQMGSGDSFIDDDNVTREVLRDAIHDDYLNMASLMPSDREEPDLVLEKQLSLEINNGSPALGEILVSGLASSPMAVQPSPSVEPAPAAEQPRAKRRKMQYDKATVLTNEFMKKSLDDPSNLLRKRKGVCSSLGVWKLNNTLKKEKVLFEPVITGLCHNLSQMFETGYMSKKPRLIETEQPHSDDMEVEHIRDFVGPNDNDTIPTYSPGDRTGIPSPKNGAFSSPREMDEYTPATGTDMGSKSYQVQTSVGTGVGSTPDPTSSSTYVFSDMETPTLFSQGQQGFENSGGLSDIPEVDDSGELTFLEDDEGTPMPMRSRGTPEADYSSGRQRTPPEIGSLLARTRAVAQYIKEKSSATPSTPEREGAVSLNSILEGKRRKILKSCDLIDVKQDEAYGDITLKVTSKLLKQQF >KVI00653 pep supercontig:CcrdV1:scaffold_143:179899:188315:1 gene:Ccrd_021097 transcript:KVI00653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal asparagine amidohydrolase MIYVNGVRFPSDSSSVEGLDMVNALLEHPHLVSASQSFRAVPERKFSVSEESGSQGSAEPTCVYVFQREYAIADPALVEIVGTDEATTCVGIVVRNCKNGMTSIAHMDSPDVVDIGLSQMLSLVPDHDDAILDVLFYILVSLKSFDFSFDGLNLLIKICTAMQVHLVGAFDDSATQVWSNQPLLAFELKDGNDDTRSKQHSEMEGYSFQLCIKIVEKLAESKVNFQIQNFQVLKNNTRWDSEGNANPIFHGLAVETSCGSVVPASFDRSTRCPDEIIRRIRLGASFKDPGCTGRSMDVVHIAMMMRHYSDTEILVVASTSPSAEGPDFVHNHKRIWQYLIQHPDWRETFRSKLPRVFERIASGNWVMTSDR >KVI00632 pep supercontig:CcrdV1:scaffold_143:227043:229918:1 gene:Ccrd_021101 transcript:KVI00632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MATLNLGSSIPVPSIKELVAQPLDTVPLRYIRDLDADYPTAAAFPGDSSVQLPLLDMAKLVDPQYQEIELQKLHDACKNWGIFQLIGHGVPDESLKAMHKKTQEFFDLSPEDKNQYAQKPGSLEGYGQAFVISEDQKLEWCDMIFLKAIPTHTRKLEFWPEEQPRNFREALHVYSHDMRKTAVSIIGFIAMALGLDFKDFREVFEGGNYDVRMNCYPPCPEPERVIGISSHADLSGITLLTDCGDIPGLQVLKDGRWVFVEPITDGMVVNIGIIMEIVSNGVYKAPYHRAAVNRHKDRFSIVTFCYPSKKFDIKPAKELINPDSLALYKSFTNDEYFRSFYERTELSDDGVPFIDTVKI >KVI00644 pep supercontig:CcrdV1:scaffold_143:326906:329599:1 gene:Ccrd_021111 transcript:KVI00644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MKNRRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KVI00646 pep supercontig:CcrdV1:scaffold_143:376680:377911:1 gene:Ccrd_021117 transcript:KVI00646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPHLLSPTGGDLGSHGKEGAIFAGYHYDLNFLTIHYRSKFPGLYIWLRNGKKVEVKVPEGCLLXQTGKQLEWVTAGDCMAGLHEVVVTKKTIEAIKVAQEEKRSLWRVSSTLFSVVASDAVMKPLGHFSQSPLADKYPAVYAGEYFQEELAVIKLNERGGDQH >KVI00657 pep supercontig:CcrdV1:scaffold_143:37078:37662:1 gene:Ccrd_021093 transcript:KVI00657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDNNIDEDDGIVIGLDLNHEPYPDSSSPMPPTPDEPETSQDGIVDRIRQLQAVTARANQSQQWRWFKSKPIVDVKNKNQGDDGVVKGPVNNCSDNNGGGEEKMKNCKRDISQLAKALETDSDDKKTDNGNFFDCNICLVMAKDPILTCCGHLFCSSCFDKVPYVDSISKECPTCEGEVTDSNITPVYGKGRNSR >KVI00652 pep supercontig:CcrdV1:scaffold_143:153006:170130:-1 gene:Ccrd_021096 transcript:KVI00652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPSNPPTTTTTAAATATADFFKSVARQRPRKHVHPAIYQTFYNHQPATTAAFPPPTQRQQPQQQSLHSNLRTQHQQSTAGGLLYPVASSGRGFLSKHQQPSSDQNAAVGVIANSDGFPPRPVSAYPYALHRPYGFSNSDIPGQTSHQLVTPSSAHLQPLMGGGAVMPTVATGVSVSANPKVVGQSPVADDNSLKNIRDKNGDDSFVIIRDRKVQVSEGTSLYAQCRSWLKNGFTLENQPQYVDCVKSLPKPLPASMVEARKEDDMEIEEELLQQHVKHAKKVRVRLRNQRLQRIERYKDRLALLLPPVVDQQPKNDPTS >KVI00631 pep supercontig:CcrdV1:scaffold_143:218186:221943:-1 gene:Ccrd_021100 transcript:KVI00631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLLKLVDEDVRDLLVAASFVLAASEAVADRRSSSQRKWWEAYGRLCQIIFRYKMNGGVNQAMGIGVLFWRSIHSIVMACTISYKAIAKDILGLLESRTSDPLLICVAG >KVI00637 pep supercontig:CcrdV1:scaffold_143:291377:293950:1 gene:Ccrd_021106 transcript:KVI00637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 domain 2 MAPKDRKPHVCRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGAFPKHEKKAAAAALPEKPPKFYPADDVKKPLVNKRKARPTKLRASITPGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKADITAVNVEKFDDKYFGKKVEKKKKKGEGEFFEAEKEEKNTLPQEKKDDQKTLDAALITSIEAVPDLKSYLGARFSLKAGMKPHELVF >KVI00640 pep supercontig:CcrdV1:scaffold_143:400590:404063:-1 gene:Ccrd_021119 transcript:KVI00640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MANYHGDFSQKIDYVFKIVLIGDSAVGKSQLLARFSRNEFSLDSKATIGVEFQTKTMVIDHKNVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIARWLEELRGHADNNIIIMLIGNKSDLDSQRDVSTEDAKEFAEREGLFFMETSALEATNVEPAFLTILTEIYRTVSKKSLVANEEAEGNSALLKGTDILVPGPDPVSRVTKFSCCTSS >KVI00655 pep supercontig:CcrdV1:scaffold_143:111388:113958:1 gene:Ccrd_021095 transcript:KVI00655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MELGLSLGDAPSKATDGFTASTTTTTPVNKSLGFCMALGMNPSNGTTNKGFPEKPQIQDDQDDGDEHDDDDDDDEEHEKDNQRILKENGGIKEMIVSSQRICSLNPPVQLDLLPSAPVPRHTPFPWSSDNGSSEGGSSGNAAAGRGFDVKRMPAAVGTEEATSSFRLDFMSYRRTGNVNGGSKNNKRGFEIGNEAIEVERASSRASDEDENGISRKKLRLSKEQSAYLEESFKEHNTLNPKQKLALAEQLNLRPRQVEVWFQNRRARTKLKQTEVDYEYLKRCCETLTDENRRLHKELQELRALKTSSNPFYRQLPATTLTMCPSCERVATTSAPPPSATLSKQVEQNSKKASTTPLTLSAKPRSLIFPVSHAPPCNNSHHQPAAPS >KVI00650 pep supercontig:CcrdV1:scaffold_143:188741:192875:-1 gene:Ccrd_021098 transcript:KVI00650 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MDFGGRKRGRQDGGWNGAPKRPRDETESFTPGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYSAVKQFTNVGGPLGRKAPMQQPFADGPATPVKSKLCNKINTPEGCKFGDKCRFAHSEVELGSKSSFPSYEDSRGQPGPINYGGGGGYQGRMEPAPPGLAAAANFGQSATAKISIDASLAGPIIGKGGVNSKQICRLTGVKLAIRDHDTDQNQRNIELEGTFDQIKQASAMVHELIVNLGSGGGGANGPSARKSGGGFQQQQQGGGMGHGGANKIKTKMCENFAKGQCTFGERCHFAHGPNELRR >KVI00656 pep supercontig:CcrdV1:scaffold_143:867:1829:-1 gene:Ccrd_021092 transcript:KVI00656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIADIHQDIIKTHILTRLDGQTLSAAACVSLQLQSLCSDDKLWSGICSANWPSTDDPLVRQAISNFPSGHRSFFSDSYPFPSHRLTTTTTSPSAPTSQIISAVDLRYHDELVFSKVESTNITHSDWFRSSPFRIDLLEPKELVPSAVKFSGDDHVMQSNLEKHMTLSWILIDPIQHRAVNLSSIKPVSVHRNWLTDDIELTFAVVTATYVKCNIEMTCGVKEGSGEVYVSGVSLTVQDVDGKCLNGKDSMVILQGLAVAQRRSRKHSGGGEEERDRYKEYIQRRRERDEKTERRERRLDMACVVSGVAFFVAFWSFALF >KVI00629 pep supercontig:CcrdV1:scaffold_143:306760:318288:1 gene:Ccrd_021108 transcript:KVI00629 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLU domain-containing protein MAGKSSRGRNKKGSHNTTNASEQAVSSNGHAKDSLNSVEETKVDTNGVPDSTEIPATKPDVKESENASSENQAKQGDIHLFPVQVKTQVGEKLELQLSPSDSVMDVRQFLLDAPETCFFTCYDLLLHTKDGSTHHLEDYHEISEVADITAGDCSLEMIAALYDDRSIRAHVHRTRELLSLSTLHSSLSTSLALQHELGQNATANSGDPAKTEVLELENLGFMEDVSGSLSNLLSSPSKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDVITLEGSKFCITGTTTTFYVNSSSGNTLDPRPTKAASEATTLIGLLQKISSKFKKAFREMLERKASAHPFENIQSLLPPNSWLGLHPVPDHRRDAARAENSLTLSFGSELIGMQRDWNEELQSCREFPHASPQERILRDRALYKVSSDFVDAAISGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSRKQLSDSNLKTENANTSSEKTSVKSSSGAAKVNGEKSSISTTENHNLNGVVESASDAPSEAQLAESEQATYASSNNDLKGTKAYQEADVSGLYNLAMAIVDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNQDFHAKVLEASKRLRVKEHTVLDGSGNVFQIAAPVECKGIVGSDDRHYLLDLMRVTPRDANYTGANSKFCILRPELITAFCQAEAAKSSKSKSESKGTLESTDSSKADNVEQVDQTETAKIAETPDTKPNESEDDKMFVEELGSKSADMEDKSEDIRFNPNVFTEFKLAGSPEEIAADEESVRKVSSYLKDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYIGKVADGTKHLPHLWDLCSNEIVVRCAKHILKDILRDTADHDIGHAVAHFFNCLFGDCQAVSGKAVANTTQSKNQKKDLSGNQASGKSSKGHSRSKAGNATSRKQATCMNLSSESLWSDILEFAKAKYQFELPEDARSRVKKISVIRNLCLKVGVTIAAKKYDLSVGTPFQTSDILNLQPVVKHSIPICSEAKELVETGKVQLAEGMLNEAYTLFTEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAAAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQSELALRHMSRALLLLSLSSGPDHPDVAATYINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCVGAYKLSHQAWKFSYLCSIFCALMHEKKTYDILVKQLGEDDSRTRDSQNWMKTFKVREAQMNAQKQKGQAVNAVSAQAAIDLLKAHPDLIQAFQAASGGASGSNSNNTVAGETFPRGRGVDERAARAVAEARKKAAARGLLIRPHGVPVQALPPLTQLLNIINSGMTPENSVGDDTNGATDAQTPEEPAKPERQGQTPVGLGAGLGALDPKKQKQNPK >KVI00648 pep supercontig:CcrdV1:scaffold_143:357027:360872:1 gene:Ccrd_021115 transcript:KVI00648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPVIDLTPYVDGVSGEFCLDGVLNPELENVCSEVSRILRETGALLVKDPRCSAEDDDRFISMMERYFEMPDEFKRLQARPHLHYQNGATPGGLEVPRSLAVKDMLKKASSLPKEHQPLIPTGADLKWRYMWRIGPRPXTTRFEDLNADHIIPEGFPEWEDTMNSWGYKLMSAVEAVAEMAAIGFGLPKDAFTNLLKNGPHLLSPTGGDLGSHGKEGTIFAGYHYDLNFLTIHYRSKFPGLYIWLRNGEKVEVKVPEGCLLVQTGKQLEWVTAGDCMAGLHEVVVTKKTIEAIKAAQEEKRSLWRVSSTLFSAVASDAVMKPLGHFAQSPLVDKYPTVYAGEYFQKELAVIKLNEKGGDQH >KVI00643 pep supercontig:CcrdV1:scaffold_143:332445:333928:1 gene:Ccrd_021112 transcript:KVI00643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPPKRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >KVI00633 pep supercontig:CcrdV1:scaffold_143:255572:258708:1 gene:Ccrd_021102 transcript:KVI00633 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MAISKSVNNKKQHCYISVPSEIINSLSSTSLQSLVVSPKKAAAASRTIVFSRARILKNPRIWFLLLFLCGFFGMLKMWYNFDPFSPYPCGNIEKRDDSSLISDGFLSSVVKLEEKVEEKSDFWEQPDGLGYKPCLDFSAEYKKTSVEILKDRTKYLVVVVSGGMNQQRNQIVDAVVIARILGATLVVPILQVNVIWGDESEFSDIFDVEHFKRTLADDVRIISSLPSNHLMSRPVEEKHTPLHVSPQWIRSRYLKRMRREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFASPIFELGNKLTERMRSKGPYLALHLRMEKDVWVRTGCLPGLSSKYDEIIRNERKLRPELLTSRSNMTSHDRKLAGLCPLNAVEVTRLLKALRAPKNARIFWAGGNPLGGKEALVPLTKEYPHFYNKEDLALPGELEPFANKASIMAALDYIVSENSDVFMPSHGGNMGHAIQGHRAYAGHKKTITPNKRQMLSYFLNPSLPEAEFNRIIFDLHRDSMGQPAIRTSKAGRDVTKYPIPECMRNNSMVQQSL >KVI00630 pep supercontig:CcrdV1:scaffold_143:323435:325594:1 gene:Ccrd_021109 transcript:KVI00630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MDQFPGHVQWVENLDALLNNAHRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KVI04478 pep supercontig:CcrdV1:scaffold_1430:39541:41038:-1 gene:Ccrd_017204 transcript:KVI04478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MLQGNLLMGGRLDHDRYRDWRLDVDSMSYEELLELGDKIGYVSTGLREDEIGQCVRKTKPPHVPTQMQWKCTICQSNCLKTPCSSEKIGEETIKTYADDGQKGNFMVGYVLQEEYEGEDEIGKLECGHFYHMYCIKQWLVQKKTCPICKVVVESQN >KVI04479 pep supercontig:CcrdV1:scaffold_1430:104835:109749:1 gene:Ccrd_017205 transcript:KVI04479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKFFLLRRLDFICSRTSSFNYKTHSLVSFDFRKYCSSKSESSSENPNSYYSEDEIQNENTANEEDVSSAELRTQIDKFYKGDIEAIPTIFESILKRKLAGKHEESDDELMNEFRQDQPSEVSDEVFDSDTDSDSDSEE >KVI04480 pep supercontig:CcrdV1:scaffold_1430:18425:37830:1 gene:Ccrd_017203 transcript:KVI04480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S9, serine active site-containing protein MFQLQSDLRSAIQIAATFCYINPNRYESPSARPISVRTPNRLRPLHAAAVERLAEKPPICTADELHYVSVHNSDWRLALWRYKPPPQAPPRNHPLLLLSGVGTNAIGYDLAPGSSFARHMSSQGFDTWILEFRGAGLSAKVASKEVKQPINLPSGQMDPTSKKKEHDVFSSKDQLNPNSDSSAESKVSSAEETKEMVESDQSQLLMTFTETFKRFSERLSNLIKEGSPEALQNSTLATQIRDLSQRLVDIMEEGQRSVSPPFTDLLDRFSSTIENLQTQIDLLGKYNWDFDHYLEEDVPTAMEYIRKECKPKDDKLLAIGHSMGGILLYAILSRNVCGNRDSKLAAIVTLGASLDYTTSKSSLKLLTPLYVLSGAQADPAQALSVPAVPLGALLAAAYPLASRPPYVMSWLNRLITAQDMMQPELMEKFVMNNFCTVPAKLLLQLTTAFQEGGLRDRSGTFFYKDHINKIDTPVLAIAGDRDIICPPEAVYETIKLVPKHLCMYKVFGEAAGPHYAHYDLVGGRLAPEQLYPCIIDFLTIHDST >KVH89869 pep supercontig:CcrdV1:scaffold_1431:7790:11737:1 gene:Ccrd_008130 transcript:KVH89869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MDSLSSSFLSTFPPPNSTVSQPPPSPRSSLPPPSPFRVRSVRIEEKPQTVTTTTKRSSQERTKKPTPSTKNANRLRRSMAVDQSLPATIFNAFDDIINKFIDPPVRASVDPRHVLSDNFSPVNELPPTECEVSEGALPSCLDGVYFRNGPNPQFLPRGPYHLFDGDGMLHAIRISKGKATLCSRYVKTYKYNIEKDAGFPIIPNVFSGFNGLTASAARMAVTAGRFLAGQFDPSKGIGLANTSLAFFGNKLYALGESDLPYAVKLAPDGDIITLGRHDFDGKLFMSMTAHPKIDPVTKEAFAFRYGPMPPFLTFFRFNENGEKQADVPIFSMTSPSFLHDFAITKNYAIFSEIQIGMNPMEMIGGGSPVSADTGKVSRVGVIPRYAKDESEMKWFEVPGWNNVHSVNAWEEDGGDTVVMLAPNILSVEHTLERMSLIHASYMYFGVGDPMPKISGVVKLDITLSEVDRQECIVASRMFGPGCFGGEPFFVAKEPDNPDADEDDGYIISYVHDENTDESKFMVMDAKSPTLEIVAVVDLPQRVPYGFHGLFVTESNMNML >KVH89872 pep supercontig:CcrdV1:scaffold_1431:57112:60847:1 gene:Ccrd_008133 transcript:KVH89872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTDLAPSVPTASPLGLKNENVTPINSKIAELSESRAELLNRIQSLKNDLQSWRSKLDTQVKSYRDPSLCYEKKLLFPEKSLCCVDRKCRVEAISGDGWNVVRMANVSSRMLFWQIVQDCFAARYAAHELSDLKKTLNVEVDQLRSEFKELRTTLQQQQDDLQDVAGDVKDTKSSTIEDETM >KVH89873 pep supercontig:CcrdV1:scaffold_1431:76068:88765:-1 gene:Ccrd_008135 transcript:KVH89873 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MKKFESNSAASSIEIKLKFLEGGVVMERGWDLGEGWLRKQSTMEYKTLDLVLISAKGLKNVKLINEMDVYAVAFISGRAPKLSTTVNKGGGPNPMWNFPMRFTVDEASVLQNDLALVVKIKASGMLFHRDLGEVRVLIKDLMETQGEMQVVSYQVRKRSGKPKGYLSFSFIFSQAEESMMACVPGMVVGSSSTYPAPYVDPLQPQAGYAYDHHQQQPGYAYCPPPPPPGYEWYPPQQPPKKRSSGSGLAAATDQVVTSYEVLERMLSSLGWERYYDDPDLFQFHKSSTMHLISLPKDFNKLKSMHLYDIVVKGRPNANLDLVFISAKGLKDANIIQRMDVYAVVSISGLDDGPHRTPVHKAGGTNPTWNFPIKFTVNELAALSNRCTPIVKIKAVGMFMNIGLGQVHVPVKDLLKTQENAIHFVSFPVIRKRSGKPKGELNFSYKFGERLPLANEPVQQSPKRKLGLDLTLISAKGLKNATLAGKMDVYAVASISGSFQKLRTPVNKGAGPNPTWNFPMKFTVDEAAAMHNCLTLIVKIKAVGMFVNREQGEVHVSIKELMEGVKSDGRAMQFVSYQVRRPSGKPKGEISFSYKFGEKFSGKAEEPVMAYPPGRAVGSSSGYPPPYATEYPSRPQAGYAYASYPPPPPPPVYGGYPPQQPPINNRSGMGVGTGLLGGALGGMLLGSMLSDAGGCGGGCGGGGCGGGCGGGGCGGS >KVH89870 pep supercontig:CcrdV1:scaffold_1431:20462:50289:1 gene:Ccrd_008131 transcript:KVH89870 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIRP-like protein MGPTRKSRSVNKRFSYSDEISPTKDGDTTNKKNQRLLHLNSICILHLPESESQLRLQLSAICFLCHCFESDARKQVSLLRLFLAMNPAACLSFLSRALQLEFLEHKCCDNGNELRSGWWFTTMTVVTDTMVLFSQIGTQPGLHLQLGCAYCVCNVCPNNWYQSFRGPEDTHDSGSTESDFQNNDESEDVQEDTKKKLSDMLGSHWSKDELEHFYEAYRKHGRDWRKVAAVLRNRTAEMVEAVYSLNKAYLSLPEGTASVVGFIAMMTDYYSNMTTMRSPKAARCAVVAGRNPSPEAAVSMVDLAGTSVSEEGDSEHERNDGVETSRKPQKRLQRKVHETTSKGSVERFRSHPDAVPSDYGCLSLLKKKRSGGSRPRAVGKRTPRFPVSHAYENIKGEKYFLQTRKGLKLRADNDDDVAHSIALALTEASKRGGSPQVSQTPNRRSDSLMSSPCRSAERMYDESDVASGWFVDNDTYDDDIEGSMEADNTDFSRDKSKERTNAGYMLHDRKGSQVKKVRVDRKENKHLEYIREACSGTEGQNLGTLRRNFDVEVADAKPSRPSSQGSKRRSKEAHTGRAINYLDSFRADETSAFDALETLANLSLMILPEANEAAKEGKVEHVDDSHLLLPMPASRHKEKRKSLALSSNGYQSISRLESADNKPQTSAKDLVDDTNVASEAKESHQLITKVSRKRQKIVAPKISKAEAPIDSTPIESLEAEATHAAQMPLNKHISQSSSPQLVKHSQHVCSTNHSKRDVRNSALSDVHVSEMNPSNIRTKVRSKRKMNKPEALEAAKFSDVVGTDKSSVHLPSLSHRTDKLKGKLSNSLSNQLMRRWCAFEWFYSAIDDPWFAKREFVEYLYHVGLGHVPRLTRVEWGVIRSSLGKPRRFSRQFLKEEKDKLNQYRDSVRTHYTELRSGSRDGLPTDLARPLSVGQCVIAIYPRTREIHDGTVLTVDNNRCCVQFDRPELGVEKIMDTDCMPLNPFENMPASLMRRTPVDKFFESLKEAQMNGLGRDQRLEGYTKCSSHEKLENMDEVASVKSDLQSRYGPRDFASNHHAAYTMPGTLAQIQAKELDVEAIAELTRALDKKEAVVFELRRMNDDVLENQTDGDFSLQDSDAFKKQYAAVLVQLNEANAQARVSSALYRLRQRNTYQRNLHLASWPRAVGDLSDHGAISSSSDHTTNRTEESECHVNEIVEMSRTRARTMVDIAIQAMSSLKLNPTVEIDKAVDYVSDRIPSDESGIPPARPIASDPVPPSLSLHEQLVSTKCTERQFPPAEVASILDSAVSSLQPCSPQNLQVYAEIQKCMGIIKNQILALVPT >KVH89871 pep supercontig:CcrdV1:scaffold_1431:52821:53645:1 gene:Ccrd_008132 transcript:KVH89871 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MDYRTLDLTIVSAKGLKKASLIGKMDVYAVASIFGTAGNVQKYKTPVDKDGGSDPTWNIPMKFTVDEAAGLQNRLTLVIEIKAVGMFGDKDVGEVHVPIKELLEGVKAEGREMKFVSYQVRRPSGKPKGDLSFSYKFGENFSGKAAEEPVTAYPAGMAVGTSSSYPPAYAAAGGYYPPPANGYPPQSQTGYAYQHQQQPGHAAYPPPPPPGYGGYPPQQGYGYPPVQQPQQPPKKSKMGMGLGAGLLGGALGGLLIGDMISDASGGCGGGCGGF >KVH89874 pep supercontig:CcrdV1:scaffold_1431:95629:97783:1 gene:Ccrd_008136 transcript:KVH89874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAPVLDFPINVGRIDDVQELKKARKTEIPNRFVRDMAERPMLAATTHFPLSTSIPVIDLSKLMRGNKQEFHYEILKLSSSCEEWGFFQVRANTREMVINHGIDLDLLEKIEKVAMEFFMLPVEEKQKYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGLVPHSIRNPKLWPTNPPTFSFVSIKRPFSGVFDPFVEAGLRKALVFCSETVESYSKEIRKLCKDLLRFIALSLGVGGNVFEEMFGVSVQAMRMNYYPACPRPDLVLGLSPHSDGSALTVLQQGKGSTVGLQILKNGTWVPIQPVRNALVINIGDTIEVLTNGRYKSSEHRAVTHKEKDRLSIVTFYAPSYEIEIGPMAEMVDENNPSKYRRYNHGEYSKHYVTNKLQGKKTLDFAKISPKNTF >KVH89875 pep supercontig:CcrdV1:scaffold_1431:113790:120441:-1 gene:Ccrd_008137 transcript:KVH89875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASIVAKSCTSSSSHVSPVMTVQEKGSRNKRKFRADPPPPNGFQKIVSPSQNECLSYEFSAENFESPGHSNGCDMCSFSHENTDSVKLDLGLSCAVGGVGSTEVGANHNRVELEASDEFHEADWSDLTESQLEELVLANLDTMFKSAIKKIVSFGYTEEVATKAILRAGLCCGCKDNVSNIAENTLILLKNGQEMDPSGEHHFENLHQMKKYILAELVCVLREFRPFFSTGDAMWCLLISDMNVSQACAMDGEALSSLVGDGTSNGCFSNSVENQLRKDGKSLECLPNPCKPNHSSLCAHSFPLEAPVMASIPCVHNCMSEEPAKAEVPHSKPKAPFVLDGFASQKGNQNSTSRTLSRSFSLSSKKHEEKVVGSRKITCISKRDYIPRQKSVHLEKSNRIHGLKGATRAGKLGNISGLILDKKLKSVSDSTGDSPKNGSQISQGVGIGFPFEGINNNGTTQTSLASPSLFNTDTSSNNISSLAKIHVPPMLASTDSPPALSVANTELSLSLPAKSNNVPMPEVPNISFAAMPYDKSFGRWIPQDKRDKTVLKLVPRVQELQNQLQEWTEWANQKVMQAARRLGKDKAELKTLRQEKEEVDRLKKEKQTLEENTMKKLSEMENALFKASGQVERANSAVCRLEVENANLRLEMEAANLQAAESATSCEEVSKREKKTLLQLQLWEKQKILFQEELITEKRKLVQLQEDLELAKEQRDQLESCTGVHMRVCVLTFSANDCRAEVTNALLLTRWKQEEKAKEDLVSQAVLFRIGRQEGEVLAKSREDLTRLKADKNLKRYKDDIEKLKKEISLLRLKADSSKIAALRRGIDGSYASKLSDIKTSIFPQETPTPYILETVTSNGGVKRERECVMCLSEEMAVVFLPCAHQVVCTKCNELHEKQGMKDCPSCRGTIQRRISVRYAHS >KVH88204 pep supercontig:CcrdV1:scaffold_1432:119026:121063:1 gene:Ccrd_024406 transcript:KVH88204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKGLLNMKTDGIPAKLVYYVVDSFDPQNMLIKLENGVIPITVKKIYDVIGAPIGEATLDSLVNDNCEISKTRWKPVPIECYYSGPLTTLILLYVDTIQCDVVRIICERPCIVSWSMDILRRWESIEIYTGGFGIGNVVEPLVDAQREDRSRENEEIDIKRYLDEVEHTFNMLKPLKSDFDEILKKGRTRYPASVEFDVWQKKKS >KVH88205 pep supercontig:CcrdV1:scaffold_1432:16535:31116:1 gene:Ccrd_024404 transcript:KVH88205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait LALCLTLASRSLALACGCPLSSAATLSGSLVCGWPAACLHLSSAVALCESAALPLATDLSRICVKNLPKHATEERLRDYFSQKGEVTDAKLMRTRDGKSRQFGFVGFRSEKEAAEALKFFNGSFMDTYRITCEIARKVGDPEMSRPWSRYSLKNQEKSVEKKESVSSVRSSGKVTAEVKNDTENGDLQFQEFLQVMQPRTKSKLWSNDLLEAAPSGDQGKDSKAEGKKQLHSKSAKLDEINDRPDGVSDDRVAERASSVEHDKAVSDMDYFRSRVKKDWSDTDSDDDVNGDVEEVENDDDNLNKRINNPGIQKGQGKGQLDFPKTDAEETVDDGSTEKLGDMDHVDEPSSSLEDEDDVLQTGRLFVRNLPYTATYVSCLFVCNLPHTAMEDDLREHFSKFGSVSQAHLVVDRDTKRSKGIAYILYALPESAARALEDLDNSIFQGRLLHIMPAKQKIIPVKKDDSANHSKTFKQQREEKRKKSEISGDTRAWNSLFMRPDTVVENIAREFGTSKSELLDREASDVAVRIALAETQVIAKTKKALVNAGINVASLENFASQKTEGVKRSNHVILVKNLPYGSSESELASMFGKFGSIDKVILPSTKTLGLVVFLEPGDARAAFKGLAYKRYKDAPLYLEWAPADILSQDPTAVADENETSIVGEHESKRALLEQQLEGTAIADIDTERVESRSLFVKNLNFRTTDEILRKHFVEHIKEGKLRSVRIKKHLKNGKNVSMGFGFLEFDSNDERVKEKVDKDQSSTKLIVRNVAFEATEKELRQLFSPFGQIKSLRLPTRFGKHRGFAFVEFVTKQETKNALQALSNTHLYGRHLVLERAKEGESLEELRARTAAQFVDESTSFQNPTKLSLKRKQLDI >KVH88206 pep supercontig:CcrdV1:scaffold_1432:70218:116416:1 gene:Ccrd_024405 transcript:KVH88206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MERGEGSLDKVLTSETKAQSSAESVKTTTKTVIKPTVSSSLKVTGSTTGTTRKRIEPKSVSNVAKLTPRKSTIDHGNTTSNEAPAIRRNSTGTLQEKQQQVPVKKIISSVGSAVGRKTSSSVTTEPLRRSLPEVRRSSLPSLASKASVRASSSETKKSAPTSPVVRTSRTMGSNSEASKGRSNVKSSSLLSSSSRVPSTSLDSTGSSTARKPISKLSSTSAQSSAVSSGSKSGSLSSSREKMSTSSSQRKATTPEIRVSRLIMLPQVEIKAGDDVRLDLRGHRIRTLKASGLNLSPNLEFVYLRDNLLSSLEGIDILKRVKVLDLSFNDFKGPGFEPLEACKALQQLYLAGNQITSLTTLPALPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNKVSTLKGFPCLPSLEASLLLYFAMLIKIVEHLRLEENPILEMAHIEAASVLLVGPTLKKFNDRDLSPKEIAFAKHYPAHTAVCIAGGWEFCRSEQAVESTFHFLVEQWNDHFPPGYMLMEASVDQPFEEDACCCHFLFTKDKIRNDESELVLNYQWFIGGTTLSNFTMIPDAIAEVYWPKHDDVGKILKVECTPVLGDSRYPPIFAISSPVFRGTGCPKVLKLDVRGELVEGNIITGYPEVAWCGGTPAKGISSWLRRRWNSSPVVIAGAENEEYQLTLDDIDSCLVYMYTPVTEEGTKGEPQYAITDYIKPAPPSVNNLQIIGDIVEGNTVSGVGVYFGGKEGPSKFEWLRENIDTGDFVLVLTGTNEYSLSKEDVGSRLAFVYLPVNFEGQEGKSSSILSPIVKRAPPRVTDLKIFGDLREGSKVTVTSNVTGATEGSSKVQWFKTFSSILDGENGLEAVSTSKLAKAFRIPLGAVGCYIVAKFTPVNADGESGEPAFVISDRAVETLPPNLNFLSITGDYSEGGMLTASYGYIGGHEGKSIYNWYLHEVETDPGMVIPEVSGRLQYSVTKDAIGKFISFTCTPLRDDGIVGESRTCMGQERVQPGSPRLLSLHMVGTAVEGTTLYVNKQYWGGEEGDSVFRWFRTSSDGMQEEIVDATTSSYLLSAEDIGFFISVSCEPVRKDWARGPIVLSEQIGPISPGPPTCQSLEFHGSMVEGGRLTFIASYSGGEKGACFFKWFRVKANGYKEKLHSGEHLDLTLEDVGACVELVFTPVRGDGVKGSPKSIVSGPVAPADPTGVELLIPDCCEDKVVVPLKTYFGGQEGDGNYIWYRTRSKLDASALRDISDACEDVTTCGKTLTYTPSLEDVGAYLALYWVPTRADGKSGEPLLSICNNPVSPALPIVSKVSVKALSLSTYSGDGEYFGGYEGSSIFSWYRETMEGTTILIDGANCKTYEVSDSDYNCRLLFGYTPVRSDSVVGELKLSEPTDVILPELPRIEMLALTGKAVEGEVLTAIEVIPKSDPQQNVWQKYKKHVRYQYFSSEMGTKAFEPVQSQSSCSYKLRFDDIGCCFKCECIVTDTFGRSSEPAYVETAPISPGIPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPALCDKDRSSKKVPGLGTLERRILEVNRKRVKVEIFGNDQHRLRIVVDSENEVSLMVQTRHLRDVIVLVIRGFAQRFNSTSLNSLLKIEP >KVH91415 pep supercontig:CcrdV1:scaffold_1433:1770:36870:1 gene:Ccrd_006560 transcript:KVH91415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGRWADDNPSGRREYIANNGRPASVSTGDMYLGTEDVGCWKLAAQYVQRELKEADEVNLLDEEVRLNGMLPGRWADDNPSGRREYIANNGRPASVSTGDMYLGTEDVGCWKLAAQYVQRELKEADEVNLLDEEVPSEFRASHQILYTLHGKYFVDQMNSWRGIVDGWHRDMHVFGLRPMMDPLILVRLQTSLLLLYALFENYLGILFLFCFKHLPVPSLTSCYCDRAKGLLVCCNSCKKPVKASQYATHADICKSLSSVVDNTTEVDVVGGQKKPPRKERKKLQICISTQVPSVGKPERSALSNTAASNSNLDEQTLKATSFPIQVKVSANVKNMVNVFISSFAANSYCSGRDFTNESQARSLKIFDSVTSLQKPRKLEDHHLQTKGMSTVPAPLATKIFYSQRTQRLRSAISHMYYRSSTQHDQGLSNMQFSDSNKMTPWTSAHSYSYPEKIDGQHEKLGNQVPCMVENRDPVPAKSSEAISDESRTILPKKLTAARTMMQQRRLLYSNQEIFW >KVH91417 pep supercontig:CcrdV1:scaffold_1433:56723:64898:-1 gene:Ccrd_006562 transcript:KVH91417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGCVFGREISSSGPPISEIVVDKRREKDSGDSNVQSGRRERASVANPDTSNNGGGGGEAQNGVPQKGDKDVNVRPRGERRRSKPNPRLSNPPKNIHGEQVAAGWPAWLSAVAGEAINGWIPRRADTFEKIDKASSDGTYSNVYKAKDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPTIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLLDNGGSLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIADTFKAFPPSSLPLIDTLLAIDPAERQTATAALRSEFFTTKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGKTNADGVKKTRTRERPARAMPAPEANAELQANIDRRRLITHANAKSKSEKFPPPHQDGTMGYPLGSSHHMDPTFDPPDVPFSSNFSYAKPPIQTWSGPLAEPAPAGRPRRKKHNDSSSKNN >KVH91414 pep supercontig:CcrdV1:scaffold_1433:47375:56375:1 gene:Ccrd_006561 transcript:KVH91414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MEMSLIVSSPPKFTIRRRDLLHNNKNLMIICSSASKSQIGFGHIIRCPNLPLSVTAHSKTAISTKASDRNTISNSKDGVKIYVGLPMDSVSDCNGIKHSRAIAAGLKALKLLGVEGVELPIWWGVAEKEAMGKYEWSGYLTLVEMIQKVGLKLHVSLCFHGSKEENIPLPKWVSEIGASQPDIFFTDHSGKHYEDCLSFSVDDLPVFDGKTTMQVYKGFVESFKNSFSPFMGSTITIDQLYSSAIRNSGAGEFQCYDQNMMRHLKQQAENXGNPLWGLSGPHDAPTYNQQPILNTFFKEAGSWETPYGDFFLSWYSSQLISHADRILSLAASTFSQTPIVVSGKLPLIHSWYKSRSHPAEVTAGFYNTINRDGYEEIAKIFSKNSCKMILPGMDLLDEQQPTESFSSPELLLADVRTACRNQGVEICGQNLNIAGTPKSLKQIEKNLAGGNGINLFLYQRMGGEFFSPKNFPLFSGFVRSLNQMELDSDDLAVNEREAAVYVPGKNRKLQTIGFGHIIRCPHLSLRVTAQSQSTIFTKASDRNTITNPKDGVKIYVGLPMDSVSDCNGINHSRAVAAGLKALKLLGVEGVELPIWWGVAEKEAMGKYEWSGYLTLVEMIQKVGLKLHVSLCFHGSKEENIPLPKWVSEIGESQPDIFFTDHSGQHYKDCLSFGVDDLPVFDGKTAMQVYEGFVESFKTSFSPFMGSTITGITIGMGPDDIRTACRNHGVEICGQNLNIGGTPKSFEQIKKNLAGGNGIDLFLYQRMGAEFFSPKNFPLFSGFVRSLNQLELDSDDLAVNEREAAVFVPGMMLNATAGDP >KVH91416 pep supercontig:CcrdV1:scaffold_1433:105585:110394:-1 gene:Ccrd_006563 transcript:KVH91416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome-associated factor Rrp47/DNA strand repair C1D MEDGGVVPESVTEAVNRTSTNFEEFRTHFVDFLPLCEPNTLSELDPLQRAQALLLLAKATTTLFTLKLRCNGVDPDDHPLRSELERLNLYQEKLDRCINLSNAPLRPSTTLNYQAATRFIEHSLPELTPEQRKNMREISRREGVNRGNSEGNVNKRRKYLSSDKTSVKTAAQEFLEKAARELLGDDKSSFKGPLKPQDTDEDDMSLS >KVH91413 pep supercontig:CcrdV1:scaffold_1433:111725:126975:-1 gene:Ccrd_006564 transcript:KVH91413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MSPGMVWKLDPSQKERRKWFFKDKYKLCPMFCNSGVAVQSSSTANRIAFRLVFNQTDKGFEGSSAPLVKIWGHTSALLKQTSSLKMVRMGGSDMVYHQCKGGVHQWKILIDHRFDFLQRLHRPKRFDSNFLVHAALPDLDPSTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEAYSTGNIETSVQKAFIRMDDMMRGQRGWRELSVLGDRINKFTGVIEGLIWSPRGGEGSHQVDDWAFEEGPHSDFTGPTSGCTACVAIIRNNQLIVANAGDSRCVISRKGEAYNLSRDHKPGLEIERERILKAGGFIHSGRVNGSLNLTRAIGDMEFKQNKFLSAEKQIVTANPDVNTVELCDDDDFIVLACDGIWDCMSSQQLVDFIHEQLNSESKLSSICERVFDRCLAPSTTTGEGCDNMTMILVQFKKPIQPSAAAAEPDLDGKLKTAAAGNESDSS >KVH98153 pep supercontig:CcrdV1:scaffold_1434:48426:69255:-1 gene:Ccrd_023625 transcript:KVH98153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVFPWLKSLPLAPEYHPTLAEFQDPISFIFKIEEEASKYGICKIVPPVSASPKKTAIANLNKSLSARNPDSSPTFTTRQQQIGFCPRKHHRPVQKPVWESGEIYTVPQFEVKAKAFEKSYLKKGSNSKKGLTPLEVETLYWKAHVDKPFSVEYANDMPGSAFDQTVGGSAGKKQGKKDIGDALTVGETEWNMRGVSRAKGSLLKFMKEEIPGVTSPMVYISMLFSWFAWHVEDHDFHSLNYMHMGAGKTWYGVPRDAAVAFEDVIRDHGYGGEINPIELHLLDTFFKVTTVLAVAFLVLTHFRGNLNNFYCVFSVTFATLGEKTTIISPEVLLKAGVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEAANIATPGWLRVARDAAIRRASINCAPMVSHSQLLYDLAVSFSSSVPTSIKPEPRSSRLKDKLKVEGEALVKRLFFQDMMQNNHLLHILAKGSPIVVLPENFIDRRIAFQSNGTSRLSFGLHTQEVDELKSTHSSDSDDDVNLDRKPMRKFSSFCGRNNELSLNGGKDASSSSLASQTQNTATGRYKGGECDKTHDTGIFSCVTCGILCYASVAIIRPTEATANYLMSADCRGISDLVAATDLFTNEDASLVDPKSSSGQMPKSTRDGLFDVPIKSTDEAQTVDDNPGITPATADQKVNSSLGLLALAYGDSSDSDDDRVEGDIHTNCSSDLPPNNKTALLGAASSHGDHHDQDARGDMKYINDQTFDCSVEFERNRSLKDSNCLTGKSLIAEQNCLSDVHVSEKAVRQIENTPITTVCDEESSRMHIFCLEHALEVEQRLRAVGDFLGLAHICTPFADYSSLDSEAKLVAKELGIDDGWTDIGFREGIQEDKEWIQSALDSEEATHGNRDWAVKLGINLFYSASLSRSPLYSKQMPYNFVIYNAFGHNSPSSSPTKPKPSSRQKKIVVAGKWCGKVWMSNQAHPLLVARDPEEQDDVKPARQSENTQMVISKNARKRKKNVLESRRMSVKTKFPKVERSSSPAPAPPPPPVDDLPTLNGGFRRQPRTNLRNKQIRKETTPRNILDQKARQFDMDSERESETDGGPSTRLRKRIIKPPSKELGVKIETKQAIKKQSGIKKAKKPPPAMEAREEDGEFACDMEGCNMSFDSKQELLVHKRNVCPVKGCGKKFFSHKYLVQHRRVHMDDRPLQCPWKGCKMTFKWAWARTEHIRVHTGARPYVCNEDGCGQTFRFVSDFSRHKRKTGHSVKKQVQERRGFPMVEVSAASTRVPSNPNRVSRSNWIEDEASKYGICKIVPPVSASPKKTTIANLNQSLSAQNPDSSPTFTTRQQQIGFCPRRHRRPVHKSVWQSGETYTLPQFEAKAKNFEKNYMKKGSNSKKGFTALEMETLYWKAQMDKPFLIEYANDIPGSAFHQTGGGCGGKKQGIKEIGDGLTVGETGWNMREVSRAKGSLLKFVKEEIPGVTSPMVYMAMMFSWFAWHVEDHEFHSLNYMHMGAGKTWYGVPKDAAVVNFCYSRREDHGFNCAEAANIATPGWLRVARDAAIRRASVNSAPMPQPRSSRSKDMLMAEGEFLVKRLFLHDMMQNNELLHTLGKGSPIVVLHEDALYSSTSKDSCFGSQLNVSPMLPTRGLFSCVRCGILCYACVAIIQPTEATARYLMSVDCRAITDLVAATDVFAANEDANLVDLKPSSGIPLFIMLLLYRSGYQIQVVDNNTNTISATGSPKVNSALDLLALAYGDHSDSESDIRVNYSSNVSLNISDDGTTTNYNGDRVDGRVKIGESSYRIANFVRSKCNRFFMESNCSMGRFEYQIQVPHSERKPSSNANESEALIIGKSITQAGNTQMNPTRDDDTSRMHIFCLQHAAEATKHDKQMIQSALHKQEATHCNHDWAARLGLDLFHSCKLSRSPLYRKQMPYNSVICKAFVNGSPSSDPVSSKPSSKHKKITVVGKWCGKVWMSNEVHPLLVVRDVEHQERDKTDVSGFSRMDAKLEKRPEITQVVVIKNLRKRKRKNMTISKPMKFTTMQSSPPPPPSSPLGNLSLSGGFRRQRTRTLRTKKAVESEEGEFGCDIEGCIMSFDNRKDLMAHKKNVCPEKGCGKKLFSHKYLMQHKRVHMDDRPFKCPWKGCQVTFKWAWARTEHVRVHTGARPYTCTTEGCGRSFRNTPIL >KVH98154 pep supercontig:CcrdV1:scaffold_1434:84999:87093:1 gene:Ccrd_023624 transcript:KVH98154 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MCRSPASPTEHESDHVLGGSVETIVYILTSGGRRIPANAKIMASASPVLESIIDRPQKHRSSEKTISILGVPCDAVDVFVRFLYSTKCDEDEMEKFGIHLLALSHVYLVPQLKTRCTKALIGRLNIERVVDVLQLARLCDAPDLYLKCMRLISNSFKAVEETEGWKFLQNNDPYLELEILKFIDEAESLMNESDLNVQRKKKTRKHLQEQSLYFQLSEAMDCLQHICTEGCTSVGPYDKEPSKNRAPCSKFSTCEGLQHSIHHFANCKKRVNGGCVRCKRMWQLFKLHSSICESPDSTCRVPLCRQFKIKGQQVRNKKEEARWELLVRKVVAAKAISSLPLPKRKRDQDQEQEPRSFINHHVSVVC >KVH98149 pep supercontig:CcrdV1:scaffold_1434:12007:12240:1 gene:Ccrd_023630 transcript:KVH98149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKVEQAKESQQQKSQNHHHNDHHHHHHRRQLVIQKETIMQCNKGNTTKFKRSTSHLEDDGVSSAILLLACIACGP >KVH98157 pep supercontig:CcrdV1:scaffold_1434:15859:25358:-1 gene:Ccrd_023629 transcript:KVH98157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MVVSHRKGWSLVATSGSTDARNNSCRPMERVSLFQVEAQQTRSNSIDYRMPIDFKEFQEKLSTGFRPWQRSFQFWVRALDIYTGYKVFQVRVNLVKDVEKAEAMWERQHEQAAEKAYSMCSEMGGFFLKIAQVLGKPDLAPAAWVRRLVTLCDQAPATPSNMVQLVLEKELGKNVDEMFERFEWDPIGSASIAQVHRARLKGSKLDVVVKVQHPGVQDLMMTDLQNLKAFALCIQKTDVKFDFFSVCKEMEKQIGYEFDFTREADAMDRIRTFLYTINRKSPVKVPRSGFFHADPHPGNILICKGSEVALLDYGQVKDLPNALRLGYANLLAQVMFDTKLPDGVKILQPFSDDSSIKKIAVRGFPEELFSVLRTVQLLRGLSVGLGINYSCAEQWRPIAEEALYLAGRLKVEDMKSMERQKRGFFRRILWW >KVH98155 pep supercontig:CcrdV1:scaffold_1434:91093:98024:1 gene:Ccrd_023623 transcript:KVH98155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein METTRLVLFSLVLVLALGFVSAGSFEYTEDDLASDEAKWALYERWRDHHKVPEQSDDEKQKRFIIFMDTVKRVDNHNKAKKPYLMELNKLXDLTXXEIVRTYTGAKLDXHHRMLSSRGNSSRLKYADRHDLPAEVDWRQFNNVVVAPKNQAQCGSCWAFAAMGALESAHGLKTGNLISLSEQQIIDCDTEKNGGCNGGVPAYALNYVAKHGGMTTSECYPYNDPAGQTVCCGAKLQNRVVHCQGFEDIPIDDEPAMMERVAEQPVSACLFVYEGFYGYKEVSLFHHMIINRIGYDIELQGIYTGDDCVGEQNPHAINIVGYGTTPEGCKYWIIKNSWGEDWGEKGYMRLAREVGNPRGACSITMQTCFPRLVLFSLASLLVLGFVQSLDFTDADLKSDZTKMALYDRWRAEYKRPEPXPEDKKKRFKAFXANLERVESTNKANLPFKLKLNKFADLSNGEFRLQYSGTHSRHSRSLLGPGGGSNNAADTPAPPAVDWRTQNAVSPVKDQGECGTYLGILSRFSFPDNAACDWAFAVVSTVESANAIKTKQLVPLSAQELVDCDREMNKGCDGGVLDYGFTYVKRNGGLTSEESYPYTMAAGTCNQAKIANKVAKIDSYKDVPMENEAALMKAVAAQPGILTGDCGKELSHGLTVVGYDDTQKFWILKNSWGQDWGEGGYIRIAKDAPFPWGMCGIALEASYPIIRDGNQPPKEEF >KVH98150 pep supercontig:CcrdV1:scaffold_1434:5506:6336:-1 gene:Ccrd_023631 transcript:KVH98150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNGPEPIHQQEDRECLLMNTYGGIPSVKVVEYMLSSMSNELLCKFPDNSAFDFDYTQSSIWSPLVPHPSNPSSPAPDLQRKLSYDDDEHGSDGMVWHSGDTSTAAVMMKKLTANIKDKIADSCVFSCFRIHPKIGKKKMVMMKRRRNSFRGFDQLGPISSDSSGVVADSGCSSPNQRKGWKKVLKAASKQFKKTMKKKESGVHLKLSNGFSHFSC >KVH98158 pep supercontig:CcrdV1:scaffold_1434:25612:31912:-1 gene:Ccrd_023628 transcript:KVH98158 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7 MEDTEGTQQPHLVFANKLFLLTHSDVDDIEKVRLRDEVTSTVVADDMAPLYETLTAGSVLKLDQKVLDSMRVRIDEELKKLDDKIADAEENLGESEVREAHLAKSLFYIRIGDKEKALEQLKVTEGKTVAVGQRMDLVFYTLQMGFFYMDFDLISRSIDKAKKLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAADLFLDSISTFTTYELFPYDTFIFYTVLASIISLDRVSLKQKVVDAPEILTVIGKIPYLSEFMNSLYDCQYKSFFSAFAGLTEHIKLDRYLYPHFRFYMREIRTVVYSQFLESYKSVTIEAMAKAFGVKVRGYRHKELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATVKQGDFLLNRIQKLSRVIDL >KVH98151 pep supercontig:CcrdV1:scaffold_1434:34629:40090:1 gene:Ccrd_023627 transcript:KVH98151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MARPKVRAYKFIVVLISLQLMIRVFAISEVQHSEEHTNEVHCSRERSRAAYKIIEEYLIPFVEREKFRMSHRCKLHHSNDIFREQEEHKIHVDVNEWRCGYCKKSFRAEKFLDQHFDNRHYNLLNVSGSNCLAELCGALHCDHATNSVVPKTKCNPAAAARNRHLCESLADSCFPVHQGPSASRLHELFLRQFCDAHTCSGNVKPFPRGGRVKAYQHFLLGFINLDSDAAPSLLSHSIFVPKRNEKGDTGTKTHLKTESKEKAILVGEARGSYGIVQKD >KVH98156 pep supercontig:CcrdV1:scaffold_1434:109670:119445:1 gene:Ccrd_023622 transcript:KVH98156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MALYDRWRAEYKRPEPXPEDKKKRFKAFXANLERVESTNKAXLPXKLKLNKFADLTNEEFRIQYSGTHSRHSRSLLGPGGGSNNAADTPAPPAVDWRTQNAVSPVKDQGECACDWAFAVVSTVESANAIKTKQLVPLSAQELVDCDREMNKGCDGGVLDYGFTYVKRNGGLTSEESYPYTMAAGTCNQAKIANKVAKIDSYKDVPMENEAALMKAVAAQPVAXCXDTPIDFQLYGEGILTGDCGKELSHGLTVVGYDDTQKFWILKNSWGQDWGEGGYIRIAKDAPFPWGMWFVSAGSFEYTEDDLASDEAKWALYERWRNHHKVPEQSDDEKQKRFIIFMDTVKRVDNHNKAKKPYLMELNKLXDLTXXEIVRTYTGAKLDXHHRMLSSRGNSSRLKYADRHDLPAEVDWRQFNNVVVAPKNQAQCGSCWAFAAMGALESAHGLKTGNLISLSEQQIIDCDTEKNGGCNGGVPAYALNYVAKHGGMTTSECYPYNDPAGQTVCCGAKLQNRVVHCQGFEDIPIDDEPAMMERVAEQPVSACLFVYEGFYGYKEGIYTGDDCVGEQNPHAINIVGYGTTPEGCKYWIIKNSWGEDWGEKGYMRLAREVGNPRGACSITMQTCFPVL >KVH98152 pep supercontig:CcrdV1:scaffold_1434:46225:47889:1 gene:Ccrd_023626 transcript:KVH98152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MEGGELYSRFKNGVSSKLGNPWQNLQNNLNHSISNTKIGKYFKLDARKTSFTKELRAATATFLTMAYIITVNATILADSGGTCSVSDCTPPPPPPPSNQSAAVAFADDCKFKPNVGYENCLSKTKNDLIAATVWSSMIGSLAMGGLANLPLALAPGMGPNAYLAYNLVGFHGSGSISYRTAMAIVLVEACIFLTIAALGLRAKLARLIPRSVRLACAAGIGLFIAFVGLQSNQGVGLVGPDPDTLVTLAACTGKNTVTGVCTGGIMQSPTFWLGFAGFLITCYGLMKDVKGSMIYGIVFVTLTSWIRHTSVTVFPDTPDGDTKYRYFKKVVDFHKIESTLGVISFTGFNTSEVWVALFTLLYVDVLATTSTLYTMAELGGFADQKGSFEGEYMAYLVDAGTSIVGSTLGVSPISTYVESSAGIREGGRSGLTAIIVGFYFFLSIFFIPLFSSVPPWAIGPSLVMVGVMMMKVIKDIDWNDVKEAVPAFVTILLMPLTYSISNGIIGGIGMHVALSLYDYGVWWFKWLIKMKKRVSREQNQVSATVAADRIVEIL >KVH98629 pep supercontig:CcrdV1:scaffold_1435:37327:47753:-1 gene:Ccrd_023147 transcript:KVH98629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MLRALADHPGGLDVKRNVDGSGRTRITAVSGGGAGGGPVNPSHGMADLVSYGNADRDIEQNRIDAIGVMALIALKKGAQLLKYGRKGKPKFCPFRLSHDESSLIWISGSGERSLKLASVSRIIPGQRTAVFRRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSWQGGRSKIDGWSDGGLYLDVCLPAPKLLDNKDLASNSASDSSVSAPRDFSSPDVSVSSNPTTSPKSYPPGSSINFERSHAVLDQTNMQLKGSGSDAFRVSVSSAPSTSSHGSGPDDCDALGDVYIWGEVICDNIVKLGPDRSVNPSSTRADVLLPRPLESNVVLDVNHIACGVRHAALITRQGELFTWGEESGGRLGHGVGKDVTQPCLVESLAVSNVDLVACGEFHTCAVTSLGEMYTWGDGTHNVGLLGHGTDVSHWIPKRIAGPLEGLHVASVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENASYPREVESLSGLRTIAVACGVWHTAAVVDVIVTQSSSSVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKVACGHSLTVGLTTSGHVYTMGSTVYGQLGNPQCDGKLPCSVEGKLVGSLIEEIACGAYHVAVLTSKNEVYTWGKGANGRLGHGDIEDRKTPTIVEALKDRHVKYISCGSNYTAAICVHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKAPRAALAPNPGKPYRVCDSCFTKLSKVAETGMNGRRNVKPRLSGENKDRLDKAEIRLAKSGVPSNQDLIKLLDNKAAKQGKKSDTFLLGRSSQAPSLLQLKDVVLYTGGDFRRTIPKPVLIQSGVSSRSVSPFSRKPSPPRSATPVPTTSGLSFSKGVADSLKKTNELLNLEVVKLRGQVDSMRHQCDSQELELQKSRNKAQEAMMLAAEESAKCKAAKDVIKSLTAQLKDMAERLPPGSYDFESIRSANGLEQNGVHHFSDANEAGKSISNGLLGPTAETEEPMGYNSRSPESMISNGNGVGEQMGANNFLPDAVESTDSVPPRDEMNNGFKPRSPVSAVTTTTATTNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREQVYERYNVRGSNKTSSVSTTTASRRSAESKY >KVH98628 pep supercontig:CcrdV1:scaffold_1435:64773:97055:-1 gene:Ccrd_023148 transcript:KVH98628 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MMISNLIIANIDMFGPNEDFNFYFGPKKDITVSLSPFHLDHQSMFNPPSIARSRSIARSRSRNRYRRSLALSHSSLALARRSLSGLCLSRLSMAGVCRLRACRLRLPLIAGRRSLSPLALQPPLLLTNGNEEAINHPEFRDQLEAHFNRFPASYALDISLERVEDVLLHQKLLVLAKDPENRPVFHVRLLESSRHLCIGGAEIEGWKFFFHFYQYGYRESNQKRSTQLLNFWTRADVDDGDQQGLLEVLAPSHARVDVPDVPIHEVIFSAIDKPKLLSQLSALLSDIELNIREAHVFSTTDGYSLDVFVVDGWPFQETVALHEAMEKAISRSEGSWSGSSHSMSAVEKALATPADFVDAEIDRRLLKIGERLASGSCGDLYRGEYLGQEVAVKILRSEHLNESLEDEFAHEVAMLREVQHSNIVRFVGACTKQPPLCIITEYMPGGSLYEYLHKNHNVLKLPQLLKFAIDVCKGMDYLHKSNIIHRDLKTANLLMDSQNVVKVADFGVARFLNQGGVMTAETGTYRWMAPEVPYEKMTPLQAALGVRQGLRPEVPTNTHPKLLELMQRCWESDPANRLPFPEIRVQLEGLLHEIQAKKSDFDHFLVGKP >KVH93659 pep supercontig:CcrdV1:scaffold_1436:33098:34256:1 gene:Ccrd_004290 transcript:KVH93659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide binding protein (G-protein), alpha subunit MMKTGKFLSNDEHPMHKLDGYEVICRTRLPMSYMSKFCKLCECSQFIFVALRKASYWAWLTSMLQLEDLMQKTSFMLFLNKFDIFEKKVSKVPLNVCEWFKDYQPVSTGKQEVEHAYEFVKKKFEELYYQNVTCDHQDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >KVH93658 pep supercontig:CcrdV1:scaffold_1436:16838:22682:1 gene:Ccrd_004289 transcript:KVH93658 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit, helical insertion MLYTVVQNMGLLCSRHKRGNQANTEENAQAAEIERRIEQETKADKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDERELQSYTSVIHANVYQTIKILHDGAKELALSEAGSSKYGLSIDNKEIGEKLSEIGGRLDYPRLTEELVQDISRIWRDPAIQETYARGNELQVPDCANYFMENLQRLSDTNYIPTKEDVLHARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDESRNRVMETKELFEWVLKQKCF >KVH96833 pep supercontig:CcrdV1:scaffold_1438:28585:29880:1 gene:Ccrd_001075 transcript:KVH96833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRNASCEMNKGLKKGSWSLEEDHKLISYINRYGIWNWSQMPKWSAIASRLPGRTDNEIKNHWHTRLKKRGTYNLVHETTKPNRTSISSDFEVNNVQNIQQRVNHVNGIIPSQSSWTYEDDGSSSNSSSTFIDQEVDFKADYYDIGSPGNTVDDLHCFWKQLCPIEKLELGNTWGLDSESIFQEPYHDLICXNSEQLL >KVI03149 pep supercontig:CcrdV1:scaffold_1439:59205:77374:-1 gene:Ccrd_018556 transcript:KVI03149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MSSQSPMVQDNHIGRLDIVDAEMVNEGTENILIPSDRQTLEENTTRVDKINAYMTNNCSEENIKDSVGQTMDDPGSTATDKKNKDDSALTSHDWAKKSQEIPTGDNMNGVSNSPEIVDDKINIDTEANSSHETRKSIIAGSLSSDGKTVSYEFLVKWVGRSHIHNSWVAESQLKVMAKRKLDNYKAKYGRTVINLCEEHWKVPQRVIALRSSKDGSTEAFVKWSRLPYDECTWERTDEPVIVKSSHLIDLFDQFELQTLEKDAAKDEMPRGKGQLQHGDVVTLTEQPKELGGSLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTISACAFLSSLYFEFKARLPCLVLVPLSTMPNWMAEFSLWAPNLNVVEYHGCTRARALMRQYEWHANDPMGSNKKTASYKFNVLLTTYEMVLADSTHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNLGEMYNLLNFLQPASFPSLSSFEDKFSDLTTAEKVDELKKLVAPHMLRRLKKDAMQNIPPKTERVVPVELSSIQSEYYRAMLTKNYQVLRNMGKGVPQQSMLNIVMQLRKVCNHPYLIPGTEPESGTMEFLHDMRIKASAKLALLHSMLKILHQEGHRVLIFSQMTKLLDILEDYLNIEFGPKTFERVDGSVSIADRQMAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDHLFVNKSGSQKEVEDILKWGTEELFNDSSTQAGKDAGENNLNKGEASIDSEHKSKRRTGGLGDVYQDKCTDGSTQIMWDEAAILKLLDRSIIDSVSTDNAEGDLENDMLGSVKSLDWNDEPTEEGGTESPSAIIDGSTAQNLEKKEDNSGNVTEENEWDRLLRVRQVKYQSEEEAALGRGKRVRKAVSYREAYAPQPIETLNQNGADEEPEPEPEREYTPAGKALKIKFGKLRARQKDRLARMKAFRESLPIEGSTFNGQNEDQEDNPSQTLDPPKSKTDPDPNPGKGKELVRSGKLLPVLGLCAPNAKLMESANRNSSRSSSRQDKHVIGLDFPFHLAPCSGPFNEEAAKTCGTASVKSKHPDADFNVLQYELKTGKIDEASESSALMALPRLPFDLPRLPSPGRNQHDLFPSLTLGRSIGESSVALHDFPTIPMLSNLRFRKDNQQEMDVPPPPMLGLGQMPPVFSSLPENHRKVLENIMMRTGSGSNNLLKRKLVKDFWSEDELDFLWIGVRRHGRGGWDAMLRDNRLKFSRFRTAEDLAARWEEEQLKILDMPTQKQSKASKSGKSPGFLGISDGMMKRALHKSRFAGSPLGIQPHLTQMKLGLDDLPTEKPNPVGFENEHLPRIPTWNLERFPVNFSGGSLSAGPSDRSNQHLLPNPFVTNRCGTFGLNGSGLFDSPQKDEAQHLNRKMPNFLENVGNPKGKDEAGGSGSSENKLPHWLRKAVGGGGVPARPLEPELPPTVSAIAESVRLLYGDEIPTIPPFIPPGLPPSPPKDPRRIFKKKKRHHSHGLSHQSXPDXPXTSHQSLPDIPGTSHQSLPDIPGTSHQSLPDIPGTSHQSLPDIPGTSHQPEEHVASTSGTEPDLNVPPPVDQTPVVAPSSPPNPNQESEMILQETTATKEEVSSEGTVSDDHVSEHES >KVI03150 pep supercontig:CcrdV1:scaffold_1439:9793:14386:-1 gene:Ccrd_018555 transcript:KVI03150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein UreD MVKMETGKLVVARVDGKSTVTRCFSQYPLKFIVPTKAGRSEADAVWIYALTYGGGIVSGDCISFDLTIDDGCTAVLTTQASTKVYKSVALKCSKQTMEARIGNDALMVVIPDPVTCFSTARYSQKQVFRIVSGSSLLLVDWITSGRHESGEKWDFGLYKSTNHIYLESKPLFLDTVLLEHESATSIAERMQCYQAQVEAHTRPSSRRCEEDDDGAITHAITHESM >KVI03148 pep supercontig:CcrdV1:scaffold_1439:98874:102873:-1 gene:Ccrd_018557 transcript:KVI03148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MVHAPFSVEPIVDFSHEPNYSSLGHHSFHNLSHIHASKKRILSSRPFFSLKPTSVFSQALSRKSKVTIPPWVPVVQADKRASYTISANLEQPPMDTPVVGSCDRTDTGSANSSDVHSSESLDGLATEIRDTMEIGCMVGFCMNGCEAELRKDTDFFIKDDFVILDGFVVVWGRWVSNNTFCGFINIYAPQSSVSKLRLWSAIEQFLNDNSDLKWVIMGDFNEVRYVNERKGSTFSFLGASRFNDFIRDSGLMEVRSGGTKFTRMSADGAKHSKLDRSDLVNFEPTYFKFFNSWLGHPEFNHLVLDLWNSSPSPIYCLPIKYFMVRIKIWKAGVNKAKVEQVNSLKLSLDSLDIKAENTLLSPKEIASRKDILMKSKALDEENVKDLKQKARLRWVVDGEENSSFFHGIVNSNRRSNFIHGISSNGVWVTDPTEVKQVAFNFFSVRFRSRWWWRFKLEHNHIWRRVIIALHSEDGGMNNLARNGRFPGLWRNILKIHKEYNSLNLPFADWFQRDQSSNGIDISWRWALTSHGNFSVSSMRAAYDDISLHQVSFRNSWWVNWVPSKINILSWRLLHKRLPTKINLAKRGVVCLSSMCPLCECAEEDEEHLFIGCSISQQLLKDLCKWWKVDIGQVNSIDNLLDRCSEVAGNFSCKKAFIGVVYGFFWIIWNLCNRKTFSVPSQMMATFLAGQLQAYSFFWFKNRVPKDVMANTWIEWCNSPMSCF >KVH97853 pep supercontig:CcrdV1:scaffold_144:301963:306875:-1 gene:Ccrd_000050 transcript:KVH97853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MIWDEVGEDEFEREKVLVDLEHECLQIYRRKVDDANMSRARLHQELADSEAQFTHLILSLDDRSLPGRPEKLAGTLKEQLGAIAPALRKMQTRKAERMKQFRAVQLQIHKISAEIAGQSEYDDSLPEAVVNENDLSLKKLEEYQMKLQGLEADKSDRLERVKNYIIAIQNLSAMLGMDSSMIITKVHPSLNELSGLSKNMSDRILAKLNATVESLEAEKRSRIEKLHNLGNSLKNLWDLMDTPYIDRQLFSHILGSITSTNISAPGSLSITMIEQAEAEVERLDQLKASKMKELLVKKQTELEEICRRSHMEVPSLSKTDHIVNLINRAEDEASSRKMIMEKVEKWVLARDEERWLEEYTMDDKRYTVSRGAHKNLKRAERARAMVNKISGTFTGVDGGEKKITHSQVVVLHESVMLTRPTTGSRRQLDRSVDGGFKIRGSTGVQLVTNNVRSSYQNALKGSQGPKIFARARIDRHLREDQASGGSPFSLN >KVH97855 pep supercontig:CcrdV1:scaffold_144:362552:377034:1 gene:Ccrd_000056 transcript:KVH97855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase 1 MKLAPREVDKLMLHNAGYLAQKRLARGIRLNYTEAVALIATQILEFVHDGDKSVAELMDVGRQLLGRRQVLSTVPHLLDSVQVEGTFSDGTKLITVHDPISCENGNLDLALHGSFLPVPSLEKFPNMESGKIPGELILKHGYITLNSGREAVILKVTNDGDRPIQVGSHYHFIEANPCLIFDRRKAYGMRLNIPAGTATRFEPGDAKSVTLVRISGKQVIRGGNAITDNPADDAHVKTAMESVHARGFGNSEEANTNNGVIVEGSPLAYKIAREAYANMYGPTVGDKIRLGDTDLFAEVERDFAFYGDECVFGGGKVIRDGMGQACGYSASDCLDTVITNALIIDYTGIFKADIGIKGGFISSLGKAGNPDVMNGDSDNMIIGVSTEVIAGENMIVTAGAIDCHVHFICPQLAYEAICSGVTTMIGGGTGPAEGTRATTCTPGPSHMKLMLQATDDIPINFGFTGKGNSAKPQGLHEIIRAGAMGLKLHEDWGTTPAAIDNCLAVAEQYDIQVNIHTDTLNESGFVEHTIAAFKERTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTKNTIDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDAQAMGRIGEVISRTWQTAHKMKLQRGLVDGCVPDNDNLRIKRYIAKYTINPAIANGFSDHVGKLADLALWKPAFFGAKPEMVMMRPMFGAFGKAGCTNSIAFAAMDCDIKTLYGLHKKVRCVSKVRKLTKLDMKLNDALPNIQVDPETYAVTADGVHLTCPAATTNIP >KVH97826 pep supercontig:CcrdV1:scaffold_144:221777:229027:1 gene:Ccrd_000042 transcript:KVH97826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRIVFRTVMLTEPWRASPNRTSFSLSLANQKSRTSASNSRCGFRIPTKVRDIIAERRIHQRFNCSHDENLSSSSAESDQEPPQEAVLKAISEVSKTEGRVGQTTNMVLGGTVTDDSTDEWLSLDQKVNTYPTVRGFTAIGTGGDDFVQAMGQVKQKMSSGGKYVSVNIGPVQVVSSEQVQAVYNAMRRDDRMKYFL >KVH97847 pep supercontig:CcrdV1:scaffold_144:442984:447465:-1 gene:Ccrd_000066 transcript:KVH97847 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MTEGINGFSSPRADVFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLAIEALDLLRERKDGFDIVISDVNMPDMDGFKLLEHFYMYVLKPGTGFLITFYELYAVMSVDGETSRVMRGIQHGACDYLLKPIRMKELRNIWQHVYRKKIHEVRDTESHEGLEEMLMMRNVSEQSDDGYMLCGSDMTPGKKRKDAEHKYDDKDFADHSSVKKARVVWTVELHQKFVKAVNHIGFEKVGPKKILDLMNVPWLTRENVASHLQKYRLYLTRLQKDDLKTSSSGLKHPDFSPRDAAGDRADHIKMQQNEANSYRAPSNLLLQNANSRIHEVDLKGTASLQTIEPKKVLTGFAAKSHKASSSNIGISHSFGSTQYSTYNSVLPTQYSWNEGFTEIQFKQERNPQFQVENGFADLGLPTLQQHNQVNLQPMQSIANGLFSKERDKQAKSSSGTKSSFGSYSVPSQNLTPSFQPPDPSFQPPDPVTSSTWSLKDDHSLEQTSIHNLELSAFFLQGQHCSSTNLDMQNKVPECNDPEFIAEVPSNLYDALRFDYEYPPDSLEYPVIDQGLFIV >KVH97846 pep supercontig:CcrdV1:scaffold_144:412181:413985:-1 gene:Ccrd_000061 transcript:KVH97846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQFLTFHCCLTISGDPNYINPLGVSRSPVLAYETCIIIQTNNGNVILRAEIKDGQYVFDIPTVACSIGIQTSAVSNQLQILKLKGEITYELKDPAYCYMIINFPKDICSLAADLAKWCAK >KVH97843 pep supercontig:CcrdV1:scaffold_144:414009:417265:-1 gene:Ccrd_000062 transcript:KVH97843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MDYSDSESGGSHISSTPPRQPYQPPPPPPPPPPPKPQSPALHTLIVSAKQQKNPKSKARSSSSRPILNIKPSIRRTKPPPPESEPKNDGSDLFQRPIPSTTRSSDINLPFQIRRSSGCNPFDTICPTETLPAGSSCLSKFPSFSKIRRPCLNFESTEKGIGHGLESKVGASDSQGRDVDVRDSERVVKKHPNLIGSCGSGLEEANTPDSKFVNETVGGDAIARDSGKAVKKHPNLIGSCVFPTQQVTKPKLVNEGNFVRLNINGHGGRKKFAYKVRKRNQNAYNAGRKSYKRSKRKLKSGGQAEEERSFCEEEGWHLDENQETDMRLDLEVIEKAALDVRHDPSDENLVKLLKLTHGYDSFRDGQLETIKLLLAGKSSMVILPTGAGKSLCYQLPAIVLQGMTLVISPLVALMFDQLKQLPPVIPGGLLCSSQTLEESSETLRRVQEGALKVLFVSPERLLNAEFTSIFSATSLISLVVIDEAHCISEWSHNFRPSYMRLRASLLRSSLGVECILAMTATATTKTMHDVMCSLEIPPSNLVQAAQIRDNLQLSVSLSGNRQVKIRMKDLMALLKAPPYMDVKSIIIYCKYQSETDMISKFLCDSNIRAKSYHSGILAKDRRRTQELFCSNKIRVIVATVAFGMGLDKSDVGAFLSASVTGNSLQFTRKLGRVCSGLDNDPPSSFHVDHYIIYLKMFDLYRKLDALVVMADHLIAISFLMMQHILSSGVLCTGNQLHVQEVFCCSEEILALPDGLDQYTVNKFLSQVFSTESNSKGSICSLVKESASRKFDMKEEVLEALES >KVH97831 pep supercontig:CcrdV1:scaffold_144:270778:275983:-1 gene:Ccrd_000047 transcript:KVH97831 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKMRPNFFLLIPCFLVAFYHLSLPLLFFISLLSLLPLFVNLWLIPGGFAWRNPDLNPSKIPGPAGLPFLGILPIMGSHAHRKLAFLASSMGATRLMAFSLGATRVVITSHPEIAKEILCGSAFSDRPVKESAKLLMFERAIGFAPSGKYWRHLRRIATNHMFSPKRVLSLEGLRQRVCDEMVGNVSKEMGEKKMVEIRGILQRGSLRNVMESVFGNGLGFEKEEALGVMVKEGYELIGEFHWGDYFPIRVLDFNGVKRRCHKLTLKVKGVVGQIVEERRRDGGGINIGRNDFLSLLLSLPTEDQLSDADMVAVLWEMIFRGTDTVAILLEWIMARMVLHQDIQAKAQEEIEKQVGNHRHVQDSDIPNLVYLQAIVKEVLRLHPPGPLLSWARLATHDVHLGKFMVPAGTTAMVNMWAITHDPTIWKNPWKFRPERFMEEDVPIMGSDLRLAPFGSGRRVCPGKSLGLATVQLWLARLLQQYKWVPATAKQVDLSECLKLSLELKNPLACRAIVR >KVH97823 pep supercontig:CcrdV1:scaffold_144:78431:94485:1 gene:Ccrd_000029 transcript:KVH97823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRRKLEMKRIEDKSSRQVTFSKRRSGLNKKARQLSVLCDVDIAVVVFSSRGKLYEYCSGRTDRHVPFPNSTHLVCNILDTTHIKHESWIVMQSHEISLLEIVVGFVLFVGLILSRYQKSCLQAEERTTREGGSSDTGFRNQCSRFQTCKELLQSVERLVEEPCDLSVPDMTQLEEEISAALMHIRSRKLLTFVTHTCIIMSIAHSWSISQLCFLIWGKLKSLFIMDHDIVGVTEKSLYLHLQWISLKFDNDSGFVQTQLMMKYISTLHEKERKLTEETEEIKQQVVLAKQNDDGGGGVNDLATNQTNSPQLFTLPLF >KVH97859 pep supercontig:CcrdV1:scaffold_144:391058:392853:-1 gene:Ccrd_000058 transcript:KVH97859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MRSIEDKGSLNLASTHEIPGGGNGGGNFEFQKGSGANRGSHHHRTALGKPTPSKWDDAQKWLVNLSRVGDKNHSNTEPRDSNADDRRLIAPVPKKDYPSSEDEEQTDANLIQYGAIETKKVDFGDSMCVRSICVRDMGTEMTPMASQEPSRSATPVRATTPAARSPIASGSSTPVRPCPNAASTVAPKVDASGTTRFGRESEETYVENVVETRNPNPDSKLDPLETRAMAWDEAERAKYMARIAEEKRAKAEAILNEKAIKTCERADYIRRTGHLPSSFSIKLPSCCCW >KVH97839 pep supercontig:CcrdV1:scaffold_144:148766:151690:1 gene:Ccrd_000037 transcript:KVH97839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum, stress-associated Ramp4 MDLGCLDLGCIEKQISQSSGDSDINPNDSVPSTSKPPKEFRFAVLSPEIEKNSVLRTTSGGSQIMTTSRRLADRKVEKFDKNIVRRGSVPETSAKKGKSYPVGPVMLGFFVFVVIGSCKIVTPSTTCSVSDNQDSYKWGHGLTAAAVLTSSYSMGLAL >KVH97829 pep supercontig:CcrdV1:scaffold_144:257163:258522:1 gene:Ccrd_000045 transcript:KVH97829 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MRTRRGICYPEVNTMCYEKRRRDGNLAGAQMILSRKRLKSSSGTPVVNASSHCDFFDTLPDDIVLSILAKLGSTVGCPADFISVLSTCKRFNGLGLHSLVLSKASSNCFAVKAKKWSESSHRFLKRCSDAGNAEASYTLGMIRFYCLQNRGGGASFMAKAAIRSHAPALYSLAVIQFNGSGGLKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVSKNIAEGRRLLIQANARELATVLSTTPATLLSGKHLTWNPLPHLMGPGCPLLSDFGCNVPAPTPHPANQFLSDWFSDKPPCPELRLCSHGGCGRPETRRHEFRRCSVCGDVNYCSRACQALDWKLRHKAVCRPGVDNGGFNGNVNENDIMVVER >KVH97856 pep supercontig:CcrdV1:scaffold_144:348371:359506:1 gene:Ccrd_000055 transcript:KVH97856 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MANLPILQFEDKILETVDQNTVAVIIGETGSGKSTQLSQILHRSGYTKAGIIAVTQPRRVAAVSVSRRVAEELGVRLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILMGLMKRLIRLRASNLKVLITSATLDGEKVSRFFSDCPILNVPGKLFPVEILYSSEQPKSYIDSSLRKAIDIHVNEPEGDILIFMTGQVRVFSPPPRNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSSGMYSLDVVQISRVQANQRAGRAGRTRPGKCYRLYPSAVYHDDLLDATVPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDAPSSETLQDALKQLFLIDAIDENGTITAIGKTMAELPLEPSLARTLIEANECGCLPQALTIAAMLSVEGTLLPGRSKSTDKKRKHPPSELPDGSGWGDHIQLLQIFELWDQTDYSIDWCKDNNLQVRGMLFVKDVRKQLSQIMQKIAKGSLDVKRKERRRDSQHDYRSLRKALCVGYASQLAERMIHHNGYRTLGFKCQLVQVHPSSVLRTDDDGMLPNYVVYHELISTSRPYMRNVCEVEMQWVTPILHKLEKLNVSKLSGGSDQSEEKTTVNSDFPKKVGPIDDSSSRIQAARERFLARKTNK >KVH97858 pep supercontig:CcrdV1:scaffold_144:398447:401713:-1 gene:Ccrd_000059 transcript:KVH97858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidas proteine-related MRSFGEMATSSSSWLSSAHNSMVTTPPNTSYSSSRPVYMNYALISALVAFALAQSIKVFTTWYREHRWDLKQLIGSGGMPSSHSATVTALAVAVGLQDGIGGSSFATALILACIVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVIAGGLLGMMTAVIVHLI >KVH97841 pep supercontig:CcrdV1:scaffold_144:192479:196793:1 gene:Ccrd_000039 transcript:KVH97841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MEWNTKWDWENHEIFSSKVMTSPKKLQSTNWGIGEGEEDIDGSFNLSGVVGGSGGSASDVGNNSSAKSSISASTESSFKEGMKVSKFSFENFNTSSRKKEFAGGELNGTSPLLEASVSSGEPFIGLKLGKRTYFENNFARSNSKTSSFSDIPISSVSTGKKVKPSCQSTPIQRCQVEGCNLDLSSAKEYHRKHRVCESHSKCPKVVVGGLERRFCQQCSRFHSLSEFDEKKRSCRRRLSDHNARRRKPQQETIHFNPTNLSSSFYGTSSMNFELVSMFISSCIMITQEAFASADQSCYLSY >KVH97830 pep supercontig:CcrdV1:scaffold_144:264633:268299:1 gene:Ccrd_000046 transcript:KVH97830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFILEFAENLILRLMEDPVERDRKFRDHMYDLREHCEKTKEMWSYPIRPYGFWTFDRHNSQIFWDAQISHVRGRRDPYDDLLREHQGLLSTELDKYLLAMMIQNILIVAQDNLKPVLTADSAGGQDRTDDQFS >KVH97851 pep supercontig:CcrdV1:scaffold_144:314604:320611:-1 gene:Ccrd_000052 transcript:KVH97851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MIGKAVGSLVIFLITVFITYSITTGGFGSGRLGTVDLKSQLSFFSLPKNDTPLPCRSGSPLRVFMYDLPKRFNVAMMSSKFAGDDSSPLNSRNLPVWPHYAGLHRQHSVEYWMMASLLYENATVDESSEAVRVSNPEVADVFFVPFFSSLSFNTHGKNMTDPDTEFDRQLQVDILKFLRKSSYWQRTSGRDHVIPMHHPNAFRFLREEVNASILIVADFGRYSKIMSNLRKDVVAPYMHVVESFMDDDPPNPYKLRTTLLFFRGRTVRKAEGKVRAKLQKVLKAGDTPSSNRLFDAIVSHCVPVIVSDHIELPYEAELDYTKFSLFFSVQEALVPGYMVEQLRKIPEKTWIQMWKRLKEIAHHFEYQYPPKKDDAVNMLWRQVRTKVPAERLAVNRNRRLRVPDWW >KVH97825 pep supercontig:CcrdV1:scaffold_144:210374:216000:-1 gene:Ccrd_000041 transcript:KVH97825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MALRNYCFILLVVVYIVLCGCGTGGSAESLAEECASKLPEVMMCVPFASGKEATPQQKCCESVTDMKKSNPACLCFMIQQIHNGTNPALQKMNIQESRLLQLPSACKIANASVSDCPKLLNLPPNSPDAAIFKNNGSTTSSPTTGGTTSSPSTSDSYGFKHGEPTFLTSAMVYVLLFVVVFSISGFGA >KVH97834 pep supercontig:CcrdV1:scaffold_144:98623:102532:1 gene:Ccrd_000030 transcript:KVH97834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLNDMMRREHRQDQQSRVFYELSALILNLLRYPPTPIQFSDEVSTSSRRQQPQRPPLTQITPAGFASLLLGISLSLMLCGSITFFIGFLLMPWVLGLVMVFYVVGIVSSLAMLGRAIFFHTLSPKKGVPAWKLL >KVH97827 pep supercontig:CcrdV1:scaffold_144:230710:231555:1 gene:Ccrd_000043 transcript:KVH97827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis protein MMNNLPPLPDRRLFTIFTVVALIGFCLIISSFIGAGDSGLFCTESISDEFYSTTTTPLQLDAILHYATALVVPQQSLAEITISFDVLLSISPCNFLVFGLGHDSLMWASFNPHGKTLFLEEDAKWVLSVLKTAPDLNVFTVDYQTKLSDADDLLNSYHSEPECSPSRAFIQGNTRCKLAITGLPDEVYDTEWDIIMIDAPRGYYNEAPGRMGAIYFAAVMARNRKKPGITHVFLHDVDRKVEKEYAEEFLCRKNLKKGVGRLWHFEIPPAENVTGGGARFC >KVH97835 pep supercontig:CcrdV1:scaffold_144:114867:127575:1 gene:Ccrd_000033 transcript:KVH97835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MMMSGYNIKDLIQEAQSRWLRPGEVLFILKNYQENQLNHEPPEKPPSGSLFLFNKRVLRFFRKDGHSWRKKKNGKNVGEAHERLKVGNVEALNCYYAHGDQNPNFQRRSYWMLDLAMEHIVLVHYRDITIGKYSAGPAGPTLGSSNIIQDSNSYANQPLLSADASAFGGPYNSISGPSPVEISPDVVTKSNGASCLNLTEQIEEIDGSPDFQIYQALKRMEDKWSLDHPKDTGSFFSKNEHPNELGFTINEQSYSGSEGLQYGSDDYVSLQYSGLDKAQEQLSTPKTGATDYGRLHQYHQLPKEFTIPSQQTLIWEDMQKYDKSASCDDLLENYLYPSDKNEVLLPRLRSDPVEEQGKYHSGDTGHTSILLSQELEDSTFPSYTPPRNMYQSDPDLYSTLFDQGQTRTLLASGSTLTIAQEQKFTIRVISPEWGYTTEPTKVLIVGSFTCDPSNRAWVCMFGDTEVPVEIIQEGVICCQAPLHSPGKVTICITSGNREACSEVREFEYRDKQSMYVRTNLTENESSRSSKELSLLVKFVQMLLSDQVGQNGKSVGIDLLESSMAGEESWAQVIEALSDGHLASSKTSDWLLEELLKDKLQWWLSSKLQDKNALPALSKKEQGIIHMVSGLGFVWALTPILKSGVGINYRDIKGWTALHWAARFGREKMVAELVASGAYAGAVTDPSEQEPTGKTPASLAAGYGHKGLAGYLSEVALTSHLSSLTLKESELSKCSADVEAERTVNSISNSNLIVNEDHLSLKDMFAAVRNAAQAASRIQAAFRAHSFKKRKQEAAAYARGDGYEILPSDIESLSAASKLAFGNARHHSAALSIQKKYRGWKSRKNFLDLRQKVVKIQAHVRGHQARKNYEAICWAVGIVEKIVLRWYRKGVGLRGFHLDSIDENGDEDIIKVFRKQNVDVALSEAVSRVLSMVNSPPARRQYGRMLQKYQQAKAERGGGLESEGASTSQDMETEDLYDEWLIS >KVH97824 pep supercontig:CcrdV1:scaffold_144:203429:207655:-1 gene:Ccrd_000040 transcript:KVH97824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSQIDNRNSSAVKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAPRSMPTPQGYSSSSPYAGSGAPSSMYMGVPPYGSSLFNGTSMPPYDVPLPGGSAYHYSYGNRLSGGNPYRPLHLSGTPPYSGGPMIGNGGMYGVPQLMDRYGLGLPMGQTAMGPRPGFFPEETPQKKDGTRENDWKCPKCGNVNFSFRTVCNMRKCNTPKPGSQAGKSGKSSKTDMPEGSWKCDKCNNINYPFRTKCNRQNCGADKPLESQNSPSEEEENDQ >KVH97849 pep supercontig:CcrdV1:scaffold_144:439405:444433:1 gene:Ccrd_000065 transcript:KVH97849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEQEKASIENVSSESHQFLVENSSQSSHDDRKKKARIKVSVNGVKKSSEVLPRQEIRRFKPLLDCFVNGRRKVDQKSISTSNVTSSLRFYALLTPRNIASAIQKHQVHEKARNDNKKLSSAPALEAKPSTSERKAPSNSMEKEKARNSNKKLHCALVLEAKPSRSEAKAPSNGMQKKKKARNDNKELLCAPALEAKPSTSEAKASSNGMEKEKARNDNKELRCAPALEVKASTSETKAPSNSMQKVPPTRPCSPKLGRKPTPRLVLDTCYQSPWRSSSKPKNFIELNKKLLSSSMASLPTKKMYDSTLMSKK >KVH97850 pep supercontig:CcrdV1:scaffold_144:327464:329020:1 gene:Ccrd_000053 transcript:KVH97850 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MMNIHGRKQNLLMFPWLGHGHISPFLELSKKLSNTNLFNIHLCSTPANLESIKKTLGNNSEIRLIDLHLPPLPELPPHLHTTNGLPLYLMPSLKQAFDMASPTFSRILNTLKPDLLIYDLIQPWAAAAAAAIGIPSVVFITTSTTMAATMFHLYLHSSTGVEFPFSSIYFRSYECVHISEILESSANNRKDKDRVMECVDRSSSIVLVKSFKEVEGKYGDYLSLLTGKKVVPVGPLVVDPFLDTKQNSTIQWLDTKATGSTVFVSFGSEYFLSSADIEEIAYGLEMSKVNFIWVLRFPKGERNIRVEEALPLGFLEKVRNRGLVVEGWAPQTKILGHKSVGGFVSHCGWSSVMEAMKFGVPIIAMPMHLDQPVNARLVTEVGVGVEVVREADGRLRREKVAAVVRRVVVSKLGEGVRKKAKKMSGDLRVKGEKEIDGVVEELLQLCGGGGGGGGGDGCGIGGISGGGVEKKKSGGFAIDGVVELLQLCGGSGGGGGDGSGGVVGGGGGSGSFAVESEV >KVH97852 pep supercontig:CcrdV1:scaffold_144:310321:312949:-1 gene:Ccrd_000051 transcript:KVH97852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF642 NVIPGWSFNGTVWYVTSGENISLPENGHGLQLGPNGTINQTFRSTGSYDYVLTFTLAPSRENCANNFTAVNVSGPGTSEVFFFKESLGKESWQTYGFSLGSRENRRGLMSLQIQSVATDSRSNTSCWPIVDTLLINGIQNPRMYSVGPAFIDNSSQGILLEADSNNDPSNFVKSPLQDWIILGTVKYIDSKHFATPRGRAAVELVSGNPSGILTNVFFLRHGQVTLDFIMGDANDSCVGDFTVFLQVGNTIWNFTMRSIGLGSREKHSVTFKAEFSDSDSVPISFVSFNETRTSDHQVLCGPVIDSTKIWFSNGKQLGNKLVTFSFVFVTTFLFMA >KVH97854 pep supercontig:CcrdV1:scaffold_144:381175:386515:1 gene:Ccrd_000057 transcript:KVH97854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3537 MSDHPTTPAEQESSQIRTLLLFKTDQIQVQYEENGEQEEETSNHLDKHLQGLDFYLLLLGFHQSSVLRFGISWVSFLMIGVVLPVVVILMTTNCSRCDLYQIKGFELVVVSAHASLAAVSLLCLSHNLRKYGIRKFLFVDQYNGHVERFSTEYVQKISESKRALVWWVLPCLILKVAREVIRMMYMHRESWWQSCGVFVALTVSWIYVAVIFLSSCLVFHLVCNLQIIHFDDYGNLLERETDVLVFIEEHARLRHDLSKISHRFRIYLLLVFIVVTSSQFATLFQITEFRDKVTFINGGDFAVSSIAQVVGVVLCLNAAAKISHRAQGVAALASRWHALASCGPDDASQMRFSNRMGNLEAANNLLRSVSSEESDLEVMNYIPLPTNAQLTSYLSSYHRRQAFLMYLQNNPGGITLYGWTVDRSLINTIFFIELSLVLFVLGRTTVFTYTG >KVH97840 pep supercontig:CcrdV1:scaffold_144:145042:147096:1 gene:Ccrd_000036 transcript:KVH97840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNRDEATRAKEIAETKFSAKDIMGAKKFALKAQSLYPDLDDKRTTYDHKRNVRVFKQRVQTQNGGSGVPTSSRKTSFYSFVKSTINRAKGTTTQTKGTTNSPRDNTNATKKKGPMAVPSSSNKQTGPTVVPSPSDKTITPKTFWTVCKRCKLQYEFMRMYLNRNLLCPTCHGPFLAIETPPPNTNGPSEVPEKVQGARENGSSTTCNDTSSKWGPFSKTASPAAVSQATSMVQQAYEKVKRDREEVQAATKRNEALRRKISKKTGNASSKHLNSVKTKQDVDGFGANKETRLSGSSISSPQVDIKKDISNAGIKNQLIKKATMEIRKKLHEWSSETVIDSTVKGVTEKGNGNGKVDGLVNGDSNDHRIEPVVTDVPDPDFHDFDHDRSEKCFEEGQVWAAYDDHDGMPRYYAMILKVISIDPFKMKVCWLNSTPNHHPNLSSGFLKAFGEFKAGKHEIVSVPNYFSHQASFTKLANGNIRVFPRKQDVCAVYRNDQKHKYEIVEVDEYDEETGITITPLIKVADFKTVFHRHINPKETRVVLENEIIRFSHKIPSYLLTGQESPNAPKGCCELDPAAIPSEFLQVTENVNEEGDEMLKKDCGSK >KVH97844 pep supercontig:CcrdV1:scaffold_144:420083:421993:1 gene:Ccrd_000063 transcript:KVH97844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligomerization domain-containing protein MWPSIRHRSLGFSSEAIHQWKSDLNRSFCSSIVNATNGNGKSGNVVELLNLEEVEKILRDVRADDVRVIPVKKQSEFANFVVVATGKSQWHVKQKQPGAKRMLLPSVEGQEGGNWIVIDSGSLIVHAFDEKARAYYNLEQLWTSKESSKEQSQSP >KVH97845 pep supercontig:CcrdV1:scaffold_144:409174:412175:-1 gene:Ccrd_000060 transcript:KVH97845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNAAVFAVKTCDRTSGCIDSQHTPCLQKKILDYFDSDDDSNIPNKMDQTSRFLRADIKVFLQSNSHAKFTPRAIARIMHGIATPAFPSSTWSRTHFWKICSLNGSPAPVVGAAADAVVVVGGDRLTK >KVH97842 pep supercontig:CcrdV1:scaffold_144:153643:154935:-1 gene:Ccrd_000038 transcript:KVH97842 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLSSVWSYSIREYLSFSSSVDFLCRCCFSNLEDDPTEDDCYSLIMKGGEFKISEPGKSLLSKRARKEQLPGKLDDGSSSNTDMEAIWREFPEDLYEAVIARLPISTFFRFRSVCQKWNSLLISSSFFLQCAQLPQTQPWFYTNIGAMYDPGSRKWHHPTLPAIPTKSIILPVAAAGGLVCLLDIDRRSLYVCNPLASSFKELPGRPARVWSRVAVGMTLNQKSANGGYQIMWVGSDGEYEVYDSTKNTWTCPGSMPSCIKLPLSLNFRSQAVCVDGSMYFLRSDPDGIVSYDMETGVWKQFTVPAPVHLSDQSLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHIRMSCLGNRGLLMLSLRSKVTSQLVTYDISKKEWVKVPGCVIPQGGKRRWIAAGVAFHPCLPAVA >KVH97838 pep supercontig:CcrdV1:scaffold_144:132180:134272:1 gene:Ccrd_000034 transcript:KVH97838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSPSASKVEVIKQAIKQVMEEVESSSHDDVDLLSKLMSQLERLETEPEANTEGLAFLAISKLDSMGDDVATKVSKNVPRLEEEEEEEKIAKELKYVKRQNFITHCLLSVMIIVTIAWQISEVSIMLTLKDRVSHPFRSISGVFKGMLKPRKPNGNEEESSVTSITSDLIESSPMHDLRIPELPKMDLSFQDEE >KVH97822 pep supercontig:CcrdV1:scaffold_144:25076:28462:1 gene:Ccrd_000028 transcript:KVH97822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MEFSSSSSKRAKTPAGGSNNLSISVSCLVDGCNADLGQCREYHRRHKVCELHSKTPKVTIGGREQRFCQQCSRFHSLGEFDEGKRSCRKRLDGHNRRRRKPQSDSISRTTANFLSSQQGIARILSFNSPQIQLSSVVGSTRATSFKPENPIGPFNNQPPLNYHTRHHLNLESSTTPVVQNSSDNHFNFFQHRSPPLAVSSNRAGNSRALSLLSSPPPAHQTMATTMFIPSGIPQYGFAHEMQNETILSGSSATTLQFQGMFHDDQDDSSSSGIKQQTLSFRW >KVH97837 pep supercontig:CcrdV1:scaffold_144:133473:136101:-1 gene:Ccrd_000035 transcript:KVH97837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIQSCNTVPVLYTIEKERGKYGNNLYYKLFTCNSGDRPSSECESGQKGELVSGVLALTVQRLRNMLVNLLLLPLPTVQQITSLWFRRRNDRICFRLTISNKRRVHHQGIHNSTTKQVHIERPCLLFQDLKGCFCIAWAKELPTPLPASGSSGILRSCIGEDSMRSLVIDVTEDSSSLPFGLRGFSIPLNTPLMDRNGWLTLSLSVNMMETSDICQAMVTIIMTERRQCVIKFCLFTYLSSFAIFSSSSSSSNLGTFLLTLVATSSPMESNLEIARKANPSVLASGSVSNLSNC >KVH97848 pep supercontig:CcrdV1:scaffold_144:426449:431944:-1 gene:Ccrd_000064 transcript:KVH97848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde 3-phosphate dehydrogenase, catalytic domain-containing protein MGSDKKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHELKVKDEKTLLFGEKPVTVFGIRNPEDIPWGEAGADFVVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHAMTATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYEQIKAAIKEESEGKLKGILGYVEEDLVSTDFVGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLICHIASVKA >KVH97833 pep supercontig:CcrdV1:scaffold_144:103776:103985:-1 gene:Ccrd_000031 transcript:KVH97833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3339 MADWGPVVIAVVLFVLLSPGLLLQIPGRNRVVEFGNMQTSGLSILVHTVIYFGLVTIFLIAIGVHIYTG >KVH97836 pep supercontig:CcrdV1:scaffold_144:103986:107765:-1 gene:Ccrd_000032 transcript:KVH97836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3339 MSDWGPIFVSVLLFALLSPGLLFQLPGRGRCVEFCCFQTSGVAIMVHTLIYFAIICLFSFAVRIHLYLDHILLIGSRSRSRDSS >KVH97828 pep supercontig:CcrdV1:scaffold_144:234297:234602:1 gene:Ccrd_000044 transcript:KVH97828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIVLTQIATGLSVLAGAALLKSVMDQNPMMGPGSGEFPRCSRCNGTGRVTCLCNRWSDGDRGCRTCAGSGRMMCSSCGGSGTGRPLPVRISVRPPNQPY >KVH97821 pep supercontig:CcrdV1:scaffold_144:5019:6580:1 gene:Ccrd_000027 transcript:KVH97821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLDAIFHYSSSSTSPPAAVSSHLSLNMSNRYSLADHSNTNHYSSYDQSDDDHDLRLIGENENGVSDNIFVADHVSPTTNSFSNCDGSELNTANKLNVKKGEKKIRKPKYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTQPGCNVKKQVQRLSKDEGVVVTTYEGMHSHPIEKSTDNFEHILTQMQIYSSC >KVH97832 pep supercontig:CcrdV1:scaffold_144:296569:300461:-1 gene:Ccrd_000049 transcript:KVH97832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWINVLFCLVIRIMRFLVIILPSSRYNPTRVIVFFSFITLFRETYNVFSMINI >KVH97857 pep supercontig:CcrdV1:scaffold_144:330996:343399:-1 gene:Ccrd_000054 transcript:KVH97857 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MENPIDIDKIKETLEPDATGGGGLQLPGKDRVVFRPPERKSLLGLDVLAIEKRGGSQVEGSFKVPREKASSMLAYLDEEVEKSTSLLTGLDEVGSGVSSSGRNFSSRRYRDSGGSKTSDLESQITEEERVGGTSARHGSDYNSEDVTPSSRSSRSIQHRSSRYDSTEHGMRRSDSRDHPRSESRGSRRYSTDRDERDHSESPRHGKEYREYGNKRSRYESSRRTPGRSDWDDGRWEWEDTPRRDSRSSSSRHHQPSPSPMLLGASPDARLVSPWLGSNTPQSGHAASPWDSVAPSPVPIRASGSVRSSSSRSGGRSRQPLSAENPLPSENEDFEKEKFHNTEITESMRLEMEYNSDRAWYDSEEGNTLFDADSSSFYLGDVASVQKKEAEVTKRLVRRDGTQMTLAQSKKLSQLTADNAQWEDRQLLRSGAVRDTKPPFLDGRIVFTKQAEPVMPLKDPTSDMAIISRKGSNLVREVHEKQSMNKSRQRFWELAGSKLGNILGVEKTAEQIDADTAVVGEDGEVDFKEDAKFAQHLKKGEAVSDFAKSKSLSQQRQYLPIFSVRDELLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTNGIVGCTQPRRVAAMSVAKRVSEEMETELGNLVGYAIRFEDVTGPKTVIKYMTDGVLLRETLKDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVQTLYSKSPCEDYVEAAVKQAMTIHITSAPGDILIFMTGQDEIEATCYALSERMEQLVASTRQAVSNLLILPIYSQLPADLQAKIFEKPEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYQNEMLPQPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGALTDLGWKMVEFPLDPPLAKMLLMGEQLECLNEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLQVKGLKKAREVRSQLLDILKTLKIPLTSCGPDWDIVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCATSVEPQWLAELGPMFFSVKDSDTSMLEHKKKQKEEKSAMEEEMEALRKQQAETEIRNKAKERAKRMKQQQTISMPGLKQGSSTYLRPKRLGL >KVH96881 pep supercontig:CcrdV1:scaffold_1440:46921:47217:1 gene:Ccrd_001023 transcript:KVH96881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MDPYTLSKAFLELYYTTFDTNRAGLANLYQETSMLTFEGQKIEGSQNIVNKLTSLPFQQCKHSITTVDCQPSGPVDSMLIFVGGNLQLAGEQHALKFI >KVH96882 pep supercontig:CcrdV1:scaffold_1440:90725:93675:1 gene:Ccrd_001025 transcript:KVH96882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 MASTLLLVVVFVFDLVAFALAVAAEQRRASARIAQDANSRYCVYESDIATGMGVGALLFLLVSQLLVMVATRCMCCGRALSPGRSRALAVFLFITCWVTFIIAEACLLAGSVRNAYHTKYRSVLSANPPSCETLRKGVFGAGAAFVVFTGIVSELYYVFYSKSDEGVIPTRDTGIRMGAFN >KVH96883 pep supercontig:CcrdV1:scaffold_1440:49991:51948:1 gene:Ccrd_001024 transcript:KVH96883 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDSFSLALLILLTGTLFCYWCFTHLRHNKLPPGPMPLPIIGSLHLLGNLPHRALHKLSQKYGPIMSIRLGCVPFVIVSSPDAAKLFLGTHDAVFASRPEIQASKYLSYGSRGMTFAEYGPYWRSVRKFCAAELLSATKINSFLGMRREEIGLMLAEIRAASTVHKVVNVNETVGGLIEAMTCRMLFGKKNDERFVFKTLIDDSMEATGTFNLTDYVPVFAWFDLQGLTKRFKSLSKDIDEMFEIMIKEHEERSSTCSQRPDQMDFIDVLLSLNHHNSNTHDELSSTIDRSSMKAILLDMVAGSIDTAKTSIEWIMSALIRHPRVMKKLQKELNMIVGDTKMVEETDLTKLCYLHMVIKETLRLYPVGPLMVPHESIEDTLINGYNIPKKTRVLVNVWAFGRDPKVWSENWAEFLPERFLGRETDFRGSDFQLIPFGAGRRGCPGMNLGLLNIGLVVSNMVHGFDWVLPNGMSPNDLDMKEKFGLTTPREKPLLAIPINRK >KVH96885 pep supercontig:CcrdV1:scaffold_1440:100105:100809:-1 gene:Ccrd_001026 transcript:KVH96885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MLRSGGSMVQNPGSSQPDPLNCPRCESTNTKFCYYNNYNKTQPRYFCKACKRHWTKGGTLRTVPIGGGRKNKRLRRPTRATITATTAARIINNPSKDQKNSLLPLDADHKVSFFKPITDSDRRDDEELYTNIEELKGLVSWDFYGGFIGCTMMQQSLDHGDDHHPILGFSKLSDANPSSRSLLEKMENDEDSTVTPRSNLLEQSNCNWNWNWNDLDTMVLEDLNKPWEDPEFKT >KVH96880 pep supercontig:CcrdV1:scaffold_1440:121310:121522:1 gene:Ccrd_001028 transcript:KVH96880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDFNLFPERSINIVEDDDGLRSSSFQQNKIVEKRFKFPNLVDPNGISVDDLGHHAGYYQIEHSHAPRY >KVH96884 pep supercontig:CcrdV1:scaffold_1440:107390:119199:-1 gene:Ccrd_001027 transcript:KVH96884 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF382 MTVGVSDGGMDSHTNKDLKSKEGNNQTSKKSREAERRRRRRKQKKNKPLSRDETGSKVDENGDGITGDDSGIQNSNPQKVMEQVEIEYIPEKAELDGDLDDEFRKVFEKFTFKESAASEENDKSEGAAVTAVSNKKASSDSEEEEQDDQQKEKGGISNKKKKLQRRMKIAELKQISSRPDVVEVWDATSADPKLLVFLKSYRNTVPVPRHWCQKRKFLQVIHQIRSNCCGKNMLHPRVGAAVTILEPGHGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYHEGKEFEVKLREMKPGTLSQELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEFGRPVYGDVFGQQEEPPNYEEEPVDKSKHWGDLEEEEEEEEEEEEMEEQYEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPEKPLYQVLEEKEEKIAPGTLLGTTHTYVIGGAPQDKPAGKRVDLLRGQKTDRVDVSLQPEELEAMENVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKDSKSKKKDFKF >KVH88195 pep supercontig:CcrdV1:scaffold_1441:3224:13907:1 gene:Ccrd_024407 transcript:KVH88195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGNGVESTMTILLVRSALGFMAVDFSAPKTILLARSALGLSMPSELMDSHGLSPSSFLSEEVQLPNERQIGFWKTDGMPDDYGPKDGKVYALGDSSLASLPLEKQTSSEPHMLKRFDVPNVYLNQDHKVHISLENNSVGAGRTIGHSIRRPLDNDRGNRVNVNMDHKSYLTSGNKFNVMGDHYENGLFSSSLSELFSRKCKFSPFSIPLFSLWFFQMQIYDPFPLSNLVHSAVRLSANNSMYGHSVGAAASHYEEEEPFESLEEIEAQTIGNLLPDDDDLLSGVTDGLDCKVQPGSGDDVEELDFFSSVGGMELGEDGGQRNYEISGGSSASQLGPSSGAMGEHPHGEHPSRTLFVRNINSNVEDSELRILFEQYGDIRTLYTACKHRGFVMISYYDIRAARSAMQALQNKPLRRRKLDIHYSIPKDNPSDKDINRGTVVIFNLDSTVSNDELHHIFGVYGEVKEIREAPQGSRHKSIEFYDIRAAEAALHELNKSDIGGKQLRLEPSQPGGSKRVNSPFPELDLDETSALLQMFSPPDFRTEISGPVSHVRVTPGCLDNETLLGLHSGTAPPATQLLDTEIQHGIPSSVSKSFSSIIGVESGGMPSSLLESAQLQNQLKYDFRSTSNYHPHSLPEYQDGLTNSILCNSPSSMAASLNCRMSERAGNRQFNRIGGSIGRSLELNDSGKRCLKFRVYFNSLSLSLLPVEGFVILRVKRDLWNGKGIYNRLLPPQPHNMMWPNSPSLINGVGNAHSAPLVHALPRAPAHVANTFLHINNQHVGSAPSVNPSIWDRRHSYAGESPDASVFHPGSLGNMRVPGNSLHPLDFVSHNIFPRMGGNSMDLPIPSKNIGLASHHQNNVMFPSRGQMIPMMSSFDSASDRARSRRNEGSSNQADNKKQFELDLDRIMRGEDRRTTLMIKNIPNKMKTDNALFFSIFSWQNKCNVGYAFINMTDPSLIIPFYQNSLKPQLQLQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVNVRSRVNKNRNSTNEESSQDVGNGEVSSNGDSSSGSTKDFE >KVH88197 pep supercontig:CcrdV1:scaffold_1441:20977:23320:1 gene:Ccrd_024409 transcript:KVH88197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKEIKSPEVLPETPPMVTMEENPESLKSKKLGMYFVESDNRRSPFAGGYTTLGTTPVNIHGKPITDLSRTGGWVAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFTSSANAVNIFLGISQASSVLGGFLADAYLGRYWTIAVFTTIYLLGLTGITLCATLNMLVPNQNDCNQLSLLLGNCEPAKRWQMLYLYAVLYVTGFGAAGIRPCVSSFGADQFDERSRDYNSNLDRFFNFFYLSVTLGAIVAFTAVVYIQMKHGWGFAFGALAIAMGISNVVFFLGTPLYRHRLPGGSPLTRVAQVLIAAFRKRNASFDTSEYIGLYELQGTRSAIKGSGKIAHTDGFRWLDKAALRLKEDEMNTSPWQLCTVTQVEEVKILLKLIPIPTCTIMLSVILTEYLTLSVQQAYTLNTRMGHLKLPVTCMPVFPGLSIFVLLALYYTVFVPLSRRITGHPRGASQLQRVGLGLVISIVSIGWAGVFERYRRNYAIRQGYEGSFLSAMPGLSAYWLLIQYCLIGLAEVFCIVGLLEFLYEESPDAMKSIGSAYAAVAGGLGCFVATILNNVINSVTGGGDELEKRQNSWLSQNINTGRFDYFYWLLTGLSIINFCVFVYVSRRYKYRVKA >KVH88198 pep supercontig:CcrdV1:scaffold_1441:28759:32698:1 gene:Ccrd_024411 transcript:KVH88198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGVSSLFEESESSEDEDSTAIGIPPFDKSSSPSEESKAEPEAPPLRPLLINDSYGRFGTSSFYPEAEVVALSPRTLMATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRPANIQVKKRVYVCPEPNCVHHEPTRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHAKICGTREYRCDCGTIFSRKDSFVTHRAFCDALTEENYKMNLKVGATGGVLQELSGSCTNTNIMSISNHDKSLSLNSHMLNDHMDTMFNQRTMRPCFSSSVVGANNSPMVAYTSATALLQKAAEMGAKVSDNTITPILLRGFTGYSTSSFNSSTISVRHVSSMDNCNNSGLHAVNPELFDKNMEIGRNGRTGYGISTTTESGLYDSSLLMHSSKENSGNLLERQVFMGGGERTTVDFLGVEPGGHSSLCGKRDYDVSLPYSHEHQSLHQQQSDW >KVH88199 pep supercontig:CcrdV1:scaffold_1441:25034:25529:1 gene:Ccrd_024410 transcript:KVH88199 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone reductase complex 1 MLRQ subunit MMNWQRSNFVSSSTRPNNNRHTSSGAKLKSRADLFPIYILLGFTGGAVFLAIKSVTQQLFHHPGVHVNKANRSMVPEVDRPDSALASGDKFITKSVLRKVAHIQQRDDVIPMDSRXPDIYKSRRSDDSTTLKTVGVEPRH >KVH88202 pep supercontig:CcrdV1:scaffold_1441:81153:85392:-1 gene:Ccrd_024415 transcript:KVH88202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide exchange factor Fes1 MGRSLLTFALMVVVTAVEISRAERVNKSASGGLLWSTANGDGHLVRNAETDESLDDHDELDGGFPSLDGMLQWAIGHSDPAKLELKAHDVQQLSTDELQKRQKEIKELVEKLEMPSDAKLMRIAIDDLSNSSLSLEDHRRALEELLVLVEAIDNANGM >KVH88200 pep supercontig:CcrdV1:scaffold_1441:64609:65163:-1 gene:Ccrd_024413 transcript:KVH88200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MKKGTPVFLKNIISLLSSITKSKSLAIKSKVEAMKARVIIFSLLKNKKLPLTLKARSISHRIHALLLGGTASPEDIVNEAINVDNLQIVFHEANSCEDDDDDDKKYPDLRHSLFDQEDDLDLANPSASAIDMVKNSMEHDGEDFSLEDEIDHVADLFIMKFHKRMRLQKLESFKRYQDMLERSS >KVH88203 pep supercontig:CcrdV1:scaffold_1441:67335:68540:-1 gene:Ccrd_024414 transcript:KVH88203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVIVLSDLHKIGGLSLVVGELSNSDPEIRKTCAWIVGKASQNNPVVQKQVLDLGALPKLMMMVKSSFIEEAIKALYAVSAIIRNNPNGLKLFYSGGGDIMIQGILSNTTADVRLHRRSVSLVADLAEYQLEYRSKLELPFFSNCALLRPVIDLTTLDDLDLLEKVLFAIKNVLMLKSSEHLVVDGFCGLNGALERMRQQLQQFILEENHREYAIDIEGLCKEVYLIYLQKLKKVHGLAIHY >KVH88201 pep supercontig:CcrdV1:scaffold_1441:43267:43962:1 gene:Ccrd_024412 transcript:KVH88201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MTQDLMVCRSDSFYIGQKIPPLSQHDRLQPGHTYFLLPTRLFHSVLSFVTIASFANSCQHQDHMDDSNANIMKMKAAFLKKAAASSCSPFDIQKTSSGTLRIRVSEIFISQLMMDQPNKELIDLETDLLCTTPQLHKEYKQLVVGSRRGWKPKLEMIKETPPPIGKKRKVKMLLSASISRMKRKLKKNNSSSSESQPHQLIKKKKKKTKKSMKKPAVSSASIKSNKSSKNK >KVH88196 pep supercontig:CcrdV1:scaffold_1441:15403:17350:-1 gene:Ccrd_024408 transcript:KVH88196 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MMEVNSKFLNVAVLLIATLFAAKIISAFLIPRSRKRLPPLVKAWPLVGGLLRFLKGPVIMLKQEYPKLGSVFTLNLLNKNITFLIGPEVSAHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTESLRVTKLKGYVDQMVTEAEEYFSKWGDNGEVDLKYELEHLIILTASRCLLGEEVRNKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDQARKKLAEIFASIIAARKQTGKSENDMLQCFIESKYKNGRPTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLANNKYMSAVVDEQKKLMEKHGNKVDHDILSEMEVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTKEGREYDIPKGHIVATSPAFANRLPHIYKDPDTYDPERFDADREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELISPFPETDWNAMVVGVKGKVMVRYTRKGVPVN >KVI11407 pep supercontig:CcrdV1:scaffold_1442:37246:41069:-1 gene:Ccrd_010184 transcript:KVI11407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIFSSLHRLLEQQVQFQKLSNNRLLRLPILHMRIRFGTSAPTLSLLEAKSYRINFDVDLSLKIVVDVIDLQMGRSFKNHRAKLQEHFLKCHGQEDVERAKGMKPTDSNVTNDAWHILCDYWSSEKFHVNCFWILICFVL >KVI11406 pep supercontig:CcrdV1:scaffold_1442:16118:27836:1 gene:Ccrd_010183 transcript:KVI11406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MDTSWMSEPRSSTQFLKGLGEFLDLECKNNASASGEIKCPCIKCAYSMWVTREKATEHIIFKGIMKGYNTLIAHGEASSTEHLEVTFDVDEEIIGIDGTVGVSTGEFPGYTIISSLSFLTNKRTHGPFGKATGTPFAVPWNKGSFAGFYGLAGYYIDGIGVYLKASQETARVGLWGTESSTGPQYRWSFCLEKNHKLTKITIDHGDMISSLIFTSEDCMGSVHVSNKAGGYSDGSTISEGLKWDTYWEDNLIVVFRNKQKEPWTFWFCNRNLFLSAMEQRIAENNQENIQWPSRDMIRTRGL >KVI11405 pep supercontig:CcrdV1:scaffold_1442:55635:57910:1 gene:Ccrd_010185 transcript:KVI11405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MEKVEHLKIPLQKIREATSNFDEKHFIAKGGFGSVYKGELSWSNSSITVAVKRLDPKSDQGDHEFLMEITMLSSYKHKNLVSLVGFCDEEKEKVLVYVHESKGSLDNYIRNPNLTWKQRLQISIGAAHGLNYLHDDVGPQHRVLHRDIKSANILLNDEWEAKVSDFGLSKIGPANLQHTFLVTNACGTFGYLDPVYYRSGVLTKESDVYSFGVVLFEIMCGRLASEEGKMFLGPLAETRYEENKLDEIIDPNLRKQMKVNALNTFSAIAYQCLKNNRSERPTMARVIEKLENAFEIQVSLKTPEIARVGTWGTKSIGGPQNRWDFLLEKDHKLKMITVDHGDLIYSLTFTSESKGVLYTSNKAGGWNGGDIVSKVMLEDDEEIIDINGTVGVSKGQYSGYTIISSLSFVTNKMIHGPFGQATEAPFSVRCEKGXFGGFYGLAGYYIDSVGVYTRASSEEIARVGIWGTKSLGGPQNQWSFQLDXNHHLKKITIDHGDLIYSLMFTTEYRGLEQTTXKAGGWNGGDIVSEVTFAWDEEINAISGTIGVSGGTYAGYMIISSLSFVTNKKTHGPYGRATGTPFTVPWDKGSFAGFYGLAGYYIDGIGIYLKATL >KVI06730 pep supercontig:CcrdV1:scaffold_1443:6601:7923:1 gene:Ccrd_014915 transcript:KVI06730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEIRSSYTYMGRTFNNLSTTNDDSTAFSDCNSDRSGEFPMTSSQSRRLLLSCAPENYDDLIRQLVSGLESSSIDDQKQAAMELRLIAKNKPENRVKIARAGAIRPLIFLISSSDPQFQEYGVTTILNLSLCDENKEQLACSGAIKPLVRALKIRTSTAKENAACALLRLSQLDENKYAIEWSGAIPSLVSLLESGSIRGKKDASTALYSLCSVKENKIRAVEAGIMKALVELMADFRSNMVDKSTFVMSILVSTAEARSSLICDESVVYRSMVAREGAIHPLVALSQSGTNQAKQKAETLIELLWRPRSGNIGGSVDM >KVI06729 pep supercontig:CcrdV1:scaffold_1443:40710:48077:1 gene:Ccrd_014916 transcript:KVI06729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, N-terminal autoinhibitory domain-containing protein MESYLNDNFEVKPKNSSEEALQRWRKLCWVVKNRKRRFRFTANLSKRFEARAIQRSNLESLRTLMLVSHAAIQFLNGITYSIPEEVKAAGFQIGPDELGSIVEGRSVEKLKAHDGVEGIVKKISTSTNNGISTSEDLLNQRKNIYGVNQFTESPSKGFFVYVWEALQDTTLMILGLCAFVSLIVGITMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITIQVTRNDCRQKISIYELLVGDIVHLAVGDQVPADGLFVSGFSLLINESSLTGESDPKTVTAENPFLLSGTKVQNGSCKMVVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFSVLVQGLFVRKMQEGSHWTWSGDDALEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSATNICSDKTGTLTTNHMTLIKAWICGEIREVNGSTGVSAFCSTIPDSTVGMLVESIFNNTGGEVVKTEKNTTEILGTPTETALLEFGLMLKGKQVELQKSKLIKVEPFNSEKKRMAVVLELPGGSFRTHCKGASEIILGACDKVLNSNGEVAPLGVELNNHLKDTIELLANEALRTLCLCYKELGNEFHAKDPIPFEGYTLIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNIHTAKAIARECGILTDDGIAIEGPDFRVKTEEELHEIIPKIQVMARSSPMDKHTLVKQLRTTFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRTPVGRKGNFITNVMWRNITGQSLYQFVVIWYLQTRGKAAFYLDNSDADLVLNTLIFNTFVFCQVFNEISSREMEKIDVFKGILKNYVFLAVLTCTVIFQIIIIEFLGTFANTSPLSLPQWFASVTIGFISMPIAAAVKMIPVGSQ >KVI03096 pep supercontig:CcrdV1:scaffold_1444:24486:26097:-1 gene:Ccrd_018609 transcript:KVI03096 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MATSNNWTHASSHRFTPTPRSPRFGSEPLKYGPLMHLQLGELSTIVISDPRWAKEVLTTHDIAFADRPVVLTTEIVAYQNTDVVWSPYGDYWRQLRKIVTLELLSVKKVKSFHYIREDECYNLVATLRKSAGSPVNLSQMIFDTIAKIVCRAAFGKGCKDQEEFIDIVKELFKLTGGFDVADVFPSKKIIHTLTGKRPKLESIHKRLDKILSDVISEHPGERSNTADHQESLLEVLLRLQASGEFKLTTKNVKAVTLDMFGGGTDTSSATLEWAISELIKNPKVLKKAQVELREALKGKERILETDLQDLDYLKLIVRETLRMHLPLPLLFPRECREPCKLGGYDIPVKTKLLVNGWAINRDPAYWPNPDSFIPERFRSNPTNVMGSEYEYLPFGAGRRMCPAAVLGIANVEVPLAHMLYYFDWELPNGAKGEDLDMVEVFGASVQRKNELHLVLKPYNLAQN >KVI03097 pep supercontig:CcrdV1:scaffold_1444:71595:82829:-1 gene:Ccrd_018610 transcript:KVI03097 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEMTIVIGLALAVLVFFLFKFLTPSKTKKNLLPQPWRIPILGHMHHLIGTIPHRGVTELARKYGPLMHLQLGEVSTIVVSSPRWAKEILTTYDITFADRPETLTGEIVAYHNTDIVFSPYGEHWRHLRKICTLELLNTKKVKSFQSLREEECWSLVNEIKASGSGKPIDLSKCISILIARIVSRATFGKGIKDEVGFREIVRETVQLTGGFDIADIFPSKKVLHHLSGKRAKLTKIHNKLATLINNIISDHPGSQLSSSQESLLDVLLRHKDDAEFPLTSDTVKAVIFDMFGAGTDTSHVTIEWAISELIKCPRAMEKLQVKLREAIKGKERIQEEDIQDLMYLKNVIKETLRLHPPLPLLIPRECREPCVIDGYDIPKKTKLLVNVFAINRDPEYWKDPESFIPERFENSPINIMGSEYEYLPFGGGRRRCPGASMGLANIELPLANLLCYFNWKLPDGASHEELDMTELFGAAIQRKTRLVLVPMFQEIVDGSR >KVI04362 pep supercontig:CcrdV1:scaffold_1445:56443:57890:-1 gene:Ccrd_017327 transcript:KVI04362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3, C-terminal MKKAIELTEQADTKGIQEQIAGHIDGKEIARVEWIREGRVPRYLCSAFSPLLPIGTSGLGLTCNTEXIGDSLLLGLLGGGPAYGSNQYALKRNIQALQGALGTLNSCLNSDLHLRTISVNIGILGAMKEITD >KVI04363 pep supercontig:CcrdV1:scaffold_1445:56231:57252:1 gene:Ccrd_017326 transcript:KVI04363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbZ, reaction centre MTLAFQLSVFALIATSSILLISVPIVFASPDGWSSTSLWIGLVFLVNPSPLVPIGSRGEKALHLGINNTKTLL >KVI04357 pep supercontig:CcrdV1:scaffold_1445:83182:93559:1 gene:Ccrd_017330 transcript:KVI04357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MVGGKWWCFSDRSSGDDVADLDPILLVSGVGGTILNSKPKSWFGLTTRVWVRILLADLEFRKRVWSLYNPDTGYTEALDDSSDIVVPQDDYGLYAIDILDPSFWIKCLHMTDVYHFHDMIDMLVKCGYKKGTTLIDQAMDGLKEKLETAYKAAGGRKVNLISHSMGGLLVSCFISLHSDFVEGLESYFFVSRWSMHQLLVECPSIYEMLPNPEFKWKKQPKIVVWRNYSENGEDSVKLESYDPSGSLEYNKKTIPLPFNSSIYAWASSTRDMLNNVQLPLGIAFYNIYGTSMETPFDVCYGSETDPIKDPSEICHTMPEYSYVDGDGTVPAESAMADGFAAIERAGIPGAHRALLRDETVFLYLRKWLGIEEQASTRVKTSKVVDGDST >KVI04358 pep supercontig:CcrdV1:scaffold_1445:108485:108902:-1 gene:Ccrd_017331 transcript:KVI04358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 706 GSSLVICRILRFIVSLLLIVHRNPNRLQNQVVMTGKAKPKKHTAKEIAAKVDAATTNRGGGKAGVADRTGQEKGGHAKYECPHCKITAPDVKTMQIHHDAKHPKIPFDESKINNLHALLAPVADTSKPRPGVRGSLKK >KVI04360 pep supercontig:CcrdV1:scaffold_1445:57638:60475:1 gene:Ccrd_017328 transcript:KVI04360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MSAQSEENYDEALQNYYEAMRLEIDPYDRSYILYNIGLIHTRYTLHHDFESEERFQEMADLFTLSISEPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTLRAFNPTQAEETYSMVIANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWISALGVVGLALNLRAYDFIVFLKKKLL >KVI04361 pep supercontig:CcrdV1:scaffold_1445:51741:53509:1 gene:Ccrd_017325 transcript:KVI04361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MHTALVAGWAXSMAXYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTIMNQGIWNYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERVSCFGFGAFPVTGLYGPSIWVSEEIPLAANPLDSTVVASKCANVVAGAGSVKSSADTGEFILEVGELLKLPENSISKL >KVI04359 pep supercontig:CcrdV1:scaffold_1445:58238:61409:-1 gene:Ccrd_017329 transcript:KVI04359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase MatK, N-terminal domain-containing protein MNLFIEGSEKRVRISCGNDLEEPKPKIVVFASNNIMEAVNQYRLIRNLIQIQHSTHRSDCNFEYGIQRDRITTENFPLSLRLISSLEKKVVVKSDNLRSIHSIFSFLEDNFLHLNYARRFKARPTTPRALIHKPVTGTNNIKKCNQRLLEKATPKIWDQKRLAMTIE >KVH99528 pep supercontig:CcrdV1:scaffold_1446:10612:18234:-1 gene:Ccrd_022237 transcript:KVH99528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MKMGENAAGKHQQAFDLGGGFAPQAPSKCFDDDGRLKRSGTVWTASAHIITAVIGSGVLSLAWATAQLGWVAGPTVLFLFSFVTYYTSCLLAACYRSGDPVSGKRNYTYMEAVQNNLGGFQVKICGFIQYFNLVGVAIGYTIAASISMIAIKRSSCFHEKGHQNPCGVSGTPYMIMFGVVEILFSQIPDFDQISWLSMLAAVMSFTYSTIGLGLGVSKVAENKKIKGSLTGISIGRVTQTQKIWRSFQALGAIAFAYSYSLILIEIQHKTMKRATFISVVTTTVFYMFCGCFGYAAFGDMAPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVYCQPLFAFVENTAARYFPESKFINNTVDIPIPIGGYKPYKLNLFRLVWRTLFVCLTTLVAMLMPFFNDVVGILGAFGFWPLTVYFPVEMYIVQKKIPKWSTQWVSLQILSVACLIISVCAAAGSFAGVVIDLKIYKPFKTMY >KVI11306 pep supercontig:CcrdV1:scaffold_1447:5351:7741:1 gene:Ccrd_010285 transcript:KVI11306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNPLIRSFCQTRPCLQSLYLFKRLLHISEPEISTFPSILNSCSSLPFPDAGLVNHGYLIKCGGFGCCVNVGNDFLWMYVKLGEFQDAYKVFEEMPESSGDVFDSIIHWLRRNRTYEDVVIAFEKMVEFCVFPSVQSVCHVIRAYSDLNSIEKGHFVHDYVKQNGFDDHVLVMNLLISMYVKMGRLDLAQQVFDKVIHKDIVSWNSLFTGYAQNRNWHKVFDLFLCLRRAENLVPNVVTFLALLSSAGHARGVGIGMSIHGHLICMGLYLDVQLGTAIFDMYAKCERLDYAQIVFEQDLINKTLVSWNALIAMYKQKGYSQEAADVYEWLVMEPNVKPDSFSFANVLPAYANLGNIQRIKSIHSMIVKRALDMEGDIVLATSMLDAYGKCSDVKASELLFACIHHPNTATWNALISVYNLNNQIEKGMIIFREMVRCKVLLDPITMVALFQSCGQMDSLKQGNMIHGLGLSKGFSSHLMVGNALIDMYMRCGCTKSAEVFFHSMPRENIVTWNTMICGYMKAGCSSAGLGLFHQMQSEKGYKPDSVTIISLLRGSLAISAGYVELFHGYILKLGLASETLVMNTLIDSFAKIGIIEKARALFTQNDFRKDQSSWNIMIAGYGMNGQGSESSKLFAQMQENGYVPDSITFTSLLSSCSHCGLIEDGCKFFDLMITKHKIQPTMEHWTCIIDMLGRANGLEEAYDVIRSGTYQNSSKCVPLDSIAVWGALLSACRTNMNMKLGELAGQKLSKMESHCLYHSLLSNLYSSNKKWGEATEIRRVFEDRKGMKKPGLSSLKS >KVI11305 pep supercontig:CcrdV1:scaffold_1447:58541:75949:1 gene:Ccrd_010286 transcript:KVI11305 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold MSHSHGTIAPDVMVPAIVPLSQLHGTISLMHQLSGDDLHVSCYYIDPNLNAYAISSAQVYSNTPADFDFKLESLAQGFQTLTDFAEHLAATVDIVFPAIHGRFGEDGGIQELLEKSNVPFVGTRSNECRQAFDKYDASLELKKQGFITVPNFVLQGSELDEFGLSRWFFDNQLDANSGKVVVKPTRAGSSIGVTVAYGVTDSLMKVREIISEGIDDKVIVEIFLGGGREFTAIVLDVGSSFDCQPVVFLPTEVELQSSNSDDISERDAIFNYRRKYLPTQQVVYHTPPRFPLDVIQKIQEGASILFKRLGLRDFARIDGWFLPPSSSTSSSKANDFGRTESGIVIFTDINLISGMEQTSFLFQQASKVGFSHSNILRTIIQRACLRFPSLSSYCGESIPSPRRSKSSKLGEPVPEHQGYRKVFVIFGGDTSERQVSLMSGTNVWLNLQVSGDLEVTPCLLAPGNDASSEVNDVHNQKGVSSRTVLSLPYSLVLRHTTEEVLDACTEALEPARAALTSHLRKQVMDDLANGLKKHNWFTGFDISDEPPMKFTLEKWIKLAKDVQATVFIAVHGGIGEDGTLQSMLEAEGVPYTGPGFSASRTCMDKVATSLALKHLTNFGILTINKDVRRKDDLLNAATSDIWHEVISKLQCETLCIKPARDGCSTGVARLCCAEDLGVYVKALEDCLPRIPSNSLAKAHGLIEMPVPPPEFLIFEPFIETDDITIVSKSTNENERLLWEGRSRWVEITVGVIGKRGSMRSLMPSVTVKESGDILSLEEKFQGGTGINLTPPPLSIMSSEALMRCKERIELIANTLELEGFSRIDAFVNVDSGEVLVIEVNTVPGMTPSTALAEEPPVYPHRFFRTVLDLGSERFM >KVH88194 pep supercontig:CcrdV1:scaffold_1448:91745:103199:1 gene:Ccrd_024418 transcript:KVH88194 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MIGRNILLSNTSIVYGGALWDIFRRQDVPKLTEYLNKHQKEFCGINNTPMRSVLKEEFGVEPWTFEQYLGEAVFIPAGCPHQVRNRQSFIKVALDYVSFDNVEECIRLTEEFQQVKDLRSLVILVLNKHLLGLLDGKMYKDDPLTAMYGPMTRSRRKKMQEALANLIISAPLEEIQDVKPNWKNYLMLLG >KVH88193 pep supercontig:CcrdV1:scaffold_1448:955:56717:-1 gene:Ccrd_024416 transcript:KVH88193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLMDSEIGSLASSAKGFRSSARGFRVSSFRASSTVFSISLFLLWSSLDFSGYFSPSLSSSTLVHGRGRREASLYGLHTGQFSDYNSRVHNGHGWAERSWKEGDKGLAVSVDGAMKGCGFDTAHAAARPEAGLIKCSNFRHKKCCSYKIKNLTKEEFVHILRCQSTGFSRGXSKYRGVTLHKCKE >KVH88192 pep supercontig:CcrdV1:scaffold_1448:56720:61285:-1 gene:Ccrd_024417 transcript:KVH88192 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MKNTDDFDTGLSSYNHVEGLTNQDIEGEIGLIEDVVSHGMDERAGYKYNNDMQDAKVENKYFVCNKSGFPLKKSFDSLIRHKHQREVRNSNTKRTRCTACVKFRLMKGTITYECYGFEEKDNHFLLRHNDIDFSRKARQMKILDQCFVHDTSISNIGSILSHKFQTSLRECYEYGGPMVVDYQNDKRDSDNFVGCDDAKVLVDLMTKKRISIQNYSEFGDVISFDATLCTNIHFMVFVSFTAVDNYNCIVVVGLTLVGHEHNPHHHHKYLAMHRWKDESLLAQQQQQQQQQQQQQQQ >KVH92012 pep supercontig:CcrdV1:scaffold_1449:34178:45370:1 gene:Ccrd_005959 transcript:KVH92012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MNPTSTTFDSTSDQNPIIGHHRISIPSAQHNQPQRPLNLPRPDLLVGGREDYNEICVPSYKAIITGNWKATKIILDKRPELVGFSITESYETVLHIAVLGKSIWFVEYLVSLMAKEDLELQNGNGQTALCLAAIAGHIKIAKILVKKNRALLDIPDSQGMMPLYMAALFGNHEMVKYLYHNSQKMTGQFWTHQNRECVLVKCVEANLFDVALQIVTDIPELAINGRVLGLLARRPYAFDAKTPSIIRRITITLCRLKMIPELDSHALQLLRVIWTEIVKQPKAQIDEIIRGPPDPIKDDEKQTRSEKDKQEALVLLRTISENIAKMPAKIFNLFRGPPDEKEMIWKDVKPKYSSRVLFLAAEMGNTAFVVEVIRQYPHLVREEVEAILPPPFREKKNAAGLTPHEVFTKNHKDLFSKGEEWMKETASQLMVVASLIATISFAAAFTFPGGYDQVTGMPIFLKKELSKIFIIFDGLSFISATSSILLVLSILGSDYTEHDFMISLPQQLMICLASLFISIATMILTFLINFLLLYQNNSKWIPIFISSFAAITYMIFGSPKFPLLGRFLYGSRFLFQRESRMLKKPIF >KVH92011 pep supercontig:CcrdV1:scaffold_1449:103107:108995:-1 gene:Ccrd_005960 transcript:KVH92011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPFLRRREDYNKICVPLYKASLTGDWKAAKAILDTRPELVRFSITENNETVLHVAVLGKSYLFVEYLVRMMETKDLLLQNKNGQTALYLAATGQDVRRAKILVKKNKNLLEIPDNQGMMPLYMAALFRNHDMVTYLYRNSQKMTGEFWTPKNRGWVLVKCVQADLFDIALQMAKDCPELAINGRVLRVLARKPYAFNDIRPNIIRRLIRLLCHPKIGIPDMESHSLKLLRIMWTEIVKLPKDQIDEIIRGPPDQTKEDERQTGCEKEKHEHEALVLLRTISDNIANMPTKIFNLFGGSVDENTRCKDAKRKYSCRVLFLAAEMGNTAFIIEVIQQYPHLVWEVNDNNQSIFHVAVSHRHEGIYNLLYEIGSLRNLIITLEDKNGNNMLHLVGESAKINRLQNIPGVGLQLHLETLWFKNAAGLTPHEVFTKNHKDLFSRGEEWIKETAAQLMVVASLIATISFAAAFTFPGGYDQVTGVPILIRKQLSKIFIIFDGLSFISSTTSILLVLSILGSDYTEHDFMISLPQQLMICLASLFISIATMILAFLIHFILLYQNTFKWIPVFISSFAGITYLIFGSPKFPLIGRFLYGSRLLFQRERRMLKRPIF >KVH97553 pep supercontig:CcrdV1:scaffold_145:159010:173079:-1 gene:Ccrd_000326 transcript:KVH97553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MVVVDIVLYQVLHRLFALRSGDEVFQKKVIFLVFTTGDALLTPQEYPTTTNMKTVLFFMFSLIFYSNFAFGQAAKLDQKEVKVLKELGEKLGLAGKKEWNLDKDPCSGEGNWGRGEYRKGFEVSVECDCSFDRNATCHVHRIALKSQNISTAIPPEFSKLRYLKELDLSRNCLNGTIPPQWATMRLVDLSLMGNRLSGPFPRVLTRITTLEHGRKSFFRIPTPRDWEHENTLCSNAFTGQLPAALAKLTNLTDLRICDNNFTGKIPDFIGNWTEIGKLHIQGCSLEGPIPSSISALTKLTDLRISDLKGRGSSFPPVQKMEALSKLILRNCFIHGTIPNYIGNMRKMKTLDLSFNNLTGEIPPSFSQLGKTDYIYLTQNNLTGPLPGWIFSSTKTVDVSYNHFTLGTSGPNDCPREGTINNIHSCLKKDFPCPNSARQPYSLYINCGGREVNINTATKYQADLEAKGASTYYMGRNWAFSSTGNFLDDDIDSDLYILPNTSSLHNVSTSETRLYTTARTAPISLTYYGLCLINGNYTVRLHFAEIIFSQDNTFNSLGKRVFDVYVQGELKLKDFDIAKEAGGTGRPVIKTFIVNVTSKTVKIQLFWAGKGTTGIPLRGSYGPIISAISVDPNFKPPVYGKKIEVGLIVGTVAGGLFFIFLILLILWRKGYLMGKKAADRELRGLDLQTGIFTLRQIKAATKNFDLSNKLGEGGFGAVYKGLLSDGTIIAVKQLSSKSKQGTREFVNEIGMISALQHPNLVKLYGCCVEGNQLSLIYEYMENNCLSRALFGIARGLVYLHEESQLKIVHRDIKTSNVLLDKDLNAKISDFGLAKLNDDGNTHISTRIAGTIGYMAPEYAMRGYLTPKADVYSFGIVALETYLPEEAMTMLNVALLCTNASPTLRPTMSQAMNMLEGRTNVQDLLSDPGFSTINPKVKALRNHFWQHPSETLTMSDDPCTESLLSQS >KVH97557 pep supercontig:CcrdV1:scaffold_145:311728:313434:-1 gene:Ccrd_000340 transcript:KVH97557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MQISSWVFKILILLLVYGSIWSNAQTTPSSGSVCSVVDRAALLGFKARILKDTTGILSSWIGKDCCGGGWEGVQCDEVTGRVTRVVLQRPPDRFSGIYMKGTLSPTLGDLKFLEVLVISGMKRLSGKIPSSFAGLIHLTQLVLEDNSLEGTIPSSLGQLVLLQTLSLSGNHLIGSIPPTFQYFNKLLQINLARNSLSGTVPEGLGHLASLRYLDLSYNMLSGSIPASLGRQSNMTFLDLSNNRLTGQIPDSLCNLPYLLDLSLSNNLLTGQVPPRIGQLKSLTTLSLSFNQLVGQIPESISRLPNLWSLNLSRNALSDPLPSDALSKGIPSLLSIDLSYNKFNLGTIPNWITSRQMSDVHLAGCNLKGFLPIFTKPDSLTSIDLSDNHFTGRFSGFFQKMSSLQKIKLSNNQLRANLSEILLPNGLALLDLHSNQLSGSLSGLLRSTSSFLESVDLSNNQITGNIPGTISKLVQLKKLDVSRNHITGTIPPSLGKLSKLGWLDISVNSIGGKIPTSLLVIRQLRHANFRANKLCGEIPQGRPLNIFSSAAYAHNLCLCGKPLPACKHA >KVH97562 pep supercontig:CcrdV1:scaffold_145:63397:64029:-1 gene:Ccrd_000317 transcript:KVH97562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTNKYNSLNFNDIYEKKIGATKSSRSSSSSISSTTPSTNKTVLSNSRIHGNMLVLSRPSPKPIVSPRQPSPPPATQPPDQPPVESDSISLRPLGRTGLAPPLSHLTFPLIQSKDSSVLPKTNRFVPPHLRPGFHGREVKLEPEIQKQTGCGMRSNIRQGLLRSPIYKREEGRPKSGGGYERVTTGFEGNPKAMNRPRSSGSTRPYSSG >KVH97543 pep supercontig:CcrdV1:scaffold_145:332226:339360:1 gene:Ccrd_000342 transcript:KVH97543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3755 MDTGFQYDRALNQHAISFQTSAIASTSEMIMMGDYYLMNNRTAGTRFSGNSGIANSSSGFTQPGNSCGSVLADSVQGLKHDAGLAVEWSVDEQHKLEEGLSKYANEPSIMRYIKIAATLRDKTVRDVALRCRWMARKRRKQDEPNIGKKLKEKKDNLVESSSKPSISSLPTFNVAPFSVTMNNQVQIDGITFEALSGSIRHLLEQNNQVLGQISANISSMKLQDNIDLFSHMKNNITAILNDMRYMPGPPLPVSLNDDLAHSILPTTSQTMMFEASSGMYMKQEPGF >KVH97540 pep supercontig:CcrdV1:scaffold_145:427726:431479:1 gene:Ccrd_000351 transcript:KVH97540 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MQFSSQIRAKDLQLFVFFSSIDFNHIMGYQKPSGSVSVFVSVLILVLSLDCMPCNAQLSTTFYDDTCPNALAAINTVITDAVTTENRMAASIIRLHFHDCFVQGCDASILLDDTPSEKTTGANSGVRGYEVIDAAKAAVENICPGVVSCADVLAVAARDASVAELPTLITSFARKGLSEREMVALSGSHTIGQARCLTFRGRIYNNASNIDPIFAANLRANCPTTGGDGNLEPLDLVTPNRFDNNYFTNLEQRRGLLISDQTLFNGGSADSIVQGYIDNPASFASDFAAAMVAMGDIDPLTGSDGVIRTLCTTAT >KVH97570 pep supercontig:CcrdV1:scaffold_145:275514:285888:1 gene:Ccrd_000335 transcript:KVH97570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVEIIMMHHHQQQTTAIPTAPISSPLPSSSSIRSSHTTTTTTATNNSTQQQQLPSPISLAGHVVTPISAAHPTGEPAVIMPTSLPLARVRLSDLVPYDGAPTDSYLRAVEALSGSLTRHNAAVIELGTEDGALMRCGLESARLYFRTRAGGNWSSSNGGSGVYKYRAGRALEDTDSSPPCMADVFRCMGKAARAALSAMARHLRLRSDVFNHLLDDNPLPAIEASSSVLVASYSHTSSQKGKGAIGGGNVTTNHESEKGLLTLVSSDAPGLQVRDPNGRWYLADVGSAPGDLLLLTGKALSHATAXLRPAASYMAATDHSPATANTGRSSLAFRLMPQGNAILDCSPIRTAGHVIPQSYVPISVSQFMDDLSADEDAPCNGSDNAAWKNSNKEPSLRSVLSDSLSGSFLEDAMLVPCGHSFGGLMLKRVIETGRCTICDAEFGTGSLIPNHALRAAAAAVKREDDRRLFHNAAMRKQRREVGDRWVNGDVTSENGTNRCVQYPFSVNEKVVIKGNRRTPDKFVGKEAIITSQSLNGWYLVNIIESGEKVRLQYRSFRKIPNSPSGPVDNS >KVH97567 pep supercontig:CcrdV1:scaffold_145:286904:287887:-1 gene:Ccrd_000336 transcript:KVH97567 gene_biotype:protein_coding transcript_biotype:protein_coding description:BYPASS-related protein MFIVEKAQLSSHFRSFTKKSKHVPRNYQLDARSFDEKVIVLLKTLDLAPNSSEISLSWMSTAVSFLSTVHSEAEDQISNLKSEADDYQALYMEYSLKVLDLCNLISSAVQRLTDRRLLMNFSLRLLNFTDQIPSPEKLNKAKDALGRLLNDSQESAKEKGRRAKDLMEELAVLLVKLLPRSKTSSGRDLIRRTFYALGVLTVFVGSVFVAVLYGDFDVIELRVPAEFLWADSVNGVRTRIFDLIKPKQNGLLELGNVTSQAVVVRDLLQVVVSDGGDNVDDRVRLEDGVKELGTAAKKFSDGIDALTNGVNGMFRTVLKTRNGRLDI >KVH97542 pep supercontig:CcrdV1:scaffold_145:346909:349956:1 gene:Ccrd_000343 transcript:KVH97542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MVVVWEIWAIVILGFSQVLANSQNLTCNSSDLRALRGFMDGLESPIDGWWPTNSSSLSSSFDCCNWVGIKCNSNSGRIVGLELPKKRLTGSLSDLISNLDHLKTLNLSNNFLTGPLPISLFHLTHLEVLDLSRNGFNGVFPVSIRLPALEELDFSDNFFSGSIPGICVNSTGIRVLKFAVNSLTGIIPPELRNCSFLNHLCIASNSLSGAIPEFLFQLPRLGVLDLQDNMFTAISEIGNSSGGLISLDVSCNRLSGNLPDFFRKFPNLSRFSARSNGFSGGIPASLLNSPAISFLNLRNNSLDGSIEFNWSMMTNLTSLDLGSNNFSGFIPDDLSSCRKLKAINLARNKFSGQIPESFKNFRSLSYLSLSNCSFSNLSTTLKILQHCPNLTVLVLTMNFHSEKLPDDSFQFNALKALVIANCGLVGSIPPWLKGLTQLQLLDLSWNQLDGSIPPYLGDFRSLFYLDLSNNSLSGEIPKNLTQLQSLSLWDISLEEGSPDFPFFRRPNMSNRGSALQYNQIMSFPPLLDLSNNFLNGSIWPEFGNLKRLHVLDLKHNDLSGEIPSSLSGMASIETLDLSYNNLTGMIPPSLVNLSFLSKFSVAYNNLSGLIPNRGQFATFANLSFEGNELLCGSGRGSACGNRVFPQLPTRESKKSKGKIAGMAVGIGFGTLFLLALMFLIIIRATGRQEVDPENEDGDDGKKEELETRLIVFFRTKGNGNDEKEFSLDDLLKSTNSFDQANIIGCGGFGLVFKATLPDGRKVAIKRLSGDTGQADREFQAEVETLSRAQHPNLVLLQGYCQHNNDRLLIYSFMENGSLDYWLHEKPDGPTKLNWSTRLNIAKGAINGLAYLHQSCDPHILHRDIKSSNILLDENFEAHLADFGLARLILPYDTHKEKREIEVFDPIVFDKENGKQMLWVLEVACVCLNESPKLRPSTRELLSWLHHGGLNA >KVH97548 pep supercontig:CcrdV1:scaffold_145:136238:142575:-1 gene:Ccrd_000323 transcript:KVH97548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MADETVGDSVWTREQDKAFENALVTYPDEKSKDRWEKIAAVVRGKSVEEIKHHYELLVEDLDNIESGLVPLPCYSSSLDDSESHAGDGGTSKKGGNFGQNSSESNHGGKASKSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNYVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSLPQPPTGVGMTIGQPVGGGPLVSAVGTPVGLAAAPAAHMAYGVGTQGISSHVKKQVTKLYKQP >KVH97552 pep supercontig:CcrdV1:scaffold_145:184224:188882:-1 gene:Ccrd_000327 transcript:KVH97552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MEAQTARRRVEIITAHLSPADNISATAVGPHVFPLNCSGGLTSIKRRGDNRIDFARQDSRSQGGFMRQASTEQDFSGQSSLPSMSTLSHKDGSSELVTPLFSQPASINSNVPKVRNLQYAVDDYMLPSPQPPKFARTTVERDVPRKFSPKNSMHTTKHLGNQICQMACGLSHISYVTSFIQNFHIDASYDTGLEEKQSPRMDVAESKGKYILLIELPGISKDDIRVEVHNTTLIVQTAKGRTTSCSFSDRASSSYYRREILEGPFEIVWPLPLDVNPDSVSAEFLDGLLRVTIPKLRVPVW >KVH97569 pep supercontig:CcrdV1:scaffold_145:265991:272745:1 gene:Ccrd_000334 transcript:KVH97569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3067 MVSVQASLPCTWLLGGKEHVVRGGCRNFPCFSDSGLGSSGSKSLLFQRLYIVDGSCIGKRWHPICSSKMDDDANRNDSGDGINEDEPSEGDPESVNNEILRENLERIIGVDDSAFSGIDLATLIRKKYGRSYDVQLIKKEFMGKNLLALNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLEKLKERPRIGKVCLGSYITLYSLIQKFKQFPFWLSKKAVSIFIDMDDSGGRTNEWIYKFRIEGKEAMAMLPCNMILSYSFITRFPRPSSPPSSSSSTIASFAVAILPSPPPTTSSSSTIAHRLVGLVLHKNDQRKTMNELELKGVCGNASKKKTVDSLVSNETNLFLWRDL >KVH97541 pep supercontig:CcrdV1:scaffold_145:446375:447572:-1 gene:Ccrd_000352 transcript:KVH97541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MDYKKREMALQNGDQLSSDLFHSQAHIWNHIFSFINSMSLKCAVQLQIPDIIHHHGAPMLLSELVEALSVNKERSDFVHRLMRILVHSGFFLKQTISTTEGDEEEEKEVYLLAPASRLLLKGESLSIRPFLLAMLDPILMDPWQHMTNWFQNNDDISPFQTTHGRSFWDFAGQEPKLNQFFNEAMASDARLVTDAILKHCRGAFEGLNSIVDVGGGTGTVAQAIAKAFPRLDCISFDLPHVVNGMEGSNNLRYVGGDMFEAIPKADAVFVKWILHDWSDEECRKILKRCKEAIPSKGNGGKLIIIDMVVKNHEVDSAESLQTQLFFDMLMMTLATGKERTQEDWIKLLVDVGFSDCKFSPILGLRSLIEAYP >KVH97559 pep supercontig:CcrdV1:scaffold_145:373408:380558:-1 gene:Ccrd_000346 transcript:KVH97559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome B-type subunit MECVFGMVGKGFALVVADTSAVNSILVHKSNEDKIMVLDSHKLMGASGEAGDRAQFTEYVQKNVSLYQFRNGIPLTTKAAANFMRGELATALRKNPYMVNIVLAGYDKETGPSIYFIDYIATLHKVDKAAFGYGSYFSLAMMDRHYHPDMTVEEAIKLAEQCIEEIRSRLVVAPQNFVIKIVDKDGAREHSWRRTTKD >KVH97561 pep supercontig:CcrdV1:scaffold_145:358603:363936:-1 gene:Ccrd_000344 transcript:KVH97561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MKGKFGASGTYFLLNTGAKIPAIGLGTWQSGGDFCVEAVKTALSVGYRHIDCAHLYGNEAEVGEALTEFFRGSLKREDLFLTSKLYCTMNSTNKIENSVRVSLKNLGVSYLDLYLMHWPESSAFGDATDPPANSGSEYRQFLSKLKTAWKTMERLVELGLVRAIGVSNFNIQQMKELLKFAKIIPAVNQSKFIHVSAHTPLGVPTSAPKPSESGSSEEDEPGTPRISFRRSRSVHGPMLKLSVVAEIADRHKKTPEQVILRWGLQRGTSVLPCSVKPERIRKNIDIFSWSLSDDEYRRLNQIEPQVCLFGNGPLDTISEDNGSIFGSGPLQAVHESEDDVEFNS >KVH97566 pep supercontig:CcrdV1:scaffold_145:310503:311594:1 gene:Ccrd_000339 transcript:KVH97566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDGFGASISVNAMRSRTRADVETDSSSSNKRGKNGSAFNKMISIHMEKFLLKKLQIRVNFEVERYDFHTLGQRMENQKMMMIELLQSQGRMDAKAEVAGK >KVH97547 pep supercontig:CcrdV1:scaffold_145:74783:94082:-1 gene:Ccrd_000320 transcript:KVH97547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MEKREIPKSVWDRRWRRWKDAEMELSIEGNTTTRMTSDNRRWRLWRRNDGDRGSRRRKETVGFEKEMEIMKRAAGREGYHRDAGDNHQVGLPLVTSLELILERKNNYLVVGSAMESIDVSSIDSDSSDWDVEMYKALNDSVLNDSATSSSNSRVLPAWASASVPSDTGLRGSAQRSNPSKRESALNGQSSSSKNVRNSNRNIDRHGKHTKVSSNNGTDFDNQLHPKGAKRMLPSTLQPSSSNSSPSVRVKDVGSSQIHENYGKPYPPALSSHTNLHMQDNFSRPNYDVAMKNHHGSRVLPPWMQGSMSVPATRYAGQSDPYQPGAVQETAGDERHIYEVALRGLHQPVLETTLPDGLLSVSLFRHQKIALAWMLQKENSVACSGGILADDQGLGKTISIIALIQMQKFSRRPKAEDPCVSKAEALDLDDDNDKDTLVLDEDKQAAESDEVKLITKVNSLSSTQEFRNRKPPAGSLVVCPASVLRQWARELDEKVADEAKLDVLIYHGGNRTKDPVELAKYDVVLTTYSIVAKEVPTKTSNEEDDDDKKDGDVHRSSSEFANKKRKQSHVSKKGKKGRKGIHSSAADYSGTLAKVHWFRVILDEAQTIKNSSTRVSKSCCGLRAKKRWCLSGTPIQNSIDELFSYFRFLKCEPYANHKSFCNQIKIPISRNSMQGYMKLQAVLKAIMLRRTKETLIDGKPIINLPPKIINLATVDFSAEERTFYRKLERESRSQFKAYAAAGTVRQNYANILLMLLRLRQACDHPLLVKGFSSESVGPASTKMAKNLPKDMQANLLNLLETLNICLLCSDPPEDAVITICGHIYCLQCVSDYLTGDDNTCPSPNCKSQIGSDVLFSEATLRSCISGDDHYECLSKSNENSPVFQDNYASSKIRATLDIIQSHYRAEISNSAGNGNTSFTGNTSSSSGIGDPRCSSASQAEGPIKTIVFSQWTRMLDLVEWSLNQHCIEYRRLDGSMSLVSRDRAVKEFNTDPEVIVMLMSLKAGNLGLNMVAASHVILLDLWWNPATEDQAIDRAHRIGQTRTVTVSRLTIKDTVEDRILALQEEKRKMVASAFGEGQSGSSVTSLTAQDLKYLFMGAY >KVH97546 pep supercontig:CcrdV1:scaffold_145:101177:111986:-1 gene:Ccrd_000321 transcript:KVH97546 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MARPLASQGDDEVISSKGVKKVEFVRIIAEALYSLGYSKTGAYLEEESGIPFHSSVVTVFIQQILDGSWDESLASLHKIGIVDETIIKLACFIILQQKFFELLDGEKVMDALKTLRTEIAPLSINNFRVRELSSLILSPSHRVIDGISGQEMVKPKPRSELLEDLQKLFPPTVMIPEKRLLQLVEQALDLQRDTCLFHNSLVGETSLLTDHRCGRDNIPSETVQILPDHHDEVWYLQYSKNGKYLASSSSDHSAIIWEVNLEGRVSLKHRLIGHQKPVSCVSWSPGDDQILTCGAEEVIRRWDISSGECLQVYEKGLIGSISCSWSPDGKWIFSGLTDKSIMMWDLDGKEMDCLKGQKTLRISDLQTTSDGKLITICKENMIVILDRESGTERCIKEDQMIVSFTLSWDNKFLLVSLVNEELHLWSIQGHIRFVSKYRGHRRSRFIVRACFGGFQQAFIASGSEDSQIYIWHRGSGELIETLGGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGLNHVDTKSNSCIPHCNGAN >KVH97565 pep supercontig:CcrdV1:scaffold_145:306122:309001:-1 gene:Ccrd_000338 transcript:KVH97565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MQHMVKLVFVLMPANVFGKPKKNTDFKAYNGEGFKLSVPAKWNPSKEVEYPGQVLRYEDNFDTTSNLAVMITPTDKQSITDYGAPEEFLSQVNYLLGKQAYFGKTASEGGFEEDAVATANILETSTPVIDGKQYYCLSVLTRTADGDEGGKHQLITATVSGGKLYICKAQAGDKRWFKGARKFVETSASSFSVA >KVH97573 pep supercontig:CcrdV1:scaffold_145:213962:218900:-1 gene:Ccrd_000330 transcript:KVH97573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MALRTAITRRILSSSSAVHATRSMSSWWKNVEPAPKDPILGVTEAFLADPNPDKVNVGVGAYRDDNGKPVVLDCVREAERRIAGNMNMCFDYCLTISNFREYLPMGGSNKMVEETLKLAYGDDSDLIKDKRIAAVQALSGTGACRLFADFQKRFSPDSQIYIPVPTWANHHNIWRDANVPQRTFHYYHPESKGLDFASLMDDVKNAPNGSFFLLHACAHNPTGVDPTVEQWKEISYQFKVKGHFAFFDMAYQGFASGDPERDAKSIRIFLEDGHLIGCSQSYAKNMGLYGQRVGCLSLVCEDEKQAVAVKSQLQQLARPMYSNPPVHGALIVSTILGDPELKQLWLKEVKGMADRIIGMRSALKENIEKLGSPLSWEHITKQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVEYLAKAIHEVTKGA >KVH97556 pep supercontig:CcrdV1:scaffold_145:316716:328561:-1 gene:Ccrd_000341 transcript:KVH97556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase IKQNDRFFLYGGRKRFLSCYRSSTTTTETSPELRRCLSHLQMAITAAPAASSLFNCSISPSPSSLCCRSLLSSLPQHHLYLSFAGGFGFSTTRPHQKPFVSKPFICSSSPGKSQPMVPPFNVLITGSTKGIGYALAKEFLKAGDNVLICSRSAERVESALQTLRAELGVQHVWVPRDTSSVFFIPMSYYCFLSEAVTYNNSICTLSFSIQGTKCDVRDGNDVKNLVQYAQDNLQYVDIWINNAGSNAYSYKPLAEASDEDLIEVVTTNTLGLMICCREAMKMMLNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVAELQMQEVSNVVVHNLSPGMVTTDLLMSGANTKQAKFFINVLAEPADEVAEYLVPNIRSIPISGSTRPTYIRFLTGLKAYSQIFSRLAFGARRNRYLVEE >KVH97539 pep supercontig:CcrdV1:scaffold_145:407370:414634:1 gene:Ccrd_000350 transcript:KVH97539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSSSSSSSSSTTTMAISTSSDWSLPSIHKTLTFNPSNNPPILLFISPPNSSSSSRIFIPNSSSSCPPPILQHDSVLQFDLKLQDFPDSSLPQNQDLNLFICNLFKDSKTEEMGFENYQKAKRIPDFKPTRSTLHNLIRYSLRLKNWNSIWSISQDFGEFNVFPDASSCCRLISSCIRSKRFKLADNLLHVFKFEKEIAVLSFNAAMRSYNKLHMYSSTVKVFDIMSSSGLQLDGECYGRTMEAYLKMGKNQKVISLFTELESSKLEWTSFCSQIYRILCESLAKSGKPFQALDFFREMTEKGFPEDPSFYSILIGSFAGIREVKVVEDLLEEAEGKKMIRDPAVFLKLVLMYIELGLLEKTLDVVSSMKRVSIRVSDCIFCAIINGFSKKRGLSSAAKVYEDLVAEGCDAGQVTYASVLNVYCRIGLYEKAEEVFWEMDNKGFDKCVVAYSSMIAMYGKVNRIRDAMRLLARMKERGVELNVWIYNSLLDMHGKILNLRQVEKLWKEMKRRKIVPDKVSYTSVISAYSKAREFDMCMKYYYEYRLNGGGIDRMMAGIMVGVYSKTTRVDELVKLLQDMKAEGTGLDSRLYRSSLNALRDAAEKEMAAF >KVH97545 pep supercontig:CcrdV1:scaffold_145:192802:199436:1 gene:Ccrd_000328 transcript:KVH97545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MMDGSQGGSNSSPPFLTKTYEMVDDPLTDHIVSWSHIGTSFVVWNPPEFASELLPKYFKHNNFSSFVRQLNTYGFRKIDPDQWEFANDEFIRGQRHLLKNIHRRKPIHSHSAPPQGSSSSALSLSEKQEYEDDIKRLKHETSSLRLQLQRHKNENQENEQKLTSLRDRLKNIQLRQKKMMSFLAQLLEKPGISSTNNKKRRLLISTYLHDEANADENENPDASPLLNHGLNKLDSSLKFWMDFVTNIVKASGEETYNFGIVSQPSTLVIPEKRISGDCDSPDLAVSLNHADSPAVSLIYANQDSRVKSSGIDVNAEPVAVAEADATATAAATTGPTVQTGANDVFWEQFLTETPGGGDTQEVQSERRDVINKHDEGNVLGQRKSLWNTNNLDKITEKMGNLSPSDKLDVR >KVH97572 pep supercontig:CcrdV1:scaffold_145:254221:254430:-1 gene:Ccrd_000333 transcript:KVH97572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLACVCQDKARELGRQAASGACPHCEGRVEAVDMETKWRFCCLPISYVNKRKYFCTSCSRRLVLYDCY >KVH97558 pep supercontig:CcrdV1:scaffold_145:384755:389762:1 gene:Ccrd_000347 transcript:KVH97558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MDYEKINKNPPQGSGGGGGGGGGLSPAKLRSDSLENYRDVDVVSVLPERVTSSTADMLVVGAHNDYRSKDHTSFNSRIGSQDDGYLDYESGIDKVSASPFEFQKAERASHRKPMAPFSKPAPSKWDDAQKWIASPTSNRPKNEQSLKKNSHGGHGNRQPITKVVVEVPDQRLAIYEEPDTKQIDLSHFEENEGQYVVNLSRHDSSLSIQNATFVPPPSAARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPSRSPSSSRPATPERTAPISSTTRPPNLRLESGSSKELSEKELQLKTRREIQALGTQLGKMNIAAWASKEDEDKIASSSMKTLGAEKQAKSAIEMRAAAWEDAEKAKYMARLMNKLAAARHKAEEKRAAAEAKRNRQAAKAEEQAEYIRKTGRIPSSFTCYRCCCC >KVH97574 pep supercontig:CcrdV1:scaffold_145:226076:228738:-1 gene:Ccrd_000331 transcript:KVH97574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTKTLKTCLLFWVFAAGLAAASRSFEDKKNFNFFYSPYSGPPPPAVSYQNPPPVPANDPPPVSYQNPPPAYGNAPPVVSYQSPPPAPANDPPGKVSYQTPPPAPANDPPVSYQVPPPPATGSPPSGGSTTPVPSSTPSNHGHHHHHHHHHHHHHHGQNPPATNCDIPPTSGSSPPSSGGSPPSPTTPSPSYGTPNGPDVAPSPTGGSSPTPAPESGYNYYPPPSTPTTTTPPSTSTPSNSPPFWRQHQRFLTDLFGWWRNAIGRVMGLGNLIPGFGTDMNFLEALSNTRTDGYGALYREGTAAYLNSIVNMNFPFRTNHVRDSFVSALASNKAAAAQAEVFRLANEGHLTPRA >KVH97568 pep supercontig:CcrdV1:scaffold_145:291132:303886:-1 gene:Ccrd_000337 transcript:KVH97568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MKRKGGRVLLQVISRRNTSFSSTLTTLSQSQVLLMDPSERYCYNPTLRWNPQVEEHFNKAYGAEAFARISKALTQPSRYSCIRVNTLRTTTDAVIEKIMEIQCDKRLHDPPQNLHMNDDVESLTGHKHNGVRDVGPAVEIPENGHSSSTETSAVIKCQIPGLDYVVFVKGSGPHDIQYDYQQDRPPKEVIVSRKCAEAVLRGAQVYVPGILACSAHVEEGDVVAVSVGVEQPGRDGGWSVGITHPHYLERDRLYIGQGITTMSRAGIFRGLTGLGVDMTDRVFRLGSFNDVLKGDIFLQNLPSIITAHVLDPQEGERILDMCAAPGGKTTAIASLMKDKAAVIAVDRSHNKVMEIQNLAAELGLKSIIAYKLDALKSVNKNNLNGITTQGSREDRVGLTCQEAESGSSVTGDLSSLATEGTKTDMTDNKIYTLPISEKANVPDEEQMNGGRYRSKAELRKENRRMKNGPGRNQSTGGRVDKCEGFPPNSFDRVLLDAPCSALGLRPRLFAGEETIESLRRHAVYQKRMFDQAVQLVRPGGVIVYSTCTINPSENESLVRYALDTYKFLSLAQQHPKIGRPGLLGRCESSDGYFEEWLRPGEEDLVQRFDPSGPHDTIGFFIAKFNVAPKDA >KVH97560 pep supercontig:CcrdV1:scaffold_145:367672:372656:-1 gene:Ccrd_000345 transcript:KVH97560 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1084 MGGAAMGPSEASVMMYTLKDSYSLWDVLNDSPIWQDRIFHVLAALYGIVAVVAMVQLIRIQQRVPEYGWTTQKVFHFLNFLIARHMLLDLPSLAFFTTYVLLVLFWAEIYYQARTVSTDGLRPAFFIINGVVALWLIIWWKPIQILVIVSKVGYVTAICFACFLARCIMMCFNAFNEAANLDVLEHPVLNFIYYLLVEILPSALVLFILRKLPPKRGIIQYHAIR >KVH97554 pep supercontig:CcrdV1:scaffold_145:397514:404849:1 gene:Ccrd_000349 transcript:KVH97554 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MVLFKPRLPTKSLLKMKRVCSQWRSIISDPRFIKAHLDAVNDERNNHLTRYLHWPPLMRSIGLEDEDQGYEYTFPVTKFSDNAEILGSCNGLILLGDDDRTYYLWNPSTRLLRKFDGPSLFRGEFRNFGFGYDSTTSAYKVVRIVRVTSHKVGLRPPENKKYCYDATSANVYNCKTNRWKKIEDFPYVIFENSQGVVVNGVPHWVMFRDHHGEDDAIDLVIVYFDLAEEKFKEIPNPNWLVHSSSFEFGVLDGRLCFIHQTKRQNEVWVMQEYGQDSWTDISSEVDIDSVCITGWKSGNTVMYSRGPKFFDARVDLDGEGSY >KVH97564 pep supercontig:CcrdV1:scaffold_145:69030:74088:1 gene:Ccrd_000319 transcript:KVH97564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MNFNKAKMPRMPDAGAASTLIKLGAVVGLGLYAAGNSLYNVEGGHRAIVFNRVVGVKDKVYPEGTHIMIPWFERPIIYDVRARPHLVKIGLRVLTRPVADELPTVYRTLGENYNERVLPSIIHETLKAVAVSREIRKVLTERASHFNMALDDVSITSLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAIANNPAFITLRKIEAAREIAQTMAASSNKVMLNADNLLLNLQEMSLEKK >KVH97563 pep supercontig:CcrdV1:scaffold_145:65834:67329:-1 gene:Ccrd_000318 transcript:KVH97563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MGSETATQLPLIDFSKIYLHQSTPDDWNSVKIQVHEALTEYGFFRASMAGMSPEFRSSLFGSLDQLFDLPMETKLKSISDKPFHGYIGHSPTMPLYESMAVPDAPVAGEVEAFTNFFWPHGNPLYWLDRTVRKMVIESMGLEKYSDDHMDSTKHLLRVAKYRGPDTDESITGLTSHIDKTTLSILYQNEVAGLELETKSGEWIRVKQSPDSFTVFVGEETRYSLGLFSVPKPSYITRVPHELVTEEHPLLFNPFDYDEFLKHMFTGKIGANADALDTY >KVH97555 pep supercontig:CcrdV1:scaffold_145:392234:396698:1 gene:Ccrd_000348 transcript:KVH97555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MVGGTTHQPQQASQLVAPPLSSSSSSTAPATTPEEDELLKRNTDCVYFLASPLTCKKGSECEYRHSDIARVNPRDCWYWLNGSCLNPKCGFRHPPLDGLLGADISTPVGPSVPPPHPAPYAVPKQGVACIFFQKGYCLKGHLCPFLHGPPNSVNNKAAQSGSANPISEPPKTAFSGPEKSFQDQKLTQPVTAQKPVEFLVPQAVRMQAPPLARNGGNGDKKAPPPSSSMGVEELPRYKSGRVVPPPVVNETPASKPNRGVYHHVSDNDRILNGKDADEYSREPSPGFDVLVDNELGDSEYYRNKDEFGRSRRNDYDLDRPTDYDVDREIYRDYDHYNERQGERYAWDERRSSSERMLDPSAHLERRSYPRNASPDHFDESDLRHRISKQRRVSDNGLRSVVSREGRTPRRDSHHHRHQDQGGLSSRLRGRIKIPGRSVSPTNGRPPLSVNHTRLWDRKKDTNNDPRDHKGFSRTPDNDSEFAGPKRLSELKKGYEQQPNDERQVLGKRKYPNEEDLSFEGPKPLSEILKSKRGSETARIKNKPDSYVNNTENNEKEREMVVAEKEENVSAIVEAEKEEKPVAAIVEAEKVRDSVSGIDEDALLDEELEGYEDREGEYEYVDGEEEYNMDDEEEGEYVDEEEEYGKKEESVVYL >KVH97571 pep supercontig:CcrdV1:scaffold_145:236732:247099:1 gene:Ccrd_000332 transcript:KVH97571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase, biotin carboxylase MDSAAMTFCRSKPISSPPGLFIGRSSGIKSSQCNFLVGSKVSFPRQRVQASQKVRRSAKREGALGVTCRAEKILVANRGEIAVRVIRTAHELGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRGCTMLHPGYGFLAENAVFVEMCREHGINFIGPNPDSIRVMGDKATARDTMKTAGVPTVPGSDGLLQSTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKERDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVIFFYRSVAVLADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYTQDEIVLRGHSIECRINAEDAFKNFRPGPGMSSLVSDHFPSATTWFLQAKWRITSYLPSGGPFVRMDSHVYTDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALNDTIITGVPTTIEYHKLILDIEVSMTSKTETLILLSFQSTRRN >KVH97550 pep supercontig:CcrdV1:scaffold_145:149142:157888:-1 gene:Ccrd_000325 transcript:KVH97550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MGSARDKSNPQSKQAICYSNVEVRRSASPSVIVIGGGFAGIAAARALHDASIQVIVLESRNRVGGRVRTDYSFGFPVDLGASWLHGVCKENPLAPVIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNQVPQELVSQVGKTFEAILEETNKVREEFIEDMSIHQAISIVFERNPKLRGFLIRCCSGTCVEWKAGLLQMLRQYLSNAGTRHEELLPGGHGLMVRGYLPVINTLAKGLDIRLGHRVTKINRRKNGVKVTVENGKTLFADAAVVAVPLGVLKSNTIKFEPRLPEWKEEAIADLGVGIENKIVLHFDRVFWPNVEFLGVVAETSYGCSYFLNLHKATGHAVLVYMPAGQLARDIDKLSDDAAANFAFIHLKKILPDASAPIQHLVSRWGSDINSLGSYSYDAVGKPHDLYERLRIPVDNLFFAGEATSTDYPGSVHGAYHTGLMAAEDCRMRVLERYGELDLFQPVMGEDTPASVPLLISRI >KVH97549 pep supercontig:CcrdV1:scaffold_145:117053:135218:1 gene:Ccrd_000322 transcript:KVH97549 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MASTSGIKDVGPPRSLSRRMTRGSSMIEPYAEDNAAVDSELVPSSLASVAPILRVANEVEKDNPRVAYLCRFHAFENVHRMDRKSTGRGVRQFKTYLLHRLKKEEEEIKPRLAKNDPTEIQKYYQNFYEKNISEGQYTKKPEEMAKIYQIATVLYAVLKTVVPPSKVEYETEEYAKDVEEKKEQYEHYNILPLYAVGVKPAIMELPEIKAALRALRNVDNPPLRKPGDRDKSANDILEWLSSAFGFQKGNVANQREHLILLLANIDTRSKSLEDYEELDGHTIHLLMDKTFKNYCSWCDYLHCKSNLKFEPGADRQQLELLYIGLYLLIWGEASNIRFMPECICYIFHNMANEMHGILFGNVQPVSGGAYQAEALGEESFLQDVVSPIYEVMRKEARRNRGGKASHSSWRNYDDLNEYFWSDKCFKLGWPMDLKSDFFVDSDDTLQANGVSIQLDTLQFLNNSVYHTCISLEMLISKSPSKAEHNQVISGKKKPKTNFVEVRTFLHLYRSFDRMWMFLILVFQAMVIVASHGDGSIFGIFDEGVIATALDLILSFNAWRSLKSTQILRYLLKVTVAAFWVVILPIGYSSSVLNPTGLVRVFSTLGGTWRKQSLYNYLVAIYLTPNILSALLFLLPPMRRSMERSNWRMIVLLMWWAQVYFMDAQIWYAIFATIIGGIYGAFSHLGEIRTLGMLRSRFDSVPSAFYERLVPMQKEEPKIDPLIPIALDMAKDFKGKEDADLFRKINNDDYMHSAVIECYQTLKEMLYDLLDDEGDKMIIKHICHEIDTSIQMRTFLSKFRMSGLPSLNDKLEKFLSDLLADYDNAENYTSELIKDLQDLMEIIIKDVMINGQEILDRAHSRRQDNDKKERFERINIRLTQIRSWKEKVVRLHLLLTVKESAINVPMNLEARRRITFFTNSLYMRMPNAPKVHNMLSFSVLTPYYKEDVLYSEEELHLENEDGISILFYLQKIYPDEWRNFEERIRDPKLKANEKDRTEATRQWVSYRGQTLSRTVRGMMYYKEALELQCFLDFAEDNEIFTGYRTVDMNKDHRVLKERAEALADLKFTYVVSCQIYGAQKKSSDNRDQNEIDDEKGQKVYYSVLVKGGDKLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFHKDHHGERKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLADPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFSGYNSTLRGGFVTHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVTVLVVYVFLYGRMYMVLSGLEKKIMEDATISNNRALEEALATQSVFQLGLILVLPMAMEVGLESGFRRALGDFIIMQLQLASVFFTFQLGTKVHYYGKTILHGGSKYRATGRGFVIFHAKFADNYRLYSRSHFVKGLELAILLVIYQVYGESYRSSDLYLFITFSIWFLVSSWLFAPFVFNPSGFDWQKTVEDWTDWKRWMGNRGGIGIAQDKSWESWWDAEQEHLKHTNTRGRLLEIVLALRFFLYQYGLVYHLNIARDSRSILVYGLSWLVMITALLGLKLVSMGRRRFGTDFQLMFRILKALLFLGFLSIMTVLFVVCGLTLSDICAAFLAFLPTGWAFLLIGQACRPCVKGIGFWDSIMELGRAYECVMGLVIFMPIVILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDKTQYA >KVH97551 pep supercontig:CcrdV1:scaffold_145:145802:148545:-1 gene:Ccrd_000324 transcript:KVH97551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFPGVMMLTTGANVVHRSSPARTVCLASRIDADQLRDQLDQLHFEASNTRAKANKARQRLLRLSEAAEKLQQQAAISVQAGKENDAREMLLQKKKAMQALEKTKSRIEKLVGTVALNLEIEREDDASQVRVFSPPSQSLGVDGNSEKDLLNSSEGQELQDRTYNLPTEDETNNIEGSLQVPLQLSTHGIESDNDLISRLTGLTSYEDLLDRIDQYLNKVEDEVSTVVKFSTLVLESKETPANVKLQQLMEILDAVRHVRQRIAVIMESANRSRDEIVI >KVH97544 pep supercontig:CcrdV1:scaffold_145:205123:213192:1 gene:Ccrd_000329 transcript:KVH97544 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MNGSSNAEMGSTLSPRRANIKEKCASFDIENDSDGGLKYPDTNYVDKHKAVLTSSKNPIVAADVLKTLFFILVWYTFSTFLTLYNKTLLGDDMGRFPAPLLMNTIHFAMQAVFSKAITCFWSQRFKPTVTMSWKDYFMRGKASSAILALVLLFVPTALGTALDINLSNASLVFISVTFATMCKSAAPIFLLIFAFAFRLESPSFKLLGIMLIISSGVLLTVARETEFDLWGFIFVMLAAVMSGFRWSMTQILLQVLTEYILVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGAGLVTIMLGVSLFNWYNKLRLERALCARGTSDRLLRKLYSD >KVH91695 pep supercontig:CcrdV1:scaffold_1450:92209:94897:1 gene:Ccrd_006278 transcript:KVH91695 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASTAIPSGALVILQELNSSSLHFKQGASLRVTGKLQEYNVETAIAVIADGGATLAVDTQHLRLNLRMGSIYQFIGELSIQPSNEGILKARVGRNVDGIDLNLYHQSLKLVRQFQSDQISHLEQLQ >KVH91703 pep supercontig:CcrdV1:scaffold_1450:64867:65388:-1 gene:Ccrd_006276 transcript:KVH91703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISMISDTVTTIDHPKQSHGLGFATFPIRRHNFTHSSPDQQQRLEICAQSLPIDAVAPPPVKPSTIPKTLARKRSRVRKRLRIDGFGADEEGEGGGLFGGSDGPFGGGGGGGGGSGSSGNFNWDESSSSPSDPAFDFVYEALSWFVLSNCLIFAFKRLARMVADGVAEPGTD >KVH91694 pep supercontig:CcrdV1:scaffold_1450:103438:105255:1 gene:Ccrd_006279 transcript:KVH91694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCGVFDGHGPWGHLVSKRVKKVMPAFLLHYWQEASAQCSAGLKNANLNQFGMWKKSFKRACSTVDTDLELHPVIDSFYSGTAALTIIREVHTKLIITHFFLIFKESERIHKSGGSVCEADDEPGVSRIRAPHEESPDGPGLALSRAFGDFFVKDFGLISEPDVIQRTVTTRDRFVILATDGAVEIVSSATERDEAAKRIVDYAAGQWKLKRPGYAVDDISVVCLFFHNPVADPEILSSGHT >KVH91696 pep supercontig:CcrdV1:scaffold_1450:24329:27574:1 gene:Ccrd_006273 transcript:KVH91696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MNSNYGRSSQSGSLNNFDFDLGINSNRSKPLNAQKNNTFTQPKPNTTTTPSWQPNKPSWTHQPAAPTPSSTLGGPLNGPTSMVGDITGKSWASTAPQRPSGTAATNIGIANKSPNLFSDLLGSNLGTGKGNSSNVPLKNAAPVSSQTASKSAYSMGGMSDSLPKSGNTVNSGGGNWGSSQGFGNYNTSGYGSKNQNLGGPSMKSSTASGIGGGMGSNKDPFGSLVDFSSKPATGLKAVKTNVSSKSGDDVFGSFQNASKPSTNAFPSTTSFTGLGMNSQSKVDDFGFGGMQNQPSVQSSGGNVFQSNTSSMGSGMNSQSKVDDFGFGGMKNPPPVQSSGGNDFDVLFSSSSASAGNASKDSENFMSQQFAGAEDWGFESEVGGDVGGTTELEGLPPPPAGVTASSAKMKGMENYKAGQYPDAIKWLSWAVILAERAGDNASSMEVLSPRASCYKEVGEYKKAVADCSKVLEQDSKNVPVLVQRALLYESMEKYKLGADDLRTVMNIDPGNRVARSTIHRLSKMAS >KVH91699 pep supercontig:CcrdV1:scaffold_1450:43325:45829:1 gene:Ccrd_006274 transcript:KVH91699 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MCGGAVISDVDPIVKRSRKLTSDDLWNEFETYDLFAWDIKPQSLLRTTRNDDYTSKRKQGSEKQTPKAMEKKTKLRKNLYRGIRRRPWGKWAAEIRDPQQGVRVWLGTYNTAEEAAKAYDEAATRIRGDKAKLNFPQPPPTPPPATDSHHPIDHELPPPPPELMDEYEFKGQIETIIESTHPMAYEQLPAPHALMMDYGGLPNHPTTNQYELSEQILNLESFLGLEHESTEFDGLGCESGSGDLWALDEFPVTI >KVH91701 pep supercontig:CcrdV1:scaffold_1450:115271:115816:-1 gene:Ccrd_006280 transcript:KVH91701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAAPSIFMATPLSGTQLPSFKRHSAFSVKCMSSQLPNDGKTAGSSSKTPESPPTPMATPPPPPRAPKVSTKFSDVLAFSGPAPERINGRLAMIGFVSAMAVELSSGQDVLTQISNGGVVVFVGTSVVLSLASLVPLFKGVSVQSKSKGIMSSDAELWNGRVAMLGLVALAVTEYVKGSALV >KVH91702 pep supercontig:CcrdV1:scaffold_1450:115819:118272:-1 gene:Ccrd_006281 transcript:KVH91702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAATSVFMATPFTRLSATQLPSFHKNSAFSVRCMSSQLPNDAETKSKTPPPPXPKVSTKFTDVLAFSGPAPERINGRLAMIGFVATMAVELSSGQDVFTQISNGGVAVFVVTSVVLSVASLVPLLKGVSVQSKSKGIMTSDAELWNGRFAMLGLVGSTPLSSPLLYKTHHQTLLLPHYHLHHFSHNFNLQQLYN >KVH91697 pep supercontig:CcrdV1:scaffold_1450:18216:23274:1 gene:Ccrd_006272 transcript:KVH91697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06790) UniProtKB/Swiss-Prot;Acc:Q84JZ6] MAIFTTRRTLNSLFSRLLSSSSSSISSRSRFALPLLDHHYQSPQLAPRIPVRLKTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEDEMINSYVKTLASVLGSEEEAKKKIYSVSTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFIDGKVIPRPQYRFTDRQQNSRPRARPRYDKRRETMQTIRRVPNEGQNWVRDQRDAVTQPTAGGGFPQNQDGSQRSSS >KVH91700 pep supercontig:CcrdV1:scaffold_1450:71424:89861:1 gene:Ccrd_006277 transcript:KVH91700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D family MYKELALALKINSVYSKKKLVAIHENVRVLRFPDHFSSGVYLWSHHEKLVIVDNEVCFIGGLDLCFGRYDSYEHKVGDDPPMFWPGKDYYNPRESEPNSWEDTMKDELDRKKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPNEQAIPLLMPQQHMVIPHYMGNNREPEVVNSTFLETHKVINRKDSSSSGSSEDIPLLIPQEADGLDASSGQLKLNGLNDFHGQPSRPSRTSFSFRKSKVEPLVPDMPMRDFVDERDTLNLEQELSSDVAMRPGMKSSDKEWWETQERGNLVVSADETGQVGPRVACRCQVVRSVSQWSAGTSQVEESIHKAYCSLIEKAEHFVYIENQFFISGLSGDEIIRNRVLESISRRIVRAYQEKQYFRVIIVIPLLPGFQGGLDDVGAASVRAIMHWQYRTISRGHNSILQNLSDLLGPRVYVHSKVMIVDDNTVLVGSANINDRSLLGLRDSEISKIADPVIDSTYKDIWMATARTNTMIYQDVFSCLPNDLIHSRQCVAERKGKLGHTTIDLGVAPENLESYEDGSVKGMEPMERLEAVKGHLVSFPTDFMCQEDLRPMFKESEYYASAQVFH >KVH91698 pep supercontig:CcrdV1:scaffold_1450:57833:64850:-1 gene:Ccrd_006275 transcript:KVH91698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAYVGIVIDVVHPGRPNVSKAELKEKLARIYDVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLVRHWEFVYLSVNKQHKQRKF >KVH99553 pep supercontig:CcrdV1:scaffold_1451:2090:3365:1 gene:Ccrd_022211 transcript:KVH99553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKELGFCLYLGSTYKHEICCLFNLDWITHLSSSSSMAKQIDIQEGAANWNEIRDKFEDG >KVH99554 pep supercontig:CcrdV1:scaffold_1451:89686:96848:-1 gene:Ccrd_022212 transcript:KVH99554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MNNNKKKFEVSYWFKQYHGGWYITNKHYWAASTRLDMFIATMAIGFFFLSFFLRFRFIGEPCAMSTATQNRKTSGTTSSLAKRQASENFIGKSTALPPHMAKKRPALANVTNQRPASHNVPRNPSNLIGEPKKGSSVLNNNIKPTSLIKSSSVARKDANVSRIIVPPVECKMDVSPSKSDGLSVSMDETMSTCDSLNSPDVEYIDNNDIAAIDSIERRTCSKLNISDHVEATTGNICKREILMEMGSKDKIVDLDVDFMDPQMCATMACDIYQHLRASEAKKRPSIDFMEKVQKDINAEMRAILIDWLVEVAEEYRLVPDTLYLTINYIDRYLSGNLMDRQRLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYVKDEVLQMESAVLNFLKFEMTAPTARCFLRRFVRAAQGVNEGPSMQLECLASYISELSLLEYSMMCYAPSLVAASAIFLARFVLFPSKKPWNSTLSHYTRYQPSDLHECVKALHTLVCECPNSRLPAIREKYSQHK >KVH88191 pep supercontig:CcrdV1:scaffold_1452:55236:72103:1 gene:Ccrd_024419 transcript:KVH88191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding protein, C-terminal MRRFFSRGTSNDSSPQTPPTASSPTPLFSPSTAVASGPARPIRLVYCDERGKFQMDPEAVSVLQLVKEPVGVVSVCGRARQGKSYILNQLCSEAELYLVRTFGNWKIQFHCNDGKIMSYYVNASDLSSRNQWPLLIYASDLTAWSLSGILNFMDGIFNHCAGDEKGTYSTQIFSLAVLLSSMFIYNQVEFLNNVLIHSVYSCYFISLFMPFFYQMGGIDEAALDRLSLVTEMTKHIRVRASGGKTTASELGQFSPIFVWLLRVHSCRFFFYDLLTYRCEDFYLDLVEDNRKITPRDYLELALRPVQGGGRDVSAKNEIRESIRALFPDRDCFTLVRPLSNENELQRLDQISMDKLRPEFKSGLDELTRFVFERTRPKQLGATVMTGPIFAGITQSFLDALNNGAVPTITSSWQSVEETECQRALEYATEVYKSSFDRTRPPEEAAIQEAHEEAAQKAVAAFNSTAVGAGSVRQRCEKRLHTFLRKEFEDYKREAFMKAYMQCSNAIQKMEKELRTACQAPAAKFSDILKVIVILLSMHESLAYWLSMLNALDQLLNSYEASSYGPEKWQKLASFLRQSLEGPILDFVKKQIDHIATEKSSLQLKCRSIEDKMRLFTKQLEASEKYKSNYIKRYEDAINDKNKLAEEYMSRIADIKKNSSSLDERCSSLSRTLEAAKQESVEWKRKYELALSNQKTGEEQASSEVANLKARSSAAEARLAAAREQTMSAQEEADEWKRKYDVAVREAKNALEKAAAVQDRASKQTHHREDALRAEFAGTLAGKEAEIKDKASKIEQAEQRVTTLSLELKAAESKIKSYDLEISALKSDIKELGERLESANATAQSYEREARILEQERVHLEQKYRSEFDRFEEIQERCRNAEKETKRASELADIARVEAVTAQKEKNEIQRLAGERLTEITRLERRIESLDRRKEDLADELERYQAAEMDAISKVRLLEARVEEREKEIETLLKSNNEQRASTVQVLESLLETERAARAEATNRAEALSVQLQATQGKLDLLQQQMTTVRLNESALDSKLRTTSHGKRYRVEDNEMGTDSVQELYDDRDRVIRGNKRSRSTTSPLKFVANEDGGSVFRGNEDDSNHSQQTSSEDHTKFTILKLKQELTKHNFGAELLQLKNPNKKDLVALYEKCVLQKS >KVI08171 pep supercontig:CcrdV1:scaffold_1453:29270:34756:1 gene:Ccrd_013459 transcript:KVI08171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MDSLHGSFIPHFKVRQPILVFSNRIKKQDSLLVVDLFRENFPISYTRCRTLETHWDNTQSIRHPAVSSNDDSKQPELIFTRLQLRDEEYLGMQRRSFGSYIAREAVLNEEYWMASWLRAEAHWEASSYKRHAEIFKMKYADQEFKALKKRCSGQDGNLLQCFCLVAVKKEVKNVKRTVLNSIVGTMDLSIRQYLQGETYPGEVKRQSGVLASKQPFDAHKYAYVSNVVVAKYARRRRIASNMLCLATDIATSVGMKKLFVHVSADNKPAQELYKKAGLEFVASDASSKEQLLMCMEL >KVI08172 pep supercontig:CcrdV1:scaffold_1453:35194:44277:-1 gene:Ccrd_013460 transcript:KVI08172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHMTHGTGGGGCRRICGGCWTAWGVCFMTHMDQTVDMLDLLHQFFIIFHSKIDNESSFLCYPFPNSAYFCSISISVNLGLTAKVTVSGTACSGKTVYNNFSGNRANSGAQGSFSGRYACIKMEIATMKLIKHPNVVRLYEVSLSLSNTYASHDEIYGAVDLGKDHMLPMGVNHGRMHEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDISGNLKVSDFGLSALSRQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWVSFSAIKLITRILDPNPMTRITIPELLNDEWFKKGYKQPEFIEKEETNFDDVEAAFRDSEEYHVTEKKEELPAAMNAFELISMSKGLNLGNLFELEQGFKRETRFTSRCSANEIVSKIEEAARPLGFDVHKKNYKLRLENIKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKRLSSSLTDVVWKTEEDMQEPK >KVI01618 pep supercontig:CcrdV1:scaffold_1455:30258:35164:1 gene:Ccrd_020107 transcript:KVI01618 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MEIPKGQNVVNSGGRSVVYELPLGEAAATFNLHKAVCSHGFFMTAPNHWDPLSKTLRRPLRLLDSSSSSVTVQISQPPHSSHLLLRVFDTESLSLGHQQSLMEQVRRMLRLSEEEEKTVREFQEIYGEAKESGFGRIFRSPTLFEDMVKCILVCNCQWSRTLSMARALCELQLELQRPMVTEDDKCTSRTIMKTQFSPKTPAGKEAIKRKSKSQEKSRKVAKRCAEVKSAMEADATLMVETDKESNQKRDSCVKTLEAIFPDFVDEKPKLGDHSGIGNFPSPKELANLDVNFLKKRGNLGYRANRILDLAQSVVEGTIQLGQLEQDSSLSNYINLNEQLGKINGFGPFTCANVLMCMGFYHVHGKKSTIKSIQHDIEMIYTKYAPFQFLVYWSEIWSFYEERFGKLSELPNSDYKLITAANMRGKAGIR >KVI11083 pep supercontig:CcrdV1:scaffold_1456:57378:70567:-1 gene:Ccrd_010511 transcript:KVI11083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MAMAMAMAVVRPSPFLGHIDFGLGGLSCRKQFPTPNQTSRIKALFWEPKKAADPPEMYLSLDSFTSTKINVKEDSSNAEKAKHISVSVVSSILEVPSGDWDECSLDATGPEQFNPFLSHGFLSSLEESGSAVKETGWLPRHIVAQDEDKNILGVIPLYLKSHSYGEYVFDHSWADAYYRYGSRYYPKLQCCVPFTPVPGPRILVRNTSNKNQVFDILVSAMKDLAIKFQVSSLHITFSSEHEWHALKDKGFLQRTGMQYHWKNRNYKNFDEFLMDMKQSKRKNIRQERKKIPAQNLTMKRLRGYEIKAKHWDTFYQFYRNTTDNKWGTAYLTREFFHIMGSKMGDHIMLIVAQEGDELVAGALNLIGGDTIYGRLWGCLPRVYYPSLHFEACYYQAIEAAIELNLDKVEAGAQGEHKIQRGYLPVTTYSSHYLLDEGFSQVKLVTELIKDSGPFKDGII >KVH88190 pep supercontig:CcrdV1:scaffold_1457:38802:39611:1 gene:Ccrd_024420 transcript:KVH88190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGDGVLTSALSVFSTVSDVELAMAKEHHKRKTLILFIINTNTILLSRLSEFG >KVH94064 pep supercontig:CcrdV1:scaffold_1458:59108:66752:1 gene:Ccrd_003879 transcript:KVH94064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPNIPIPTISRGEQATTGNEEWEGHVAANPSIQPIRRGNRIRRPNRKYVDGEATRFKEIQADFKKMIDLMEKRHEEYTTQRSEHTAKIAQNPNPELRSQIRLVASQHLTCVLLLIRSNLKQVTHELRIRQPIMIHGQQAYSRLLTVCLQYCKQVQSHRLFDESPQTVVQASRAVNLIHAQSLLLGFDSKGKLGNAIVDLHAKCGNMDFAQKAFXQLEQKDTRAWNSILSMHSRXGMLEDVKHIFGAMQIYGVYPNQFTYAVVLSVCARLTDIVLGKVVHCHVIKTGFICNSFCEGSLIDMYXKCALVDDAREIFDGSVCSDTVSXTXMIAGYVQVGFLEKALRLFKDMLPLGHIPDQVAFVTIISACLESGHLDDAXHLFNQMPNPNXVAWNVMISGHAREGSHNVAIDYFQGMTRSGVKPTRSTLGSVLSAIAITFDLDCGSQVHSQAIKQGLCSNVYVGSSLINMYAKCQEMDSARSVFDALDEKNIVLWNTMLGGYAQNKNVDEVIHLFIXMRCSGFQPDEFTYTSVLSACAXLRSVEIGKQLHSLAIKNKFDANLFVGNALVDMYAKASCLYDARKQFDMIENRDNVSWNVMIVGFVQEEEEEDAFSMFQRMRSNGIAPDEVCFASILSACANIQSLSKGKQLHCLLVKYNMATSLYAGSSLLDMYSKCGVISDAQEIFSSMPEKNVASTNALISGYAQKNMDLAVNLFKGMQSQGVSPSEVTLASILDGCNEPSKSKLGRQIHSLVTKYGFFYDDEFLAVSLLGMYFNSQASASALVVFSELPNIKSTVLWTVVVSGLVQNDCSKEALAIYQEMRRYNAMPDQATFVSVLKACATLASLQDGQEVHSLVFHTGFDLDELTGSALVDMYAKCGDVASSSQVFKELVKKKDVITWNSMIVGFAKNGYAENALQIFGKMRQSNIKPDDVTLLGVLTACSHAGKVSEGRHIFDTMTNHYNIQPRMDHISCMIDLLGRWGYLKEAEEFINKLEFKPNAIIWATFLGACRIHGDEERGKRASEELSTLEPQSSASFVLLSNIYAASGHWDQVNFVRREMKEKGVKKHPGHSWIKLGRDTHMFVSGDESHSSSGEILSLLKELKAPMKDEIFLHKKVGSWIVAMI >KVH94063 pep supercontig:CcrdV1:scaffold_1458:39534:50036:-1 gene:Ccrd_003878 transcript:KVH94063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RED, C-terminal MMLKLQKLHRNRSTQQEEKVEEPEQPKYRDRAKERREDQNPDYEPSELGSFHAVAPPGNVDLLSAEAQRISIEKSKYLGGDVEHTHLVKGLDYALLHKVRSEIDKKPDIEDASDGKPRGSKEDHPVSFRTGNAKAVYQWIVKPQTVVKPNDMFLPGRMAFIFDMESGFSHDIPTTIHRSKADCPEPEEMVTVNVDSSVLDRIGKIMSYLRLGSSGKILKKKKKEKDGKGKLSAVPGDYDLEKYSKPDASKHHTEREFLPPPPPPPKKIHTDAKEKLGPAVARIEEDDIFVGEGTDYVIPSKDMSQSPLSEDMEESPRRKDRASYFSEPTYGPVPPSQPSHDWQQTNGYDAMQAHAMAAGYQGEWQEYQYAEQLAAYPEQYLQQDTETYEMQAGEADPHLMTQEEKDRGLGSVFKRDDVRLQQLREKDAREKDPNFISESYSECYPGYQEYNREVVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKITNELHKINKILAKKKMQKGETNDGSGGEESPHPGKKLRV >KVH94062 pep supercontig:CcrdV1:scaffold_1458:37513:39188:1 gene:Ccrd_003877 transcript:KVH94062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MSFSKQIPFHLFLILVLILTNSQVQALSSTSTSTSTSLSLICKSMPYPDVCFHSLKLSVSININTNIILHQSLQVAISEAVKLLTLLSDTDDDDIIEKQKGSIQDCNELHQITLSSLNKSLTTNNLPDARAFLGAALTNKNTCLEGLESASGPLKPLLVDTITTAYKHVSNSLSLLSADNPLPKWLSRKDFLIGSQNETDYDDDDYSSTLVVATDGSGNFTTITNAINFAPNNSVDRIIIYIKQGLYEENVEIPSYKPNIVLLGEGRDITIISGNRSVIDGWTTFRSATVAVSGEGFLARDIGFHNVAGPEKHQAVALRINADFAAVFRCVISSYQDSLYVHSFRQFYRECDIYGTIDYIFGNAAVVFQGCNIISRMPMPGQFTVIAAQSRDSPDQQTGISFQNCSILATEDLRSTRAVRSYLGRPWRPYSRTVFIESYIDDLIDPMGWIHWSGDQGLDTLYYGEFGNIGPGSTVDGRVTWPGHHVMDYYEATNFTVSEFITGQEWLDSTSFPYDDWV >KVH94065 pep supercontig:CcrdV1:scaffold_1458:84793:89631:1 gene:Ccrd_003880 transcript:KVH94065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGQQAYSRLLTVCLQYCKQVQSHRLFDESPQTVVQASRAVNLIHAQSLLLGFDSKGKLGNAIVDLHAKCGNMDFAQKAFKQLEQKDTRAWNSILSMHSRYGMLEDVKHIFGAMQIYGVYPNQFTYAVVLSVCARLTDIVLGKVVHCHVIKTGFICNSFCEGSLIDMYXKCALVDDAREIFDGSVCSDTVSXTXMIAGYVQVGFLEKALRLFKDMLPLGHIPDQVAFVTIISACLESGHLDDARHLFNQMPNPNVVAWNVMISGHAREGSHNVAIDYFQGMTRSGVKPTRSTLGSVLSAIAITFDLDCGSQVHSQAIKQGLCSNVYVGSSLINMYAKCQEMDSARSVFDALDEKNIVLWNTMLGGYAQNKNVDERLIVAAMVYFIWQERNLRLHHGKERSATILTKQIISTVKLRLLGMKVKNNSQTRRAASMWNLKMGDDGFIATSTYVMLTSMISIGDGYCSCLTLPLWPMSVSLPVMEKFVMRKLSFESIVRVGIFKSYEILWGGFYSGGALGYTWDTHGLGYKLQILTQSELVLLGYGGGIWDEVIRGRRVEEIGYTLNLKWRDKAVLLEGAGIYWFLGVPKSRRECLFDFLLDLVSFLGIWRCWCSFFEPKDWLVVCIFHVDVVVICPVMIAGHIKVSQFWCWLCSNGNRGRGFPNRDPCWSCWLLGKGGFLVDLEKIVVLDKMKLIGSIIFNIGGLLEISTIGGFLRWNQMLKDTDLGKLVRALLDDGNPNDAYSRIRRWDGLCNCARFLEWFSIRRFSGKLRTSIAGCVLFWLRIDEFVKERIMDIFGIGLEEYGSGLGQRAHVINLWALLNLKSGLVFNPNTTLLSTWSKVYLGLILFNMLNWGWTIGDCFSFEDWAAVGHLHFGHVYASLGRTSIFLMVYFHWWTYDFFGGSLMSSTRPLLCVFPKHFLGMHLFLSLGVFPS >KVI11504 pep supercontig:CcrdV1:scaffold_1459:103073:104353:1 gene:Ccrd_010084 transcript:KVI11504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MASIPADHEEAADIIYDKIQILVVEEKKRRKHVHQFSPSIYRVPNILRDVESKCYSPRXVSIGPFHKDDPKMKEVVVHKVTYLLSLFRRLRSPRDQTMKECVNRVLAQIDQIKACYGWEMETDNDHEFAKMMVIDSCFILELVYRSNVNEYEGHSFFDDNLLTLYAKHDLVLLENQIPFFVLENIFECTIRKFRPSASLTKLILSFLTEINPFGKELVLKXEGAHTNYDHILGLLQKCYQPSNAMLSQISKISNSGAKLSNAITSYSVAELSRAGVKFRPYVDANWQLAMEFKSSRIPCYIWSWGKPTFRMPLLQIEDYTESVLRNLIAYEQCSPAIPNYITSYAFAMKGY >KVI11505 pep supercontig:CcrdV1:scaffold_1459:87500:88828:-1 gene:Ccrd_010083 transcript:KVI11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDDEYGWEVHESIRILVEGESGRPNHPKQSNVTICMVPESLRNLNPTAYTPRITSIGPLHKKDKRLKAIDEHKVTYMYRLFCRTVESTKKEMEQTTHKCVQAVLGLVTRARACYAPNLTNYDDFKLAEMMVIDGCFILELFYRFQFGIAEGDPIFDNILTLHDVRYDLLLLENQIPLFILEILFRLTVKRILKSTSLTGLIVYFFKDMNILNNVELPTMDENVEHCHILGLLQSCYRPRATKRGQMPNMSYSATEIAGAGVTIKAHSDQNCLLAVIFKQSPLVPGLGNRLVRETSFKIPVLCIKDSTPSFLRNLIAYEQCYPLSRHYVTSFAFLMDRLIDTKDDVSLLVRSKVLQHNLGACEDVTNIFNNICNGVVLRDFYYWDEWRQLDDYCTRFWPSILISLRRLYISTTWKTLTVIAAVILFGLTLIQTIYTVGNA >KVI11506 pep supercontig:CcrdV1:scaffold_1459:46961:49550:1 gene:Ccrd_010082 transcript:KVI11506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MADRSTSKMPGQKQAEESIVPSDFNEGENGGRRKEAGAESEDHSVLNMTNLLWHGGSVWDAWFSCSSNQVAQVLLTLPYSFSQLGMTSGIVLQIFYGLLGSWTAYLISVLYVEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIACARYDLLMVIYVEYDSNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAALVHGQVDGVQHTGPNKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKHIYLLATLYVFTLTLPSSSAVYWAFGDELLNHSNAFSLLPKTRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARENAAEKPPRFLPSWTAMFVINAFVVVWVLVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPAVPPPPKH >KVI02596 pep supercontig:CcrdV1:scaffold_146:237:1071:1 gene:Ccrd_019087 transcript:KVI02596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S QVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLTVYDNGSVFSPEVLDLTEDDLIEKFALGVSMVTSLALAIHYPTIAAAPHMLINAYKNALAIAVETDYSFPLADKVKEYLEDPSKFAVAAPVAASSGGGAPAAAASAPAEEKKEEPAEESDDDMGFSLFD >KVI02617 pep supercontig:CcrdV1:scaffold_146:158190:164146:1 gene:Ccrd_019102 transcript:KVI02617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MVRTVKNHHHQEEEEDDEDFISRTTDGSSQKDGRRNDQKASSHRSKHSETEQRRRSKINERHACSIFQQTFIYYVVMCEADNLGLCRFQILRDLIPQNDQKRDRASFLLEVIQYIQFLQEKLQVYEGAYQGWSPEPTKLMPWRGNCGADNFADQSPPIKNGSVDEGNIVFAPALLTNVQNLVESDLNGSAGFKATDHGAARAVSMDVPLQQNTFEAEPTHTSQGSFPDVELLASQSRTQPWNARPVSSEGAIQSCTPSEMEELKMQREEASISNAYSKGLLNNLTQALGSSGVDLSQACISVQFDIRKRASSGATSTSFSVKDHDFLSPGNQATTHCAVRNGDDVCNQAHKRPRTEQNQ >KVI02605 pep supercontig:CcrdV1:scaffold_146:81017:81776:1 gene:Ccrd_019094 transcript:KVI02605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAQNTIAATTTIDGGLEWSSPIPYLFGGMFIAFSLIACALIILACSYKKVYSNNTSGGDEEKPYSLPNNTQLASEIVINRQSISTIVRNVENVKATRVHVEANTGPNTVVIMTGI >KVI02616 pep supercontig:CcrdV1:scaffold_146:280953:284658:-1 gene:Ccrd_019108 transcript:KVI02616 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAVLTVVIGVVLGLCLLSTFLLRWNEMKYKKKGLPPGTMGWPLFGETTDFLKQGPSFMKNQRARYGSVFKSHILGCPTIVSMDPELNRYILMNESKGILPGYPQSMLDILGKSNIAAVHGPAHKNMRGALLSLVSPTIIKDKILPKIDDFMRSHLSNWSHQVIDIQEKTKEMALLSSLKQISGIESCSLSKEFMPEFCKLVLGTLSLPINLPNTSYHRGLQARKNIVRMLGELIDERRKSQETHEDMLSLLMSGKEHLGIRVRKMAEDPLDWDDYKSMKFTRAVIFETSRLATIVNGVLRKTTKEMELNGFVIPEGWRIYVYTREINYDPCLYPDPYTFNPWRWLDRNLETQNYFFIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDELMKFPRVEAPNGFHIRVSNLNK >KVI02601 pep supercontig:CcrdV1:scaffold_146:333500:336606:1 gene:Ccrd_019110 transcript:KVI02601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 MAPKKGGKPIATKKKTEKVVNPLFEKRPKQFGIGGALPPKKDVHRFVRWPQVVRIQRKRRILKQRLKVPPALNQFTKTLDKNLATTLFKMLLKKMEIPYCIVKGKSRLGTIVHQKTAAALCLTTVKNEDKMEFSRILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRLN >KVI02619 pep supercontig:CcrdV1:scaffold_146:228623:242934:-1 gene:Ccrd_019106 transcript:KVI02619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSTSSVAQPDAILDWLQKEMGYRPLGPYIASSKASMPSSDAIRRVCRGNMIPIWNFLLKRVKSEKTVDNIRRNILVHGGKENDGGGVEAGKSGEVGRRSRGGGRRKEKVVGRDGGSSGGGSGESNSRETAVQERELAEKEVERLRHMVRRQRKELKARMLEVAREEAERKRMLDERSNYRHKQVMLDAYDQQCDEAARIFAEYHKRLRSYVNQVKNAQKPDGDSSVDGEKGDVYSTVKGSKPAVDDVILIETSRERNIRKACESLATQMIEKIQNSFPAYEGSGIHSNPQLEAAKLGVDVDGDIPDEVKGVILNCLRSPPQLLLAITTYTQRLKSIVAKEIEKIDVRADADMLRYKYENNRVMHDSSPDVNSPLPFQLYGNGKIGVDMPSKGTQNQLLERQKAHVQQFVATEDELNKAAEARSMCQKLLKRLCGRVDIDPSPSLGVGGTSQTMSSLRQLELEVWAKERETAGLKASLTTLISEVQRLNMLCEERKEAEDSLKKKWKKIEEFDARRLELKSIYSALLRANMQPLAAREYASSTIIPACRVVIDISNGAKDLIDKEVSSFYRSPDNSLYMLPSTPQALLESMGSTGSSGLDAVVAAEKNAALLTARAGARDPSAIPSICRGSDAGLASVLESMEFCLKLRGSEACVLEDLAKAINLVHIRRDLVESGHALLNHAYHTQQDYERTTSYCLDLASEQEKTITEKWLPELRNGVVNAQKSLDDCKYVNGLLEEWWEQPASTVVDWVTVDGQNVAAWNNHVKQLLAFYDKELL >KVI02615 pep supercontig:CcrdV1:scaffold_146:314578:315966:-1 gene:Ccrd_019109 transcript:KVI02615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MASFSPSPLLTLCFITLFSIFIFISTILNPNFISNLNSYNSHDFPPVLPSSLHHQISNLQTQFGILLEKIHSEEKESSKTLSRFSDQVLRVALLLDKLSESLSGLHGDTTIATSVTYQDSTQPEETEAENHEEEEEERFIELSEKVLHYTNPKPNRLPDGKKNFMDLEAINPSIGLGCTRMTANLDRYSTYKPYSNCPDDSEFAQKLILRGCDPLPRRRCFSRSPPNYNNPLPLSSSLWTQPNDSNILWNHYTCKNYNCLISIDTKNKQGFHKCADCFNLSKKGWEVPLTDSESAEISIDEVLALKRTEIRIGLDFSPTTGSFAALMRERNVTIASATLNLGAPFNEVIALRGLLPLYVSIGSRLPFFDNTLDLVHSTLFLDGWIGFELLEYVVFDWDRVLRPKGMLWLDRFFCKKEDMKGYISVIEKLKYRKVLWRVVTKKDRVENELFLSAVLEKPLRAM >KVI02603 pep supercontig:CcrdV1:scaffold_146:450529:456523:-1 gene:Ccrd_019117 transcript:KVI02603 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MDVDLILLGRSEKDREMGAEGWRIEEVAVLVVAVDEFSKRSDGRWKEKRRAIVGDERWRTNMFKRCIPPPTAMYSTHGIRASLQSAIGRCSNNNGKFVAKDGDGDINDGFNIQVEPKSSSTRRRASKKDRHSKINTARGLRDRRMRLSIDVAKKFFKLQDMLGFDKASKTVEWLLMKSKSVIQELLPQKLNLRSSFMAVSNSASSTPNCEVLSGNTDNQCMVTGDDQATPKFQEKSSYSSNCKEKKKSTGRGVRKSAHLLHSPLAKETRERARARARKRTVEKSNKIGSGGDDQSSKSRPCLDQVIDQYLHLIGPSPIDQPEQQISHLQFKQGISVDNSSLMTANWSPSFLFNNQHAGAPPHEESVS >KVI02614 pep supercontig:CcrdV1:scaffold_146:339801:345832:1 gene:Ccrd_019111 transcript:KVI02614 gene_biotype:protein_coding transcript_biotype:protein_coding description:AB-hydrolase lipase domain-containing protein SVKTVTYESLSNILRLINGLSALLLAILPGKANILEGIHGWELKPTFRGPKLPRWMEDGVSSFNHFIHELDYDSASSVDYSSGDDIDEYDDDCIYPATPLSARCHISRASSFTKRERSRAHWIISILKFLLIPLRLILWIPVYVYKAIFHRGARIHTTNPRRVQTSHAAKKALDHDLHLGIEIFIETIFDGIHHAARCLLSPLDSLRMLLRWSSSSSSGSGKIPRNDPGVSVVTSTLADPDPTVTEKKSTLSTRLNIDARTCRDVITELGYPYEAINVVTSDGYVLLLERIPRRDSKKAVYLQHEKLTSFGRYWRYSINEHGTKDLPALIGKIHQVKTSELKSSAKPDTEEPNPEQPYKLCAICHSLGGAAILMYIITRRVEKIPHRLSRLILLSPAGFHHDSPFFFTVIEYSCLWLAPILAPLFPGLYIPTRFFRMLVNKLARDLHNYPAVGGLVQTLVSYVIGGDCSNWVGVMGLPHYNMNDMPGVAFGVALHLAQMKHARKFVMFDYGSRAANMLVYGAPKPLDLGEHYNLIDIPVDLVAGMKDKVILPSMIRKHYKTMKEAGVDASYKQFEYAHLDFTFSHREELLEYVMSRLLQVAPGSKPKAMKLKRIETSLAK >KVI02620 pep supercontig:CcrdV1:scaffold_146:181107:184031:1 gene:Ccrd_019104 transcript:KVI02620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4/S9 MRKLKFHEKKLLKKVNFLEWKREGGHREAQVMHRYHVTGRDDYKKYSSLCRMAQKLVNILKQMDPRDPYRIEMTDALLEKLYNMGVIPSQKSLALCDRLSVSSFCRRRLATLLVRLKFAEHLKEAVTYIEQGHIRVGPDTVTDPAFLVTRNMEDFVTWVDTSKIKRKVMVYNDKLDDYDAMV >KVI02602 pep supercontig:CcrdV1:scaffold_146:414276:426103:-1 gene:Ccrd_019116 transcript:KVI02602 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein, MSH2 MDGDLQEYNKLPELKLDAKQAQGFLTFFKKLPNDTKAVRFFDRRDYFTAHGENASFIAKTYYHTTTALRQIGSGSDAVSSVSVSKNMFETIVRDLLLERTDHSLELYEGSGSNWRLMKRGTPGNLGSFEDVLFANNDMQDSPVIVALVPNFRETGCTVGLGYVDLAKRVLGMAEFLDDSHFTSAESALVALGCKECLLPTELTKSSECKALYDAISRCGAMVTERKKAEFKGRDLAQDLGRLVKGSSEPVRDLASAFEFAPGALGVLLSYAELLADENNYGNYTISQYNLNSYMRLDSAAIRALNVMESKTDANKNFSLFGLMNRTCTAGMGRRLLHMWLKQPLLDVTEINVRLDLVQTFVEDPAFRQDLRQHLKRISDIERLMRSIEKRRASLFHIVKLYQSSIRLPFIKSAMERYDGQFASLVKGRYTDHLNYWTNDDHLNKFIGLVEVAVDLDQLENGEYMISPGYDSQLSTLKNEQESVEQQIHDLHEQTAKDLDLPLDKGLKLDKGTQFGHVFRITKKEEPKVRQKLNTLFIVLETRKDGVKFTNTKLKKLGDQYQKILEEYKNCQKELVARVVETAATFSEMVIDESSIQVFEGLAMLLSELDVLLSFADLAASSPTPYARPEITKSDTGDIILEGSRHPCVEAQDWVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISIRDCIFARVGAGDCQLRGISTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVIKAPTLFATHFHELTALAHENAGKPSGVANYHVSAHIDSSSQKLTMLYKNCGNPLIMADFVFIFCDTDYKVEPGACDQSFGIHVAEFANFPESVKPSEPDDMALGAPRARQFLKDFSELPLDKMDLKQALQEVKRLKNELQDDASN >KVI02622 pep supercontig:CcrdV1:scaffold_146:383035:385928:1 gene:Ccrd_019114 transcript:KVI02622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETLKAAAASSEAPAAAAEVAVVPEAEKVEACEKEAVKDVAVEEEKEKVTASISFKEESNVVGELPDPQKKALDELKLLVQEALNKHEFTAPPPPPPVKEEEEEKKPSSAVEGGATVPTPVVESECKEEEKVTAVEPSVKEVTEVIVKAESCIDEDGAKKIEAIQETIVAVTMPAEPPRTEETAPPPCAAEETPISPEEISIWGIPLLADERSDVILLKFLRARDFKVKDAFAMLKNVVAWRKEFGIESLLEEDLGTEQDKVVYMHGVDKEGHPVCYNAYGEYQNKELYHETFSDEEKRTKFLRWRIQFLEKSIRKLDFSPDGICTIVFINVPWWYLAFYKMINPFFTQRTKSKFVFAGPSKTAETLFKLECLIPYLKTDSFNDFDQTLACFHTCTLVWEARVVGHEITYGAEFVPATEEGYTVIVQKSRKIAATTDEPVICGSFKCGEPGKVVLTFDNQTSKKKKVLYRSKTKLGSD >KVI02607 pep supercontig:CcrdV1:scaffold_146:101225:102532:-1 gene:Ccrd_019096 transcript:KVI02607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MADMSNIQALSLQPNTPQHQVLEEEEEDETISSSQQTLDNSITNCSSLVEEEEEEDDDDDLVNVDEGDVLPYTFNFDPFSPLNSSFFVPSHHHHHNPQVNSNSCSCCSCESGSESESESDCPDSTVYPDCLYGHEEEYDQMDFITDLFDSRGEIEHVSGTDEGISVSEAINDHDDDFVSSNIEELGLGFEGGGLRVVGIESESDSGTDEPGQVNDQNDERIQITDMNHEHFWDCPTFDTARVDDRDGLSSVIDRIEDISVSSDISSEGDSAVGVLEWEILLAVNNLERDLEFETNGDEGFVYTAEFDTLIGQFVETVRALRGSPPAAKSIIDNLPSVVLKTNNSKDGDHDPVHNQDHDHVICAVCKDEISMEEKVTQLPCRHHYHGDCIVPWLSIRNTCPVCRFELPTDDVDYERSKNRVNDNELVVGYGSELSP >KVI02612 pep supercontig:CcrdV1:scaffold_146:131734:135643:-1 gene:Ccrd_019099 transcript:KVI02612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVTSSMAAKFAFFPPSPPSYRVVVDESSGGKTSRMTDVPERENVDVLKLKTKRGTEIVAVYVKNPMASLTVLYSHGNAADLGQMYELFCELSLHLRVNLMGYDYTGYGRSSGKPTEHNTYADVEAVYRCLLETYGAKEEDIILYGQSVGSGPTLDLASRLSRLRAGTADDVVDWSHGKELWELCVEKYEPLWVKGGNHCDLELYPEYIRHLKKFISAVEKSGRLKNLSGPLVDHGDKHRVSTDCRDKPRPSTDRPDHSRPSVDCRDKSRSSVDRREKSKKNAEQHVGKSTNAIDQPEKARNSIDRGRKIIMSVVSGGYRR >KVI02606 pep supercontig:CcrdV1:scaffold_146:112950:117363:1 gene:Ccrd_019097 transcript:KVI02606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MFFPLLIASIQIDWFLEFQKLSIWENANFNRNCFEFSLRRLCSKKSKSDYRMTIEIRNPSNENRSRNRKQVIVDDKVPLLPTKKEEDGGLEEFDGASFTGAVFNLSTTIVGAGIMALPATMKVLGLIPGIALIIIMAFLTESSIDLLIRFSRARKSVSYGGLMEDEFGKVGRILLQVCVLVNNIGVLVVYMIIIGDVLSGINSNGIHHAGVLQEWFGEHWWNGRFFILLVTTLFVFAPLAGLKRIDSLRYTSALSMALAVVFLVIIAGITIFKLMIGSIMMPRLFPQVVDFASIKAVVRASLILCSTVYIMTSLFGFLLFGDGTLDDVLTNFDTDLGVPYSLLLNDIVRVSYAAHLILVFPIVFFPLRLNMDGLLFPNKRPLILDNMRFASVTIGLMVLVFLGANFIPSIWVAFEFTGATAAVCIGFIFPSAITLRDCYGIASKKDKILCVFMIALAAFSNLMAVYSNASALLSNNGSPPE >KVI02609 pep supercontig:CcrdV1:scaffold_146:8575:11225:-1 gene:Ccrd_019090 transcript:KVI02609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase MAMTTNGLSSKVIRSFLRRVKSSSPSNTTTTPRFRLAQRTTINTTTVFPSSPPPPPPTTAVADDPRDSIFDFEDTKGLFSSVTTGKLLRSAANLNLAAVEPVVDLGMWVMRSRLMQVGIFREIVLGTIKHTSYEHFVAGADMVETGRTVKRLWESGLRGMLDYGLEHAVDNESCDKNAQEFIETVESTQVLPPSSVSFVVVKITAICPVSLLKRVSDLLRWEYKNSSSMNLPWKLKTLPIFSESSPFYHTLEKPAPLTPEEESDLELAHQRLVNICNKSIECNVPVVIDAEDTSIQPGIDYFTYSAAVMYNKGEKPLIFGTIQAYLKDAGERLFETKRAADKMGLPVGFKLVRGAYLWSESQLANSIGVESPIHNSIDETHKCYNGCASFMLDEVSNGPGGLILATHNLDSGFGVSKYLPFGPVDQIMPYLLRRAEENKGLLSSSNLDRQLMMKELKRRMKAYVGQGLMDTESRLKSETGSIVKLN >KVI02623 pep supercontig:CcrdV1:scaffold_146:387164:395005:-1 gene:Ccrd_019115 transcript:KVI02623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane Fragile-X-F-associated protein MIVRKDMSWRRVANSLQAFSAHTALFCFTSLLVLKLDHLVPYSWWVIFFPLFLFHAIVARGRFSLPAPSVPHGRDWAPCHAVVATPLLIAFELLLCVFLESYHADRPAAVRLQTVFLPLLAFEVAILVDNFRMCKALLPGDDESVSDDAIWETLPHFWVAISMVFFIAATVFTLLKLSGFVDALGWWDLLINFGIAECFSFLVCTKWSNPVIHRNLRTSEASSSSTAIRYLDWNSGLVVSSEDTSEDGMCNLQDIGGHIMKIPIIVFQILLCMHLEGKPVAARSLSIPVIFSPLFLLQGVGLLLSASRLVEKIIILLHEGSREEQARLYHDGASGYNTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEGEISTVLLPCRHRILCSTCCEKCKKCPICRVNIEERLPVYDV >KVI02594 pep supercontig:CcrdV1:scaffold_146:1644:3544:-1 gene:Ccrd_019088 transcript:KVI02594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKRGESVVSLPLEVDVWKLEFIFTLKLVGDLKTQRSKGEKERNPIYQTETAEPSYLSSSIYYGGQEVYPPTSHNTCSQRTVSPTSPLTERTTNRLELTILRKVEAVKILMEAVLQGEIGGKDLSTIENLASISAISTVE >KVI02598 pep supercontig:CcrdV1:scaffold_146:152458:158371:-1 gene:Ccrd_019101 transcript:KVI02598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MELLASGGDGSRYGGGVRWFKLNGFEVDRRNGNDDGCIVEARNLENQNDENPNTLDVNHKDKKNTSISTANPAMACKGINNMVHKTDLVIIGVSVGLTVGILIATLVLFGIRWYKRRSHLRKCSAFAPPIHKSGTILSESFSNPVTVKLSTYPVKTSPLATRNNGKERLASVSGLLKYSYRLGCLFADEKDTLNWGERLQIAVDISHGIEYLHDGVADFGLSKEEVWDGRNSGVKGTYGYIDPVYISTNKFTTKSDIYSFGIILFELITAIHPRQNLTEYVNLAAMSSDGADEILDKKLAGDCDLEEVRSLASIAHRCLHKSPRKRPTIGEVSQAITKLNQKHLMKADSRTTMSFGSEEFSGVVSCIEVQQNQLKRMISINEG >KVI02613 pep supercontig:CcrdV1:scaffold_146:119564:131594:1 gene:Ccrd_019098 transcript:KVI02613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MTTDMGPPPPRNPTTTTTSDQTQLTETPTKTVDDMKKTPMGPPPPIPLNIESEKSVQPKEPQLPEDSAVATADNSTTVEEAGLSESKKEEQKPSSNVGSGGLAVPYTIPSWSEPPCHNYFLEVLKDGSIIDQFDVHEKGAYMFGRVDLCDFVLEHPTISRFHAVLQFNKSGGAFLYDLSSTHGTFINKNQVKKKVYVELHEKDLKSIRNLKMRDESRDMEASLLRAKREAALADGISWGMDEDAVEENEDDLEEITWQTFKGQLTEKQEKTREKIANMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRMAQVAEELENLEETLNESIRESMGARIGRVHDEFYDRTRKKPSKQKGAESQSIETADSLLDKKDAINREIEEKKKLLVDEKNRVIADSEDVGEAGDVLDAFMSGLSSQLVHDKTNSLQKELDELQSELERVLYLLKIADPAGEAARKRESVAGDGKPNIPKIPVVKKPSVEKHSRHKVEETAQKQGTTNITTISNTGPDHESAEVVTKATETKATVYTVTKPQWLGAVETKEPKKAQEAPMVVVESEQFVDYKNRKEVLGKKENAHVNEDSELENAAPGLIIRKRKPVEEPENVKVGDSDSVKSSVGVDIAAEDAVALLLKHTRGIQALDDEDSHGGGEGGAADNQGKKGKKKAKKNQIRMLFNHNDIEKQEL >KVI02621 pep supercontig:CcrdV1:scaffold_146:346664:347641:1 gene:Ccrd_019112 transcript:KVI02621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSMAWGYARIIAGTIAGGGVGFYVMHRLEISYKEKWDERLRKYEEELNKKKQKSEFEEAL >KVI02611 pep supercontig:CcrdV1:scaffold_146:52134:54404:1 gene:Ccrd_019092 transcript:KVI02611 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-l-methionine decarboxylase leader peptide MESKAGKKSSSSKSLFYEAPLGYGIEDVRPNGGLKKFKSAAYSNMAVEVSAIGFEGYEKRLEITFSDPGLFADPEGRGLRSLTKDQLDEILAPAECTIVSSLSNDSLDSYVLSESSLFVYPFKIIIKTCGTTKLLLSIPPILKLSSQLSLTVKSVRYTRGSFIFPGAQPFPHRSFTEEVTTLNGYFGSLGSGSKAYVMGGADKNQKWHVYSASSAETADHPVYTLEMCMTGLDKKHASVFYKNQSSSASMMTEVSGIRKILPNSQICDFEFDPCGYSMNAIESDAISTIHVTPEDGFSYASFEAVGYTFKSAVDLTLLVERVLACFQPNEFSVSLHGNDRRNLDLGSGNLNVKAYCVTETSFEDLGDGGGSMIYCLFTRGGNSCGSPRSTLHGCWSEYEDEELEKK >KVI02597 pep supercontig:CcrdV1:scaffold_146:166968:170262:-1 gene:Ccrd_019103 transcript:KVI02597 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MCCRLVENCEEEEQLNEMGGCVTFGGVGGGGGGEGSKGDKSSLMVLSQAKMILWMTRGMTTLLIWICVVQLLTVGEVWGPRLLKTWPSCYTPPQTNLPSPSFMESRVFLPPKRDYKNNGYLMVSCNGGLNQMRGAICDMVAVARYLNVTLIVPELDKSSFWADPSDFEDIFDVDHFIKSLRDEVRILKELPPRIKRRVELGKLHSTDARLANNGLPLEIQKLRCRVNFSGLRFTSQIEELGRKVVNILRKNGPFLVLHLRYEMDMLAFSGCTQGCNGDEIEELTRMRYAYPWWKEKEIDSDFKRKEGLCPMTPEETALILRALRIDRNIQIYIAAGEIYGGERRMASLATEFPNLVRKEMLLDRLELGFFQNHSSQMAALDYYVSLESDIFVPTEGSLSWDEFSNTVKEVHVDRMGRPTRRVTISDRPKEEEYFYANPYECLDS >KVI02610 pep supercontig:CcrdV1:scaffold_146:68417:74907:1 gene:Ccrd_019093 transcript:KVI02610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRVKGKMVDFWFLIWFLWLWGCSNGLLSPKGVNFEVQALMGIKASLLDPHGVLENWDADSVDPCSWTMVTCSTESLVIGLLLQNNNISGPIPEEIGKLKQLHTLDLSDNQFSSEIPSSLDRHHEEVSLGNLRKFQFRELQIATHNFSNKNILGKGGFGHVYKGQLQDGTCVAVKRLKDGGAAGGERQFQTEVEMISLAVHRNLLRGLLYLHEQCDPKIIHRDVKAANILLDDCCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLDILVDKDLKENYDRIELEEIVKVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQGVESCSKFRTPELSSSERCSDLTDDYSLLGQAIELSGPR >KVI02600 pep supercontig:CcrdV1:scaffold_146:205689:206938:1 gene:Ccrd_019105 transcript:KVI02600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSPTAVAGHGCYATGHSPSLSGKTQLAVSIWEDEHLRFRFLMLVYHISESMNLDQLLFSFNTNTNKPGFTSSSVV >KVI02595 pep supercontig:CcrdV1:scaffold_146:3353:7978:1 gene:Ccrd_019089 transcript:KVI02595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MATNENLPPNVIKQLTRELKSLDETPPEGIKVGVNDDDFSTIYADIEGPAGTPYENGVFRMKLILSRDFPHSPPKGYFLTKIFHPNIATNGEICVNTLKRDWTPSLGLRHVLIVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKPKFKAGAISESTAALNLDQTSSVCSIDPKNAVSGVVGPTPLAPSLNTMKGGSGQDHPTTGANLSPTVEIGVSSGSGAALAPMVTQKKECGLVKAQADKKKIDARKKSLKRL >KVI02604 pep supercontig:CcrdV1:scaffold_146:82493:90927:-1 gene:Ccrd_019095 transcript:KVI02604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MILVDQDFIVSTFNVDTPVNQVYHLDTNPQFQEMNLQSTYIRSNWTSDEADEQQLSLINERKQRRMISNRESARRSRMRKQKHLDELWSQLMNSSQ >KVI02599 pep supercontig:CcrdV1:scaffold_146:150110:152697:1 gene:Ccrd_019100 transcript:KVI02599 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MVVGIREESSDSGSRDLMTQLVMMGCSFGGSRSRNTSPMARAIGSRNISPSRQKTVKTKPRGLDEEMIAKFPKTPHPDVRMEDDIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDNGFLKFHSQVPSHGPCLLTFCKNSQSQTPNCSVFSLPLKQWYRIPFTFLPQWAFWIVGSSGGLVCFSGLDGLTFRTLVCNPLTQKWRILPKMHNNQQRQLSMVVYRKQRSFKIIAANDLYGDKSLPTEVFDSNLGRWSLHQKMPAVNFCSSKMAFCDSRLYLETLSPLGLMMYQVDSGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRVGLYSTLQSMRIWELDHSKPMWVEVTRMPPRFFRALLRLSAERFECFGQDNLILFTSWNQGKGLLYDVDKKQWSWIAGCGLRLHNSQVCFYEPRFDASIY >KVI02608 pep supercontig:CcrdV1:scaffold_146:35609:40597:-1 gene:Ccrd_019091 transcript:KVI02608 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGKLNAMSVEMAAVPVLLNNGSLRSSEMSRLRVMHTKCLTKCKILSCSLSNSCYGSHSFPLVGLKSQNRLLCIRSVINEKGNKENHLGNREPSFLRKGFKLRLQPRLRLLSSRLKRASVRSILNDLGTYLRKNMRKVTLSTSISVVLGLCYLFLKLTTMPTPKVVPYSDLITSLQSGSVMKVLFEEGSRRIYYNTGSFGVENTQNSEDPKVGRNDDDENLVGSDIVRSNVKNNQITSSHMLWKLTKTKASKPEWQYSTRKIDHDESYLLGLMRERGITYSSSPQSALMSMRGVLITIISLWIPLTPLMWLLYRQLSAANSPAKKRRPSNQLVNFEDVEGVDTAKVELMEIVLCLQGSINYNKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVEMFVGRGAARIRDLFNVARKNAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDVNVIVIAATNRPEALDPALCRPGRFSRKVFVGEPDESGRRKILAVHLRGIPLEEDAAIMCNLIASLTQGFVGADLANIVNEAALLAARRGSTDTSYGTDFVLLFLE >KVI02618 pep supercontig:CcrdV1:scaffold_146:256402:257804:-1 gene:Ccrd_019107 transcript:KVI02618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGRVQLKRIENKISRQVTFSKRRTGLLKKAHEISVLCDADVALIVFSTKGKLFEYATHSRLDERYPNEFYYNPTIETLTTQYLL >KVH88189 pep supercontig:CcrdV1:scaffold_1460:109914:113017:1 gene:Ccrd_024423 transcript:KVH88189 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLLYFVTCISFIFFYRYSLPIKSLPPWAREMRLRSFYFFEDLSILFPFAKSLNNALFLNSNSKTKEKSISKSITDTTKHIGTTTTTGSPLLDLPELAIESILEKLEPADLSRMACVSTYLRDICLSDYLWKRHMKQKWGTIFGSAAQREWQMHIASQKESRSCFLDGGKRRGFLMGYLSKLWPVMMFRAGFNSYGSSDERKQMKISSPPPGDCSIVSCYRALETGNFWFPAQVFNRENGHVGFVMSCYDAKLCYDSRTDTFEARYPPHGARAVANESEVTWDRIRAPPVDASPHDLHMSDCLNDLRPKDHIEIQWRRNKQFPYGMYTCHSFYSYFLTCWWYGVVGHLQSCGGTDTSCNCHKSNTVVLEFNQYAFGSRWRHTMINRKDHSEEGNEADGFYGGIRKLYNKDEISLWQQFWPTGILE >KVH88187 pep supercontig:CcrdV1:scaffold_1460:41967:42626:-1 gene:Ccrd_024421 transcript:KVH88187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MAKKFYDCSNSYYDKLHSYVADDLTVGCPSCHKLLNRRLSYVAGSSAEKLTAEDGGFVKGVVTYMIMDDLEVKPMSTISSITVLNNFNVKEIGGLEEKLVPFGVEEGLMLLKASFECKNVLTTVFMA >KVH88188 pep supercontig:CcrdV1:scaffold_1460:45807:71563:-1 gene:Ccrd_024422 transcript:KVH88188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MKKTCCVMKEDDDAGKTEESRDDGGEXSRRIEMKKTCCVMKEDDDAGKTEESRDDGGEHRREQERWRRAPERAGTMEESTREKWTKTDAYKFPTQIFIQYFFSDGRSRYKQNTSRSTRGQERQKQSRTTVSHVIKSQPSPPAIATASTSATATATTTTTTTIATIGIMYLVFKPKIPNYSVNRLTISQLSLNLDLSLYARFNVQITATNPNEKIGIFYQKGSRLSVWYKNTNLCQGSLPIFYQGHRNKTILNVALSGQNQYGRTLIAALQEQQQTGRIPLDLKVDVPVKIHLGKLKLKKVRIKGKCMLIVDNLSANNKIGIKATSCKFKGTVAEIVQSVVDAAAVVAEAQIEMQNPLWQIC >KVH96898 pep supercontig:CcrdV1:scaffold_1461:73641:74693:-1 gene:Ccrd_001009 transcript:KVH96898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGELQNLVLDWSIALASLLYSHGVGMFIAQGTTRFLALFPVIYLFVSLPLNLYAMFCVGPTSFFMSWLGSFKLMLYAFGQGPLSSHPHLPLSHFISAACLPIKITRNQEHPSRKITKKSPKDYTARVLLFIIAIKIFDFKDHLHPILVTCLYAYYLFFMLELLLAIAGWFARITVGVEIEPQFDEPHHATSVQNFWGNRWNLMVSSILRSTVYQPSRTIFSYMVPQRWVSVPAIFSTFLVSGIMHEIIFYYLGRLTPTYEVTWFFILHGLWVGTEVVVKKTVDRRFDPPPAVTRPLTLMFVILTSYWLFFPPLLRIDPFGKACREAMAVAGFFKHGQQLSPNEYKCPLM >KVH96899 pep supercontig:CcrdV1:scaffold_1461:110051:111385:-1 gene:Ccrd_001010 transcript:KVH96899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVMCEDGKANTQILFCGPHFAASHNYTKEYLQNCPSIQVDDLPFANIPDLIGNYDIYVVKSMRLNSDVIARATRMKLIMQYGVGIEDHCIDVAAATTME >KVI04437 pep supercontig:CcrdV1:scaffold_1462:36234:39794:-1 gene:Ccrd_017248 transcript:KVI04437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MVIHSVTAIMLLVSFHILLLLPDGIMTDLTSDRAALLSLRSAVGGRTLFWTTTQQNPCNWAGVQCDNTINHVTALHLPGVSLSGQLPVGIFGNLTQLRTLSLRFNALSGPLPSDLSSCVNLRNLYLQGNRFSGNFPEKIFSSLRDLVRLNLAGNNLSGEISTGFNNFTRLRTLYLENNQFYGSLPELKIPNLEQFNVSFNNLNGSVPKSLQSNPKESFVGNSLCGSPLDQSCPRKEDVPPAVSVDLTRNRKKKNRLSGGAIAGIVVGSVLGLVVVLLLLYILCRKRTTKKTSSIDIGAKKLADAEVLGEKPLTGSGMGSNGFSVAAAAAAAMTTSSTAGANTGGGGDATGNKKLVFFRNSSTIFDLEDLLRASAEVLGKGTFGTAYKAVLEAGMMVAVKRLKDVTVSESEFREKMESVGAMDHENLVPLRAYYYSKEEKLLVYDYMPMGSLSALLHGNKGGSRTPLNWEIRSSIALGTARGIDYLHSHGHDVSHGNIKSSNILLSKSYDARISDFGLAHLVGLPSTPNRVAGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSTVKEEWTSEVFDLELLRYQNVEEEMVQLLQLAIDCAAQYPDNRPTMAEAMSRIEELRRVSLIKGGDGVSNPDPDRVHESD >KVI07899 pep supercontig:CcrdV1:scaffold_1463:68943:71020:-1 gene:Ccrd_013738 transcript:KVI07899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MPYLLLLLSFLLRLRPHDASVDFNRQPPRPLVYTYHDAPLSHPQQVHVSLVGNNYMKVSWVTDETDVPSTVDYGKTPGIYDSSATGEYTTYSYFFYSSGKIHHVTIGPLDPATTYYYRCGGSGPEFNFRTPPATFPIEFVVVGDLGQTEWTRSTLEHINASNYDVLLLPGDLSYADTHQPLWDSFGRLVEPYASRRPWMVTQGNHEQEMIPLIYPKGFKAYNARWPMPHEESGSDSNLYYSFDIVGTHIIMLGSYADFKTGSDQYKWLANDLAKIDRSRTPWVLVLLHAPWYNSNTAHQGEGESMRVAMEEMLYNSSVDMVFAGHVHAYERFTRVYDNNADPCGPIYITIGDGGNREGLAMKYKEPSPSISLYREASFGHGRLRIMNGTHAHWLWHRNDDSAVLVADDVWLEALSSSPSCSQKIQPKLKSTQRDEL >KVI07900 pep supercontig:CcrdV1:scaffold_1463:88595:105401:1 gene:Ccrd_013739 transcript:KVI07900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF914, eukaryotic MILSTFIQSISKMNSVGVKYGGFCRVLYVVCLGQLTSFSMALMSFNSSLSANLGVNAPFTLSFFSYLALTLVFGSILLYRRQKLQISWYWYVLIAFVDVQGNYLVNKAYQFSSITSVTLLDCWTVVWVIILTWLFLGTKYSLWQFFGAALCVTGLCLVLLSDSGVGGGGLYLRGRILNLYPGLQKLWTGYTTCPFYSLVSVLSYTQKRKSYSRMASIISDKYSNELPKLEDGDTDQLSRLLPEEAVRAKKFSVRQNLGVCLGRDVVQRKASVEPTGRLIVSSTMTKLDVNHGGFWRVLYVLLLGQLASFSLAFMSFNSSLSANLGVNAPFTLAFFSYLAVTSVFGSILLYRRQKLHISWYWYVLLAVADVQGGYLVNKAYQFSSITSVTILDCATVVWVIILTWLFLGTKYSLWQFLGAALCVTGLCLVLLSDSEVGGGGGSNPILGDILVIAGTCCFALTNVSEASGATLFNLSLLTADMWAVAIRVLLYRQKFIQRNATCGSSAIYSTCNTTISYNRNTDNPLKSVHPNDTLTIKILSFWFGVFKKSDSTALIDIMGSSISRVGVKYMGLWRVFYAVLLGQFTSFSMAVMCFNSSLSANLGVNAPFTLSFFAYLAMTLVFGSILLYRRQKPHISWYWYVLLAFVDVQGSYLVNTSYQFSSVTSVTLLDCTTVVWVILLTWIFLGTKYSIWQFFGAALCVTGLCLVLLSDSGVGGGGGSNPILGDVLVIAATCFFAFSNVGEEFCVKKILTFAGYGIAGFMYYSLTPLVLQASGATLFNLSLLTADMWAVVIRVFLYHQKVDWLYYVSFLLVGIGLVIYSKPLDVNYVGFWRVLYVVLLGQLASFSVALMSFNSSLSANLGVNAPFTLAFFSYLAVTLVFGSILLCGLQISWSWYALLAFVDVQGTYLFNKAYQFSSITSVMILDCCTIVWVIILTWIFLGTKYSIRQFLGAALCVTGLCLVLLSDSEVGGGGGSNPILGDVLVIAGTCFFALSNVGEEFCVEKVGQIEVIAMFGLFGMLLSVVEMYPFLFKVGLKFLFLRKLPEGQMSVFHNHPLGPYLRRRISNLYPGLRKLLYVLFFDASRSTGKWSHAIQSVITDGRYVGSGHSCVPIPPEGGWVILRIIFTRWYRSRHIFKTVSLVSERELVYIYIYTETKRYE >KVI07897 pep supercontig:CcrdV1:scaffold_1463:54629:57818:1 gene:Ccrd_013737 transcript:KVI07897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MPKLLFLFLFFLLFLLRPHHVSAHFIRQPSRPLVFTPHNRPDSHPQQVHVSLVGNNYMKVSWVTRGSGVSSTVEYGKTPGKYESTATGEHTSYYYFLYTSGKIHHVTIGPLDPATVYYYRCGGAGPEFNFRTPPATFPIEFAVAGDLGQTEWTSSTLEHINASDYDILLLPGDLSYADTQQPLWDSFGRLVEPYASRRPWMVTQGNHEQETFPIIYPKGFKAYNSRWPMPYKESASDSNLYYSFDAIGTHIIMLASYADFHVHSNQFNWLAKDLAKIDRSRTPWVVVLLHAPWYNSNLAHQGEGESMRVAMEEMLYNSRVDVVFVGHVHAYERFTRVYNNKANPCGPIHVTIGDGGNREGLAMLYKDPTPSISLYREASFGHGRLRILNDTHAHWSWNRNDDSVSVVADEIWFKSLSSSSSCFPKVQPTIEPTSEKDEL >KVI07896 pep supercontig:CcrdV1:scaffold_1463:46173:48777:1 gene:Ccrd_013736 transcript:KVI07896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVTRKISAASARSHTRKPKPSSPSFLPKGIFKKSLLVFFTGFLAWAYQATRPPPPKICGSPDGPPVTSPRIKLRDGRHLSYLEYGVPKDTAKYKIIYVHGFDSCKYHNIFADTASPELLSCGLGLSLQALIEDLGVYIVSFDRPGYGESDPDPKRTLKSLALDIEELADQLGLGSKFYVVGLSMGGELVWPCLKYIPHRLAGVTLIAPVVNHWWPNFPSNISNEVYSQMFKQDQWCLRVVHYLPWLTYWWNTQTWFPASSVASQSLAMFSPPDMEILSKLPPPTEAQKVLAFEFAL >KVI07898 pep supercontig:CcrdV1:scaffold_1463:26263:26490:1 gene:Ccrd_013735 transcript:KVI07898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNSKLYMENCLIMQENEKLRKKAQLLNQENQALLSQLKQRLTMGNQNVNNNPDSIADLNLSNTKASSSSRKP >KVH88186 pep supercontig:CcrdV1:scaffold_1464:115923:118820:-1 gene:Ccrd_024424 transcript:KVH88186 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c, class I MASFAEAPAGNPTVGEKIFKTKCAQCHTVEKGSGHKQGPNLNGLFGRQSGTTAGYSYSAANKNKAVTWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKASTA >KVI01742 pep supercontig:CcrdV1:scaffold_1465:61960:63449:-1 gene:Ccrd_019979 transcript:KVI01742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNREERLRSNESPRRYFVQTDISMDAIIRDEDLNVNQMYDRFRKNITSCMNTDKELINMRNVDLVFFPVVEPSFYYVLVFDLKRPSIAILDSQNRDGKVDDIYGSSTVGLQDMMIMHLLKEGHGAWKVYAEMDQDHIKTRWQFRENTVDAGVMLMRHMETFFGGDVMKWECGLYKEGTKQKRQLKDLRTKYCSKMLLSDENIRKTSIVSDVERFIAMETSYDARKNRGARLMSRGKK >KVI01741 pep supercontig:CcrdV1:scaffold_1465:42276:44036:-1 gene:Ccrd_019978 transcript:KVI01741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQAKENSIDSGGGGPTKDNNYIHMRTKRGQTTNSHSLAEMVRRERISERMKLLQELVPGCNKVPDMECQVLDILSFHLFVPNVKSSLERATLELKYQKLYEPLYSKDVLF >KVI01740 pep supercontig:CcrdV1:scaffold_1465:14200:14670:-1 gene:Ccrd_019977 transcript:KVI01740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein MDILHPSSSATCAYHIFLSFNCADIRKHFTDHLYTAFCNAGFHTFRDDHEIQKRQRIDSMMSVIVFSREYASSEWCLDELVRILQRRNSDDRHRLFPIFYNVEPTEVGYQKGSFKECFDRYEGSGEHSKEKIDEWREALKEVSKLTGKVLQDEYNG >KVI01744 pep supercontig:CcrdV1:scaffold_1465:64479:76269:-1 gene:Ccrd_019980 transcript:KVI01744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFGGFPINQHQRRVRKKSERIMMKTYFSNFKNTIDNPVDVDAEAELERTNAQSSNEQGSSKGKEAEVEQTYGVPRPAHAASKGKDLKVTKPIVQQTRKQAARVGKVPERSDNQECIIMMGERIVDLRSSRTETDMLLQGYVERFSGDKCFDQFKQELARMFKDNIWETRQDEGQRSDKVLTVVD >KVI01743 pep supercontig:CcrdV1:scaffold_1465:82397:85136:-1 gene:Ccrd_019981 transcript:KVI01743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHVHRPQLQLRSPSSSASHSSNSGRLYLGRPNHLARSVARSSPRSVAPWNEKPQLCSIPTTVLFFFSRVKHPKRIWVTPFKRKVWSQCPEEHSDSFLYDKAIPLAEANDVDENAIFLDTENLNGPSRMKLEDTSSGVSEMDDEILESNEPNKAPAEESIIEKAVIDVMPTSTIDRNSEQVNQEAEQTMDYAEEITLESVADDSLIKEENQVPMKATDAIDHPTSIRKMTSDNNENIGSVVVAVEENKNINEQAVDEKPKSLNDTSIRQLKKQLKALSIKNNMNNIKDDKVGEVRPVMQAVCENQLVTEEMEDGENMDGGDDSDIG >KVI03125 pep supercontig:CcrdV1:scaffold_1466:104633:106669:1 gene:Ccrd_018583 transcript:KVI03125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3594 MNLLGEQWEVILPAEEVPPELPEPALGINFARDMMQEKDWLSLVVVHSDAWLLSNSSKKTCRL >KVI03124 pep supercontig:CcrdV1:scaffold_1466:96477:98732:-1 gene:Ccrd_018582 transcript:KVI03124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFKSYGKVDEADQIKLDAKRKTRKRIAVVAISSLILVIIVVSAVAGTTGSKDNNHNGGESQSPSSMASIKAVCDTTLYPNTCFTSLSPRVNSTHVQLDKLLKLSVIVAIDELSKAYTLLELKNCSELLGLALDHLNDSLSATDVDLNSIKDLLDDLMTWISAAGTFQQTCIDSVEENVMGYLQKSTELTSNSLAIIKGFSNVANSYIQRRRLMSLSSDEMPEWLSFKDRKLLQKTKLPAGIKADVVVAQDGSGKFKKISDALKAVPDKSTKRFVIYVKKGIYYENVRVEKPKWNVMMIAVFGKGFIARDMGFRNTAGAIKHQAVALMSTADQSAFYRCRIDAFQDSLYAHSNRQFYRECNIYGTVDFIFGNSAVVLQNCNILPRRPMTGQQNTITAQGKFDPNQNTGISIESCTIWPYGNLSDVSTFLGRPWKNHSTTVYANNMMGSLIDPKGWLPWVGTTAPNTIFYAEFANYGPGADTKKRVNWKGLKFITKKQASKFSVKSFIEGDKWIKATGVPYKSGL >KVI03123 pep supercontig:CcrdV1:scaffold_1466:40034:40597:1 gene:Ccrd_018581 transcript:KVI03123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAAFVVFLFYRSRTGGLWHRDLCRYKFPLTLVLSYVNISFVDIFNDHSVYVNIPIVLCGKQVTFHGKKNLWYYEISTKSNYNFEKPFLYLGRKLAGLTIIKLYSRKRHEGELAATAS >KVI07880 pep supercontig:CcrdV1:scaffold_1467:86515:115265:-1 gene:Ccrd_013752 transcript:KVI07880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MERGEKESMDADEKDEVPMLTNTDRSADRNESKLQRRLSYRNRSVTISIPPSSSELNPSSSRPVCFTGPLFCGRKNQREGNPSQSSHGAIGVERREHVKQENIIMHNLRLGDHKNEHLLRSGQLGMCNDPYCTTCPSSFNYKEQLKNSKEHHVRGWARKKLSLLKQFTFGVMNPHAKVVQQWNQFIVISCLLAIFIDPLFFYLLSTNKFRLAFISPESRVVGAGALVDHPKQIAIHYLSGFFFLDLFIVLPLPQIIVLFILPNAIASSGANHANNLLRSSVLVQYVPRLYRFLPLLAGQSPSGFIFESAWANFIINLLTFVLSGHRVNQCLRDACRNSGIKHCMKFIDCGHENFGKYAAEPTWNSWIQNENSSACFNEDGFPYGIYMKAVKLTTEPSVITRYVYSFFWGFQQISTLAGNQTPSYFVWEVLFTMGIIGLGLLLFALLIGNMQNFLQGLGRRRLERSLRRRDVEHWMSHRHLPEDLRIKVRESERYNWAATRGVNEERLMENLPEDVQRYIRRHLFEFIKKVRILALMDEPILDAICERLRQKTYIEGCKMLYQGGLVTNMVFIVRGKMQSIGEDGNKVPLLEGDVCGEELLKCCLEDSSVIGDTRNQLKSKYILFSNRTVECLTNVEAFVLQAADLEEVTSLFAGFLRNHRVQIAIRNESPYWRGLAATTIQVAWRYRKKRRSRSVTSHGGGGATRPSKLCLGYDDVIVSKPFESMFSCSFVFEPCCSDTLGADHTKRHESVGNVALNIPSGKKREVVMISSTS >KVI07883 pep supercontig:CcrdV1:scaffold_1467:4288:7922:1 gene:Ccrd_013755 transcript:KVI07883 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAPVGLPPGFRFHPTDEELVNYYLKRKIHGQQIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVTSQGQNNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKDSHDTFGIQDSYALCRVFKKNGVCVELEDINGHASMSMVQYSPTVTNDYETMSPDVPVASSSCLDEDDKDESWMQFITDDPWCSPNSPQQTLTN >KVI07882 pep supercontig:CcrdV1:scaffold_1467:11619:14429:-1 gene:Ccrd_013754 transcript:KVI07882 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MADSLVLRGTMRAHTDWVTAIATPIDNSDMIVTSSRDKSIIVWRLTKEDKTYGVAQRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLNAGTTARRFVGHTKDVLSVAFSIDNRQIVSVSRDKSIKLWNTLGECKYTIQDGDAHTDWVSCVRFSPNTLQPTIVSASWDKTVKIWNLTNCKLRSTLTGHAGYVNTVAVSPDGSLCASGGKDGMILLWDLTEGKRLYSLDASSIIHALCFSPNRYWLCAATESSIKIWDLESKTIVVDLKVDLKQESEMAAEGTATQTNAGKTKVIYCTSLSWSVDGSTLFSGYTDGVIRVWGVGRY >KVI07881 pep supercontig:CcrdV1:scaffold_1467:23298:30180:-1 gene:Ccrd_013753 transcript:KVI07881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MNQDISXDALTQFKGEVEIMLRLRHPNVVLFMGVVTRPPNLSRLTEFLPRWRKVQSARSHHKSDENDLEKDRKKQLKNLRRNKAGSGGSDGCSAPSFVGKYDDIWMVKTYVEVCESLMVASGPFPGQILIALGIDPHHGSGTLLRRPAVALFSGTDSKSFLRFILFKYLCFCCSPVPVLAFLFRQYMKNEVFKIKMEERSGWSVVQVFKTSST >KVH91071 pep supercontig:CcrdV1:scaffold_1468:41810:42933:1 gene:Ccrd_006911 transcript:KVH91071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVYDITPFLDDHPGGDEVLVLATKKDATEDFEDVGHSQNARNMLTEYYVGDIDINTMPQKGQYKKTASNSGSGSKSGSGSSSNIMVMLVLPILMLVLAYGLYYFAKKDKVID >KVH91074 pep supercontig:CcrdV1:scaffold_1468:84703:87305:-1 gene:Ccrd_006914 transcript:KVH91074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSISSGSSASSEWTVDEFESCWQALVERYSLIDDEWVQSIYNARHQWVPVYLQETFFGELYTTIPLNMFFDGYVNATTSIQSLVKQYEDAVTSWHEMELKADFETTNTMPLLKTPSPMEKQVANLYTRRAFIKFQEELVETLANPATKLEDNGTVATYRVAKFGEEQKAHVVRFNPFEMKANCSCHMFEFSGIICRHALSVFRAKNVLTLPSQYILKRWTRDAKREEYVPELPSNSQDSLTVRYSNLRQEAIKFVEEGAKSIHIYNAALDALQVAAKKVAASKKQRSRGVVLNGGIRETDLDKGSQLTPYQSRAEKEKKIRELSAELDNTNERCEVYRANLLAVLRDMEEQKLKLSVKVQNARLSLKE >KVH91075 pep supercontig:CcrdV1:scaffold_1468:95346:98999:-1 gene:Ccrd_006915 transcript:KVH91075 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEFA-interacting nuclear protein NIP30, N-terminal MRQRKEARRLDLEGPPKALDEDETEFLDKLELSRREYEQQLADDEAQQLRSFQEAVAAQSAVLHELKETPVVPKFEEQASHREKRTQRRSLGVVIKVKKARRNSEEFEGVSRRNNTATDTERPSGLDIHNVATGGLVSYSDESDDAFQIENIPRQTPPPFIDNIYSLYNLVDLSVKEENCLLQFLNQNGKLHPLLAAKDKVVETLSLYHIADGMMSEAYLVICNSPLR >KVH91073 pep supercontig:CcrdV1:scaffold_1468:52718:66740:-1 gene:Ccrd_006913 transcript:KVH91073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MDDETSSRLTGSVPQQDLKPSYWLDACEDISCDDLIPGEFIHLGSAAIQEGGPSNLQDDSLDPCFFDGVLDSIPQNANIVDAPLTISSAIKAEDTLLSLLDASTSDTGNSVKRGSRPCSSEPNKHHETEVGNKILDHNAFHKVQGCFDNQERFGKRPRIDSLSSCSRNLKERPCRKRARDHEEKDRRDRNSIRRKEQHFNGRRGNRDMDWREGRGYWERDRLGTNDMVFRPGSWEAGCNKDIKAPVEKNTDCNQGELKKDDDSKKKMPKEHARKYQLDVLEQARNKNTIAFLETGAGKTLIAVLLIKSVCIDLHRQGKKMLAVFLVPKVPLVYQILKFLLSQSHVQQAEVIREQTGYQVGHYCGEMGQDFWDARRWQREFEKKQVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHTTTKEKRPSVFGMTASPVNLKGVSSQMDCAIKIRNLETKLDSVVCTIKDRKELEKHVPMPIETVVEYDKAASLWSLHEQIKQMELAVEQAAQSSSRRSKWQFMGARDAGAKEELRQVYGVSERTEGDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQSDERANFQLDIKFQESYLSKVVSLLQCQLSEGAVLQNNVKNMGEVDEMGCEPTCLDEIEEGELPDSHAVSGGEHVDVVIGAAVADGKVTPKVQSLIQILLKYQHTEDFRAIIFVERVVAALVLPKVFVELPSLNFINSASLIGHNNSQEMRTSQMQDTISKFRDGRVCLIVNHVTMVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMIERGNLSHEAFLRNARNSEETLRKEAIERTDLSHLTGTSKLISTEPTHGTVYQVESTGAVVSLNSAVGLIHFYCSQLPNIQYFVRSSLWSVMRSQEAQPSIHADFNFPVMHHLKGLRVLYAVQCVSHNRHAVCLAACKKLHEMGAFTDMLLPDKGNWILSGRDECDNSNFFPLYMYVVKCLNVGSSKDPFLTQVSEFAVLLGNELDAEVLSMSTELFIARTMTTKASLVFRGCIEVTKSQLDLLKSFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPVTNKQLDPIKEIDWDIVENIIGTPAWNNPLQRARPDVYLGTNERTLGGDRREYGYGKLRHGMALGQKTHPTYGVRGAVAQFDVVKASGLVPDREANGIPCNEDLTKGKLMMADSSTNAEDLVGRIVTAAHSGKRFYVDSVRYDMTAENSFPRKEGYLGPLEYTSYADYYKQKYGVELIYKQQPLIRGRGVSYCKNLLSPRFEHSEGHEGECEETPDKTYYVFLPPELCFVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKNVINYTVPAFKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLRYPQKHEGQLTRMRQQMVSNMVLYQYALTKGLQSYIQADRFAPSRWAAPGVLPVFDEDIKEAEFLFDQDRVLIEAVTGRDEYEDDDLEDGELEGDSSCYRVLSSKTLADVVEALIGVYYVEGGKQAANHFMKWIGIEVDFDPTEIGCSTRRSNVPDSILRSVNFDSLEGALNIKFHDKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFMYTDLPPGRLTDLRAAAVNNENFARVAVKHNLHVHLRHGSSALEKQIRDFVKEVEGELSKPGFNSFGLGDCKAPKVLGDIIESIAGAIFLDSERDTAVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKATRNGNLATVEVFIDGVQVGVAQNPQKKMAQKLAARNALAALKMKETAEAKEKADEDGKKKNGSQTFTRQTLNDICLRRNWPMPLYRCVSEGGPAHAKRFIFAVRVNTSDKGWTDECIGEPMPSVKKAKDSAAVLLLELLNR >KVH91070 pep supercontig:CcrdV1:scaffold_1468:10636:11923:1 gene:Ccrd_006910 transcript:KVH91070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MSVVDVLFRVDALCKKYEKYDIDKQRKLNAYGDDAFASLLASFDSQIEAALRKSEMASMETNRASVVAMNAEIRRMKVKLMDEVPKLEKLAKKKVKGLSKEELAARCDMVLALPERIQEIPDGTRSRSSPHSSTTTKINLDSDRIFGDEYFQQSEESKQFRSEYEMRKMKQDEGLDVISQGLDTLKNLAHDMNEELDRQVPLMDDIEQKVDKASSDIRNTNVRLKETLIKMRSTRNFVIDIILLCIILGIASYLYK >KVH91076 pep supercontig:CcrdV1:scaffold_1468:4465:5545:1 gene:Ccrd_006909 transcript:KVH91076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4079 MGGLFAYTLYAGYLGWQWRRVRTLQDEINDLKKQEKPVAVTPEGTPLQPTTPSPVQAKIQQLSEERKELIKGQYREKHFNAGSILLAFGVFESIGGGVNTYLRTGKLFPGPHLYAGAAITVLWAAAAALVPPMQKGSETARNLHIALNVLNVLLFIWQIPTGWDIVLKVFEFTKWP >KVH91072 pep supercontig:CcrdV1:scaffold_1468:44308:52134:1 gene:Ccrd_006912 transcript:KVH91072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein ISLNSLVSRRLDSLRFYSLLLPPPCIQSNKLLLFRFGPLPESFRHGMNPVHADHLQDPNFNSCLPPWPLSPKTRKPYTITKQRERWTEDEHNSFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFTKTTSHEENLENAKLNEQVENAIEGPSENENSVPKPVEALNPGVFREFIPALEEVIAHDEANESYITIETREHQKLDQDGINHTNITSDTSLFESSHLVHENIIHRQYSDKLKQPTGNVGALSINDIRGVQNYPRHVPVQVVDGKNLGISAKSSATPDMSFQESTLNKSGEVENPNLFVNIAASAVTGHHNNATRSSSYQTVPTFHPLSSLCDNQENYRSFFHVSSTISSLIVSSLLQNPAAHAAASFAATFWHPATTEASSRDSPSSVQLNSETPSMAAIAAATVAAATAWWAAHGLLPVCTPFYPGYSCTSPFSFGTPIDGNQARVANNGGEKVPSEGVVQGEKMNAEKGEGFPKEHPPPGSVDLSSSEDSDGQGGKKSNTEPIAGDTKEMAPVTELHDSSKTNVRKQVDRSSCGSNTTSSSEIETDALPKHGKEREETKGSDVNLPCIDSISRRCRSTISPNESWKEVSEEASNKFILLYLIFGRLAFQALFSREVLPQSFCNVGEERDDENGEGVSHLDLNRMSQEAGESNGVDEGLLTMGLGNVKLNIHHTGFKPYKRCSIEAKEGKIVSQQNDEKGPKRMRLEAEH >KVH88184 pep supercontig:CcrdV1:scaffold_1469:24516:27504:-1 gene:Ccrd_024425 transcript:KVH88184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MSNMICASFTLSKVGARRLTIQAASALRATEPVFRDEEYADIDWNNLGFGLTRTDYMYVAKCTENKEFQQGELSDYGNVELSPAAGVLNYGQGLFEGTKAFRGDDGRVFLFRPDQNGIRMQIGAERMCMRSPSVQQFVDAVKQTSLANRRWIPPPGKGSLYIRPLLIGSGPILGLSPAPEYTFLVYASPVGNYFKNGMAPLNLYVDNEFHRATRGGAGGVKSITNYAPVLKAVLRAKEQGFSDVVYLDSVHKKYIEEASSCNIFLVKGNVISTPATIGTILEGITRRSIIDIASDLGYQVEERCIAIDELMEADEVFTTGTAVSVASVGSITYKGQRVEYKIGDEMVSQILHKTLVGIQVGRIEDKHNWVVEID >KVH88185 pep supercontig:CcrdV1:scaffold_1469:58217:62426:1 gene:Ccrd_024426 transcript:KVH88185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MEERSSPTTSNHLPSLASSELKMSTTCLELQILFEMEMQLYIVILAEDCVTFQKNASIPITLKSREYEVFTVAPIKKLSGEVSFAPIGLTEMGAIMEVNYEMEKEGSVWRKVQGCGVFGSYSTRRPKRETIDTKEM >KVI11654 pep supercontig:CcrdV1:scaffold_147:311488:313919:1 gene:Ccrd_009931 transcript:KVI11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40-repeat-containing domain-containing protein MAYRLPHVVMGDRLGNIRWWDVTTGQSSSFNTHREGIRRIKFSPVVPGDRSLGRIAVLFNDNTFSVFDLDSQDPLANSLLLTQFPGTLVLELDWLPVRTDKNDPLVLCIAGADSSFRLIQVNIDKKVGYGAQPGSVKERFRPMPLCSPVLLPTAHALQNASFVPSSNQGIKPL >KVI11670 pep supercontig:CcrdV1:scaffold_147:113202:121002:1 gene:Ccrd_009922 transcript:KVI11670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPNRSPPPPSLVISSSPCRRSSPLPLSLVITSSPIVGQLAHRLPLLVLSSSIVDHFLLNHWSSPPPCRRSSPLPLSPIIASSSIVVIKQGRGWRWDRAAWLPGLTRINPVAVAADGARPTYLMLSMLPLPTSFMEVARRGAIFWISGKGNLIVHHNVDSAAGCDLQLPSDWGLQPKINEFSFHLSLGDHKKFLSDT >KVI11660 pep supercontig:CcrdV1:scaffold_147:13886:14926:1 gene:Ccrd_009915 transcript:KVI11660 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MGKGGALSEGVLKNIILSYTYVAIWIFLSFTVIIYNKYILDRKMYNWPYPISLTMIHMGFCSSLAYILVSILKVVEPVQMTRDVYITSVVPIGLLYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKESFKAKTMTNMLSISAGVAVAAYGEAQFNAWGVTLQLGAVAFEATRLVLIQILLSSKGITFNPITSLYYVSPCCLVFLSVPWMIVEYPVLSEASSFHFDYLVFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLLGYAIAFLGVAYYNHAKLQALKAREAERKLDNEAARLLDESKVDNATKKNESGS >KVI11659 pep supercontig:CcrdV1:scaffold_147:48496:52049:1 gene:Ccrd_009918 transcript:KVI11659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase FkbM MANAWKKDRSIKIATPKTLFLLFSTSLLLLTFFYLSSNTTTSSNPNPNFKTLINLPNSLIQPFDCYKSPQSHPIIANLVEGVKHPFLYSLSDFGTLPDKPHKNIVRILKGKLFRRPDISATVQELLEKMKGEGKTGIFVDVGANVGMATFAAAVMGFRVFAFEPVLENLQSICNGIYFNRVGDLVTVFEAATSDRVGNITFHKLVGRLDNSAVSATGAKLAFKSNEEIELQVRSIPLDKVIPEEEPVLLLKIDVQGWEYHVLKGAKKLLSRKKGEAPYLIYEEDERLLQASNSTSKEIRKFLHGLGYTNCLLQGTDAHCTK >KVI11665 pep supercontig:CcrdV1:scaffold_147:150427:154896:-1 gene:Ccrd_009925 transcript:KVI11665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLRGRMSKRMHKGRRLNLIEEIKYRSGYPTSKDVISRSLRHPDILMYLAVKEIPKLFPAQMLITLCKLAASIPLMTFFSRQRPSEIS >KVI11667 pep supercontig:CcrdV1:scaffold_147:199998:212412:-1 gene:Ccrd_009927 transcript:KVI11667 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MSSTSCCIEVGTSPEQLCYIPCSFCNIVLAVSVPCSSLFDMVTVRCGHCTNLLSVNMSMAAGVNNQCHSNSTSQDDSSSSHHHDHHHHHHHQQDPNHTSRVDLGSSTRCMPTKSPPPSIADDQRTINRPPEKRQRVPSAYNQFIKQEIQRIKANNPNISHREAFSAAAKNLSYVQGSKKRLKSTAE >KVI11655 pep supercontig:CcrdV1:scaffold_147:276656:287999:1 gene:Ccrd_009930 transcript:KVI11655 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40-repeat-containing domain-containing protein MSLIQQKMTNSSDCMLPGPPSRNNGGSADIVSTGLLAYAAGSTVPILDTRSMQLVSVFPIPPPAANPGTISTSSSTSMSPFVTSVRWCPTTLRLDLLSHDPTSSHSHLLLAAGDRQGRICLLDLRLKSCPLLFLQTDLNSKLGIQDLCWIQARSDSWIVAALTGPSLLSLYNTSTGRCFFRYEAAPELFSCIKRDPFDSRHLCAIGLKGFLLSIKVQGDVSESDVVLEEFQIATDASELNKLEREGGISVGSAGTVTNSPATALFPTYSVKLAFSPHWRHILYVIFPRELVVFDMQYETALSRASLPRGCGKFLDVLPDPSMELVFCAHLDGRLSAWRRKEGEQVHEMCMMEELVPSIGTSVPSPLVLAVAISRSNSTLQNVAKHFSDALHTSSSDKDFDMDFDNPFDFRDESHIISRTHLLSVTDDGKIWNWLLTSEGPTDNQKDMLDVANVTEVSKVPVLDPKSDTGASDDSLKDVAKQTDDVKIGKGYPSASTVSQDKLTLKVDTISLVGQLHLLSSTVTVLAVPSPSLIATLASGGNHPAVAVPLVALGTQRGSIDLIDVSANAVAASFSVHDNVVRGLRWLGNTRLVSFSYSQGSEKSGGYVNRLVITCLRSGNNRTFRVLQKPERALIRALRVSSSGRGGTPWAISEKLKLLFSTGMTDLKQRLLERFQPSQEGGPYEQLLEIHQDGARREYVSKFEKMAGELGDLPEKVLEGAFIDGLKPKLRSTIRVLQPDTVAQTMKIAVRMDDNKYLLILFRDAPVEVWAMTKTPIM >KVI11662 pep supercontig:CcrdV1:scaffold_147:39290:41037:-1 gene:Ccrd_009917 transcript:KVI11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 FRIHNFISQILGTIIAPSSPTSWDGLDSSQWIAFKDVTGLNVYGSGLINGQGMLWWDQSCRYHPNKVRQINPKSNVAYRKLQVLNFLSCNEGTLSNIHIVNSPQTHVLIIDSKGFNVDNVMIQSPQNTPNTDGDDCISIGDFTSNIDIANIKCGPGHGIRCAIPSPTLPPRVKKASKIFIKFHFLWFVNSIGSLGKDGEMVQVENIHISDSSFKQTTNGARIKTWQVGQGNVRHVIFENLVFDDVENPIIIDQYYCAVRGMCKEEKTGVKISDVVYKNLYGTSSSKIAINLNCSRYVPCSGISMKNINLVSTQPGNPVTSSCTNAVGTEVGVNPACLRN >KVI11664 pep supercontig:CcrdV1:scaffold_147:127630:143612:-1 gene:Ccrd_009924 transcript:KVI11664 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAF domain-containing protein MMKRVTKKVGKYEIGRTIGEGTFAKVKFAKNTETGEAVAVKVLAKSRILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSQTKIYIILEFVTGGELFDRIVDKGKLSEREARGYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSEERLKVSDFGLSALPQEGVELLYTTCGTPNYIAPEVLSKRGYDGAAADIWSCGVILYVLLTGYLPFEESDLPTLYKKVSAAEFSYPFWFPSGAKSLIDKILDPNPETRLRIDGIQEHPWFKMNYESISQGEDGDVSLDDVRAVFDDIEDQYVTEQSKEKISPLTMNAFEMITLSQGLNLSALFDRRQDHVKRQTRFVSRKPARDILSAITSVAELLGFKVNRRGYKTRLEGASVNNAGQFAVVLELSLA >KVI11648 pep supercontig:CcrdV1:scaffold_147:374627:383861:-1 gene:Ccrd_009937 transcript:KVI11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHRLEQESGFFFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIQKQKYLEALDKKDRAKAVEILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDTKSARSIMLGELKKLIEANPLFREKLTFPTLKNSRLRTLINQRSVSCGCLASSRLNWQHQLCKNPKPNPDIKTLFVDHSCGQSQPNGARAPSPVTNPLMGAVPKPAGFPPLGAHGVSGQKSQIAIYPFQAAPAPLPTSLAGWMANPAPVPHPAASAGPIGFTPPNSADLLECSHHKCFELLFVHNNLFSCSLFPFPSHMAALLKRPRTPTNNPAVDYQNADSEHVFKRTRAFGISDEVNHMPVNILPIGYGGQNHGQSSYSSDDLPKAVAMTLNQGSVVKSMDFHPVQQILLLVGTNTGDIMIWDLGSREKLAHKNFKVWDLVVCSMPLQVNIPTLFKASVTNDYTALINRVTWSPDGTLFGVAYSKHIVQLYSYHGGDDLRNHLEIEAHGGSVNDLAFSYPNKQLCIVTCGDDRLIKVWDAVTGAKQYTFEGHEAPVYSVCPHFKENIQFIFSTATDGKIKAWLYDNMGSRVDYDAPGHSSTTMAYSSDGTRLFSCGTNKEGDSYIVEWNESEGAVKRSYNGLGKRSIGVVQFDTTKNRFLAAGDECVVKLWDMDNVNLVTTIDAEGGLPASPCIRFNKDGILLAVSTSENGIKILANPDGLRLLRSMENRSFDASRAASASVVKTSTMTTFVAAANASGGPSIMDRVNPMPSMVMMNGDNRNLVERPRIGDESMDKSRMWKLTEISEPSQCRSSRLPDNASSATRVSRLIYTNSGLAILALASNAVHKLWKWQRNDRNTTGKATASVLPQLWQPNSGILMTNDTSETNPEDAVSCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHHKQITGLAFSNVLNVLVSSGADSQLCVWSTDGWEKQTSKQLQIPGGRVAAPLADTRVQFHQDQTHLLVVHETQIAIFEAPKLECLKQYRYSNCINTQIALSDHSRVFPLVVAAHPSEPNQFALGLSDGSVCVIEPLESEGKWGSSPAVVENGNGAGPSATAAAAAANTTDQAQR >KVI11673 pep supercontig:CcrdV1:scaffold_147:239687:258789:-1 gene:Ccrd_009929 transcript:KVI11673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHFVSYQIDMFLHRNQEKEVLTNTTIRSCGKKKDSMLKSRNQKQKLSLMVSSLCLTVLNPPPHLLHTTRKTWNLKMPRPGPRPYECVRRSWHSDRHQPIRGSIIQQIFRVVHENHCTDTKKNREWQEKLPLVVLKSEEIMYSKANSEAEYVDQETLWDRVNDAINTIIRKDESLETGEFLPPCVEGTHFFNTRSYLNPRNQDPIATNARNLNLPNHERPNAVNQIHTFSETNRNLRQNYSIAPPGPSFNPPSIENFPKKPISIESKASLAASSVYPLYYGVNFQPQNPRSRFHTTHQNSNTIIMGTPVFQTVHEPLPPSFSETPFLFNRDDSGSKKIVHEEPQPSPVECDLSLRLGNSSNSWGRPQSKEFSFFPLNSEGEQVRNLVPDFGKRKAAAPVNNNVVDGGRRLLQLEPDFNQKRRDLLARLGTGSVSGGGGLTFTFSLILADLLGVSPLPQSNSEILGPPGCAFRVVNKKEAAQVPQDDMEKQICKARAKSNSF >KVI11666 pep supercontig:CcrdV1:scaffold_147:164549:179505:1 gene:Ccrd_009926 transcript:KVI11666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEHVGRDMESAKPSSSAPPYSGGAGGKFRKPPVSRRRPSTPYDRPSLTTGNNKSDESQDGGGGWLSKLVVNPARRLIIGGATRILPSFFSKSDSSSADEYEDDPSGDQDIQGIDATVGVSRWSGDAGPSNEMTKLKGSLEHNDARLDKSKNSIGDIGLDKIENMLKGKQFSRAESNRLMEILNSRLVDVSSAEEEKNTPDVISQGQAKEGVLDVEIPSTLTMGKQYDLERAVLETPMRRLQSNMREEVAASPIDIARAYMGSRTPELGFNTYSTILKDGREQQPSNLFSSKPHILTPSSKSSTCWPGAMVQDQRGFLTPQSQRGKYGLHNFPRTPYSRTVNSRTKPKLNQLQADSRSSNISLTTFQQSRTPNYRQMKSSGDVMDGGYGSVGPIRHVRKIFASEPGLEGPSSLFSAQVPSSSGASRSFIPVFQKNPETSGTSGTSNVNTVDTFKGEATPAGPSNETVRKILEQLDRHKPTPKEKAAELKLATEWKRSPSQDLTLMPKESTIVATLTEPDLQRSGVSSDNRTSLNGTNGGVSFKGMPDPTKTSNAATKTSSTVDVAGTGPSFGFKNMGGADQNSTVTNDKEKEKSQPWSVDNQMNGVDTARKRPSQPILKPISFKRPDPQQVISADNGRGFTFPFSVTSSSAAEPPTPSIMPSFPATQSKELPTYSFGTKKSNSERVVFSFPSTSNAAPIDDGESDLKFKFGSDKKKRVSFSSLGSDAIFIN >KVI11668 pep supercontig:CcrdV1:scaffold_147:97962:100368:1 gene:Ccrd_009920 transcript:KVI11668 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase-like protein MAEGRRSGSGGFVDEMRSVAMKLHTRDQAREGEKQSQGKPWRKWEPTIDGYLKFLVDSKMVYDTIDIILHKADFPEYAEFRNTGLERAENLAKDLEWFKEQGHSVPEPSTPGVDYSLYIEELSKEDPQSFICHFYNTYFAHTAGGRMIGKKVAAKILNNKELEFYKWDGDLSQLLQNVREKLNRVAENWTRDDKDHCLEETEKSFKFNGDILHLMLT >KVI11661 pep supercontig:CcrdV1:scaffold_147:1173:1920:-1 gene:Ccrd_009914 transcript:KVI11661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIDSADSSSVYKSIEDATDRDVNIRYMPHATTFIFQFSIAYFKIYMPNKFLLSFLGFCSIQEYILITWKNLMTWLQEGGFTECNLIVVLISQRVQYRKVKEVGIYLVDCPTIARKIEVFFNLSFGLYNRDMGSAMADQ >KVI11653 pep supercontig:CcrdV1:scaffold_147:325885:329785:1 gene:Ccrd_009932 transcript:KVI11653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALRLILQYGVKPRWFNTCSTITDKGNSQISRTLSSDLRSYLIDVSPIGDSVVPELLLKALEPYRREGCVLDDERVRQYANIITKGSAARFSFAAAIFGETSEACFWLQLPRALKHLLNMLANKSLQKLPVKLPSASIDDSSLLSRMFTKGRSVAGSTNKKLVSDGQLRMMAFDQEELWENASERITWHERLEGEQAIQNRVHELVSVGNLDAAVNLLLSTPPESSFFYPNALRAVALSSAVSRSLNELAVKVVAANMVRTDRSLSGTHLLCALQDAGCWTDAATLAATHLRGTDYARFTSSFHYPMVMQRWAGHVLDAEHNIWRALILYVAAGSLQDALAALREAHQPDTAAMFIIACREVHAEFIEGLDPDDESSALIKDKLVVLHGLNPQSEDVIAVGELYTKYQQQLVHLCMDSPPYSD >KVI11671 pep supercontig:CcrdV1:scaffold_147:115044:122011:-1 gene:Ccrd_009923 transcript:KVI11671 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component MVWFRAGSSVAKLAIRRTLRRGVSYAARTRVLSSGSRSFHTTIFKSKSEPGPVPRSVPLSRLSDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFIGQATPSAGVSGQTIQESMRLLLLVRAYQVHGHMKAKLDPLGLEQREIPDDLNPGFYGFSEADLDREFFLGVWRMSGFLSENRPVQTLRAILTRLEQAYCGSIGYEYMHIPNREQCNWLRDRIETPSSIEYNSQRREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSANLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDDVGLYTGTGDVKYHLGTSYDRPMRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYSNDVDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKSGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHTCELAAEWRQTFHSDVVVDITCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALEIYQRKLLETGETTKEDIDKIQNKVTSILNEEFGASKDYIQQRRDWLSAYWSGFKSPEQLSRIRNTGVQPEILKNVGKTITTLPENFKPHRAVKKIFADRLKMIETSEGVDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGERYCPLDHVIMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQTSNWQVVNVTTPANYFHVLRRQLHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDAKGHPGYDKQGTRFKRLIKDQNGHSDVEEGIRRLVLCSGKVYYELDEQRKSVNSKDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYTYIAPRLATSMKEVGRGNIDNIKYVGRAPSAATATGFYGVHGKEQSELVQEAMQPDPIANPSLA >KVI11656 pep supercontig:CcrdV1:scaffold_147:434873:436573:-1 gene:Ccrd_009939 transcript:KVI11656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHLGLALSSSSSSSSSSSSCSSQFDLNFNTNYSHESNIPIHHLKKRKHDHDDDDDGDFHVPQTLPLLVWNNFCNKNQVNQHHAHADADDDGDGENEVESNSIFVHHRNDGGVIGWPPVKSCRKKFRHPKIGGGDGGDGGGSKSMYVKVHMEGIGIARKVFRRDDSTFETIEKIIMIALSDSRSFGNGD >KVI11657 pep supercontig:CcrdV1:scaffold_147:418013:421027:-1 gene:Ccrd_009938 transcript:KVI11657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MSVYLCSYVEPCSLGPRRCKFSCIPGFLAHSDELNYSARVFVLLLVLDPSSFKLLTLVDHEVPVLIIIFLGMDSRRYATRRWISKYMFIVVLILVTLSFIGIFDHTQNITSFLRPLWDTPPPPFEYMPHYYAENASMDNLCRLHGWSLSSEPRNVFDAVLFSNELDLLELRWHELYPYVTKFIILESNTTFTGIPKPLTFALNRDRFAFAEEKIVYGFLPGLLAFEVPPDPFLIESQHRTVMNELIRRSGISDGDLLIVSDTDEIPSSHTVKLLQHCDGLPSILHLEMRNYIYSFEFPVDYNSWRATAHIYSRWTRYRHSRQTDLILSDAGWHCSFCFRHLSEFVTKMTSYSHADRVKKKEYLDYSRIQRKICRGDDLYDMLPEEYSFKDLIGKMGSIPRSASAVHLPSYLIEKAEKFKFLLPGGCQRSPE >KVI11672 pep supercontig:CcrdV1:scaffold_147:238906:249386:1 gene:Ccrd_009928 transcript:KVI11672 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type MNGMGRMLSEKLLLCTTLEVNPVQKFLPKKGGTPKKNEIVFTAPTGEEISTRKQLDQYLKAHPGGPKISEFDWGSGETPRRSARISEKVKVSPPPPETEPVPKRAKRSSKKDKKQKPEEETPEKENNPEKAAEGEDVDMQEAEKTSFEKQKEEAPVKTIVEDSEKQEEKDKIVPKEKAEKDEAPEDKPAKEVEDTCENPKMPLLEDEAKSVNEINKEVVADVNEKDQDKAENDGGSVIAVGXXXXXXXAHDQDKVENDGGSVIAVGAKEEIPDAHDQDKAENDGGTATATATATVTVTVTTEGQKGNVGDKEPETNKQVEATVENGCHVESEPW >KVI11663 pep supercontig:CcrdV1:scaffold_147:33925:39550:1 gene:Ccrd_009916 transcript:KVI11663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 METTIKPLKKKAWFLPLILSLLTSSILIIFSLFFTSSSNPFHQSTLKSKTHQNPVFVESKLYISPTKPASSVPKLAYLISGSSGDVESLKRTLKALYHPLNQYVVHLDLESPAEERLALVDFVNNEAVFQEVGNVRVVTRSNLVTYRGPTMVTNTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHTFSTVPRDLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLKKSDVFWVSQKRSVPTAYKLFTGSAWMMLSRPFIEYCLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAEEFKNTTVNHDLHFISWDNPPKQHPHFLSIDDYERMVESHAPFARKFGEDKELLDKIDLQLLGREPDGFVTSNWFDRSDTNKTIAESIVKNATQLKPGPGAERIKTLIGGMLSDKDFHAKHCI >KVI11658 pep supercontig:CcrdV1:scaffold_147:71330:72249:-1 gene:Ccrd_009919 transcript:KVI11658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSNGVVLATAMAAGTAIFLAICLQKQPTTTAVVYPTRPCISSDGKKKKKGKKKNKKVKFAEDVMEPSGNGDEFRRRLKSKNINQNRNYLSIFKDEGGQKKLSRNLKGLPANRMALYSGILRDRGVHRVACSC >KVI11652 pep supercontig:CcrdV1:scaffold_147:330428:335252:-1 gene:Ccrd_009933 transcript:KVI11652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase-like, alpha/beta/alpha MEGKWKLADHPKLPKGKIIAVVVLDGWGEASPDKFNCIHVAETPTMDSLKNMAPDKWRLVRAHGTAVGLPTDDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYEDEGFNYIKESFAKSTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHVLTDGRDVVDGSSVGFAETLEKDLAELRNKGIDAQVASGGGRMYNDWEVVKRGWDAQVLGEAPHKFKSVVEAIKKLREAPNANDQYLPPFVIVDESGKPVGPIMDGDAVVTFNFRADRMTMLAQALEYEKFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVSPPLIERTSVKFGHVTFFWNGNRSGYFNSDLEEYVEIPSDSGITFNVQPKMKALEIGKKARDAILSRKFDQVRVNIPNGDMVGHTGDIEATVVACKAADEAVKDMVKRNKKGEALVDKDGKVQIQTSHTLQPVPIAIGGPGLAGGVKFRKDVPNGGLANVAATVMNLHGFVAPDDYETTLIEVV >KVI11651 pep supercontig:CcrdV1:scaffold_147:352198:360980:1 gene:Ccrd_009934 transcript:KVI11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MDLTSYVPTVLMNSLKNASELITFAYDVPFARAVVFGVHIGGHLFVEGLLLVVIIFLLSQKSYKPPKRPLTTKEIDELCDEWVPDAAGPHTIINGKEVVNFASANYLGLMGHEKLLESCTSSLEKYGVGSCGPRQFYGTIDVHLDCETRIAEFLGTPDSILYSYGLSTMFSAIPAFCKKGDIVIVDEGVHWGIQNGLYLSRSTIVYFKHNNMESLRSTLEKVTQDNKRAKKLRRYIVVEAVYQEKYKFRVLLDESNSFGVLGKSGRGLTEHYHVPIDKIDIITAAMGHALASEGGFCTGSHRVIDHQRLSSSGYVFSASLPPYLASAAIAAIDVIQENPQLITKLRDNSKTLSAGLSGIPGLELASDFMSPLVLKEHSVFVAPSKRSMVDKCNLPVGIRMYVSAAHTDSDLQKACESLKIVAASIFTDQN >KVI11669 pep supercontig:CcrdV1:scaffold_147:98732:102033:-1 gene:Ccrd_009921 transcript:KVI11669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLCFAPLSSFKPPSKPGLISGNPNSPKVIWVTNQNLKFERFQGLEAKATDGTKSGTKINSIVCADCDGNGAIQCTHCKGNGVNSQDHFNGQFKAGGLCWLCRYDCFKL >KVI11649 pep supercontig:CcrdV1:scaffold_147:368070:372927:1 gene:Ccrd_009936 transcript:KVI11649 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCA1-associated 2 MFTIRIHTVDTSQPPFATPVGGGAATSGTKSTVAGGSKPKSKENLFIPMEVKGVAHLFRNLPNLSSTSSNVTMTLFNITTRTTLVFIVAVPNYLSHEEFIDFCGSHVDYFSDLCFIRNDAVEDRYSVLIKLVNQMSADAFCCSYNGKRFKPSEPEVCHLYFAQLVEYTDSAEAASIPHPGYTELPTCPICLETLDHDTSAIQITLCDHSFQCSCISKWTYLSCQVCRLRQRQDGKPTCAVCGSSSNPWVCLICGFVGCGRYEKGHAIEHFRHAQHCYSLELETQQIWDYDGDKYVHRLNQSKVGTKSVVANQEGESLEGECCDKEDGEVGGALFSSKIDAIADEYNILFATQMETHRQHYETLLAEGKSRKEISIAEAIEKAEVERTREIQHKLEELAKETKAVSLINEDLAKDQDSLKKKYKEIQDREIATLKLKDEKIVDLEEQIRDLRIYMEARKTLATSGDRDEIKGGTLLPMVQPNESSPKNNSKRRGGRKRN >KVI11650 pep supercontig:CcrdV1:scaffold_147:361345:364228:-1 gene:Ccrd_009935 transcript:KVI11650 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MASSTIRKAIGVVKDQTSISIAKVAGNVAPDLEVLIVKATGHDNEPAEEKYTREILNLTSYSRSYVSACVYTLSKRLSKTHDWIVALKALMLIHRLLVDGEPAFGQEIMYASRKGTRVLNMSDFHDEAHSNSWEHSAFVKTYAMYLAQKLEFIASEQKLSGINDTSRFEDGYRVNQVSRSYDDLKESVRQGDRKDIEVVSSVWEMKTEEVLERLHQLLRLLDRVLSCRPTGNVKNSRMVLIALHLVLKESFRVYADMFQALGVLLDRFSQLEYAIGVKAFDESVYAAKTIDEIVGFYSWCKDLGVARSSEFPKVQKITDELLGGLERVLREKKNVEKNKEIESLDNESETLPNMNEIKALPPPENHNPPPSPPPAAALPPQPTEDLLNLKDDVMSADAHGNKLALSLFSAPSNVNTNGSWEAFSEPEVTSAWQILAAEGGGEADWEVALVESASNLPKQKANMAGGLDSLLLNGMYDHGAVRQHMSNSQVSGGSSSSFVMPGLAATPMLALPAPDGTIQSVGPQDPFAASLTIPPPSYVQIADMEKKHNLLMQEQQAWHLYGSSGMQGEGAFAKINAAYSGGMGYQNGYYYAQF >KVH88183 pep supercontig:CcrdV1:scaffold_1471:69309:78413:1 gene:Ccrd_024430 transcript:KVH88183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSSNTRKLFWLMPFYSKLGVPRSLMQTVLKTSVKDLYIMRSKKRLKCQSTKL >KVH88182 pep supercontig:CcrdV1:scaffold_1471:16742:27974:1 gene:Ccrd_024427 transcript:KVH88182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSWQIPTATFHPLSSSTKSSNSICSVSTTFCCLSNRGEKVYSTNNRYGGGGVHVPRPKYIAISKSELLDAIVLMFDSRKEKDDFLLMSSCLDSILHAEHKSILEEMRADYDLTHSARHKTTSRDDGSSEGNSMPDGKDSVIDFSDLLGYSTKNTKINSVEISRVPVDTRFQHAFMQLLYNAQFEELSAADLMLTSALNTDYLLTLPVYVDWKKTSESKAIIFRRGYATERQKGLLIVEKLDYLQSKLLQALFFSISKPLGKVGMWFAEALESSIRGQDVEVWLKRIKHWFKEAPLFNKSFMLDKPLPDDMLGADQLSDKDLPIWLAAQRAVARYEGILSSAGPRGRLLRKLLTWTGLIPPVPEHIFNLDSDITASESYLRLVLLKHTSNSCTLRYSFVLFSCHMFA >KVH88181 pep supercontig:CcrdV1:scaffold_1471:19005:47957:-1 gene:Ccrd_024428 transcript:KVH88181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10e/L16 MALEWGLHAEGARESSSRVWIADVFSVRPTLISETSALRALRCPSMLVFILEKDSCTNLTKDFCTNLTFDIMDSTLISIVSKVGSISLTSTVMSYSLVEGFSMKVHVKGEENVVVPRINVEYVLNDVMRIIVDLLRFLTRSALSHKDEIKLLEKLNMLLIPQNQIANLAEMKNQWWQEIEVRLTTVAAKKPRWNSALRAVAMVGRRWLLTMCEDHLLVRQLTRYADEPRLKLPIQIEASRRAMTRNARRGGKIWVHMFPDKPVTKNTKEELIPFTSNPLYLMKSS >KVI08389 pep supercontig:CcrdV1:scaffold_1473:63052:67377:1 gene:Ccrd_013241 transcript:KVI08389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLLAFMEDHHHHHHHHHHHYHHLMKLLVTVTFFYPYLVSLVYSATDPGDLAILNQFRKGLKNPELLKWPDSGDDDDPCGPPSWPHVFCSRNRVTQIQVQNMNLKGPLPSNLNQLSMLTNLGLQRNQFTGPLPSLNGLSGLRWAYLDYNQFDTIPSDFFHGLDSLEVLALDSNPLNTTTGWSLPIDLQNSAQLQNLSLMSCNLAGPLPDFLGTFSSLGDLKLSMNRISGGIPATFNESLLRTLWLNGQSGGGMTGPIDVIGTMTSLTSLWLHGNHFSGRIPQSISGLTQLKDFNVNSNDLVGLVPDGLATLSLDNLDLNNNQFMGPVPKFKASNFTYSSNQFCQPDPGVPCAPQVTALLGFLDDLNYPSRLVSTWSGNDPCEGPWLGLSCIGQEVNSLHLPKFNLSGTLSPSIANLGSLTRIDLGSNKLTSVIPSNWTNLKSLTFLDLSNNDLSPPQPKFNPSMKLDLTGNPLFQSDPPRTPSPKSSPPTGSPADTHQSSPPIGLGTNTSTRNPSTRNPSTRNPSTRNPDTIVAPISSKRSKKSNLVAIVASVAAVVSLVLLVVSLCIYLCKKKKVNSHQAPSSLVIHPRDPSDSDNAIKIAIANDTVTSVGSGSGSQLWSRTGESHVIESGNLIISVQVLRNVTKNFAPENELGRGGFGVVYKGQLDDGTKIAVKRMESGVISNKALDEFEAEIAVLSKVRHRHLVSLLGYSTEGPERLLVYDYGVVLMELLTGLMALDEDRPEESQYLAAWFWSIRSDKEKLMAAVDPALNANEETFETISIIAELAGHCTAREPNQRPDMGHAVNVLSPLVEKWKPMENEAEEYCGIDYSLPLTQMVKGWQEAEGKDYSSSYVDLDDSKSSIPARPTGFADSFTSADGR >KVI08386 pep supercontig:CcrdV1:scaffold_1473:19059:21666:1 gene:Ccrd_013239 transcript:KVI08386 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRAT-like domain-containing protein MGLLSNRLDRGSLRPGDHIYSWRTAYIYAHHGIYVGDDKVLHFTRRGQEVGTGTVLDILLVSSLPRRSQVPCPTCIHTDDTNGVVSSCLNCFLAGGVLYRFEYSVSPAIFLTKARGGTCTLAVSDPPETVVHRANYLLNNGFGCYNVFKNNCEDFAIYCKTGLLVVDHRTMGQSGQAVSIVGGPLAAVLSTPLRLVTTNIYGMAATAVGVYCASRYAADIGMRSDVRKVGVEDLTRRIATGGLTVVEPSIPAWMLNNNNNNNAT >KVI08388 pep supercontig:CcrdV1:scaffold_1473:23028:24563:-1 gene:Ccrd_013240 transcript:KVI08388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFTSYVQLFRLGVCINGSVYWRYIMMLRHLD >KVI08387 pep supercontig:CcrdV1:scaffold_1473:97327:101961:1 gene:Ccrd_013242 transcript:KVI08387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSVKECTHHKNKGRNLLRRLCAGFLILAFIVLLTFLITWAILQPKKPRFTLQDATIYSFNVSAPILLSSNFQITVSSRNPNDRIGVYYDKLDVFASYHSQQITYFTAIQPVYQGHKDTNVWSPFIYGTNVPVAPYNGASLTQDQSDGAISLLIKLNGRVRWRVGRFISGRYHIHVTCPAYIPFGNKQNSAIPGIVVGTGIKYQLSQRCTGVRGRRYKSSTGLMKKKKQQ >KVI11171 pep supercontig:CcrdV1:scaffold_1474:17392:20126:1 gene:Ccrd_010421 transcript:KVI11171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTFTPQFKDNFCNNCGYQEPRFLHRVWYEDRIQSFCTSCILLSHKQSFCPTCLSFHDFNSEGMFIRCPKCLSFSHPSCFYSNRNSSEAPSMCSSCMNPNRLVSALKKFKARGDKGSINGMDKNIADLLLTAAKIATMSISKAAEASKSSAENLANKTVLAKKKAIAAINHVVEYVDREYKRYNVGEGNDDDDGPSLLDFSSDEDCSTETDEALLKIVKAEHNILMHPFHMLGVAGDSTTPYSVDYFEACISRMFYLDKKKYTTVS >KVH97194 pep supercontig:CcrdV1:scaffold_1476:56742:58367:-1 gene:Ccrd_000706 transcript:KVH97194 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 3 [Source:Projected from Arabidopsis thaliana (AT2G44670) UniProtKB/Swiss-Prot;Acc:O80506] MRSAALSYAEFSEPHFLEACTLCSKPLGNNSDIFMYRGNTPYCSQECRQEQIESDEARERRWKVSSSSSSSKKSTETSKKPATAVQTGTLVASN >KVH97192 pep supercontig:CcrdV1:scaffold_1476:7721:8980:-1 gene:Ccrd_000701 transcript:KVH97192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steadiness box MPPPPSPNSSMAQYTQQFLSSVLSQRGPSSLPYSEDVKWYIRQHLLSLSESYPSLQPKTATFTHNDGRSVHLLQSDGTVPMVFQNVTYNIPVVIWLMETYPRHAPFVFVNPTRDMVIKRQHRFVNPSGLVSIPYLQNWLYPSSNLVDLARDLSNYFGSDPPLYSQRRPNSNPNPNPNPNPNASFNTSGSSGSISSGSIRPAIPPRSYPPSPYVTSNGRIPSPAPPQRMGSGSSEDPSEVYKRNAINKLVENVHNDVGQLRKTREAEMEGMFSAQAVLRQREEELSKGLRGMQEEKEDLEQQLQMILMNTDVLEGWVRENEAKLGGDLSNVNVDDAILPSDSLSKQMLECTASDLAIEDVVYALDKAVQEGSIPFDLYLRNVRLLSREQFFHRATSGKVRMIQMQAQVTSMASRAPPYGG >KVH97191 pep supercontig:CcrdV1:scaffold_1476:28677:30800:1 gene:Ccrd_000702 transcript:KVH97191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKCFHYFKDKTRSRSQRSAPILKDETNFGISGDVSSGARVTQSTGSANSPRGIMELYEEKAGKLRVFKYTELRHATNDFTRLRKVGEGGFGCVYKGSIKPLDGNGDPIVGHKQWVAEVQFLGVVDHPNLVKLIGYCATDGERGIQRLLVYEFMPNKSLEDHLFTNSPQPLPWQRRLEIMFGAAQDFKTSNILLDENFNPKLSDFGLAREGPTEGNTHVSTAVMGTHGYAAPDYIETGHLTAKSDVWSFGIVLYEILTGRRSLERKRPKEDQKLLDWVRRYPIDGKKFGLIVDPRLGDQYSLTAARKIAKLADSCLLKSAKDRPKMSQVVESLKQILEVPTEGSPSSKSLEMVDDEPEDLTEKTKTTDGSESSKRRLAQLAKLSEHVGGVSKKGFMIMHRAKVS >KVH97195 pep supercontig:CcrdV1:scaffold_1476:49907:52571:-1 gene:Ccrd_000705 transcript:KVH97195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKSISLTSLNTLKLELTHKTLKSCTRRCIQPFMLIQPVGETASKGAHKRFNLKKLTYDERKEKLIERLNALNAAAGGADDE >KVH97190 pep supercontig:CcrdV1:scaffold_1476:32095:36768:-1 gene:Ccrd_000703 transcript:KVH97190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSATVSRRSSSTLIKVCNSLSSNTAAGLLSKAPIFQCSHCFNTSSSSNHKCDYLVDFSPLKDLNSEDAVINLNERRRIVLGLGKMIKTQSDFVLKEFSREFCPFLLVNIMKLFGNQEGAFAFFKFVFREESDRIVRSCCLACHVLAMQGFRIVAQDVLSWMIWRIGSSRCQEVVEFMWRGHWGHESDFSVLDSVMRAFMTVEMNSQALEVLGKMRMIGLQPGLSALSILFRLLLRVGDYGSVWKLFRDMVRKGPRPSNITFNVMIHGFCRKGQVHIGETLLQLMRKFLCEPDVYTYNILINAYCIRGQTLDALSWVQLMVERGCSPSVATFSTIINALSKEGNIVEARNIFDGMQDMGVYPNAIVYNALMDGFVKAREIGQASMLFQEMRNNHVKADGVTFNILVAGYYKYGRDEDVDHLLRDLSMSGLLHDCSLPDVLVARLCWAGRPEEATELLEDMLKKGLPLTVIAFNSIISAYSKDGLEEKAFDAYLMMIKLGIKPSSSTCNALLMCLSRKGKLQEAEELMCEMREKEFPVNRMTFTVLVDGYFKIGNVMGAQKLWLLMENTGTAADAVSFSVFIDGLSKAGLVEEAYNVFLEMKNKGIVPSIFSYNSLIGGFCNDGKLNEALKLEKEMRQRGLLPDLFTNNIIINGYCKQGRMKSAIDTFMEMHQFGLVPDIVTYNTLINGYCKGFDMVNADTLVYKMYNSGWDPDITTYNIRIHGFCGSRRMNRAVLAFNELLSSGIVPNTVTYNIMLNAICNDILDRAMILTAKLLKMAFVPDVVTINLLLSHLRRQGLPERALMWGEHLRQIGLEFDEITYGVLERANHDIKGDDVYLRGTSGKSLFLDFLMYLTYDYLYRNRLYRETYTSGIRMINSGSSSSLEVTTSILQQPMLEVNK >KVH97196 pep supercontig:CcrdV1:scaffold_1476:37737:47725:-1 gene:Ccrd_000704 transcript:KVH97196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citron-like protein VLVVSDGFIHFVDLELVKPVKKIGALKGVSVVARRLRSKGNGGFSKLTVGGGGDASAGSSFLQRLGGGSGGGGGKLNGGGVNDLPIDDNCVFAAAVGKKLILVGLVGRSNENYDSVAGSLVTLREIPCVDLVKDIVWIDDSIVVGGSSGYYLCSCVTGQCGLIFSLPDMSSSPRLKVLKKEYKVLMLVDNVGVIVDSQGQPVGGSLVFNGSPDGIGEIGTSVVALHSGKMELYLKKSGSFVQKIVLAGEGGGQLFIMDDEDRTGKVVVVATRTKVICYLKVPSEEQIKDFLRKKDFKEAISLVEELHDDGEISKETLSFVHAQVGFLLMFDLRFEEAVNHFLLSETMQPSEIFPFIMPDPNRWSLLVPRNRYWGLHPPPAPLESVIDNGLMAIQRAIFLKKAGLETAVDDEFLLNPPNKDDLLDSALKNSIRYLKTSREKDLSSSVREGVDTLLMYLYRALNRVDEMESLASSENWCIVEELETLLNDSGHLRTLAFLCESKGMSSKALAIWRILARNYSSGYWTDPTQLNETHDVGVLAVRILTSEKRSHQLPPYEVIAAIDPKKTEILQRYLQWLIEDQDSDDPQFHTSYALLLTKSALETYESESPSRDAEAGTSDQVNGRNSIFQNPVRERLQFFLQSSDLYDPEEVLDLIQESELWLEKRLSPNMPLQLASDTILRMLRARLHHHYQGQVARLEERSRHVQINDESLCDSCHARLGTKLFAMYPDDTIVCYKCFRRQGESTSVTGRDFKNDPVLKPGWL >KVH97198 pep supercontig:CcrdV1:scaffold_1476:104155:109983:1 gene:Ccrd_000708 transcript:KVH97198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDIGTPPPPPPPPYIGATPTTTTTTNRPPVYNNGGIAADIPQIPHHHHHPSSHSSSSSASSLIIVMIVISSAIIVSATVYLLIRFLSRRCNRSLRTTFSPTDDVVSDNRDENENVHHHVISFGNNGVESLPLFRFSSLTGKIAGGDCAVCLSKFEGVDQLRLLPLCCHAFHAECIDAWLKSNQTCPLCRSTVNPTEDDVLNKIVSASAGGGRVGRNRSNSFRIEIGNVSQRREPSDSDRRSYSIGSYEYVLDDGYEISVESTHRRGGSDCTSVDKDSTAPEPPGHNLAAEVGAGGGSGRRNWLRDYVDRVSVSLSSRTQSLRGSGRFLTGNLRRSETVDEFEASHGPLRDLRSAVGVGSESFSFLPDNSSSDLPFVSGNKNVSTIPKKLMTQRILRVKDGKARERRKRAAGRPATTEERGQPAVGATETESIVESRVRQRPKSESVCNCESLRVSDRRQRCVRLGEN >KVH97193 pep supercontig:CcrdV1:scaffold_1476:80878:84439:-1 gene:Ccrd_000707 transcript:KVH97193 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, delta/epsilon subunit FAVKLSALPNSKLSKSNQNHKQREIKRISSSIDLRPCHPSDLFNPIETMFRQVANRLLTRSTTTYSAATIRRPYSTEVATDAAAGDSDFVESWKKVVPNIDPPKTPIQYMKPRPPTPSTLPSKLTVNFVLPYASELSQTEVDMVIVPATTGQMGILPGHVPTIAELKPGLLSVHEGNDVKKYFISSGFAFVHSNSYADILAVEAVPLDQIDPAQVQKGLSEFTQKLSSASTELDKAEAQIGVDVHSALNSALTG >KVH97197 pep supercontig:CcrdV1:scaffold_1476:119317:119511:-1 gene:Ccrd_000709 transcript:KVH97197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKRELDDLYTIISQRQSERKGRLDAIFSSVDGKYGGDEPSSELTDKEFEAAREKLKKRKGFKKSK >KVH93607 pep supercontig:CcrdV1:scaffold_1477:103599:112099:1 gene:Ccrd_004341 transcript:KVH93607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLGTSSSKGLWDSVLELTKKSQNKGSDPLIWAIHLSSQLNSAGVSLPSTDLANLLVSNICWESNNPISWKFLEKALIFNIVPPLLVLALLSTRVIPKRHTQQAAFRLYMELLKRHAFKLKSHVEFQNYPVIMNSIDNVLQLSQIFGVKVIEPGSLVLVFIFSVVWQLVEAALGDEGLLEVTEERKFRWNVPQDMELGDCASYDAKRTEYQEMLQSRNTVMTVELIGLFLQNKRLVLVISYHFNFSFILNHEVLLRPTHWRSFIQDIEVLAVNSSALRSSKAMTPEILLQLISDDRKIVSEETKASYLQEIHTVMSSPAGLSHKVGGSSLWLPLDLVLEDAMDGSQVDTTSAIEIVKRKARFGLLLFLKRNLLLIKNYLLEYEPRFLLTGFVKALQALNGTTWYESFLGLWMAALRLVQRERNPIEGPMPRLDTRLCMLLSVTTLVVSDLIEDEESMPQNKIDRQSTQNCREKRRRDLVSSLQSLGDYQSLLTPPQSIIPAANQAAAKAMMFTSGINVGSSAYFDFINMKDMAINFTGNLHHLIVEACIARNLLDTSAYFWPGYVTGRINQIPHGVPAQVPGWSSFMKGAPITPVMMNALVSEPASSLAELEKVFEIALNGSDDEKIAAATILCGASLIRGWNVQEHSVYFITRLLSPPPPANYLGNDSHLIAHAPMLNVLLVGIAPIDCVQIFSLHGLVPQLAGSLMPICEVFGSCVPNISWTLTTGEEISAYAVFSNAFALLLKLWRFNHPPLEYGVGDVPPVGSQLTPEYLLLMRNSQLVSSGSKNIYEDRNRRRLSAVAKTSCPNPIFVDSFPKLKAWYRQHQACLASTVSGLVHSPVHQIVDSLLNMMFKKINRNQPLTTCVSGSSSSSGPGSEDASLRPKFPAWDILEAVPFVVDAALTACAHGRLSPRELCTGLKDLADFLPASLAIIVSYFSAEVSRGVWKPVFMNGTDWPSPAANLSNVEEHIKNILAATGVNVPTLLAAGPALESLAAGCPWPCMPIVASLWTQKAKKWSDFLRFSSSRTVFLHDNDAVAQLLKSCFTATLGLNNTIISSHGGVGALLGHGFGSNSYGEISPVAPGFLYLRVYRSIRDIMFLREDIISLLMQSVKDIASNGKTPKGKQEIRYGQVSLATALTKVKLAAQLGASILWLSGGQGLVQSMINETLPSWFISAQMTGQGENTGGAVSMLWGYALAYFTVLCGAFAWGVDSSLSASKWRPRFLGSHMEFLASALNGKITLGCDPITWHSYVTGFVSLMVGCMPNWLVEIDIEVLKRLSQGLRQWNEEELALTLLESGGVGTMGAAAELISRTDS >KVI06570 pep supercontig:CcrdV1:scaffold_1479:61649:65952:1 gene:Ccrd_015077 transcript:KVI06570 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MSASTDLPSVSPSIKLDSESRKILGPAGNRVKVKEEEKEKESLKKKDLVEKKPKSFVKLVKRSPQIVVRKNDESADSSCSSKSSSSDGSSVKIPSFKKREKHDPNRLKLQGGEAPLTTLSIPFKRCDWITQFSDPLYVSFHDEEWGVPVHEDGKLFELLVLSQASAELTWPEILYKRDKFRKLFENFNPSSIAKFPEDRLLSGRRNGGLLLSEQKLRAIVGNAVALLKIQQEFGSFSSYCWRFLNHTPIKNGFRYRRQIPTKTPKSEAMSKDMMQRGFRCVGPIVVYSFMQISGMVNDHLISCFRYMECNSINVIKDPKQETDDLDE >KVI06574 pep supercontig:CcrdV1:scaffold_1479:92366:96827:-1 gene:Ccrd_015079 transcript:KVI06574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MRDFYVLQSDRYTGIMIKLFKVKEKQRELAENSNGKPPVKKQSAGELRLHKDISELNLPKTCSISFPNGKDDLMNFEVTIRPDDGYYLGGTFTFTFQISSIYPHEAPKVKCKTKVYHPNIDLDGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNHEDPLNREAAAVLRDNPKMFESNVRRAMAGGYVGQTFFTRCI >KVI06576 pep supercontig:CcrdV1:scaffold_1479:114611:117094:1 gene:Ccrd_015080 transcript:KVI06576 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MCPPASVTAPAIELDNYWTDEVVCKSLVQFKSGCPLPLNVLPDVDPYECMPSNLPENMWYFCSGTKKDAEHGFWMSTGEACEIYSTSVFTGLRKTLQFYEGQAPNGQKTNWMMQEYTTTEKYSNKLDCRALCRVFLADDKRSSRRLSESELLEKRMDDGTGASAMANTSPDPPSAMADTSPDPPSAMADTSPDPPSAMADTSPDQPSGMGNNRSPDWPPENIPDREYVLMGDYLELDDLAASISHSSSSADSSCMTMTSEEFFDPVALMRELGDDIVHQEVQDSSVKLNLSAPTKLKEVIMDPTTLGFCDDGKECKPSITQASKTDDTPQNEPGDDRKESTSNGINTTAAAAASSSSEEKKDRGGGTKKRKVMKYLCFLAF >KVI06572 pep supercontig:CcrdV1:scaffold_1479:22160:28901:-1 gene:Ccrd_015075 transcript:KVI06572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MDSELNGLSSEPHSLHCDSNLEDGPIKDDLPLLHSSKPITTDDTSTPQPDIEELEKKYAAYVRRDVYGTMGRGKLPWTQKLLLGIALITLFPLRMVLATLVVVLYYLICRACTLFLVPNREDEQEDYAHMGGWRRRTIYWVGRVLSRSLLFVLGFYWITETYRNPDLDSKFNDELDENGESEECERPGAIISNHVSHIDILYHMSSSFPSFVAKRSVSKLPLVGLISKCLGCVYVERESKSSEFKGVSGLVNDRIQESLQDKSAPMMMLFPEGTTTNGDYILPFKTGAFLSKAPVLPVILRYPYRRFSPAWDTISGVRHVILLLCQFVNNITVLRLPLYYPSQEEKDNPKLYAENVRRLMAREVCLFIHFSYQPMPY >KVI06571 pep supercontig:CcrdV1:scaffold_1479:40468:41250:-1 gene:Ccrd_015076 transcript:KVI06571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 MEHHQSDLSSPLTKTKTKTIDESIPTKDFLTHLEAYLAKRDGVDKLLKISRYATKIILSSDAVPQTHPFHRRLKSFESSVGLSRKAFRLGKFVQDVNSFRSAKLDSRQDIILAIIAYGGEGLYYFVEQFVWLAKSGLIDGKHSRDLQKISAWAEFIGYIGSICLKARDLKGIQKDETCLVSSIQIGVTRGDPTEIEEQKLKKLKEKKLLKKLSLVQDLADGLMAVADIRDGKGRLSGPLLLASAGMLSAIISTHKNWLSC >KVI06573 pep supercontig:CcrdV1:scaffold_1479:7721:14570:1 gene:Ccrd_015074 transcript:KVI06573 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MKFVMKKGLTSSVPFCLKGKSTPCFRLFPKVKPGYGPGNAPVYLNVYDLTPMNGYAYWAGFGIFHSGVEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFKFRRSILIGTTCLDPIQGSKSQGSVFSCVLPEALRVSAVEHDPNCIPYENEKQTLRSGSFSFLSSRQRQLSMSSLLLQSPLKGCLRPWELRRSRTVVLNNR >KVI06575 pep supercontig:CcrdV1:scaffold_1479:81417:82504:-1 gene:Ccrd_015078 transcript:KVI06575 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MMREGGSSSVSAAVEGGGGRRKPSWREKENNRRRERRRRAIAANIYNGLRTQGNYNLPKHCDNNEVLKAVCKEAGWVVLPDGTTFRKGSKPPLASIETCNTSTTTTNTTPSSSQKPSPIPSYQCSPSSSSFPSPSNSFAYAIPSSLPSLRISNSAPVTPPLSSPTSKFPKDNNLNWESFSKQSISSFNLPFFASSAPTSPTRYQRIMPATIPECDESDCSTIGSCQWMRFKNYEPMMMMMNPNSPTFNLVKPMAPVAATMDAGSEKGKGMEFEFENGGVKAWEGERIHDVGLDDLELTLGSGIAKT >KVI10286 pep supercontig:CcrdV1:scaffold_148:83210:93152:1 gene:Ccrd_011325 transcript:KVI10286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MSKLTSSSSELDLDRPNIEDYLPTDSIQEPHAKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCLGVVVRYGILFPGRVLILTFGWIIFLSCYIPVHLLLKGHDKLRKRLERALVELICSFFVASWTGVVKYHGPRPCARPKQVFVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVARKLREHVEGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGSTVCPIAIKYNKIFVDAFWNSRKQSFTTHLLQLMTSWAVVCDVWYLEPQNMKPGETPIEFAERVRGIISVRAGLKMVPWDGYLKYSRPSPKHRESKQQCFAESVLRRLEEK >KVI10290 pep supercontig:CcrdV1:scaffold_148:120796:124371:1 gene:Ccrd_011321 transcript:KVI10290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLKDQMKDLFWLLLLLGFAFLINSQEDDEDALRLLSFKKSSVDSDPNGSLSNWIPSSSASGPCSWRGVVCSKDNRVTGVNLTNMYLAGHLNLSDLMGISTLIDISFSGNYFSGNLSFSSPACPFHNLDLSRNNFSENLDVQPLFLTCNDLLSLSLSKNKLSDSSLLSVSLGNCQNMIMLNFSGNKLTGYLPSSLSSCKYLTSLDLSNNRLSGDIPTGFLPHTLKFLDLSGNNFTGKFQNLEFGTCQNLTMINLSRNDFSGTGFPVSLTNCQLLERLDISHNGLHMRIPGIMLGGFKNLKSLSLAYNQFFGQIPSEAGNACNTLEELDLSGNQLTDQLPTTFRSCSSLFSLNLGHNYMSGDFLSTVVSSLSSLKYLSVSFNNITGNLPMSLANCSQLRVLDLSSNDFTGNIPPDFCSSSSPFSSLEKLLLANNYLSGSVPNNLGSCKSLQTIDVSFNSLTGAIPSEIWNLPQISDVVMWANNLTGEIPEGICVKGGNLQTLILNNNLITGTIPTSIASCTNLIWVSLSNNKLRGQIPKGIGNLVNLAILQLGNNSLTGGVPLGLGKCRSLIWLDLNSNSLDGSLPKELADSAGLVFPGPVSGKQFAFVRNEGGTACRFAGGLVEFEGIRKERLEGFLNYHFCPSTRIYSGLTMYTYESNGSMIYLDLSYNFLTGSIPESYGSLSFLQVLNLGYNNLSGDIPFSLGNLKTALLLDLSHNSLQGFIPGSLGVLSFLNDFDVSNNNLTGPIPSGGQLTTFPASKYENNTGLCGLPLDPCGSGNGRAGPKHRGKTTNSMATGVVVGILVSLLCIIILTFFLFRMKRYQKKVETRDKYIDSLSTSGSSSWKLSGVPEPLSINIATFEKPLRKLTFGHLLEATNGFSADTLIGSGGFGDVYKAQLKDGSVVAIKKLIHVTSQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLEACVDDKSWRRPTMIQIMAMFKELQEDSGSDILDGMSMKSSVIDESQEKEPLGDHS >KVI10292 pep supercontig:CcrdV1:scaffold_148:341344:341802:-1 gene:Ccrd_011301 transcript:KVI10292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MGTLETRLDTADPRRSDQITGKTMLFSALVAIAVAGPLIVLMAISFCATMILFLVTAPLFVIFSPLLLAAGFVVAAAMVGLGMAAVMAIAGLAALRWVFLSFNGDAGKVIRDKVVELGERIKYAGNGWVSHLNQKVQSSPENWSARRSLIVK >KVI10269 pep supercontig:CcrdV1:scaffold_148:361160:375600:-1 gene:Ccrd_011297 transcript:KVI10269 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MASPRNQNQQKGGAGGGGGGGGAFDVQRLFNPSVSSSPSSQITTLQNPNNPYLPPSSAAASYPPPTVTGGPYSYNPPQANNPMFHHQFHIPPPPFPPQSDNHLHSNLPHQRSLPYPASPLQPPSPNNTNPNPNHGARLMALLSSPLPSTPDMPPQLPPAPTQNLNFNAVLPSSAPLLPSGAGPLRMPSSKLPKGRHLVGDHVVYDIDVRLAGEIQPQLEVTPITKYGSDPGLVVGRQIAVNKTYICYGLKPGTIRRVTDMAFFAEDVHLLASASMDGRVYVWKITEGPDEDDKPLITGKIVIAVQIVGEGEPFHPRVCWHCHKQEVLVVGIGKRILRLDTTKVGRGEAYTAEEPLKCSVDKLIDGVQFVGKHDGEVTDLSMCQWMTTRLVSASVDGTIKIWEDHKSSPIAVLRPYDGLPVNSVTFLTAPHRPDHIILITGGPLNREVKIWASESEEGWLLPSDADSWHCTQTLELKSFAEPRVEDAFFNQVVALSQAGLLLLANAKKNAIYAVHLEYGPNPESTRMDYIAEFTVTMPILSFTGTSHLLLHGEHIVQVYCVQTQAIQQYALDLSQCLPPPIYDVVHEKSGSTEGCSGSETSGGKLDEIGSEGAPTLSQPVSSPLTDVAISKGLFTSGAEAKSIPSPIANDTDISCVVSPTVPTSPKLSGKLSDFRSPSSGFEPRNQLNGYGDQKIMELEAAHSNLSSVVSLDDDIRTENKVAKDDGSTIPDRTVKFKHPTHLVTPAELMATSSSEVNHVTDDVAVNSATKNVEAEIKVMGKMGISQNADSALQGELHGSAFEVKEKSFSSQASGLGLDMARDNHVEPRETYMVKESKQLNEIVESKVVDQTSDARDDGQDTATDLSGPVQPTVPGMVQSAAPTSRAKKQKGKNVQTLRALKSIDSSDELGASSRKRIEAVVGKSMEKIYKANSDAWWARTQEEFAKQEKTNRDRHQQISTSASNGHKDLLAASEKSMKKEMAAAVSVIGRSVTPVIEKAVSTAVSESFQRGIGDKAVNQLEKTVNSKLEANEALKSSMEASVIPAFEMSCKTMFDQVDATFQNGIVEHTSAAQRQAESVHSPLVFALRDTINSASSVTQILSNELSDGQRKLMALAVAGATSKLVNPLISNGPSGGFHEKIEAPVDPAKELSRLIYEHKYEEAFTTALQRSDVQIVSWLCSQGILTRNPIPLSQGVLLSLLQQLACDIVNDTSKKLGWMMDLVVAIKPSDGIIAMHVRPIFEQVQSMLNHQASLLPTTSVAELSSIRVVMKLVNSTLRSL >KVI10275 pep supercontig:CcrdV1:scaffold_148:229233:234869:1 gene:Ccrd_011315 transcript:KVI10275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MQSPTPATTATTYTTIPISGTDVIFRSFQNLSSFLSLRRPWPEFISTTGSFDRPDSLNHAGTRLRVNSKYFGVNYGIIITACAAVSLIGDPTTLLVFASVFTLWLVLYFFREDPMVVWGHHVHDHLVTAGLVFITGISIWIIGFVSNLLIGIGLGVLISVVHGVLRNPQGIYLDENDAEFEGLISPPSGSSRDYRGNFSN >KVI10289 pep supercontig:CcrdV1:scaffold_148:104713:110232:-1 gene:Ccrd_011322 transcript:KVI10289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of ribonuclease activity A MSSFATAEVCDAHASHLAVGDLRALEPVFKIYGQCRAFSGPIVTLKVFEDNVLVREYLETRGDGRVLVIDGGGSMRCALVGGNLGQLALNNGWAGIVVNGCIRDVDEINDCDIGVRALASHPQKSYKRGVGEKNVPVHIGGTIIHDGEWLYADSDGILVSKTELSL >KVI10282 pep supercontig:CcrdV1:scaffold_148:50743:56666:1 gene:Ccrd_011329 transcript:KVI10282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIGVLNQGWKWLLKESLWCSIAAKKTVCGFRDTIGVFTRQHWPNVCYGFGKLGKVLCFLMIRWKDCFIRGCGSLFGLGTAALLVIIWSCFLSLTSMSCLLYLLLGMGTAGCAVCYLGFTPGLLVVGLFSLLVLRMYVNFLIVGPLFIVGVATVYALYHVKQQIGWSGVLISINLAFLSCDALICMLQSCDNPSEKIQFEEQKVPESFVEDDFSKENKSSVPFEESEQVESCKSSSKPATSGNKQKKASTISIPVVKNDKNAINEMKRILECLDHYEALGFSRYRTIDATSLKKEYKKKVLSDSMKKRDYDELLRNEELKSLSHKRVPITIQRNQDVYSARNVDFLIYGYVQIGQSRRQDGVRPNTHRPSFHVNMVGLEKTQRCSSSRYPWDSDAEMTDEEEEFDLWLQQAVASGFFCETSKRRKSWSRFKLSHKKVKKQWTRMSRWSH >KVI10278 pep supercontig:CcrdV1:scaffold_148:267500:276090:1 gene:Ccrd_011310 transcript:KVI10278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MKITVMTADEQIITLEVDRDESVENLKALLEIESQVPLQQQQLLYNGKEMKNAETLSHLGVTDGDLVMMVSNPSSSRGSSNEVSLNPDGSALDPAAFQQQVRNNSNLMAQLFQSDPELAQVVIGNDLNKLQDLLRVRHRQRSELRRQQEEEMALLYADPFDVEAQKKIEAAIRQKGIDENWAAALEHNPEAFARVVMLYVDMEVNGVPMKAFVDSGAQSTIISKSCAERLGLLRLLDQRYKGIAQGVGQSEILGRIHVAPIKIGNIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPQRFLDEERQLKEASSSGLQGTSGSATPFGGQSSAKIGKLVELGFSRNEVIEALKLFEGNEDQAAGYLFGG >KVI10298 pep supercontig:CcrdV1:scaffold_148:297510:302693:1 gene:Ccrd_011307 transcript:KVI10298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGGILSKGDEPPMVSVPTTKFEAKILETMRRRESKGTSMKSFNTIILKFPKIDENLRKCKAIFEQFDEDKSGSIDRKELNHCFRKLEIDFTDEEINDIFEECDINDDMEISFNEFIVLLCLSSRKGFQDLEVTFETLVDSFVFLDKNKDGYVSRGEMKRWIGIKMEWSISRSSFSPSRNGSESKMPRAKKTTRKSEQENRNIVPTIGITSS >KVI10311 pep supercontig:CcrdV1:scaffold_148:11663:26312:1 gene:Ccrd_011333 transcript:KVI10311 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEMVFCSKPHCFHSQLQRYQQQHIVSPLSSFQYKTLTFTPSTILFAAKKTRVISHLDSRVNGALSSDPDSSFLDRQKALEAAMNDINNSFGKGSVTRLGSLGGALVETFPSGCLSLDLALGGGLPKGRIVEANPTLPQPQIPTIPTLPQPQIPTIPTLPQPQIPTIPTLLQPQIPTIPMMPTIPTLTNMPKICHASRNLLQATPAMPQPQIPTIPALPQPQMPTNPTLPQIPTIPTLPNMPKFSFPPLPSMPTIPNLPATLPNIPFFASPPSKNYIYILVFAVFSFMNNEVCYASRNLLQANPTVPQPQIPTIPTLPQPQLPTNLTLPQPQIPTIPTIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPSYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICIDSVSALTPRAEIEIGVYYGNPEVTSGGIALKFFASVRLEIRPIGKIKSVKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGASKLGCILDCAEMMDIVLKKGSWYSYRDHRLGQGRDRALQYLRENLPLCDEIEKIVRSAMMEGTGQAVTSYTRHSTPFHEDDDSLEELEEQT >KVI10280 pep supercontig:CcrdV1:scaffold_148:192586:197116:1 gene:Ccrd_011318 transcript:KVI10280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHRNPGNGYRSNPIGIGGGGVAAGGPGYHNYNRGGFGRGQPKVFQSATTQPPPPRRVDVFMEAGKLATEYLVSKGLLPPNSLNGKWQNSNLKNQVGGGDGVPDADSGTGGGRRRFPDDISSATGSRDGIRARRTMGSFKNPGFEWNREFNNRSSSWSEKVKPSTDKQRDGDSVSGSVEEQRSGKDGASEDQKSVSGDLESRNSEAGNIETAIDNKPNQLADDNSGIKTPISDVESAEKSDGLKSPNTEDVIMDDVKKESDEQIVKEEPGQENSTDENNNTSANKISSDLLTLIRFNKVPTRTRSSVTLKGSKSDSLLTSGDENKNKIQNSGEPANSSQDVSVADENQESNHELKIEEVPSEEEVAQIDHNYKFGARSQSFPQRSSIPDQGFGEEQQGYNRCSSVVLGRGEKRPLLLSDSSESAKKPRDWTSGDGYLHLSNSRGDQASGITQPCNVFAEEKQLFPSSFKICDLNLMEVSDVNDNHDTSSVIGFSSIRQAKQESVSVDFDLTMNSSCDISDRQTRRGPDGKGVEVIDLDCDSGQDDKGFSNSERREEAIFTDLESFPDSMQRVSDMPQDGYGLMISELLEADIPNSTVSTGVNSMHNEMSLQNEEVLCQFGISSHHRGMINATRMMDMADVLPQDMGV >KVI10270 pep supercontig:CcrdV1:scaffold_148:381985:388734:-1 gene:Ccrd_011295 transcript:KVI10270 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MQNNRWQFNLSFSSTCPSSFRVAGRREFRQDNLGKHGELLLDGIFDCFHEITTALAFARMNDSAYRVDTTSRLAQWRIDNLAAFTYRKSNPFRIGKWNWHLAVEKNRTLLIKLYPEISNLTQDGPPIVSFIIRLVSLSEGRKVLVHPEIRDKQLKSSDDFVWALEVPFTGKFIIDGGESCSIWAEGVAQKESYATALTSLGRMLSESIHTDIIINAADGSIGAHRAVLAARSPVFYSMFSHDLKEKELSTINIPDMSIEACQAFLSSLYGNIGNKDFLTYRLDLLRAADKYEVLDLKEACHDSLLEDINTKNVLVRLQNAKLYSLQRLKICCMHYLVKFGKIYEIQEEFSTFIQSGDRELIWEVFNEVLSIWKGF >KVI10273 pep supercontig:CcrdV1:scaffold_148:219345:223761:1 gene:Ccrd_011317 transcript:KVI10273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIDGHPPPDPSSSHHHHHHQEQQQISHDHLKIKINSDDYKASVSVSDLDLKSPDAADDLDDTHNNPLPNFSIRDHVFSLRSKDVACNWPFSPKSLQICLRNGVKNLLPPFQPLVLLRDNNRCNLENHLASNEDVVSGFDGKRVEDRSKPVTCDAAKSICELTVRSVSTNSSGSKENYKESNTRSKEKPPASCSSQKKSRLVLKLNSGVEPVDQLEIPPNSFVISETMASKVCPVCKIFSSSSNTTLNAHIDQCLTGEASMKWTDNPKVIVKHRIKPRKTRLMSDIYETAPRCTVEELDRRNGTSWATNSSFPAQEFQFQEEKEEPRLTTTINPEVADNEGDVYIDTDGTKVRILSVPKAGSSDNNGARKLLKGVKGCKIVIGKKKSNFFKAKHHQKFLKLGLNAGKLCSPKPSKSRCPSEIVEQIRSSEKEDVTMAEDCRKEEGGREPMKAPAGLAIIRPPWACSKRTGLGKKFSGKQRKMELRKDFLVESEKSSSGCDFRTPISSNKMDSSQIRKKTRTSLPLTKPSLKLLRQEDDDSSGSRDSYPSQHSDGPEIRPMMNTKFSSLRKNLSFSASKTSLKRKFSAFKKSHVVRCLPNEKSVDGSSQNSPKGQSKTEDDDTGARKERSITSKSSNGDQSSVGIETTIEDALRDVESVRNENQPDGDQVCAVPASRMIDDDRNLMDLSNSFDPEFSKLVSDDKLYPDQICSTNRAPDGKNIFGNDIEDGTEDIEKNQDYFQEVDPIPIPGPPGSFLPSPGGDMVSDEIQPIRVPPSENPHNLDTIDRDSMSNSPVSTVSNPSMARSDSRSSEKLSYRSSSVQDDQRPGFSSVMIEPTFKKSFPNSGGAGGGGDWRPVDHIEKGPSPTSFKNDQPCCCSRKETVSSYSVASHYQDSSSLRKQQSIEPSRSPNGLNFRSESMFLLNNYPNSQPPDTMVFPTITKKLSSAAGLPVKLPIYSDCDSAVSPSKPVLRLMGKNLTVVKTDDDVSSSSSQQFRPQMNQLVHSSFSHGYPHRPVIFSQYQNGSESNHFNGQPPVNMRSFMADRYCHAVPNMGPMVPPARSYNGYNGVHSQTPRGRNLYNAAASSSNPIKEIIIIDDSPENEADDSTRNDQFLRRNQFYTMNQSQGSDYHPYGGGPAVFRAGGFQTSSGMMHMGSSSPSPSNSTSHLRSSTAYYPPSYP >KVI10276 pep supercontig:CcrdV1:scaffold_148:260409:261494:-1 gene:Ccrd_011312 transcript:KVI10276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYASSGEMGFAESVLGGFSEENVLGKNAMITGYMRQGRVEYARQVFDKMSTRDSASWSVMVTGYTRNGMYTEALVVFQEMMGSKTPVNESTLVSALSACGHLGALDQGRWIHVYIDRMWDESISVNLGTSIVDMYARCGCIEFGYEFFKNMPEKDVVTWGVIISGFATHGLAEKCFQLLDEMVAYGTQPNEVIFVAILTACSHAGLVDSGWLYFDQMTTLYGIKPSVHHYGCMVDLLGRAGRLAEAEELVSTMAEEPNAIIWGSLLAGCRIHGDSRRGEFAFKNLIRLEPLSGERFKLVSHLLADVGQRERVPKLRKELSDRNLETTRGSSLIEVDGEVHEFVASDIDHRRYQEIYSLFQG >KVI10268 pep supercontig:CcrdV1:scaffold_148:431673:434945:1 gene:Ccrd_011292 transcript:KVI10268 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2431 MKEIAGRYEHHFFMNRSTQLFQKEIWAKHYSSNHQILLVGEGDFSFALSLADSFRSGFNIVASSIDSHARLLFGVDACTMEFHRDLYLRKFDRINASWMLRPNGEVHVSHKTKFPFDRWNIVELASQSCLTLLECVEFKLEDYPGYNNKRGAGLRPDEPFPLGKCCTFKFISSSTATMLTALYDGIHQELQAILLQGANTSLFTDPARAIKSTKCFRIVVEYFDHARLTYGKNDCYLSSSVTDHLRFKFQRYMAEDHRRQSIDFVKLLEELQSFSKRTIEFLQKRFWELDLRGGL >KVI10272 pep supercontig:CcrdV1:scaffold_148:230933:233812:-1 gene:Ccrd_011316 transcript:KVI10272 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MNQESPPPPPPEKLSNGISTIDATRNLTIKISNSAQKPRKEILTTIVVPSSATSISSSPYNSPSLISPPSSAFVSALQSPYISPRATGDDPNLLQENETVTNPSTTTTATTTPTSFTRPSTPVSYCGSHNDDIPSTSYTPPSERCDFSDETKLKIVNCVPLPASDNNPTAPRISFSFPVPRISFTKGSVSPANAKLRSCDVYVGYHGQNPNLIRFCKWLKSELEIQGIACFVADRSRYADSQSHEIADRVICSVTFGVVVVTMDSLLNYLSLEEIRFFAQKKNLIPLFFDMNTNEVADLLARNPDTKECKEAIDGLMKSHEFKLEANNGNWRCCISRTAGILKGKLGRMSVAENEIETVDEMPFPKNKFFVGREKELAEIETMFFGCRDICENESGMHVIKGGTPGTSERLAYKKTKSGKYKSFGSSIVCINGDPGMGKTELALEFAHRYSQRYKMVLWVGGEARYFRQNILNLSLNLGLDVSADAEKERGRIRSFDEQETEAFKRVKRQLFRDMPYLLIIDNLETEKDWWEGKDLHDLIPRNTGGSHIIITTRLPKVMTFDPMQIQPLPLKDAISLMKGRRKKEYPSQEVEILTKFDEKLGRSSFGLWVIGSLLSELTILPSTLFEAINHIRIEEDLSRFDELFWGNNKFLLKILIFSVTILHEANGTRNVLASKMLLVGAWFAPLPVSANLLAAAANNINGSTNRFKKWAKCANMAFFCCSGFLDSQTSKSEEDSAMVLVKLGLARRANRHPGCHILFHPITQTFAKLKGGLVTAKATIQGIRKTGNPILNSDQLWASAFLVFGFKSEPPLVQLKANDMVLFIKKTALPLAIRAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWCHGSLCWKKKLNSHQRIDENVWQDVTLLKATLLETRAKLLLRGGHFDNGEELCRTCISIRTVMLGHNHAQTLAAQETLAKLVRMRSKI >KVI10295 pep supercontig:CcrdV1:scaffold_148:324804:335121:-1 gene:Ccrd_011302 transcript:KVI10295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIEEDLSPLIEHSHSSPDHQSHIVTHRTGLLTGRSECKRGVTGTIWTAIAHIITGVMGAGVLSLGWSLAQLGWLFGTLAILVFALVTGVSTSILSDCYRSPDSELGPDRHTSFLQAVRFYLGERNQKICAVFFNESLFGNDIAYTIATATSIRAILKSNCYHEQGHDAACKYPDSIYMLLFGLVQIVMSQIPNFHNMAWLSVVAAMMSIAYSTVGLGLGLAKVIENGEIKGRIGGVPSASAAYKTWLVFQGLGDIAFAYPYSMILLEIQDTLQSPPSETVTMKKASKSAIIITTVFYLCCGGFGYAAFGNMTPGNLLTGFGFYEPYWLVDFANACVVLHLVGGYQRHPDSRFVNEFYTLNLPSLPAFQVNLFRLCFRSLYVVTTTAIAMLFPYFNQVLGVLGALSFWPLTIYFPVEMYFVQRNIAPWTRKWVALRSFSIACFLVSALAFIGSLEGLVSARFQDPEELITTSKNPIPVARLELASEENPQRPQTKLMEEGAGEAPLLLLHKRSGDVWTAFAHIITGVIGSGVLALSWSVAQLGWVAGPVSLVLFALVSLLNASLLLNLHLYPDPNNGVTIINRSYLQAVENILGEAIEQSNCYHENGHEADCEYGIKTYMILFGIVQIIASQIPNFHCTKWLSVIAAVMSFAYSFIGSGLGLAQTIGNGKVKGSIGGVSTDEPIQKVWLVAQAIGDIAFSYPFPLIFLEIQSTLKSPAPEEVTMKNASRIAVFTTTVFYLCCGGFGYAAFGNSTPGNILTGFGFYEPYWLIDFANACVFLHLIFSQTLYGIVERWYAEKYLESESTSNRIFRMRRNPFRFCFRISYVVLTTSIAMVFPYFNEVVAFAGSVTFWPLVVYFPVEMYFVRKRIAPWTGKWIALRIFTVVCLFVSIFAFVGSIQGLIAKRFG >KVI10284 pep supercontig:CcrdV1:scaffold_148:63462:67881:1 gene:Ccrd_011327 transcript:KVI10284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGTCYSTTGDGRRDRKDGGGDEDDETDRWWKSKSGRKINRKPIDLKFKFGGGGGRGRAAEERALHQKFDSTNEAVFCGVFDGHGPYGHMVARKIRDSLPVLLSTHWKATSDSENGDANGSVHDDEEEDKWCEELEVEEKDRVPEKYLSLKKSILKAFRLMDTELKTHPSIDCFCSGSTAAQDLIIGNLGDSRAVLATRDDENALVAVQLTVDLKPNLPKVARVWLPNSDSPGLAMARAFGDFCLKDFGLISVPDVYYHHITDKDNRSTAARALVDCATRAWRLKYPTSKNDDCAAVCLFLDPKPVPGSQKMETKEPDIGIVPHEPATNPTVLEHSGTVRDSSEIVPFVEPPENKLPEKGVGGSKRSLAECITSNEDEEWSALDGVTRVNSLLSIPRFLTGEKRSNSSRKPVIKI >KVI10302 pep supercontig:CcrdV1:scaffold_148:350117:356771:-1 gene:Ccrd_011299 transcript:KVI10302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme A synthase MLESRLITIIRRSKSINQIRSSFSSHGGSTNIYSRFAFDRYSTYKHVANPIARSCFIDGFRSLHNLNKGSYKPSFKYMSTVAATAFKENKETVKLLVTAGPHAQKAIGIWLFASAAWVFSMVILGGVTRLTRSGLSMTDWKFTGSLPPLSDEDWLMEFEKYKQSPEFKRINRGMNIDDFKFIYWMEYAHRMWGRALGVMFALPFSYFIRKGYINLRLGVRLAGLFALGGGQGMIGWWMVKSGLEEPPSEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPTESVAWVHAAAKVKRFALPVGIIVGITAVSGAFVAGNDAGHAFNTFPKMGDSWIPEDVLSMKPIIRNFFENTATVQLDHRILATATLASIGGLWWSARKLDLHPAIRSLIGSTMGMAALQVTLGVSTLLSYVPVSLGTAHQAGALTLLTLMLLLNHTLRRPSPMLLKSLPAVAKTI >KVI10288 pep supercontig:CcrdV1:scaffold_148:84309:103786:-1 gene:Ccrd_011323 transcript:KVI10288 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDHKKGTTASAIVGGYSLLERIPESILNEIFSRLEPETLTTLCSVACVSRTLNSSVNKLLSSFSSVDLSAFSVDSQTFDGIIRRFRKIEKITLDCLRLNDSSIRSFLGPDVEELILLKCSSLSYRLLSSIGRTCPNLRVLTLEFSGFIDKSAVFDLKLEDSLGSCRFLESLRIKVRGGEINEYGFMLLGIYHLLPQTVKILKLQPASVLDTVIYLRTFNYTNLGILIAPASFGQTLTHLSLVLDCITDVLLRSIAHSLPLLAELDLKDRPTSEPSDDLSDVGIQSLVGCHHLTSLSLVRSRQHFATSFKRTTDMGMFLLSEGCKGLESIRLGGFSKVSDAGFTSILNSCFNLKKFEIQYASLLTDLAFQDISKAPRSLTDIKLVSCSYITSEAVRELASCSDLEILNLLGCRSVADSCLTNVSRLKFLTTLNLGGADVTDNGMSVLGNGNAPISTLSLRGCKRVTDKGIAFLFRNEGKIRKSLSSLDLGHMPGITDDGIRTVVDGSLGLVDLCIRNCFHVTDASVEALGLKGRRFESEKSKVLRRIDVYNCSGLSIESLRLLKKPLFCGLQWIGIGRTRLICGDVGLDEIQKERKWI >KVI10301 pep supercontig:CcrdV1:scaffold_148:289343:297765:-1 gene:Ccrd_011308 transcript:KVI10301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MVIVIDIEENRVGFGGESKMGIVRSGYSSSSHVGFLVNGHSDQNLLMASKRIQKELKDLQKDPPASCSAATIMGPSESPFAGGVFLVSINFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKNDRAKYEATARAWTQKYAMG >KVI10308 pep supercontig:CcrdV1:scaffold_148:39221:46781:1 gene:Ccrd_011330 transcript:KVI10308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-responsive protein kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G16670) UniProtKB/Swiss-Prot;Acc:Q93YN1] MGCFSFLARRPAKSPIKQFPEFDEELSDVQNLKLYSYKELRIATDDFSPANKIGEGGFGPVFRGRLKHGKEAAIKVLSAESRQGAREFLTEIKVIYEIEHENLAKLCGCNGHSSIQFSWRTRTKICIGIARGLAYLHEEVRPHIVHRDIKAGNILLDKDLTPKISDFGLAKLIPSNMTHWLFGTGVCNTRPIDT >KVI10279 pep supercontig:CcrdV1:scaffold_148:263518:265783:-1 gene:Ccrd_011311 transcript:KVI10279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILISVLLLLCCISTCFSSPLSISDGVFSSGASIGRNLLQAKKPCPVNFEFMNYTIITSRCKGPQYPANLCCQAFKDFACPYAEDLNDLSNECSSTMFSYINLYGSYPPGLFASLCRDEKIGLICPALAPGAGRNSVGADSNNSHNIHNPTLLLMLIAGFLIFLSQWF >KVI10294 pep supercontig:CcrdV1:scaffold_148:320636:323469:1 gene:Ccrd_011303 transcript:KVI10294 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MRKLEDGNPSRKYQKFALIIGVTGIVGNSLAEILPLHDTPEGPWKVYGVARRPRPSWNDDYPIDYIQCDVSDPEQTQSKLSTLTDLTHLFYVTWANCETESENCDINGKMFTNVLNAVIPNAPNLQHICLQTGRKHYIGSLEAIMAKKFVHDPPFHEDLPRLDSPNFYYTLEDILFKEVEKKEGLTWSIHRPGVIFGFSPNSMMNILLGLCAYASICKHEGQPLTFPGTKEAWNSFTDASDADLIAEHQIWAAVDPIAKNEAFNISNGDVFKWKHLWKILAEQFEVENGGFREDGEKKSLGEMMKDKGGVWDEIVKEKGLLVNKLEEVGKWWFVDVVLSNEGMLDIMNKSKEHGFLGFRNTKSCFVSWIDKIKCSKIVP >KVI10300 pep supercontig:CcrdV1:scaffold_148:280905:282486:-1 gene:Ccrd_011309 transcript:KVI10300 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MSGLGGFEGFENLEEDERRRSKIGVLRKKAINASNKLTHSLTKRGLRKVDYRVPSIEDIRDASEERAVHELRQKLINKDLLPERHDEYHTLLRVGRPIYIERLGKAHPGRLLCITSVDRYLKYHVQEFERALNEKFPACSIAAKRQICSTTTILDVQGLGLKNFTPSAASILGAMAKVDNNYYPE >KVI10306 pep supercontig:CcrdV1:scaffold_148:17:6973:-1 gene:Ccrd_011335 transcript:KVI10306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLGFEPRSPRPQRGILTTKLQPQGGGAKKGKLGRFVGKFGIVGIEGNGGKETLGILGSVGMVGIIGMVGICGCRSVGMVGICSCGCGCGCGSVGMQRICSYSIVYFLEGGGAKKGIFGRVVGRFGM >KVI10277 pep supercontig:CcrdV1:scaffold_148:252907:259978:1 gene:Ccrd_011313 transcript:KVI10277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MAFLFKKILESANPLNSSSSTSSSTSNYSVSSHVSLSDQIIVCSSSTGEFDRIPLDIFMQILKLLGPKEAAKLTVICKSWKLIVSDNMFWISYLQNQQGPWDSMFFAETNLRSGYPLRTYPSRMQSFMHIYGQRAQVPGAIIIDGGSGYCKFGWSKYDSPSGWAATFLEFGNIESPMYSRLRHFFATMMHVKSSTQPTVVSIPITHSDNTQAAKTARRQLKEAIYSALFDMNVPSVCAVSQATLALFAARRTSGIVVNIGFNQTSVVPILHGKIMHNVGVEAVGVGALKLTGYLREQMQQRNLPELRKDTEGSYEVPVEVALCVDHCHAAGLTPDETWFKTIVLAGGTACLPGLVERLEKELHLILPSSQSNGILEHLSKFLVHHKEGIQTKVQKKLHVVIFGD >KVI10297 pep supercontig:CcrdV1:scaffold_148:319807:320505:-1 gene:Ccrd_011304 transcript:KVI10297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEDLHHHLIEIPVDGEHQKQIISAINAISAIQDHPLMEISESPGHLLLLKLWQREEDLSGRRIAGKETRLDTLRREIFQLCLFFFTFHGLFLTILFTSSSLSSSLSSSLSSSSNDLCRKWWIPMMTSLSFCVVMVFLVQMKLFRYWKVYGQIQREKTDNRSLIRCIQELRMKGVSFDLSKEPGNGKKMKSSSVEIKWKPITWCSRYFVTICLVSASSLALPLCKFMVCA >KVI10274 pep supercontig:CcrdV1:scaffold_148:240340:242962:1 gene:Ccrd_011314 transcript:KVI10274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKILIMDSTELGKNGEIKDNGKYDGEHIDGKSLDENISPPPPSPPTAATKGRGLRKWRRIPREAGKEMNSSLDSNRKRGSMSFPIGVKQKSEGSSSSTNAVSNALDRNSPLLVDFGSDFATGADSENSEDRNSRSSTAASVPRAKNLETVRILSGTNSGISVQSGDQSGKGRSVTNKKARGVRIKKENSLSSVESDSRSSNFVFAKGSNGRQSGMSGNYDEDYSDDARNGDRCLNEEGRDANEGDSEEISREDLGAENSWEVKEEKVDDPVESGDRDDLAESKLRDVGKEDPLSNDTKIFELESILNFGNMKIEHDEILKQRIAAEIEFLVISTTIQNLKTGCKDQTNLMVQQKNVAAPVSVSVPVPEAVKVEDEGETARNLRKSVRRYGFWFIIQLVLFVVALYLLVLQISSRDTKVFPT >KVI10304 pep supercontig:CcrdV1:scaffold_148:380698:382691:1 gene:Ccrd_011296 transcript:KVI10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVMIADEQIITSELDRESEMNPNVNGGELFFFQVMREHAIKDWGPCSKDCTVGPNASIGSIDDDICVNAF >KVI10299 pep supercontig:CcrdV1:scaffold_148:301378:309258:-1 gene:Ccrd_011306 transcript:KVI10299 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MSSGRYMAYSPSPSAPHSPHIAGLRSAATAIVEQEKYLSELLAERNKLSPFVPVLPHCYRLLNQEILRVTTLLGNASVLDQSGLEHASPLASGGMFSNGGANMNRWTTSPIQSEISVVQMSALLQPSSAQSWLGSQGSSSGLVVKRTIRVDIPVASYPNFNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPAKVNNGEEKMRGKPGFEHLNEPLHILVEAELPVDIIDARLMQAREILEDLLRPVDETHDFYKKQQLRELAMLNGTLREEGSQMSGSVSPFDNSLGMKRAKTRG >KVI10287 pep supercontig:CcrdV1:scaffold_148:94432:99144:1 gene:Ccrd_011324 transcript:KVI10287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSSSTSSSGLTLKLHPLVIVNISDHYTRVKSQAQAPTTNAIAIAIADVTATSSSPRVFGW >KVI10271 pep supercontig:CcrdV1:scaffold_148:391524:399757:1 gene:Ccrd_011294 transcript:KVI10271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein domain-containing protein MDSANGDKPLRKISEAFKDIANCINSQNPQQLELAPFSQACSLVSPLFRCLGIAFKFAEMDYVAKVNDLCETSKSISTLQLMMERDIEENCVRKPGSHTRNLLRVKRGLDMVRVLFEQIITSEDNSLKNPASKAYAEVFSPYHGWAIRKAVAAGMYALPTKAQLLQKLNEDEVSAKSEMQNYVTASTLVVLYIDKLFLSRELGTDW >KVI10291 pep supercontig:CcrdV1:scaffold_148:150299:156839:1 gene:Ccrd_011320 transcript:KVI10291 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MGGFDDHGGIIGDWMPPSSSPRSFFAAMLGDDSGSRTVSEPPPKPDNNNDSGFTFPGPDRQGGSENGDGNQRGLTVDQATKKGEFGEQRMSSRAGLVERMAARAGHNAPRLNTDIIKPADSLQNQQVRSPYLTIPPGLSPTSLLDSPVFLSNSLVQPSPTTGKFQFAPNGNGKSSMMFLDSSNRNKDNFFEDPNNSSFAFKPFPDHVNPPFMSTQSFQTNEALVQPEKQFPPQKIEVAQNETSSLHIRADFPRSSTEKKPEANFLTPFESFNGNSERNVQRLEDHDDDDADQRISGDNANNAISASSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCLVKKKVERSHEGHITEIIYKGAHNHPKLAPNRRSGIGSSIALSDMQSAINEQGGNGVDGGPSWGTNMQKGNGHWSQESSNLEVTSSGLVMQGQNGQFESSEAVDGSSTFSNDEEEDDRATHGSVSLAYDGEGDESESKRRKVEAYAADVSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRASHDLKSVITTYEGKHNHDVPAARNSSHANNTMAAQALSIHPQVHMPEPSRIQNTMARFDRPPFGLPGGPQLGPTPTHGYGFAMSQQGPGGLAHMAMANHGKMPVLPVHHYLGQPRQMNEMGFVLPKGEPKVEPMSDPGLNGAPMYHQMMNRLPLGPQM >KVI10296 pep supercontig:CcrdV1:scaffold_148:312678:317979:1 gene:Ccrd_011305 transcript:KVI10296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGQAAINPTQPNGSKLDCLNKEIATISVYFNLDVLSTINKQVDEMSFLQVTASRPSLHIPDQYNTPHGLAVQRIAPVNIFNLHNSLKPTVGSSKKFTELITRHMIQRTGASRYQLCKPVCLFGGKGGPRSGNEASPWKSIEKAMSGLKKEQSLEDVLRKQMEKQDYYDDGSGEDPPIGGGDGGGGGGGSGGFRGTGDEGFDGMWDDIQQVTFAILALIAMYVYIVMGDRVITFIAEGFEFLAGKRGPRLRTIMQEFAQFYETYRAKVKVDPYWLEKAIISTPTWWDSPRKYRRALRPQIRAIMSSSSLDSDNDDNDKDSDDENTYRKVSDDRNRYHKKTYSDDDNDNGAYSEDDDDY >KVI10293 pep supercontig:CcrdV1:scaffold_148:341696:348688:1 gene:Ccrd_011300 transcript:KVI10293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAENSIVLPVIWSLRRGSAVSNLVSNLPLPPENWILFGTLASPSAIRDNEARQVFDEVEQRNSLDRAQEWDGCTWNHHSRKSHKEERKVDYDWRPVGRGRGRGRGRGRGRGR >KVI10305 pep supercontig:CcrdV1:scaffold_148:16489:16806:-1 gene:Ccrd_011334 transcript:KVI10305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVLYFLEGGGAKNGIFGRFVGRFGNVGIEGNGGKETLGMLVSVGMVGIIGMVGICGCRSVGIVGICGCGSVGMVGICGCGSVGMVGICGCGSVGFACNKLREA >KVI10285 pep supercontig:CcrdV1:scaffold_148:71995:73239:1 gene:Ccrd_011326 transcript:KVI10285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MVGSSSHSHHQEEYNSQLDPPPRTAEHHHHHHTHMHQLLLYCAQLISSSDFSAAHRLISFLSAISSPAGDSSDRLVHSFTKALSLRLHHLRRHHPPQTTINTITTPTPTTRCCKDDDTILQKSYLSLNQITPFIRFSQLTANQAILDAIDQSHHHQFIHIIDFDTMHGVQWPPLMQAIAERHPPPSLRLTATGTNPDILRKTGDRLSKFANSLGLKFRFHPILLPNEDNTHDLIDHLSTVVLHPNETLAVNCVLYLHRLLKNRDNLCSLLKKIKTMNPRVVTMAEIESNHNYPSFMSRFGEALKYYAAVFDSLEATLPPNSQERMEVEEVWFGREIADVVAAEGERHERFRSWEMMMIRNGGFEKVSWSSFAISQAKMLLRLHYPSEGYKLVVVDDCFCLGWRNQPLFSVSSWH >KVI10303 pep supercontig:CcrdV1:scaffold_148:359465:359875:1 gene:Ccrd_011298 transcript:KVI10303 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MIAGISPLRLPPPNSNGSTSFHAVLKAKPVVEQAFRRRSENLYEVLRIGRNATTTEIKTAYRSLAKVYHPDALEFTSHGGDCDFIEIHKAYATLSDPEARAMYDLKWRRRSGMYSVVGKRPGFYAGGRRWETDQCW >KVI10267 pep supercontig:CcrdV1:scaffold_148:415871:418631:1 gene:Ccrd_011293 transcript:KVI10267 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2431 MEEIARRYEHYFFMNRSTQFNQKEIWAKHYSSNHRILLVGEGDFSFALSLADSFQSGFKIVASSIDSYARLLFGVDACTMEFHRDLYLRKFDRINASQMLRPNGEVHVSHKTKFPFDCWNIVELASQSRLTLLECVEFKLEDYPGYINKRGDGLRSDEPFPLGECCTFKFISSSTATMLNFWKSFGV >KVI10310 pep supercontig:CcrdV1:scaffold_148:28065:29971:-1 gene:Ccrd_011332 transcript:KVI10310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbR MATTVMSSLSLKPSAFTIQKPAAAKGLPSLAKTSFKIQASGIKKIKTDKPFGIGGGMQLRDGKDANGRKPTGKGVYQFVDKYGANVDGYSPIYNEEEWSPSGDVYVGGTTGLLIWAVTLAGILGGGALLVYSTSALAQ >KVI10281 pep supercontig:CcrdV1:scaffold_148:159676:186935:-1 gene:Ccrd_011319 transcript:KVI10281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, core MSGGDRNDHAVPLRSSSSSSGDDLEGQVSSVRSSKGIKDLWNKLDRRFSGRRLSIKRRLPKGNRSDFDYATASRSGGGGVVAGGDETLGDGAPPEWALLLIGCLLGLATGLCVAAFNRGVHVIHEWSWAGTPNEGAAWLRLQRLGDTWHRILLIPVTGGVIVGVLHGLLEILHQIKQSNPGHDFNLLSGVFPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLMMENNKERRVALVAAGAAAGISSGFNAAVAGCFFAIETVLRPQCAENSPPFTTAMIILASVISSTVSSVILGEKQAFTVPSYDLKSAAGILSHSSSINCCCKEHACDIKSATGILRHLQWVGLGPVHCHISLEKIKLPLYLILGMLCGVVSVLFTRLVAWFNSAFAYIEETFGLPAIVSPALGGLGAGLIALKYPGILYWGFTNIDEILHTGKTASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQSKEAEGSDTRSSSRGYSFLSPIDDKTDDWRQNGEDDLELCIMGPGDSHEAIDEDIILEDLKVSQAMTNSYPKVLLSSTVNEAVKSMNDGQQSCVLVVDHEDHLEGILTYGDVKRGILRTPNGSSDSGINYRGRKRGLLTCYPDTDLAIAKKLMEAKEIKQLPVVQHVVDFQEERKRRVVAILYYHSIWSCLREELNRRGTWRTEDHIEEKSCNGQMSGGDHNDHAVQLRSSSSSSGDDLEGQVSSVRSSKGIKDLWKKLDRRFSGRRLSIKRRLPKGNRSDFDYATASRSGGGGVVAGGDETLGDGAPPEWALLLIGCLLGLATDCLTARYSIQVHVIHEWSWAGTPNEGAAWLRLQRLGDTWHRILLIPVTGGVIVGVLHGLLEILHQIKQSNPGHDFNLLSGVFPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLMMENNKERRIALVAAGAAAGISSGFNAAVAGCFFAIETVLRPQFAENSPPFTTAMIILASVISSTVSSVILGEKQAFTVPEYDLKSAAELPLYLILGMLCGVVSVLFTRLVAWFNNTFAYIEERFGLPAIVSPALGGLGAGLIALKYPGILYWGFTNVDEILHTGKTASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQSKEAEGSDTRSSSRGYSFLSPIDDKTDDWRQNGEDDLELCIMGPGFSGYVKQLSESPIIINC >KVI10309 pep supercontig:CcrdV1:scaffold_148:40129:46473:-1 gene:Ccrd_011331 transcript:KVI10309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNTIVDCEVVLDDNSESSALLSEPDDVLVLNVFFFGSLVPQAVVLFGLLLILRSMKSEMRPGFVILPSSTFISPDNILTTVDITGRSFGLSWVHKRPIFKYLQASSASKSPCIAD >KVI10283 pep supercontig:CcrdV1:scaffold_148:56392:60303:-1 gene:Ccrd_011328 transcript:KVI10283 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methylase, N-6 adenine-specific, conserved site-containing protein MNLASLVRASSLSATSTSRILHRSIAIRPFSCCSISNIPISINPKTPIFLRPPTFSATLSDLQKWQNWAKTLASSVGSSFTDSDNGPDSHNLHRELNWLIEDALENPQSLLTSNEYEIDSVLNLRADLGDLYSLWKQRIEQRRPFQYVVGCEHWRDLVLSVEEGVLIPRPETELIVDLVDDVIKENEELKEGLWVDLGTGSGAIAIGIMRILGDFGRVIAIDLSSVAAQVASFNVKRYNLQGRTVTMVLASIDKISVKQGSWFEPLSEFEGQVAGLVSNPPYIPSGHISGLQAETNGEDQCRFLVDHMETVYDGSFYNLKIVPDFAGIDRFVTGIRS >KVI10307 pep supercontig:CcrdV1:scaffold_148:2365:2721:1 gene:Ccrd_011336 transcript:KVI10307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYIYVLVFAVFFFMNNDVCYASRNLLQANPTVPQPQIPTIPTLPQPQPQPQLQIPTIPTLLQPQMPTIPMIPTIPTLPNMPKVSLPPLPSMPTIPNFPTNLPNFPFFAPPPSKK >KVI03257 pep supercontig:CcrdV1:scaffold_1480:12342:13363:-1 gene:Ccrd_018445 transcript:KVI03257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAAMLMKMSCALVFVMVVVAMWSPEVEAVTCGEVVSAVAPCLGYLRNGGAPPRVCCNGVRGIRNKARTTGDRKTICNCLKSASSSYRGVSGNYAASLPGKCGSSEEAKEERGTE >KVI03258 pep supercontig:CcrdV1:scaffold_1480:114125:118438:1 gene:Ccrd_018447 transcript:KVI03258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLAQMGGSQEVFIAAYNQALEVLISSQLLRSPSNFSEVLEYNPSLPSIFLQPSIRLPDHELMLLLWND >KVI03259 pep supercontig:CcrdV1:scaffold_1480:38370:39712:1 gene:Ccrd_018446 transcript:KVI03259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGYCIHDGRVEDSTSSKIILVYEYTSNGNFRASIRKSFKMVERLGVLIGVVKDVHFLHTRVILTSSDNRLKTNNILLDDYQISILSKYGMSVI >KVI04564 pep supercontig:CcrdV1:scaffold_1481:39044:44445:1 gene:Ccrd_017118 transcript:KVI04564 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MSFLDTLFFYVVYMVDKFELWHRLPVLLGLAYLALRRRLHQRYNLLHVHVGTMTGTKYDVDQYSHRTADGKCNHPTDDIVGSQGTAFGRNMPPSTTNFGVLEPHPSVVAAKLLERRKFVDCGKQFNMIACSWLQDGSVIYGNDEAGMEKVRSYKDGKLKISRDGLLEHDEKGIPVSGDVRNCWAGFSLLQALFIKEHNVVCDMLKEHYPDLNDDKLYHHARLITSATIAKIHTIDWTVELLKTDTLLAAMRINWYGLLGKKVKDSFGNIFGPELSGVNMKDMIGKEGEKRLSKIGMEKMLVSMGYQSCGAITLWNYPLWMRNLVAHDINGEERPDLVDMASMEIYRDRERKIPRYNEFRKNMLMIPISKWDDLTDDEEVIEALQEVYGDDVEKLDLLVGMHAEKKIKGFAISETAFFIFVLIASRRLEADRFFTTNFNSQTYTKEGLQWINKIESLKDVIDRHFPEMSKTWMRCSSAFSVWDSDTNARNYVPLYLR >KVI04562 pep supercontig:CcrdV1:scaffold_1481:73208:77801:1 gene:Ccrd_017120 transcript:KVI04562 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease, C-terminal domain-containing protein MGIKDLLRFMKPYVEPIHIKKYAGKRVGIDAYSWLHKGAYSCSMELCLNMEGDKKFQYLNYCMHRINVLRHHNINPVLVFDGGNIPCKAQTEQDRHSKRKCNLDLAMAKLKEGNINAAAEIFQRAVSITPSMAHQLIQILRSENIEFVVAPYEADAQLAYLSSLDEDKGGIAAVISEDSDLLAYGCSSVRISMELVVFKMDRYGNGEEIVIDKVFDSVGLVILITYKFKMQQYTLDLLFSFHNKCSDFSAYGESQTNDDSECIGMCVLAGCDFLSSVPGIGIAKAHALVSKYRNLDRVLSTLKHEKGNQMPEDYFKSFHKAFAVFQHARMCVTFSLLHVRPIIISYSYYPSYMSYIICSYDAESKRLKHLTPLPETLVHYLGEEIDFLGPYPLYLLNANQFQPFEF >KVI04560 pep supercontig:CcrdV1:scaffold_1481:79005:89253:-1 gene:Ccrd_017122 transcript:KVI04560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MMRRLLPILAVVLVFVAVVGGSTHTTEVSRKSFPKGFVFGTATSAYQVEGMALKDGRGPSIWDQFIKTPEGTGKVNPKGVAYYNRLIDYMLEKGITPYANLNHYDLPLVLEEKYLGWLGHQVVKLSLDLYKADNSIMDLTWFLCKTSIRKDFADYADFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKAYGNCTAGNSATEPYIVAHNLILSHAAAVQRYRQKYQETQNGRIGILLDFVWYEPLTRSKADNDAAQRARDFHLGWFLHPLVYGEYPKTMQNIVKDRLPKFTNQEVKMVKGSFDYVGINQYTTYYMYDPHQKPPKHLGYQMDWNAGFAFARKGVPIGPRAYSYWLYNVPWGLHKALTYVKQHYGNPTVILAENGMDDPGNITLANGLVDHTRINYYKGYLAALKQTVDEGANVIGYFAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRYPKMSAYWFKKILGPNKH >KVI04561 pep supercontig:CcrdV1:scaffold_1481:77832:78560:1 gene:Ccrd_017121 transcript:KVI04561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEMSSSQATAIAEGQLDPSTMKGFKEFPSSNGQVKPTAFIKPSNAIQPSSRLLKQETTPTSCFTIVSIQKTGTKRITGKFLIQLCMMSIDIRLTMLLIIVMEVLTVMEQEPAFEKTKHKADLEEWRSLSNPSNLEKLISPLKNNILSKVGGIPAKRSSKVPDNNPFKRRKETVAVKDDCSVVTEIEDLETLGITPSSQKSVESKPVKKKK >KVI04563 pep supercontig:CcrdV1:scaffold_1481:52459:72118:-1 gene:Ccrd_017119 transcript:KVI04563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVWLESIEEKLCKMMFGVLGGRDRRIGEIDGMYHQSSQHFDLEQDNAILGFGGEEPTSWLPGEDLRSSSPFHRRNLFAFSSSTAITVADNVDCLLFNDLVQIVPLVQYLIAVDGVAVVRFGNDEGGFPIGFESDLTITTSFCRFSTNFQYPYNETKSRRTTVVLGSRMLRVSESGFLTMIKIEALKPENVKVERMDNFESNGRLICGGRLFHVRCCTHILNIMVQHGLH >KVI06565 pep supercontig:CcrdV1:scaffold_1482:77834:78658:-1 gene:Ccrd_015086 transcript:KVI06565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MALSGTDKEIRTQTSSLPYNNHHLIRESSSETMDHIHGSDPDRFGGSGASVTPISVGSRLLKSPPPPVRYRECLKNHALNIGGNITDGCGEFMPSGDDGTLEALKCAACNCHRNFHRKETTIATPTAGPFLQLPPPLPSPSSLFNHHQRTSTISLHHHHHANWAPSINAPPVKIAVGGSGAATESSSEELHFTAAAPYGVVKRRFRTKFTQDQKEKMLEFAEKVGWRIPREDDPEVQRFCAEVGVKRQVLKVWMHNNKVISGKKQVQDSNETID >KVI06566 pep supercontig:CcrdV1:scaffold_1482:33441:49487:1 gene:Ccrd_015084 transcript:KVI06566 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MAFSTPISSSYLPRVYSHLSAHDAASETKPRICLSNASSLLQCTLNYKACFPSHLEKRELYNFKVSASVTTDVLMHETPVKSSIAKGDTWSIHKFGGTCVGTAQRIQNVAEIVMEDSSERKMVVVSAMAKVTDMMYDLIDKAQSRDDSYELALDAVFEKHKLTALDLLDGDELASFLSTLSLDINNLKAMLRAIYIAGHATESFTDFVVGYGELWSAQMLSSVLRKSGVDCNWMDTREILIVTPAGSNQVDPDYAESEKRLKNWLLQNPSKAIVATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILNTLSYQEAWEMVNSFISFCLYLEALSIMFVTNLRRLMQSYFGANVLHPRTIIPVMRYDIPIVIRNIFNLSAPGTKICRPPVNEQDEKHKLETYVKGFATIDNLALINVEGTGMAGVPGTASAIFSTVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAKALETRFRQALGAGRLSQVAVIPNCSILAAVGQKMASTPGVSATLFNALATANINIRAIAQGCSEYNITVVVKREDCVRALRAVHSRFYLSKTSIAVGIIGPGLIGATLLNQLRDQAAVLKEKSKTDLRVMGILGTRKMLLSDIGIDLSKWKELQEEKGEKADLEKFVQHVHGNHFIPNTVLVDCTASTDVADHYHDWLRTGIHVITPNKKANSGPLDKLRTLQRQSFTHYFYEATVGAGLPIMHTLRDLLQTGDKIIRIEGIFSGTLSYIFNNFVDTRAFSEVVKEARAAGYTEPDPRDDLAGTDVARKVIILARECGLKLELSDIPVQSLVPDPLKATASVDDFMQQLPSFDADIANKRQAAEDAGEVLRYVGVVDVTNQKGLVELQRYNKKHPFAQLSGSDNIIAFTTERYNKQPLIVRGPGAGAEVTAGGVFSDLLRLAKNLGAPSF >KVI06567 pep supercontig:CcrdV1:scaffold_1482:57399:61177:1 gene:Ccrd_015085 transcript:KVI06567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein KTTILKIGSCLELEQLKPEENTQVNRKKARSKLSSGEKSFENTATSGGSRFSDLGMLCITSSPLTEAFCSFPAILVPLEDLTLHLISNPSLPLSGSFPSSTLVSYTCKPFITQVMASSSMTSSRSSGSSWTPRQNKQFEEALAYYNKDSPDRWHNIARAVGGKSVEEVKRHYEILVRDIMQIESDQVPLPNYRDIGSNGRGFANEQRNTSEGKSIDASEESKAIMKLWEGISTITRFMEGRA >KVH96332 pep supercontig:CcrdV1:scaffold_1483:9435:9830:-1 gene:Ccrd_001581 transcript:KVH96332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVLLFNYLKSAWSILLHHSFFNHHLHWVPHELIDVVPHRTCRLDPGSFEQVECTVCLSAIGEDEQIKELRCGHLFHQLCLDQWLGFGNMTCPLCRDCLMSARVVLDIRNELLVFDYFSTRDSQDEGLWWLR >KVH96333 pep supercontig:CcrdV1:scaffold_1483:110222:110723:-1 gene:Ccrd_001586 transcript:KVH96333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIVIPSFSTSRGDTMAMLPNRRKPEFADGSKNHGPGLLFSMLPKGTPVSPSAPSKRHNSVVGSTPHN >KVH96331 pep supercontig:CcrdV1:scaffold_1483:2918:3313:-1 gene:Ccrd_001580 transcript:KVH96331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAPNPLLNLSAFLNWVWDFLIHLSFFHHQTVFPVTENSLTDPPTGELVECAVCLSNIKEDEEKGVLRCKHLFHRKCLDRCLEHRHTTCPLCRDYLVAPRMVCELGRELIVFNFCDGNGGSGDDHFDQWWLR >KVH96335 pep supercontig:CcrdV1:scaffold_1483:67820:68626:1 gene:Ccrd_001585 transcript:KVH96335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MRSIMAAKTPQDSSFSFSRRYFHWKKKVDQDEDDEEETLNFRSSSLGCVVTEEELNLEDELSLPVPQAAPRRKKRALMSVSKLRWALTFGKSRPSSGSGLGKTTRVVGTLFGYRRGHVHIAFQEDSKLGPAFMVELATPTSFLVREMASGLVRIALECDKKAEKKSFKLLEEPLWRTYCNGKKCGYAMRRDCGPDEWKVFNSVGPITMGAGVLPEGGGGGGGGGGEGELMYMRARFERIVGSKDSEAFYMMNPDSNGGPELSIYLIRV >KVH96329 pep supercontig:CcrdV1:scaffold_1483:21299:29612:1 gene:Ccrd_001582 transcript:KVH96329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MATFSPSPNSPPVSDPPLQPSPPSAPTSTPPPQSSPSTPSNQSPPPSTTAPPPSSSSPPPPTSPPPSTDPPSNPPPASVPPPSRPPIPSSPPVSSSPPTNSPPPPSNSPPPPSNSPPPASPTPPRSPPPASPTPPTRSPPPASPTPPTRSPPPSSPIPSAGSPPPPPPTSPPRSPPPPSSPPLPSLSPPSSSPPPSVPPRDSPSPPPQPPEGSPPPSPLVPPTNPPPSPILRPPPPRTPSPEPSTNSSSPPRITAPPPPPPSTNSSSPPVIVASPPPFTLSPPSPSNVLTPPGNTNNNDSSPNTPSSSGGIGTGGVVGIAAVLGVVLFTIIIGVLWCVIKRKKKFARHNELYVMPTSMGDSPASDSGLLKIQTSAVQNGNISANDFVYSPQDPSGLGSSRPQFRSELVLDWPTRVKVASGAARGIAYLHEDCHPRIKTLKLGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLSHAIETEDFEGLVDRRLGTNYVAKEMFRMIEAAAACVRHSSNKRPRMGQIVRAFESMESDDLSNGMRVGESEIFNSAEQSAEIRLFQRMAFGSQDYSTDFFTQGSYGHNSNER >KVH96330 pep supercontig:CcrdV1:scaffold_1483:39563:40051:1 gene:Ccrd_001583 transcript:KVH96330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVMAQLQSDQVKQLKDIFARFDMNSDGSLTQLELAALLRSIGLKPQGDQIHSLLASMDSNGNGSIEFEELLNAILPDLNEEVLINHDQLMEVFRSFDKDGNGYITAAELAGQMAKLGQPLSYQELTEMMREADANGDGVISFNEFTAILGKSASDFFRVTAR >KVH96334 pep supercontig:CcrdV1:scaffold_1483:46700:46921:-1 gene:Ccrd_001584 transcript:KVH96334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAYAINRDKSAWEDPNTFNPSRFLENGAPSFKGSNYEFLLFGSSLRSCPRMQLGLYAIEIAVAHLLHSFTW >KVH88178 pep supercontig:CcrdV1:scaffold_1484:53443:58554:-1 gene:Ccrd_024433 transcript:KVH88178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRIPSHQLSNGLYVSGRPEQLKERQPTLGSRAIPYTGGDVKKSGELGKMFDIPVSDRPPPVLKLNRASSSSQHSSSGSLRSGPNSGSGPIPKRTSGSGPMAVPTGLLLTSGPLGSNVGRRSGQLEPPAVSSKGKTIYGSAVTTLGYDDIKLGFKVSKKLMWMFLVMAVIGLMVGTFLMVAVKKPLILVAVVAVLILAGVVILWNCAFKKRRLLSFLRKYPDAELRGAIDGQFVKVTGVVTCGSIPLESSFQKVPRCVYVSTELHEYKGWGGKSANPNHHCFSWGCRNSEKYVADFYISDFQSGLRAVVKAGAGAKVAPFVKETTVVDITKENRESSPTFMSWLADRSLSCDDRVMRLKEGYIKEGSTVSVMGVIRRHDNVLMIVPPAEPVSTGCRWGCGLLPTYVEGLVLTCDESQNADVIPV >KVH88176 pep supercontig:CcrdV1:scaffold_1484:90993:91553:-1 gene:Ccrd_024435 transcript:KVH88176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYKKSTVHPSPPPPIADHLSLLPAAIFTLTIALSPQDKEVLAYLLSSSTTKKTTKSSSSAAADHPPLFNCSCFRCYMSYWVRWDSSPNRQLIHEIIDALEDGLVRNKKEKSKKDRKKKVISSSSNEETRSTESDELTQSPEVSPANMESVVEYRSGGGGDDEEEGPVRKLVSFLGERIWSVWT >KVH88177 pep supercontig:CcrdV1:scaffold_1484:73197:75868:-1 gene:Ccrd_024434 transcript:KVH88177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGISCFNPFRFKKKEKPIPYPSSSSKSPWKTEMENMERKRFDSLESWSMILDSENVETWEVAKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHKEETRVMLEQQFKSEVALLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETVLRLALDISRGMEYLHSQGVVHRDLKSNNLLLNDEMRVKVADFGTSCLETQTQESKGNMGTYRWMAPEMVKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWTAEPSKRPDFTEIVAALEKYDECVREGLPLTLHSGLISKNLILDRLKCCVAMNSNSIPVHA >KVH88173 pep supercontig:CcrdV1:scaffold_1484:111757:115276:-1 gene:Ccrd_024438 transcript:KVH88173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWIHVTPPNSAAASSNDKQHHHHQQQQHQSNGNSSSSNGNNVDASHILLYKWTPISQSHNSSSANDNSNGDAKEDTGAVEVDEEPPRRKMKYIPVAVLEEQNNEAAEAQTDEPKPTETNPHTASEPSLKDEKPDINDVPIEENQEVSENMEVEREDVKKSNSCSSGGVGLSLNLNASDGDQESEEA >KVH88174 pep supercontig:CcrdV1:scaffold_1484:107389:108348:-1 gene:Ccrd_024437 transcript:KVH88174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med11 MDSQNQNASLQRLQTVEKVIYTIVRVLELAGGVMEEFSNPSGPRKELVNNHCSEFMQLIKDIQVTLREEIKSACEYRPFEKCDYIARISNEICCKKVEYVLEKLDGMKETIDQYHRAT >KVH88179 pep supercontig:CcrdV1:scaffold_1484:44583:49582:-1 gene:Ccrd_024432 transcript:KVH88179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVIVTGSIASCSKDHQKIYQQWFNYVDSDGDGRITGDEAKKFFATSNIENPELKQVWAIADSKRQGFLGFKEFITAMQLLSLAQAGHELNADLLRTTVDAELLEPPVMEGLDSLLVKHRDSRTNGVPETNDILTVQHVPPKNFFASRLRKKTSCHVSLDKVTSIVDGLKRLYNEKLKPLEVAYRYNDFASPLLVRRLYKYFWPFGLFKFISSLVFWVSYALCYSLKKSVLKYFDHHMQTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKCNYPGAHIGPEPTTDRFIVVMGGPDERSVPGNTIAVQADMPFNALTTFGGAFLSKFECSQMAHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVIKWFAEKCDLILLLFDPHKLDISDEFKRVISSLRGQDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPINEESVDSMGKELFEKEQDDLLIDLIDIPKKACDRQINEFVKRARAAKIHAYIISQLKKEMPTMMGKSKAQQKLMKNLEDVFAKVQREFHLPAGDFPDVEHFRQVLGAYNIDKFEKLKPKMIQAVDDMLGYDIPDLLKNFRNPYDQK >KVH88175 pep supercontig:CcrdV1:scaffold_1484:100143:105371:-1 gene:Ccrd_024436 transcript:KVH88175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MASSAASRSFILWLHGLGDSGPANEPIKTFFTSPLFTNTRWSFPSAPSQPVTCNYGASMPSWFNIHEIPITSNSPTDESTLLKAVQGVHSMIDKELAAGTDPKNVVLLYPKTLGGGAVFSGWVPFSSTSSIIEQITQEAKRTPILWSHGISDGTVLFEAGQAGPPFLQQIGINCEFKVFRHTFFHSASR >KVH88180 pep supercontig:CcrdV1:scaffold_1484:34217:41585:-1 gene:Ccrd_024431 transcript:KVH88180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase/reductase SDR member 2/4 MEKSKIGRRFEGKVAIVTASTQGIGFSIAQRLALEGASVVISSRKQKYGKIDVIVSNAAVNPSVDAILDSHESTLDKLWEVNVKTSILLLQDASPHLTKGSSIVFISSIAAYQPPSSMSMYGVTKTALLGLTKALATEMAPHTRVNCVAPGTIPTRFARFITDNDIIRNTIEEKTPLKRLGTAEDMAAATAFLASDEASYITGETIVVAGGMSSRL >KVH89269 pep supercontig:CcrdV1:scaffold_1485:21468:22387:1 gene:Ccrd_008744 transcript:KVH89269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLKVIAMLMGLWGFSAYIYQNYVDDLSMKRKQRDTNANGTQLTFYIFLPFKVCMISNRDADGLVGFSTYIYQNYVDDLRMKRK >KVH89270 pep supercontig:CcrdV1:scaffold_1485:25230:26195:-1 gene:Ccrd_008743 transcript:KVH89270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLKVIAILMGLWGFSTYIYQNYFDDLRKKRKQRAANANGTQRTSSSWRSALKPLAAAVVFHENMNGLKVIAMLMGLWDFSTYIYQNYLDDLRMMRKQRDANANGTQHISSS >KVH89271 pep supercontig:CcrdV1:scaffold_1485:28511:29606:-1 gene:Ccrd_008742 transcript:KVH89271 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MSTPRTLGVVGLIFVVSSLFSNVISTLSHALTPLPATVVFHENMNRLKVIAVLMGLWGVSTYIYQNYLDDLRMKRKQRDANANGTQHMGMFSVKLAVYEIVSMIRPLAAVVVFHKNLNGLKVITMLMGLWGFSTYIYQNYLDDLRMKGKQRDVNANGMQCTSSS >KVH89272 pep supercontig:CcrdV1:scaffold_1485:107866:108864:-1 gene:Ccrd_008741 transcript:KVH89272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHHSLPDQRYFSTPQVGPTTGGYNSSRKKRHSFIRFSLLSNFFRSKKRNEDSNPRFSVSNSGGSCNAGDSATSAMSSPSWFSNIRSGGGSRTKQPFYCKEASTASRAGVMRKLYCPDRGMSPVRYSDGYGGGAEDEFCDGSSGYESCESRKQTPWRTPAHPSVRRGSGNGKNVSGLTFCLSPLVRASPNRHWNQKGMPPADGEMRAPVKPHLSITKSFCANRSRKLADFGRFNTNR >KVH89268 pep supercontig:CcrdV1:scaffold_1485:7255:11704:1 gene:Ccrd_008745 transcript:KVH89268 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MADESDGLKSDVKKEVKKKDIYTHDDEDLEQKVENLKIEDSVTLHRHARRIAFRIRLDNEDLIVVNDGQSIPLPLAKLKRWQWWTLIVINICFLLIGQVVAVLLGRFYYDQGGNSKWMATLVQTIGFPILFVPFFLFPSSKQIPTTPTTSFSLPVVLLFYLIIGTVIAGDNMLYSVGLLYLSASTYSLICATQLAFNAVFSYFINSQKFTALIFNSVVVLSLSASLLAVNDDSDRPLGVTNGKYFIGFMATLAASALYALLLSVMQLSFQKLIKKETFSVVLELQIYTSFFATCVSTIGLFASGEWRTLSGEMDGFGKGGLAYVMTLVWTAVAWQVCSVGVVGLIFVVSSLFSNVISTLSLALTPLAAVVVFHDNMNGVKVIAMLMGLWGFSTYIYQNYLDDLSMKRKQRDANANGTQLTS >KVI06911 pep supercontig:CcrdV1:scaffold_1486:70428:79214:-1 gene:Ccrd_014736 transcript:KVI06911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRLFGKPKQEANAVTTLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAQAMKAMQKAVNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTTPTTSVYAPAGKQPTRPAPRQNKAEEDELAALQAEMAL >KVI06909 pep supercontig:CcrdV1:scaffold_1486:93773:98946:-1 gene:Ccrd_014734 transcript:KVI06909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRALLLLAPAFLADRILVNHFSISGFRQAFRPKEEMLRVGVRNYSVHSSLERPNVSRLAETARISLTPQEAEEFAPKIQQVMHKTMEDVTVFLQTSRGLGNFKMWIFKVLNQQYVQGFRGD >KVI06908 pep supercontig:CcrdV1:scaffold_1486:92295:92918:1 gene:Ccrd_014735 transcript:KVI06908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 MDINVENINTEQAPLLTNNTLLPEPAQKNLIEKAISQTFESTAHLANLLPTGTVLAFQLLAPIFTNQGRCDPVSWDLTAGLVGLCGLSCFLLNFTDSFNDREGNVCYGFATIHGLWIIDGSRSLPAELAVDYRLRFMDFVHGFMSIMVFATVALFNEDVVKCFYPSPSDEAKGLLTTLPLGFGVLCSMLFVVFPTKRHGIGFPIGTN >KVI06907 pep supercontig:CcrdV1:scaffold_1486:48869:51100:1 gene:Ccrd_014737 transcript:KVI06907 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSRIVADNITDSSAFYDRPANAVPSPTLGELLKYVGDIRKEGNGDETPAHRVLEMSETSSEPRSLPFVLSFNNLTYSVKIRSKMAIPAVFGGRRRLGGAPATSMEAVGGERLFSRSKVLLNDISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGTMTLNGEQLESRLLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRTLSKSKKKLRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILLLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRLLFLSRGQTVYSGSPTNLPLYFSDFGHPIPDKENRTEFALDLIRELEGSPGGTKSLVEFNKSWQNLKRSRNSITTGYETPTHGLSLKEAISASISRGKLVSGAGATNDISPNSMVPTFANPMWIEMAVLSKRSFTNSRRMPELFGIRLGAVVVTGFILATMFWNLDNSPKGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHSIVAIPALIFLSFAFSAITFWAVGLDGGFSGFVFYYLIILASFWAGSSFVTFLSGIVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPIYWIWFHYISLVKYPYEAVLQNEFQDPIKCFVRGTQIFDNSPLGDIDNSMKVKLLQSMSRSLGVSITSTTCLTTGADILKQQGITDLTKWNCLWITVAWGFLFRILFYFCLLLGSKNKRR >KVI06910 pep supercontig:CcrdV1:scaffold_1486:105430:118899:-1 gene:Ccrd_014733 transcript:KVI06910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGVTDTITTLDPNSLEHHAVDSSHGQAPSSYPPSTSSESASWTMYGAVNEATGSKTYPSTSFNHDQHSEPHPRNIQDGETAASAGTVSSSGTASLEYANYAAYPNSDPYGYGSTGYAGYYSSYQQQPTQSYPQQQPTQSYPQQQPTQSYPQQQPTQSYPQQQANQSYAQQQPNQTYAQPAGAYQSTGAPHQPISSFQNTGSYAGPASYSTTYYNPGDYQTSGGYPSANYNSQTNSWNQGSYASYGHQYPNYSAESNMAYSAQNAPAAPLQYQPDYKQQWTDYYSQTEVTCAPGTENLSSTSASNLVSPLPAVASGYSALSSQHLPSTAPSSWRPEPGLSELSSVQPSAAINNVQEGYWKHGGQGLQNYHVSSAQSNFQKPLDSNPIPGSFQDQRKPEVPQRPIVQYSASHQVAQTYQSSPQTAIPFDSGRVSKMQIPTNPRISSNLPVSLPKNDNAAIGGVTKPAYISVSLPKTNENASSHVAADSAFKPGMLPKSLRGYVERALARCKDDRQMAACQDVLKEVITKASTDGTLCTLDWDTEPLFPLPNTNAINNDGKNFDLLSEHSLLLFCEHEKYFVLVDQSSKPFCFYSTLNNSIPPSSPMKNRRSPSRRTKSRWEPLPEEKPVDKQASFTPNSVKYSGGIQNHERDKQFPTGQPENKDNKFSHLKFYISNQKETNKAVFRPAKRQHIGDGRSGSNNGDSSSDSDKEQSLTAYYAGAITLADSPEERKRRESRSKRFEKTHGNRAAYNPTRTKNVGAGNLYTRRASASVLANSNSSSDGASRAVEDIDWDSLTVYETHARLAIEVGDLSEINQLSWILFPEYMLRNNDCVFAVDLYIEKMRYAALKCITRSYRPTLPVSYVAQVLGFPSAEVSDEKDTGGLEECTEWLKAHGFHGFSVHARARRRSGPWRCQSCR >KVI10981 pep supercontig:CcrdV1:scaffold_1487:71690:86765:1 gene:Ccrd_010615 transcript:KVI10981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MLAARSRERYKRSMNLYTKQKQKGKGKGVAPKLQRRNAIKNINYDASPSSLSFDSSSAQRTRSLDIPSLSERTSFRIEGIDGEVDVICRSLGLSGPEDLAISEDVWKAHRGGSFPSSSRFRRSHRVSAMESPESDLSESFGSKISFSDDEVKTDDESSTSAEDEEARVLVTNGVKNRNDGVSFGGINEDRLGNEYSQANNGVGLANAEKARVLQDGGDDDDSDKTRERRHAEGESGIKGPGPPLLAPPPVPRVIVDDMGSKWDLIQSFAPRDDEHLESDGPVETIISENMVANVVDERETSGMMASIIDTIVPPFGSDPLDDEDDGSSMAAADLQYSPSPTRQFSGSFENWQKGDILGSGSFGTVYEGFTEHGDFFAVKEVSLLDQDSQGRQSIAQLEQEISLLSNFHHDNIVRYLGTDTGDGKLYIFLELVTRGSLARLYQKYDLRDSQNVVGDTAKEGETRGMNPASELDYSLSPNERFRGSFTNWQKGEFLGSGSMGTVYEGVTDEGFFFAVKEVSLLDQGSQGNQRIAQLEQEISSLSQLHHDNIVRYLGTDTGNGKLYIFLELVTRVDPNDRPTAAQLLEHPFLKRSGSMTSPPYSGMPL >KVI10978 pep supercontig:CcrdV1:scaffold_1487:22181:35935:1 gene:Ccrd_010612 transcript:KVI10978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF639 MAPAASKTKSMIEGFVRDGSFKWLNKNQKSSHKELEEMKSSPSADKKWIHDLSVVANMVVRRCSKILNSSTGQLRENFEAEASDVTKHPSRYARNFLEYSCFRALALSTQVSGYIEDKKFRRLTFDMMIAWEVPDAVSLPSGDIDEDATVGAEAFSRIAPAVPIIANVIISNYVFEFLTASTGGRLRFPTYEKYLSALERAIRKLKSHSESSQLSSQRSRRGERILEVDGTVTTQPVLQHLGISTWPGRLTLTDHALYFEALRVVSYDKPIAYELADDLKQVVKAELTGPWGTRLFDKAVLYKSNSLSEPVVLELPELKGHTRRDYWLAIIREVLYAHRFISKFRITGVERDEALLKALFGILRVQALNHMNSTIPLCYEAGLMFNACDQLPGGDRILETIATILTSKELEKNNPTKSGDGMYSVSAIAMASSLVFVFGTSSNVLNRSLITAGEIIVGEITPLEKAVNEARSNYKMVADAQATVDGAKVEGLDTNLAVLKELLFPVTDLGTRLLRLLYWDEPAKSLPFCLVSTVIIYCGWLSYVVALLLSIFAINMVLTRCFSQRQGVDVLKVIVPPAMNKMEQLLAVQNAISQAEELIQEGNVVLLKIRGLLLSIFPQASNRFAAALVILALIMTFVPFRCMVVLIFFEEFTKYSPMRRAQTERWMRRMREWWFSIPAAPVILERAQEDKKKK >KVI10982 pep supercontig:CcrdV1:scaffold_1487:96675:98743:1 gene:Ccrd_010616 transcript:KVI10982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-binding protein RBBP4 MDHDMDMDMETREDESREQINEETEVEIDESEEAIVERLTNDDYEFWKSTTPFLYNLVASHKTEWPSLTVEWLPDRVEPYDRDYLVQSMVLGTHTADNRPNYLMLARVILPLFNDRSNEVEFGSGSKVEIVQQITHDGEVNRARYMPQNYFIIATKTISSEVHLFDYRNHPYKPHLDGTSSPDLRLRGHSEEGFGLSWSNFRQGLLLSGSRDANICLWDINGTPKNKALDAMQIYK >KVI10983 pep supercontig:CcrdV1:scaffold_1487:107802:113397:1 gene:Ccrd_010617 transcript:KVI10983 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MFLELLAEHVVVNESLQAQTLVVSSTDLCLQKLSGTGMMLTVFFQKLKANASKVGSTSLSIELGTVNEFPRKPTRGVGNPHLTVLVNEDVVQDVAWHSRHESLFGSCGDDRNLHIWDIRSPCLSVPVQSFLAHESEMNCLAFNPFNDWIVATGSIDKTVKLFDLRKFTTTLYTLESHKRGVNQIGWSPHHPEVLASGCDGGPPELLFIHGGHTDSVVDLSWNPCEDWTIASVANDNMLQIWKLAEHIYQFVVETNEPPEHAKGG >KVI10980 pep supercontig:CcrdV1:scaffold_1487:45216:53181:-1 gene:Ccrd_010614 transcript:KVI10980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTEPTFVPEWLKSSGGSSTTSHQFTSSSLHPGNSYIYVCCFNKYGVNDHNICFDYPSDGIFLAVDEQGSSKSGRNKSSVNSSDNDLGRTSVSDRTTSSYFRRTSLGNGSTHLRSYSSFGRNHRDRDWDKDIYEFWSKEKSDNRHRDYSDPLDNILPSRFEKDGLRRSHSSVSGKRGESWPRKVVSDLSIANKSSHSNGTALLSGGSSLSNVKTSFERDFPSLGADEKQADPDIGRVPSPGLSSAIQSLPIGNSAVIGGDGWTSALAEVPVIVGSNGNSTSVSQPVQPTSITATTSMTGGRNMAETLAHGPPRTQTAPQVAQMLLMGSTILTVGTQRLEELAVKQSRQLIPMTPSMPKALALSSSDKPKLKIGQSQLVNHPHTPRPLSVKSDVSKTSTVGKLLVLKPSRERNGISPTAKESLSPTGGSKLPNSPLAVPSAIGSAPLRNMGNNPGVTAVERKPSVATLEKRPSSQAQSRNNFFNLMRKKSMISNSSVAPDTGSSVSSSEKPGAPVAPPAHLGGSESNTTVETKVDLTCKGDACVATVRSTNNGKNHSGPDAVLCSEEEEARFLRSLGWDETAEEEEGLTEEEISSFYRNYLNLKPTSKILKGTKPKPLMEISSDSKLDS >KVI10979 pep supercontig:CcrdV1:scaffold_1487:38520:43560:-1 gene:Ccrd_010613 transcript:KVI10979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MTGATNHHFQPPTSHHHHQPPPASRHHQPPSSHHHPPPMSYDQQPLPSPPSHNHNHRYRHREHYYPHSSSSSSSASIRGCCCCLILLFSFLALLVLTVVLVIVLAVKPKKPQFDLQQVGVQYINLAAPVNAPTTASLSLAIRMLFTAKNDNKVGIKYGVSTFNIMYRGIPLGRGTVPGFYQPAHSVRQVQTTVTVDRVNLLQADAADLVRDASLNDRVELRIMGDVNAKIRILGLTSPSVQASIDCAIAISPRKQALIYKQCGFDGLKV >KVH94109 pep supercontig:CcrdV1:scaffold_149:82012:85027:-1 gene:Ccrd_003828 transcript:KVH94109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSTHRRLPSLFSSSHQAYLEQVTDHLKQVAMGTNIKVVPIQQKSLLRAKRLFKMTLETYDDEWRVLDHTRVAKTTKIMSHKLQHGLLRLRGAIPGRWCWRMSRRGCRLRGKGRAPDRCDHVARPHVTWTVAFIVQESNQCDILGSAHTCLASLRKPEFNIPTRTSYLVVMSRSAICSHLFRYNKLILVY >KVH94104 pep supercontig:CcrdV1:scaffold_149:257462:268268:1 gene:Ccrd_003843 transcript:KVH94104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor biosynthesis, MoeB IRTQRLPQSKEQHNIHLSRIYCSKDTFFNRSSFLLYMLPKKRPVEVTKLDEDSSSGNNTDKLHKKPRLGCLISSCSSITSRTTTTGTEECDGKNSSGGGDSNSYSSCSVIAGDTTRASSTVVEPSLTNMGLEDGHMQDIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGAEIAKNLILAGVKSVTLHDEGAVELWDLSGNFLFSEDDVGKNRALASVQKLQDLNNAVLVSTLPTKLTKEQLSNFQVVVFTDTDLKTAIEFDDYCHNHQPPIAFIKTEVRGLFGNFFCDFGPEFTVVDVDGEEPHTGIIASISNDSPALVTCVDDERLEFQDGDLVVFSEVHGMAELNDGKPRKIKSCRPYSFYLEENTTNFGSYVKGGIVTQVKQPKVLNFKPLREALGSPGEFLLSDFSKFDRPPLLHLAFQALDKFKCELGRFSVAGSEDDAQKLISIASNMNDSSGDGKLGDVNPKLLRHFAHGARAVLNPMAAMFGGIVGQEFFYFDSVESLPMEPLDASDYKPLNSRYDAQISVFGSKFQKKLEDTRSFVVGSGALGCEFLKNLALMGVSCGTQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPRLQIEALQNRVGPETENVFDDIFWENLNVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPSEVNAYLSNPGEYISVMRNAGDAQARDNLERVLECLDREKCETFQDCITWARLKFEDYFCNRMRQLIFTFPEDAATSTGAPFWSAPKRFPRPLEFSLSDLSHLHFILAGSILRAETFGIPIPDWAKNPKKLAEAVDQVVVPDFQPKQGVRIETDENATSLSASSIDDGAVIDELSKKLEQRRKTLPSGYIMKPIQFEKDDDANYHMDFIAGLANMRARNYSIPEVDKLRAKFIAGRIIPAIATSTAMATGLVCLELYKVIDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWSVWDRWSIKGNPTLRELIQWLADKGLDAYSISCGSCMLYNIMFPKHKERLDKKVVDLARDVAKMEIPSYRCHLDLMVACEDEEENDIDIPQVSVYFR >KVH94106 pep supercontig:CcrdV1:scaffold_149:345528:348333:1 gene:Ccrd_003849 transcript:KVH94106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSLNQTLDLCKINDLLEEAERRRVTRRRGDERRGGEATSDEAATATWGGGREDRRCVLLVVMEDRRGGVEDVEEEENEEVEAEASKDETAIQVNQRRLLLRVAPSPLPVSLYCFDLCFLAVVSNFCSCYGST >KVH94117 pep supercontig:CcrdV1:scaffold_149:400476:401823:-1 gene:Ccrd_003853 transcript:KVH94117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVAIPNSPVFSPSSRVTSSIYCKSSPESLVLTHSPSSSFSSPSPAFKFRLQKPLPPASGLVRDSNDGGPSTSPTLSKRKRPTKLAIPVAPFSFSGRGTPPATTVEDRWKEVEVDGDGYSVYCKRGKRDAMEDRFKAVVEFNGQHKQAFFGVFDGHGGWKAAEFAAENLDKNILNEVEKRGEIEIIEAIKQGYMNTDSEFLDQDHRGGSCCLTAIIRDSNLVVSNAGDCRAVVSSGGVAMSLTTDHRPSRPEEKLRIESLGGYVYCSHGVPRVLGSLAVSRGIGDRTLKQWITSEPETKILKIVPEFEFMIMASDGLWDKVGNQEAIDLARPFCVGNDKLEPLLACKKLIELSASRGSNDDASVIIVQLQRFCS >KVH94102 pep supercontig:CcrdV1:scaffold_149:219284:236184:-1 gene:Ccrd_003841 transcript:KVH94102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit MASSSKKRNEMVNEAEEEEELEHFDDFTLASSWERFISEIEAVCRQWLASGPKNLLEKGAVPLGEPKNLYKVKSELKYAMKNYCMEYYFEISNAGKVVDWNCTSHDLQLSFGVKEFMVIAPQSASGVILDSPEASKLLSAVAIAFSNCSSLWPAFVPVHDPSRKAYIGIQSMGTVFTRRFEADLIASQVPVKLMHLEGLYELFVSKFAFSSSDLSTHLFRVHFTMKLTYKTLPYDDDNELQKSDSEITESGVDTVSDSRNKLQWDDDCPWSEWYTAEDPVKAFELIAMWEEKTVESSMEMAELENASIHEAQKWFIVPEFSSYLLSSNCYFSTYFDGLFVLIIFTFFFTRQRIDGPPEFNNDAILLCSMGDHMGNMVGFASQLRLLIDALAMSLEVQFMEDFVSVETSGSDKLKSSAVIPPPTVLDRVLKDLCHAGPGLISPQGGHKSSRTIKGAPLENLFAQFCLHSLWFGDCNIRAIAVLWIEFIREVRWCWEECQPLPRMPTNGTLDLSTCLVNQKLHMLAICIDKKRQQLDSQQDGNNSDSLSVEADDLVKEGLSDPSRAKERLVEESDSTSTSDGFHKSGTTIHRYSSTPQYDVTSANLESSNHKRRGSAGVVESMMLLNSHNYMHAPFTQDPPIMTEDMHEERLHAVEVLGDSFSFSAQLEKDILSSDMSAFKAANPDAVFEDFIRWHSPKDWEDDDSCTSSSSTVETGELKKKWPPRGRLSERMSDSGNSWRKIWNDAPPLPVSEQKPLMDPNREGEKILHYLETVPPHQLLEQMVCTAFRAAADTLNQTSFGGLKHMTTKMDQLYLTLASVLRPLQANKLPGDIEIIEDIRRLCVVFEHVEKLIMVAASLHRKFLQAPHLAQAIFVDFYDFYVGDWFVQEVKKRQEVRVKERDVITNMFVQPSANQSWRKVLSMGNLLNGHEPILREIVFSLRDRVDSSYHAPRNSWSHEQEIETHRMYICGTSNDLRVALSVTSCD >KVH94098 pep supercontig:CcrdV1:scaffold_149:297896:303363:1 gene:Ccrd_003845 transcript:KVH94098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MTSYYYSTYPISSCSSRDPRFRLPHPRFTAKHFSLASFTNPISSSTTVTARFSFGWKLNLFGGRRRIRSAMAAGPMFFPENPLVGDICATAFSGCFALSILRIYEETARRGIFDQKLNRKLVHTTIGLAFMLCWPLFSSGHRGAVLAALIPGVNIVKVLLIGLGIWKDEAAGPLYYASTIALSSVIYWRTSPIGIAAICNLCAGDGMADIVGRQFGKKKIPYNKDKSFAGSIAMAAAGFIASVGYMHYFSMFGFVEESWQTIFGFLVVSIASALVESHPLSTKFDDNLTVPLASLLVGMLVF >KVH94108 pep supercontig:CcrdV1:scaffold_149:87375:88912:1 gene:Ccrd_003829 transcript:KVH94108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MLHLPFFLLLLQVLPQKTNGQNFKFPAILVFGDSTVDTGNNNYITTLSKANHYPYGKDFPGHVPTGRFSNGKLAVDLLASLLGVKQTIPPFLQPDLSAYELQTGVCFASAGTGYDRLTAALTRVIPMSQQLNYFKSYRERLKKMAGEEAAQRIIGQALELYNLGCRTLIITGLPPIGCLPIQITAKFKGQFGRTCVEEQNVDGQAYNRKLIELLPHIQSSLEGSKIFYADIYKPIMDMIKSPEKHGFRETMKGCCGTGLLEAGPICTPLTPLCQNSSEYLFFDSIHPSEKAYRYVTECLKKQILDKL >KVH94111 pep supercontig:CcrdV1:scaffold_149:60085:61464:1 gene:Ccrd_003826 transcript:KVH94111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase FkbM MTTAARYRQRILVRALYSGVLFVLARTVYLIITTTTSTTTTGGGPYNSWEADNDEQLVKYYSSVFQDLIFDGFLAVDSKTLAVGIHSSQYVDALKETGVSYSKAVRKNVRLPFKNNTFDSQFSAHTGLDSSADPGELSSELSRTLKPGGYLIIHTESNDSYSLNSLLDLFDSFKLIRSLEISVQHWSIPPVREIVLKKQNGILRRRRNLAGICSVPAYKKELIQNSEPLITEEPLQPWVSFKSNFKNIKYLSSMADIRFKTRYIYIDIGARNYGSSIGSWFKKQYPKQNKPFKIFAIEADKQFHEEYKSKKKITLLPYAAWVRNESLFFEINREPNNKNEEKGRGMGRVQSAQTSTTFMGDLNKIQGFDFANWVKNSFRGKDFVVVKMDVEGTEFDLIRMLIETGAICLIDEMFLECHYNRWQRCCNGERSSKYHKVYSECIELFSSLREKGVLVHQWW >KVH94112 pep supercontig:CcrdV1:scaffold_149:45577:47907:1 gene:Ccrd_003825 transcript:KVH94112 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MTSSASIDAPSNTSNSFSFMSSYSDKPPPTRTGGLAARIAERIGSGVPKFKSIPPPSLPISPPAVSPSSYFSIPPGLSPAELLDSPVLLSSSNILPSPTTGSFPFHAFNWKNLSNNIQNQEQSIKKEQKSFSDFSFQPQSNSNHATEQPWDHQKPRDEDEQAFIQSEHPPLMQCFSPEISTIQTDSNSSFQSGYANNFNNQSTQKKSEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCSMKKKLETNSDGQITEIVYKGNHNHPKPQSTRRSSSSSASNTLQTGQVSGNHEFQDYPDQSYASHGSGQFDSVATPENSSLSVGDDEFDRSSCQRSRSGGDELDEDEPEAKRWRASENEGISMIGGTRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCSVRKHVERASQDLRSVITTYEGKHNHDVPMARGSGHRLPPTTMAIKPTTVSHYQPNNSMVDPIRGSRRPPSSEDQAPFTLEMLQSPGSFKFSRFENALRANYVDQQQDSERAFSGAKDEPRDDFFLESLLF >KVH94101 pep supercontig:CcrdV1:scaffold_149:307725:313037:-1 gene:Ccrd_003846 transcript:KVH94101 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG domain-containing protein MMVKTSSTTKSKPKPPKSIETTIDWEVRPGGMLVQKRVVGSDSDPDISPIINIKVSHDSYHHHISIPSHSTFGDLKKMLARETKLEAKDQRLLFRGKEKGDDECLDMAGVKDMSKVILLEDPASKERKLLDKSKNRAQLQAYDAVAKVRAETSAKNGIKIVDKDVEVLTELLMKELLKLDGIEAEGEAKAQRRIEVRRVQGFVDTLDNLKLMKSTKTHVDKRVLPAASVTTKWETFDSGVGSLNAPNHVQTSTKITKEWEQFE >KVH94107 pep supercontig:CcrdV1:scaffold_149:330429:342302:-1 gene:Ccrd_003848 transcript:KVH94107 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphofructo-2-kinase MGTGSSKNPDSSSHGSGEDKEDHGGGQLYVSLKMENYKLKGDLVPHVYGSVPLVGSWDASKALSMERESTSMWELSFVVPPNHETLDFKFLLKPKYNNVPCIVEEGTNRQFMGGTLQGDARLAVFKFNLDEVLEYRVFIKANKVSPFDLAASWRAYQENLAPSTVRGIPDVSINSLPEDVENGSSTSLDLDLEQYLVPAPPTSVVYAANLTETPRSLKRGGVFSNAESSSKDVGEMEVVIPDPPKLLPLPGMVESKSVGTFSSLQKQDSHRGIFVDRGVGSPRLVKSASANTLTTGTKSNSGAKNSMPAAAGAVAAAAVADQMLGPKEDMHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSAEFFRGDNPEGMEARNEVAALAMDDMTAWMQEGGQVGIFDATNSTSKRRNMLMKLAEGKCKIIFLETICNDRQIIERNIRLKIQQSPDYAEEPDFEAGYQDFKRRLDNYEKIYEPVNEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRARIGGDTALSGNGELYSKKLTNFVEKRLKNERAASIWTSTLQRTILTANQIAGFPKVQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRFRYPRGESYLDVIQRFAVLRALYAYFADRPLKEIPHIEMPLHTIIEIQMGVTGVQEKRYKLMD >KVH94100 pep supercontig:CcrdV1:scaffold_149:321133:321990:-1 gene:Ccrd_003847 transcript:KVH94100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSSTTTAPPPPPASDGSSNSLARIIRTTLSYDTNVMLAAIISLLLVILFVLLLHLYTRWFLVQARRRSRTSVTVPHVLGSRLHHRFTIIDTSNPGNSPGKLGLPFSIISSLPLFVYKFSSNEDHDYGLECAICLSVFEEDEIGRKLPGCGHAFHVECIDMWLHSHSTCPICRAAIQCNQNHNIDHLEIEITDPGQDLDVSTINNEDVLRLEIVTTISNEIPVEPDETADVVAENDSGLDASSSSSIGESLKMFLNNSSSCSCSCSSRSGGKIHPTSNLNGDESKA >KVH94095 pep supercontig:CcrdV1:scaffold_149:89972:91861:-1 gene:Ccrd_003830 transcript:KVH94095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MAENGEEKLIAVARHIAKTLGHTDNAMADDILQIFSNFDGRLREKLTENLSDGDDSRNGVAVLDQTLRSLDRQISRYVTVNHPIWSDSADASTFLDAVDELVAAIREWTPMAADKSVTACLDRAEDLLQQCMFRLEEEFKLLMERGGAEPFDNAVRDGGAYLDSDDEEDNDGYDDVEIPMAHPVSDYNIIIDALPSGTINDLHEISKRMVSAGYGKECSLAYSSCRRDFLEESLSRLGFSGLQKSNAALEDDDNEVEIDKWIKAVNMAVRVFYPSERRLCDRVFGYSSATAAVADLSFMEACRVSSKELLNFANGIAMGSRAPDRLFKILDVFEAVKDLLPEIEVLFADQYCLFLRNEAMGVWKRLGESIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACSRPTLEQVFDENVVPPVGIDRSLSPSSPLAVQMAWIMEVLESNLEAKSKIYRDPALSSVFMMNNGRYIVKKVKDDELGSLLGDDWVRKHTAKVRQYHVNYQRSSWNKILNALKLDNNSLSPNVASKAFKEKLKLFNSQFEEICRTQSTWAIFDEQLKEELKLSVGANLLPAYRNFLGRFHNIQDIGKYAEKHVKFNVEDVEARIDGLFQGTAVSGSGRK >KVH94118 pep supercontig:CcrdV1:scaffold_149:350709:353807:-1 gene:Ccrd_003850 transcript:KVH94118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate enzyme, N-terminal TPP-binding domain-containing protein MDGADPTAALCNKDATLGCHLARRLVEVGITDIFTVPGDFNLTLLDHLIAEPRLKNIGCCNELNAGYAADGYARIRGAAACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDFGTNRILHHTIGIPDFSQELRISNKSGLEAAVEAAAAFLNKAVKPVMVGGPKLRVTAQDVTTMIRNEQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTEAISTATGEKEDHLCFIEVIVHKDDTSKELLEWGSRVCSANARPPNPQ >KVH94116 pep supercontig:CcrdV1:scaffold_149:373127:388127:-1 gene:Ccrd_003852 transcript:KVH94116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology-like domain-containing protein MTTYTSQSNWLRRNCIGRGSFGVVNLAVHPYHGALFAVKSVENNSRPFVGCLENEIRILKSLSSPYVVGYLGDDVTSEFSSSSSSSVVYRNLHIEYMSGGTVADVAKLLDGDGSGGVNLRGYTRCITAALSYIHARNIVHCDVKGKNVLIGDIPGTAKLADFGSAIELGVSVTGTRGSPLWMAPEVIRGEYQGPESDVWSLGCTVIEMFTGKPAWQDRGADTLRQIGYSDELPEFPTQAPEDLHDFLDKCLRREPRERWSCDQLLHHPFLSSCPSTSPPHMTTKLSPRCVFDWSFSSSSKESTLEIYQLDTQNSHAKQRIGKLASNSVANWESEGWEVVRHVTSESGETTSEHDDSINEEASVSGSGRANWEYTNYNASYDDDLESTTNNAQDTRGFGRYRDRYRSTMASTDPEHNEEETAAAEDEDTGAQVAPIVKLEEVAVTTGEENEDAILDLKAKLYRFDKDGKQWKERGAGSVKLLKHKETGKVRLVMRQSKTLKICANHLVIPTTSIQEHAGNDKSCVWHAADFSDGELKDELFCIRFGSVENCKKFKETVQEVAESQHEGKEEDKKEASSTAGLLEKLSVDDKKEGGKELVKESSKTEDSEKKEEAPIAAA >KVH94091 pep supercontig:CcrdV1:scaffold_149:150547:151952:-1 gene:Ccrd_003834 transcript:KVH94091 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MRQNFVLKSPKREEQTVPDSVIAEFFESNDDNLSDFKGTWGIKDGGNQTEGIVSSTYEEFDLDYLMEWDEFSIDEEDQGLTNDKSENREGNMVNLIGNIKREICGIWKEEEDEDQEDKEKNSCLNLNLNLNYQEVMDAWSNRGPCLTNDFTRSTSNDYYMGEVPVMEEARTKREASVLRYRKKRNNRLFSRKIRYQVRKLNADKRPRLKGRFVKRES >KVH94099 pep supercontig:CcrdV1:scaffold_149:276544:277539:1 gene:Ccrd_003844 transcript:KVH94099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MWRSPRRSRRDSDSDSIDGSIRRSSSNLNFCHRSFKDVENLFGDDDDDNGTNGFNKTLDQPCSNKTVRRLSIFHRVHLANQFARAFSTRQVPNPEKKPPPESNNAVAAEKLGKSDRLISIPGAEKRVVVYTTSLRVVRPTFEACHTVQSILRGFRVSIDERDLSMDSRFLDELQNIMAEGGEDEIEKSKLTLPRVFIGGRYIGGAEEVKQLHETGELKKFIEGLPAVTPGVCEGCGDFRFILCDECSGSHKCYTEKGGFRSCTLCNENGFKVYSL >KVH94113 pep supercontig:CcrdV1:scaffold_149:30418:38052:1 gene:Ccrd_003824 transcript:KVH94113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MLTADIPPNQTIYVKNLNEKVKKEELKRSLYALFSQYGRILDVVALKTAKLRGQAWVVFSEVTAASNAVRQMQNFPFYDKPMAFNRTGRPNTQETTAPNNILFVQNLTHDTTEDMLQLLFKQFPGFKEVRMIDAKPGIAFVEFDDDNQSSTAMQSLQGFKITPQNSMSISYAKK >KVH94103 pep supercontig:CcrdV1:scaffold_149:213339:214001:-1 gene:Ccrd_003840 transcript:KVH94103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSGSGSHDPGEPDGTSSTGGGADDSPAGRSTVHTPPPPPPPPPPPSRYESQKRRDWNTFLQYLSNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVTGCPYFGHPNPPAPCACQLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRMYLREVRESQAKARGIPYEKKRKRPARGTAAALKVSVAVGGGSARDDGSGAGEAISSSAPAPSTL >KVH94090 pep supercontig:CcrdV1:scaffold_149:202034:208514:-1 gene:Ccrd_003839 transcript:KVH94090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MYLLFLTLSCISYSTAYFRVHNESETIKGFVDRRSLFSPSISPHLSSSLKLHIMAGIAGNEHREPINEQEVAAKYGSIRTEMNQIYSKITELEMEISEHSLVINAIQPLDPARRCYRMIGGVLVERTIKEVLPAVQQNKEGIEVVISRLNEALERKKKELTDFESKYKIRIRKNDGEPVDDNSKKEGSAQGVLVGPANA >KVH94097 pep supercontig:CcrdV1:scaffold_149:127603:129986:-1 gene:Ccrd_003833 transcript:KVH94097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin VQELAARRLCRNHRVVTVNGQFPGPTLEVQNGDSLVVKVTNAAPYNVTIHWFTITDQEGTLWWHAHSRWLRSTVYGALVIRPKSGSSYPFPNPKIEFPVVLGEWWDRKVISVLRQALFTGAAPNVSDALTINGQPGVWLMHCHIDTHLAWGFAMSFIVENGVGESETLLPPPSDLPQC >KVH94110 pep supercontig:CcrdV1:scaffold_149:72207:72698:-1 gene:Ccrd_003827 transcript:KVH94110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITRSVTAGEVLKNYPNHVLSKPCSQGVVRRILILSNSSELKRGSIYFMIPSSSVPVNKKSPSEKDPKKIKKITRVAAPPHPDGASTGENGVRLHSSDVVVVSEKKKLGHRRARRTVQAGDWRPHLESIFEE >KVH94094 pep supercontig:CcrdV1:scaffold_149:187310:198988:1 gene:Ccrd_003837 transcript:KVH94094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRHYISSDALKYRQDSRPHRPLRESPPRHHPAEDRIAVHHREIQSLLVDNQRLAATHVALKQELSLALQDLRHLSSAAGNVKADRDAEVREVYEKAVKMEAEVRLIDELSAELVQVRGDVQKLSSERKELNEKLDQVHGDLAKERSKAHQIPLIKAEIDAMHKELQRGRAAVEYEKKVYASNIEQSQAMEKSMISMSREIEKLQAELANADKRARATAAAAAAATPGTGYAAGFGNHDMGYGGNAYPGNYAAHQVQGGVDPQYGHGPYDMQHRMVDAQYGPGGVPRGPYDMQHPNNAHG >KVH94089 pep supercontig:CcrdV1:scaffold_149:201167:201670:1 gene:Ccrd_003838 transcript:KVH94089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSQFLPSPLSIRTPTGYRNIPPIKSTLNFTTTTTTILTARNGNKDHRFAVIVNAAEERQEQPTTAESETTQKPPSDEELSELGTEIKKAMMEREIRKDESIWGGVAEEIGQIEWPAFNKVIGTTGVVLGVIAGSSVVLLTVNAVLAELSDRVFAGRGVQDFFG >KVH94114 pep supercontig:CcrdV1:scaffold_149:22401:29508:-1 gene:Ccrd_003823 transcript:KVH94114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLLRAVSSASPVKSTFIPTAIAASNSTTSQLTSSRTFASKKAKASASKGNNKKGQPKSKADAKTKSKQEEIVSASVDDAAHDLISDERNRRRLLDEDERNSSLDVGPNGRPLFTSAASLSELSRKDACTYLKFSRKKLEEVLPERLPVGMVKEFDESMRDALLVRHSFLDLRDNFRRIVDPPLQSSNSRGLSAQKQIVLDGPVSCGKSIALAMLVHWARDEGWLVLYVPEGRSWTHGGLFYKNPLTGLWDTPVQAANILQDFLKYNESCLMKLPCKIVAPIPLGDGAGVGRMKDADSMAMPEGSTLYDLIQTGITTTHASVGVVVRLRQELSLVKDMPVLIAIDQYNSWFTFTEFEEPMTVRSCRPIHAREVATVNAFRSMMHDNMMVGAFSHSTAVGKLRQDLPDVPTNARVMFPRYTLDEAAVVSHYYLRQRLIRREAFSEEAWKKLYYLANGNGSEMRWLLPFMR >KVH94105 pep supercontig:CcrdV1:scaffold_149:238349:241690:-1 gene:Ccrd_003842 transcript:KVH94105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein SRDFISSLLLSLFATLPQQLKTRTSPAAFKFSVIFCIPSLAHENRFHSSSELLKMVKIILPGSKKRHEPPEILEGQPQKKRKMDHGVRIECVKILKTLMAHKFGPVFNQPVDPVELGIPDYFLIISHPMDLGTIRKKLEDDTYSSSEAFAADIRLTFSNAMRYNPPNNCVHLMAKEMNDLFDKSWRSLEAKLIKLSKNGGEQGHHSLPAKISTQGCRAVKQIGVQNSRPKIPLDTIKLPSKTSESKTARKQGLNGGVKAKKTEDTSKASTCSEEKPPISCEEKARLKKELMVALRGDLSGPMRGFLRKYGLIYSRKEKIESVFGSFGDDTLWELKRVVKCSLATSTEKGKDDYVKTQQMKEISERKKLEEKSNIESRIRAARAAKEAILENARSDLQMRRDRERERVEKMERTVTMDDNLTVLRELEKLCQYSGIKNPLEKLGLRLKDEYYYGYEYIDDDDDEELEDGEIF >KVH94092 pep supercontig:CcrdV1:scaffold_149:159151:160369:-1 gene:Ccrd_003835 transcript:KVH94092 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MFPELPVHQRADAVSSLVYEANARMRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQEPELPTTHHPTMVDCDAKSLLLSGSNTSDFSDQMPQFLSYTSSNNNVIQDPLKRESLWT >KVH94096 pep supercontig:CcrdV1:scaffold_149:116985:122679:1 gene:Ccrd_003832 transcript:KVH94096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MPISRALFLSVLLSLAFTAYAETHYHEFKVQEQAARRLCRNHRIVTVNGQFPGPTLVVQNGDSLVVKVTNAAPYNVTMHWHGVRQLRNPWADGPEYVTQCPIRPGGSYTYRFTITDQEGTLWWHAHSRWLRSTVYGALVIRPKSGSSYPFPNPKIEFPVVLGEWWDRKVISVLRQALFTGAAPNVSDALTINGQPGTTKLSVNKGDTVLLRVINAALNQQLFFSVANHKLTIVATDAVYTKQFTTNVIMVGPGQTTDVLLTADQQPGRYYMAARAYASARNXPFDNTTTTAILEYKSTTSQPILPQLPAYNDTNTVTAFSNQLKSLGKVTVPTKIDENLFFTMGFGFFNCTPGPRCQGPNNTRFGASMNNVSFVLPNRVSLLQAYTQKIPNIYTPDFPPVPPLQFDYTGNVPRGLWQPVKGTKLYNLKFGSNVQIVLQDTSIFSTEDHPVHLHGYHFYIVGQGFGNFNPSSDPANFNLVDPPQRNTIDVPVGGWAAIRFVADNPGVWLMHCHIDTHLAWGFAMAFIVENGVGESETLLPPPSDLPQFAFQDVDWSFGYFNFTPESNLMNQIDIWFCFLLSFSIPSPHHRSPHFQDPPLHLHHLIQGKKFHNTGQTIAFLCSIQGSKSTRAAMAVFPGARPMEEGLAEAIDEGRIGPRDDSKNHTGRENPNWKQVFFATTTRRDVGGDGGGGGEEELRRKLAEKRQQHYFVLIRAAKESASQMIKGQGGKGVLQADLEDRALQLSIEAQVWQAKARVQEAVAAALQTQLQRAIITILNAMYNNSQKFQVLTDNVF >KVH94115 pep supercontig:CcrdV1:scaffold_149:14840:19777:1 gene:Ccrd_003822 transcript:KVH94115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAETSSTDLLKDDKPVIVRVKRKSYQSPLEAFWLEINERPVKRPLLDFEKLSINNSASKAEELKSKKFLVQHVDTVSGPDVAVDILQSFVASKPNSADILDSKAKLEDQRRSNKTDNKQKQLLVKAKETQELLAKNARFEQIWKSRKGKSNAASDDALRDMCQIYDVMRVDVGETSEVQEEEDEEDQRLMYSFLPLLHEFIPSAAEEFESEMEHQMIRKVSKDHYVYDLYAVKDDDTSMIMENASCPFPFSAEDNPLNDYPDEEEEEEEDDSESSNENSEEVESESSRSETVGQDEGLSEDEMLEIVDEDFNDDEVYW >KVH94093 pep supercontig:CcrdV1:scaffold_149:174083:179698:-1 gene:Ccrd_003836 transcript:KVH94093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSESISQQISLFRSLVQNRRFDDGTLRILESVLIIRDVKSLLDVRSSVIDFMRHESLCVLREFKEKSVNQQLLILEFFVRAFALSGDVEALFMALLILSCIIAEYMCLDALFHSCLALRYEGLVLRELKSVTDHWLQVSYREWFMFAEHALENNFRTYRQVHWILSIHLKACEKALACFQTNNMVKIKDTDAFSEDMEAIRKVKRLKDIAMVKAASQSVQAQAVEYLERKIAKHDKVTMLVFKEKQHSASVRFRNGIKEHNARKLKELRRLSADHMAHKFWNFLNRTLSALEIPTSFCSGNWNS >KVH89190 pep supercontig:CcrdV1:scaffold_1490:37266:42606:1 gene:Ccrd_008822 transcript:KVH89190 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase MATHISNVAQNLQTANFTCNLSKSQNLSSKSLSFLSFGSTYKNPIAQISVSSNKNFGRKSFTVSASVATTEKPSTVPEIVLQPIKEISGTVNLPGSKSLSNRILLLAALSEGTTIVDNLLNSDDVHYMLGALRALGLRVEEDGAIKRAIVEGCGGVFPVGREAKEEIQLFLGNAGTAMRPLTAAVTAAGGNSSYILDGVPRMRERPIGDLVTGLKQLGADVDCFLGTNCPPVRVVGGGGLPGGKIEIIDKLISIPYVEMTLKLMERFGVSVEHSDSWDRFFIQGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTITVEGCGTSSLQGDVKFAEVLGQMGAEVTWTENSVTVKGPPRDSSGRKHLRAVDVNMNKMPDVAMTLAVVALYADGPTTIRDVASWRVKETERMIAICTELRKLGATVEEGADYCVITPPEKLNVTAIDTYDDHRMAMAFSLAACADVPVTIKDPGCTRKTFPDYFEVLQKFAKN >KVH89186 pep supercontig:CcrdV1:scaffold_1490:71371:78011:-1 gene:Ccrd_008826 transcript:KVH89186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSELVRLRNSTAIASSPPILCIGYPHHVAESGQKRHRRPPMFASLASGTFGTLRHFRSSSSVPFLSYYTSYSSLFKFHALTSIMDSINYTKNSHGSSSFSTSARSSRSGGGGRGSATKESHGRSRGRGGGGGGGGQDRIDALGRLLTRILRHMASQLNLNMRSDGYVKVQDLLRLNLKTFANVPISSHTVDDIKEAVIRDNKQRFSLLEENGELLIRANQGHTVTLVETESLLKPILSAEEFPVCVHGTYKKNLKSILESGLKRMQRLHVHFSCGLPTDGEVISGMRRDVNILIFLDVRKALEGGMKLYISDNKVILTEGFDGVVPVEYIERIESWPDRKLIPIETYLT >KVH89187 pep supercontig:CcrdV1:scaffold_1490:79166:81979:-1 gene:Ccrd_008827 transcript:KVH89187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13/S15, N-terminal MGRMHSGGKGISASAKPYKRSPPSWLKISSQDVEENICKIAKKGLTPSQIGVILRDSHGIAQVNSVTGSKILRILKGHGLAPDIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLAPVWKYESTTASTLVS >KVH89189 pep supercontig:CcrdV1:scaffold_1490:64642:70049:1 gene:Ccrd_008825 transcript:KVH89189 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP11-like protein MQRLATRVSRWALSSVEHCSGTSHSSFLIKRPFFTSTVQRAPAIIHQHTIPVDFLKWGSLGSHRFSSFASGFSPLKHKPLESIIDIERAKHQTPEDLAAIWDDKMTTGRIKTLFLNRNGVNDQPDVDLWCNLPTATTKCNIFSGFHLGRGHIGASMKTKLYHLLEHRAADCRYFVIPVWKGSGYSTMFVQVQMPHILFTGLEDYKARGTQAAPYFTVSHYKEFAETKDLVLIRGDIVFTSKLTDLEAKWLLETAQSFYLNDTRYKLVERFNKQTSEFEFKDVLRALDMPMM >KVH89194 pep supercontig:CcrdV1:scaffold_1490:83993:88881:-1 gene:Ccrd_008828 transcript:KVH89194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFGGKDPFDDPFFTWQFDGDVGSKREITIEELDDDGKNSVPNTGVVSKTPVHSSDESKSFSFRRVAYGGVDGVYYSSSIGQRTGNDGVVLLEIKEEDKTVGQALHTISRGIHEKGHTLTKKRDPNGKEDSLQILHNLNEDELDGFEENWKVNADKHIPGWNDGFNSLENAGLNVYGRLGWNDHGAWDGWMLPWTNPWESDGAMVTAGEPSSGSSTSAGKIKKVRAVDIM >KVH89193 pep supercontig:CcrdV1:scaffold_1490:111838:116713:-1 gene:Ccrd_008830 transcript:KVH89193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRERHSRDESINSRGPFDGPRGFASHRSMMSGILGGRDPFDDPFFNRPFEGMFGPSIFDTHGPSDLPYPSESSSKGLVIEEIDTDDEEEEGKNGASRSATSGKGPNPTTFHITDHGCLAEEVKKDVRFNGNHNRMEGTKPQTQSISYKKVTYGGINGAYYTATTTRKSGSDGVVLEDSKEANKTTGRATHRISKGIHDKGPVACDHLGWHLSLPTVPIATRG >KVH89188 pep supercontig:CcrdV1:scaffold_1490:55897:64343:-1 gene:Ccrd_008824 transcript:KVH89188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVINATLEDVHKKVLSFDSFSTINTVHLRVREICATCFIGLPLGPRRSCGGRKDRSLRARQVITDRIDYKGTSTFPPQSSSGMRVCVGWRQFLLFVTLVFFVTHFLSVLELHQNSNAKDSHQKKTRKFDHLVFGPAAGEGLPNRLQCKGIKALNKTHISSVFNISDTRGGDGVAFVTVFTVYNSSVDGRASDSSIVGKVLYNKVERSMAVLNTFINFIQAFLEEKLEEQSRKPGQISHFIFTDSDIAVVGDVGQIFSKYENFHLALTFRNNKQQPLNSGAKIFLEEVLNVYTSKYMKASRMLGDQLALAWVIKSHASFDAKEFSRHEAFLEEIGGASVLFLPCAVYNWTPPEGAGQFHGMPLDVK >KVH89192 pep supercontig:CcrdV1:scaffold_1490:28324:33930:-1 gene:Ccrd_008821 transcript:KVH89192 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III, subunit Rpc25 MWRELEDMEEKWQNPSQKRVLARVNMFNLSKLEHTLRLQPHLLSLPINEAVKGELESLFLDKVIANLGLCISVYDIESIDGGFIFPNEGAPTYTVKFRLIIFRPFVGEIISAKLKESNADGLRFANARAFPCCPRLRNHIRFRVQNVKFPEIPKEQKESKPFAPMEIIGSLVSDGGLGPISWWV >KVH89191 pep supercontig:CcrdV1:scaffold_1490:51432:52757:1 gene:Ccrd_008823 transcript:KVH89191 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MKQMSSSHMDNIPSTPGKFKMEKSPYNHRFRWHYSSLAKLTFWSFVFLGLILVFFYKSPSTTSSSSIPSDLSRRSLKTSSWGGLAWEKRVRSSARIRSRNGFSVLVTGAAGFVGTHVSVALKRRGDGVLGLDNFNDYYDPSLKRARQSLLERSGIFIVEGDINDVALLHKLFEVIPFTHVMHLAAQAGVRYAMENPYSYVNSNIAGFVNLLETCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKRGPAQLRVFNLGNTSPVPVSDLVSILERLLKVKAKRLVMKLPRNGDVQFTHANISFARREFGYKPTTDLQTGLKKFVRWYVSYYGSVKKSDQ >KVH89195 pep supercontig:CcrdV1:scaffold_1490:100022:102622:-1 gene:Ccrd_008829 transcript:KVH89195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13/S15, N-terminal MISSPLCIRVLKSSQSVSVGTFRLNFRVSPPPLTVVSHGSKGISASAKPYKRSPPSWLKISSQDVEENICKIAKKGLTPSQIGVILRDSHGIAQVNSVTGSKILRILKGHGLAPDIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLAPVWK >KVH88171 pep supercontig:CcrdV1:scaffold_1491:71182:84836:-1 gene:Ccrd_024439 transcript:KVH88171 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain-containing protein MGFSKDQLLERLQAQQVQFSKYEHPIVMTVEAQDKKHRFYIVSALADTKVDLKVLSQRLGLGKGGLRMAPEEALAEILQVPLGCVTPFALLNESAQHVSLLLDQGFKTQECCFFHPLSNDTSISLHIQDLDMFLKSVGRVVAYVDLEANPPVGKDQPPDLAALVPSDTLSITDVLEKTASLQVDSGVNSKPTAVTAKASELSSGPRKEKLANTAKSSSSFADPEKLIEEILEKASAIVLSEIKEENIKKHGEQLGAVVSSSMRKNLSLELKNLAVSIFSLLALSL >KVH88172 pep supercontig:CcrdV1:scaffold_1491:86863:88103:-1 gene:Ccrd_024440 transcript:KVH88172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGFSDKPQPGYGFDYTEKEYHEQVDKLLDVLEVKSPFSLVVQDTETCNNEHLTASSPIPGLLQQLRFKFCNNFYNFFLLI >KVH88170 pep supercontig:CcrdV1:scaffold_1492:70973:97189:1 gene:Ccrd_024441 transcript:KVH88170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MFHRNMGLLTLYAAFPTLCQRQLKPSSFQQPLLLKPYCFNQSQSAAMNSPLEESDVGISFYISPLPGFRGILKQRYCDFVVNEVDLDGNVVHLTSLDAPAEPTEEHKTKMPDQLKGDQGAEIELFRDLVGDSDANSLKEFIDKINSDVEDGATSIVLSPSSDKVHRTAVHNFFKERLKFLVTDTVDGPDPSSKCIRVRLNSGEDNGRGQGSKKRKGRGETPYDSRGSDHWPEHLGKFLRFHLCKENKDTQEALGVIGKMLGIQVYTLDNIHILQLLFLIPHGHDFGESSIPFTYDSSLLSLCYLTWYLRKHENRCITASVLANGCMGQNISLVTVFKQRANKVASLNKRLIGIKIGDFCYVNEGLLLGQLHGNRFTITLRGVVADSEDTVKAAADALGKHGFINYFGMQVPLRSTPEHPFLDLALVLCLLTLLVLNYFEENGKPQSAFWTQKDDIRRIRDYYKESEDIDETLRQLPRYLVAERAILQCLKKCPGNYLQALKAVPRTLRMMYVHSYQSYLWNHAASMRVQKYGTDKVVVGDLVYCNEESSNEIKQVHDSDYEDIGHNDSSENNHLDEADPLSSEGSSSSVKVINDEDILSGNYTVTDVVLPLPGSRVIYPQNDIEQYYQDLADKDGVSLTECLHNVK >KVI11220 pep supercontig:CcrdV1:scaffold_1493:90252:90620:-1 gene:Ccrd_010372 transcript:KVI11220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKAMEEVEVVMKRFGDEQSTLLDRFERLSFEVKLNEAILGRSLSEPRYQVPALPLQLPRPLESKVTDQGHRHHRRLGFQKVWKKLFKPIFGSRKEGGKESIPDEKINFKFMKAFSRSVRV >KVI00572 pep supercontig:CcrdV1:scaffold_1494:56981:60208:-1 gene:Ccrd_021178 transcript:KVI00572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDNGIQTKLLRHEEEEVDGDLKVRVWNESKKIWRVALPGVISRVCAFGTIVVTQSFIGHISDIDLAGYALVQTLSVRFVNGILLGMSSATETLCGQAFGAGQHHMMGIYLQRSWIVDLFTLTVLLPIFVFGTQLYRLLGEEPSIAISGGYISLWFIPFVYNFVFSLTIQMFLQAQLKNMVIAWLSIFQFAIHIPLSWLLVYKMGFGVPGAMVALSISSWFLVIGEFIYIFGGWCPYSWKGFTVAAFKDLVPVVKLSVSSGIMVCLELWYNAVLVLLAGYMANAEVAISAFSICLNINAWEFMISLGFLGAACVRVANELGRGNAKAAKFSIKVLLGTSIAIGLFFFVLCLVFGKKLAYVFTDDEQVAETVADLSLLLSFSVLLNSIYPVLSGVAVGAGMQGIVAVINLVCFYVIGIPLGALLGYLTDLQVKGIWVGMICGIVTQTLALVYLTWRTDWDDQVKKSLERLNRFYVKSSDNPEEISN >KVI00573 pep supercontig:CcrdV1:scaffold_1494:22429:24888:1 gene:Ccrd_021175 transcript:KVI00573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MVKKNEECTLDGSVDRKGQPAVRERTGSWFAAILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAIFVVVSFWKLQYFDHFTVLIGFGLISLSLSSYIFLVKPDGCGNESTPCGNHSSFAMAMFYVSIYLIALGNGGYQPNIATFGADQFDEDHPTEGHGKVSFFSYFYLALNLGSLFSNTILGYFEDKGTWALGFWASAGSAIVALILFLVGTPRYRHFEPTGNPLSRFCQVMVASIRKWKVMIPSDGDELYEVDGKENPNNGSRNILHTKGFKFLDRAAVITSKENSIENADIKSPWHLCTVTQVEEVKCILRLLPVWLCTILYSVVFTQMASLFVEQGAAMKTNIANFHIPPASMSSFDILSVAAFIFIYRRFLDPLVARLKKSNCPKGLTELQRMGVGLLVAILAMIVAGTVEHFRLKYKDNDCSNCEGSSTLSIFWQVPQYVLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVTIVMKISSTDRMPGWIPGNLNKGHLDNFYFLLAVLTTADFVVYLLVANWYKYIKFEGRSEKVEGCQHV >KVI00575 pep supercontig:CcrdV1:scaffold_1494:29147:36325:1 gene:Ccrd_021176 transcript:KVI00575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVMTMEDIRAEASRLNIDLSAVDWNSVRLPPGEDFGIKSDDEDLNEEDSLEFDAGFGNIIVVDNLPVVPQEKFEKLEGVVRKIYSQIGVIKENGLWMPVEEDTGKTRGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFAVNMFDEIEKFMKVPDEWAPPEIKPYTPGIYDTKVKMSLKLFGVTLQENLQHWLTDEKGRDQFVIRAGSDTEVLWNDARQVKADPVYKRPFWTESFVQWSPLGTYLATVHRQGAAVWGGASTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFAIGGTGGFTGVSWPVFRWGGGKEDKYFARMGKNVISVYETETFSLIDKKSIKVDNVMDFSWSPTDPIFALFVPELGGGNQPARVSSNVMKFHVSLFQIPGKEELRQKNLFSVSDCKMYWQSNGEYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVFELDNKNDKVISFAWEPKGHRFAVIHGDNPRPDVSFYSVKGGKVSKLTTLKQKQANALFWSPGGRFIILAGLKGFNGQLEFYNVDELETMATAEHFMATDIEWDPTGRYVATSVTSFLWRPRPPSFLSPEKEEEIAKNLKKYSKKYDVQDQDISVLLSEQDREKRKQLKEDWERWVNEWKRYHEEEKMERQMLRDGEASDVEEEYEAKEIEVEELLDVSEEVIQDV >KVI00574 pep supercontig:CcrdV1:scaffold_1494:39333:46150:-1 gene:Ccrd_021177 transcript:KVI00574 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLFDAQNEFPKSTLTWRLAEGIFEAIANLTSPEMVEVVIGCAGNLIAKIGEKLIAETRRHLGYVLYYHNYVESLKQQVEKLMVKSEGITLEVEEARRNGDVVAPEVEQWFTSVEVIYEESMRFLEIEVRENKGILNGWFPDIKKRYILSKKAKRKTQKAATLKAEVFHKISYPAPPLAVGDRSIGDFRNFESRIPIIDQLMKLLKDDTKQIISICGMGGSGKTTMVKEVARRVQGEKLFDEITMAVVSKEHNLMKVQEDLAKWLGLRFKATTLEGRANELWRRLLQSRKGNLVILDDIWKHIDLKNIGIPFGKEYKNCKVILTSRSKDACKAMGCEDVLLLDILTPSEAWSLLGEMVGDDLQDDPDLCEIASEIAKKCGGLPIAIVCLGRALKDKRKEVWKDTLLKLQRSVVPTNIEGVKEDVYQSLQASYDLLEDEEAKKLFLLCCLYPEYANVPLEALVRCGFGLEFFKHIDFIIQARDRVHALTDKLRSRFLLLSGDRKSTVKVHNVVRAVGISVASRLEPNMEQFSAVVMHEDKWPRGMTYGQYKAVSVVSNEISELPSRGLSFQKLELLQLACPKLSLEKLNTMFETMKKVRVVELWNLSLLSFSSILSSLPRDIFALSMDCKMETIGDIKTEEFVNLEILSLGNCDITELPMEIGKFANLRLLDMSGCRWLERISPGVISNLSQLEELDTGSKWWGDEEEGDTSLTELESLTNLKYLGIRIKSSNFLPKKGLFEKLQRYVISVGVHLEKSRSFNKRILLLKLEITDRHLGGGIDKLLRMNTEIVFLTGDGIKTALKELVPSGFQQVKNLTVECCNSEGTEYLSDSSYTSNSAGVFTNLEKLRMEKMWHLRGILRHDGQGLPIRSFSRLRKIHLSVLPVITYLFTQSVATNLVHLESLRIEYCTNMEQVIFNPRPSALASTIENKIVFPKLSELILNYVSLACFSHGLNLQVEFPQLTVLKLEYLPNFHTFCPEEINPASKGNLKGTNFHYLVDNKVTFPSLETLELSELDSVEELWSSQLPTSQFGKLKSLRVEKCHKLINIFPSELETLFPSLEKLDVEKCDSLEQVWGSEGVQIRNLKSVFVSECPKLRNLCSFYTFKGLPNLQILDVSSCKMMEEVVEDEHKNEKMKEVVSLGKLEEVKLAFLPNLNYFSHTKCDMEMAELTQVIVKNCPEMHTFSGSSVTTPKLKFLDGNIPGSVKWKTFDIEERGWTDSLCSARKLKNTYFTHNEHLRALK >KVH88169 pep supercontig:CcrdV1:scaffold_1496:40983:44376:-1 gene:Ccrd_024442 transcript:KVH88169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MGSFDGFKKYLLISCFILEITHAYYLPGSFPHKYDVGDQLSVKVNSLTSIDTEIPYGYYSLPFCKPPEGIKDSAENLGELLMGDRIENSPYRFKMYKNETEIFLCKSNPLSHDEYKTLKERIDEMYQVHLNLDNLPAIRYMHRDGFFVRWTGYPVGIRVQDVYYLFNHLKFTVLVHKYEHDNVVGVVGTGDGAELITPSNETVGGDGYMVVGFEVIPCSVKHDQKDVAPYGKYPSSIKCDPSSVEMAIKENERVSFTYEVSFVKSDIKWPSRWDAYLKMEGAKVHWFSILNSLMVVTFLAGIVLVIFLRTIRRDLARYEELDKEAQAQMNEELSGWKLVVADVFRSPENSGLLCVMVADGCRILAMAVATIFFAALGFMSPAARGSLITGMLMFYMFLGVVAGYVAVWLWRTLAAGENRGWFSVSWRVACFFPGISFSIMTVLNSLLWASQSTGAIPFTTFLVLISLWFCISVPLTLIGGFVSTKGRYLEYPVRTNQIPREIPTQKFPSWILVLGAGTLPFGTLFIELFFIMSSIWLSRVYYVFGFLFIVFILLVTVCAEVSLVLTYMHLCVEDWRWWWKSFFASGSVSLYVFLYSINYLVFDLKSLSGPVSAMVYLGYSLFMVTAMLLATGAVGFLTSFFFVHRLFSSVKID >KVI01524 pep supercontig:CcrdV1:scaffold_1497:116501:117303:1 gene:Ccrd_020207 transcript:KVI01524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEEQNRQSSFFLTKMNLVRHHGMPDEYTFATLIKASSCSTALEQGRQIHSNAIKFNCGVDTYNEIEHYTCLVDGLGQAGCVHEAEKLIASIQFEDTGSMYRSLLGACRLKGDTETRTRVATKPLKLEPSESSTYVLLSNVYAAFKQWSEVADARRTMKMKNVKKMQGLVGLM >KVI01520 pep supercontig:CcrdV1:scaffold_1497:61989:64411:1 gene:Ccrd_020204 transcript:KVI01520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQQPNSIPIAANVSSSRQENTAATTRSSRKGFCGSFGDCMTKPTIAAPPPRQSSSRLELQVEELQKEVKKEKEMRCMYKMRLERTQDYLKYCLQVAQDNGFLKFILNKNDSPQQPSLGPSSAIVQASINPQPPPTVQHNTNLSAIIEQAKLNGWYIHPHEIELHKKVAQGSTAEIYKATWRGLDVAVKCIFPDFFRLNDCGVSFFAQEVETLSRQRHTYVLQLMGACLDPPNHGWVVTEFLNMTLKDWLHGPGKRRKERVTPLPPFEKRLAKALEVAQGMQYLHEHKPMIIHRDLKPSNIFLDDAFHVRVADFGHARTKYNKCMLFSSGTFVYMAPEVIRSEPYDEKCDVYSFGIILNELLTGQYPYIETDYGPSKVVNWCTFVFDDEKIALQVGEGKIRPALPEHDDKIEDLIELTQLAWDEDPEYRPSFATITRGLKMIYNRIMNAP >KVI01521 pep supercontig:CcrdV1:scaffold_1497:63659:68478:-1 gene:Ccrd_020205 transcript:KVI01521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVLVRKLRYGNLKVLYAVQGMFSCSYLNAVRVHRCQITRYSCCGMAFHQSVFSSMCNLPGQVNALYVLPGNTHPWKSFSTMASTILVQARDPAKLSEELQNALDEHRLDDAWNLHEQHMNMEGFPRKSIVNKLLITFAQSLELQWLDRAYHLVQKAIEEHKQNLLEKETLIYLSLCLARYPLPVNAATILRKLVETEQYPPVTAWSAILAYMSQTAAGAYLAAELVIEIGFMFQDGRVDPCKKSNQHLIAMKPNTTALNIALAGCLLFGTSRKAEQILDMIPRIGVKADITLLIMMGHIYERNGRREELKKLKRYIDEAHDLSDVHFREFYNCLLSCHLKFGDLESASHMVLEMLGKARKAQNALGMATFMFQVAKIDNKSVPFGQVESRESLTHEKSDDADSSMAIRSQTLSYEDFCGERKFLKLEAEAKELLSTLVEKFQRQGKLITTERGILQPTETIFVKLIKAFLEASKIKELVGFLVKVEKEDSPVSPDCSPLVQVVNSCISLGWLDQAHDLLDEMRLCGVKTGSSVYSSLLKAYCKENRAAEVQSLLRDACKAGVQLDASCYEALIQSHVVDEDTQGALNLFKEMKEARLDKPGQKEFDLLVQGCVGSGEAKLMAKLLQEIKEGQGVDCGVHDWNNVIHFFSRKRLMQDAEKALKKMRSLGHAPNAQTFHSLVTGYAAIGGKYTEVTELWGEMKVLAFYHGMKFDQELLDSVLYTFVRGGFFIRANEVVEMMDKGKMFVDKYKYRTLFLKYHKTFCKGKAPKVQTESQLNRREAAMRFKKWATGNRCKRWSVFGVFIPCQLSQFNEIFNLVIMLWECWSYLALPNL >KVI01522 pep supercontig:CcrdV1:scaffold_1497:117697:118392:-1 gene:Ccrd_020208 transcript:KVI01522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor, TFIIS/CRSP70, N-terminal, sub-type MEELKMDATYSCHLINSMKQDDASIYTSLGEPEIKQETKIVAEVLKIKQILDKTPYESQSVAAVVYESLSKLQHLGLSFKTLEATGIGKSVGALQKHASRDVRQIAKKLVRIWKGVVDEWFNATENMSTSKGGEEWNMINPAPIKKQTSTVRKKKHSANKFQLSEREEESKEIMRMEEKLEATKRKLLQGYQEAENKKRQRRIQVIDLHELTQEHLLPQTQHRVTKYRNLP >KVI01523 pep supercontig:CcrdV1:scaffold_1497:95665:100451:1 gene:Ccrd_020206 transcript:KVI01523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIGGAKVITRKACSRLLVDITCRLGTSSFRGLQSSMSSATSSSSLSIPRSLPNSPFSGLVICVTGLSKEARKQVMDATERLGGEYSPHLHPRLRVIVDHLTQSYSSGGRKYEHALKHGASNGLFIVTLGWFVDSVRRNVRLSEALYVVKHLQENHMPKDDLNVLASGNSCLPVAMLENAKQPNMIEKSQLFSSSEELKRRGSIFSGQSFYVDAEVSAELQNKVAEAAFGQGASLADQWLPGHNASHVVCEESSVQKYLGHSNYLVTIGMMLDNVEGGADQKDSYGANDSQDASSLRINSNHEENQNKANLAKKGVRNRRGRQMQTCQAPIKPLTPSILLDSICWSISEPSSSASIYTDSFSFEDAKTSTFVDASEDGKESNASFVNFSRPLSETEKNDLIFKNHFLTILFPVDRFSEMGPCSRTVHMFAAVRSHLCENMSKLEIELAIHTDSRHADRLRSVYASKEAAEHGYVEVKRIEFLGSRKSLEMLKRVPGDNNSNVYELLTRA >KVH88168 pep supercontig:CcrdV1:scaffold_1498:100946:101455:1 gene:Ccrd_024444 transcript:KVH88168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRNPAFKILHQGDFEVVPSRVQLEGEEKEIRTLEVVYESTREEIRCICLLLNFNDYLSRHALSVLNYNGIEEVPSQYIVPRWSRDYKVRSKHVDYRLDDLNNGALYLYNHLSQRALRIVEEGATSKEHYNVVEKELEELFDKLSLQDESV >KVH88167 pep supercontig:CcrdV1:scaffold_1498:99491:100940:1 gene:Ccrd_024443 transcript:KVH88167 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MEVCLNCEPVFEEDELVDEKAHDDEVCETQSNTEPPATHRREHGFGIRVSNSWFRSKVKERYRVKLSCSSAGFKKKSGSSNTGPKTRRGCPAMIIIKLVDSKRWRIIEVELLHNHPVSPEVKRFYKSHKRMILASKKGYQLEHVEEIHTIKLYHTNITAVNHAGYSHDSNLDGKEVRFFLKSSKHLDLKVPEKLGGLKDYEAIKRQIHKAVYDSLKLILKLHDRQQWVPVYLNDVAFAGMIPLKEFLDKYDLALHRNRLKEAKEDLKSRKASIFTP >KVH88166 pep supercontig:CcrdV1:scaffold_1499:827:1237:-1 gene:Ccrd_024445 transcript:KVH88166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGNQEEETTIGLRRRGCSYQKGDFLPEESFKTWDNYTTALMETPHRLMDRLLTRSGDQAELDAKARSQTQMKKTLTWWDLIWFGMGAVXGAGIFVLTGLEARXDAGPAVVLSYVVSGASALMSVFCYTEFAVEIPVA >KVH97639 pep supercontig:CcrdV1:scaffold_15:700780:702155:1 gene:Ccrd_000271 transcript:KVH97639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MHLTLNLYESITHTQKTLKSRILEFHTICINMPIYSSSELEDSGDHKVPSIKLFRRDRPLHSVLGGGHVADLLLWRNKSLSAAILLGVTMIWFLFEVVEYNFVSLICHISILVMLMVFIVYTMAKFADWDLPDIHEITIQESAFKWLYRKINWLLLRFYEISSGENFIEFFLVIASLWITSVIGSYFSSLNLLFFCVICLGTLPALYEQYEKEVDHLISKGVRDAKKAFKKFDAQVLSKIPRGHVKEKKRK >KVH97590 pep supercontig:CcrdV1:scaffold_15:843002:847869:-1 gene:Ccrd_000256 transcript:KVH97590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNPFFLFFVFIIISCTSALTSHQNDQVTSILGEDNLGHWRNGILSSSAEAPGPAGEPSGALVLAGSRTKRPDILNRFKRTGCILLSVGQDEFHREAVDTLNYVVNQSDYTVQTLVNVTGYLSLAKTVNVAQFYLPSDVKDSIDKLNIDLNAASDKLGRKTHQNSQKIRTVFDAAIIDDYRCNSDASHLSILGQRNAIHIFIIGGWLLVVVTFILCGVFVILNNAISDTCLAMEQWVDHPHAETALSNILPCVDQGTTNQTLYKSKQVINDLGNIVNGFIGSYANSYPQPSGNTNYFNQSGPLMPYVCSPYDSQLQERECSSQEISMSNASLVWQNYTCTVSESGLCITTGRLTPEMYQQLVGAVNISYALHHYTPPLLSFQDCNFVRETFTTITSDHCLPLQHHLRLVNAGLALVSAGVMLSLALWIIFANRPQREEVFAKITSTIKYKCNGKLCRGDNTIRET >KVH97637 pep supercontig:CcrdV1:scaffold_15:943083:946245:-1 gene:Ccrd_000248 transcript:KVH97637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MSFTITNSILIFTTVFSLFQAPSFASKPHLINFRSPNLYPESMSWDPTAQHFLVGSLRYPTLLSVSDAGVVNTLVSDTSLPEDSSFLGITVDVIRNRVLVVVHPRSDPSNCALAAYDLRTPHRRLFLATLHDPASTTSSSGANDVAVDFSGNAFVTNSASNLIWKVDLEGKVSVLSESKIFKKTPVDPTTPYSSCGLNGIAYSSKGYLLVVQSNTGNLYKVDSEDGTARKVQLNRALTAPDGIAIRSDGVLLVVSQYKLYFIKSSDSWSDGVVYDETALDSDRFPTSVTTGAEDRVYVLYGHLKEGMMGNLQRDQFSILEVRSEDESGDEAIWVYVLIGFGFAYFLFWRFQMRQLFSNMNKKIT >KVH97606 pep supercontig:CcrdV1:scaffold_15:730087:736556:1 gene:Ccrd_000269 transcript:KVH97606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQILRNMFQGQQGRVGEVELGAFYMKSHGTTVARIHMHDWLILILLLIIEIVLNIIHPFYRFVGKDMMTDLRYPMKENTVPVWAVPLYAVLLPIVVFIFIYFKRRDVYDLHHAVLGLLFAVLITGVLTDAIKDATGRPRPDFFWRCFPDGLDEYDRWGNVKCHGEEGDIREGHKSFPSGHSSWSFAGLGFLALYLAGKIKAFDRRGHVAKLCIVFLPLLMASLVAVSRVDDYWHHWQDVFAGGLLGLTVATFCYLQFFPAPYHSEGWGPYAYFRALEETRSNRRVDDRVDEVGTHAMEIPDLNQQSGQNRTGIGLVSSVDNQPNSLQTDVESGKL >KVH97587 pep supercontig:CcrdV1:scaffold_15:853381:864552:-1 gene:Ccrd_000255 transcript:KVH97587 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLRISGKGGGIGQILTAVAAALLLRLFSGPGPAYLPENETQEEEGEDVTSDSEASVSDKVYPVTIRWTNITCSLSDKASKSVRFLLKNVCGEARPGRLLAIMGPSGSGKTTLLNVLAGQTAASPRLHLSGLLEVNGQSMSNKSFKFAYVRQEDLFFSQLTVRETLSLAAELQLKEISSKEDREEYINNLLFKLGLVSCADTRVGDAKVRGVSGGEKKRLSMACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYAKFDDIVLLTEGELVYAGPARDEILTYFSKFGYICPDHVNPAEFLADLISIDYSSSESVGSSRKRIDSLVESFSQQNSSTFYATTLTKSVVLKHKTILKKKAAGKGISGWWRQFSLLLKRAWMQASRDGPTNIVRTRMSIASALIFGSVFWRMGRSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYMLGPYLLSKLIAEVPVGAAFPLLFGTILYPMARLHPTLSRFGKFSGIVTVESFAASAMGLTVGAMVPTTEAALALGPSLMTVFIVFGGYYVNADNTPIIFRWIPRASLIRWAFQGLCINEFEGLQFDHQNSFDIQSGEQRLSFGGSNISETVMAQSRILLFWYYTTYLLLEKNKPQYQRLEPPPPPPADQTEPQLELDQPVESDDSQGQSFEILETPTVDQGKPDPPQLESPATAQLNMFDLGGL >KVH97600 pep supercontig:CcrdV1:scaffold_15:530765:532583:-1 gene:Ccrd_000283 transcript:KVH97600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLDNNMNTALENFSSFDVNLHGFIPVSADNFSDHHHPLAYMSLQDGTEAIPSFNFNGDPMNHFPTTTEVFGQLQRDGIATRVESFMNLNPPIFGPGFIEENKDYGVKKRKKNNEAGVEKAREVVHVRAKRGEATDSHSLAERFLSMKLSAASMFYDFNSSEMEDMETLKGTNGNEAQVMERMAREGYGGGDLPPFQSTWSP >KVH97614 pep supercontig:CcrdV1:scaffold_15:748231:748818:1 gene:Ccrd_000265 transcript:KVH97614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLSKVTNVGPLPMVASYNILMYGCIEQMDLNNAIRLLSLMKENGLKPDQRTYGVLIYGFCKAGDLDGGLALFGEMVEQKVMPNEYHYTSLIDAHIKQDEVEAVLFLLREEGCRPEAEAYNSIIDCCCKRNRLRETIQVFMTMGEKEVAPNEDTYKTLVDGLCQDVGLNHVKVVICCLYKRGETSKGIELLEYL >KVH97577 pep supercontig:CcrdV1:scaffold_15:141051:142514:1 gene:Ccrd_000305 transcript:KVH97577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MAENGFLLISILLALSLPSALSRTLLPPTITGATSDLDVSASINKTLHVLSFTTQMASSFDLHPTSSSSSLLSLQLHSRISVHKSSHQDYESLTLARLARDSARVESLQTRLDLAVNGVKKADLKPVDTELAAEDLEVPVISGTSQGSGEYFCRVGIGHPASQVYMVLDTGSDVNWVQCAPCADCYQQADPIFEPASSSSYSPLTCDAHQCKSLDVSECRNGTCLYEVSYGDGSYTVGDFATETVTLEGSTAVKDIAIGCGHNNEGLFVGAAGLIGLGGGRLSFPSQINATSYSYCLVDRDSDTTSTLEFNSPMPPDAITAPLLRNDKVDTFYYVELTGLSVAGNLLHIPETAFKLTSDGGGGVIVDSGTAVTRLGRAAYNALRDSFVNETKGLPAATGVALFDTCYDFSKKKSVQVPTVSFHFSNGKKLDLPAKNYMIAVDSSGTFCFGFAPTSSGMSIIGNVQQQGTRVSYDLENSLIGFSPNKC >KVH97601 pep supercontig:CcrdV1:scaffold_15:548179:548937:1 gene:Ccrd_000280 transcript:KVH97601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDVCSASEPFISPRISFSHDLNNQSSDNHATAETVAAATTTFDFCITSEIVQHVTSADELFFDGILLPAQIKKPENLFLEPTTSGDEATGIQKKRLKELISDREDDDDEEQEKSSSRSFWTFKRTTSLNSDSGRGTKKLFRSLSLKRLLRSNSTDSGLNPRGIVAPKVIENSNSRKESAGFQRCSSSVQTPSLHHNYNRNNSLRQSIKKKNSSGSGIKISPILNIPPAFNMNSFGFGSLFCNGKPKVKSK >KVH97634 pep supercontig:CcrdV1:scaffold_15:218299:222455:-1 gene:Ccrd_000299 transcript:KVH97634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MERSAAVGKTFSAFVKWEEVFSTNHKGRREVRYYLKRRDGTSVLAVVGKEKNSGHNMKPSSSSSYPYRYAIRDKSLFLSTNLPFEFYSKLRSRREVIDWLSSFVTDGSFSRPPQPVDGFLGAGDALRVNLETFTDVHLHKMSQHTAEFLWVGSAWTCRKKRKHYEAYSRSGVRISVHNFVYVLAEEGKRLVAYLDDLYEDSRGNKMAVVRWFHKVDEVGLDLPHSYNDKEIFFSLCLQDLSIECIDGLATVLSPQHYEKFLKVDAHTKLDPFVCRHQFDNEVVKPFDITQVKGYWKQNIHRFMSLTTSNGCPKLQSTDATKLESSHLDAVGIRPRKKLRRLVDTEMQAVPTTIKEAGVSGPLDGEVNFKERTLAEYASMQKHQYTIGSEIEVLSQDSGIRGIWFKAVVIKKHKDKLKVRYQDIKDADNESINLEEWILSSRVAAPDHLGIRHCGRMTIRPSRLCKNSDVCFVDVGCIVDAWLHDGWWEGIVVHKESDDRIHVYFPGEKQRSILGCKDLRYSEEWLGDRWKQMKSRPDLLGPISSAIETNSQTTKSHDNGSSLTGTIHDKELSSGPKDNSLENSVVGRDFEVVDHADFLTHLNWKWSARKRHGKNPSHRLQRHASKTNDVVAVETRKRNRLLMVKMDHVKCKFTCESSLFRSSVASSPLTSLAMSR >KVH97586 pep supercontig:CcrdV1:scaffold_15:828591:836293:1 gene:Ccrd_000258 transcript:KVH97586 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALIX V-shaped domain-containing protein MANPASSSSSATNIMLAIHEKKTVSVDLYRPLRNYVVFNYSEREAQNLEDDLENLKEMRNTIERSSGADSLPSRRDLLQNYFKALTAVESRFPISPDKDHVNSVTFTWHDAFKNKNKASQQNIHLEKAAILFNLGAVHSQIGLTFDRSTVEGRRQASHSFIAAAGAFAFLRDNAATKASIGSSTTVDVSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCSKISRQVGLYYEEALAALHVAPLTHHFDKTWLSHVQLKAALFYAEACYRYSLELHEKEEIAEEIARLKSGIGALTDAKKLSPKGAAQQILDAINKLEVNLNRNLERAMKENDRVYLMRVPPASSLQPLPAFAMVKPLNMNDVLDASREKMFASLVPDSSAKALSRYTEMVDEIIRTQAEKLQQGSELARVSLKEMDLPDSILALEGHSVLPTALWEDVEAVQISGGPAGLEGELQQLRDLRRVNHELLVQTEELLQKEAAEDAQFRSQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQAADSDGKIERSVRESMALMSILDRRPIESALPTLAKPIMSLDANEDAVVGALKQSLRQLETLGAQRAGLEDMLKDMKRKDDILPKLMTSTGSYEDLFRKEITKYNHICEEISQNLQAQQQLLLHIQAQNSEFAGTFNIEDYRASCEKCYKQIEAAVAKYREIKENINEGLKFYVTLQDAITSVKQQCSDFVMTRSIQCREMIEDVQRQMAGLSFQDGKNSGSYSYPSATQPQRTSSQQEPANHPRPQTPYYQQPPPPSAYAQQHPPQPATSGYSQHPPPPYALPQQQPPPPPYHGGSPYPPQQGQQPPPAAHEYGQPAYPGWQGAYYNAQGQQPGSFPRPPYTVPSPYPPHQSGYYKQ >KVH97578 pep supercontig:CcrdV1:scaffold_15:153714:158033:1 gene:Ccrd_000304 transcript:KVH97578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter-like protein MNHTQTPFSSSSSSSSSHHSATITTAQQSAGGNPISMGSTLGASRHWRDVFWLGIFVLHLILVGFALGVLGFNRFRKKDRLNIDQYTIKFLENQAGLTEDYWPLYAVAAAISTVMGWVWLLLLGSRSNQMMKVSVHILTTYLAVLSVLCFWGKLVFWGVAFAIGSGLQFLYIISVIDRLPFTMLVLQRAVKMVWKLPEVMRVACAFMLVMLLWLVLWSFGAAGVVASGIGDGGRWWLLAVFSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGRDAASMPRNPLLQSLRHAVTTSFGMETLVRFFNKYAYVQIAVYGKNFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGTLLGGLITGTCAVGLAMVVVESAVTSIYICYAEDPLLIHRWDPEFFNQMSETLHQRLQHRSARAREGLSQHRLSDHIQETISI >KVH97625 pep supercontig:CcrdV1:scaffold_15:689013:695124:-1 gene:Ccrd_000272 transcript:KVH97625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C (PP2C)-like protein MGSMAENHQNHPDLVSLSALMSRELKSEKMEKPSVRYGSAAQSRKGEDYFMMKTDCQRVLGNPASTFSVFGALPRALVAVASVGDSRCILDTPGGPVSVLTVDHRLEENTEERDRVTASGGEVGRLNVVGGPELCNAGGRLIIASDGIWDSLSTRGLKDDTTCIVVDIIPPNNSIPPPSPPRKTQSKLRSLLFRTKLHGSASKLLGNDDTRGLSTSGLFTCAVCQVDLAASEGTPVHASPIFSANSKPWHGPFLCVGCRDKKDAMEGKRPSGIKVI >KVH97609 pep supercontig:CcrdV1:scaffold_15:801945:809438:1 gene:Ccrd_000260 transcript:KVH97609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase TatC MVSLFAILLIEVADKITEENLNPEKGSALYNFLYPDKELLPEDKEMSIFDHLEELRERLFVSVLAVGAAIMGCFVYSKELIMLLEAPVRTQGVRFLQLAPGEFFFTTIKVSGYCGILLGSPVILYEIIAFILPGLTKSERRFLAPIVLGSSILFYTGIAFSYSVLTPAALNFFVTYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQMLSIWRYVVVGAVVAAAIVTPSTDPLTQLLLAGPLLGLYFGGAWTVKLIGRFKLLHEILKFLPTLFFKDSSYTHQEYRLEREVLLPAHARRRGDSMSTGSSSGESDESCGELDETGESSGESDESWGDGGDGRQNGRFVTRSS >KVH97592 pep supercontig:CcrdV1:scaffold_15:898920:902776:1 gene:Ccrd_000250 transcript:KVH97592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3326 CISVQWKRPYTSVLIVPTGVGASIGGYAGDALPVARTLASVADCVISHPNVTLSIGIEEELRIRHLQVVDATRASLGLPVLDYIVTDTPLEGIDLLAGVEAIISHLVLGYTFLPCVLAGLANAPQYLTDKPGSGGNDCIAASDVDSIILPADACGGDGVLAFAHKRTKVKVSNYWEAIGVVAAHKAGVDPNSLRRDKVKNITTNSLLHSNGCVTTTFA >KVH97631 pep supercontig:CcrdV1:scaffold_15:256012:256563:-1 gene:Ccrd_000294 transcript:KVH97631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPTHKRVRLDSDKSESSSVHPKRIRDDLLVVLPDSDVFTATSDLESLMNSFENEISELPQSVTVANCETVSGESLPDLGFLFEASDDELGLPPTDITPVETEKNGVSDEWIEVLGEVWRLEDQMFSYDSLEYGFGYACDDAYINTYNNVEYVALDGLFDYTDLGFASSDLSLQPETMPAQ >KVH97584 pep supercontig:CcrdV1:scaffold_15:133685:134606:1 gene:Ccrd_000308 transcript:KVH97584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVSASSVSLMVSASSPPLPKEAPLEGEYEEGPRREAKWWYSTFHTVTAMVGAGVLSLPYAIAYLGWYCFLFLVLSRMSLFESSFMSNQITWLA >KVH97644 pep supercontig:CcrdV1:scaffold_15:667948:677309:1 gene:Ccrd_000274 transcript:KVH97644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MSVKRKMKGLKQLMSESSIHVASTITMCVFMAFIVFVLGTFITHRYKTVIIPKDLYFPRFTVVTKTHSSSIYLCHGSSSSSTPSPARVVGFTDSTGFVDLWHSMSDEELIQKAMRVPHVAEHPQKLAFMFLTRGGLPLGPFWEKFFEGHEGLFSIYLHTSPDFANEPPNSSVFYKRKIPSQHVQWGKPTMIDAEKRLLANALLDFSNQRFILLSETCIPLFNFTTIYSYLTNANKSFLSSFDDPRKIGRGRYNKQMGPTITLQDWRKGSQWFEADRELAVEIISDRKYYHVFQNHCLPPCYMDEHYLPTLINKVRPDLITNTTVTWTDWSGGKSHPTTFMRKDITIEFLNRIRYETNCTYNGASSSICFLFARKFHPNTLEPLLKNAPSLFGNQNHYSMISLFKFFNAPLHLVNLLSIILFFAFGLCFGIILSFHLKNVSFNLQFTQFSLSSTTTTTTTSAFTDVITPPESTTPSPMKVGLGSYLNPPELMHDMTDEELLWRASMVPKVTDYPYNRIPKVAFMFLTRGPVVLSPLWDRFFKGNEGLYTIYVHRSNSSSNGTEPEDSVFHGRRIPSKDVEWGKVNMIEAERRLLANALLDFSNQRFILLSDACIPLFNFSTVYSYLTNSNHNFVESYDLEGPVGRGRYSPKMAPTVVIYEWRKGSQWFEMDRDLATEVISDTMYFSVFHDYCDGQCYADEHYLPTFVTKRFAETNANRTLTFVDWAKGGPHPTRYTRNDVTEEFLEKLRSDTSCEYNGKGNQICHLFARKFTSHALDRLLRIAPSLMQFNDL >KVH97611 pep supercontig:CcrdV1:scaffold_15:786899:791342:1 gene:Ccrd_000262 transcript:KVH97611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASFVKLNASSSPWIGQRSFDQRPGSSSRLPATRVSVVRAGSYSEELVKTAKTIASPGRGILAIDESNATCGKRLASIGLDNTEANRQAYRQLLLTTPGLGQYISGSILFEETLYQSTTDGKKMVDCLREQNIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYSQGARFAKWRTVVSVPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAERVWSEVFYYLAENNVMFEGILLKPSMVTPGADHKEKASPETVAKYTLTMLKRRVPPAVPGIMFLSGGQSEMEATLNLHAMNQSPNPWHVSFSYARALQNSVLKTWQGRPENVEAGQKALLSRAKANSLAQLGKYSADGESDDAKKGMFVKGYTY >KVH97589 pep supercontig:CcrdV1:scaffold_15:840844:841350:1 gene:Ccrd_000257 transcript:KVH97589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNYASVCSLMISPIMKSNKAARVIFPSGEIRQFRECVKAAEIMLECPSFFLVNSRSLNINRRFSPLSADEDLEAGNIYIMFPMRRVNSMVTPADMAVFWIAGNSAGKRISGRISPELSTGGGVREEVQVEEQPRLVVDTPEFSFRLAVCRSRKPFLDTITEEPICSR >KVH97582 pep supercontig:CcrdV1:scaffold_15:207648:210936:-1 gene:Ccrd_000300 transcript:KVH97582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKLGFSFHFNQIKLSIHLPPSVIYLSSLLVGEIIVSREDMAVVDQKEEVKLRKSKNMDIVPTTTLATIESLTFPLVQEVVFLADFRCKRCQDRVADIVSRLNGEADSVEISFMEKKVIITLTRRPPRGAKMSENQLQHAAIYKNTTNKSSLVKRMFRSSST >KVH97604 pep supercontig:CcrdV1:scaffold_15:388096:390715:-1 gene:Ccrd_000289 transcript:KVH97604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAAGSNIIPKSHPSWFFTFYHLFLFSFTFTFTFSFSFSFSSLHIRFISSGAASDFQLFLILVQAEGCYFEQKRRNMNHCGIQQKNTFASSCDEMRNAVSVSVSFDKGDHMVCPKPRRLSLFNTPMNEPVRHLRWHMCYQPEPYESKAGIELLEIIFEKGGGYSASEKTCTEAASSPPFFSGSPPSRVSNPLIQDSRFGDEKVSPVSAHSIIPVPASGRSSLSPSSYEREVGSIGGNFGNKPAVRIEGFNCLNRDNGRNCSISALA >KVH97613 pep supercontig:CcrdV1:scaffold_15:764129:773293:1 gene:Ccrd_000264 transcript:KVH97613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MSLDRAVERGKDSSLPPMSMALVAVSNGNGNGTGPATSNASYRLRLNPNQDHKPDNYEDLRLEFTPLLFSSLERYLPPNLLNVPRDTKYKYMRDILRRYSTEGERTRDQKHREYRQKIISNYQPLYRELYTLNPATFFVQSFVKAFSASDKNKDESIRSIMSEPTPGVYTFDMLQPRFCEMLLSEVENFEKWVHETKFRIMRPNTMNKYGAVLDDFGMESMLEKLMEDFIRHISKIFFPDVGGYSLDSHHGFVVEYGMDRDSSKFLTAASAFYLDQNTHISSFIGFHVDDSEVTLNVCLGKQFTGGELFFRGVRCEKHVNSETHAEEIYDYAHFPGRAIIHRGRHRHGARATTSGHRINLLLWCRRELKKHQKEFLSWCGECQREKQARLLQSVAAKKMELLLVEGDAAS >KVH97623 pep supercontig:CcrdV1:scaffold_15:584585:588170:-1 gene:Ccrd_000278 transcript:KVH97623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MVEKSKSKSDSTMPSSKFTTQFNLSKCTLNNGNSLEVAGDTELNFWPPSTAVVDRLILELKAKDGDTEANWEKIMVDFKKELDAEEQFKPPLLVSDVINNSGGGDDGMEKGVSARAKFSVPLSRREMEKDFEDMGERRLPRKPKKRPKSLQNQLDTLFPGLWLTEINADLYRVSDTKKR >KVH97607 pep supercontig:CcrdV1:scaffold_15:740410:747937:1 gene:Ccrd_000266 transcript:KVH97607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLDILYRYSTEGERTRKKGLACGPNNSSTFLHQLAQFKMVETAQRTFVNMIASGFKPNLWTYMPIINMLCRHALVPEAELYLGRMLKFDVCPDVYVFTSLILGYGRYKHIKSGFRVWKEMTDQGITPNALTYNHLINALCHVVRVDDALDMLNTMIRNGIESNTRTFTLPISSMCEADMAMRLWIWWLL >KVH97593 pep supercontig:CcrdV1:scaffold_15:881700:883274:-1 gene:Ccrd_000253 transcript:KVH97593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASSQILKRSTRTFEIFQSSIHSSSSFPLISTISSWNAVPTHDFPSSLHLYPRSFCKRNIDNPDAFNHQIITSEVENLCKLISNHRDPSQLESLLNSTQIELSPSLVVEVLKKLSNAGVFALFFFRWAEKQSGFKHTSDTYDALIEALGKIKQFKVIWSLVNDMKIKGVLGKGTFALISRRYARAKKVKEALEAFEKMEKFGLKPELRDYNRFLDTLCKSRQVESAHQLFDKMKNRNFKPDTKSYTILLEGWGQELNLLKLDEVYREMKADGFEPDVVAYGIIINAYCKSKKYDLAINKLNEMRSKNLDPTPHIYCSLINGLGSEKRLSESLMFFEASKSCGHPPETPTYNAIVGSYCWSMQINDAFRVIEEMRECRIGPNSRTFDIILHHLIKGRRTKEAYNVFRQMRDEFGCEPSVSTYEIMVRMFCNEGRFDMATSVWEEMKDHGVLPGMHMFATLINSFCREKKLQDACKYLEEMLDMGIRPPAQMFNNLKRLLVDEGKEEMVTILTHRLEKLRTLLVVS >KVH97628 pep supercontig:CcrdV1:scaffold_15:297111:300167:1 gene:Ccrd_000293 transcript:KVH97628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein HETDERLRVHITDAQQQRWEVPYDLLPREQPPPPSIGRSPGTTITATEYAGSELIFSYITDPFSFAVRRKSNGETLFNSASDESSPFNPLVFKDQYLEISTSLPKDASLYGLGENTQPHGIKLYPNDPYTLWTTDQSAINLNMDLYGSHPVYMDLRNVDGKANAHGVLLLNSNGMDVLYRGTSLTYKVIGGVFDFYFFSGPSPLAVVDQYTQLIGFHQCRWGYHNLSVVEDVVQNYRKAEIPLDVIWNDDDHMDGHKDFTLNPKTYPRPKLLDFLNRIHSHGIKYIVINDPGIGVNSSYGTYQRGLANDVFIKYHGKPYLAQVWPGPVNFPDFLNPKTVSWWADEIRRFHELVPIDGLWIDMNEASNFCSGLCKIPEGKQCPSGTGPGWICCLDCKNITKTRWDEPPYKINASGTPVPIGYKTIPTSAVHYNGVLEYDAHSIYGFSETIATHKGLLGLEGKRPFILTRSTFVGSGRYAAHWTGDNKGTWDDLKYSVSTMLNFGIFGIPMVGSDICGFYPAPTEELCNRWIELGAFYPFSRDHANYYSPRQELYRWKSVAKSARNALGMRYKLLPYLYTLSYEAHTTGSPIARPLFFSFPNITKLYGLSTQFLLGSSVMVSPVLDKHQTKISVMFPPGTWYNLFDLSRGIVSKETESFSVDAPLHVINVHLYQNTILPMQRGGMVSKEARTTPFTLIVTFPAGATEGEAKGNLYLDNDELPEMKLGNGQSTYIDFTAKVEKGVVKVWSDVKESAFALEKGLVLEKITVLGLTGVGRGFSVEVEGITLVFNISKLELVETEHDFLDELKGKGNKKSRMTEVKGLDIPIGKKFSISWKMGIH >KVH97635 pep supercontig:CcrdV1:scaffold_15:228633:232730:-1 gene:Ccrd_000298 transcript:KVH97635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein domain-containing protein KTIISPFLSFLRNLKHTKFAGFLGDAETLEDSDTNSMALLSYFTSNRDRENVRSRKMNNDDDDYKDAATGTPLSTIAQAFEEISDLIKKGNDKGISVDLYLKPFCEACSLVSVLFGCLGIAFKFAEMEYTAKVRDLLDASKHFGTLSSVVDFDLQNNTVKSPGSHTRNLRRVRQGLDLIRELFQNFLSAENHSLKKAATTAYQQVCAPYHTWAVRTAVSAGMYKSAEKEMRRYIKASLAVIENIDTLYISRGIKLDW >KVH97622 pep supercontig:CcrdV1:scaffold_15:558038:564022:-1 gene:Ccrd_000279 transcript:KVH97622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MDRSKPLHNFTLPPGLTWGRQRFLRCMNVNPIRAMPAVDDGESSDSGEYNENLSAGNHRVELEEFERARRGRSSRDSRRRLRFKLPSMSPSPAVEIGSVRKDVSGDGDEISVTREKLVMDFQTEVGKLKEAILKNNTSDDENSPMKVSPSPYEAEPNSRPVNSILKQKSTYNAPPPDVIRAGRGGINPEVDDPKKSTRPKRNLEKKEEKVRFSIALSRKEIEEDFIAMTGKKPPRKPKKRPKIIQNELDAVFPGLWLSEVHPDRYKVNENGKAISRLSFHLTPKMRTKLIMRTLALEPATSNVKSHPPPRLDSSSYMNVSRRFHIHAREGGGEGENPRDNLSQNLVKGEAGRLKEVLMVLSGKRRGGGEREAVEEEEEEMERLKPLHNFDLPCLKWGNQRLLRCMKVDSKGEVSAMDRKQSSESGGGRGGGSVIGTRRREMELERRYRSSDDRKVESYYKCPSSEKLKARAGDGEIEATREKLMFDFQTEVGKMKDAILRESFVVPPPAPATTTATTSTSPAERPWNLRTRRAACKAPSSSNGVNGNGDIVKPNVSPVRNECRSPKSRPVGGGATATTSGEKRERPKFSITLSRRELEDDFTAMAGRRLPRKPKKRPRIIQKQLDTLFPGLWLTEITADLYRVPDEIETGKVIIRSTY >KVH97612 pep supercontig:CcrdV1:scaffold_15:778684:782797:1 gene:Ccrd_000263 transcript:KVH97612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kri1-like, C-terminal MGMKLFDDGGSDSDGGVGDISKIEINEEFAKRYEHNKKREDLQRFEELKKKGIIDSDVDSDEDDESSEDEEDLVKHSSKHDLKFFNALIKVRNQDPSLKNKDAKLFDSDNEDDDDGDEAANNNKEKKKKPMYLKDVTAKHLIEEGPEFEDEDEDKNKNKKKSYFEEQELLRKEFLDAVADENDEGEFLKEKNDDGEEDDDDDREYEKKLDEYFEEDEKLDENEKFLKDYFRKKMWLDKNNGGNKGLDEDGPDVMEDEEELERQEDYEREFNFRFEENAGDRVMGFSRKVEGSVRKKDNARKLQRKNKEERMAQAEFERKEELKHLKNLKKKEMNEKLRKIRETAGIGEDEVCLLDEHDLEEEFDPEEYDSKMKKAFDEKFYNADDVDPDFGSDAEDGELEKPNFDEDDDLLGLPKGWDDQYGSSDGFLAARQRILKSKVDNGGDEEQPQDEEETIPEEGKKKRKRRSSQLEEEVIAKELEEYYKLDYEDTIGDLKTRFKYRTVNKNTYGLKAKEILAVDDKELNQLVPLKKLATYREDEFIVPRHKIKEHKQRIKALLKGETSDGPNNGKKQSKHDVEKSTQQVDDAESEKPKLEARNGDQKVLSRKQRRKQRLSEFKLPPSRLLAYQKIKDPGSLIKSW >KVH97591 pep supercontig:CcrdV1:scaffold_15:893069:896161:1 gene:Ccrd_000251 transcript:KVH97591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MTKDEDFKLLKIQTCILRVNLHCDGCKNKVKKTLQRIEVDASFEDDEDLRFLRGKANQMGFLKQNQQQKQQESANNNPRNSKNKSQPTDGNGKKGQNLGGQKGNSVNLNEGKRVNELSSMTNGGIRGFEMPQNSGLAMAAAAGGGGGQHMMLTMNGVGYNQQQQEEYNHPAASMMMNLQNRQAMQQQMMMMNQRSPVMPPTIGHCYYNYNYNTAPYTYNEPPHGYYYTSDENTSSCSIM >KVH97602 pep supercontig:CcrdV1:scaffold_15:540441:548572:-1 gene:Ccrd_000281 transcript:KVH97602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FKLVVRLNVQNDLDEDFSCSSSSSSSLSEISSLRRFFCMPVASSPDVVGSRKRFSGFLIWAGRSMPSKNNSSADVTCCTISDVMQKSKVVVAAATVSAVAWLSDDWLLRSWEKEILGLIKDWDRWHSRDNGGCDFSGLVFNYDVYRALSQVSSRVSYFAVIVSLALLGICRVFGRIWSGKTIAMESDEQRLELEAGFSILVGCGDDDAIIRVCSACNIELKLF >KVH97581 pep supercontig:CcrdV1:scaffold_15:197424:199164:-1 gene:Ccrd_000301 transcript:KVH97581 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic STTISSSPQIRKAVCRRFSFVLVCLQPYLKASCFHTYRPEIKQKAMGRKLDAILGRKFKTSKFKATVNLAVSRLSILKNKRQACVAVVRSDIIELLKLNHHEHALQRVDQVIKEQNMLDVFVMMDGYCHLLLQRVSLIEHEKECPDELKEAASSLIFAAPRCGEFPELQEIRAILTSRYGKEFAKGATDLRSNCGVSTRMIQKLSPRQSTLETRMKTLQEIATENGIVLQLEDTSPVINEVAEVLSVRNGSSSRLQNNSEVLDDEVLGFSESRKGKKKFRDVEDAAQAAFESAAYAAAAARAAVELSRSGSFGSDDPDSPNSRPRKVRDSEKSKLHVRYEKNHEWESESESESKHKETVVENEGETSKNHRLARDSDGSDSDGHKTSEKGMVFDESDDEIENEPAGFSSLGNYNGGLKTEGAFTSDKQFPIRPKNAEMGLGIDERRFGEGSEIAQLDLTKKPISVRNKRVYGR >KVH97619 pep supercontig:CcrdV1:scaffold_15:107865:111858:-1 gene:Ccrd_000311 transcript:KVH97619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMALQCNPLLQLSHKFNKTTSRYHFSPLISNTHFLSIRKDPLFITPKLSNTRVVSALISEEKVAESSFSGTDAFKLTYLEGNSWLWEVGGVKILVDPILVGNLDFGIPWLYDASKKYLKNFQLTDLPEVNCLLITQSLDDHCHLKTLKPLSQKLPNLKVIATPNAKTLLDPLFNNVIYLEPGENSEIQTSEGSYVKVRATAGPVLGPPWQRPENGYIVTSPRQKLCLYYEPHCVYNDEIIGKEQADIVITPVIKQLLPSFTLELLSKDLPDAKILEPVPGVPLDISPS >KVH97580 pep supercontig:CcrdV1:scaffold_15:186995:193819:-1 gene:Ccrd_000302 transcript:KVH97580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSMVDEPLYPIAVLIDELKNDDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGLEHAHVLLPPLETLCTVEESCLRDKAVESLCRIGSQMRDSDLVDWFIPLVKRLAACEWFTARVSACGLFHIAYPSASEMLKAELRSIYSQLCQDDMPMVRRSAATNLGKFAATVEPSHLKVDIMQIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQLGVGFFDDKLGALCMQWLQDKVYSIREAAANNLKRLAEEFGADWAMQHIVPQVLEMVNNPHYLYRMTILSAISLLAPVMGSEITCSKLLPVVITLSKDRVANIRFNVAKVLQSFITIVDQSVVEKSIRPCLVELAEDPDVDVRYFSKQALQAIDQVMMST >KVH97616 pep supercontig:CcrdV1:scaffold_15:34832:35887:1 gene:Ccrd_000315 transcript:KVH97616 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDRNCTDDQSTTSETTSTLAPPVSTPEMISLCRVGSGASMVLDPEGGLEAQSRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAAKAYDVAVQRFRGRDAVTNFKPLVADTKEASLEASFLSSHSKAEIVDMLRKHTYNDELEQSKRNCNLDKTSSRDGGCSGSGVVKPREHLFQKTVTPSDVGKLNRLVIPKQHAEKHFPVQRGSTSKGVLLHFEDSDAKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDTVNFQSSTGLNKQLYIDWKSKSGSGNTDPQAIQVQPATKCVEPVQMLRLFGVNIKSVSSCNGNRRSNTEMDLLGFQSCKKQRIIDVL >KVH97598 pep supercontig:CcrdV1:scaffold_15:519190:524164:-1 gene:Ccrd_000285 transcript:KVH97598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MYGSFWCKHITPRKVVHRLQSVKVAEEDELTKKSDIGVAVDMESQKKKVMVAIDESEFGSYALEWALQNLHDSMANKELLLFTVQPMSDYNYLSASSYGSTPPELIGNLQEYHKKVALNLLNQAKDLCSKYGIAAETVTEVGDPKELICEAVEKFKIQLLVLGSHSRGALKRVFLGSVSNHCVQHVKCPVLVSSMKTKVMVVIDNCEFSEHALSWIFQNLGSTIVDTELLIYTARIPVDISYLYASSWATAELMKELKDSEKKAAIDLLKKAKTICSNHGIPAEGMTEVGDPKVVICNAVENLKHSVARGRQSWWGSCYEVSLHSVAICNEKTLLGSVSNYYVHHAKCPVLVMNTTD >KVH97610 pep supercontig:CcrdV1:scaffold_15:795373:795861:1 gene:Ccrd_000261 transcript:KVH97610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MATEKSKLSVFPTDREEVKGIFNRFDANGDGKISEEELIHVLKALGSDTSPDEVKRIMSEVDTDSDGFISIDEFVGFCKGIARESEGDGIDDLREAFKLYDLNNNGLISASELHQILTRLGESYTVDNCANMIKSADSDGDGCVDFEEFKKMMSKNSSDGAR >KVH97636 pep supercontig:CcrdV1:scaffold_15:948107:948208:1 gene:Ccrd_000247 transcript:KVH97636 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MESSQESSSYYSILGVSMDSSDDQIRRAYRKLAM >KVH97642 pep supercontig:CcrdV1:scaffold_15:619912:620879:-1 gene:Ccrd_000276 transcript:KVH97642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MPNRQVHLMWDPFYVVGPLPKFTFAFSSLLVESTKTPSGSSDSGGGFPPSDGRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQMQASRNAAVSYIRNPIISAFAPPPHLLTHSGPMVIPSSAVASPSWVYVPRAAPAFHVSHGCVLPSGSSSPSGGRGPGSLYYAGSVGESSLTSVGPQPNNRAHHEDGPSLSRFSRGDGGPTFDDAFGLDLHLSL >KVH97630 pep supercontig:CcrdV1:scaffold_15:247495:255014:-1 gene:Ccrd_000295 transcript:KVH97630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock chaperonin-binding MKVFVKTLKGTHFEIQVKLEDTVADVKKNIESVQGPDVYPAAQQMLIHQGKVLKDGTTLEENKVSENSFIVIMLSKSKSSSAEASTAAAAPKEPQTSTPPPAAPVPATPQPPATVTPPASVPLSTPASDTTSVVNAPSENVYGQAASHLVAGNNLEGAIQQILDMGGGTWDRDTVIRALRAAFNNPERAVEYLYSGIPEAAELPPVAGAPAVPGVQAANPPIHPLQAAQPAAPPPSGPNANPLNLFPQGLPEMGENAPAGAGGNLDFLRNSQQFQAFRAMVQANPQILQPMLQELGKQNPQLVRLIQEHQADFLRLINEPVEGGENVLGQLASGMPQAVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFED >KVH97576 pep supercontig:CcrdV1:scaffold_15:137132:137759:-1 gene:Ccrd_000306 transcript:KVH97576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0016 MKKKNRPLLTQFFSPIFLKTFYITVLGEWDDKSQVNINSEVFIICNEYDFECLDAHQMLIFGLAAAENPLGVVLGGISASIVSDCCLFGGKSLATHKSERFVALSGGVLFIVFGIQSFFSTIES >KVH97641 pep supercontig:CcrdV1:scaffold_15:602470:610107:1 gene:Ccrd_000277 transcript:KVH97641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MAEGREFEFQIYNSMTKQKEKFNPIVPGKVSMYVCGVTSYDFSHIGHARAYVAFDVLFRYLKHLGYEVKYVRNFTDVDDKIIKRANEIGEDPLALSGRFCEEFLIDMADLQCLPPNEQPRVSDHMDQIRDMIEKIISKNCAYAVDGDVYFSVDNFPSYGRLSGRKLEDNRAGERIAIDSRKRNPADFALWKAAKPGEISWESPWGPGRPGWHIECSAMSAAYLTEKFDIHGGGMDLIFPHHENEIAQSCAACPNSNISYWMHNGFVTANDEKMSKSVGNFFTIREVTKLYHPLALRHFLMGTHYRSPVNYSIGQIEISSEAVFYIYQTLEDCESAISQFQEGSQKDGAVSSGKKYPITDDAKKCIKNLQSHFEEKMSDDLHTPSILNAALQDALRLLNKILSLLKKKLQKPQKLSNIQSLIELEKEVRDVLDVLMQLKEKALKRAEISEEDIFQRIEERALARKNKNFKLGDQIRSELTCKGIALMDVGNETIWRPCVPTEPSAGLEEKQGGTATSADGQLQVPPKTSDSQQSADVVKA >KVH97643 pep supercontig:CcrdV1:scaffold_15:649724:664693:-1 gene:Ccrd_000275 transcript:KVH97643 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MGGSKTEGGTSSSTPLITNRRDPYEVLSVSRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDNAGFEALDAEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVEKQCAHFFGVTISEEQAQAGIVVRVTSAAQSKFKLLYFEQDNGGGYSLALQEDSEKAGKVTAAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKKLEGLQPCEVSELKSGTHIFAVYGDNFFKTASYTIEAVCATTYEDTTLKLKDIEQQILRKRTELRQFETEYRQALARFQEVTNRYSQEKQNVDELLKQRDSIQSSFTVGRSMVVGGGGGGGQVSNGGGGGGNGKVSGEGESSSSPGAGEEGSSDSKAKKKWFNLNLKGGGGSSDKKSG >KVH97594 pep supercontig:CcrdV1:scaffold_15:886032:889106:1 gene:Ccrd_000252 transcript:KVH97594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVGTLAKSPTFAKEPRRLQFEADINRLFLYTSYNRVGKDAEEADVEEIINMASKAELADQERQVQENIHSQITNFCTSMDEILLPDIKAHDEALESSQKNTTPRQSGLSLAIGRTASASMDLAIPETKPLTFAELSQRLKELMGYTLELKPSQIPHEQAGRGLFVDGEADVGSVIALYPGVIYSPAYYRYIPGYPRVDAQNPYLNTRYDGTVINAQPWGTGGEAREPWGQSRVYQSNPGSQPSETGSDRVWKMLSKPLEGSRLGCNGEVLERRNPLALGHFANHPTMGMDPNVMVCPYDFPLSEKGMRAYIPNIVFGGTGDVKMKRFGSFWFKSGGESSDGQMKGPVMKSIALVATRSLCNEEVYLNYRLSNSKRRPLWYTPVDEEEDRRRWS >KVH97621 pep supercontig:CcrdV1:scaffold_15:67858:69325:1 gene:Ccrd_000313 transcript:KVH97621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP6 [Source:Projected from Arabidopsis thaliana (AT1G13290) UniProtKB/Swiss-Prot;Acc:Q9FX68] MQTESSFANMSTTSESNGYLEGDEVDEDSILLSLCLPGQPQHHDVVSKSNYHHSNISSPNHYSSHRNPDKDLNLNLNLNASIDSGEDGVTVALHIGQPPTADGGGTSSFSPNPNPNISIAPEHLQYWIPTPAQIMVGPTQFSCTVCNKTFNRYNNMQMHMWGHGSQYRKGPESLRGTKPASSMLRLPCYCCAEGCKNNIEHPRSKPLKDFRTLQTHYKRKHGEKPFGCRKCRKPFAVRGDWRTHEKNCGKLWYCVCGSDFKHKRSLKDHVHAFGNGHAPHYTSEDLIEVDENENVGEEQEDKRIGGSFPKFS >KVH97595 pep supercontig:CcrdV1:scaffold_15:516878:519121:1 gene:Ccrd_000286 transcript:KVH97595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase C/P1 nuclease domain-containing protein MESFKPVALLLLCLLSVTTTVTGWGVDGHFATCKIAQGRLSQAAADAVNHLLPEYAEGDLATLCSWADHVKCVAGAINNYTTQLLDYGKQTSQYNLTEALLFLSHFIGDIHQPLHVGFTTDRGGNTIDVHWFTRKAVLHHVWDDSIIETAEERFYNSDVETLIDAIQINITNEWADQVQIWERCSRSQTTCPNIYATEGIKAACNWAYKGVGNDSVLGDEYFLSRLPIVNWRLAQGGVRLAATLNRIFG >KVH97638 pep supercontig:CcrdV1:scaffold_15:904430:911772:-1 gene:Ccrd_000249 transcript:KVH97638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MGVPDNTLLDLIEKVRSWIYWGRSDLTSMSVEVKMADGVSAMCCQCEMSVSESCLGYHCRRCSRLFCESCVQGYGSFVVVESKSGTQAGLNIRSCKFCADFDVKQKFGGKFSHKIHPSDSPRQSPEPPSPNYVHERFDGSSLQAVSVRRSLSSFSGDCRSDEDEAEDSSKNIFSPYSRDTSDVDSSSVNTRHEFYSFMSVGSSPSDSPSRSHFTSSRRRHSVQLEDQGTPRSLNDGCFDQEPVAVLKSHQHGTEEPDNTTNDDLSIFRDQYETSHKPLDLEKNGLIWFPLPPDDEETSFFSYADDDDDDEMGATSAVFSSSDPLMSLLPENGKNMEHKKPLENVVQGHFRALVSQLLQSEFTAADEWLDIITSLAWQAANYVKPDTSRGGSMDPGVVCTKNIKHKRMTSQYKNPRLLILGGALEYQRSHNQLASIEALLQQEMDHLKTIVSRIEARRPNVLLVEKSVSSYAQEYLLAKEISLVSNVKRSLLERIARCTGTPITPSVDKISTTRLGQCELFRLEKFSEVHEAANLSTKKPSKTLMFFDGCPRRLGCTYAVFAAYHLSLETSFLADEGASLPKTTVKPNIAVPERSNADNAISAISSSTVLSPSEVANSPRFEGHDLVVDHQNSDLMTDGGVHTVSDPLDGGGALECSRLNVEEIISIESTELEKVERLEVIDGSNEYYSATDNNQSILVSFSSRCVLNGTVCERSRLLRIKFYGCFDKPLGRRLPSLKLPGEKDGKIWMWHRCLRCAHVDGVPPATHRVVMSEAAWGLSFGKFLELSFSNHATANRIAGCEGLYDEILDVLHRTEQKSISDGDGILDSGELSKHVKELKEMLIKERNVYTDLLQPCGEESVEDHRDEIDVFELNRLRHSLMIGLHVWDRRVSSLNSIYNSKPTYDAGSKVEVKHRRCFSTFEHTVDHGRAADDSEPNQIEETDGSPRDFEESESISSDQFEEGHISAASFLSDKIDSAWAGPLRVASHNSPTDGHESSLSRQRNQSHNPHFRRLTSTPARVYSFDSAITLQERFTKELPFLTLRSFQDPNCNIHRSYSQNFPSEDPKSEFLRGTKPLFISATSFLPEGARLMLPYGGQNAVIAVYDNEPTSIISYALASKEYEEWFADKPHLNRNHSVASKLSAWQSFGSVDLDYMQYGNYGSEDPSTAVSLFTDAKSSHHLTIPFEDESGPGGKVKFSVTCYFAKQFDALRKKCCPSEVDYMRSLSRCKRWSAQGGKSNVYFAKSLDERFIIKQVTKTELESFEEFAPQYFKYLTDSLNSGSPTCLAKIVGIYQHLKGGKEAKMHVMVMENLFYKRNICRVYDLKGSARSRYNSDMTGTNKTLLDMNLLEALRTKPIFLGSKAKRSLERAVWNDTSFLASIDVMDYSLLVGVDEESKELVLGIIDFMRQYTWDKQLETWVKASGILGGPKNASPTIISPKQYKRRFRKAMTSYFLTVPDQWSS >KVH97640 pep supercontig:CcrdV1:scaffold_15:704897:709864:-1 gene:Ccrd_000270 transcript:KVH97640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAMIQFQSHNSTAVHVPSPLHMASSSSTALRRLCFQLPFRRLPPVPSLRLTSVVRIRAFSTHGTGSEISVTGEKVTNKPPICTADELHYVSVKNSDWKLALWRYHPSPQAKPRNHPLLLLSGVGTNAIGYDLSPEFSFARYMCEQGFDTWILEVRGAGLSVQGSNPKEIEQSAHAISDQMEAVAVSGAEKVLSTSQSSASNESSPKETGVAMINQDPTGPTTEREDAVLVTKLTETFIILSDRVSGFLSEGQSRIISAKLFDQFSKLVADSFLAERFDEIRKNLLSLLEVRQNSAVASQIRDLSQKLIGIIEEGQRTVSPPLFDLQERLSTTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAADPAQALNVPIVPLGALLTAAYPLTSRPPYVLSWLNHMISAQDMMHPDLLKKLVLNNFCTIPAKLLLQLASAFREGGLCDRSGVFFYKDHIHKINIPVLAVAGDQDAICPPEAVQDTVKLIPENLVTYKVFGKAGGPHYAHYDLVGGRLVVDEVYPCVVQFLSHHDKM >KVH97596 pep supercontig:CcrdV1:scaffold_15:449102:450040:1 gene:Ccrd_000287 transcript:KVH97596 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA delta(2)-isopentenylpyrophosphate transferase MEPSTIAAAPTTTTRRRKRKIVVIMGPTGAGKSRLSIDLATRFFENSEIINSDKMQVYRGLDITTNKITMQEQLGVPHHLLGAFDPTKSVITTSEFRKVASEIISDIKSRRGLPLVVGGSNSCIYSLVTKRYDPKSDVFNGPDPHPVSSELRYECCFIWVDVCLPVLNQYLSKRVDEMLDSGMFEELAEFYRSGEHMTVNRSGLGQAIGVPEFETYFRRMESSDSEEDVMGQGEAYDEAVRRIKDNTCQLAKKQVSKILRLRDAGWELKRIDATEAFRGVISGESGGARVAEIWEKQVVEPSMKIVKHFLEE >KVH97633 pep supercontig:CcrdV1:scaffold_15:241350:243862:-1 gene:Ccrd_000296 transcript:KVH97633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MISYLTQQLHMPMTKAANTLTNFGGTASLTPLLGAFVADSFAGRFWTITVASIIYQIGLVSLTLSAVLPKLRPPPCKNGEICQEANTGQVAILYVSLLLTAVGSGGIRPCVVAFGADQFDETDEKQKTSTWKFFNWYYFSMGASMLVAVTVIVYIQDNIGWGWGLGVPTIAMTVSVITFVIGYPLYRNLNPAGSPFTRLIQVCVAAYKKRNLPMVSDHKLLYQNEELDASISVSGKLQHTKQMKFLDKAAIVTKEEYAKSQSNPNLWRLNTVHRVEELKSVLRMGPIWASGILLITAYAQQSTFSLQQAKTMNRSLTSSFQIPAGSMTVFTLISMLTTIVFYDRIFVPITRKLTGLERGISFLSRMGIGFAISVLATLVAGFIEIKRKNAAFAHGLTYKPHETIPISVFWLVPQYSLHGVAETFMSIGHLEFFYDQAPESMRSTATALFWMSIAGGNYASTLLVTMVHKLSAGHDGSNWLPDDNLNTGKLENFYWLITLLQVLNLIYYLFCAKFYTFKPIQVAQQNDGIEVGNNV >KVH97608 pep supercontig:CcrdV1:scaffold_15:745336:746694:-1 gene:Ccrd_000267 transcript:KVH97608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEQYKARLLRLVQSGRFEDTDIDQLRVLIIKSCKTKEQLTDIVDFFDDLRKKGLAYGPYSSSTLLHQLAQFKMVKTAQRTFVNMIASGFKPNLWTYMPIINMLCRHALVPEAESYLDRMLKFGVCPNVYVFTSLILGYCRDKDIKSGFRVWKEMTDQGITPNTLTYNHLINALCHVGRVDDALDMLNTMIGNGIEPTARTFTLPISSMREADMAMKTLDLVVIMRKRGCPPNAEHYSPLIGGLFKISQPKLAIGVHHHTVKSRMIPLNTSTYNDLLHGLRTTRMFDAALMNFDWMEGHNNLSNSITYGEIIRVWCLIGNLNKGMMLLSKMTNVDPLPTVVSYNILMYGCIEQMDLQNAVRLLSLMKENGLKPDQRTYVVLINGFCKAGDLDRGLALFGEMVEQKVIPNEYHYTPLIDAHIKQDQVEIVLFLLREEGCRPEAEVYNSIIDCFL >KVH97588 pep supercontig:CcrdV1:scaffold_15:873548:880647:1 gene:Ccrd_000254 transcript:KVH97588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MGAEKKWLFTLFFAAFISLLLFLSSICGFSSSYAFTSHKPFSAVHRGRGYPPAFAYYITGTRGDADRIFRLLLAVYHPRNRYLLHIGTEGSEDERRKLSVLVKSVPAIRAFGNVDVIGKPDPTTYMGASNIAAILRAAAIFLKVDGDWDWFITLSALDYPLLTQDDLSHAFSSISRDANFIDHTSELGWKEDQRILPIVVDPGLYLARRTQIFRATEKRPMPNAFKDLPGRSFLEYCVFAWDNLPRTLLMYVNNVVLAQEVYFHSVICNSPEFKNTTINSDLRYMVWNNPPKMEPLFLNKSNYKEMVQSGAAFARQFGKNDPVLDMVDQKILKRSGNRPSPGAWCTARRSWFVDPCSQWDDVNVLRPGNQVKKFEESLKNL >KVH97618 pep supercontig:CcrdV1:scaffold_15:113138:116054:-1 gene:Ccrd_000310 transcript:KVH97618 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRO1 domain-containing protein MLHFKDPSKLRTKKIVFEAVYPAQDSGTLEQLKELSSKRVNVESINENNSITDAIAREMSGGLTSQCEQDIQKLELYLPLLENLVQHVDLIGDDPLVIRWTSDLKIRWTSVLSSSFFFNLMGPRFFQIDNLRFELGIVLFLYGAMLREWASQLLVTDLVQSATLFRKAAGVYSYLAHEVLPSLEGALTPEGPPEATSSVSSVMSFICLAEAQIVTIMKAEEKRSADGLLAKLHYGVVQLFDEATDFFSTAARECKDISPSLMVWDYISFSKGVHELRSYKYMAQALKTDGQIGTAIGLLQHALNNVQKNVGGMESWRTVMKQDIDTVIELLRKYKHENEFVWHEKVPFDDHLPLLQGKKIATCIPYRPERWERTLALKI >KVH97617 pep supercontig:CcrdV1:scaffold_15:3165:6093:1 gene:Ccrd_000316 transcript:KVH97617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med22 MNKGGAGGGTSGAGGPTAAAAAAAAQKQKSLQQRVDNDIGSIVDNFSIVVNVARVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRSEELNKQAEKTDRILGSIGEDAAASLKEMESHYYSSVVRSNQHLQQ >KVH97575 pep supercontig:CcrdV1:scaffold_15:134751:136788:1 gene:Ccrd_000307 transcript:KVH97575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MALDRGPGMAVMVISWCMTLHTMWLLIQLHESTPGIRFDRYIDLARHTFGPRLGPWIVLPQQMIVIVGCNIVYMVTGGTCLQKFIEMVCTDCTRLRHSYWIIIFGSTHFLLAQLPDFNSVSGDSYSTIAWAGSLSKGRQPDVSYAYKKTSGADSIFRVFNALGQISFAYAGHAVALEIQATIPSTLEKPSKVAMWKGAIGAYFINAICYFPVAFIGYWAFGQDVTDNVLVALQKPSWLIAAANLMVVVHVLGSYQVFAMPLFDLMEKGVMKKLKVSSGIPLRLLVRSSYVALTLFVAVTFPFFGDLLGFFGGFGFAPTSYFLPSIIWLILKKPKRLSTQWLVNWVGIFIGVFIMLASTIGGFRNIIADSSSYEFYQ >KVH97620 pep supercontig:CcrdV1:scaffold_15:91854:94206:1 gene:Ccrd_000312 transcript:KVH97620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVFDNNSSDFEEKMQRCREYMEALESERRKIQVFERELPLCLELVTRAIEGCRQQMSGTTNDYFNGQSECSEQTSSEGPVLEEFIPIKRTSSADEDDQEHQHQHQEPNHKPMNICTKDKSSSSSKKSDWLRSAQLSIQTPDPVIGFLRNFQELSPKRVPVVEVKRNGCGAFHPFKKEKNPEAAPAAGTAKLSTTPATDPTAAASSTAETGSGSGGCGGSKSEDKGQSNRKARRCWSPELHRRFLHALQQLGGAHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPTIHNNNPQTPQFVVVGGIWMPPPEYTTMAATSTPTSGDTNNSKGVYAPIASHQPSIAEASVSLNKSNSGEKDGGHFHLQESPSTSSSTHTTTASPIF >KVH97597 pep supercontig:CcrdV1:scaffold_15:525599:529019:-1 gene:Ccrd_000284 transcript:KVH97597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MSQAFFSSGHVILPASRVKTSSSVGLHLPSSNVHLLRRTNHRLQPRLRSLTPPIRSCTNLLFDIKSGKGMSGFHEVELTVRDYELDQYGVVNNAVFANYCQHGRHQLMEKLGISIDSVARTGNAIALSDLSLKFLAPLRIGDRFAVRVRISDSSAALWLDKNYRPIRIPPEVRSKFVQFIRHDDSK >KVH97579 pep supercontig:CcrdV1:scaffold_15:178920:179954:1 gene:Ccrd_000303 transcript:KVH97579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MGIISLDSTLSLPMATQNPRVVVEEIGGLIRVYKDGHVERPHIVPRVMCTLPPELGVTCRDLVIDKFTKVWARFYVPKRNEKLPLLVYFHGGGFCVGSAAWSCYHEFLAILATKVGCVIMSVDYRLAPENPLPAAYEDGQKALMWLKQQALSASSNKWWLNHYCDFSSVFLGGDSAGGNIAHNVTLRLGADWAQLKPLILKGNILIQPFFGGEARMSSEKFMVQPPGSALNLEASDTYWRLSLPKGANRDHEWCNPGAKRSMKWERMRYMVCVSEMDILKDRNMEFGGLLRNIGIEVEDVVYKGVGHAFQILDKSQVSQVRTQEMIADIKAFININININRNRR >KVH97632 pep supercontig:CcrdV1:scaffold_15:235059:240887:-1 gene:Ccrd_000297 transcript:KVH97632 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MSVADDSPVNSSSSDDFASFLDTELDSTSDTSPEPEEEANETYHSDGNRTKRQKIEVLESVTDANDSTPQHETTKTLEASMKDICTHPGVIGGMCIKCGEKMDNQSGVAFGYIHKDLRLANDEIVRLRDRDLKNLFNQKKLCLVLDLDHTLLNSTRFMDVTQEEGYLMNQSDPMQDVLRGTLFKLDSMRMLTKLRPFVHTFLKEASKLFEMYIYTMGERAYALEMATLLDPGKIYFDSRVIAQSDCTQRHQKGLDVVLGQESAVLILDDTEAVWVKHKGNLILMERYHFFASSCKQFGYRCKSLSELKNDESEDDGALATVLQVLKRIHSMFFDPVLGTVRSEILKGCKIVFSRVFPTKFQAENHHLWKMAERLGATCATEVDPSVTHVISTDIGTEKSRWAVDQKKFLVEPRWLEAANYLWQRQPEELFPVNEIKNNR >KVH97624 pep supercontig:CcrdV1:scaffold_15:678300:683701:-1 gene:Ccrd_000273 transcript:KVH97624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAASPPSQLHPTLSILLLLLLLLRLSLLHATPAPPNNHPDLAPLLSFKSSADVSNKLSSWNNSSDPCNNSSTFYGVSCLHNRVTSLVLEGLDLQGRFDSLASLVKLRLLSLKRNRLVGPIPDLSNLTSLRLVFLSYNQISGEFPTSLPSLFRLDLSYNNISGDIPPSLNRLTQLLTLRLEENQFSGAIQFLNLPNLRDLNMSTNNISGEIPASLSGFPESVFSNNPVLCGFPLPKCTRPEIPSPVVSSSPTSLPSTAAVPDKRKSGNHHGGGKINTVVVVAIIIGDVLVLGLVSLLLYCYFCENSARKSINEKTEVLQEGKRVYTPTPATANSSFEKGRMVFFDGTRRFELEDLLRASAEMLGKGGLGTAYKAVLDDGNVVAVKRLKEVIGGKREFESQMEVLGRLRHPNVVSLKAYYFAKDEKLLVYDYMTNGNLFWLLHASGAGRGLAFIHTSGNTLKLTHGNIKSTNILIDKSGNACVSDIGLSAFTPTTASTRSIGYRAPELSSATNRKSTQKSDIYSFGILLLELLTGKCPSIMDDGGVVDLPRWVQSVVREEWTAEVFDLELMSFKDIEEEMVGLLHIALSCTSVAPDQRPEMGHVVKMIHDIEGVDISPSHDMPDSTSNSPSVIGA >KVH97627 pep supercontig:CcrdV1:scaffold_15:360728:362614:1 gene:Ccrd_000290 transcript:KVH97627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase MGIVFEPKEVEEHRVGSLENFIEKAGGCAVIDGGFATQLELHGASINDPLWSALCLIDNPHLLSKVHLEYLEAGADIIVTSSYQGITFLGFYGHFIIMATLPGFISRGLSLEQGEKLLEKSVKLATEARDDFWESVKKIPDHTYNRALVAASIGSYGAYLADGSEYSGYYGPDVDLNKVKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQAVAELLEEENVEIPSWVCFSSVDGVNAPSGESFKECLEVLNTSKRIAAVGINCAPPQFVHDLIKKFKELTGKVIVVYPNSGEIWDGVAKRWLPSKCFNDDKFKVFATRWRDSGAKLIGGCCRTTPSTTRALSKVLKQSSLATN >KVH97615 pep supercontig:CcrdV1:scaffold_15:57856:62384:1 gene:Ccrd_000314 transcript:KVH97615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M24A, methionine aminopeptidase, subfamily 1 MASIAASISSCFHGENLLSSSCSSLFSRTTLNFSPSISGSNFSGRKQFMVFAKRLSGLEESMRIRREREQKTTTPIKRRTPLRRLKVSPRLPVPDNIPKPPYVSSKLLPEIASEFQMHDEEGIACMRAACELAARVLEHAGTLVRPSVTTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQNCILLPVLSFLQDGDIINIDVTVYLNGYHGDTSKTFLCGNVDDATKRLVKVTEECLERGIAVCKDGALFRKIGKRISEHAEKFGYGVVERFVGHGVGTVFHSEPLIFHHRNEKPGSMVEGQTFTIEPILTLGTTECITWEDNWTTLTKDGSPAAQFEHTILITRTGAEILTKC >KVH97585 pep supercontig:CcrdV1:scaffold_15:810110:823913:-1 gene:Ccrd_000259 transcript:KVH97585 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, alpha/beta subunit, N-terminal RVKKIKPPNYGRFRSHISNPSSLSFSLRLSSAMASRRLLTSLLRSSFHRSPSRSPFTHSGRAARTRSQSHPSPTGYFLNRAVNYATSAGAAQXKEPAPPPVRGSYDGKITDEFTGAGSIGEVCQVIGAVVDVRFSEGLPPILTALEVLDNSIRLVLEVAQHLGENVVRTIAMDGTEGLVRGQKVLNTGSPITVPVGRATLGRIINVIGEPIDERGDIKTDHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMMESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESIGSFQGVLDGKYDDLSEQSFYMVGGIDERPDMYRDFEFCFGDRDVETQGLTSQHKDLSFPFVLWSVSCETNPSHTFQTLAVSLRLSSAMASRRLLASLLRSSLHRSPSRSPFTHSGRAARTRSQSHPSPTGYFLNRAVNYATSAGAAQXKEPAPPPVRGSYDGKITDEFTGAGSIGEVCQVIGAVVDVRFSEGLPPILTALEVLDNSIRLVLEVAQHLGENVVRTIAMDGTEGLVRGQKVLNTGSPITVPVGRATLGRIINVIGEPIDERGDIKTDHYLPIHREAPAFVEQATEQQILVTGIKVIHEWKISISVVDLLAPYQRGGKIGLFGGAGVGKTGVLDGKYDDLSEQSFYMVGGIDEVIAKAEKIAKDSAA >KVH97626 pep supercontig:CcrdV1:scaffold_15:352229:353677:-1 gene:Ccrd_000291 transcript:KVH97626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFPKQSYDHLINHFYSIILILLIIIFGISIPAFQRTPFHLFNHLSFIHGLAFYLLAISILMFYFLNKPRTVYLLDFACFKPSPVLRVPFATAAEHGRIILASQPKSIAFQVKIFERSGLGEETCLPHPLHYLPPNPNMMDARDESHLVIFSAMDSLFRQTGLDPKDIDILIVNCSLFSPTPSISAMVVNKYKMRSNVKSFNLSGMGCSAGLISIDFAKNLLQVHPEAYAVVISTEIITPNSYMGKERSMLLPNCLFRMGGAAILLTNKRSQRKHAKYSLLHVIRTHKGSDEKSYRCVTQEEDKEGHVGIALNLDLMVIAANSLKANISTIGPLVLPLSEQLLFVFNLMGRKFLKLDLKPYIPDFKKAFDHFCIHAGGRAVIDELQKNLRLSPEHVEASRMALHRFGNTSSSSLWYELGYIEAKGRMKKGDRAWQIGFGSGFKCNSAVWKCNRDIEATKNGGAWDDCIHRYPVYEPDVVKL >KVH97599 pep supercontig:CcrdV1:scaffold_15:539681:540840:1 gene:Ccrd_000282 transcript:KVH97599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGGSCNEASFDELRRGPWTLEEDDLLIHYITCHGEGRWNSLAKSSGLRRTGKSCRLRWLNYLKPDIKPRYLKIDSNSKRFVETLRRFWMPRLLEKVEQNSSSTSSSSSTSTSSTSTPEVDQNNLSSILQAEQTLIMASSSPQPTKMENPASSSSLCSSDSMAMVFPENSNLQINPNGDSATCYISSIQNNDCNYHVGINNFDMDLTPLDMHAVGPAGDMTVPEFQVAEADWMKDDDLASTFWSMDELWHFRN >KVH97605 pep supercontig:CcrdV1:scaffold_15:739481:745301:-1 gene:Ccrd_000268 transcript:KVH97605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGEKEVAPNEDTYKTLVDGLCQDARLNHVKVVICCLYKRGETSKGIELLETPIFVAAGSPFSNGLWPNCFNYMAAYHYGQNLFFSISLEQKGFCVGISRSDKAELWMVLVAESDWLVLKSGWFLIPVQSFGLRWPEAKLKPDNKIGVPQKSKARINNNKRSKIKKEARGTKSNREARGIKTNLNQWCT >KVH97629 pep supercontig:CcrdV1:scaffold_15:322143:323634:1 gene:Ccrd_000292 transcript:KVH97629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLAEIGAPLKLWITFALLIWCYSPLCCFSQQRFHLRLPTVSMRLRPKRTCSGVECFGAFHIQGFSSLFLFLRRPFFWWGFEKMPDGRKQLPVNRENLCNKKPKRRGKVIEQIDPMRTIRIICHDPDLTDSSDDDDEPTGKPYGRKAIVREIKIPVVGADCVAEEKTLGTEDSCQDSNHGEKNLIQNNNKDNNSSSLVIVSDHEKQAVSEESVGVIAHTSPSSVLEMESSSITKIFISDDKKMDACASVIDEDSIDLLPNVGFGELDDEALTLAEIGNDLDLGLDVGAPFLDDFVAPLEGFGNLDDFQLCGFDDKDAGELPDWDFGELNNEELAWINTLKMDEPAELCSLSSN >KVH97603 pep supercontig:CcrdV1:scaffold_15:406633:409501:1 gene:Ccrd_000288 transcript:KVH97603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MYSFHLSQFTLITICISIFLLSPLSLASPKPHPFKKVYVFGDSYTDTGSGNSSTGPSIFRHVSSLPYGETFFRRPTNRYSDGRVFIDFVAESLNLPYFPPYLNKSSDTTHGVNFAVSGCTAIPYPFFVKNNLTSNIIPQSLPTQLTWFKDFIKGSGCNDAVSTPAECKAVFDGALVWVGEISANDYNYVYGSHVTSKTVQKLAIKYQTRFMKEILKMGAKYVVVQGLPATGCFPLSLVALAPPTDRDEMGCVATKNKESYDHNVVLQAKLRSLRKEFPATVIVYGDDWHAYREVYGNPAKYGFTERFKACCGVKDGAYDFNPMCTCGAPGTSSCKNPARYMNWDGLHVTEGLNRATSRLFLGGAFAQPPFPVRVSGCIEEAKCDKVE >KVH97583 pep supercontig:CcrdV1:scaffold_15:117881:131086:1 gene:Ccrd_000309 transcript:KVH97583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med25, von Willebrand factor type A MAEKKQLILVVEGTAALGPYWRTIISDYLEKVIRSFCDTESLKPSGSIVELALIIFNGHGSYSSCLVQRSGWTRNVDYFFEWLSAIHFTGGGFCDAAIAEGLGEALMMFPSPNGSQNQHNLGIQRHCILVAASNPYPLPTPVYRPPMQKMEPSDNSEAQSESRLSDAETVAKTFAQCSVSLSVICPKQLPKLKAVYNAVINCYLFYFISTSMLALVQSVKGWLTRLYGKRNPSAADPTIDIVKNPHYLVLISETFMEARAALNRSGITNLPSQSPIKVDTTSVPPVSGPPQTSIPAVNGSSMNRQPVPVGSIPPATVKVEPTTVSSMAPAPAPTPAFQHVPPVPRATSQGVPSLQTSSPLSVSQEMMSTNDGVQDLKPNVGGIQQPVRPAGHINILNTLSQARLTAALAGGTSMGLPSIAGNPMAMHMSNMISSGMPSTVPVAQSVISSGQSGITSIAGSGTLSGTAQVAQSTVPGSFSSATSNMAGSSSLGVSQPLGNLQGSVGMGQSVPGMSQGNLPGPQMVQSGMGMNQNMMSGVGQSGMPGAGTGSGAGTGTGSGNGTMMPTPGMSQQVQGMQSLGVNNNTAANVGLPQQTTGALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASESLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGVSCNYYLPKF >KVI06060 pep supercontig:CcrdV1:scaffold_150:147340:151281:-1 gene:Ccrd_015582 transcript:KVI06060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDDWLAYDKLQHFLLCFFITVIFSFLASRTRYSALRRRSISLGCILSLTVGAAKEVADELGFFKSAGASTKDAVADIVGASVAVIALSLWNFFCFRNRSGQTRGVEMVLILLPSRLAKERRVIPVEQTIEQNQPKNNCKALSILNT >KVI06058 pep supercontig:CcrdV1:scaffold_150:431777:432334:1 gene:Ccrd_015609 transcript:KVI06058 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MASFFNGNNVEVFGPNSKPATTFVQTDPSNFRAVVQRLTGATTLYQPSASAYKLPISTPSHHAGKPTFTEIGRRRSGYKLHERRKTIRKLEISVENVARRPLMSPAARKRSVRADGEMVVASPVSTLDVCGGEGSCPGTPMEEEEEKAIAEKGFYLHPSPKRGSEPKLLVLFPLNSPINDPSYSP >KVI06072 pep supercontig:CcrdV1:scaffold_150:90141:96575:1 gene:Ccrd_015576 transcript:KVI06072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MAANAFSQHPQFMTNAPLIESPETNQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEHCKNEYEKVTNIILWILAEISIVACDIPEVIGTAFALNMLFNIPVWCGVLLTGFSTLGLLALQQYGVRKLEFLITFLVLTIAACFLVELGISKPDASEVLYGLFVPQLRGSGSTGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVSGIKEACRFYLIESGIALAVAFLINISVISLSGSVCNSSNLNPDDQKSCQDLDLNKASFLLKASNVLGKWSSKVFAIALLASGQSSTITGTYAGQYVMQGFLDLRMKPWLRNLLTRCLAIVPSLIVALIGGSAGAGKLIIIASISGMTWIIGSLIMGINIYFLVDNLISVLVHGRLAVVSKVACGVLGFSGMLIYLTGIGYLVLRKNKNSSHLLALTSPECREMERSASAAYGQPREDIVSMQLPQKRTTADAN >KVI06065 pep supercontig:CcrdV1:scaffold_150:165846:168721:1 gene:Ccrd_015585 transcript:KVI06065 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MFPFSETNLKETIVSMAVAGAIIGAAFGGWMNDKFGRKKSIMSADVVFLCGAIIMASAPNPWIIIVGRLFIGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKKSCFSLRLDWCFFFGLTMSLFQAPGTWRWMLGVAGIPPIIQFVLMWFLPESPRWLYRQIYPTDEVEKEMKALQSSIEDEKQSEDATGCGMFSKLRNAWRNKVVRRGLYAGITVQVAQQFVGINTVMYYSPVIVQLAGYASNRTALALSLITAGLNSLGTIISMLFVDRCGRRRFMIVSMFGIITCLVVLAAMFFQASVHSPPVSIMESNHFGVNSTCSNFRNTPNPTSWDCMTCLKASSGCGFCANGANIVSPSISNNQYNPGACLAMDDITRGSCRAEHRSWYTKGCPSKFGIFAILLLALYIISYAPGMGTVPWIVNSEIYPLKYRGLGGGVAAVANWTSNLIVSQTFLTLTETLGTAGTFLLFAGFSVVGLVAIFFLVPETKGLQFEEVEKMLDKGYRPDLCCNTKPDA >KVI06085 pep supercontig:CcrdV1:scaffold_150:288683:291609:-1 gene:Ccrd_015598 transcript:KVI06085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MATKSTSTYTNSYSFSKLNIDENEWVENMRKSVVEHTEEADKVEVCIFTVPSVLLATDPASYVPQQVALGPFHHWRPEVYEMQRYKLASARRTQKQMNISFERIVEIMKKNDEARIRACYHKFLDMSGDALIWMMAVDMAFLLEFLQVYLMKEQGRILKKVTSGMSHLVDASGKKLSHMAILRDLVMVENQIPLFLIKTMLEAQRKQTAHELTAEQTLKTMLMGLYHELSPFQEKELPDVDINDCDHLLDFLYHMTVPNNKELGIQEAEIDIDKDDITEIKGGGGGGEEEEESFAKQSDLRRFMDYIWTTLSKSNAGLVNFFKKILFGKPMALLMKLPWKILSSLPILKLMKEPIERMLEKFQGEGEEQSKEDGNEPKAPLIEEITIPSVTDMVQAGILFSPVNGGISDISFDNITCTLYLPVVELDVNTEVFLRNLVAYEACVAAGPLVVARYTELMNGIIDTEEDAKFLRERGIVLSHLKNDKEVADLWNGMSKSVKLTKVPKMDQVIEDVNKSGQQRFYY >KVI06062 pep supercontig:CcrdV1:scaffold_150:134394:136340:-1 gene:Ccrd_015580 transcript:KVI06062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30, ferredoxin-like fold domain-containing protein MADEEPKPLNYVSEIVLKKRKSNEDWANRRKEQLEQRESDLIKMKHRGKRPIKASMFPQSKLLFVIRIQGSVTDDDICFLATVHVKFKSDMHPQTRKLLYSLRLRRIFSGVFVKANNRILEILQKVEPYVTYGYPNLKSMNELIYKKGLAKVNKQIFPLTDNNIIEQVWRFIYDRALSEHGIICIEDIVKEIANVGPHFKEVCNFLCPFSLNEPQKALQGKKRPFRDGGDSGNREDQINELIGKMN >KVI06063 pep supercontig:CcrdV1:scaffold_150:178117:183311:-1 gene:Ccrd_015587 transcript:KVI06063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective-in-cullin neddylation protein LLKHSNKGSKTLTQPTIVSSSQPSAAHPSPIPFRSSRVVTACYRLFDFITSSSSSSPSLIFLPNSSKFGFFDNLFKMRRASSKKGPANSTTSTNSSAMDLFRSGKAATKELERIDQLFYSYANDSSGMIDPEGIEYLCSDLEVEHTNVRILMLAWKMQSERQGYFTLEEWRRGLKALRADTIVKLKKALPELENEVRRPSNYVEFYSYAFRYCLTEEKQKSIDIESICELLELVLGSQFPHQVDLFIQYLRTQIDYKVINMDQWMGFYRFCNEISFPDFNNYDEELAWPLILDNFVEWVRSKQS >KVI06068 pep supercontig:CcrdV1:scaffold_150:198931:200131:1 gene:Ccrd_015588 transcript:KVI06068 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MARSQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFTYDTEGSQSSSSKLLSATLRAKLHKCHMTSLEMAKKSMVGNNQESQQQSPTGEFMENRGNCGNMVNWEGKMENMQHYKSPEDEHIEQMIEELLDYGTIELCSVLQN >KVI06070 pep supercontig:CcrdV1:scaffold_150:116494:123268:-1 gene:Ccrd_015578 transcript:KVI06070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MDYVCPVNDLEKQLKEAGEQLALPHSSTKELLELLDKIEQFLTFVGQAPSISMQSALVPSMGALISDQLVKHSDVDVRVSVASCLCEVARITAPDPPYKDEIMKEIFHLNVMAFGELLNTTGRSYYKVIHILESVAKVKSCLLMLDLECDALVLEMFKHFLDGIRKNHPHTVFSDMETIMTLVIEESDEIATELLSLLLSHVRKENQNVLPVPWKLAEKVLRNCNDTLKSYSQTVMKLIQSDTDDYAEVVTALCQNAYQNETVGTPPTKTNNIHKRDVNTLENGGSTKTMHSQPKKQKYTDTQRVSAGPKKIDRKPDTMSSKLDEPSHKNRLKGKELEASQNLDSAHESLSAKGRSRNKKNNLSQDSKKDLKRTRFQDKASPSGGVSSKEKSKGVKGSTEKQSTKTKGVAKGNTKTSGSLYNIAIKKEDEDLTDSVGAEPLLGQMNDKAQDRRKPTSSKKHVANGSHGKDLQNVNTLKKYGKELVGHRIKVWWPLDRMYYEGAVSSYNSLDKKHKVLYADGDEELLDLRHEKWSMLNDLSPDQLQEQVADLTSPMTTSAKRLKPKGKRKIESSLMQVDNYNSPKSPAPAYSSKTRPTKEHDITMDVLCTDNQKRDPVKTSDISTEKVEQDGKRKMGRSEKTAEFVY >KVI06067 pep supercontig:CcrdV1:scaffold_150:213470:218352:1 gene:Ccrd_015589 transcript:KVI06067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MADASSGITWDDIMKEAVDLEHMPMDELFQQLKCTKEGLTSEEGKRRLEIFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIGEIEAVVIATGARAGITEVHFLPFNPVDKRTAITYIDQNGNWHRVSKGAPEQIVELCNLKDDESKRVFNIIDKFAERGLRSLATVPEKTKESPGGPWVFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQNKDAAIANIPIEELIEKADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRVVLGFMLIALIWQFDFSPFMILIIAILNDGTIMTISKDKVKPSPMPDSWKLKEIFLTGIVFGTYLAVMTVIFFWAAQESDFFTDKFGVHSIRNKDFELTAALYLQVSIVSQALIFVTRSRGWSYVERPGLLLVTAFLIAQLIATLIAVYANWKFARIKGIGWGWAGVIWIYSIIFYIPLDLFKFCIRYSLSGKAWDNLLQNKTAFTTKKDYGRGERRAQWAMAQRTLHGLQPPEQAEIFNDKNSYRELSELAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KVI06051 pep supercontig:CcrdV1:scaffold_150:327933:328565:-1 gene:Ccrd_015602 transcript:KVI06051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif/pointed domain-containing protein MHQAIDWFSWLSKAGLEPSLIYEYGMALSRNELEEGDIAYFNHEFLQSMGISIAKHRLEILKLARKQKGSSGSHPISKLMIAIKKTKRTLANYIHTWVRRDDSALVLVRRSNGSGWRGAMLRTNNRRMVTFKQANPTLLLTNGYHPMVKSTSAKVNSISSSSLVYGLQYDQGIKDSFDGDTSDEEDGGYLSGNGVQEIQWDTLFQNLKPT >KVI06084 pep supercontig:CcrdV1:scaffold_150:275498:279601:-1 gene:Ccrd_015597 transcript:KVI06084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MPSSSSHSFNSYSFSKSDIDQDEWVESMRKSIVEHNDDEIGKIPVSIFAVPKVLLATDPEAYIPQQVALGPFHHWRPEVYDMQRYKLASARRTQKQMNVSFERIVDVMKKNDESRIRACYHKFLDMSGDALIWMMAVDMAFLLEFLQVYLMKQQGRILKKVVSGMSHLVDASGKKLSHMAILRDLVMVENQIPLFLIKTMLEAQRKQMIHELTAEQTLKTMLMGLYHELSPFQEKELPEVDISDCDHLLDFLYHMTVPNNKELGIEEAEIDIENEGITEVKQGDEAEEESFAKQSDVRRFMNAIWTIISKSNRGLVALFKKIIFGKPVTLLMKFPWKIISNLPILKLLKEPIEHMVENFRGDGEKKSEDDGIDSKAPLIEEITIPSVTEMAKAGILFSPVNGGIFDISFDDITSTLSLPIINLDVNSEVYFRNLVAYEACVAAGPLVVARYTELMNGIIDTEEDAKLLSEKGIVLNHLKSDKEVADLWNGMSKSVKLTKVAKMDKVIEDVNKRYSRTWRVKLSKFMKTYVFASWKLLTLLAAVFIGSTQMMD >KVI06074 pep supercontig:CcrdV1:scaffold_150:28313:31042:1 gene:Ccrd_015574 transcript:KVI06074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSVPSFSPTKQDKMGLGWIEWLKGWYHLVLETFLEKYWSRHLANPLPLPPLYGITSIVTGSTSGIGLEIARQLAEAGAHVVMAVRNTDLAHHLLQSWQQELQKRSPKKVLDVDVMELNLSSLESVARFAKAWNSKNKPLNILINNAGIFSMGKPQMFSPDGYEIHMQVNFLAPSLLSLLLLPSLNAGAPSRIVNVNSLMHAIGYVDLRDMNFDKDITKFTSLRGYSRSKLAQIMFNNILHKSIPKSARIYVVCVDPGSVRTNVVRDLPRIIQNAFQLLATFLYTAQEGSRSVLYAAVYHEIWEYCEKLKAEEWPVCAYVACNCKTMIPSKEAQNMQISNMVWKMTLDMIGVPKDAVDLLLDGKEIHCRYSNLVTN >KVI06083 pep supercontig:CcrdV1:scaffold_150:268370:269056:-1 gene:Ccrd_015596 transcript:KVI06083 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNTLFQSLPFFPANQDSLDQLLKPFSLLDQIYCIHTPSTRLQYPSPETDISSECDDQPAALEGIAAVVGECILFGKSPSTAGKKTGSAVPQEVVEKEVKKNYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGSKARTNFEIPSVFPLSGSTSTTSPTTSTSSSWAGAKKRKARSCSGKNNKCHVVTSVGQLFSNSGPMMRRRNVNNNNIL >KVI06055 pep supercontig:CcrdV1:scaffold_150:382689:390647:1 gene:Ccrd_015606 transcript:KVI06055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin (vitamin B12) biosynthesis CobW-like, C-terminal MASTSMNLTTTFLGLAKLQKTSLTGNYCPRLWRNLTFRHRRFGTTSCSIHSPLAAYSLSRARRFSRSLSVAATATPTQQDEDSDVLTKIPPDDRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKAAGVEDIIMLNNGCLCCTVRGDLVRMIGELVNKKKGKFDHIVIETTGLANPAPIIQTFYAEDQIFNDVKLDGVVTLVDAKHANIHLDDVKPKGVVNEAVEQIAYADRIIVNKTDLVGNGEVAALIQRIKSINRMADLKRTEFGKVDLDYVLGIGGFDLERIDAAIENEASKDDEHHHNHGHDHDHEHSHDHAHEHHHHDHDHDHQHEHHDHTHDPGVSSVSIVCEGCLDLEKANFWLGTLLMDRSEDIYRMKGLLSIDGMNERFVFQGVHDIFQGSPDRLWNPDEPRVNKIVFIGKNLDAQELEKGFKSWLANPAPIIQTFYAEDQIFNDVKL >KVI06080 pep supercontig:CcrdV1:scaffold_150:244657:246674:1 gene:Ccrd_015593 transcript:KVI06080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MSLSCEELPEEIWELILNGLGDDHHSEFESLSLVSKRLLSLTDRLRLRFTVVDQAYLIHGTISRFLYRFKHLKTLDLSRLKHGNLETALHEIARSSVALNLEALDISNHESVPIESLKELGFSNRKLKVLKCANVTKLRDDDLIAISKFHPDLEELDVSYPRHKFTIPEFRSCNISELMITDAGIEVLSSGLKNLIKINISMNHLLTDNSVFYLSSNCLRLQEIALIDCTLITMKGVSFMLHNSLNLRTISMCFISNFHGTSSLFMNPVTSGRSLSSLHFKDSDITDAFLNSIAKAHIPLKSISLSSCKGYTIDGISSLLYAYQSLEFLDITRNNSLCDKSIMALSQYLHDLVSVKLNFCRKLTSTTMFTLINSCSFLEHIEMKHTDLGKEEDAIMDFVKHPNSSIKSLNLAGNAYLGDECLVKITSLCPNLSLLDVSSCSGITGALGEILRRCPEIRHLSIQDCGGVKNIGLNKEPLKLKKLYMARSGVNDEGLLEIGVRCNELVRIDLSGCLHVTTSAVKYLVRKCEKLKEVDLMGCVNLHVFIVDSMVYARPSLRKLVPPSYGVTSESQRQLLLRHGCHVCDK >KVI06086 pep supercontig:CcrdV1:scaffold_150:301782:307172:1 gene:Ccrd_015599 transcript:KVI06086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRFFNNLQVKCCFFVLFSLEFWDLIGGFGDLVGVISGRSGGGGGREIERVVLEKGSNDGNSSLILADKRTRRKDPRDDFKYYTRGWNVSDDHYISVRFGVSLLLSCCCYCCFRRRPYGYSQTAYALSLGQGRFHNSTTDTLDYVVSQSNDTVSHLNNVSAILSVAKGIEVDQVSLPSDSKNDIDRVHKMIDAAARDLRFETRKNEKDIQRVLKAVLVVLGWILVTATFILCGIFLALHNFVSFNQSGPLVPTLCNPLNANGTDRKCQAAELNFDNATRVWRNYVCQVSANDTCASVGRLTPKMYKQMSIAVNVSDGLTEYGPFLAGLLDCSFVRETFIGIHKDHCPNLNKYSEWIYIGLAIASTAVTLSLVLWILYVRERRYRRYTKLLVVASPPTIDRRWK >KVI06061 pep supercontig:CcrdV1:scaffold_150:146041:147357:1 gene:Ccrd_015581 transcript:KVI06061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKFLQLRYQFTNCYSLSLPLLPLKFRHFQSVIVLSFLFLSLFLQPLVKEVDREKTPLTDVQSPHHSSDLCYLSSHLIPKIAINLFTIPNTRRSISVFRFPSSLLRINSERLDVCVVSAIDLERILQVNREMSLLPKSDSIQIREVWDDNLEEEFTLIRGIVDDFPYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDMLKLIQLGLTFSDKQGNLPTCGTDKHCIWQFNFREFNVNDDVFANDSVELLRESGIDFKKNNEKGIDARRFGELLMSSGIVLNDNVYWVTFHSGYDFGYLLKVLTCKNLPDTQAGFFSLINMYFPTIYDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLKENFFSGSLEKYAGVLYGLGVEN >KVI06050 pep supercontig:CcrdV1:scaffold_150:316862:317485:1 gene:Ccrd_015600 transcript:KVI06050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSKAKSNIIREKIQRSISPSRSFCSSTISSSSSAFGSPMTRSLSPNRTSVDHHGYVSSSPSVCFSIDHRNASSTGHNSIASSSPRERSQTTNHRNSNKAWSNGQKKTCMCSPTTHPGSFRCSLHRNVSTRNGNQSNDAVSYHSHKLYSRRSAMTNSVVRIGTVEGDLVKRSLASLIRPSSHQQKRRGDFQPKPSRLSFMSKADE >KVI06076 pep supercontig:CcrdV1:scaffold_150:1181:3674:-1 gene:Ccrd_015573 transcript:KVI06076 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEYTSLVDTSLDLNSNPSHFLSLVPKQEVQSNFIELGLKMPTHVNDKAADGLVEELNRVSAENKKLTEMLTVMCENYNALQNHLADYMSKNPAPSDSNNNNNNNNSRKRKPETLTNNNNIIERGNSESSSSDEDSCKKPRQQDQQHIKAKISRVCVRTEASDTGLLVKDGYQWRKYGQKVTRDNPSPRAYFKCSHAPSCPVKKKVQRSVEDQSILVATYEGEHNHPNQSKQEQANSGLSRTVATATLGSVPCSASLGSSGPTITLDLTNPPKPPTNPSEEAKVGGNRRVDTPEFQQFLVDQMASSLTKDPSFKAALAAAISGRIVQQNQSQKW >KVI06082 pep supercontig:CcrdV1:scaffold_150:253954:258475:1 gene:Ccrd_015595 transcript:KVI06082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADDWLSLAMADTHLVAELLLRLRLPLPPSKRSRPSPPQSWTIHQRRFPIDHSTLVDMQAFPTQISSAVWSSDSPPPLTELPFRFHFHLQIEDDFRQKIDRPPTFSRHRFSPSSPWPHSLFHLSQIAKYPSHGEKGSMGLGVEVLGEGMGIGMIVVTPPGETTPTKRPRKKKTLAALKEEESLLMEEQKHLKMCGFLELDIQVEPQLERQERNNHFEAVKERKDKLVLPDLNDPAGEDVTMSY >KVI06054 pep supercontig:CcrdV1:scaffold_150:369175:378879:-1 gene:Ccrd_015605 transcript:KVI06054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MGPTLPSLSLSAETTNSRSPRFLAPILTPSKVEYLWGELVLENKANRSMKKVDIEVYLEDIPEMEARSGWEPLFIEQLLTVKRERRHVRPSWNCAFMKSVKYKIDQYGSFSMLPRDISQQIFNELVFSQRLIGTYLEAFRDCALQDIDLGEYPEVDDSWMEVILSQGSSLLSADLSGSDITDRALFHIKDCENIQALNFNFCDQISDSGLDSISGLSNLTTLSFKRNNNITAEGMSALSGLVNLLKLDLERCPGIHGGLVHLRGLSKLEALNLNCCNCITDADMEPLSELTNLKELQVSSSKVTDCGVAFLKGLHKLALLNMERCPVTAACLDSLSAIMGLLYLNLSRCKLTGNGCDKFSRLKALKVLNLGFNDVSDAVLVHLNGGSCLINLESLNLDSCRIRDDGLVNLAGGSLLIIYVCTP >KVI06071 pep supercontig:CcrdV1:scaffold_150:125780:128306:-1 gene:Ccrd_015579 transcript:KVI06071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 MAGEEAVVPVEVAAPAPAPALGEPMDIMTALQLVLRKSLAHGGLVRGLHEAAKVIEKHAAQICVLAEDCNQPDYQKLVKALCADHNVSLITVPSAKTLGEWAGLCKIDSEGKARKVVGCSCLVVKDYGEESEGLHIVQEYVKSH >KVI06057 pep supercontig:CcrdV1:scaffold_150:416320:420465:-1 gene:Ccrd_015608 transcript:KVI06057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MGSPLLSDLATEIIIPVCAVVGIVFSLVQWLLVSKVKLTPEPNRSPSNNNKNGFNDSLIEEEEGVNEHNVVAKCAEIQTAISEGATSFLFTEYQYVGIFMVVFAILIFLFLGSVEGFSTKSKRCTYDITRMCKPALATALFSTASFVLGAVTSVLSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAANGLLVLYVTINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTAMCYPLLVSSVGIIVCLITTLFATDFYEIKAVKDIEPALKNQLIISTVLMTVGIAIVSWIALPSSFTIFNFGVQKVVHNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIGIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGIQVVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQVAISASNTGGAWDNAKKYIEGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKL >KVI06081 pep supercontig:CcrdV1:scaffold_150:247348:247617:1 gene:Ccrd_015594 transcript:KVI06081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKFPKIEDTNQEIDFELFLESYQLVEPLIPERDDVYESLTCSFELYVYPRTNNFDRKDLSNDFSGSFYNNKKYIEL >KVI06069 pep supercontig:CcrdV1:scaffold_150:433362:444023:-1 gene:Ccrd_015610 transcript:KVI06069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAPRSARGKGNKTKSDKKKKEDKVVPSVIDITIITPYETQVILKGISTDKILDVKKLLAVNVDTCHLTNYSLAHEEYTEEAHAVAHVRRLLDIVASTTRFTKPKTGRGTTGAGAEARSKKGRSQNVQATTGRPISPLSDGGETVENGGSSETETTVVTTSPVSENLDMAAIHPFPKLSDFYEFFSFSNLSPPILLASTNGFYALGKQFLQSHSLVDLLQQLSQAFANAYESLMKAYVEHNKFGNLPYGFRANTCLVPPSILDSGSNFQPLPSEDENWGGNGGGQGRNGQHDRRAWATEFAILASLPCKTEEERVVRDRKAFLLHSLFVDVSIFKAVSAIRKVMDSVATSNCPPGSIMCEDHVGDLSITVRRDAADASSKPEVKVIGVGSPSMSSGEVALRNLLKGVTADESAVVHDTTSLGTVFVRHCGYTATVKIVGDIKKAKFMSQDIAIDDQPEGGANALNINSLRALLHNSRDSDLSGSQSPQSEQSSFESSRCLVRQVIKESLNNLEKSADSGLPIRWELGSCWLQHLQKQEAPAGNNSERPNEDKAEAVVKGLGSQFKMLKKREKKQSDADCSDDTEESNLGQESSVGEPDSKESSSESELKKLIPEEAFLRLKESGTGLHLKVELAEKLPHIRSLCIHEMVTRAFKHIFEAVIASVKNFAELSAAIVSTLNFLFGVWAADNRSKVFLEDQSLQLQWLQAFLSKRYDWKMKDEYQHLRKLSILRGLCHKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQRALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLYFTCGLSHPNTAATYINVAMMEEGMGNILQAKLGAEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDFISPDQDSKGGDAQRKRRAKASAVGEKFHEVQTDTRNDDTVIHDTMETTVAKAESTIHEDISDVIVEKVKGGNNIIKNDSFITEEIVQETISDEGWQEANPKVRSGNGGPKKFGRRRPDLTKLHINGSENTNKREVIQQGQKITPRTSSTDLSQQKQSKTSLTGGEDFTKPPIRTSVPRISPRVSPSAATVNAMASKSVSYKEVAVAPPGSILKPLLETVEEVENQEKVKNEEIPVSNGSGDPSEAVKSEELDVDDRIPDDEVIKEVDIVHESASKLAEVEDAEDQSGGNKAESSSKLSAAAQPFSPAAVPLTHPLATNVYDVIASQGMLAEPVGFPPIAARVPCGPRSPLYYRMSQSFRMKNGFLKYQIPGSGFGNSPRIMNPHAPEFVPKRAWQGSEPGEGSQCATSGKTEDERLRKTSSDAEKAELARQILLSFIVKSVHDNSETRIEPSEKRPEYSDGSTDAIAKDSAIIKILYGNEAEAQSNEAKSNKNGDGDGDGEGFVMVTKRRRNKQQFASGVSGLYNHTTQQSVSASVR >KVI06059 pep supercontig:CcrdV1:scaffold_150:153013:157348:-1 gene:Ccrd_015583 transcript:KVI06059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFCEIMVEEKPIPRRERTYKMGSECVIIESVRKAVVQHPKQGSFWLFEPVVALALVSVVYSRRNSGNFGQSSSNKQRNCFGIGDHCIKAQKSCTWKEFMNLLMLGKIGGRIRIGTSGRFRLVVSNRFSTNNKPTTESNKNGENTYGSLTHHDSYRDLDKLDFMTAAKILFTTPPKQKKFGLDFHLDLETRRVEEAKKIKVAEGEALESNPQLLEVKERLNSLEKTVKEIMIESKNQRNIKVSDPQEGDRTQHATVEDRQKTQASNK >KVI06078 pep supercontig:CcrdV1:scaffold_150:224443:229059:-1 gene:Ccrd_015591 transcript:KVI06078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMNLFLKNWMIPSWVLSCLLLVLVPMDAVRPDPPLSSIADPVLPTEKKPPFSRIPTEYEAHSPGVSEIIVHHEDLNKKILVALIIASTLLAGILVFLFCFWIFKQKNSEPLNAKQPPKCQDASKGLSLGPILDKFTPSRIAGRKGSVTVIDYEWLVSATNNFHEENIVRVETSGHLYKARFNDHFVAAVKRLHGRGPDAQRGFEVTYEHIPFSI >KVI06066 pep supercontig:CcrdV1:scaffold_150:156027:165841:1 gene:Ccrd_015584 transcript:KVI06066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGFVVRGEAVADYKSESSRRSNPYPSANLSQHLFSTHEIYAETDVITYCKQQIPMQVQQQVRTTRKNPVWDVEQQPFYTNPQSRTTSGGLAAATDGAARQTEKENQRSHKMEGDVAVLDKTKFTECYRTVWRTPYIMRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKDVQKHTWLQCATLNPFKEKLKNPFEIESFFYWLQKSDYDFLLLHFKDSLHMRTIWHI >KVI06077 pep supercontig:CcrdV1:scaffold_150:218813:220152:-1 gene:Ccrd_015590 transcript:KVI06077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MSLVPFPPPPTAGDDDNRSSGGADDNSAATPPLSIDTLAINSPSLPISRGPVKLTARSCLYEICVKNHWKRPVFACCDEKGPSNLRLFTYKAIVEMKEGSRSRIVVECIGKPQPNKKSAADSAAEGVLWYLVRLGYPKKPDRSKKVR >KVI06075 pep supercontig:CcrdV1:scaffold_150:55173:56504:1 gene:Ccrd_015575 transcript:KVI06075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MEDCGDNHHSTAGGRKIIFGKYEMGRLLGQGTFAKVYYAKDLISSESVAIKVIKKDQVRKEGLMEQITREISVMRLVRHPNVVELKEVMATKRRVFFVMEYITGGELFAKVVKGKLQEDVARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDANGDLKVSDFGLSALPEQLRNDGLLHTQCGTPAYVAPEVLRRKGYDGATADIWSCGVILYVLLAGFLPFQHENIMHMYKKIFKAEYEFPPWFSVDSRRLISKILMVDPSRRITIPAIMRLPWFLKGFQRPLAFSIKESDNNESKDGGTESEKSKTSPPFYNAFEFISSMSSGFDLSNMFEKNRKSSSLFTSTYSASAIVKKLESAAKKLNLQLLNSKQNEYKVKMQRTSEGRKGKLSVTAEVFAVAPEVSVVEFSKSAGDTLEYKKFCEEDVRPALNDIVWRWQGEDDNN >KVI06064 pep supercontig:CcrdV1:scaffold_150:171403:172918:1 gene:Ccrd_015586 transcript:KVI06064 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MLCRRNSTKCRKDGSVPVYLNVYDLTSMNGYAYWLGLGVYHSGVQVHGVEYAFGSHENATTGIIEGEPKEWKGFTFRKQILIGWTETNLREVRRVMDELAEDYKGISYNLITKNCNHFCNDICVRLTGKPIPSWINRLARIGFLCNCIIPASISSTKVGIEDNKVYTEGEMKKLRSRSGRFTSSSSCCSPSSDKSLSSLTSPSVGRSKSHRITTSLPPPSPPPLILDSPKS >KVI06052 pep supercontig:CcrdV1:scaffold_150:331112:332590:-1 gene:Ccrd_015603 transcript:KVI06052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MESGYIPVPEESFVELQLQDHRYYGSQNPTLSQKEASSKLIRNEDEEGLVTHRIDNLGTDDNDQDLSPDKLHKENANSGTYGAVFNLTTTVIGAGIMALPATMKVLGLFVGVILIFLMGILSEISVELLVRFTVQSKALSYGEVVQHAFGKPARILSEICIILNNAGVLVVYLIIIGDVMSGSLNHVGVFDQWLGNGFWDHRKLVILIVMILFLAPLCVLDRIDSLSVTSAASVALAVVFVVVAFVVALIKLVRGETETPRMTPNFGSEKTILDLLVVIPIMSNAFVCHFNLQPIYNELEGRSPQKMNRVGRITTVLCIMVYCSTAISGYLLFGEDTESDVLTNFDKPLGMEFSTILNYIVRVGYILHLVLVFPVIHFSLRQTVDALAFDGSAPLQESRQRCLALTFTLLAVIYLGSTAIPSIWTAFKFTGATTAVSLGYTFPALIALKLGSQGSGLSSRERFLSWLMLCLALIVSVVGVISNIYSLQTDSE >KVI06079 pep supercontig:CcrdV1:scaffold_150:239014:240528:1 gene:Ccrd_015592 transcript:KVI06079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVKVKHLLLLFFISLAFLFTASSESNLSNRFDVDQRTINTPPSRHRRTPPTRGGGRRAAGGGRSRIGSGRSRNGGRSSNCDPLYEYLFERCGRWPFSRSSPNNPFLSRPTPRRPPRGPPPLPPPVIPSPPPPVVTPPPTPSPSPPPPSPSPPPPPLVPSPPPPSPPPPPPLVASPPPPDPTPPPVVLSPPPDYILPPMVPELPPNNPFQAPPLVPISFSPPTFGNPPSPIVPILFPPPTDGNNPPDSSLLPPLVPIFSPPQLDPGQLPQLPPVVDPGPQLPPQLPPVVDPGQQLPPVVDPGQQLPPXVDPGQQFPPLVDPGQQFPPVIDPGQQFPPELPFTFTPPVESTMPFQGTGQFPPVVDPGQQFPFTPPVESTLPFPGESPEIILPPPVDVNPVTEGQPFFSTPQVPEVPMDMDGHHE >KVI06073 pep supercontig:CcrdV1:scaffold_150:97256:103674:-1 gene:Ccrd_015577 transcript:KVI06073 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSPASKQVICVTGAGGFIASWMVKLLLEKGYFVRGTVRNPDDPKNVHLIELEGAKERLTLYKADLLDFESLREAINGCDGVFHTASPVTDDPEQMVEPAVIGTRNVIVAAAECKVRRVVFTSSIGAVYMDPHRGPDDVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWDEAKAREVDLVVVNPVLVLGPLLQSTVNASIIHILKYLTGSAKTYANSVQAYVHVRDVALAHILLFETPSASGRYLCAESVLHRGEVVEILAKFFPEYPIPTKCSDEIKPRAKPYKFSNQKLKDLGLEFTPVKECLYETVKSLQEKGHLPVQPTGHTDDHIRIQS >KVI06053 pep supercontig:CcrdV1:scaffold_150:357806:366624:1 gene:Ccrd_015604 transcript:KVI06053 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKNDRSKAVEILVKDLKVFASFNEDLFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLVEANPLFRDKLQFPNLKNSRPNPDIKTLFVDHSCGQPNGARAPSPGNNPLLGSLPKAGGFPPLGAHGPFQATPAPVPSPLAGWMSNPPAVSHPTVSGSAIGLGGPSIPVGTHVGDIGLWEVGSREKLVLKNFKVWNLGACSMPLQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRTYMGFRKRSLGVVQFDTTKNRFLAAGDDFSVKYWDMDNVQLLTSVDADGGLPASPRIRFNKDGALLAVSANDNNIKILANSDGLRLLRTFENLSFDGAASRTPEAAKPAINAISAAAASTAALAERTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLKVLVSSGADAQICVWSSDGWEKQKARYVQLPPGRTPTPQSDTRVQFHHDQIHFLVVHETQLAIYETTKLECVKQLIYASFFDATICVFTASHLRLCCRINPTANLNVHPLVIAAHPQEPNQFALGLSDGIVHVLEPLESDGRWGVPPPAENGSTSTVAATSLAAGSGSEQPTQR >KVI06056 pep supercontig:CcrdV1:scaffold_150:400179:403232:-1 gene:Ccrd_015607 transcript:KVI06056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGSASSTLTQYDIEDVQEHCNNLFSQQEIVSLYQRFCQLDRTAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVIFLSAFNPKASMPQKIELIFKVYDSDYNGKVTFNDIMEEVLIKVMKEAGYSKDSSLLLDDFVKILDHPGLKMDVEVPVD >KVI10990 pep supercontig:CcrdV1:scaffold_1500:86425:88557:1 gene:Ccrd_010602 transcript:KVI10990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFLSNPRRLLRISCALDSWRWISNGRSHHTQVEPRNFDEVFDYLDHVLRGCTSFRQCKQIHSQIVLLGGLSTVFLSSRLVSIYGRFELLTDARKVFESVPIECLSITIIWNSMLRANITNGECRETLGVYTRMRNTGFLADEFSFPLVVRACATMGLRNSFDLVHGHVLLTGFQDNIHVANELLAGYGKLGQMAGARQLFDRMVVRSHISWNTMVSGFAFNYDCEGALEMFKRMKLDGWEPNNVTWTSLLSSHARCDRHWETLRLYNVMRSEGIWPAAESLAVVISVCNNSDVFDKGRELHGYVVRVGFENYSFSKNSLLCMYGRHGVLEAAKDLFSEIKTKSLVSWNALISSYAQAGLCDEAFVTFLRLEKSEGYLMPNVISWTAIISGFASNGRSKESLELFRKMQLAKVRANVVTISNLLSVCADLSALVYGKEIHAHVIRGLMDSGLLVGNGLVNMYAKCGSLKGGHIAFKNIKGKELCSWNTMINGYGMHGHGESALQTFKQMISDGYKPDGVTFVSLLSACSHTGLVDAGRKLFNEMKTEYGIEPEMEHYACMVDLLGRAGFLQEASDVVKKMPIEPNVCVWGALLNSSRMHRNKDWVDGSVSKILGVSSATGNYMLVSNIYSQSGRWEDSAKVRGSARALGLTKTPGQSWIELNKKVHMFTAGDFALGEMKEVQTLLKTFSFQMKTEGYFSHEKAFGLQNADE >KVI10992 pep supercontig:CcrdV1:scaffold_1500:93428:97022:-1 gene:Ccrd_010600 transcript:KVI10992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEPQLPIHHQQEQNHHGSYLFVRCSMGFSRIDRLFSFKCVFVLLLSVAVLLTAVFSIFHLHHRQYGFDVKASIKHSATIQAYFRLQKPVAYLLPQITRLEYDIYAEIGVPYTKVAILSMHKASASDWTHVVFGVLSDPMNSSINAVSISVIRSSLVELFNQRSNLTLTTSIFGQPSSFEIFKFPGGITVIPKQSASFWMLPQALFNFTLPNSLREIEENFVELKEQLKSGLHLKPYESVYMQVTNKAGSTRDPPVTVQASIVSNLGNLVPLRLKQLAQTITGSPSARNLGLDHSVFGKVKEISLSSYLYHTLDAPSPSPAPSPLLNYYAEPSISPSPSPVFSSPSPQNGHDQSSPPLANAPVDHSCGGSHIFPSPPPMNVPVPHSLPSAPRHSISPPPVHPRSEMPPDFPSLPAVSYGSHPGQDQGDQKGSVAPPVSVSPSLSCNFSNWFFESHNLASVLLLYTYDTPNFMQIRRLTVLLKV >KVI10989 pep supercontig:CcrdV1:scaffold_1500:76964:79888:-1 gene:Ccrd_010603 transcript:KVI10989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKRMVNDKKAIRRTDASLGELDGARPGDEIRENREGNLGKLSNLNDMEGGALVENLADAIENGTRDQHFDTLVTELSSHFEKCQQLLNTISGSIATKAATVEGQKRKVEEAEQMLNQRRDLIAKYRYSVEELTKPDL >KVI10994 pep supercontig:CcrdV1:scaffold_1500:70305:74283:1 gene:Ccrd_010604 transcript:KVI10994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MGIYTKTSVQRFFHNYHRHPPPSPSFPMATPLSNSTLFSPPSFNPNPNSTFLSRHPPTLQFSSKATSKTPNNNNNTDSDSEQPPFPPPSEKRSFAVATGELFLGIASRIIKSSNAVQHGLNSEEKVRMFGEELDGKKESYFWRRLTKRKEEEEEVIAAVVDDGDVVWEQRLKDVEAERKRKAVTSPGFSFSAAGLLFPYHLGVAKLLIEKGYIKDTTPLAGSSAGAIVCAAVASGASMEEALEATKILAEDCRSRGTAFRLGAVLRDILEQFLPDDVHIRSNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVITSSFIPGYLAPRPAILFRNRLCVDGGLTLFMPPTSAAQTVILICFSLLLEYFMGIVVSSGRSLGTVLNVRVCAFPAADSILDNLYELGYMDAAIWAALNPVNDLVHEDNPL >KVI10993 pep supercontig:CcrdV1:scaffold_1500:16730:23992:1 gene:Ccrd_010606 transcript:KVI10993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEEGERERERAQRETAPLMEEPSRVELPWSTKTRKMCFTSFSRTLAMGVERGERAQRKTGVRVTSL >KVI10991 pep supercontig:CcrdV1:scaffold_1500:90895:92096:1 gene:Ccrd_010601 transcript:KVI10991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type FLLKHTLYTHLHSISLLFHILIDISFCFISCPSKMSLRPRRRVIVNGNQRTRTHHYYWCRRCQTTFRTNSNNVPETVCPRCLGIFPNELDVQRSRLVSNVADVEPSLAAQLIENLALLFEPPELQPQLFGLRDLDTEIEQRPNPNFIFQVTGSQSARLGTGSLDHQNVAFEDTNDVSNEEEDPTLPHTPFSVIEALPLVSLTPSHLLNDSHCPVCKDEFEVGGEARELPCKHFYHSDCIVPWLSNHNTCPVCRYEIEGLLNNDQMHHEDDFPRHDFRNSIDMNRSLVQLITSWPFRAFSNSIRHRPNFLDNSNPTSLIDRIGDTLGSVYDFLYNLVSPFRNNIY >KVH93665 pep supercontig:CcrdV1:scaffold_1501:83382:101904:-1 gene:Ccrd_004284 transcript:KVH93665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 domain-containing protein MVSGSGIYAQEVVVDARHHMLGRLSSILGKELLNEQIFTVAKRQAEKKRDKEAKATTLEAERATKESDVADR >KVH93664 pep supercontig:CcrdV1:scaffold_1501:54449:54976:-1 gene:Ccrd_004283 transcript:KVH93664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHSSAFKIEALLAIDIVDQKMADESQHTQRLHKADRGMHLYYLKVGTIEANPHHIVNLIHYKVLSPLYLN >KVH93663 pep supercontig:CcrdV1:scaffold_1501:44563:52007:1 gene:Ccrd_004282 transcript:KVH93663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRMWSENKKSNTWLWPEPETTDFSGGKGPMKLEDIDEELPLHKRRKSSSSRTTNEWNLDSNEFPFPPYHFNPLNEPSPLGLRLKKSPSLLELIQTRLSQCNKNKGTLPSENLQVGNKKDYASQHEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWADIVALKANCPDNGPGTLTIVLGKKPLFFRETDPQPRKHTLWQATSDFTNGEATRYRQHYLQCAQGVLNKHYEKLIQCDTRLNFLSQQLDTVLDLPFASIKDPGNNVVNRPEMSEGSSVSGIKGMVMPSASQHWYLKTKELGTLVLPHGDFAEDTPSPNSGTIYTETDDMIRNKIFYPNGFGGSCALQGPKTFSAQIKTPRLQQTMSISDLVNHIGHCISEPKSAGILPLLENVSAGGNQKDALENISQILLSDTQLTTASDEKSLLSRVDSLSSLLQDSSSVQNHVHDGNDSFTPDCHPTTEIGLKQGWEEEYGNASDIMSGKDSFSELLHELPGIASLQRLLFDISKDGEILNP >KVH89574 pep supercontig:CcrdV1:scaffold_1503:44030:47895:1 gene:Ccrd_008434 transcript:KVH89574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MECRSTGNPFNTTIIPSPPALSPSPSSFAPHPPEIGPGIQVFGQSPPEPPPHSPGKSNSLTKNKVVLVAIGGFLILIILASGLCFCISRRRKEKSTVEVSKREHVKNATLNVFKPSERRDTGSKDSLARSAEKLETVSKSKEDHRIDMAKVDASSFPTPPALPLQPSPRERVIVKPLAVPPANQRPTSRKNVNSARFFSIASLQEYTDSFSQENLVGSGMLATVYKAELPSGKRLAIKKLDDATSRKWSDERFMELVTNVSKLRNENIVGLEGYCVERGQRLFVYEYCENGTLHEALHLNDEMHERLSWNSRSTNVLFDDELNARVSDCGLAPLLPLSHASQGSGYGAPELESGVYTYQSDVYSFGVIMLELFTGRKAFDSSRPRGEQFLVRWAISRLHDIEALAGMVDPSVRATCSSKSLSRFADIISLCVQPEPEFRPPMSEIVESLLHMIQRNI >KVH89575 pep supercontig:CcrdV1:scaffold_1503:18311:19054:-1 gene:Ccrd_008436 transcript:KVH89575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFVSTNKTSQSSKFHQHSSRSSRAPPPVDVETVKEVLSETPNPNPFMKMENDPKKIRQDHNVLEDPNISEIGSNMSECVSTATLDDDIDVYRRKVIDRSPSKSRNRHQLSGELQPVRKSPARAKEQSPSRVKLVPERNNRGNGFGSAGRQRPGSGNVSAARSRSPANRTVAGGDSGGRNEIGRSLSCRRTGKSPGRVVSDLNERVRKPDFRSGRVREEGSWPPTATNNDELLENPLVSLECFIFL >KVH89573 pep supercontig:CcrdV1:scaffold_1503:38976:42843:1 gene:Ccrd_008435 transcript:KVH89573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MEYSRWKIYMLVVVGLMSIFSAEICFADTDHRDILAINSLYAALGYPPLPGWLVSGGDPCAEGWQGVQCVNSNITGIILNGANLGGELGENLGAFVSIIQMDLSANNLSGPLPSSMANLPSLTTLHLQDNHLTGLLDVLQDLPLIAL >KVH89576 pep supercontig:CcrdV1:scaffold_1503:111110:116375:1 gene:Ccrd_008431 transcript:KVH89576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF707 WVWVRLGGISVAVAFTGLKGLPYGIIEANSDLELKPLWSASSSKLKVISSSLANVPHPHNLLAMPVGLKQKQNVNTIVRKFLKENFTIILFHYDGKMDEWWDLKWCNKAIHIVAENQTKWWFAKRFLHPAAVSIYDYVFLWDEDLGVQHFNPKRSLYDPKGSTKCSSTSVGPPCN >KVH89577 pep supercontig:CcrdV1:scaffold_1503:52429:60869:1 gene:Ccrd_008433 transcript:KVH89577 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MDHTRSNSGTAEDNAGIPDDLRCKRSDGKQWRCHAMSMPDKTVCEKHYIQAKRRAANSAMRASLKKAKRKSVGESDSYLESKSDDMDSPLVDFPISGSGNKHKEKLPKKQISYSPETPSFKNSSVHISSKSNDDPQRDLAESEETPRAYRTPSSVADSSKSRSDKMHGASAMTETSDGSSESSEDTGGQICHQCRRDDTERIVWCLKCDRRGYCDECIATWYPDIPVEEIHRICPVCRGSCNCKMCLRGDNMIKGKIRDIPGQEKLEHLFCLLSSVLPVVKQIHFEQCSELELERRLRGNRIDLPRTKLNADEQIDFCRIPIIDYHRHCEKCSYDICLRCCQDVRKASKDGGEAEVSRNLTDGRNEDTDPLAKQEKPLKPKMRLSNKLCDWKANTDGSVPCPPKEHGGCGNSPLMLKRIFKMNWVAKLVKNVDEMVSGCKMYDFNPPKIDGVSSTLCQSEGRENNNDNFVYAPSSQDIKSEGINEFRKHWIKGEPVIVREVCDESSMLGWDPMVMWKGIQETTDERMKDDNRAVKAIDCLDRSEIDIELGQFIRGYSEGRLREDHQPEMLVLKNWPSPSASEEFLLYQRPEFISKLPLLEYIHSKWGLLNLAAKLPHYSLQNDVGPKILISYGMHDELGQGDSVNMLRFNMRDVVYLLVHTCEVKLPGLLKTKTLQRHGSLGESEVAKSPEKAPDNLSEQMLPKSPIAEHSTKNDGEISLDSIEDDRTESQGISITTTTIPTTTDDEKTLKCEDLNRNCEETLRKSHPGAIWDIFRREDVPKLIEYMRVHWEEIGMADNIINDSVPWPLHEGVIYLNIHHKRKLKEEFGIEPWSFEQQLGVAVFIPAGCPFQVRNLQSSVQLGLDFLFPESLAEAVRLAGEIRELPNDHDAKLQMLEVGKISLYAASSSIKEVQKLVLDPKVGAELAFEDPNLTKLVSMNLEKMTKQRQVACA >KVH89578 pep supercontig:CcrdV1:scaffold_1503:79712:96115:1 gene:Ccrd_008432 transcript:KVH89578 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MAKRGYKLQEFVAHSANVNCIKIGKKTHRNFITGGDDEKVNLWSIGKPSAITSLSGHTSPIESVAFDSTEVFVAAGASSGVIKLWDLEEIKVVRTLNGHRSYCTAVEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHRRAVSTIRFSPDGRWVVSGGLDNVVKIWDLTAGKLLHEFKFHEGHIRSMEFHPLEFLLATGSSDRTVKFWDLETFELIGSTRPEATGVRSITFHPDGRTLFCGLDSSLKVYSWEPVVCHDAVDMGWSTLGDLCIDDGKLLGCSYYQNSVAVWVADTSHIEQHGHNILAEDAHVQPKINLREGLTERVGNPRRPTNTMSPDDDPKDIKNIYVDSVTPVASRKTGSLPTVVNLPDPKEIDDLSTRKQVPASKIIGQADVAVVHGRTRSLVERFEKREKLNTDECQTPDLAPHISSNTDKPALMVKPTLNVVPCAIPEAKTSPVCAKTPDVVPFEEPKAKTSRMQMPVKAPDVVPCPVPEAKTFTVPEKRPNVVSIAATEAKSSSTVQKPDSGPNIKSEEANSSPALVIQRPRTAPSMLPEKGKASPMQRSASTRRLFPDKVRSSPMMVARRSTASSRIIPERTKTSPMLVVQRHDTPNRMIPEKAKSSPLLDDSPQTTGRGLTSKKEDDVADELMLNHDAFLSSLRSRLTKLQVVRHFWERNDARGAINALRKLPDHAVHADVVNVLTDRMEFFTLDLFASLHISVSLELLLKLVAVFGPVVSSTISAPPTVGVDLHAEKRLESCNQCHIQLQKINKCLPAIIRRGGLPARCAQELNVILQQS >KVI00969 pep supercontig:CcrdV1:scaffold_1504:99543:109569:-1 gene:Ccrd_020770 transcript:KVI00969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MVNTKALRARDHNHLQFLAKKVKLDLGGLLHMAFTLKLVPLFNVAIVVLLSNPIFVVARHGQWSNEKKISLQNNEDLVINLPGQPNVDFRHYAGYVTVNENSGRALFYWFYEAWTLPEEKPLVLWLNGGPGCSSVGYGATQEIGPFIVSTDGKGLQLNPYSWNREANMLFLESPVGVGFSYSNTTSDYDNLGDDLTANDAYAFLHNWFLKFPSYKNKMFYIAGESYAGKYVPELAGLIQDKNKDPLLHAVVSDETHKTVRDSCDFNSNNTWSNEDCSQAVDEVLRQYKEIDIYSLYTSVCFSNSAFAENKAMEVVFKKTTSNMMPRIMGGYDPCLDDYAKSYYNKPEVQKALHVADGRQLKNWSICNMDVFNGWKQSKVAGWLQEYEGLTFATFRGAGHAVPIFKPSESLAFFTSFLLGESPPSQR >KVI00968 pep supercontig:CcrdV1:scaffold_1504:12316:40856:1 gene:Ccrd_020769 transcript:KVI00968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNVCEMAEATVLCCADEAALCSTCDEKVHAANKLASKHQRIHLSTYNSQMPMCDICQVDLELLRVRMCDEVLEIAVCIRVPLDIIEIFAQMDKKWNLMSALGFPLGITPAPFGEGKSTTIVGLCQPLRGVVDKRLINRRFV >KVI11077 pep supercontig:CcrdV1:scaffold_1505:24101:27918:1 gene:Ccrd_010512 transcript:KVI11077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MADRRGWTTDDEDLLINNSSKTLSSTGVDVAMEALGRVQLLISQFLQVKEKEQLHQEGLSVRVLPTIAMTLSRLILRSLRRPTAAAFHHLLPSPPTNPTFLIPIRSFAFSSAEEAAAERRRRKRRLRIEPPLHALQRDPNAPRPRRDPNQPDTTSALVGPRLSLHNRVQSLIRAGDLDNASVVARQSVFSSTRPTVFTCNAIIGSMYRAKRYLDAIALFTYFFKQSNIVPNVVSYNFLIISHCENGEVDKALEVYEHIKENAPFSPSAVTFRHLTKGLIDAGRIDEAVNLLWKMVGDGHGADSHVFNNIISGFLNLDNLEKANEFFDELKGRCMVYDGIVNATFMEWFFTKGRPKEAMESYKSLLDKEFKMVPATCNVLLEVLLKWGKKAEAEALFDSMLDRHTPPVVQAVNSDTFNLMVNECFKMEKGSEAYSVFKKAGKAPKSKPFAMDTAGFNNMIARYCETDMVDDAEKMFVELCGKSLSPDVNTYRTLIDAYFKVGRIDDAMEKYIKMVDTGLRVIPTYANKWFSELIENGKIVECEPILTKMAERDPKPDATTYDIVIRALCGASNYDTSLFLLQQMVRYGVGVAPVLKEHVLEVFDKVGRREEIDRLLNARWPGYTANSPPYGNAQQHGGGNPNGYRQQTESIPHGNVNGFGQRHQYGNGQQNNNGNDYVNRQQYNIRNTQQYDNGSNSGSGHQYNTGSYNGNEPQYGNRSYNTNGQQYNNGSWNGNGQQYNSGSYNANGQQYNSGSYNGNGQQYNSGNYSGNGQQYASGNHNGNGQQFGTNLQNGGGLHHGNWQQNGNGMQNGSEQQHVNGEQGYEQPQAGSAAA >KVI11080 pep supercontig:CcrdV1:scaffold_1505:72737:76471:1 gene:Ccrd_010515 transcript:KVI11080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel ClC-plant YYQAFAAFAGCNLVLAICAAVLCAYIAPAAAGSGIPEIFGSVFGVAAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWKWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGCGLFGEGGLIMFDINSSIPDYNMVDLLAVMLLGVVGGILGSLYNYLVDKVLRTYSIVNERGPVFRVFLVVIISLLTSCCAYGVPWFAKCIPCPTGLEVDCPTAGRSGNYKNFQCPPDHYNDLASLLLNTNDDAIRSLFSSLNANEFRIPTLLVFFTAMYILGIITYGIAIPSGLFIPVILAGASYGRFIGKLLVSISNLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLMMLVLLISKSVADNFNKGVYDQIVRMKGLPFLEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHSLRLTTHNGFPVIDEPPFLEAPELCGIVLRSHLIVLLKGKMFTKHKCLTGTEMLQRFHAFDFAKAGLGKGPKMEDLDIKPEEMDLYVDLHPITNTSPYTVVETMSLAKAAVAFRELGLRHLCVVPKTPGRPPVVGILTRHDFMPQHILALYPGLDPHKKN >KVI11079 pep supercontig:CcrdV1:scaffold_1505:88982:91265:1 gene:Ccrd_010516 transcript:KVI11079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MEAIEELAQLANSMRQAAALLNDEDVDENLPDSSRRPATFLNVVALGNTSAGKSAVLNSLIGHPALPTGEGGATRAPICIDLKRDGNLSSKSIVLQIDSKSQPVSASESSCHA >KVI11078 pep supercontig:CcrdV1:scaffold_1505:91287:106976:1 gene:Ccrd_010517 transcript:KVI11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MYTLTHIAKGLYTCTPNVIFILMTLKWNADFCPTTGALRHSLQDRLSKISSKSQDEIFLKLKTSTAPSLKLVDLPGVDKGNLDDSLSEYAQHNDAILLVVIPAAQAPEIASAKALRIAKEYDGESTRTIGVISKIDQASSDPKILAAVQALLLGQGPRSTADIPWVALIGQSVSITSAQSGNVGSNNSLETAWRAETESLKSILTGAPQSKLGRLALVETLAHQIRSRMKIRLPSLLSGLQGKSQIVQDELVRLGESMVTSSEGTRALALELCREFEDKFLQHIMTGEGSGWKVVASFEGNFPDMIKQLPLDRHFDIKNVKRVWFLLILVHSLFLLHYDDCGIGIQIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLANIVSASANATPGLGRYPPFKREVVAIATAALEGFKNEAKDMVTALVDMERVFVPPQHFIRLVQRRMDRQRREEEIKTKSSKKAVDAEQSLLNRATSPQTGGNLKSMKDTKQDKDVDGPTLKTAGPEGEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGVITLEDCVVEEIEEDEPPAKSSKDKKSKVEEKPPSLLLKITSKVAYKTVLKAHNAVLLKAESAVDKAEWLNKLRAVMGAKGGEVIMKADGPPIRHTHSAGSLDTMARKPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNKLYSSVSSQSTARVEELLEEDGNVKRKRERVQKQSSLLSKLTRQLSIHDNRAAAKVQEVVEVTGDLPLILLRMAPWASTLNLGQMATVEGIATLPKTGMIAQAPDQSPPGVALQTGCPQHHLVLVIGFR >KVI11082 pep supercontig:CcrdV1:scaffold_1505:107372:109323:-1 gene:Ccrd_010518 transcript:KVI11082 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MAEQMVDSSYYLPTELVIDIFLRLPVKTLIRCTSVCKSWYAIIRNANFVASHFNSNDNYRQEYHDDGYLLFGGSNFCHVVCDRTFKLMHAIETPFAVRPHRKSSLNFVGSCNGLLCLAPGLEYNWGNDVYIWNPSIRIRKKLPPSQFSDEFTDDRWIVSRLGFGFHELSNDYKVIRLIYFPEGRNFTSVDVPPLVEVYSLRTDSWRIIRTEVPPVVTQSVVTFDKGVFYWMGFKSIRDDPMENYIMSFDLEDEKFREIEQPSVDFPFSLLAVRGSSGSLYAVYSKFFGGQNDILVLWKMDEYNRGWTKAYTIQCVRGVWWTIGFTRSRKFLYTNLEKKLVSFDLESHRSEVHDLGISFSRSAVDVNYMESLLLFDHRCDAARKQKEAQS >KVI11076 pep supercontig:CcrdV1:scaffold_1505:37242:39413:-1 gene:Ccrd_010513 transcript:KVI11076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MRGRNERDELDSHKGSVSSLGVGLTRVLCFLIVFVVGIVIGLVSSSHVDRYFTSQPYSLKLNRASPHPNPMYTNSITKTTTETDDRNCTTTKIVINCIKEDCLSMESFRAPKNLSHGMTDDELFWRASLVPEKAHYPFDRMPKIAFIFLTRGPLPFMPLWDRFFKGQDKRKYSVYVHTNPDFKLDVSNSSAFYNRQIPSQAVMWGTVSLVDAERRLLANALLDFSNERFVLLSESCIPIYNFPTIYKYLVGSIYSYLDSYDDPSRYGRGRYNRRMKPDIRLRDWRKGSQWFEMNRALAIKVISDTKYYNLFKKYCTPDCYPDEHYMATFVHMFHESLNADRTVTYVDWSMGGPHPASFDDKDITESFIRSLRNNGTSCLYNKGTTSVCFLFARKFNPSALKPLLKLSLKVLEY >KVI11081 pep supercontig:CcrdV1:scaffold_1505:48396:49120:-1 gene:Ccrd_010514 transcript:KVI11081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MLTLVRFSLSILAPFSPFSPSRSLSAHPPPFSSVLIHHGKPISESNIIVQYIDEAWQNKHPPLLPSDPYLKAQARFWDDFVDKKINDGARRIWSTKGEELEKAKRELIEWLKVLEGQLGDKAYLMGERFGYADIAMVPFYXWLQV >KVH88165 pep supercontig:CcrdV1:scaffold_1506:86761:105416:-1 gene:Ccrd_024447 transcript:KVH88165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MLRFKLEKDYIKSYCSLRLFLAMEPVIPSQLQLVGPEIHGFKTMQDLDVPTMFEEAKTRWLRPNEIHAILCNHNYFNIHVKPMNLPRSGTIVLFDRKMLRNFRRDGHNWKKKKDGKTVKEAHEHLKCLLKLEENIRCKRGSDVGSYGGDYKGVLYSISPKTYTLVVGNDERIHVYYAHGEDQTTFVRRCYWLLDKKLEHIVLVHYRDTQEVTDINCLSHEKKISFRVKKVRFYFVCDSAEPNETIINHEMRLHEINTLDWDELVVVDGPNKLITPERVLLLQMLQGNDTSLQNNSTFVHKLLTDDQSATFRPGTVGEGNTYDLSFSNMNIQRTGIREHSNLQMDPKLVNVSTDSLDTLGKDGMENEDSFGRWMNYTMTDSPVVIDGPTLTPERLVSTGQGTVRPPSGYNQQSHSLQQIFSITDISPSWASSNEETKILVVGVFHEEHRHLANSNLFCVCGDVCLPVEVVQSGVFRCFVSRHSPGIVNLFISYDGHKPISQVMAFEYRAPPINKSIISSEEKSEWEDFQNTMRLAHLLFSSSRSLNILSSKVPAKALKEAKIFAHRTRSIVNSWDVLINSITGTRISFQRAKSSLLELTLQTRLLEWLLERILDGGKIPDRDVRGQGVIHLCAILDYTWAMFPYSWSGLSIDFRDKLGWTALHWAAYYGRQKSVASLLSAGANPNLVTDPTSENPGGCTPADLASKSGYEGLAAFLAEKALLAHFEAMTLAGNVSGSLQCTIPTDDDFTTNGPVTEVNEEEQYLKDTLSAYRRAADAAARIQAAFREQSFKLKTKALEFVNAEDEARCIIAAMRIQHAFRHYETKKQMAAAVRIQHRFRTWKIRKDFLNKRRQAIKIQAFFRGLQVRREYKKILWSVGVLEKAIIRWRLKRKGFRGLEVAPIPNEDEKQEISGEEEFFKSSRKQAEERVERSVVRVQAMFRSKRAQEEYRKMKLALNQAGVEYEELSNPVTNMER >KVH88164 pep supercontig:CcrdV1:scaffold_1506:3033:20732:-1 gene:Ccrd_024446 transcript:KVH88164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGAPEKTTTSNNNSMQRVKVYRLNDDGKWDDQGTGHVTIDYLERSEDLGLFVIDEEDNETLLVHRISSDDIYRKQEDTIISWRDSEYSSDLALSFQETTGCSYIWDHICNVQRNLHFNTLSNETYHGANSELRELPEVELSTLPSILKVVVDSSITDQLCVTELILHDQKFFRKLMDLFRVCEDLENYDGLHIIYKIVRGIILLNSPQIFEKIFSDELIMDIVGCLEYDPDVPHVHHRNFLKEHVVFKEAIPIKDPLALSKIHQTYRISYLKDVVLPRVLDEATFASMNSIIHSNNGMVVTLLKDDTTFIKELFARLKSPSTAPESKTNLVYFLQEFCNLSKSLQMVQQLRLFRDLVNEGIFDVIADIFQSQDKKLILTGTDILMLFVSQDPTLLRSYVSRQEGVSLIGLLVKGMLKDFGDDMHCQFLEIIRSLLDTYSPAGQRDAIVDIFYERHLDQLLDVITSSCPPYGTAQAVHRSTSSNGNSGNQTSAKPEILLNIYDLLCFCILQHPYKIKSNFLLSGVIEKVLSLTCRREKYLVVAAIRFVRTLISLKDEQLMNHIAKNNLFKPIIDVFVGNGSRYNLLNSAVLELFEYIRKENLKILLKYLVETYWEQLVQFDSLPSIQSLKVRYEQAMEQATNQTGPYALNSRRRVDERALEKEEEDYFNED >KVH94202 pep supercontig:CcrdV1:scaffold_1507:82180:90484:1 gene:Ccrd_003734 transcript:KVH94202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVKGVNTAAMQAEQLKLDGNLYFKKNKLGAAIEAYTEAITLCPSVAIYWTNRALCHLKRNDWARVEEDCQKAVQLDRNSVKGHYMLGLALIRREKYAEGIKALERSLDLGRGANPNSYMVEEIWQELARAKESCEIALKEKHLCDVTQMEGFTDEVTDSTSEQLEALKLVFSKVAEVDTPMEIPDYLCCKITLDIFRDPVIAPSGFTYERAVILDHLEKVGKFDPITRETLHPSQLVQNLALKEAVQAFLEKHGWAYRMD >KVH94197 pep supercontig:CcrdV1:scaffold_1507:27497:30471:-1 gene:Ccrd_003739 transcript:KVH94197 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOMON domain-containing protein MAVLRPSPPPFFFFFLILTLTSLVLRSSPVHSLNCSSEKFTNNKLYSNCTDLPTLNSTLHWTFTPQNSTLDVAFIAPPATPNGWIAWAINPTGTGMIGCQSLIAFKNSKGSMTVKTYNISSYSSIEEGKVSFEVPESSAEFSGGVMKIFAMVKLPKTITEVNHVWQVGSSVKDGVPQKHGFSPENMKAMGKLQLEGKSNATSTAAGNTTAGNTTAGSTTASPSTGASSVRNLRRPENENSAMTRGNQAAYCGKTRPWWKERSAYASKRARRARTLYLNQDPMAMED >KVH94199 pep supercontig:CcrdV1:scaffold_1507:38164:42428:-1 gene:Ccrd_003737 transcript:KVH94199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Para-hydroxybenzoic acid efflux pump subunit AaeB/fusaric acid resistance protein MGVQVVTPPHAGGLWWERLGSAFRTAIACTIIGCTALYGPEHLQHQIQYPSVAYVTAILIVSDATLGTTLRGCWQALCATVVVVPSSMLCLWVVGPSSFTETGAAVAVALSAFLVAVPECLPLLTKRIAFAQLVIIYVGAVVRGHDAGPLTHPIHIAACTALGASASVLALILPYPRLAVTEVKKQFQLYTENASERTSLYMKAFLSEDETTADDLEGVNWERHRLRDFKRSFVNMGDRLDDIETPIKGMEMALAAIPSFPLEIVDDELRTVLQSARVQQTLKLEDAKCFVPFDDAMTVPERKDELFEKSLHTLANVPPTHKNLPAFFFLSCFELLVNNSSMNPKPESHDGQKVHVAEESINRPNKTQTSKERLMFALKCSISLGLAVLLGMIFDKKNGYWSGLTIAISFVEGRLPIFTVANARVQGTAIGTVYGVLGSCLLHQYPEMRFVILLPWIVFTSLLHHSQMFGESGGIAAVIGALLILGRKNYGEPKEFAIARITEVSIGLFFFVLLEILLKPVRPATMVKRQLSRCLETVDECLHRIATRKENGAPIFPSLKENLKKLKSDIDQLKNLSQDAKSEPGFWFLPFRASCYDNLQKSFTQMVDLMQIMIYNMEFIVNLSESSDGSYRELQEHIYGDLMMMKENTSLRLKRLQKISLVKCIDTFDRQLQEREGFLDLESANRPTSNTTCVSMEADMKTTDAFLQHFKKVENKSEGDNDFTGKTIIHLNSFEFCIGSLIRETMQIEKCIKDIIRSENPSRKVDFNDIYYKIDLSNAS >KVH94198 pep supercontig:CcrdV1:scaffold_1507:35644:37942:1 gene:Ccrd_003738 transcript:KVH94198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e MAVPKLDKKIIKKRFKKFKRPQSDWKICVKENWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHFLPNGFKKFIVHNAKETYCAEIAHNISTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >KVH94200 pep supercontig:CcrdV1:scaffold_1507:60128:61851:-1 gene:Ccrd_003736 transcript:KVH94200 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC-kinase, C-terminal MIQSGKFYAAELVIALEYLHGLGIVYRDLKPENVMIQENGHLMLVDFDLSTKLSPKSPSKTRSSKTTPLPKSEPPMKNTNRFSFFHKCCRPAISAEDSVHPTESIDNSEPNCDETHHSFSKSNSFVGTEEYVAPEMLQGNGHDFSVDWWCLGIVLHEMLYGKTPFKGMNRKETFYQILSKSPELVGEPTPLRDLIRKLLVKDPKQRILAAEIKGHDFFRGVDWEKILEICRPPFVPGPSDKEDMDVNKKMIDIESFVQEVFQVDDDVHEKERDHAFLVF >KVH94204 pep supercontig:CcrdV1:scaffold_1507:15565:16347:-1 gene:Ccrd_003740 transcript:KVH94204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MAFFYHHLLIFTLCILYVESADPSAQLCNENSNTTTTELTRNINSLLPKLVQATSQLGYSATSFGYGRTQVFGLAQCRGDVSSQDCSSCIQEAANEIRKMCPNRIDARIWYEYCFLRYNNENFIGQLDTGYGTFYYNVQNVTDPEKFNEGLGALMYRISSLAAVAGSKGLGKGQTKLTPFSTLYGLVQCTRDLSELSCRQCLAIAIGNLPTYCDNKKGCRVIYSSCYVRYELYPFFFPLDSEAAHKSLSMANYKSIVTKT >KVH94201 pep supercontig:CcrdV1:scaffold_1507:71074:78516:1 gene:Ccrd_003735 transcript:KVH94201 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MEAAQRRRLSIIASHLRPITSASEQPKISSSIVSPSLCDSSSDSDKHCNLVTDCVFCKIIRGEAPALKLYEDDACLCFLDTNPVSPGHCLIIPRCHFPSLVTTPPSTHIHIIPRKARDCLWASESLQRHPLKQDQEALHLVNNIRQHLSFVDGFEDSKDHGTTLIGG >KVH94205 pep supercontig:CcrdV1:scaffold_1507:8728:10391:-1 gene:Ccrd_003741 transcript:KVH94205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNSCLLQTKATMASPPKPNLLFFLIWATLIFQGVAGSRKLTMLPYQKFASDKDLNLQHTSNPMTERRSMIGSVKPTCTYNECRGCKSRCRAEQVPVEGNDPINSAYHYRCVCHR >KVH94203 pep supercontig:CcrdV1:scaffold_1507:96123:98454:-1 gene:Ccrd_003733 transcript:KVH94203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAKSGVFEGDQSVAVARATTELKKELQRLVKAIIEDSSSEEEPNGFGFIERANQTLQALKELKEGGKQRSIKRINNNNNESSTSLWASCPQEFRCPLSKELMRDPVILSTGQTYDRPFIQKWLKAGNRICPRTQQVLSHTILTPNYLVRDMISQWCKNRGVQFPGPLQYADEDGLTEADRDLFLSLLKKMSSTQSEQKEAARTLRSLTKRMPSFRALFGESLDAIPQLLTPFCQSKSQNEIHPDLQEDLITTLLNLSIHDNNKKLVAETPMVVPLLLDALRFGTIETKSNSAATLFTLSALDSNKSLIGKAGALKPLIDLLEEGHPLAMKDVASAIFNLCIIHENKARAVRDGAVRALLNKIKNRVHVDELLAILAMLSSNQKAVEEMGDLGAVSCLLSLIKETNCARNKENCIAVLYTICYYDRTKWKEMRDEESSYGTLSQIAQNGTSRAKRKANGILDRLNRAINLTHTA >KVI11015 pep supercontig:CcrdV1:scaffold_1508:65785:67431:1 gene:Ccrd_010577 transcript:KVI11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDEGKSMDGSCYYSVLGISKGASSTEIRSAYRKLALEWHPDKWTKKPSLAGEASRKFQKIQEAYSVLSDQAKKSMYDAGGLDLLDDVDDNEVESLEDLQKTFVEMFGDDLREFMEKQDQTGRKRARVEKPNIPRSSRACR >KVI11017 pep supercontig:CcrdV1:scaffold_1508:74675:78186:1 gene:Ccrd_010578 transcript:KVI11017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase HemE MGFSSFNSGCGCCSLGWKSSSFLVPLGFNSSTTNGVLVSSSINRFNRFRAVRPSASASSPSSGIVLPQFVACDEPLLVKAARGDPVSRPPAWMMRQAGRYMAVYRKLAEKHPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIQTPIRSEEGLKALHPINLDKLNFVGESLKILRQEVDGRAAVLGFVGAPWTIATYIVEGGTTRTYTNIKSMCHTAPHVLRALLSHLTEAIAEYVVYQVKCGAHCVQIFDSWGGQLPPNMWELWSKPYINEAKSQLYSLFFFSMNTRRIIIKIPSILYFQIVGIVKKKCPEIPLVLYINGNGGLLEKMKGTGVDVIGLDWTVDMADGRRRVVKCAGPRGHILNLGHGVLVGTPEEAVSRFFDVARSFNFDSVEEKPKVVV >KVI11020 pep supercontig:CcrdV1:scaffold_1508:49298:53529:1 gene:Ccrd_010576 transcript:KVI11020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKRPFVEGEEDGYKVLNREAKHRIASSNFARNVMHGLSSQELASALEPLIRGWVREEVQRACQSFCCSSPRSPFNALEPCGTTSLQLRFQTKLPPMLFTGSRVESEDNNAVELVLFDTDSNKIVSSGALSSLKIEIVPLDGDFPADDEDWSPKDFEDKVIYARDGKRPLVTGDLVVPLKDGVGNLGEVYFTDNSSWRRSRTFRLGARTQNNYTGVRIREARSDAFIVKDHRGESYKKHHPPSLGDELWRLEKIAKDGAFHRRLASNKIYTVKDFLQMYVTNESSLRKILGGSSNKTWDTIIKHAKACVLDDKLYMYSCGAEGIRLLFDSIFKIVGATFDGQNYLSLEELDGFQMSLVESLKNQFYKNLDGVVPMDDLSVFESSMLTSVLHGDPLRSCASLVLQDVNIPTLHPEQPQVQPQPQMQLASAPHDYGLYNGSQSEVCISAGGSSMQFFSPSLRNSFIMRDFWNGPYGEGCSSGPGMPSGPPPADFDFQTGSSFWPGNALCSAPRNQTVDTVSSDFGICFPRNRSPRARWCKIRAAVKWVSVMRNVAAKRMAELYPYLDFSA >KVI11016 pep supercontig:CcrdV1:scaffold_1508:96722:105106:1 gene:Ccrd_010580 transcript:KVI11016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MQKRESFRLQGRFSRLTGQGLIVFSDDFRSGSSLTVFPGDFMFGWSPSSSLAISGYIPGFEKYGVVSSQIHKGIYEETVLPSVLALLQVKPTDYFFLAVRIVLRGEKSCKFPLFGSTIYGLQGGNNRRWSQKSLSTNTEGKNILSRKTNNIGQQIADVASLTHNTLNTSRVEISESKSIHIEEKISENNDISKLITIIVFDIETTGFSREKDRIIEIALQDLSGGENSTFQTLVNPDKYVLNSHIHGISSYMVNKPGVPRSIALERTTIRSIEGSVGNLKDKNFVCRMKDLIPILVQYIKSRQKPGGQILLIAHNAKAFDVPFLISEFSRCSLEIPLDWYFMDTMSPAREVKKREGSKLASNSLQALREHYEIQEMGKAHRAMADVSVLALVLQRMTRDLKLTVPGLVQNYAFTASEIINNSKKKKNSK >KVI11014 pep supercontig:CcrdV1:scaffold_1508:86992:87475:1 gene:Ccrd_010579 transcript:KVI11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MCCGETISKVDEKICVDCKTSKTPLWRSGPSGPKSLCNACGIRYRKKKSISGSEKKKKKKEKQPPSSPTSSSSCCSTVGEDDCDLRRIKLVVLLQSQRERCGMKKVRRNDYNKLGEVEQAAFLLMSLSCGSSQL >KVI11019 pep supercontig:CcrdV1:scaffold_1508:15052:19514:-1 gene:Ccrd_010574 transcript:KVI11019 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, ATP-dependent, RecQ type MENLEIEKARLISLALEFGFDEESARVCLDRLVDLYGNDGRDFITVDYCGDDFLASLAETMQGSEDWDDLQALETEACGTLEDLFNEDSVRHNETNSNGIGRPFVHVLKESPEVQKHQNLMHLDSTSEDEDPDFNISSKKDTKSTYSSSCNKTSQRLPRLDKSTSKNKDGKSKTIQTSVLSFFGEKTCSPASNDGHGTLSYEELKSLDDLELANVVIFGNTVFRPLQHQACKAFVEKRDCFVLMPTGGATCNDAARSYHCYLSSTFSHPGPDNHSEPQVWSTINFFKFTTKYFPSKRCTPRVEARPREKPSCKLLYVTPERIAGNLPFQDTLKSLHRKGQLAGFVVDEAHCVSQWGHDFRPDYRVLGCLKQNFPDVPVMALTATATDAVRKDILKALRIPNALVLETSFDRPNLKYQVIEKSKEPLKQLGKLLSDRFKNASGIVYCLSKNECVEVSKFLNDKCKIKTVYYHAGLSARQRIAVQQKWHSGEMHVVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRGGRDSLPSECIALYQKKDFSRVVCMLRSGGRKKEGFKTAMDQARKMQQYCEQREKCRRQMLLEHFGESFNPRVCKNGSNPCDNCLNSSL >KVI11018 pep supercontig:CcrdV1:scaffold_1508:24265:27449:-1 gene:Ccrd_010575 transcript:KVI11018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ppx/GppA phosphatase MAMNPLILPFATVSSANNHLFASIDLGTNSSKLRIVRADPTTGRFLTLQRLKEPVVLVSGGNTTAISYSAQLRVIESLRKFQHILHSLNVPSSHLRLVATSAIRESSNQSELVQLIRQRLGLEIDVVSGYEEARLTYLGVLQFHPIYNHTVLTIDIGGGSTEFVIGFQGKVKFGISLKLGHVTLTQRFVRNKATEAMREHIRDVVKESGLIENVLQHKIDIAVGSSGSIRMIEKAIFMGYSSDLVNEIGLLEGYRRDWKFTREELRGLVDKLCEEESEVEGGKVDNRKGFFKTRSAFIVAAVILLEEIFGLLEIKEMEVSGYALGEGVIAEKLAEFYDGFDLNANARWRSVLRLASRFNNKKRMTSAAACASIAQGIFAGLRKWSEIDDKRNQLVLLDNKDLEYLEAACLLHNIGLVTGKKGYHKRSYHIIMLIALLARHHRKKFLQLDHDPLSEFTEEMKHKFRVLCIIIRLSAVLKQYQSLSSQDVDLSHSLEGFKLVIRDTSGANIVQSIPAAIDVELREELDHFEKVLEQKLSVAILSSSSG >KVH89378 pep supercontig:CcrdV1:scaffold_1509:30984:31711:-1 gene:Ccrd_008634 transcript:KVH89378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MQYRNPLSALKNTRTVNRTGQLTRYKLVRGSNCLPLAGSEAKLLRMIAFLMHNLWVTPYASGEDFLEGSSLIKIQGLAKAWPYGSSKIGLLNKPISSSGEYVFGITYVPRLEDWPVMPVERIRFMLQKEEYFIIGHNNTFLEPGIL >KVH89377 pep supercontig:CcrdV1:scaffold_1509:29690:30281:-1 gene:Ccrd_008633 transcript:KVH89377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAERVGKRDQKRKIYCLGPGREAPSSLAQSKRLKVEDYRHLLRLTEVKCGRCKIVHNEEASSVYLVYNC >KVH89125 pep supercontig:CcrdV1:scaffold_151:318696:327274:-1 gene:Ccrd_008889 transcript:KVH89125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyldiacylglycerol synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00550) UniProtKB/Swiss-Prot;Acc:Q8W1S1] MVYEVNEYMDKKEHIAIFTTASLPWMTGTAVNPLFRAAYLAKDGHRKVTLVIPWLSKSDQEYLYPDKITFNLPKEQEKYVREWIEQRTEFLSSFNICFYPGKFSRSKRSILALGDITESIPDEESDIAVLEEPEHLTWYHHGKRWKIKFRLVIGIVHTNYLEYVKREKNGRAYAFLLKYMNNWVVDIYCHKVIRLSGATQELPRSVICNVHGVNPKFLEIGMKKREEQKLGKQAFTKGAYFIGKMVWSKGYTELLKLLRDHQTELDGLEVDLFGTGEDSAEVQEAAENYKVFLNPSTTDVVCTTTAEALAMGKIVICADHVSNEFFKQFANCRTFKDGESFVNVTRQSLTEQPAPLTNSQMHELSWDAATSRFLKAAELNKAPEKKLTKSHSKSFLSSSLGFQRNLEDASAFMHFFGTGFVSSVPNEQQCEELGVSFSHLVDDFEHEMKSSSSKSSSISLANTTEEMWKSPMDCLTECVVDVA >KVH89134 pep supercontig:CcrdV1:scaffold_151:44146:45984:-1 gene:Ccrd_008875 transcript:KVH89134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSVSAAFMESQCVIQPRRLKDFLQDQNLPFPRPRKSTISVFIHAAVSSVKSSSILRRSISRRFSKSTRSNKNGSSGGLPEATMARSTSVTVKDILRWKSFRDLADLEENNVPRPSDFTVESPSRCTATTTATTTTSAGTPRSSWCDSDFTVGDSPVWCRGFSGDIEVADKKNFHINVASGDRIRQNTRDPKDHIVREEEEEQFSPISVLDFAQEHEETFSSFHQILANMDRRNFMLKQQIQEFENLIVAEDHSLVNKNIELDDVESFIVEEKAIELMNQVKTTTSIEESNSNMDYLLLDFFRDELFTKKGLETDSEFESRVLRVAKSWIRGESDESLEWEIEGTREMCVREIEKIINLKDFKEDKQEIGIEIASMVFDHLLDELSSDLVNI >KVH89127 pep supercontig:CcrdV1:scaffold_151:258916:266687:1 gene:Ccrd_008885 transcript:KVH89127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNVMRRLKSIASGRTSVSDTSGDSNTKRVKAERESASTSGDQPESAKSARMAAGTSSISKHEKSDYENLPKEMQGMKIKEDKSDDKDFEATLVNGTGTETGQVIVTSVGGRNGKPKQAKCLETGEAVAIKKVLQDKRYKNRELQIMRLLDHPNIVPLKNCFYSTTDKNEVYLNVVLEYVPETICRALNYIHNVIGVCHRDIKPQNLLVPGEPNISYICSRYYRAPELIFGATEYTNAIDMWSAGCVLAELLLGQILGTPTREEIKCMNPHYTEFKFPQIKAHPWHKIFNKRVPSEAVDLVSRLLQYSPKLRCTALEACTHPFFDDLRNPNTTLPNGKPLPPLFDFTPQELAHASPELLQRLIPEHAKE >KVH89145 pep supercontig:CcrdV1:scaffold_151:367569:367894:1 gene:Ccrd_008891 transcript:KVH89145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative domain XH MLLEQGIIEENYDKLKGLKRDIGEEVYKAVTTALTEINDYNPRDVFSGSTLSDHVLRFNNIPQ >KVH89137 pep supercontig:CcrdV1:scaffold_151:66700:72619:1 gene:Ccrd_008876 transcript:KVH89137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine methylase transferase MTILLQNLPPIPSSASSLRCRTRSPTVVCVVASSNTHKPDRIKPKTRIKIKEPDDYHATLRALNSKGRIPRKTLGQHYMLNGEVNEQLVDAANVSDGDVVLEIGPGTGSLTNVLVESGATILAIEKDPYMAALVRDRFASTRRVKVVQEDFTRCHLRSHLSSYMEESDPSDSNPYAKVVANIPFNISTDVVKQLLPMGDVFSQVVLLLQEEAAVRMVDSSLRTSEYRPINIFINFYSDPEYKFKVDAAVVVFRLKQTVDYPQVNSAFNGKRKMLRKSLQHITSSLKMEEALVNIGLPATSRPEELTLEDFVKLHNLIVDI >KVH89132 pep supercontig:CcrdV1:scaffold_151:176346:182886:1 gene:Ccrd_008882 transcript:KVH89132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 MSTLDVARTELALAVLYLNKAEARDKICRAIQYGSKFVSNGEPGTAQNVDKSTSLARKVFRLFKFINDLHALISPTAPGTPLPINKDRAEILGRISLFCWMGSSVCTTLVENELYRAKVKTSNERSLALIKAAMDIVVAAGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSPQKSKTP >KVH89141 pep supercontig:CcrdV1:scaffold_151:83819:87402:-1 gene:Ccrd_008878 transcript:KVH89141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEMDPPQMQVQRSKPSKKITRRMVSNFFLFFSSINGSNTLFTLSPPKEKMFGRNMNLITTVIGFAMSATFIVFVCARLVCGRFRRYESRQPFEIDSRIDLEMQEHHRIAGLEPVMVAAIPTMKCTICLGEYQEKEVLRIMPKCGHSFHLSCIDLWLRKQSTCPVCRLCIIAAVNSPGISREVSMEHSRQWLLPHSDQGSSQSQSQNRADSAMGDSEAVTEAER >KVH89140 pep supercontig:CcrdV1:scaffold_151:142252:143121:1 gene:Ccrd_008879 transcript:KVH89140 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MMIIAASETTKTAVTSTVTATAPAAITTTPTMKVVKPATRKFVGVRQRPSGRWVAEIKDSSQKVRLWLGTYDSPEEAARAYDEAARALRGENARTNFAPMVNSNPCQINSISGSLSESESRRSLSFSSLKARLSKNLQSIMARTTENKSSPKSRVSDHFTFASIFNFKGNYQYQNNVVGDFDKVVQPSVIVPPQTMANHDVATTYNSSWESSSVSDCSNELASFNTTHYGLDSDGSDIGEGSYFGDQMISGWISSPDISDGGSRSKRFKVSSSVFVPPKFSDNDFAFPW >KVH89138 pep supercontig:CcrdV1:scaffold_151:16514:26573:-1 gene:Ccrd_008871 transcript:KVH89138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco LS methyltransferase, substrate-binding domain-containing protein MGSSEELKLQHFLEWLKLNGGELRGCNINYSDSNKGFGLFSSDGATDGVLLVVPLNLAITPMRVLQDPILGPVCSAMFEEGEVDDRFLIILFLTLESLRKNSSWKPYLDVLPTTFGNPLWFSNDELLELRGTTLFKATELQKKNLQSLYDAKVKRLVKKLLILDGDLESIPLPRSSVFPQVQDEQQNHASNSKLGNGDDGKKHEVESQVVGVDSSSIQGETVWVEGLVPGIDFCNHDLKAAATWEVDGTGSATSVPLSMYLLSVEEAHHQIGEEISISYGNKGNEELLYLYGFVIDNNPNDYIMVHYPAEAIKDVPFSETKLQLLEAQKAEMRCLLSKSLLDRGFFSNKKETNDKCKEIEVPNYSWSGQRKTPSYLNKLIFPEEFLSCLRTISMRENEIYRVSSLLEELVGSEGQRQPSDTEVRAAIWEVCGDSGALQLLVDLLSTSNGADEASKKQELGRNKWSSIVYRRGQKQLTRRFLKEAEHALQLALSEGN >KVH89133 pep supercontig:CcrdV1:scaffold_151:185099:188250:-1 gene:Ccrd_008883 transcript:KVH89133 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGANSSMLSPESSDGSNHPAKLKLGDIPESCVALVLSYLDPPEICKLARLNRAFRAASSADFIWDAKLPSNYQYLVDEYLIKNPTKLGKHEIYARLARPIPFDAGNKEFWVDKRTGGVCLSISSKAMTITGIDDRRYWNYIPTDESRFHTIAYLQQIWWLQVEGDINFRFPSGTYSLLFKLRLGRFTKRSARRLTNHNGVHGWDIKPVQFQLTTPDGQHAVSKCFLENTGNWEYHHVGDFVVDDPNESINIKFSLTQIDCTHTKGGLSIDSVLICPSNIVKDFKALL >KVH89146 pep supercontig:CcrdV1:scaffold_151:344601:354285:1 gene:Ccrd_008890 transcript:KVH89146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHGEKCAKVVKEVLNEPVHVIPLQYLETRRERLRLPVEIKIEGHPFSELVSRNPVFARSMIEERADEVLELTGHKQREEQLNLQRQMFWSHMDRISKMGSSKELLLSDPVSELDGDGDGDGDGDVSEQILYAASFEEFASSIIMYDTIIWVSISLLLVLAWGVGVIMLLYLPMRRYVLSKDLSSRELYVTPSEVVYKVIVKEASKVIQDAGRSWRVSVHGAEPESPSHIRSFTEASNLRSPRRSWQQAVGSPRASFAEQRGNMINEESEISCGSAVHCIRLNEYATFAQRYHHSIN >KVH89143 pep supercontig:CcrdV1:scaffold_151:396283:407317:1 gene:Ccrd_008893 transcript:KVH89143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic phosphomannomutase MAVRRPGLIALFDVDGTLTAPRKSLKTHLGDENIRDFINFTLHYLADIDIPIKRGTFIEFRSGMINVHNIRAKMVEVLREKFAHLNLTFSIGGQISFDAFPQGWDKTYCLKYLDEFEEIHFFGDKTYKGGNDHEIYESARTVGHTVTSPDDTMDQCTTLFLNKQA >KVH89122 pep supercontig:CcrdV1:scaffold_151:32460:32639:1 gene:Ccrd_008873 transcript:KVH89122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMDGVVHDKRIQDGGREVRVQKHNTSSSSCSLKTTNHCVKL >KVH89131 pep supercontig:CcrdV1:scaffold_151:163866:165813:1 gene:Ccrd_008881 transcript:KVH89131 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDSLPDAVIQYILSHLSNAKDVASCNCVSKKFKDSMPYVTSLYFARSVFDSLTNGQDPDSVIMQMVSSISRLEELVVYCPFTGSGLASCVLLAGSSLKSLELRMDNLVDQNMGNDSLFKLECIQAAKNLESLRLWGVFMVRAPKWDTFRKLRNLEIVGAKLEDSVLVEALRATPNLTQLVLLGCEGLRTVSIELLELEHCRLDFYGSGSCSLTLKAPKLEDLQVQGCCWIRVRETSCLKYLSISNNAGRIYMVDFGKLVALESLAIRGVQWCWDAIHKMLQLATEVKHLFMKIEFTGDFEALLPFPEIDFVDFFKTHPNLKSFDIHGAMFAALCQKNSLKNVDSSFVIPWLEEVVITVRSPLNVEQKMNTLESLVKFAKNLKKMKIKILQMRSGHSSADEFFEEICRFRLMNYSLISIE >KVH89129 pep supercontig:CcrdV1:scaffold_151:303884:308471:-1 gene:Ccrd_008887 transcript:KVH89129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylacetic acid degradation-related domain-containing protein MTNQNAANHFGGMAKTVVSKEMPSETVTKVTNFFTRLGGGTVIPAKYEGEDVHFDLIRSLLKVQHIHRGRITCILTVKPYACNAYNTLHGGVIGSVAEIVAIACARTVVNKDKELFLGELSVSYLAAAVKQTEVIVDASVVRSGRNLTIVAIEFKLKNSEHSTSLCRATFYNMPVASL >KVH89144 pep supercontig:CcrdV1:scaffold_151:374908:388377:1 gene:Ccrd_008892 transcript:KVH89144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme, NAD-binding MWRRTVARSAVVAENLRRFSISASPSLIPGPCIVHKRGADILHDPWFNKGVDERMLEHGLWHRLTNNYFWNIQEQDTGFPLTERDRLGIRGLLPPRVISFEQQYERFSEFYISYNPVFAFHPLNYATTYLRIIFVESYRSLEKNTAGQPDSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAPEVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPIMLDVGTNNQKLLEDRLYLGLRERRLEGEEYISVVDELMEALHARWPKAIVQGTAGVALAGLLGTVRAQGQPLSDFVNQKIVVVGAGSAGLGVLNMAFQAVSRMTGKTANPQFYLIDKDGLMTKERAGVDPSAAPFAKAIGEVDSLGLREGSDLLEVVKKVKPHVLLGLSGVGGIFNEQVLTIFYCGNGKVGHVNQANNMYLFPGIGLGALLSGAHIISDGMLQAAAECLASYMTEADIQKGILYPSINSIRNITAEVGAAVLRTAVVEELAEGRGEVGPKELGHMSKEETVEYVTHNMWYPIYGPLIHEK >KVH89139 pep supercontig:CcrdV1:scaffold_151:10808:14834:1 gene:Ccrd_008870 transcript:KVH89139 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MKNNEEQSRSLFGISLSDRPKWQQFLICSSGFFFGYLVNGVCEEYVYNRLKFSYGWYFTFIQGWVYLVLIYLQGFTTKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPPHEYVSALLLVAGLILFTLADANTSPNFSIIGVVMVSGALIMDSFLGNFQEAIFTMNPDTTQMEMLFCSTVVGLPFLIPPMLLTGELFKAWSSCSQHPYVYGVLVFEAMATFIGQVSITTARKAVTLLLSYLIFTKPLTEQHGTGLILISMGIVLKMIPENKPAPRVVPKVENRRNEEEEERRSLV >KVH89136 pep supercontig:CcrdV1:scaffold_151:78321:83177:-1 gene:Ccrd_008877 transcript:KVH89136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructosamine/Ketosamine-3-kinase MVAQLGVISSTSSCHLFRSSHPFSIRLQKPMAMATVSTDPVREWILSEGKASQITGISPVGGGCINLASRYNTDAGSFFVKTNRSIGPEMFEGEALGLRAMYETKSIRVPQPFKVGALPTGGSYIIMEFIEFGSSRGDQSLLGRKLAEMHKATKSENGQRLVKNLGALFEDVTIEPCLLHGDLWSGNISSDKNGEPMDIMKQNSECHGVPVSEARSTMPILRRDVYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >KVH89135 pep supercontig:CcrdV1:scaffold_151:37614:42071:1 gene:Ccrd_008874 transcript:KVH89135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKADKRFSVKTDVSLFKRLGKGNQENIARNHQVQTAVCKYWLEGRCTRNPCKFLHSQPAKTSSKQSKYTWKNPVSFKSENSPPIENAQRTILKNKLDCCQNQSKSTLKTQQKLTEVNVGQSKSIPKIQQKKHKLCNYWVTESCKKGDKCENLHSWFSGNGLSMVSRLEGHTKAVTGIALPSGSNKLYCGSKDKSLRCGAVLDFDDECATLVNEGPWIFVGLRDMIKAWNLNTQHELVIRGNGGQVNAITMFEDILFAGMEDGTVLSWKSTSETSFSGEPTSLVGHTKSVLSLIVGAKRLFSGSADQTIRVWDAESLECVHVLNGHTDDVTTVLCWDHYLLSGSLDKKIKVWAATGSGNIEEVYEHDGVLAFCGMHDAEAKPILLCSCKDNGVCLYDLPSFVGRGRVLSTVDIQTTQIGHGGLFFTGDAAGLVSVWKLHGEPECKSS >KVH89124 pep supercontig:CcrdV1:scaffold_151:317660:318266:1 gene:Ccrd_008888 transcript:KVH89124 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MDPYVILSYRSQEKKSSVAAGKGSNPEWNETFLFDVSSKDSAELKIKIMDSDSGTADDFVGHASISLDTLFQDGEIPATSYNVMKDDAFCGEIRLSLNFTAQKSRGFDPTDGNIGGWRQSGRD >KVH89130 pep supercontig:CcrdV1:scaffold_151:154546:156186:1 gene:Ccrd_008880 transcript:KVH89130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MADVRSADEWLSYAKDLVPVALEKAREVKEFPGRWKMIVSRLEQIPSRLSDLSSHPCFSKNMLCNEQLEAISKTLNELIELAEICSTGKYDGKLRMQSDLDSLSGKLDLNLRDCGLLIKTGVLGEVTMSSDLEGTTHRSSDIRELLARLQIGHLEAKHKALDSLVDVMKEDEKTVLSIVGRSNIGALVQLLTATSPRIREKTVTLICLLAESGGCESWLVSEGVLPPLIRLLESGSSIGREKSAIALQRLSMSEETARSIVGHGGVPPLIDICETGDSVSQASATCTLKNLSIIPEIRQTLAEEGIVKIMISVLDSGILLGSKEYAAECLRNLTSSNDDLKRCVIADGGVRSLLTYLDGPLPQEPAVAAVRNLVGLVSVESLISLGILPRLVHVLRSGSIGAQKAATSSICRICNTVEVKKLVGESGCIPLLIKLLEAKSNGSREVAAQAIASLMTVQYNRREVKKDEKSVPSLVQLLDPSPQNTAKKYAVSCLSLLSSSKRCRKLMVSYGAIGYLKKLSELEIPGCTKLLEKLERGKLRNLFGKK >KVH89123 pep supercontig:CcrdV1:scaffold_151:28047:28894:1 gene:Ccrd_008872 transcript:KVH89123 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MLSCLFEKRGELCLEYLRDLSVDEIKMELSRFKGIGPKTVACVLMFNLQQDDFPIDTHIAKAIGWVPIEANTKRTYLHLTTRIPNFEKM >KVH89142 pep supercontig:CcrdV1:scaffold_151:432297:437729:1 gene:Ccrd_008894 transcript:KVH89142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLEEYIDIGEPETHNCVIKLRVSPKKRREKVLVGCGAGFGGDRPLAALKLLQKVKDLNYLVLECLAERTLTDRYQAVKSGGDGYDPRKECCISIDLFLFLVYDYAVSEWMQLLLPLAVERGVCIITNMGARAAPIVECLEKYNPNVVITSRVADAALFLAPMVYELGWNWDSFLLLAQGSLAGHLLE >KVH89126 pep supercontig:CcrdV1:scaffold_151:227391:252783:1 gene:Ccrd_008884 transcript:KVH89126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MASNRISSFLISLRMKKTNIFFMLLTTVLCSSSYLIGNWKLRGITTVSTDVRSSIPCNVAPNDAVPIRTQTPLDFNPHHLAEDIPDSTPAARVNRFPPCSTEFSEYTPCEDVDRSLKFDRERLVYRERHCPEKGEVLKCRIPAPFGYRQPFRWPESRDAAWYANVPHKHLTVEKAGQNWVRFNGDRFTFPGGGTMFPNGAGAYIDDIGKLINLRDGSIRTAIDTGCGRVDMFIFFCFCIDGLYLIEVDRVLRPGGYWILSGPPINWERHWKGWGETREQLKGQQDLIESVARSLCWKKIIQKDDIAIWQKPTNHVHCKINRKVFKKPQFCQNQDPDMAWYTKMEACLTPLPDVSNIKETSGGGPVAKWPKRLTSTPPRIISRSVGEITEEDFTNDTDIWKTRLSRYKKLDQQLADKGRCEMEDILLEMDRILRPQGSVIIRDDVDLLVNVKTIADELQWETTLVDHEEGPLFQQPYLNLPKNPCTHLSIAIRSDFSYVKFIVLSIFVLIMRHLDLISSKHNTRQWRFLDFVSLIFFAAIFLFFVLVLTPLGDSIAASGSQALITSTTGAKQRHHLIALIEAGRNLTIQSCPSDTVDYMPCEDPNRNRLLSREMNYYRERHCPPAEERPLCLIPPPEGYNIPIQWPTSLYKIWHENMPYNKLAERKGHQGWMKKEGPHFIFPGGGTMFPDGAVHYIEQLEHYIPISGGVLRTVLDMGCGVASFGGYLLAEDLLTLSFAPRDSHKSQIQFALERGIPAFVLMLGTRRLPFPAFSFDLIHCSRCLIPFTAHNATYFMEVDRLLRPGGYLVISGPPVQWHGYEKEWEDLQTVAKALCYDSVVVDGNTAIWKKPTDISCLKSQKLGIKLCDEYYGLKQCVSLPSSVNGKLAVGAIPKWPQRLTKTPSRATVIRNGNEEFELDTRLWQRRISFYKESLNLKLGTQAVRNVMDMNAFFGGFAASLISDPLCEPFSSYPRTYDFIHVASFESLIKDPTTGKKRCNIVDVMVEIDRLLRPEGTFVVRDSPETIKKLDSVARSVRWESTIHDTEPESHMNERILVATKPLWTLTSSQ >KVH89128 pep supercontig:CcrdV1:scaffold_151:272885:284883:1 gene:Ccrd_008886 transcript:KVH89128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMPSQSPSSSSEDTTTNNNIDSTPLLNTSTTSDAIFRSRQFVRGTPSLRGAARFLRRASSRRMLREPSMRVRESAAEQIEERQTDWAYSRPIVILDLIWNLAFIVVSISVLIMSRKEDPQRPLRLWIVGYACQCLLHMVCVCVEYKHRYQQRSSEYGGNLRSEVNNGNPNSNSSSSGSEERENAAFSPDRSSNDDDTSVAKHLESANTMFSFIWWIVGFYWVSSGGQHLTMNAPQLYWFVFLPLGRFNMKIVLCITFLAFDVFFVVICVAVACVVGIAVCCCLPCIIAILYAVADQEGATKDDIERLPKYKFKRIDDFEKENGEIQKGYGGIMVECDTDSPVERALSEEDAPQSIYPDIYLDV >KVH96724 pep supercontig:CcrdV1:scaffold_1510:5209:8668:-1 gene:Ccrd_001185 transcript:KVH96724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYLPSPISSTLVSITTISFQPPHHKPSPANSSTMASAAFSMANSSLQVNGKGFSEFSGLRSSSASLPFGRKGSDDLVSMVAFQTSFVGGVKVSKGVVAEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDAVVKPSGDSAISVDGKIIKVVSDRNPSNLPWGEMGIDLVIEGTGVFVDRDGAGKHLEAGAKKVLITAPGKGDIPTYVVGVNADLYNHSESIISNASCTTNCLAPFVKVIDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFREAADNELKGILSVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >KVH96723 pep supercontig:CcrdV1:scaffold_1510:77191:90274:1 gene:Ccrd_001186 transcript:KVH96723 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF640 MSFDRGKEPADHGSSGDPPPPSPSRYESQKRRDWNTFGQYLRNQRPPVHISHCNSNHVLEFLRYLDQFGKTKVHLQGCIFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPESNPFASSTIRVYLREVKDCQAKARGIPYKKKKKKTTSMGGEDSSSTVHFS >KVH88162 pep supercontig:CcrdV1:scaffold_1512:5824:26617:1 gene:Ccrd_024448 transcript:KVH88162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MLLQKKSRQLFDQCLDGLFRVYERLKVTIDCKFGDGKFKTRGVGKLNDEGHFKVSLPQEILRDGKLAEECYVQLHNAANAPCAFHSGLEASKIIFLSKSNQKHTFGPTGKLKFSSAVCTSAFFWPIFKHPTLPKPSLPKGHPWLKKFGHVLPLPPLPPKVLPPFPLPPKIPFKKPCPPPVPVYKPTPKPPVVEPPPVYKPKPEPPVPVYKPKPKPPVYKPKPKPKPKPEPPVYKPKPEPPVYK >KVH88161 pep supercontig:CcrdV1:scaffold_1512:85000:85392:1 gene:Ccrd_024452 transcript:KVH88161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIVKQILARPIQLADQVIQFTDYVSSLKQDCGEIRAKTERLAGLLCQAAPPVPATISTTAPPAVSSMTPNRFSIRLQIVFKCRASGIRWLFIIIIPAAAVRRSSQQLENSIGDVSWLLRVSAPDEECR >KVH88163 pep supercontig:CcrdV1:scaffold_1512:31903:36434:1 gene:Ccrd_024449 transcript:KVH88163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup186/Nup192/Nup205 MATTKSVNASLWWEPFTDLLTELENLSTSSELPISLANKLKENHSWLLDSVSLFKPSNQKSREALDFQHVQIGSHHLTIQPKLKELAMKISSSLCLDEVQSYILVERSCEHDTYDLVVLEPLHL >KVH88160 pep supercontig:CcrdV1:scaffold_1512:96171:104316:1 gene:Ccrd_024453 transcript:KVH88160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MVLNLSDAISVGSNLKSVLLFCRTVLCRFSGHMIYPGKGIRFIQSDSQVNNIIILSLVSHVFLFANSKCKRELQNRLKSSKLTLIHCFLRDFSQSTSIPRKQQRVRDHGYDNYMEIEKKMRKVLKVQELILSQPNSMVASARLDNLSRRLGFKQFEAGRFVLKFPHIFDVFEHPVQRILYCRLTRKALIQIQQENEAVIDQISDAVTRLRKLLMLSNTGRLGLEHVRIARREFGFPEDFEFSVILKHPQFFRLFEDKGSRSKYIEIVERDPVLGVCAIEKIREKEYREKGGDAENIRFSFIVNFPPGFKIGKYYKIAVWKWQRLPYWSPYEDVSGYDMRSLEAQNRMDKRAIAMIHEIVSLTVEKKISLERIAHFRITMNLPKKLKDFLLQHQGIFYISTRGNYGKLHTIFLREAYNKGELIEPNELYLARRQLAKLITLRRPNMDHELVYHRRERVANHMDRIDVKNISEKSWTEDNISNNGQGNLEGSDSNVESDGETDEEDDCHGNPAPGGYVSYLKCNIQGYVKFEIMFYEENTSQVQPFTFSRWKDDDSRRPYMLSRCVYDLDLVFYWGSFHGVFASGKWLGRRQIRCNWVAKVAA >KVH88158 pep supercontig:CcrdV1:scaffold_1512:78585:83590:1 gene:Ccrd_024451 transcript:KVH88158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific eukaryotic initiation factor 4B MAATVTSAWAKPGAWALDSEEHEEELKQEQTPAPSNGRATAAAMSDFPDLMTAAATKTKKKKGQTLSLSEFVTGSTNKPTGQTYQAAKGLTAEDMMMLPTGPRQRTAEELDRTRLGGGFRSYGDRNNESSNSRWGSGRTNGGGDEGRKSTREPLGPSRADEIDDWGAAKKSTTGFDRRERGGGFFEGSHSRADESNSWGSNKSYTPSEGRRNGGFDRERRMGFESSGDADTSDNWGKKKEVRFGGGGGGAFDSLRERRGGNDSSDSDNWGKKREEVSVGGGGGRPKLNLQPRKIPVADGESVVAVKPTKGSNPFGDARPREQVLKEKGEDWKEMDEKLEAMKIKDLGSGDRLKRGIGRPRSNEDSTERNWRKNQAVDVPNPLPSDEKNENGHMEVAEEAAADVHKILVSASCSSSFSSVMMDLVVRMSVVAVLVANIITIKIDIGKADTMVSGTVFCDQCRDGHVSLLDYPLGGVKVLMACPGELGDFTLLREETTNWLGTFTTSFAGTLDMSSCRAQVSANGQGCGAAAGPAQDFRLRFRMFDTETYTVDPLIAQPAQPSPFCPRPSPLPPPLLPPPVNHLLPAADCPPQQPPLPLIQFPPLPPLPPLPALPPLPPFPTLPPMPSVPAFEASACPHQMWMMPQHKCYWKVLSPDLKVAIVFGPLASMKYGRNITLHESMYGRGDPYRTLLREGTTALLNSYSSSQFVYQPQDVIQRVNSALTSGSIQQVLLTAFRFIMANSGQTGNVTCRFTACA >KVH88159 pep supercontig:CcrdV1:scaffold_1512:46979:73246:1 gene:Ccrd_024450 transcript:KVH88159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTSVTATSGSVYVEDGSKADRTMREVVLKLISDGLEGRLLSVIESLLSATYPESMDVDVFTLWAEEMLIEDNLVLDILFLAYYESFCTCDGKQWKNLCLLYERMISGSCNFGKLAISAEAVLSIYHAKVQLLLILIETLYLENLLQMLHDEIPFRRKKFERTQTLTPAVSKHIQEIVHHESSTAVDHRPPLPEIQIDVGKSEHRVVFSNRLSSGEIRATSIETTSYGGVPSLPEVSHIGWGRFYTLRELWAATNGLSAENLIGEGGYGIVYNGAMGDEQGNISFAMSDIQEVDAIISSFDAFETKEAGPLILTWAVFLCLISSLPEKQEHNVLMEIDHVGYVRQAFGAASLNYFDEILHSNFLKDLEGPIAGFCSVLRTFVSAFIASYEISLQLEDNNLKLILSILGEIYRGEESLCVQFWDRDSFIDGPIRCLLYNLEGEFPFRTVELISLLSALSEGAWPAECVYRFLDKSVGLSTLVELRGNLGIDKNPSFVDTQLPLCVPGLEGLQIPKNTRGRVLKVIDDNTALVRWEYTQSGVLVLLLRVAQEMYPDCSEEVLVTLDLLSRLVTFNKAVCYSLMSIGDTFHGKEITGLNMAEIICTLIKNLSSNRSGALLMSMGVNILAMMLKCSPSHVTPTVLKTNIFDVALRMNPFNIGSDGLSSGSWLLSGRLAKLLLIDCEHNDSSCPLAVSGMLHDRHQFFVSMLEFTIQLLEKGIENDFLLALVIFSIQYVLVNHEYWKYKVRHFRWKVTLKKLYVSRLYEPTEIEGLQLAICSVLDIFSILTDFSKDTLPGYPVFLQAVLSSATKPIPVVTAIISLISFFRNPKIQVGAVTALSMLLLTADDLQPYMSGNACLGLDDKQIADFRNSIIMIISEQSPSNEDLIVSMFKMLASAAYYQPAFFVAITDSKDSTTEVSFGSLVTKGENLLDALGVYIKKFPELIKSHPKILLNILDFLMALWQGASQFINILEHLKKSENFWGQLSTCISLVSSMEDNSSGILSTDSLISSYRYQCQADILQIMSLEMFLQKKVLHSEFVRKGSELSKDILVKGSNSEKTEDDSHSGPREILSTWFKSSFLSKLIKVYASCEYDNDKYLKGQVSAALFFVQVIGKLRNGQTGSLSVSLVEKLSVLEKKLHDLPAFLELVTQYRQQGYSEGKELKNLILSDLYYHMQGEYEGREIEHKLFKELFQFLLESKLLESYQNKDAENLSIHAKGVFLFDCTRLEKDLGIDLWDILEWRSLKTVAETMLADMKDVNSMLLLSNCKLLALKALATMLPVYDEDVMWKATIGGELPEQLISSCFKHICQSLHKATESLVPSSDACKDVLDFLAAQAELLLHFIRFVQGRLSLPSCVLVIKTIESSLKELKDLKPSSVDVKPTLKLLLTVLLMSVQPTCINSPAVGSINKESEVSDVYLRLLPILCNFIEPVEYSTLSVATIDLLLKGFSSPTTWFPVIQKHLQVQNIVKRLHDKKYLPAVPVILKFLLTFARVRGGAEMLVNIGFFSSLRVLFEGSLDGGTLSLANGNESEKAEKEKPQHIWGLGLAVVSMIIYSLRDSSSNSDMVDYVISCFILEKLDLVSYCLNTPNFPPDIDRKKRARAQRKQTSLTALKETQHALMLICMLAKHPNLWIKNMKEMDSQLRERSIHLLAFISRGPHLGEHSRVAPLLCHPIVKEEFEWYKKPSFINSKSGWFSLSPVGCGLDPSFSALSSSSALVVKDPSAENIDTSPQTCFSDMAAIDVYKIAFYLLHFLCLQADAAAKRAEEVGFVDVAHFPDLPMPDILHGLQDQGIAVVSELCEANKLKQLTPEIEGVCILLLQITEKCLYLEFCVSQVCGIKPVMGRIEDFSKEVKLLFRATKEHVFLEESVKSLRQITSYVYPGLLQD >KVI05338 pep supercontig:CcrdV1:scaffold_1513:32026:37736:1 gene:Ccrd_016326 transcript:KVI05338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MRTGRKKRLHFSKIYSFKCGKNTFDDDHSQIGGPGFSRVVYCNETGVSEVATQSYADNYVRSTKYTPMTFLPKSLFEQFRRVANFYFLVTGIMAFTPLAPYSAGSAILPLIIVIGATMVKEGIEDWQRQQQDHEVNNRKVKVHGGRGVFESREWKKLRVGDVVKVEKDEFFPADLLLLSSSYEEAVCYVETMNLDGETNLKLKQSLDATSSINDDSNFNNFKATVKCEDPNASLYTFVGTMEFQEQQYALSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVIQNSTDAPSKRSGIERRMDSIIYFLFLILFLIAFLGSIYFGIVTKDDLDGDRMKRWYLGPDRSEIFFDPKRAPVAAIYHFLTALMLYSYLIPISLYVSIEIVKVLQTIFINNDIHMYYEVADKPAHARTSNLTEELGQIDTILSDKTGTLTCNSMEFIKCSVAGTAYGRXVTEVERAMAKRTGSPLIVNGRDQLLDDGNDGDSTLSVKGYNFEDERITNGYWIHEPHSNVIQKFFRLLAICHTAIPDVDEDTGKVTYEAESPDEAAFVIAARELGFEFYKRTQTTVSFMELDPISKKKVERTFELLNVLEFNSARKRMSVIVRDEDGKLLLLCKGADSVMFDRLAKNGRQFEENTKAHVHDYADAGLRTLILAYRELAEDEYKEFNKKFIEAKNSVSADRDDLIDETTEEIEKDLILLGATAVEDKLQKGLYVYVWLYLTFKNQTFCSFACSLLRQGMKQIIVTLESPEIIAAEKAGEKNVIAKVSMRLFVLLLLLLQYKFFPEMTNLPLQVSKDSVKKQIIAGKAQLDASSSDPYALIIDGKSLAYALHDDIKNTFLDLAVGCASVICCRSSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGTTVFLYEAYASFSGQPAYNDWYLSLYNVFFTSLPAIALGVFDQDVSARFCLKFPLLYQEGVQNTLFRWRRIFGWMLNGLASGVIIFFLCIRALDPESYRKNGKTAGMEVVGATIYTCVVWVVNCQMALAVSYFTLIQHIFIWGGIVLWYIFLLAYGAMPVNLSTTAYKVFVETLAPAPSYWFVTIFVVIAALIPYYSYKAVQMRFFPAYHGMIQWIRYEGNTDDPEYVNMVRQRSIRTTTVGFTARSIARDNNLYHLNLERQAPAR >KVI05344 pep supercontig:CcrdV1:scaffold_1513:63984:69140:1 gene:Ccrd_016329 transcript:KVI05344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MEATIQRRDVAVDGEEKRWRRTLAGAGAPISAGAGAGAGASMGRRSDGAVEKGTPVAVEMEKEKLVVLNLRWAWSFLLHRSFFHHQLHGVSQGFESSQLGFEPTSMEQVECVVCLSTIGEDDEMKELRCGHLFHEACLDRWLGFRNRTCPLCRDSLVAPARAVSEFGHELLVFDFCSTSDTRNEGTWWLR >KVI05340 pep supercontig:CcrdV1:scaffold_1513:11020:15559:-1 gene:Ccrd_016324 transcript:KVI05340 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding MYHFRLNPSLSLSFNDSSYLFHDAFERIPLSVSASSSSPKCCICCCCCCATGSSMCNRLASINPSFLCKGLSQSTLIQWSFCKRLIYFHGKSGRSHGNTSGVSSFTDLDTSYYYEKLSSLKEKPKQGRLVKGGYEFRGLGPKRKKQFLSNVSDDSVDDVEVMLSLLTDEVGLEDIGVCDGKKKKNVVSGVAKRDLKCDEVVKVRSMEEDDWRQRKERRKTKMQNLPKTANLDSSKERSNCSIESGKDFEVKSEGCIDEVVGNADGGHECDKEEVKILEKKDTVKGYYGESVGVSQDWRKKSEKKLNEEFSQHQLNVSENIEDSSRQEHSYEADRFTDESGSRMKYKRFEESSGKDYSVIESTSSSQKQYNGAEKKLKVISEVSKSRMNSWEENSTQVSDGVEDSREEKHQKTDHLVRLTTEFREKSQQMLGISDTHVTNSGNTSFSHRKSDVSMEKQKRHSETSEFKVSDNCSISGMKLVEGTTDEGVSETELRKSNEAKLVNEEGEQDKLKTSDDGSESFHQKENGARHSSQGSGPKGPSDEMWHVTDASTQEPSETDAPENTSCSSENDGAIKTSGRSLWTIIGDVVRSRWPSPRSGSRTPNSGGAKGSSYLSASSEAWFSGQEPDDSIDDNVKNRSTKGQSRNSGGLDSPLPSSNNDGSSRNTSLPIIEESSFPLSAIRMRRSPSPAIRKTSQAGETDTSVTDQPVPPVLTEISQAGESNISKMVNPKIEELKRRKLVSIDRLGWEEAYTLEVKQRKNDEKFMREALLEAKKASDIWEVPVGAVLVQGDKIIARGHNLLFGDGDGGNGSMPTDKPPVPAHPFHPNMAVRRGVLAAECGEVMQHFFRLRRKKKTEPEPEPPAPPSSHHHHSNFLSKMHHAFNIIFCL >KVI05341 pep supercontig:CcrdV1:scaffold_1513:31857:39035:-1 gene:Ccrd_016325 transcript:KVI05341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAQLPSANQVNLLKDIFTRFDLDSDGSLTQLELAALFRSVGLIPVGDEFDAVLAKMDANGNGSIEFEELLNAILPDFDEEVFVNQDQLMKVFQLFDKDGDGSITPAELAGQMAKMGLPLTYRELNDLMNDIDTNGDGIIIYAIRLLYNNHNTTTLLMRPSSSRRLSLQVQVIQVVVSCYRPSREPDRRCPYRPLSHHVNILWIIGVTFVSDPLYHSMAVVERFTGIAPYAKRKMYQRTIPPQMKMCWIKRKKMITPLASPLSIQPNIRRHLKRIQRLEGRLGIRHTLRQNRADTSWSKTPNAMAGSDVKNTLTTANNGRTANSEIQKRVLNVIVQSVCQRFSIDDQSIWIRRRSIKLSLPCYYLLLDANSKPSSLAAITKAASSGDSASYVTFPVSSSTSDGTQKSNQKQDQEQEIDNAIHSPLDSTSFRRRPPHSSMTAREDQPGRIRPFLPSLRHLLLMRNPSEKQETGALHTSQSNKLNGFKQKSPKPQ >KVI05343 pep supercontig:CcrdV1:scaffold_1513:45317:51340:-1 gene:Ccrd_016328 transcript:KVI05343 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing protein MAAANPSSSSSSSSSPQTLHRCLTNPQRLHRPCLFKVPFTSHDRKLLSVRCQIPKFEPKRSALNSDVTETTSSSSSSIDFLTLCHSLKTTKRKGWINHGIKGPESIADHMYRMALMALIVGDLPGVNRERCIKIAIVHDIAEAIVGDITPSDGIPKVEKSRLEQAALKEMCNVLGGGMRAEEIQELWREYEDNASLEANLVKDFDKVEMILQALEYETEHDKVLDEFFLSTAGKFQTEIGKSWAAEVIARRNSRLAKKLN >KVI05339 pep supercontig:CcrdV1:scaffold_1513:43673:44146:-1 gene:Ccrd_016327 transcript:KVI05339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKRQDWNMIAADCFVLSCCCQCLILQILVFLLLKLPTKLFRKTKEYMKRKFGIRRRVARCAAAVIGRQRPQPRRRIMVEDLRRDGCMEEVEKVLSEMYKKGEFGFGSFWRGDDDDDDDEGLVDDNFRIRFVNQQHGYDGNYQFIQVFGPLTMHSI >KVI05342 pep supercontig:CcrdV1:scaffold_1513:9388:10417:-1 gene:Ccrd_016323 transcript:KVI05342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWITSILACLCLLVVISNGQGPAASPSNTPATVTTPVAPTQPPPATPVAPTQPPPATPLSSPSPKTPPVSSPTVPPPQIPPPQPPVSPPVASPTTPPPSLPSPTPPPVVSPPALPPAVPPPKVSPAPATPPPAPTPPPPAPAPPPPSPAPAPVTLPPAPTPDMSPSPAPAPRHHKRRRHKHKKHHAPAPAPVAKSPPAPPTATDSDDTTPAPAPTLDLSNGRTLFQQEGRFGTQVGTGFIIAVLLVLMS >KVI05345 pep supercontig:CcrdV1:scaffold_1513:87092:87514:1 gene:Ccrd_016330 transcript:KVI05345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAGNFVHLILTYIDAFWTFLNWIRYAIYHRSRFQFLKKGRFELRSSHFHQNPRSKEPVECAVCLSEIKKEDEISELRCNHLFHKHCLDRCVEHRHATCLLCRDYLAGPRMVAELGRELLVFSFCSIDRSNDDDFDRWWLR >KVI11411 pep supercontig:CcrdV1:scaffold_1514:14556:16615:-1 gene:Ccrd_010178 transcript:KVI11411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAGKMTLMKVICVVVACMVVATPYVEAAITCGQLAGKLAPCLNYLKVGGSVPAACCSGVKSLNAAAKSTPDRKTACGCLKSAYASNSGINANYASGLPSKCGVSIPYKISPNTDCSKVK >KVI01622 pep supercontig:CcrdV1:scaffold_1515:45190:49017:1 gene:Ccrd_020103 transcript:KVI01622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEPVNQQTHGDVAGGGGGGGRTTQKKRSGYGRKAKRVVLSRIKTTKKDKGNRPSISRSSSRCCLFVKRIPTLDSCTESPTSDPNSSEFTFDSLRGLIEKSDFLLNECNTHLDIAKGARSTIGSVKGSGTH >KVH99607 pep supercontig:CcrdV1:scaffold_1516:81104:85571:1 gene:Ccrd_022158 transcript:KVH99607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQFSRSVMIFTFITLFLQQFTDSATLVVDGVSEWKNPTVQVGDSISRCSTKACDDGQKLAIQVSSSTTPETSASPPVMAPSPSGGRGIVSSTPPPNDGGGDIVSSSPSYPWPNRPQELNSPSPSPMNAIFPRKGGNSLPFINSNPAVPLPTGEVDSATIRPSPTSSNHRLQGVGFVKAFCCVGLVVVLL >KVH99609 pep supercontig:CcrdV1:scaffold_1516:48763:49022:1 gene:Ccrd_022157 transcript:KVH99609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQRISKQVEDFKKQNNLHKTQEERYQLRIRVLENLATRVAEENEVA >KVH99608 pep supercontig:CcrdV1:scaffold_1516:46377:47111:1 gene:Ccrd_022156 transcript:KVH99608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGNKGMGQNLLKLGVANVEYKRIPCDYKGKNLAVTVEESSQKPTYLAVKFLYQVDQTSFPTFQSVDEPMIMKNELLAKVVSIARNYSDALYKLCAIAKSPPASQLEREKLFKDDVNLFNSHGKNELAAECFYPIGL >KVH88157 pep supercontig:CcrdV1:scaffold_1517:68711:71845:1 gene:Ccrd_024456 transcript:KVH88157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELREKLEEGIKISQQALFSSIPINQKAESDGIGFNQKFNDLEPPRKDYREATATSHESKDTHSSKDGNDKHQFHSFSTKLPPHQQLDSHSSKDSDEKHESVSAKGRRSDDQSTKPEKEKSESLSMDKQHDTR >KVH88156 pep supercontig:CcrdV1:scaffold_1517:95031:98838:1 gene:Ccrd_024457 transcript:KVH88156 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MYMYISKDRVVYCKDTFFSFTSIITSPLSLSLSHCKNPAPSMAAATAFPDNLTREQYVYMAKLAEQAERYEEMVKFMEKLVVGLTPVSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNDDHVVLVKDYRSKVEDELSDVCSGILKILESNLVPSASTAESKVFYLKMKGDYHRYLAEFKVGDERKEAAEQTMNSYKAAQDIAEADMAPTHPIRLGLALNFSVFYYEILNSSDKACSMAKQAFEDAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDAQDQLEEP >KVH88155 pep supercontig:CcrdV1:scaffold_1517:61533:68276:1 gene:Ccrd_024455 transcript:KVH88155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein domain-containing protein MMEAVADNKNVNRMSVSAVAACMAPLLLRPLLAGECNLESQFSMGGDGSAQLMQAAAAANHAQAIVITLLEEYDNIFGEGDMLSDLYSDSEELGSESEELSEDELYEDDEYEDGEYTSEGSDANEDSEHVSTSSQGESARNHKKGPGTFSSRSISHKVTGDVEAVKKLRSSSKGRSVCQVGDNVSNQSNDHSTRKAVEYVKISEDDNAQKKSSNVDEEEAEAIKPEATKEELKMKTESE >KVH99018 pep supercontig:CcrdV1:scaffold_1519:116117:126179:1 gene:Ccrd_022759 transcript:KVH99018 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit C MATRYWIASLPVQSSATSLWSRLQESISKNSFDTPLYRFNIPNLRVGTLDSLLALSDDLIKSNTFIETASHKIRRQIEDLEKVSGILSSSLTIDGVPVDSYLTKFVWDEAKYPTMAPLKEIVDGIHLQVAKIDDDLKVRIAEYNNVRSQLNAINRKQTGSLAVRDLSDLVTPEDLVTSEHLITLIAVVPKYSQKDWLSCYETLTTYVVPRSSKNLHEDNEYAIYTVTLFNRDADNFRTKARERGFQIRDFEYSSETQENRKQELEKLVQDQESLRSSLLQWCYTSYGEVFTSWMHFCAVRLFSESILRYGLPPSFLSVVLSPSVKSEKKVRSILESLCDSSNSTFWKTEDDGNMGSLGGDADTHPYVSFTINLI >KVH99013 pep supercontig:CcrdV1:scaffold_1519:90298:91236:1 gene:Ccrd_022756 transcript:KVH99013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein MSELQPPVEGQMNGGGGGGGGGALVGVPADAVVGPPKRQRRPSVRLGDIGDQHTFDNQRRTKQQQWKFPSKDSKSSKTRPLVNLTGGGGGAITGTKRVRSNWGSKMDEGDEKFDDEDDYDDDGYRDFDREGSGSQLKEQSLNFSMDNERDLHYVGGSRGRDGVRVRVSDGVELDGPSDTDARNWNNNHPERNGVRVWLNQLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKMFCSIQKLGKGFS >KVH99014 pep supercontig:CcrdV1:scaffold_1519:96135:106135:-1 gene:Ccrd_022757 transcript:KVH99014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 29 MKLLQLAFIVALASGFAAILIYITGVSNLNGTFNISDEEIEALQSLQNGFEKCVRANGLGLQAISDRDYCKVTLQYPSDTIPKWKDPKTGNLEGLSFEFNLCEAVATWEQVRNSTTILTKEFIDALPNGWEDYAWRRINKGIHLNNCANKTLCMEKLSLVLPETPPYVPRQFGRCAVIGNSGDLLKTKFGKEIDSYDAVLRENGAPIQNYTDHVGKKSTFRLLNRGSAKALDKVAELYETGKEVLIVKTTIHDIMNKMIREVPILNPIYLMLGASFGSAAKGTGLKALEFALSICDTVDMYGFTLIKIHSPMRADPNRVVKWVPSRSTITATRLASEKMLRRVAGGSDDPLASCSIIKKKLHKSQFSGLRKAAVDHQKYVKETTMYPLEHSLGHSQLCTVSNS >KVH99011 pep supercontig:CcrdV1:scaffold_1519:32620:69324:-1 gene:Ccrd_022754 transcript:KVH99011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MGCVLVKRATPDHRPKSTSRRRSGEQSSAAENVKQVSLNEVGGNRKDGVVDRIRQSIGEVPAPDRRRPKPQYTLKTSQGWPSWLCDVAGDAIKDWTPRLANTFEKLDKIGQGTYSNVYKARDLISGKIVALKKVRFDNLEPESVKFMAREILILKKLNHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLSGLAAVQGIKFTEPQVKCYMKQLLSGLEHCHENGVLHRDIKGSNLLIDNDGILKIADFGLASFFNPQHKQPMTSRVVTLWYRPPELLLGATYYGVEQLHKIFRLCGSPSEEYWKRHRLPNATLFKPQHPYKRCTAETFKDFPPASLPLLERLLAIDPNERGSATSALNSNFFTAEPYACEPSELPKYPPSKEIDVKLREEEARRQRGLSGKSHAPDGNRRTRPRDRVSRAVPAPEANAEIQSNVDRRRVVTEANAKSKSEKFPPPHQDAAVGYPSNNGGPVSFFTTDDNSFGSSIFDSNSSRSVKEHGTIGGSSRRRKTKKEPPSRAGPSHEVCIVSGSFVMASMTAAAKEHVAEIRRTKFSIGGEPNPLTEDLHQAVKNLSAELYAKDVHFLMELIQNAEDNEYPDGVDPSLEFVITSKDITSTGAPATLLIFNNEKGFSRKNIDSICSVGRSTKKGLRKRGYIGEKGIGFKSVFLITAQPYIVSNGYQIRFNERPCQHCNVGYIVPEWVNGDQLLAAIGSLYGSATALPTTTLVLPLKAEKVIPVKDQLSSVQPEVLLFLSKIKRLSVKEDNEDPSLKTVNAISISKEINFVTSKSMDADSYTLYLTAEDISDEVDGECGYHMWKQRFPVKEEYKVDVRMEVEEWVITLAFPIGRRLRRGSSLPGIYSFLPTETVTNFPFVIQSDFLLASSRENILWDNKRNKGILDCVPIAFLNAFTQLVKSTEDPPVSSLPNMFWFLPISESSHPELNLVRDAIKIKVMNDTIVPCESYTGQKLFRKPNEVGRLKHSFWSILNKARQQGVKISDISSHGSYILASSFDKAEYDAVLDFLEIQSVDNEWYAKCISGSNLVMGVSEDLYIQLLVFIAEGWGSSFRNTNIENIPIIKYVGMDGKRDFFKINQVLQRAGIKLLAADSAPIASWLINWNTEFRCSEGQFFLPRAMQDAIGRCLMNDTLKKWLKEDVKVKFISVRDYAEGLGPLNYDRKLAVTYAHFLYSSLEKEYLMKHEVQNLCVNMPIVDNYGVVNVTRSGVLEPSNGSNWIKLFGNNPWRQDGYVELGLDYTQQKSXVGISTSGIELLSFLREYVKASDLPYLPPPNVPIPTLSSHLGKDNTFLLLNWLQHLRTKGSSLPERFMSSIKNGCWLKISLSRSSGHRPPSESFMLDSKIGRLLQNGSVLVDIPLLDESFYGDEIKNYKEELRIIGVRFHDKDACEFIGKRLMTLTASSKLTRDNVLSMLKFIRYLGXNYLSPENLINSIKGEKWLRTSKGDMSPSNSVLSSQEWTAASQISDIPFIDQDYYGEEILSFEKELKMLGVLVEVNQSYQFVIDNLKSSSTLSCLSSESVFLILRCIQNIESSDKLVESLRNQKCLKTNLGYRCPSECFLLNPDSDWGCLLQVFGSFPVLDQRFYGSSIFSMANELKKLGVMVNFEDASKAFIRTFKQHVLSSSISKENVLSFLSAYRKLQALKVKFSSELRDCIYKEKWLRTRLGDYRTPNECILFGTDWERISPISLLPFIDDIFYGKEIHDFRTELKRLHVITDFKDGAKNVVDGLFLPHDSSNITSVNVYALLDSVKKLKEIKAKIPDAFLNKVSQKNWLRTHFGYKCPNECLLFKPKWDQYLKPNVGPFIDEAFYGPAISSYSEELEVVGVVTDINMGCKLISGYLDCHSNFEVVCQIYRYLSEFNWQPVDEDSRKIWIPQGTDKGEWSSPKNCVLHDTKNLFVDQLYVLEXFEYPKNILNFFARVFDVKVHPSVDDYCKLWNTWESSKRQITHEECCAFWEFVVGNWNSKTEDTFNKSLSKLPVLDPTSGLIILSDKCDVFIGDDLFLMDLFRRSSRPIFVWYPEPFPKSLTRTKLVDIYRKVGVCTLSASATKTISDLEVSVFETVNSRDKIIKTGLLKLILGFLADPRLKLEVVKRHEAVGRLVAIDVLETLKPMTVGYRLSLSCGDAVEVEVNRMIRWDKQKSKLFMQKLEKCNAGYKDVMEYGSHFGEVIAEGVLWENEEFVGELSELIRLGFLVEFDEEAVDFLMKTKNLQPYIFSNGYQIRFNERPCQHCNVGYIVPEWXEEDQLLAAIKSVYGSATALPTTTLVLPLKAEKVKPVKDQLSXVHPEVLLFLSKIKRLSVKEDNEDPSLKTVNAISISKEINFVTSKSMDADSYTLYLTAEDIGDEVDGECGYHMWKQRFPVKEEYKVDXRMEVEEWVITLAFPIGRRLKRGSSLPGIYSFLPTETITNFPFIIQADFLLASSRENILWDNKXNKGILDCVPIAFLNAFTQLVKSTEDAPVSSLPNMFWFLPISESSHSKLNLVRDAIKTKVMNETIVPCESYTGQKLFRKPNEVGRLKLSFWSILNKARQQGVKISDISSHGSYILASSFDKAEYDAVLDFLEIQSVDNEWYAKCISGSNLVMGVSEDLYIQLLVFIAEGWGSFHNTDIKNIPIIKYVGMDGKRDFFKINQVSQGAGMKLLAVDSSHIVSWLIDWNTEFRCSKGQFFLPRDMQDAIGWCLTNHTLKKWLRDVVKVNFISVREYAEGLSPLNYDRKLAVTYAHFLYSSLEKQYLMKHEVGNLCVNMPIVDNYGVVNVTRSGVLEPSNGSNWIKLFGNNPWRQDGYVELGLDYTQQKSYVGISTSGIELLSFLRKYVKASDLPYLPPPNVPIPTLSSHLGKDNTFLLLNWLQHLRTKGSSLPERFMSSIKNGCWLKISLSRSSGHRPPSESFMLDSKIGRLLQNGSVLVDIPLLDESFYGDEIKNYKEELRIIGVRFHDKDACEFIGKRLMTLTASSKLTRDNVLSMLKFIRYLGENYLSPENLINSIKGEKWLRTSKGDMSPSNSVLLSQEWTAASQISEIPFIDQDYYGEELLSFKKELKMLGVLVEFNQSYQFVIDNLKSSSSLSYVVEVEVNRMIRWDKQKSKLFMQKLERCNAGYKDVMEYGSHFGEVVAKGVLWENEEFVGELSELIRLGFLVEFDEEAVDFLMKTKNLQEDEVCIVRCSSVMASTTMTAREHVEEIRRTKFSIGGDPNPLTEDLHQAVKNLSAELYAKDVHFFMELIQNAEDNEYPEGVDPSLEFVITSKDITNTGAPATLLVFNNEKGFSRKNIDSICSVGRSTKKGLHEVCIVGCSSVMASTTTTAREHVEEIRRTKFSIGGDPNPLTEDLHQAVKNLSAELYAKDVHFFMELIQNAEDNEYPEGVDPSLEFVITSKDITNTGAPATLLVFNNEKGFSRKNIDSICSVGRSTKKGLRKRGYIGEKAFYGPAIRSYSEELKVVGVVTDINMGCNLLADYVGCNSDFVTICQIYTYLSDHKWEPVDDDDRLIWISRGIDSGVWVMPQECVLYDKNNLFGDQLIVLEKFEYPKNILNFFARVFGVKVHPSVDDYCKLWTTWESSKRQITHDECCAFWEFVVGNWNSKTEDTFRENLSKLPVLDPASGGIILSDKCDVFIGDDLFLMDLFRSSSRPIFVWYPPKSLTRTKLVDIYRKLGVCTLSESAEKTISDLDLVESELVNSSDKITKRGLFKLILGFLADPRSKLEVEKRHEAVSRLLAIDVFETVKPMRVRYSLWLSCGEVVEKQAERMIRWDKQNSKLFMQKLDRCKAGYKDVMEYGSHFGEVIADGVLWENEELVGELSELIRLGFLVEFDEEAVDFLMKTKNLQIFVEDQHFLSSIISLK >KVH99015 pep supercontig:CcrdV1:scaffold_1519:14758:20199:1 gene:Ccrd_022752 transcript:KVH99015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MHVVHPPKASESAGDDEETPEVLLTYQAWKGSNIFFLGGRFIFGPDVRSVFLSISLIVVPVAVFCAFVARKLIDDFPNHLGILIMVVIIVYTFYVLVLLIMTSGRDPGIIPRNAHPPQPDATDQGIEVGSGQTPQLRLPRVKEVMVNGMTVKVKYCDTCMLYRPPRCSHCSICDNCVERFDHHCPWVGQCIGRRNYRFFFMFVSSATLLCVYVFAFCWVYVIRIMHSENTSIWRALIRTPASIVLIIYTFLSVWFVGGLTSTYENFRYRYDRSENPYNKGIFGNFKEVFWTSIPPSKNNFRALVQRDPNPPTRATGGNYVNSTPEKVPSDIEIGNGKPIWKNEATAGDTGGTNGGDSHLHPRLSSWGRRSGSWDLQNEMASLGSGIGYSDQVGDGSSGSLGGGREVAVK >KVH99012 pep supercontig:CcrdV1:scaffold_1519:77889:87563:1 gene:Ccrd_022755 transcript:KVH99012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII GSTSSYLPPPSLSSRRPCASLSISDHRSTSLQQYLIVKMITIPYLTALTTYFSYGLLFAFGQLRDFFRNLVDWWKASNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTTKVSRCLNLGSYNYLGFAAADEYCTPRVIQSLKKYFASTCSTRVEGGTTALHSELEEVVADFVGKPAALVTGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLERVLREHIADGQPRTHRPWKKIIVVVEGIYSMEGEICKLPEIVSICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSSRGAQKLAQIRANSNYFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKRNVISRVGDMVGIKYFPAEPKKPQLEEGRVKVE >KVH99016 pep supercontig:CcrdV1:scaffold_1519:24668:26701:1 gene:Ccrd_022753 transcript:KVH99016 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSTHQNEHGEKVNMEISRFNFVRDGATKLPPGFRFQPTDQEIVFQYLIRKVFSCPLPASIVHEIANICKFNPWDLPGDWEQDRYFFSKKEAKYGNGHRSNRASDDGYWKATGFDRHITRCCYNNPNMRKTEIITGMKKTLVFYKGKSLHGNSPTRTSWIMHEYRLRSWIQMGNWVLCHVYLNKRSRNVGVADGKKPLSSGLTPQKELLNHGLMMMNDDDDDDDQHGPSSSSSCGSSVVTREVSSIRKS >KVH99017 pep supercontig:CcrdV1:scaffold_1519:106072:115238:1 gene:Ccrd_022758 transcript:KVH99017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 MQSGTTTVGVQNRANEGNMFLLKSDLVSIVSVLFQVISVTSSTFGGDFSIIIPLSYDKNGIIVFTVSLTLAAIVAAAPPTPLHHDKVQEAQSSYWSSNVHAQGTLFAARRAAAFVRGDDVIHKLFTELAYRYKFIDRENELRQSKPPAPQPAQRPPLDPWTRSQLSRSFAPPKEVKSSEAED >KVH98078 pep supercontig:CcrdV1:scaffold_152:311561:315468:1 gene:Ccrd_023703 transcript:KVH98078 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MATIAGVISGCVSSSSSSSSSFGKLKNCRKKKTTMISGGAGKRFRISCDFSNSIASDPYKTLRIHPGASESEVKKAFRQLALKMVMSNLRCERKEAEREDEPLDENTRGMEDPDWDMWEEWMGWEGAGIRDYSSHINPYI >KVH98092 pep supercontig:CcrdV1:scaffold_152:136431:136904:-1 gene:Ccrd_023691 transcript:KVH98092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEKAHFPGAQKAEKGHKWRQWRWDWRRSEGEKVEMAKSCKGLAMELVKCLSESDCVKIDDLDKCDNCCEFTMRNASHRRKFSLVCVNSVIDPNIYSGGFITLASAETMPNYNEELRIVHL >KVH98089 pep supercontig:CcrdV1:scaffold_152:175587:176825:-1 gene:Ccrd_023692 transcript:KVH98089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator Hfi1/Transcriptional adapter 1 MQPPQQHSWINLAELKSQIIRKLGPERSKQYFDYLNRFLNLKLSKVEFDKLCLRTIGRDGIPLHNQLIHSILKNACTANDNPLRQAGNKKPSDGVYHQNGSISAVTQASNPLALPNGDTLSPSLRKARTGARERRGVDRRSALGPNGKTNYSSPSSSIPHSEDFSTPLENGNSSSPDTRRPVQHHQELNQQMENANENSSHHSAKLAASTSQSPDGLLAVHSKAESESLSRKDGKGVSGRISLHAPLGIPYCPVSIGGSRSAIPLASSSKCVGVSSTNSLLDTITLRARMDPIAATHDLQGVSVDCANALNNGLDAYLKGLIRSCSELNGARSGHVPLKNTPAHLRPLNGVRPGHHYQMQTSSWPLDTMQENEPKRTISLLEFRVAMELNPQQLGEDWPMLLEKICTHAFEE >KVH98084 pep supercontig:CcrdV1:scaffold_152:379900:396460:1 gene:Ccrd_023709 transcript:KVH98084 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVTFIIIVVLGITERTTRGRRKIYLYEKVFLLLLPVIGACMACFDLILLLSNTRRGRPALYHEWLLRCSQLLVWTFSCINESSIILVDVLFGILVNIIRMKQSSRTNSSMEELLLSCEMGIDDRSRSNPGVPASFLNLMTFRSINLVMEHGAKKQLDFDDLLQLPIDMDPLFCHDSLFNCWEDQRRHRRSDPSLFWTVCYAYGWPYMCLGLLKVLLENISFLLSRLQKEMKQTKKVSPLFLGVLTVQRTCMLYMETGNTIDSKSIAYAEVDLCGPLGSICSSEILVPATTRDHAINLVQRLHLEEHKCLHVGLAERSKFSEGEIQTFMSVDVDRTVNMCNSFHDMWSLPLQIGIALYLLYTQVQFAFVAGITITILLIPVNKWIAELIASATKNMMEQKDERVRRTGELLTYIRTLKMYGWELLFSSWLMKTRSLEVKYLAVFTCLALFNNLISPLNSFPWVINGLIDAVISTRRLSMFLSCFENEPLRGQANSSLSSCSSKHFNFRAEEVDIVMKDACCAWSSSAQEVKELILDHVNLAIPKGSLVAVIGEVGSGKSSLLNSILGEMKLIEGSIYSSGSVAYVPQIPWILSGTIRDNIIFGRDYDPIRYSAVLEACALNTDVSLMVGGDMAYIGEKGVNLSGGQRARLALARAVYHGSDLVMLDDVLSAVDAQAISAADMVVIMDKGQVKWVGSPTDCSSSPYASFVRQEELNSPSETEAQIESRDTCMGVQESVVVESEGIDIMDATDNIIRVEERKEGRVETTVNYAAFCGWYITVITCLSALLMQASRNGNDLWLSYWVDTTALEEHPTSFYLAILCIFSLANSFLTLVRAFSFAYGGLCAAIQVHNNLLKKLVNAPVAFFDQTPSGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGIALILSAVQVMFLLLILPFSYIYSKIQFYYRATSRELRRLDSVSRSPIYASFSEILDGSSTIRAFKSEDFFLTRFIEHVKMYQKTSYSEIIASLWLSLRLQFLAAFVVSYIAVMAIVGSHGHLPINLGRLSPFVRSSSCIIVGELFDKLHRDREGIGLKELQGHKVLDVEWPFQGEIEFRNVTLRYMPSLPPALRDLNLRIAGGTQVGIVGRTGAGKSSILNALFRLNPICAGHIIVDGINIADVSLRDLRSRFAVNLDPFNSSDDLKIWKALERCHIEEEVRAAGGLDMEMKESGTSFSVGQRQLLCLARSFLKSTMVLCLDECTANVDTQTASKLKDAISSECRGLTVVTIAHRISTILHMDNVLVLDQGILVCSPN >KVH98081 pep supercontig:CcrdV1:scaffold_152:338704:341290:-1 gene:Ccrd_023706 transcript:KVH98081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MPSRQQPSSFPNQQTTKHRATFIFLVITIFSSLVIVIGVVYLLYYLWYSLIRRSRTSPLDSCLLKLRRYSYKELNSATNGFSDDNYIGKGGSGTVYRGILRNGKLVAVKLLDSESMDADREFQNELRILGGLESQFVVSLLGYCVDKRKRLVVYEYMPNRSLQESLFSERSLNWDRRFEIILDVSRALEFLHRECDPPVIHGDVKPSNVLLDTEFRAKLSDFGLSRLKIEPEFGVDLFSQDLSGTLAGTGAGAGESPAIGTPVDNEVDFSLALQASSSSTPINSKVVCNRLKGLGFMNDKGKELSIGNNEHGSGAGNDWINNKFTNYDDDLGLTVDNNHSRESNLNPSDHCDDEHKTGKKQWGKDWWWKQDGSGELCSKDYVREWIGSQICPPANPDWDDDKNSEKPDLDHSTRLNKFKDSHDNKAFEHEEPRGWRPPCSEKRHRKMQEWWKEEHLDELSKKKVEKKIKRRFKLSIFRRKRKPTGRDLENGFDPNKEFSFRKNSKNNEMWSGDVFSRELSSTTSMRGTLCYVAPEYGGCGFLIEKADIYSFGVLILVIVSGRRPLHVLASPMKLEKANLISWCRQLAQGGDLLELVDEKLKNDYNKAQASLCVNLALACLQKMPELRPDIADIVKILKGEIDLPSMRILIDL >KVH98073 pep supercontig:CcrdV1:scaffold_152:84263:93013:-1 gene:Ccrd_023689 transcript:KVH98073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGTDDGRSGLPENYNRHDHYHSDTEVIGVDRAAFSGPLSGPLNKRGNRKSARFNIPDGAPGSSSGSVKSNNNDDYVEITLDVRDDSVAVHSVKTAGGADVEDPELTLLAKGLEKRSSFGSSVVRNASARIRQVSEELKRLTSFSKRQPASRLDRTKSAAAHALKGLKFISKTDGGAAWAALEKRFGDLTATTNGLLPRSLFGECIGMNKESKEFAGELFDALSRRRNITGDSINKAQLREFWDQIADQSFDSRLQTFFDMVDKDADGRITEDEVREIISLSASANKLSNIQKQADEYAALIMEELDPDNLGYIMIENLEMLLLQAPTHNVRGESRNLSQMLSQKLKTTQHGNPIQRWYEDFKYFLQDNWQRCWVIVLWIGIMAGLFTWKYIQYKNRAVYEVLGPCVCIAKGAAETLKLNMAIILLPVCRNTITWLRNKTRLSVAVPFDDNLNFHKVSLTFSHSNIGLHAISHLTCDFPRLIHATEEEYEPMQQFFGDQAKNYWHFVKEVEGWTGIIMVVLMTIAFTLATPWLRRGKLNLPSFLKKLTGFNAFWYSHHLFVIVYAMLIVHGIKLYLTKECVKPVKILKVAVYPGNVLALHMSKPQGFKYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSEVCQPPRNGKSGLLRADCQGENPNFPRVLIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIVNNMKAKQEEDNALENGSTVQKNKSGPPSANNFRTTRAYFYWVTREQGSFDWFKGVMDEAAEMDKNGVIEMHNYCTSVYEEGDARSALITMLQSLNHAKNGVDVVSGVFYCGAPAPTKELKQLASDFSHKTSTKFDFHKENF >KVH98096 pep supercontig:CcrdV1:scaffold_152:409086:416685:-1 gene:Ccrd_023711 transcript:KVH98096 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC6, C-terminal domain-containing protein MKENGPAVACLCNPFLTENGGNTYNFPLLSSPLFPPIAVSNSSCFFPAASLASATPPSSPIVVASLLLHRGGFLLNFNLLAAIEMPSIAGNRSSPMSIAGSDVSSPQKRRLRSNSALLDDLVSSIPSNPCSPLKNKSPRRCMADSPNRSQANVSFLDRVFILCHSVNPNSIFLSKHHLQGNETVASNNVTKMTKSPKKKLLDSFLDKPIWNPTDLEQLSAVKEALHVSTSPSMIVCREDEQKRIAEFCKQCLEQEKAGSLYICGCPGTGKSLSMENVKGSLAVWAKETGGQLPEILTISCPSLSSTSEIFNKVKIYYDNDVDKHRIICSQYNAQKLVPLADTWKESTTKETQWSFYTLKAASAIVFQKAAVTWHENDLEPQRLIIVKLLTRVVSRLVIADELDYLITKDRVVLHDLFMLTTLPFSKVILIGMMCHIVFELNSFCICYKFLILHVSLTGIANAIDLADRFLPKLQSLNCECKPMVVSFRAYSMEQIIIILKQRLMALPYAVFQPQALELNARQFDVLLGYKAHTVLIWQKVAAASGDMRKALGICRGAIEMLETELRESACTSNLSAMVRVDHMAIALSRAYKSPIVDTIQSLPQHQQIILCSAVKLFRRGKKDTTIGELNKSYIDVCKSTLIPPVGIMELSCMCRVLGDQGILKLGQSRDDKLRRVTLQ >KVH98097 pep supercontig:CcrdV1:scaffold_152:403391:405844:-1 gene:Ccrd_023710 transcript:KVH98097 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MIPPMTEHDEEAGDLAEELNRVNMENKKLTQMIKSMNTKYNLLQSRLSDYVTKNPDRSAAGAATATAKRKPETPISGNSESNSSNEINTCKKPTKDEHNIRPKISRVFVRSEASKTGLVVKDGYQWRKYGQKVQRSVEDQTIVVATYEGEHNHPQPSRHELVSPGLRQTVTAAKPWSTVPTVTLDLTNPLEFDGDNEGSRITERSELQQFLVGQWHLH >KVH98080 pep supercontig:CcrdV1:scaffold_152:329885:330877:1 gene:Ccrd_023705 transcript:KVH98080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MMSTSRLTQLITSLLLIAAAATTVSATDHIVGANRGWNPGINYTLWSNNHTFYVGDFISFRYLKTQYNVFEVNKTGYDNCTLDSAVGNWSSGKDFILLNKSQRYYFICGTGGCFNGMKVTIRVHPLPSPPSSAVAASEHSTSTAAGKSIFNVAFVVLASLIWLGSGTICEF >KVH98068 pep supercontig:CcrdV1:scaffold_152:11076:15171:1 gene:Ccrd_023683 transcript:KVH98068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKHIRMRCSLLFASLLIIDCFVSEIYASSDSTIFYESFNESFVGSWIVSENEHYSGVWQHSKSEGHDDHGLLVSEKARKYAIVKELDKPVELKDGTTVLQFEVRLQNGLECGGAYLKYLRPQNAGWTAKRFDNESPYSIMFGPDKCGATNKVHFILKHKNPKTGEYVEHHLKSPPSVPSDRLTHVYTAILKPNNELRILVDGKEKNKANFMSSDDFEPSLIPAKMIPDPDDEKPENWDERSKIPDPDATKPDDWDENAPMEILDEEATMPEGWLENEPEEIDDPEALKPEDWDDEEDGEWEVPKIENPKCESAPGCGEWRRPMKRNPDYKGKWHAPLIDNPNYMGIWKPREIPNPNYFELDKPDFEPIAAVGIEIWTMQDGILFDNILIANDEKSAKSIRDSTWKPKFSELVEKAEEQPKITVSVVVSIVVIIFSILLRMLFGGNKAVQTKVSL >KVH98093 pep supercontig:CcrdV1:scaffold_152:258276:263362:-1 gene:Ccrd_023698 transcript:KVH98093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGKKMETTVVNGFSPVSSAPVFWKSRKRSASAKNLHKDIADEADKMSENKEEHLTDEKMEESTPPTVLSEKRKALFEPLEPIMDLNGRRPTAESLLPPPDFDTASYPRGWLIGKKRKLVNVDLEEDARVLEHLQLQLLDERSKRSDVERQNTMLQNQVEMLMNMLQDPEQIEDDDQGSQQP >KVH98083 pep supercontig:CcrdV1:scaffold_152:361726:372465:-1 gene:Ccrd_023708 transcript:KVH98083 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1995 MASSFSPSSSHLYSHTHKQQQQHHLILSAPFSLFPARILFNLRSSPDKFAVNSIRCSNKEASSTPKTARKLGVSVYKPKSYEVLVTDAANSLASALDDCKTRVEIDFPPLPTSISSYKGSSDDFNDANVQLALAVVRKLQENRETRACIVFPDKPEKRRASELYKSAIDLLDGITIASLDDIPAGPVANFFKSIRNTLDFDFDDENEGRWQSDKPPTLFIFIGCSTRELATIETYVETFAKSTPALLFNLELETLRADLGLLGFPPKDLHYRFLSQFVPTKEELLRVLGLKEEQGSQLEFLRRGYKTATWWEEDAELELSSAWRLVLIAVKDICRWIHPLCQQVFAANFDANIVAPNDEDDARPRINALSSLTLISSSSSSS >KVH98095 pep supercontig:CcrdV1:scaffold_152:425326:426694:1 gene:Ccrd_023712 transcript:KVH98095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MHMYKKIFKAEYEFPPWFSADARRLISKLLMVNPSQRFTIPAIKQNPWFSKGLETPIAINELDHRDENKPESEKFSVKRSKSSPPFYNAFEFISSMSTGFDLSGMFETSRKSGSLFTSKFGAPIIMNKLESVAKKLNLKISFSNQNEYKLKMQGVSEGRKGKLSVTAEVFEVAPEVAVVELSKAAGDTLEYKKLCEEYIRPGLQEIA >KVH98074 pep supercontig:CcrdV1:scaffold_152:75510:80763:1 gene:Ccrd_023688 transcript:KVH98074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLCFVLDLRTLSPPLLRDLKQLANFYAISSPRGHKSISISKSLLDRIGLCYVFRNRISCSTEQMKVAYRPSGDFSLRDFHHAVNNLPSDGFLPELNNHVAISCCADALLASVLNDKVLYSWGRDCEDVGRKVIVISSCLLENLDAVTKKTLIDAADKCISVDFVLLVSSGLGDLSESINNFLKNICDLENCSFHNHLPGYAKVLCALVKKWLQDLRDEAEHLQARVKFKRNLLGSLNQICCNLFPSFDLIIDEFKPCQACRCHGYPLDDEHGNGPVKSTSCPVTGNELGTLDLIENSLKVGEQTVLLMPSFHYYTKLQHVTTHIDFNVINRTNLASLSEGKPQTQFLLAIRLIVGTPFVVGPSTSLELDDTDQMELNNQGHQMLPFLLVHLLVPLLIPQVFLGCEFAVFQGLCSALHFLDQGLVCSSRCNTETMKETPFQCYYILLPSDNGVMLLRRLAGSEEVIPIPDVSQSFESTEAKDVRDSINVAHACTSNMPQVMPFLFYYGAQIEERGYNPILHERGFHQRLNVLVKESLHFGSLPTKSEESPPEPTSTREDSLNDSGPSKQTMSMVGFEEEIPQLEMNFGPDKITARITEEWEQLIVNEIPKINSPTCVSKSKLDPLALSPLDSNKPLDERTSRILERLEVPRKLKAKVASPVIITSSSAPIDACMLTKKPLIPFQPTQTSDTGTIASQPMRPNFQRLKRKHR >KVH98069 pep supercontig:CcrdV1:scaffold_152:40805:48353:1 gene:Ccrd_023685 transcript:KVH98069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAQTLFLEEWLKTNSGDINNSNSAHSTPQSARAIIQAWADLRESLQQKSFTQQHYQSLQTLLNSGFSLYVADPQVKLVLSILSEPELSLPSESYPLFLRLLYIWVRKSSKPSPVFINSSIDVLFQLFESQSFTNKSSLFFTEGLLLLGAFSFVPSVSEETRTRCLELFCKVLEEEYKLVSLFDDLVPSVLAGMGYALSSCGSIHFVRILDSLFGIWNKEGGPSSNVLHGLMVLHLVEWVLYNCIQSHSSEKIIIFSREILEAPKIAYASFSVVMGAAGAMRASNRSISSGLMQLRSSAQQRLEIVAGDFISKKGSFTDTNNERKDSLLLQCISLALARSGAVFSRDSLLLCLASALLSEIFPLRRFYAKILGVYVNSSSQGIHEVKEHLHSVIFKEAGVITGVFCKQYAAANEGSKSKVENRVWDFCQDVYVLHRQVALMLQGTQELIEDLEKIAESAFLMVVFFALDVTKQKLVPNIPREIQLDISVKILVSFSCLEYFRRMRLSEYMDTIRGVAGSVQEDEAAFSSNKMEFTWSKDEMQTSRILFYLRVIPTCAERIPTSLFRKTVSPILFLYMGHPNGKVTRASHSTFVAFISSGKDVDDERVSLKEQLVYYYMQRSLEVWLLELLHWFGIFLLEALVYFTAFIVSLKKLAASAVLPNLMKLLAQLVVQLPEDGQNMVLNDLFAQVADSDDVTRKPALVSWVQSLSYLSSQATIGQASKRHEKSSTISWNKDFTSI >KVH98071 pep supercontig:CcrdV1:scaffold_152:70158:73987:1 gene:Ccrd_023687 transcript:KVH98071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate kinase MFKIPPHQVAGHHASNGTLGPLVDDSGRFYKPLQGDERGSAEVTFYKQFSTNTEIPDRIRRFFPVFYGTQVMKASDGFEHPHIMLQDLASSRSNPTIMDIKIGSRTFGPEASDDYIEKCLKRDRETTTLRLGFRISGLQVYVSEELGFYKPSRDLVLKSSIDDVKLLFRRFVSSNSSGSDPDYALASKVYGGPNGILAQLLELKTWFEDQTIYHFCACSLLFMFEKTMASKGETSNAQVKLIDFAHVTEGRGVIDHNFLGGLCSLIKFISEVLKDPEDHKTPGGDTLESTILVNNGH >KVH98090 pep supercontig:CcrdV1:scaffold_152:181573:189778:-1 gene:Ccrd_023693 transcript:KVH98090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase METQKLEIKKDFDDEKRYILFGPFLGEFMYSRMYGKRQSEYDWCLHILILSSLRGIVHQIWNSSSIPFLIHPSIANFPIWETTGIVLCLLFHIGISEPLYYWLHRLLHSSYFFQQYHWLHHSGNATFLEHLLLCVIIGVPIVATTLIGYGSIIMFYGYILAFDFLRCMGHSNVEVVPHHIFETLPTLKYLIYTPTSLSSLPFATKLILMPIWPFAFLVTLIMWAKSKTFLHTFCNLRGKLLQCWVVPRFDGINKQIEEAILKADKIGVKVLSLAALNKVRTAFFLKFLFNEALNGGGKLFVTKFPDLKVRVVHGNTLTAAVILKEIPLDVKEKEAPLQDQSLLVQVTKYQAAKHCKTWILGKWTLPREQSWAPPGTHFHQFVVPPVIEFRRDCTYSKLAAMKLPDDVQGLGTCEYTMERGVVHACHAGGIVHFLEGWSHHEVGAIDVDRLDLVWEAALRHGFKPV >KVH98070 pep supercontig:CcrdV1:scaffold_152:19006:32680:-1 gene:Ccrd_023684 transcript:KVH98070 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-induced silencing complex, nuclease component Tudor-SN MAQAAASSGWLRGRVKAAPSGDTLVIMGFTKAEIPPEKTIVLAHLSSPRLARRGGNDDPFAWESREFLRKLCIGKAKMVTNERKGEEIQSTEGSQGSNSLLTAKSYDPNKKDVVFKTEYTIPNFSREFCSLFVGTTNIAKEVVTHGWAKVKEAKGEVTPEHAELLRLEEQARQQGIGLWNRAPGASAAAIRNLPPSSVGDPSNLDAMGLLAANKGRPMEAIVEQVRDGSSLRVYLLPEFQFVQVFVAGIQAPSMGRRTMAEPTATTEVPSDEPNGETNGEIRGTLTSAQRVTASSAYNEVAPDPYGREAKHFTEIRVLNRDVRIVLEGVDKFSNLIGSVYYPDGEAAKDLALELIENWNGNIGISVGVLWLHYRLKKRIQAMTKHLMVPVAILLPASVQGFAKYVEWSAIMMEDEARRKLKAAELQAKKSKLRLWTNYVPPATNSKAISDSFTGEVVEVVSGDCIIVADDAVPFGSPAAERRVNLSSIRCPKLGNPRRDEKPAPYAREAREFLRTRLIGRQVQVSMEYSRKVPMADGSTAPTGPADTRVMGFGSVFLLSQGKDSEDVSPAPLPAGGQQPGVNVAELIIARGFGAVIRHRDFEERSNYYENLLAAESRATAGRKGIHSSKDPPVMHVMDLLTIEVETVDRTGTFLGSLWESKTNVAITLLEAGLARLQTSFGTDRIPDAHLLAQAEQFAKRQKLKIWENYVEGEQISNDPAHDRKQKEEFKVMVTEVLGGGKFYVQAVADQKVASIQKQLASLNLKEAPVLGSFSPKKGDIVLAQFTADNSWNRAMIVTAPRGGVQSAKDRFEVFYIDYGNQEVVAYNNLRPLDPSVSSAPGIAQLCSLVYLKVPTLDEDYGQEAAMLLSDTTLNGPKEFRAVIEEKDTSGGKVKGQGTGNVLLVTLIDDESGKSVNAMMLKKQEAMNELEKHQSEAHRQRLGMWEYGDIQSDDDENPPPIKKTGAGKR >KVH98086 pep supercontig:CcrdV1:scaffold_152:250539:256953:-1 gene:Ccrd_023697 transcript:KVH98086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDTQVRTPTPKPNPLLDLTKQSELGSFSTIIRRHQFLLTALALLAFLCTVYLYFAVTLGEDNSCSGLTVTQKALCHAQHVKQTVAKGKMKIL >KVH98088 pep supercontig:CcrdV1:scaffold_152:232364:239806:-1 gene:Ccrd_023695 transcript:KVH98088 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane protein/tumor differentially expressed protein MWAASCLATCCASCACSACQSVVSGISRRSARIAYCGLFALSLIVAWILREVAAPLMEKIPWINHFHQTPDREWFETDAVLRVSLGNFLFFSILSLLMVGVKTQKDPRDSLHHGGWMMKVICWCLLVILMFFLPNEIISFYESTSKFGSGLFLLVQVVLLLDFVHSWNDKWVGYDEQFWYAALLIVSLVCYVATFLFSGLLFYLFTPSGQDCGLNMFFILMTLILVFVFAIITLHPTVSGSILPASVISFYCMYLCYSGLASEPRDYTCNGLHKHSKAVNTSTLTVGLLTTVLSVVYSAVRGEKPLLPLDKRDEHEEKEKSKPVTYSYSFFHIIFSLASMYSAMLLTGWSTSVGESGRLVDVGWPSVWVRIITSWATAGLFIWSMVAPHLFPDREF >KVH98075 pep supercontig:CcrdV1:scaffold_152:277201:283914:1 gene:Ccrd_023700 transcript:KVH98075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGNLRLQSDARFLNGKMHIKYIRKRQLNATDLNLISFTSEIIAGTRGGLRFLRPPSTEIYSLPSDELAQRVVVGQEPSGQLDPQTVFVDHLGPESVAFSDPLVRMSSDCLNSRYLRRNSPAQTTVLFPVTAVQFLGYLRRRLSDHETLSGHETVLLSVTAEILGYFGHNQSGLDQTLPIPATAVLILGYLRCKLSGHQMVLLLVTAVLLVYLGRKTVVTVVLLLRLPSELPPAPPDAVVVDQILHICIHLPSKSPINKSYRERKSNEIMKKKKR >KVH98072 pep supercontig:CcrdV1:scaffold_152:51280:68871:-1 gene:Ccrd_023686 transcript:KVH98072 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/pantothenate metabolism flavoprotein, C-terminal MYWSKCKYFKVSKDDRKTLLFLGRDGNEAGRVAGDGNEAGPVAGDGGCSKSAISMDAFTNLDAVDETISVEVKSFFDSAPLLKDADNISRKLTQFIDHNASLSGMFTSTGSRKVVCVTSGGTTVPLEQRCVRYIDNFSSGHRGATSTEYFLKAGYSVIFLYRRSLPEDLLLECFELTDDSCIQGLHESHSEAVKRAIRGHHAILGPSAMFYLAAAVSDFYVPWESMAMHKIQSSSGPLDMRLAQVPKMLLLETDKEILLEKADKALKRYKMNAVVANELSTRKEVVILVTDGGKVSVYRENDHADVESPLGLIILPNLLYL >KVH98094 pep supercontig:CcrdV1:scaffold_152:268594:276278:-1 gene:Ccrd_023699 transcript:KVH98094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MMGNSRTNEKDKPPASANPLIQLNNDYTKSIAPNMHSVGGVSFREGNFAGGRFDSVPDMPVAPGWENMCSINHSIAQGIPETSGNHMAYLMNSSSFQNSQFNLLSNTANTDIPEVPLIPEWNMRWSPQFINQEHNAISAGGLNNGSGTSSFENPWSSKNPTTARNFVGDSQMSRQGRESKFAPFNRPHANADIVGSPLSFGPRDTATVHTRNNVNFNTSQCGVQGKVEGSFLSLGIGGTDETITRPQTGVREMSDTLKEAASAELQIARARRAMGQTLDAGFMGLQRNISGFSNQSCHVDRITSTNNEAGVQCTLNSGPGSSPYHTLQMQQNDMQHGISRHDDGSSNFLSSRNIRYGDLDHYRAFVGNQAANSGTVGGNSAQFFNSQQYDLNGLAPESSKPSWIASHTPSGQLQSIRYTTVNSLPLESSTNSPSLGLGSCSTRQNYAGQQLLSHNGMSTQGLGGSLFPQRIAAPQVAWVSSGQAATDAPFPKRLGVELNGKDSPQVAERHLSTRGTNLQTTSTGQICQFPDKGSTFLPDHLLQRSIGQSQDALIQLPKDPRAAASTNASTVDDLSQKSDFHMRPSHKRTAVAPPSASHWVQRQKITHPTIHHSVPIPFPTKLAIPGTTALVHPSIPVASQRELGCPVSASIHPSIPGTSHFKSRIPVKPAPIAAHIAWKDPDRTPQLTGYKCLLCKRDLSLTSEGAVYQPVPPPPVAVLPCGHTFHDQCLQNITPQDQSKDPPCIPCAIGDN >KVH98082 pep supercontig:CcrdV1:scaffold_152:352369:363265:1 gene:Ccrd_023707 transcript:KVH98082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MKKDGELKKGRFRLLPFHRIAATTYIGGQKEGFVRYKLLKHHLLRLDDLDWNLSYPSNTGAMKRFGFNIEGVPPPGPPRPTASKSIKLGMRRGSEGLINFGQSLRTGVTRAVFSEDLKVSEKKIIDPQDKNLLIWNKFLVLSCILAVYVDPLFFYIPVYHNKDRCLKIHKSLAHSITTVRTIVDIFYLVRIGLQFRTAYIAPSSRVFGRGELVIDPGQIARRYMQRYFIVDLLSVLPLPQVEVHCVLGTKKALLWIVIVQYIPRSVRILPLFSELKKTVGVITETAWAGAAYYLVWFVLAGHIFGAFWYLLAVERKSTCWELTCKKDDRCEIEYLYCGHAETEGYKAWMKVSQATLDEHCLENEAYGEFDYGIYLQAVQSGVDSSEHFPSKYCYCLWWGLRNLRSVNDPFYGEGWLVALKVVTLGQGLETSTYAKEIIFSIIIAISGLILFALLIGNMQAHCVSVFQQTYLQSLSVRLEEMRIKRRDSEQWMHHRLLPQELKERVRSYDQYKWVETRGVDEESLVQSLPKDLRRDIKRHLCLNLVKRVPLFANMDERLLDAICERLKPSLYTEKTYVLREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRGFLKEGDFCGEELLTWALDPKSAGNLPPSTRTVMALTEVEAFALIADEVKFITSQFRRIHSRQVQHTFRFYSQQWRTWAASFIQAAWRRYSRRKLLESHRLEEEEEEDDEYQDYDEDEDDDDINVNEESALIRGRASSSSFGATMLASKFAANTMKRIQRKRGTSTGYAMLKITPIRRLPPTTLQSEEERAKSRVGKKNYQK >KVH98076 pep supercontig:CcrdV1:scaffold_152:282929:284162:-1 gene:Ccrd_023701 transcript:KVH98076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITMNFALFFFFFFLTLSALLPPHHARHLNDGYIYVKFGRRPPRQAAPEVIQMVVGGEELLSPPSYGRDKPKAPPSPRVAPSGGQTTYSADNPKLAPPSPESAESDQGQTGYGRNNPKSPPSPKVAPSRGQTTYGGVNPKLAPSRGQTTYGGDNPKIAPPSQEIVPSFGQANYGGDNGNSNSQTTYGLEDPRTPPTPDPNDPQIQFVGRVDPKVPAPPQPAEPTRPMVKNIFPSTVAGEIEVRREFRLLFPM >KVH98077 pep supercontig:CcrdV1:scaffold_152:297823:306159:1 gene:Ccrd_023702 transcript:KVH98077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGIYLSTPKTEKFSQDGENENLRYGVSSMQGWRTSMEDAHAAYPDLDCSTSFFGVYDGHGGQAVSKFCAKYLHQQVVKHEAYCSGDIGTAAQKAFLRMDEMMCGQRGWRELAVLGDKRDQFSGMMEGLIWSPKSGELKGQNDDWSVEEGPHSNYEGPACGSTACVAIIRNSQLVVANSGDSRCVISRKGQAEKERITKAGGFIHCGRVNGTLNLTRAIGDMELKKDKSLPPEKQILTANPDINTVELCEDDDFLVLACDGIWDCMSSQQLVDFVREQLKTETKLSAVCEKVFDRCLAPSSGGEGCDNMTMILVQFKKFPNSDPSTSEQPSPDRQSESSDSKEKTGPS >KVH98091 pep supercontig:CcrdV1:scaffold_152:111812:112006:-1 gene:Ccrd_023690 transcript:KVH98091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRGIDALKKIQEWSEIVAGPRWKTFIWRFNRNKSFSRQPPRSNGSSRLRAQFQRMTVAKRIF >KVH98085 pep supercontig:CcrdV1:scaffold_152:242117:245258:-1 gene:Ccrd_023696 transcript:KVH98085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MIFQRKIVFGFFVFFVLERLMAVSGIDKSPQHSLQTDKDALLEFKKSIKVDPKLVLSNWNETTEVCRFNGIVCKNGNRVGRIALVGAGLVGPFSPVIGNLTALRTINLSDNSLYGNIPFGISSLRHLRNLYLDNNSLQGPIPGSLSSLSNLTLLALGNNGLHGEIPPSLFSNCSLLSNLDLSFNLFSGKIPPEIGNCPKLWNLNLYNNQFTGEIPLSLANASEMYNLDVESNNLSGELPSKLVSKLTQLLNLHLSYNQMVSHDRNSNLDIFFTALSNCSMLRELELAGMGLGGTLPDSIRKLGVNFSYMLLQENKIYGSVPSVIANLSSLIYLNLTMNHLNGTISPEISRLPRLDKLWLSYNVFTGEIPEEIGQFRHLGELELSHNRFTGKIPESLGNLVSLISLSLNNNQLSGKIPSTLGNCIILSSLDLSYNRLTGNIPSELSSAMRRNAIFLNLSHNRLEGLLPSDLSKLETIKEINLSFNKLTGTIFPKISSYMALETLDLSCNSFQGQLPEFLTKLTSLEVFDVSNNSLSGKIPTGLSKIDSLKFLNLSFNDFNGRVPEGGIFDSITNLSFLGNRHLCGHVSGLPFCSHKKKYFHSPVFLVIFYVGILVFVLVTAICCVIGWRYLKRIVSSKARPETESQPELTHNFPRITYKELAEATGGFSDNRLLGSGGYGRVFKGSLPDGTQIAVKVLQLQTGNSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPFMANGSLDNRLYPDSDSSDLNLIQRVNICSDIAEGMAYLHHHSPIKVIHCDLKPSNVLLNDDMTALVSDFGIAKLVMTIGSGSENLGNSTANILCGSIGYIPPEYGYGSSPSTLGDVYSFGILVLEMVTRKKPTDEMFSEGISLHNWVKRHYHRRMEDVVDSSLVRTTRDQSNDVKKMWEVAIGELLEMGILCTQESPASRPTMMDAAGDLDRLKRYLGGDTTATFASSLGISSSTISDD >KVH98079 pep supercontig:CcrdV1:scaffold_152:321477:327738:1 gene:Ccrd_023704 transcript:KVH98079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MADLKERLLPPKPASAINLRDASFRPSASGRQPFQGGVDVLGLKKRGQGLRSWIRVDAATGDSQVIEVDKFTIMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLRVAGVGDVWQSEGPELNRRIGNRNFGDMYGTTSPDYLPFEFRALEVALEAACTFLDAQAAELEIEAYPLLDELTSKISTLNLERLMDDDGDMAEMYLTEKKRRMDSLFFGAEQSLIGYRSNDGTQSISAPVSPVSSPPESRRLEKTLSIARSRHDSMRSSESATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLLLLTTATFVVAIFGVVAGIFGMNFMIPLFDSPSAFTWVLVITGVTGAVIFSSFLWFFKHRRLMPL >KVH98087 pep supercontig:CcrdV1:scaffold_152:211947:224821:-1 gene:Ccrd_023694 transcript:KVH98087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEKKKYPVGAEHYVLYEEIGQGVSASVFRALCIPSNEIVAIKVLDFERGNSDLKSGINWTDLILFAPNLLCVIDNLCLLHSRLLICYQNNISREAQTMILVDHPNVLKSHCSFVNDHNLWVVMPFMPGGSCLHILKSAHPEGFEEVVIATILREVLKALEYLHHHGLIHRDVKGEWLILLEILFAELCYKDQHYNVGISRVYAAGNILICERGSIKLGDLGVSACLFDSGDRQRTRNTFVGTPCWYCLLMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKMIASCLVKDPSKRPSAKKLLKHSFFKQAKSNEFIARKLLEGLPTLGDRLQALKRKEEDMLVQKKIPDGQKEEMSQNEYKRGISGWNFDLEDVKAQASLIQDEESISDKDQLGNSNSFNGTAAGEIDLQHEVAYMNEASTDLAGSAPIVNSPAKFSAGNCEKPVDALGFARSADGHLSLQYSSSSDDNGNMINYAGKPYLDTNGKPFNCVASLPHQGEASSGCIVVEENGKLQGQIPVVRSCNGIQLLQVVDASTSESMSKTCKTT >KVI11371 pep supercontig:CcrdV1:scaffold_1521:50221:52051:1 gene:Ccrd_010219 transcript:KVI11371 gene_biotype:protein_coding transcript_biotype:protein_coding description:START domain-containing protein MPTMNCNTRAPCSTRSWSVSEDSLKRYVFFASESCIQELLSASDSNRPDNGDDGWKVLALDDGVEISKHLVEARYIKDLDENLSIIRLRFGESSKPLFRNREFIVYERRETMDDGTLVVAVASLPKEIAAGLQPKQNNSIRGFLVQSGWVVEKLDDESCMVTYVVQLDPAGWLPKFFVNRFNTKLVMIIENLWKQAQACSAIPSITDPS >KVH88154 pep supercontig:CcrdV1:scaffold_1522:18078:29093:1 gene:Ccrd_024458 transcript:KVH88154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type YPKKETRITEPERSNAANNVHAVSRWSSRRSTITTITTDDLVNTDVQKVSKTNVKRQSGKGISKKYKHLRYPSVWTLGSQSLGKDGVSLRQKLRPHLFPWKRSRYLGNVMNTSASIPSNSSSSSISSKLLLSRKRETIYTRSKHGFSLRMSKLLSVGGSSLKWSKSIERNSKRANEEATLAVAAAEKKRREQHGTACTTAETKSRNNMSRDRIFRIGLVRYRMDPSRRTLQRISDEKPSTSMQSKEEIRRSYVPKRLLIGHDEYVRIGNGNQLVRNPKRRTRIFANEKVRWSLHTARSRLAKKKKYCQFFTRFGKCNKDDGKCQYIHDSSKISVCTKFLNGSCSNPDCKLTHKVIPERMQDCSYFLQGLCSNEHCPYRHVNVNSAASICEGFLKGYCADGNECRKKHTYACPVFEATGACSQGTKCKLHHPRNRNIGGLKRKQHSMEQHQQQKNSRGRYFGSIGVGVGEAITISHLIKDDDDIININDDDDDDGDLCREGKFAEYISLGFSNEEATTLSSEPSDCGYDEITKPIRIMSKNLGEP >KVH96832 pep supercontig:CcrdV1:scaffold_1523:61682:65322:1 gene:Ccrd_001076 transcript:KVH96832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MAAYFWVFTALYIYTSNSSPITTISSLKIIASLTTTQQLHDGETLVSPNQRFEFGFFCPSNPCKDVKFLGIWYKNLSPITVVWVGNRKKPIRRPSPGVHLVLDESGVFSIQDASKKVIWRKVPKGHSSSLPRKPVLQLLDSGNLVVHACINSPCGSYIWESFNQPGDTLLPGMELSIDYGSPFRYRAITSWRKKDDPSDGDFKFGFDKLVQAPQLVLTKENGVRLSRWGPWDGQKFSGMNSLMDNPIMSPSLTFDEDTVSLKFEALNDSILLRFVLSPLGSLQFLWWKSKNEGWLTILTLNKDNCDRIGSCGSYGICYSDDPSCRCLEKGFMAISSVDWCGFECSSGCKRKIDLNCTEGDGFLKYEEMKLPDNATVWGALSTKECEDKCAKECNCIAYTSLNLYGNGSICVVWFDDLIDLRMIHGGGNDLYIRMSHIELDSIVHTKRKKVKLVAAIVLLPTISCVLLLAIIIRFVSMLRRSKTKASGRDHSSLSEFGGSQEENSSCRLFELEEISAATNNFSTSNEIGEGGFGRVYKGELARGEEVAVKRLAESSWQGIREFKNEVTLIAKLQHRNLVKLLGYCIEGTERILIYEYLPNHSLDQIIFDQVKKRLLLWDDRFKIIKGVAKGLLYLHDDSRLRIIHRDLKASNILLDREMNPKISDFGLARILASEKEETTNRVIGTHGYMSPEYIMNGQFSTKSDVYSFGVLALEIISGKKNWGFQHPDHNLNLLGHAWKLWTEGRCLELMDPVLGESVIQDDEVVKCIHIGLLCVQKKAEDRPTMSEVVNMQQNENFVSLHQPNEPGFYAGRSLIGLGFSTTERLDLDSINEVTMTTLTGR >KVH88149 pep supercontig:CcrdV1:scaffold_1524:25623:31448:1 gene:Ccrd_024459 transcript:KVH88149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, C-terminal MDRHKKPFSGIKKDFQGRLQCYKQEWIGALCSGMRILAPTAYIFFASALPVIAFGEQLNRDTDGTLSSVETLTSTAICGIIHAIFGGQPLLILGVAEPTVIMYNYLYGFAKGRPELGKELFLAWAGWVCVWTAVMLCILAILNACTLITRFTRVAGELFGMLISVLFMQEAVRGVISEFGVPKGENGTAEQYQFHWLYTNGLLAVIFSFGWLRSFIADYGVPLMVVVWTAVSYAKPADLPHDVPRRLVCPLPWEAGSLSHWTVIKDMAKVPAVHVFTAIIPAVMIAALYFFDHSVAAQMAQQKEFNLKNPSAYHYDVFLLGIMTLMCGLIGVPPSNGVLPQSPMHTRSLSVLKRQMMRNKMVKCAKEGIKLEASNSEIFGRMHAVFVEMEPHPNSVVDKELENLKEAVMNRDDGGDAKGKFDPDKHIEAYLPVRVNEQRVTNLLQSMLVGLAVFAIPAIRMIPTSVLWGYFAYMSIDSLPGNQFWERLLLFFIPAGRRGILFPLPFFLLIPIREHVLPKLFPGEHLQELDASEYEEYMGRPVQSMSLSRREGCDESMENDFEMDSAEILDEMTTRRGELKLRSSFNDRQFHVGSDELTTRRGELRLRSSFNDRQFHVNTEEMTTHRGELKLRASFNDRMLHVHRQNGSPA >KVH88152 pep supercontig:CcrdV1:scaffold_1524:98783:99656:-1 gene:Ccrd_024462 transcript:KVH88152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MCAANKLEVDAIFVYTKHGEMASLLSRNRPNPPIFAFTNENSTRMALNLQWEVVPIAFDLSDDMDANISRITDLMKAKGMVNAGDAILLVSDVIPACVTLAVYQSLKVVVIE >KVH88153 pep supercontig:CcrdV1:scaffold_1524:104863:105735:1 gene:Ccrd_024463 transcript:KVH88153 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSIPVADGGVKGRRKKASNSRGHPRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTADDAARAYDDAARSLRGANARTNFEPPDAVRCSPENSEPFCFEEACRSEDAENGLVGALRAKLFLGSSSKHAAAKGKSLGFPASQHHPVGVKRKTPSTAVPPSVITNLPLVNHDLIRVASPINLDEGQWRNTCYEPQPWSATTASSSAAKNQVIDVLSSLLDTSLMDSLWPLTNEAIEATVVKTATGIWQEQQVLHCDNSWGGSGSGGGDQALNGAPNASSWDPFIYLNSVLG >KVH88151 pep supercontig:CcrdV1:scaffold_1524:62145:65123:-1 gene:Ccrd_024461 transcript:KVH88151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MALPNQQTVDYPSFKLVIVGDGGTGKSMISFVSLSFGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDANLHFVESPALAPPEVQIDMAAQQQHEAELLQAASQPLPDDDDDTFE >KVH88150 pep supercontig:CcrdV1:scaffold_1524:43153:53464:-1 gene:Ccrd_024460 transcript:KVH88150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MTILKGSWVGGARFGGGSGGGVADDSDEFDSISRDERMSSVHSIRSSILPSLGAQSTRNVKLRPFTINPLHHRYRIWQGFLVVLVFYTAWVSPFEFGFLDSPARALSIIDNVVNGFFAIDIVLTFFVAYLDKLSFLLIDDRRQIAKRYIKTWFFFDVVSTFPSEIARRMLPDSLKPYGYFNMLRLWRLRRVGQMFARLEKDRNYNYVWIRCAKLVSVSLFTVHLSACILYLIAHRYKDQTNTWLAASSTDTNKESLAILYIKSIYWTTTTMTTTGYGDIHAVNWKEMIFCSFFMLFNMGLSAYFIGNMTTLIVERTGRTRKFRETIQAASGFAQRNHLPARLQDQMLAHLCLKYRADSEWVQQQEIIDLLPKAIQSSISNFLFYRYVDEVYLFNGVSNDMLFQLVSEMRAEYFPPKEDVILQNEAPTDFYILVSGAVELIYKRSGVEQVVRELKSGDVCGEIGVLCYRPQVFTVRTKRLSQLLRMNRTQFRNIIQTNVADGTIIINNLLQHLKEKTDPIMEAIFVETENMLTQGRMDVPLSLCFAVSRGDDVLLHKLLRRGGLDANEMDSAGRTVLNLAAAKGSLECVLLLLDHGADANRRDSEGNVPLWSAIVGRNESVIKLLVDNGAKLTSGDVGEFASYAVEQNSIDLLKDIIKHGGDITLRNSMGTTALHKAISEEKTDIVEFLINNGASIDTPDVHGWTPRDLANHQAHEDILELFAKLPAPKDKPAGVKTKLDGASYLKKYQSEPRMPLPFPTETPRISSAGPQDSRRRPADDFSNSVFGIVSFASRKQNA >KVH88148 pep supercontig:CcrdV1:scaffold_1525:94921:104739:-1 gene:Ccrd_024465 transcript:KVH88148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MESGEIGGKSSKNLGGHVCQICGDNVDITEKGELFIACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPVIFGDNEEDVEADDSNANFRFTETQNEKQKIAQRMLSWHMTYGRGEDANAPNYDKESPHNHIPLLISGQEVSGELSVASPERLSMASPPPSGGRTHSLPYHADVNQSPNIRVVDPVREFGTAGLGNVAWKERVDGWKIKQDKNSVPVTTSHAASERGQDIDASTDVLADDLLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVTNAYPLWLLSVICEIWFAVSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVFKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFCQKIDYLKDEIQPTFVKDRRAMKREYEEFKIRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDSEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKAVREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKKKREGGFSSCFGASRKKNSQSSKKGPDRTRSGKHADPTVPIFNLEDIEEGVEEQKVKLNECIRWNDLTEWQWDNSDKAGKSLGKGFGAGLDDEKSLLMSQMTLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKSDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYNGRLKWLERFAYINTTIYPITSIPLVVYCTLPAVCLLTGKFIIPQISNLASLWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIAPTTLLLVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRMPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVTFCGINC >KVH88147 pep supercontig:CcrdV1:scaffold_1525:78891:79136:1 gene:Ccrd_024464 transcript:KVH88147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein IQAVLTLYAQGLFTGLVIDAGDGVIHVVPVVDGYSFSHLTKCMNVAGRHITSYLVDLLLMRGYAMNKSADFETVRDIKEKL >KVH96757 pep supercontig:CcrdV1:scaffold_1526:5815:8211:1 gene:Ccrd_001152 transcript:KVH96757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MAPEKVTEMVLNVDLKCSGCYKKVKKVICKIPQIRDQVFDVDKNKVKVMVVCCSPEKIRDKLCAKGCGAIQSIEIIEKAEKPKEIEKPKAAEKIEAAKPPPTKPPKGPEAAKPPADKSKEPEKAKPAADKPKDAGKPKEADKAKPADKPKEAEKAKPADKPKEAEKPKAADKPKDSGKPPEAEKAKPKDADKPSPPKESLKKADAGPSPDVAKMVYEPVQGYPQMYPPAAYPMVGYGQYYDQGYGGGAPYQHGYGMTVVPPPPPPSYGGFGYEYGYNGNRSHYTSNDYRGEEEGEGCRIM >KVH88146 pep supercontig:CcrdV1:scaffold_1527:76730:81079:-1 gene:Ccrd_024470 transcript:KVH88146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MTTGEHRNGRDIFYDAVSGASAGAIAATFVCPLDVIKTRLQVHGLPDVPSGQKGLLCCLWASQRASAFTWLVIDYLISDSSGQLSFGTNIIAASGAGAATAIATNPLWVVKTRLQTQGMRECVIPYTSISSALRRILQEEGFRGWYSGLLPSLAGVSHVAIQFPAYEKIKSYLAQRDQGQVRNPEIHYKGVADCVKKVFQKDGLPGFYRGCATNLLRTTPSAVITFTSYEMIKRFLQHILPPHENPSKPDQRHDDGRMKPRDGTKGSQDSRESKNRVEL >KVH88142 pep supercontig:CcrdV1:scaffold_1527:38671:38955:1 gene:Ccrd_024467 transcript:KVH88142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKMVKLVVCIVLVVLGIGARCSTVAATDCNPIQLSPCATAILSSTTPSETCCGKIKEQRSCLCNYINNPRLQKFINTPNARKVAATCGTPFPIC >KVH88144 pep supercontig:CcrdV1:scaffold_1527:68313:75996:1 gene:Ccrd_024469 transcript:KVH88144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLIIFFFMSVLLSCLPLLLSSATYDNASTPICPESFSCSPILAPFKYPFYNASDSSQCGLIKVRCSTSNLSQIQLGNHSYSITRRPWPRSFVFIKNKTFENLVDDKSCEALEYNFTSPTPLLFSISIFSNMTVYKCTNHSNYARSFFDRHKLQNYSSYKCKDHSYSFYYRYPIDYTTIPSDLPHSCDVIQLPVKLDPVVDQANIFSLLSPEFSIAFHLSPSCDGCHKKGGQCRVDNGQFHCLNAKNEKGKLKLKLILGVAGSGFILMLSSIIFAIWRQTLDLEDGSHFFGVSVFSYEELKDATQNFDPSQELGDGGFGAVYYGSDSDSEITRMIRLVAELAFRCLQYDSEMRPTMSEVLEVLEDIQGSGRTCAYDDIKESEHFDPPSSMETMNDTVVLLGPSPPPASVAGTLLEYPFNNASDAQRGLIQVDCSLNHEDIQLGRHPYQIAGKHGNHSFFLIPNRRFEELLEKNSSDALYFSFTSPTPLLFSISIRSFMTLFKCTKDPKDAEQIDAYFIKHGYNSHKSCKDHKFYYNHHLVSNSTVPSHLPPTCEVIRLPVKEQCAEGNETDIFSLLAHEFSIFFNLSSSCQECCKNKGQCQTNNGQLECLYKVAAGSALILMLLSCAIFVIWRNRKSSPFSYVSSKEKSPDFEDGSDFFGVSVFSYDELKHATQNFDPSRLLGDGGCVVDQLIDPVLGSDSDPEIMRMITLVAELAFLCLQYDSELRPTMTEVLDVLKDIRGAGRRDGYDGIIESKDSEPLGSSKTTNDTVGLLKGPSLSPVSVVGEWQSGSTTPSSNGDVLPNKNHIST >KVH88145 pep supercontig:CcrdV1:scaffold_1527:99628:103816:1 gene:Ccrd_024471 transcript:KVH88145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELITNLGTVLIWIWKRRFVGSVKMGSRVERHQHHDLVPLAALISREMRSEKMEKPTIRYGHAAQSRKGEDYFMMKTNCQSVSGHQSSSFSVFAIFDGHNGNAAAVYSRDHLLNHVLSAIPRGLGRDEWLQVLPRALVAGQTSGTTATFVIVDRWTVTVASVGDSRCILDTQGGAVSVLTVDHRLEENQEERERVTASGGEVGRLSIFGGAELSNAGGRLIIASDGIWDAVSSEMAAKSCRGLPAELAARQVVKEALRPRGLRDDTTCIVVDIIPPDTXMPPPSPPRKKXSKLRXLFFRSKSSSSASKLSKKLSAIGIVEELFEEGSXMLAERLGNDDMTGGSTTSGLFMCAVCQVDLAASEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVKVT >KVH88141 pep supercontig:CcrdV1:scaffold_1527:5206:7723:1 gene:Ccrd_024466 transcript:KVH88141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MQKGDDQQQHSLTSKEETSEYSPTTFSSNEGPTFSDMVKVLSQVDLPSPSSSVSPVLATSCTVTREDNLITFHEGQPSGTKKVDEIEAEVRKLLIEQSIIDPIVHYCGMELGIIGRFFQDSSNLVALVHSNDICFVQRGRSQVQEKIIALKTLVPNCNKVTLFFPAQRDQASILDDAIEYIKHLQMQVQVHTLYFCLSLLNV >KVH88143 pep supercontig:CcrdV1:scaffold_1527:42951:49339:-1 gene:Ccrd_024468 transcript:KVH88143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLQFGRSRGGEDRFYNPAKARRNRQNQENLRRAQSDVTPTQSTTSSGREDPDNRVIQPSKPVALEPSVVVAAAVPASSPLCNLERFLDSVMPSVPAQHPSKQRTMRGWRTSDVEYQPYFVLGDLWESFKEWSAYGAGVPLVLNDTDGVIQYYVPYLSGIQIYGDPLKSSMKSRKPDEDSDDSFRDSSSDASSDYEHERGNHHPKSVISRQMDQLSLKDQHHVLQEGFSSDDSDSVSNQGCLLFEYLERNQPWGREPLTDKILDLARSFPELKSLRSCDLLSSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPMAGNQCEHPPVVSYPGGMDGIPMVSLPVFGLASYKFKPPLWVHNERPLVSSLLQAAEDWLTMLQVNHPDYLFFSRR >KVI10212 pep supercontig:CcrdV1:scaffold_1528:93150:97087:1 gene:Ccrd_011390 transcript:KVI10212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPADLCLNILCLLDHQDLVTAQQDLDSRILCSYCIVNNESNLSYNLTFHILQEYLVASKVCRKWKLLASENDLWSNLFEQRWGIDRAIFYAPTESKSWKDVYAVQDRCDRVGLGLKIIREGDDYFLIHQGEIQRHLGSRSLQLGTDDVSTSSVARYTGDELMGRNDRQMGILDRILFFIGDLETASAPAKRGRLL >KVI10210 pep supercontig:CcrdV1:scaffold_1528:99860:104881:-1 gene:Ccrd_011392 transcript:KVI10210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 MDKFDGPGFSVCLNIEVVDVSVSVSPSSDRIGSDHLLTIPIPLPANSPNYILGAFKPACNISITFADGKTRKQVPLKKENGQTILVPLFQSQENIAGKISLEPVQGKKVEHNGIKIELLGQIEMYFDRGNFYDFTSLVRELDVPGDLYEKKTYPFEFSTVEMPYETYGGANVRLRMYMHYAFISSKESSHHYKFMYKHIHLENYYALACVRNYGPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKLKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRTAETSA >KVI10211 pep supercontig:CcrdV1:scaffold_1528:108186:112805:-1 gene:Ccrd_011393 transcript:KVI10211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MLFHFYSSAAHAVEATLHKLGDFFFISGGAAYPNAGVNLPTTIFAALLIAAAMYSTSRGRLKSPWSRRKRKHALTPQQWKSLFTPEGKLRDGVKFLKKVRSGVYELNSCQEERDRLRTQGRKKYEKFRRQCRKLVRCSEESFKLKETGESSSNGDSDPFNQGMDSAESEDVVSARESLSSEEINSSPGCSDNRINSVLEEDPGGSTRFIDPNMSDSESSDSDSSQDAEVSQTFPSSDSKEENEESTPKSEEVQSKLRSTEDFSTWQRIIRLDAIRANGDWIPYSPSQAQVSEERALRLAEAVGLKDYEHLEACRIFHASRLVAILEAYALYDPEIGYCQGMSDLLSPIITVITEDHEAFWCFVGFMRKARHNFRLDETGIRRQLNRVSKIIRWKDSHLYKHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSGWNRIRQRAPPTEDLLLYAIAASVLQRRKQIIEKYSSMDEIIRECNNMAGQLDVWKLLDDAHDLVVSVHDKIESRSIIK >KVI10209 pep supercontig:CcrdV1:scaffold_1528:113581:119669:-1 gene:Ccrd_011394 transcript:KVI10209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MLGDAQVLKKKNKNKNKNNNNNNNNNNRRFSDEQIKSLESLFKMENKLEPRKKLEMARELGLHPRQVAIWFQNRRARWKSKQVEQDYTTLKADYDSLSDRFESLKNEKHALLQQLRNLCNQLKEPHEGSKLDLESGSENGDTNLELNSSRPLEQDMAIYSDEDDLRKHKQKQELDENIMEMEEAQEIVKLGPMTVSPMGFGTWAWGNQLLWGYQESMDTELQQIFNLAIDNGINLFDTADSYGTGKLNGQSEKLLGRFIGAFEESSGQAKIRDNVVIATKFAAYPWRLTPNQFVNACRSSLDRLQIEQIGIAQLHWSTANYAPLQERALWDGLVAMYEKGLVRAVGVSNYGPKQLIKIHDYLKARGVPLSSAQVQFSLLSMGEDQMEIKNVCDSLGIRVISYSPLGLGMLTGKYTSSNLPRGPRGKSIPQVAINWCICKGTIPIPGVKSVKQAEENLGALGWKLRGNELDELEYGASQSSGKMIQNVFQTRWYDISFK >KVI10216 pep supercontig:CcrdV1:scaffold_1528:83794:85042:-1 gene:Ccrd_011388 transcript:KVI10216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MDNHANTTARLSHKELAGGVQVKSMEEKKAIRAEKPQALNCPRCTSSHTKFCYYNNYNLSQPSTSAGNDYETGMMMMMNSFMPASSNVNPMVCVDGFHKKYDDDDDDENNINDHQDTTNTAAASTGARLFFPLEDLKASTSHQVQGPGDDSNSYWSGGGSW >KVI10213 pep supercontig:CcrdV1:scaffold_1528:94538:99426:-1 gene:Ccrd_011391 transcript:KVI10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MMKAKRKRVRSSSSSSRNNLTVIDAADSFLFFNSLQFRTPYFENLAMEVFYYMVFGGLGLIVAALELSKNNKDRVTTSQAFTSFKNNYLLVYSLMMAGDWLQGPYVYYLYTTYGYGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCMTKHSPHYKVLLLGRILGGVATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAIISGLFGNFLVDAVSLGPVAPFDAAACILAIGMAIILSSWGENYGDPSENKDLLSQFKGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSFASRLMARSSPRVESYMQIVFLVSAASLLLPIVTNFFIAPPEVKSGGISLGGCVQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNAFPITIMFGMCSIFLFVASVLQRRLLVITDKPKSENWTSLKERDVETEPLNDA >KVI10220 pep supercontig:CcrdV1:scaffold_1528:29463:34850:-1 gene:Ccrd_011382 transcript:KVI10220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MPFCDVGNADAVNNNGIKIFYRTYGSGPIKLLMIIGLAGTHDSWNPQIEGLVGTTKPNDDDRSSGDGVHNGNGIEVCAFDNRGMGLSSIPKNKSEYTTRIMAADAVSVMDHLGWKKAHVFGHSMGGMIACKLAALFPDRVLSLALLNVTGGGYECFPKLDRQTLSIAMRFLRAKTPEQRAAVDLDTHYTQEYLEEHIGLKTRRDILYREYVKGISATGMQSNHGFDGQINACWTHKISRTELEVIRAEGFLISVIHGRCDVIAQISHARRLAEKLYPLARMVELPGGHLVSHERTKEVNEALLDLIKASETKTSPYDWTNLLPKRSSCWTTSWSSSSRLNSEAESSNPTIIVGIAEKLRRIILYIFGIFVVAFEYIKRVLGRLKPVRVGPTLT >KVI10221 pep supercontig:CcrdV1:scaffold_1528:57830:61534:1 gene:Ccrd_011385 transcript:KVI10221 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MVSLSQDEEYRFFDAQDSIASTATDSVSKFHQTPVCKHGFNGFQYDFWVKTPASVQDRKNQFLKFMSLSSDDEITADDSGDDDDVLKARFSNRIMENSDAVLRSPMYEEMSSSTSSVSSLCQDASDSSRMMVSKENFICRANNNSNGSTGCREEEEEAGGNSLIRIDNVSSPLVQKLVERQIKVAGTMARTMNRVKSQWLSRLRSMTCVVDRQGRCDGLSPDGAGQVHRTRVQRVRVRQSKKRLKELSAVFTGQDIQAHQGSILTMKFGRDGRYLASAGEDGVVRVWQVVEDDRSNDIDIPDIDPSCIYFTVNQLSELAPIMAEKQKMSMLKSLRKTSDSACVIVPPKVFRILEKPVHEFHGHNGEILDLSWSNDNRLLSSSVDETVRLWRVGSDRCLKVFPHSNYVTCVQFNPVDESYFISGSIDGKVRIWSIGSSQVVDWTDIRDIITAVTYNPDGKGGIIGSMTGCCRFFCLSDNHFQLEASVCLNSKKKSPCKRIIGFQFCPQDPSKVMVTCADSHIRILHGINVIGKYKGQRNAGNQFCASFTSDGKHIVSACEDSNVYIWNCRNQKEPSVYQQKTVRSFECFSSDASVVLPWSGLKVSTSSGNGWNLEEGLPNSLPFSSPSYFSLGQEFFLESIPKGSATWPEEKLPTSSPPPPGVSSPLCKSEYKFFKSSCQSSASSHAWGLVIVTAGWDGHIRSFLNYGLPVTL >KVI10214 pep supercontig:CcrdV1:scaffold_1528:2853:6727:1 gene:Ccrd_011379 transcript:KVI10214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch synthase, ADP-glucose type MKTLLFSYPIFTAKSTHNITHKPPLISDFSSQLGFSYCSNNIKGSNHFKLRVIGKNFEEDGYSTHEDEENDGFLLGIEKDTVAEFQLIPQSGMLLLFAFATFLLELPGDFEVGNFYDDILADKYEASVSDELIEERLTELTYNIVFVTSEASPYSKTGGLGDVCGSLPIALAARGHRVMVVSPRYLHGDPSDDKFAGATNLNCVTKVHCFGGIQQVDFFHEYRAGVDWVFVDHPSYHRPGNPYGDIYGAFGDNQFRFTLLCQAACEAPLVLPLGGFTYGENCLFLANDWHAGLVPVLLAAKYRPNGVYKDARSIIAIHNLAHQGIEPAATYGNLGLPLEWYGALEWVFPTWARTHALDTGQAVNILKGAIVTADRILTVSQGYSWEITTPEGGYGLNELLSSRKFVVNGITNGIDVTEWDPSSDEHIASHYSMDDISGKVVIANCVCEMQIKCKIALQKELGLAVLPDCPLVGFIGRLDYQKGIDILLSATPNLLQDDVQFIMLGSGEKQYEEWMRATETSFSDQFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTIPVVHGTGGLKAKVKKVPGIWAFAPLTKECMLDALRVAIRTYREHKTSWEGLMRRGMERDCSWDNAAVQYEQVFEWAFMDPPYVT >KVI10224 pep supercontig:CcrdV1:scaffold_1528:71869:77926:-1 gene:Ccrd_011386 transcript:KVI10224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MASAPRVVARRRTQATNSIRSSSRKRSKSKSADEFEYDDTRCEECGSGDFGSELLLCDQCDRGFHLFCLRPILASVPSGSWYCPSCFANRKTIARFPLVQTKIVDFFRIQRTSQSSEKVCQDARKKRRRTSSLATSKKKRRLLPYSPSEDAARRLEQMASLATALTATGAEFSNELTYIHGMAPKLANCPAYEKGGMQVLSREDNEALNLCKSMMGKGECPPLMVVFDPVEGFTVEADKFIKDLTIITEYVGDVDYLERRENDDGDSIMTLLCAADSSKSLVICPDKRSNIARFINGINNHTPEGKKKQNLKCVRFDVDGEARVLLIANRDISKGERLYYDYNAYEHEYPTHHFRSAFALLCCLIFGASPLFHNSTSSSNLHLLSSMALWSPRMQRFLLNNNVSNFNLKKTSNNNSNIILKNWSRQGSLRCCSAIAIDAPSSLSDGLASGIRWGSAKLQGAREEMEDDAVIVASSSNNELDGFHFAAVFDGHAGFSSVKFLREELYKECVKALQGGILLSKKDLTEIEKALKEAFMNADAKLLNWLEMSGKDDESGATATTMFVGNDMLFISHVGDSCAVLSKSGKPEVLTNSHRPYGRHKVSLQEIKRVREAGGWIVDGRICGDISISRAFGDMRFKTKKNE >KVI10215 pep supercontig:CcrdV1:scaffold_1528:87263:92282:-1 gene:Ccrd_011389 transcript:KVI10215 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MDPSDGIHFVSSTDSPEFTRLSSSLTRSSVIGLDAEWKPVRAHQPNFPTVLLLQIACRLDSHRSIVFLLDLSEILLPSVYHLLRDVFLSPHILKLGFRFKQDLLYLSSTFRSQGCDSGFDRVEPFLDIATIYSHLHHKQTTRKTKVTKSLSFICEELLGMSLSKELQCSDWSLRPLTKDQRTYAAIDALCLIEIFNVFQLRVLKEGAFRNLTELDNSSLNLGLKQILKEPNISSRILRTTFCDAVEMVRATTIEYPQRPHAEQGISLRKSSWVTLPMDYTLLQIVRGYSDKIVLTESDGKPGTSKKKGKRKSSTGFTCKEKRVDDIDEWRGPAPWDYLLGGDGFPKFLCDVMVEGLAKHLRCVGIDAAVPYSRKPETRELIDQAIKEKRVLLTRDAKLLRHEYLLGNQIYRVKSLLKNDQLIEVIETFQLKICEDQLMSRCTKCNGRFIQKPLSIEEAIEAAKGFQVIPNCLFDRNIEFWQCTDCNQLYWEGTQYHNAVQKFIDVCKISSA >KVI10217 pep supercontig:CcrdV1:scaffold_1528:26812:30710:1 gene:Ccrd_011381 transcript:KVI10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSSWVPCLLTKPRYQGPILITISRKESLLHHSSCFTGLLQANPDLGISNESKDQEKERQTIFLVNQFSFGPMRTWFLGCQHHDVDDDDLTNPLPEIATFVTKVWTKEMTPGTKYNQDFKLLPPTSLNLEPRRRKSWGKWGSRGLPLLKPRSNQILAMNEKWITGSCMGIPDEKSSSLYPMLFSVSCALFSLKHSSGDERKRTEITDSMLHGGASLISLLLWRVQREEQVKTEKFELLSKLNKAETEIEELKRRRVEDAKANEKVVSIFAAHEQRWLMERKKLTQHIRILLNELRVINTKREENASKLSDQLQEKEKLLEEEKQKRIEQEETVQKTERTNEELREMLKREAQEHSKEIWKHKSAFIELVSTQRQLEAEMGRAVRQVEAEKQELDAVLEQKEQYVLMTQKLSMELIKTRKDLEQKDKILSAMLRKSKMDTAEKQMLLKEVKMSKSKRQQAALETEKSRMVPETRHERYSLRSMLSRHHKFKPEPIAGIKEAPSGAVMPSRTGSQPTNLLEYKQSEFKEEQDVTSPFSQQTEASEELADISKLEGWVQCEAEKCITILEQRHQLEVNAFAEQLRLKDEKLEAFRWHSLSMDIELKRLHSHIEGLGHDLTKLKERNLKVEALLLDRESELHALKEQMKSELQPRTPKSQISYSNTLSPSHPLATAHVDGVWSNDRIIKRKPGEKEKDLKVPSEEIFEESAFKDALLTVQSPDKEFEDKKDIISVKEECARKKEILNAENMPSSTWKMDLHALGVFYKIKRLNQQLLMFERLTGKQESCENSDCDDKSQFGVKGFYGLMSLVNKQVSRYQSLQEKANDICKRMHENDQDASRSGSFAAKSKDETKKLEHFLEETFQLQRYIVATGQKLIEIQSKIASGFVGAPDDGFDGCATSFDMKKFADCLRSLFREVQRGLEVRISRIIGDLEGPLAWDGMIHPRT >KVI10223 pep supercontig:CcrdV1:scaffold_1528:78611:82641:1 gene:Ccrd_011387 transcript:KVI10223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MYLKKAFWSEVLKSGTENNDKSPDTTVPSPVTDLVSSLDDQRLYREVTLALRTGLRDARSDFSFLRLHGLGNILKFLRSVAASDSTINLFCNSQSITALQVVPALFQHSLQETEDQIVSNLDHIFSVEPLKIKSPSTDTEVSLALRVLEGCCLLHKESTILAHQFKAIQVLMNILATRGVLEQGSCLDALISIMLDSPLNQMDFEDCNGIEEVALLIGDKQVDENLRLKCGEFLLLLIGHVNGREGPPMAAIHDDIRRLLGEKSASLIWAASQFGSTLDPGQRLTALHIQARRVLESLDLY >KVI10219 pep supercontig:CcrdV1:scaffold_1528:35584:38418:-1 gene:Ccrd_011383 transcript:KVI10219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEAKSRWFPFANHCIVDEDYGRASRFPSFIPSSSKSVSEVCLGDGVPSNDPPANTKWWFNQKHKVNTCSFWNPQWETFATSVQNDENVRILELEAVIGDVLQKKPTLPEKWMEFDHDKHIVSDEPSKLLSELESQWIGIKKNEPWWRTTDLDDLASFVSQKSLEHFDNCDLPLPQTNKTKRLVCEKGLASLGQELEKEKSSFTDFMPGSPTSMCTDETQYTSGVIGCSSCGLDRPCSTRQSDETENLETPNSDLSKTQLLEALCHSQRRAREAERAAQAACNEKEHVIALFLRQASQIFAYKQWLHILQLEALCLQLRHSKYQPFYTRFPDVAPWFPTKVKLPKSDRKATERKLGSERCKMHESVGNFLWGLALVGAGVLLGWTLGWLFPAF >KVI10218 pep supercontig:CcrdV1:scaffold_1528:6998:13470:-1 gene:Ccrd_011380 transcript:KVI10218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKKSPRLLFLDLRLQPDSYPNRLRFGPLSLSHRSYLGAPSAMGSLKMEDMSLPTLFEQARKIHLLANDSAVEKETLRKSCEALQRCEEMISKLGLFSTNETKEEIGTTNLKYILVPFYLAELMEKTGYDNRIEVLKVSLAKLKEFISFCDAMELVPEDELEASVHGGPNTVVDRRAKKIARFKRQRAAESKLLELKERKERRGRSTKAAALSTPVDAGDDDVFDDDGEEEREAWLASISLAIYKALDLMEMMKKEEEMLSAIKEKQEKEGNTEISQAILEERAKTVEDWHRNAAARARYTKPAAPITCATFAQDVLEGRANVSQTHDHKHQPLMFGPASLVGGGLTSERERIAAQVFQPGHRMPTMSIEEAGLKEMEMMNKWQENTAKMMEEANSSWHTEKWKPRAGGGDDDEDEDDDAAQDKARAFDDWKDDNPRGAGNSKLTPCG >KVI10222 pep supercontig:CcrdV1:scaffold_1528:44701:47254:1 gene:Ccrd_011384 transcript:KVI10222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQYKHERAPFLEVQVRDIWETGKLVMHDIERLKLWEENTFSFAMELAAEANELVKKDKDAYGGKKKPLLHAISNRMNEMGFYRPEAYIRPDPLKHLIKREIHDDDD >KVH99370 pep supercontig:CcrdV1:scaffold_1529:96066:105968:1 gene:Ccrd_022399 transcript:KVH99370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTFSKFKNTVDNPVVLDEEEDPVSMNPEACQNDEQSVQLHEETDSTNVKVILEAAEPDELTVLVQKELDSTYESVIPEAVEPDELTVLVQKVLDSTYESVPEDHANTKASSCHEIHKHEHRHIAMNPNAVQDYEQILPVQEADASTHLNGKTRRKSIALDETKDRGKDETNKGKERLEDSDASEGNEYGLENLRTRTSPRTLHQTIVGLNDAQKKVVKQMGLGALLEMTINGVPSKLGFYVVDNLDVKKMELKVVNGAIPITIESIHELLGLRMGGVDILEMDEVEDSKNMTTNWRKQFDKKKMRPKDIMKIIQSSGDAGFNFKLNFLVLFVNLMLLYVEATISPKVVVEHKGHAISAWTLDLLKKRQSTEIKDGGFGLLPLRPIAKSSEDVHHRYASNQENIGEQSTPTHLSKENPSVVVIDNRYQEVSDDDQLLQMYDFITDILQRLMTMHLNAVGHPAGRELDEIGQERLRMDWQTQNNFDDCGVFAMRHMETYMGNVRTWNTSLSKEGKTQEIQIASLRMKYVAKLLVNNYNKKKEYVVKEVEKFQSMDEAIRKKLRKHVDETKTERLQI >KVH98390 pep supercontig:CcrdV1:scaffold_153:181336:187439:1 gene:Ccrd_023394 transcript:KVH98390 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MKFVTKKGLKSIVPLRLKGKSTARFCFFPRIKAEYGPGNTPVFLNVYDLTPMNGYAYWAGFGIFHSGMEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRRSILIGTTCLDPAQVREFMEHHAANYNGDTYHLIVKNCNHFCNDICYKLTGKQIPKWVNRLAKLGSAFSCVLPEALKVSGVQHDPGCIAYESGNGSENEKRRLRSSSFNCLASVSSRQRHLSTSSLILQSPLKGCLPPWELRRSCAQ >KVH98382 pep supercontig:CcrdV1:scaffold_153:3615:7957:1 gene:Ccrd_023382 transcript:KVH98382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRGCGTSHFIWSIKDGMVKKVLNVDSHGRPGLKFKKLEDIYHSTDAEHTSKFQDGYGHTKIDGSSSGNECTPMPYADRKTRSQSGHVVKEDEPEWPLNENTCEELTLSQLKKKCKRKKRKASESVLLTPKAEDDDHDLSEPLCKFRVKASKSSRSKMIPANGSFPTSSRSTQIIASEPSTGTDDALLFSKKLAVVNVKVEAPEDFEHGETTNMADGPLICDVSSDFIASESGFVLDNRSFPTSSRSTQFAASESSMCPDDALRFSKDLAIVNVREMTHMTDEPLICDGSVNFIASESGFVLTNHELKSTESGSFTNDVEICGFNEASLDNLDDITDSFLGSPMIGENMELDNEENMANNFLHLPVSKVDKEECVNQQPSIVSSSEDDYSVEDLTASTSDCSQSSPNSQQTPEQTNYIFGVQNSESTIDGDHYCADLGQVSDSHMVEEFAEGSPMNQEYGSLSLMPDYLCLPLKSHPWTSPDDDDHVVSIEAKSAIPEKQNITSVNADPGSNSLNFVNNCFVPEDALILEEQPSFVPEDTSILEEQPSFVPVDTSILQEQPSFVPEDTSILQEQLSSSPAIAGSEVKFSNHNYQTDITTKASEIRNSDCIELRHSERLPSTRKTISPTSQEKLCLAMKSAELLDDMDHYNVEDSESNKHPQQPTRLIQNKDVISPKHMLKKLKNFKKGSPPKRSLPPKGCLDGPRLCRSLPRLSSGCTSIQGCSESAIAFSQRQMHDIESLASKLMSELNSMKVIVEEKMLYEAYRSSSLKNEADEVKSAIKSATKTEETARKWLSMMARDCNRFCKIMKLNEDDDASDPACVITVEEKPVQREGKKISFADEVGGTLCDVKVFEVDRASLESSTP >KVH98392 pep supercontig:CcrdV1:scaffold_153:155217:162284:1 gene:Ccrd_023392 transcript:KVH98392 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSLLLLLPLFFITFCVFFKFIWIPLRIQLHFRQQGIRGPTYRPIFGNSAQIRRRMIVEAESSRPISFNHDGVVQRVMPHFHNWSKAYGDPFLYWFGSKPRLAVSDPDLIKEILVSKSGSFGKIRFNPVSKVLFGEGLVALEGEKWILHRRITTQAFNMERIKEWVPEIVDSTRNMMSKWDAKIGANGSEFEFDVHKEFHDLSADIISRTAFGSNFEEGKRIFELQERQVMLVLQALRNVYIPGFKYIPTEKNRLRWKLEKETTELITKVIEKNENTKQNPNTLLAFLMRPYKKDDTLTHEEIVSECKTFYFAGKETTANLLTWVFLLLGLHQEWQNKTREEVVRVFGDNVFPCVDNLAELKTVSMILNETLRLYPPAVMMMRQASENVKLGGRLDIPAGTQLFLAMTAVHHDPRIWGEDANEFNPMRFSEPRNHLASFFPFGLGPRVCVGQNLAMVEAKIVLAMIVRRYTFVVSHSYVHAPMQSLTMQPQYVFFKLVWNPLRIQRHFRQQGIRGPSYHPIFGNSIQIRRRMIVEAESSCLNSFNHDGVVQRVMPHFHNWSKVYGDLFLYWFGSTPRLAVSDPDLIKEILVNNSGSFGKIQATPDTRVFFGGLMGMEGEKWIEWIPEMVDSTRNMMSKWDAKIGANGSEFEFDVHKEFHDLAADIISRTAFGSSFEEGKRIFELQQRQVMLVLQGLRNVYIPGFNYVPTKMNRLRWKLEKETTELIRKLIEKNKHRKQNSQTLPALLMSPYKKDDVIPLDDILSECKTFYFIGKEAPAHFLTWTFLLLGRHQEWQNKAREEVVRVCGANAFPSVENLAELKIVRMILNETLRLYPPAGLMMRRVTENVKLGGRLDIPAGTELFLAMTAVHHDVGTWGEDANEFNPMRFAEPRNHLASFFPFGLGARVCVGQNLTMVEAKTVLAMIVRQYSFTISPSYVHAPMLSLTLQPQYGAQITFSKRS >KVH98383 pep supercontig:CcrdV1:scaffold_153:22852:41673:-1 gene:Ccrd_023383 transcript:KVH98383 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF-like protein MSSPPPPVTSYHLFRIAGHRLPPPCYSIDLFIFFTHEGPSPISLLFYQSLIPIFLPNMILWCRTSTAPRRQAHGDRSTTTGPRRQTHGDRLTTTGPRRQTHGDRLTTTGPRRQTHGDGDSPTTTTLLPLRLSFSASPCHSHTAKETLGVSELSISVPLRSSMADKTDFLHSHHHPQISRDGHGSDSSIPLSPQWLLPKPGENKTGTASGEIHTSPFPTFANRPGVGKSHGNNDQVHDIQKKDVFRPSMLDNRERWRDEERETNISVRKDRWREGDKELGDNRKVDTSSGRYYGEVRRAPSERLADSGNKDANHDQRRESKWNTRWGPDDKETDGLRDKWTDSGKDHDVPLDKGFSHLNHGKDERDTDHPRPWRSSSALNRGKVEIPYQSPTSNKLSPMSVHGRGRGENLNPTFSLGRGRGVFEGNPMNNTSIDSHSLASFSDKIDRCHEEPSSVRYSRAKLIDVYRMTDMRSSERMLDGVMLVPSLTQEEPLEPLALIAPTPEELFILKGIDKGDILSSGAPQITKDGSVGRNMVDVQSRRTKFGSREDLPVAADNYKDEATDGFKEAEYGASCARNNDVGITRESRMHGTSVHPGASWRSLSTGERLQSNSHDLRDLPADIRSRTDISWSQPQKDTTNEWSGSSANPSYSKEGPKWQVSEDPVIKRQPSGISDREQETRIHSQPSPEDLVLFYKDPQGAIQGPFTGSDIISWFEAGYFGIDLLVRLANAPQDSPFGLLGDVMPHLRAKARPPPGFSAAKQSEVNDESSKPNFTSFSKLYTGSGENTMLKNEPRFQHGSSAEAENRFIESLMSSNMGGGPLEKFGLSEGMQGYFGNSNTVPPLGTESGDNLYQLAKRIQLERQRSLPNPYSLWTGRDAASIGPKSDIHQDPAIPQSNVLSAVAENLRQQPQPPNVPSPEFMSILQGLSDRPTFAVNSGVTSWSNFSVPGGLDPHQDKLDMAHGKNFPLQTSFGVHQRLQPQNLSTLTNLHAQGFDNPSGPLIPEKLLASGLSQEPQLSALDHLGFQPAHEMFQMVSQNQAINIQDTRTIDFVNMPPVVSQDGNHISESEASIHLPHQLVENRAHQKGSDAMAPEQSDENGHRKGSDDNCLQEQAIPVGLRAEEDVAISTCEDLMSVPAEQFQSSSAVPSVGPPDNQVTRSEHLDNLKLSSVDVVDLPKIQNRSSNEPSVPKEAKVVEAREVKKASEKKSKKQKSFKAQSSDLAKTSSKTQQPKQSVPEVNKVSQVDFDMQSVKHEANPGEVGECKSDVVTVDPNSVSGGDSQISEKKNEAELSGSITQHHTQVITGQRAWKPAPGFKPKSLLEIQQEEQWRAHAQAQAQAEMTVSDISTSLGSINISTPWAGVVGNSDYKENSIDRVSSEPTVAEGYLYQKNKKGQLHDLFAGEVMGKPSERESASSDSISHVPALPVTGSLSDSIDDGNFIEAKETKRSRKKSAKAKSSGAKVSVPVAAAEIPVSSSPNEKGKNSRQTLEEKDLLPAVPSGPSLGDFVVWKGETAAPSPAPAWSTDSGKSATRTSLRDILKEQEKKVSSGQHQTPVPTPQKSASVQSNRGNGPSWSSSMSSPAKAASPVQIISHGASQSKNKVDDDLFWGPVDHPKQEPKQYVPLFPFLMSACIYFMPVSTMDNFIFLPLLSCGSSGVLDWRSDFPQLANQGGWAKKIPGKGISGGSLSREKSMGGRSAEISLSSSPASKGKRDVLTKHSEAMDFRDWCESECVRLIGTKDTSFLEFCLKQSRSEAEILLKENLGSYDRDHEFIDKFLNYKDFMPSDVLVIAFQGGKASGDASYRVYDGARDAEVGGSNANVGGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >KVH98370 pep supercontig:CcrdV1:scaffold_153:373804:375393:1 gene:Ccrd_023408 transcript:KVH98370 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAASSLAFPSLHHLNLSSDIPKRQRATSVTLITAVTRDSVPPLSATSADIAPTVSGNSPTNLPLRQIPGSHGIPFFQPLKDRFEYFYGAGGRDEFFKSRVQKFQSTVFRTNMPPGPFISTNPNVVVLLDAKSFPTLFDVSKVEKKDLFTGTYMPSTELTGGYRVLSYLDPSEPKHALLKNLIFFMLKSSRTRIMPEFETTYTELFDELEAELAQKGKALFNDAGEQAAFRFLGRAYMETNPEGTKIGKDGPKLISTWVLFNLGPLLRLGLPWFVEEPLLHTFRLPPVLIKKNYQKLYEFFESYSSPILEQAEALGISKEEAVHNILFTVCFNTFGGIKILFPNTLKWLGRAGTSLHTQLAEEIRGAIKTHGGGKVTMAAMEQMPLMKSVVYESLRIEPPVALQYGKAKRDLTIESHDAAFKVKEGEMLFGYQPFATKDPKVFDRPEEFVPDRFVGDGEELLKYVAWSNGPETEGPTAGNKQCAGKDFVVLITRLFVVELFRRYDSFDIEVGASPLGAKVTLTSLKRARV >KVH98380 pep supercontig:CcrdV1:scaffold_153:45528:46889:-1 gene:Ccrd_023384 transcript:KVH98380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MNDKKPMQQRRRKVAKKTEVADMEGKQEIKTTSLFFLDQEKPGKHDDVNCLGKRKSRTPSIVPDVAVADLGYDLNLGGGGAAVKGGGDGPQRRLWVCSAIKDVLMPKYLQWPNDDSIRVISNEFDSVSGIPNVVGSMYTTHIPIIAPKVNVSAYFNKCHTEKKHKTCYSVTIQGVVDPSGVFTDVCIGWPGSMPDDQVLENSALYQRAMGGLLKGVWIVGGCGYPLMDWVLVPYKQPHLTWTQHAFNEKIGEIQKVSKDAFARLKGRWSCLQKRTEMKLQDLPVVLGACCVLHNICEIRSEKIESQLMIEVVDDEMVPENGLRSPMAMKARDSMAHNLLHHNHAGTSFLS >KVH98394 pep supercontig:CcrdV1:scaffold_153:101417:110115:1 gene:Ccrd_023390 transcript:KVH98394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRKYSKYKNSRYCHFFCAIETRSSSPTAILFPFTRLRRQPTGVTLIHLQHQNMNNSESHQDMVPPVEGVAGGGTAYGWGDGSLRAPSQLRGTIDPTRVPSSELVHVWCMPSTANVGPQEMPRQLEPVSLLAARNERESIQIAIRPKVSWSGSSNAGVVQIQCTDLCSTSGDRLVIGQSVTMRRVVPILGVPDALVPVDMPVSQINLLPGETSAIWVSIDVPSTQPPGQYDGDFIVTATKADAECAAQFLGKSEKYQVYRELRDCLDVIEPIDGKAVEEVVERVKSATTTLRRVLLSPSFSDFFSENGPADMMDEDANLSIRLKLSLTIWDFVLPTTPSLPAVIDRFGVEHGSAEWYDALEQHFKWLLQYKISPYFCKWGNSMRVLTYTSPWPADHPKSDEFFSDPRLAAYAVPYSPVVPSGDLANDYLQKEVEVLRSKSHWKKAYFYLWDEPLNVQQYDAIRHQASEIHAYAPDARVLTTYYSGPSDAPLAPNNFEAFLKVPGFLRPHTQIYCTSEWVIGNREDLVKDIIAEIKTDNDEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVASAEIKFRRGLPPGDGVLFYPGEVFSSSHEPVASLRLERLLSGLQDIEYLKLFSSRYGRDEGLAILEKTGMYQGPERYTVDHAPIDMMRGEIFRTCRL >KVH98387 pep supercontig:CcrdV1:scaffold_153:222572:227344:1 gene:Ccrd_023397 transcript:KVH98387 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MCPPASVPVAAEIGENWTDEGIFTSLSNFKNGTDLWYFCSCVKKDAKCGFWVETGDACEVVSNSTILGSRTTLQFYEGQAPYGRKTDWVMQEYRITEKFKDNLKDHRALCRVFLADDNRPFTGHSIANIDGKICINLDPKTVSHVNTREQISTSELLENKTGMSSRRSQDFLENSSEMDCLLRGDYFELNDLIDPGYHSSSSANSSCLTMTSDEYFDSIALLQELEDDIKDQEMKDSMSVGNAEECKAFDQKASKVDERIGSTSNGVNATASSSDPEGTSKEGKKEDVGRTKKRKMMKYLCFMAF >KVH98373 pep supercontig:CcrdV1:scaffold_153:297824:300499:1 gene:Ccrd_023401 transcript:KVH98373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MLRHKPPLSSLLLPFLLLFSAAATTTSISKQFKEAPKFYNAPECPQTHIDTDDVDRQTDTLDTDPDSAVHVAMTLDATYIRGSMAAIFSVLQHSSCPQNIVFHFVTSASSDASLLRATISTSFPYLKFKLYPFNDYFVAGLISTSIRSALDCPLNYARSYLANILPLHVRKVVYLDSDLVLVDDIAKLASTPLGDDSVLAAPEYCNANFTFYFTPTFWSNPSLSLTFANRKACYFNTGVMVIDLDRWRTGDYTRKIEEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNFRGLCRDLHPGPM >KVH98381 pep supercontig:CcrdV1:scaffold_153:59985:65147:1 gene:Ccrd_023385 transcript:KVH98381 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MSFFRRRGSSQQQQEQEHQELQSESKVNELKAALGPISGRSLLYCTDACLRRYLEARNWNVDKAKKMLEETLAWRSTYKPEEIRWHEVAIEGETGKLFRANFHDRVGRTIKHLVYLMENAMLNLPEGQEEMAWLIDFTGWSFSTNVPVRTARDTINILQDHYPQRLAVAFLYTPPRIFEAFWKIVKYFMDPKTFQKVKFVYPKNKESVELMRSYFDLDNLPTEFGGKATMKYDHEDFSRLMAQDDVKAAKFWGFDDKSASMTTSYAAGAAVAPEPA >KVH98388 pep supercontig:CcrdV1:scaffold_153:207909:209960:-1 gene:Ccrd_023396 transcript:KVH98388 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MMWEGGSSSAAAEEAAGGGGGGGRRKPSWREKENNRRRERRRRAIAANIFNGLRAQGNYNLPKHCDNNEVLKALCKEAGWVVLPDGTTFRKGCKPSPSSIEIKCTSANTTPSSSQRPSPPSSSFPSPSRLDSKNPPSNNPFGFLSNSIPPSLPPLRISSSAPVTPPLSSPTSKFPKKNNLDWEDFTKQYTSTFSLPVFASSVPTSPNRHQRFRPATIPECDEPDWCTTGSFQRLRFQDCDPMMVNPNSPTFNLVNPNARVVATNDPIREKGKGVKAWKGERFHDVGFDDLELTLGSGTAKI >KVH98372 pep supercontig:CcrdV1:scaffold_153:319076:321332:1 gene:Ccrd_023402 transcript:KVH98372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MFLCFHSPSIKSQQQYSANELFSCQPTDSFLYTCNGLLSSCHSFLIFKPQPPYTTVTAIAALMSANPRHLARINNVSETAVLPPEKHVFIRVNCSCSDHYYQANTTFHITTQAKTYFVIANGTFQGLSTCGLLKHANRFNEFKLEDGFKLKIPLRCACPMKDQVVSGVKYLATYPIGYGDSVRDLAQRFNVTAESVLKANGLSETSTIFPFTTLLIPLRAEPSSAQTIIQRYMPETSVSSAKGSNKKKPLTVGIAAACSFLVFMAFLVTVLGVVYRRRKGQAEKNEEMMISPEDLLVEIASFEKIIKVFSFRELQKATKNFGPKCRIKGSVYRGVFGNQIIAVKKMGIDVSKQVNMLSKIYHFNLLKLYGFCTHKDSSYLVFEYMTMGSLREWLGRQRSEETHGLCTRVQIAMDVAHGLQYLHNFTKPGYVHKNINSSNILLDSNLRAKISNFSLARTTNNGNDISALSTIMGTRGFMAPEYVGNGCVTSKVDVYSFGVVMMELVSGKEAVVREGGHEVLLSTAIATIMEGKNAETELRSITDLANEENGSMEYAMQMRGYKGI >KVH98377 pep supercontig:CcrdV1:scaffold_153:331815:347133:1 gene:Ccrd_023405 transcript:KVH98377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex, component Exoc1 MAKSSADDLELRRACEVAIEATKQKVVLSIRVAKSRGIWGKTGKIGKGQMAKPRVLAVSTKEKVQQTKAFLHVLKYSNGGVLEPAKLYKLKHLSKVEVLTNDPSGCTFMLGFDNLRSQSVAPPQWTMRNIDDRNRLLLYILNICKDILGHLPKVVGIDVVEMALWAKENTPAVPKKQNIQDGHDMDVVAESDMKVTVEKELVSQAEEEDMEALLGVYVMGIGEAEAFSERLKRELHALEAANVHAILESEPLVDEVLQGLEAATICVDDMDEWLGIFNVKLRHMREDIESIETRNNQLEMQSVNNKALIEELDKLLERLRIPSEYAACLTGGSFDEARMLQNIEACEWLTGALRSLEAPSLDPSYASIRAVREKRAELDKLKTTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRFKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSNQNVNNADTSTVSEAYAKMLTIFIPLLVDESSFFSHFMCFGVSALAPPGSPANGDKSNDDDLGIMDIDDNDNNKSISELGALNESLRDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYISGQKADAAGYVRLLLDALEERITAQFTRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYIKFIGVMFATLDKIAQTDPKYADIILLENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISVIIYYQFERLFQFARRIEDLMYTITPEEIPFQLGLSKMDLRKVVKSSLSGVDKHIGAMYKKLQKNLTSEELLPSLWDKCKKEYLDKYDSFAQLVAKIYPTETIPSVTEIRDLLATM >KVH98386 pep supercontig:CcrdV1:scaffold_153:72035:80670:-1 gene:Ccrd_023387 transcript:KVH98386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSSTGTATATRISTTSLTTHLTVLAFLSATTLYCFYRSRRLRHLKLSPSSSNPSLSSATRKGKLFFISQTGTSKTLAQRLAKLLASNNLPFDLVDPNSYEPEDLHQETLVLIIASTWEDGNPPSNGVFLSNWLSESAEDFRVGSLLLSKCKFAVFGVGSSAYGDNFNAVARGFSTKMRCLGAMEILPLCEGDVDEGELDKAFGIWSKEVIRVLKVGELSGNGSDLIGENGNENGYEMINGSDYDEDDDYEDEDEENGAESDIVDLEDIAGKAPSKKDVGVITSNGKKNGVKEMVTPVIRASLTKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLLIVNSAIEQHKKMIKQMKGVPGVTAERLSEGLLPRHCALSLVGEPIMYPEINSLIEELHQRRISTFLVTNAQFPEKIVSLTPITQLYVSVDAGTKDSMKAIDRPLFGDFWERFVVCIFLWHKIFCPYECKCQMHVLYIEIHVDSLKALRDKQQRTVYRLTLVKGWNTEDVDAYSSLFGIGNPDFVEIKGVTYCGTSATSKLTMDNVPWHADVKAFSEALAEKSNGEYEVACEHVHSCCVLLAKVDKFKIDGQWHTWIDYEKFHDLNLLAATNTWPLLHLGRSMELTKAGLIQNRLGIRKKGITNQAVDRQEQ >KVH98393 pep supercontig:CcrdV1:scaffold_153:147638:149749:1 gene:Ccrd_023391 transcript:KVH98393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFENSSMMAVSGTTVEATECDSAGNPTEPPLEMLPSKKKRNLPGNPDPDAEVIALSPKSLVAMNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTGKEIRKRVYVCPEKTCVHHDPLRALGDLTGIKKHFSRKHGEKKWKCERCTKKYAVQSDWKAHMKICGSKEYRCDCGSLFSRLNSIHRSQILLQFMRDTFITHRAFCDALAHESTITQSQTLALSSSDQQSPPTIMEEVVLPTTFSPPPPPLTPSTDVLSPAISFQTPGYYDFNLHLRLRTIFNTFLVIPLSFSESLSSCVVNYLSSTAFVFFFSMGAFSPEKKMFKILFAELPENAMVAKVSGATTLTCLATTRATTTASTATTTVSGGSTSNSTSVFPSIYTSSVTIEPMSLSLSSSLYLSNTANPSLFPPPDHNLLHKLHHRYLPASQPALSATALLQKAAQMGAKSSNTSFLHALGLASPSSSSSNHRQDTNNGEWSNVHIKQERDDNSRLGLGIPFATAATTTTTTSLTDLMMGPPAPTTLDFLGLGMGSGSGGSPGGFSAYLASTGSGLYSGAGAASAVPLFRGMNSGINKIWDDSDDRKPDRL >KVH98369 pep supercontig:CcrdV1:scaffold_153:396675:400204:-1 gene:Ccrd_023409 transcript:KVH98369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MNLKISILFLIFISKFPSFKSQKSIYCDRKCPGGVLKHVPYPFGFSSGCEIQLNCASNGTVSISEFDVQEINQDALLVSLPAKCGRAVAAFHRLYSDHYAPTSNNAILMENCTGQVRTCMIPATMVQTHLEILDCGGESNVYSNVSCYSGDKHRMFLDYENLTRTGCRVLLSGIVSQMIGDSPAVSLDVQVVKLGWWLKGQCQCSDDAICTKIVSPADGSAAYRCQCQDGFVGDGYKANSGCRKGSSPCNLSKYLTGRCEGKSRVGILIGAVTIGASSIVCVCLVYCYLRHRTISKYRYKRNRRFCGVKGITIPIYPYKEIEKATNSFSDKQKLGTGAYGTVYSGKLRNGEWVAIKRIRHRGCLDEIIDPFLVRNKDTSTCNSIHKVSEVAFRCLAFDSDMRPPMTEVLVELEQIRASDRASLQDTNNVSSHRSLSFVANKNSMGVEVIKNESDT >KVH98379 pep supercontig:CcrdV1:scaffold_153:82343:84291:-1 gene:Ccrd_023388 transcript:KVH98379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MQLLLFFPLYIATFCASLRCVLTTVTQIDQRGEKMATNGRNFPPQKQEHQPGLEHVMNPSPQFMNPNYKPAGKLQGKVALVTGGDSGIGRAVCYLFVKEGATVAFTFVKGREDKDADDTLRLLLENKTGDAKNPIAIAADLGFDENCKKVVDEVVENYGRIDILNSTRPVPLMKSPRIVWLGHALRYMNVGSSIINTTSVDAYLGNPELMDYASTKGAIVSFTRGLALQLAQKGIRVNGVAPGPVYTPIRPASMEESDVSEWGSKTPMGRAAQPHEISPSFVFLASDDSTYFTGQFLHPN >KVH98395 pep supercontig:CcrdV1:scaffold_153:268136:270845:-1 gene:Ccrd_023399 transcript:KVH98395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MDSYQTMDSDADGGSKNYPLTLPRAVRGLACLVVYLLTAFIFLIYLGTAAAVLLRLFSIHYSRKVTSFLFGLWLALWPFLFEKINKTKVIFSGETVPERERILLIANHKTEVDWMYLWDLALRKGCIGGIKYVLKSSLMKLPVFGWGFHVLEFISVERRWEVDETMMQKMLSTFTNPLDPLWLAVFPEGTDFTEQKCIRSQKFAAENGLPTLKNLLLPKTRGFHACLEILRGSLDAAYKNRCPTFLDNVFGIEPSEVHVHVRRIPLDDIPASQSECNAWLLRTFELKDQLLSDFITQGHFENQGTEGELSTIKCLVNCTLVLAITCVFTYLTFFSSVWFKIYIGLASAYLASATTFNFHPSPILEYVKLLSSRKKLI >KVH98371 pep supercontig:CcrdV1:scaffold_153:321239:328864:-1 gene:Ccrd_023403 transcript:KVH98371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3633 MMKPWAFPTTREVFFGPSVKTRWKIKAPASCGATVCASGGTTVVCGCWMYKDKANHDFEGVFEWGNGERVARNLVVYEEHPIHEYKTMALLQASQPEIEDIDRAIAVSLAEEGKGKHMITIALTLLIKMPSTIPKTEICWSDDDSQLKEDEYLARALQESLKVGPPPRNRNVNQNGNVRQPMSFPYSTGFRICAGCNSAIGHGPILSCMGAVWHPKCLRCHACNLPIAEYEFSMSGNYAYHKSCYKERRHPRCDVCQHFVSIAFQFYFSADSSNVCTAFYRFEQMLLVLSNTRHILSGLRNTARSMKVMGLLGVVAVNEWSGRCHFQPRETRYAALNDGRKLCLECLDSAVMDTTECQPLYFDIQQFYEGLDMKLEQKVPLLLVERQALNEAMNGERSGHYHMPETRGLCLSEEQTVSTVLRQPRIGIGNRIPNMRIEPYKLTGHCEVTAILILYGLPRFGHNSLCNCAKTRQIVSFCYRTLRQDVEEGICQVLAHMWLTSQIASISSRQGKRSPSEKKLAEFFKHQIESDQSQVYGNGFRAGNQAVLKYGLQKTLYHIRLTGNFPF >KVH98375 pep supercontig:CcrdV1:scaffold_153:352602:353842:-1 gene:Ccrd_023407 transcript:KVH98375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0114 MAATQLIRTWRPSSAICAPSTSQTTFTSVKMKCSMSNAIGFSLENNRFTGDGERKPVVIAKAAACSEAAVNRAVDAPMQTRRGLDVVTLVAIVRPAVVRRLLLVTRSRSWRSIVQLFIEKIHQNFQAIIDCRFFTLFAIAGTLLGSVLCFLEYFQSDHGHIMQLLIESLGTLISISPSISISLFKQTEHEIRSVFGVADMFLVGTAMLVFGMGLHVMFVGSQGSKSQLHSSNFFGIFHLKENPSWVGMKSVIQAKSMIGYALMLLLQVGVLEKFKSIPLVTGLDLACFAGAVFVSSAGLFILSKLSLDS >KVH98385 pep supercontig:CcrdV1:scaffold_153:65881:69099:-1 gene:Ccrd_023386 transcript:KVH98385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLNGTTKNNLQIIKYPNYQPYSKPLLAWFDIRVFYVRICNFMVNGYTPEYLTLRHIPLDPDTILEVNNRRCTLDSEGSSCRLRRNRVDKKFEEATFVSTDSIRLSGSVKFEVFDGEDLILSGVLEMSKTSNGFVSKSKTNDAERWSMKCESMISPGTRALKGKQIAGSDSMPPMMEAYVAGSFLGAPVILTKTLQISLRKKQGRKGVLDTIPEGETKESRDDVTSRLDLQYVEGEDGELTWFNAGVRVGVGLGLGICLGVGIGVGLLVRTYQSTTRNFKRRLL >KVH98396 pep supercontig:CcrdV1:scaffold_153:259782:265990:1 gene:Ccrd_023398 transcript:KVH98396 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like, conserved site-containing protein MEPLTSPPESIQHIFMEFKIRCRGNNGNSRCVLFPRRKCWTELLVLQIIIIFIFFDIIQAKSDDKQLQWRGVEKGNENIVSHSCIHDEIIEQRRKRPGHKVYSVTPQVYHGADTSEPLHRKGRALLGISKVSSFPKNSKQPIRIFLNYDAVGHSPARDCRNVSDIVKLGEPPAASNLGTPSCNPHNDPPIFGDCWYNCTSDDIAGEDKRHRLRRALGQTADWFRRALSVERVRGNLRLSGYSACGQDGGVQLPREYVEGGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAESETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVTEQSMDEKLGRTVTRVVLPRVVMHSRYHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADNLDWGRNQGTDFLTAPCNLWKGAYHCNTTQVIGCTYNREAEGYCPIVNYSGDLPQWARGQSSLADYCTYYVAYSDGSCIDTNSAREPDRMLGEARGSNSRCMTSSLVRNGFVRGSMTQGNGCYQRRCTNNTLEVAVDGIWKKCPEAGGPVQFPGFNGELICPAYHELCSVDPLWMSGRCPNSCNSNGDCIDGKCHCFIGFDGHDCSKRSCTSNCSGNGKCLKNGICECANGFTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCRNTSMLLDSLSTCKDVLMNDADGQHCAPSESSILQQLEEVVVMPNYHRLYPTGARKILNIFRGRNCDKAAKRLACWISIQKCEKDGDNRLRVCHSACQAYNLACGASLDCSDQTLFSDEGEGEGEGLCTGWGEMESWF >KVH98374 pep supercontig:CcrdV1:scaffold_153:274458:275995:1 gene:Ccrd_023400 transcript:KVH98374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPAGFGEVPSRSPQRPSCSSDNNSNNGDAGNFECNICFDLAQDPIVTLCGHLFCWPCLYKWLHIHSHSQECPVCKALIEEEKLVPLYGRGKNSTDPRSKSVPGVEIPNRPAGQRPETAPPPDRNAFAQHGQHGFGLMGGFGPAMTASFGNFTLSFGGLIPSFFNVQLHAFTGHPMYGNGAAPASAPAPAPAPAPGFSYGNHHAHGAPQQRAHQHETGFSAASPLLIIGLLFLWALIWN >KVH98376 pep supercontig:CcrdV1:scaffold_153:347454:350823:-1 gene:Ccrd_023406 transcript:KVH98376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQHVEALEILLLGLCGVHRVRLRVHELCLKSGPNLAGKTVISAALVPKHQRSDFYVILSSLSPLGNKNSPFFFLCTHIALFAFYHSYRNCIPLYRTVRHVGGSMRGAGADQISVLVRTMVESKVSKNVLRLFYLLGYKLDHELLRVGFAFHFQRSAQITVTVSSVNKMLKLHATDEAVPVTPGIQLVEVTAPASAENYNEVAAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSYGGTTIM >KVH98384 pep supercontig:CcrdV1:scaffold_153:85391:98306:-1 gene:Ccrd_023389 transcript:KVH98384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MQVVDTGEGWGAKTSFEGCITLGPWGGSEGKTWTYMPNGFIKKITIVHGWFIYSIELQSDCSTDETESQSFGRKGGEAVAETDTICLDYPNEYLTSISGTFSNTNKSGFVTSLCFVTNQNHYGPYGADTGTRFSFDGKGGVIVGFHGRVDPYQLNAVGIYMMPESLAFGRNSTSEDNSMPELCCSSMSRMAMPREAGPWGGSGGKPWDDGVFSNVKRVNLDVTDEYLTGISGFYGPIEGYNGLEAITSITFHTNKSKHGPYGEESGAGYTYFTSTASPGKVVGFHGWNDRFLTAIASFFQFLHLKQGVTTDFEGCISLGPWGGPKGKIWNFMPQGFIKKISIKHGGICIDYPDEYLVSINGTVYGGFDVVMSLCFVTNKNRYGPYGSDTGTRFSYNGKGGIIVGFHGRVGKYLDAVGIYVMPKSFVLGRNSAFEDKSTHEVHPMVSKSTILGDKNNYEGCISHGPWGGSKGKSWTYKPKGVTKKITVTHSMVINFIKFQSKGRTGEIESGYYGGICGNQKDTICIDYPNEYLTSISGTFGNYFGYVFVKSLCFVTNQKYYGPYGLDVGTPFSYDGKGGVIVGFHGRVSTHLDAIGIYVMPESLAFGRNSTTKDNSMHELCSTSISRMSMPREAGPWGVSGGKPWDDGVFSTVKQVHVHVGESLKVIYSVQFKYVKWDAKVVLSPMHGGTGGDQIESVNLDGTDEYLTGISGFYGPVYGYNGLEAITSITFHTNKMKHGPYGEEIGAGYTYFTSSVSPGKVVGFHGRNYGFLSAIGVHMDYF >KVH98391 pep supercontig:CcrdV1:scaffold_153:174588:177164:1 gene:Ccrd_023393 transcript:KVH98391 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MCLLLLAALSLSISTICYRLYFKFIKVPSEIQRHFRQQGVGGPTYNAISGNSNELRRLMMMAEHKSPPDESFNNDHVVRQVMPHYYFWSKVYGDQFLFWFGTRPRLAVTDPEMIKEVLLNTNGWFRKARVNPLVKALFGEGIVYLEGEQWADMVPEIVASTNSLLAEIEVKIGGENRLEFDVYKEFNNLSADVISRTAFGSNFEEGKRIFEIQDQLIKIASEAMRSIIEKNDKTRENPKALLSLLMSPYKTKGNVEKRLGLNDIVDECKTIYFAGKESTANLLTWVFLLLASHQEWQNRAREEVVQVCGRDELPSAEHLANFKMVSLYSLQPKEQQEKSRNDNISMILNEALRLYPAAPISVREACKNVKLGSLQIPANTELFLAMAAVHHDPKIWGEDANKFNPLRFIEPKKHLASYFPFGIGPRICVGQNLAIVEAKIVVAMIIRKYRFVVSPSYVHSPFQSLTVGPQYGAPMIFSKV >KVH98389 pep supercontig:CcrdV1:scaffold_153:187111:196850:-1 gene:Ccrd_023395 transcript:KVH98389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MDSELQDLSSKPQSPTSQPNLEDVSEKDDSPLLSSTTTTSTCTSRTTTGADKSSSSTPLPSIEELEKKYAAFVRHDVYGAMGRGELPWTEKFLLGIALITLLPIRVVLATTVVVLYYLICRVCTLFMAPNREDGQEDYAHMGGWRRTVLFWSGRVLSRIMLFAFGFYWIHESSRNPEIDGSFNNEADSNDQSEENERPGVIISNHVSHMDILYHMSSSFPSFVAKRSVGKLPLVGLISKCLGCVYVQRESKSSDTKGVSAVVNERIQEAHRDKSAPMMMLFPEGTTTNGDYILPFKTGAFLAKAPVLPVILRYPYERFSPAWDSITGVRHVILLLCQFVNNMTVTRLPIYYPSQEEKDNPKLYAENVRRLMAREGNLIKSDIGLAEKRVYHAALNGRPNVLHEKDD >KVH98378 pep supercontig:CcrdV1:scaffold_153:328587:329132:1 gene:Ccrd_023404 transcript:KVH98378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MFSNKFIFCGFVISAILVVILLATLSPLPHSKTPSKSWLALSLYIQQPQTTVVPPDAHTVAPQDAGALIFHRVLTEGPKNTSRVVGKAQGFIIPVEQFAHSGFNIIYLTFDTREYSGSVSIQARNSGSDRKDELTVVGGTGSFAFVRGKAFLTQKDDTNIVVPYHISLHLKYPDRSETIPG >KVI01856 pep supercontig:CcrdV1:scaffold_1530:107602:118466:1 gene:Ccrd_019866 transcript:KVI01856 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MGGRLINSLDIAIYNIKKLSFAVCKVMMVFAVAHSLECCRFNEFGNDGGSAAKTLVPKFSVFSKHVTAHTGFQIKILVAGAIALKGIGSLLFIFGSTIGAFLLLIATPILYDFYNYDVEKKEFSQLFIKFTQVMMVFAIVHPLECCRSCLDVAGEIEVRLNT >KVI01855 pep supercontig:CcrdV1:scaffold_1530:70325:74371:-1 gene:Ccrd_019865 transcript:KVI01855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKLFWHRIFCKKRKKRCGRGDGNIKGGTKASEDETRNATGYMCKSDKKNERLNKQIPGHVKKGAWGKTCNTPVSIQQQIPHHLADRERELVMLQIAMSKELISLPPISKPSPLKELLPQLSSSSEIKKNLFFQTSGEGQEKPTVPEIPKPTIPEIPKPALPELPKPELPTLPKPEVPKVPEIHDLPKPTLPTIPELPKDFPIPSLPHP >KVI01857 pep supercontig:CcrdV1:scaffold_1530:1842:3592:1 gene:Ccrd_019861 transcript:KVI01857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MVLHTVELLKKEIPLEEESVCIPEDITTGLVLVDIINGFCTIGAGNLAPREPNNQILEMINESEKLSRVFCDQKWPVLAFLDTHQPGKLEHPYPSHCLAGSHESNLVPGICTDICVLDFVCSTLSARNRGFLAPLQDV >KVI01859 pep supercontig:CcrdV1:scaffold_1530:23495:29166:1 gene:Ccrd_019863 transcript:KVI01859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MEWRPKRISGSELKFSGIEQIRLGFLNSSKSNLALRLKASREGVGLVQETTEKTPKLVKKPKDGSDSFTEMKQRFLSFKRQKYLENLECYQELAQAQAPKFLVISCADSRVCPSYILGFQPGEAFVVRNIANLVPPFENGPCETNAALEFSVNTLEVENILITGHSCCGGIRALMGMEDEEKSSSFIKNWVMLGKAAKSSTKATTSNLSFDQQCKHCEKESINHSLLNLLTYPWVEERVANGLLSLHGGYYNFVDCTYEKWTLDYQKSSTKQATGGYAIKNREFWQ >KVI01858 pep supercontig:CcrdV1:scaffold_1530:4520:13907:-1 gene:Ccrd_019862 transcript:KVI01858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3506 MASMSPPIFPSSARSSDATPHKLTYATPNFSTTSRLNRRSNYSSTRFAQSLSRVSDSSTFCRCQKNNDMGNSNSSSRDDESPGRWDSGFQENVRNMIKWFDDYMDGYRKTHLENIKMKKDDSGGDGVKKEAEVVMDSDGDEDWDWERWKKHFTEVDEQERIVSILQSQLNRAVVKEDYEDAARIKVSIAAAATNDTVGRVMCRLNKAIKEEHYKDATFVRDYASAGLHAHSYVKGCSISVALMILEKKCRLGFDCQSDDAASVVKLAAAQASYSMAGLLFIALAWDIASFRTGEGIFNFDLALDLSWLKCPYMLQHLGAHIGRPIFGVKELFDSQLVGWWAGFSDDSKDPYGRIICISAEHGRYLARSYSPRQLAKAADGSPLFEVFITMNEKGEYKHQAVYLKRSEVPTDFPIASSKLSDLISSVNPLDSIGDKGDLFAKDSEDTEDVEDEDDSSDMAEEYGFENIMRDMIPGAKDLKIKVMNLIPPGKIDRDLISKVVEQIMEEDEDDEDDEEENDKELENMNEVTDGTDVVQIDIDFDGADTIIDGEGNGQIAVKVVVGDLVQKVSNDTSHKDLIRVPAKLEKKSHSSFTFSIEKEKQQVSSGSAQSPRHGDARLGGNRRIDSVMLDLAKSIGRGKIPMKVLKDVNQLINLTLNQAQSRQPLSGSTTFNRIELPSSGDPLNGLYVGAHGIYTSEVIQLKRKFGQWQEDGSMKEFSNLEFYEYVEAVKITGDPYVPAGQIAFRAKIGTKYQLPHRGIIPEEFGVASLMLSDFESIARYRGQGRLADPGFQNPRWVDGELVILDGKYINGGPVVGFVYWDSEYHFLVFFNQLRLQGVR >KVI01854 pep supercontig:CcrdV1:scaffold_1530:37684:43703:1 gene:Ccrd_019864 transcript:KVI01854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MCVLMFLHSLPCESTVSFPDTGYRSISNLNHDCCCSANNLGRITSPLDTSVSWTSKLLKLISFFGHGQAAVTWVMLLITLLNIMVYKLRQFHEISLGKDKKPVSLSLEHQCRQFLLAEMRLATNNFDQALIIGKGGFGKVYRGVIDHGATRVAIKRLDSRSTQGASEFWTEIKMLSKFRHSHLVSLIGYCDEFDEMMVVYEYVSGGNLGERLHKSQIMPDCLKAFVEIADKCLQTHPKNRPTMAEVVVGLEAALALQQIEICSPYGNQGQTNSYMKSGKITFSRMLRHMMPVKTHVKPGLGDVEPPEKKKRRHNLFLPSILSNKQVFTSKSRDTFQEFNFKWEVADSALRIFTLAGLKIATRNFNHALVVGEGAFGKVFKGWVDEESYVSSEAGSGMPIAVKKLNTDGYQGLEEWQAEVHFLGRLSHPNLVRLLGYCSEDKELLLVYEFMEKGSLENYIFKRGLGPPLSWSLQLKILIGVARGIAFLHTTENQIIFRDLKSSNILLDQDFNAKIADFGLAKHGPVNGDTHLSTMVMGTYGYAAPEYVATGHLNGKNDIYAFGVVLLEILTGLRVIDHTRLQKEQNLVEWARPMLLSKRKLKTIIDPNLGHDYLPEATFQCAALILKCTQPEPEERPSIEQVLRSLEHISGIKRKA >KVI01719 pep supercontig:CcrdV1:scaffold_1531:4905:6185:1 gene:Ccrd_020002 transcript:KVI01719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLQSLFSPLKKLWVRLHSAHHKSRGIYILYEDVKSCPYEDVHVLWSILVESTHVPPHTLALPPVT >KVH94952 pep supercontig:CcrdV1:scaffold_1533:75905:81613:-1 gene:Ccrd_002980 transcript:KVH94952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane attack complex component/perforin (MACPF) domain-containing protein MEENAAAFHTAKNSVQALGKGFDVNFDTRLLYCKGVAGSKVVEIDEEHKRDLWLYDNLVVPNVSRDIENNQDPVNRNSSGVCNYHEMVEYFNKRANLSGNVPLGSFNAAFSLTGSKHIDAAATKTLCMDGYFIPLSKFELTKSSLVLQESVKRAVPTSWDPPALASFIENFGTHVITSVTIGGKDVIYVKQHLSSPLSTVEIKNYVQDIGNQRFSNTENLTDSGLLRYKEKASFSIIFLIYSFMLKHKLLNSHGGDPSLFSSQGIYPQPTSAPSLSTNAKEDVTVIFRRRGGDDLEQSHTRWEKTVKYSPDVIGMQFVPITSLLEGVAGKEHLSRAIALYLEYKPQIEELRYFLEFQVSRVWAPLQDRLPGHQRKEPVCPSLQFSMMGQRLYVSQDQISVGRKPVTGIRLCLEGLKQNRLSIHIQHLQCLPKILRPYWDTHVAIGAPKWLGPEEQDSRWFEPVKWKNFSHVSTAPIESPEAFIGDSAGVHIITGAQLGVWDFGSRNVLYMKLLYSRLPGCTVRRSLWDHTPDKSKRTVGPGDSSSGSSGNNRLAKFVDMAEMSKGPADPPGHWVVTGGKLGVEKGKIVLRLKYSLLNY >KVH94950 pep supercontig:CcrdV1:scaffold_1533:52820:57049:1 gene:Ccrd_002982 transcript:KVH94950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H domain-containing protein MHRLATTDVVAKAVGNYAFQVPVQVXSVTHHEQKVLGRIFFAAYTKCTYKSYKLAQGPIRAFVRNWHKELIKVSWEKPEIGWTKLNFDGSCKCKTGKASIGGVVRDHNAEFLLGYAEAIGRTNSTVAEFVALQRGLELVLENGYKDLWLEGDCKTLVEIVAQRRHVKCDEVQKRVSCINLILPEFRNCFVTHVYREGNRLADKLAQIGHQLKRPQIWHVTPREVLRVLNEDASGKVFYRRI >KVH94951 pep supercontig:CcrdV1:scaffold_1533:71626:74991:1 gene:Ccrd_002981 transcript:KVH94951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MQIMGSLLLLSIIIILSLQTTINGYKGGWQKAHATFYGGEDASGTMGGACGYGNLYSDGYGINTAALSTSLFNHGLSCGACYQLRCVDDPKWCLHGIITITATNFCPPNYALANDNGGWCNPPLRHFDLSEPAFLKIAQYRAGIVPVAYRRVPCLKKGGMRFTINGHSYFNLVLITNVGGAGDVHRVSIKGSKTGWQTLTRNWGQNWQSNSYLNGQSLSFRITASDGRTVTSYNVAPAGWQFGQTFQGGQFDDL >KVI06450 pep supercontig:CcrdV1:scaffold_1536:40227:43724:-1 gene:Ccrd_015203 transcript:KVI06450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide translocator 1 MSSSSSLLYSLFSSIYFSHLIKPPIFIGHSSVVIRLASTLVIFSLQSSICLILLIRKSKKGFGMTTKSKRFSSDFMMGGAAAIVSKSAAAPIERVKLLLQNQGELLKREQLKRPYIGVGDCFTRVLKEEGFFSFWRGNQVNVIRYFPTQAFNFAFKGYFKSVFGRSKERDGYVKWFVGNVASGSAAGATTSLFLYHLDYARTRLATDSACNSTNKKQQFNGLFDVYRKTLATDGIRGLYRGFGVSIVGITLYRGMYFGLYDSMKPIVLIGSFQDNFLASFLLGWSVTTFSGICAYPFDTLRRRMMLTSGQPSKYHNSIHALREIIHLEGFRALYRGVTANMLVGVAGAGVLAGYDKLYRISYKSSHNLEHR >KVI06449 pep supercontig:CcrdV1:scaffold_1536:14167:38459:1 gene:Ccrd_015202 transcript:KVI06449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGRVTLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDADVGLIVFSTKGKLCEYATDSAMERILERYERYSYTEMQLTSTHNESQGSWNLEHAKLKARIESLQKTQRHFMGEELDSLSMKELQNLEQQLDMALKHIRLRKNQVMLESISQLQKKDKELLDQNNFLSKQIKEMEKEVGQHDVEHQSHEMIASFQLGICDTYNGGQAGGADGEVEENPRQVQGQASTSGMPPWMIQHMNK >KVI06447 pep supercontig:CcrdV1:scaffold_1536:82388:85258:1 gene:Ccrd_015205 transcript:KVI06447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MATYLLPDHDACYNPKPINGDNQIQTEEQEAYDQKLDAMIATLPKNKGWRSQYIFRYKGFWASREVIRGQLLIGDHFHPQPTDIFLAAFMKCGTTWLKALMFATVNRQRYNFSDHPLLRTGPQSVFPFLDTHIFLDHPITNFDHLPAPRLFATHNAHSSLPTSMTSPASTCKFVYVCRDPKDALISKWHFMRKLRSKELPPISFNEAFELFCNGVSEYGPFWDHVLGYWKASQESPEKILFLKYEDMKREPTVELKKLAAFMGTPFTEEEEKKGVVEEIVKLCSFENLSNLEVNKGGGGGQKFTAKVVVENREFFRKGKVGDWENYLTEEMKNRIDTITINRLKDLTQNTLLYFENIPNNPYPPNPPTPTSRHPNTAMPLPSLSRPTLTYHRHHLDATFFTLHHSSTSTNTH >KVI06448 pep supercontig:CcrdV1:scaffold_1536:96451:115861:-1 gene:Ccrd_015206 transcript:KVI06448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DEAD/DEAH-box helicase MGERETEIEVRTLTGETITVSISLNSTIHELKLLLKQIFPPATTSSNFHLFLKGTKLGLQSQISSNLINHGEFIVLIPFTKKDKQQTVKQGANETNSQNLHQCSTSKFAELAWSDMMQDLSSLRDRETDGNESHSHVDVGSTNSDNQNKANKRNCKSLFDEAIHEFLNTLQSCHNDELDEKSCERFMQILESVNCLSDPGTRNCIIGTANIRASEINCTAHSSYSCFCPSWLKVLLKAFYFLNIYCTFLQVQQKKVTQHSLEGGLHQLCKFGLGFEYADLENLAVLCPQVLSRLKNSDFWSGKKATSGVGELNSPSSMAMGVRQSGKRKMSIARVVNTMRKREGAFKAGLLAAVKSFMEKRGNETIKSLSLNDLLLHVNEGDQKATKSDAKRTKRSLSEPSGSFSPVAQCNSLWSFNQPQLNLNLSFGKSSVSQETNPLLPVEMVEHLRAGIGSQGQMVHVEEINGRVANFVEIPSYLSERTQSALKSCGISKLYSHQAESIQASLAGKNVVISTMTSSGKSLCYNLPVLEVLSQDLSACALYLFPTKALAQDQLRALLSVTEGFGVGLNIGIYDGDTSQEDRLWLRDNSRLLITNPDMLHMSILPFHNQFQRFLSNLRYVIVDEAHAYKGAFGCHAALVLRRLRRLCAHVYGSDPSFIFSTATSANPREHATELASLPTMELIHKDGSPSGPKLFVLWNPPLRMKTVKKRTRSSIHTEKFDRTIFLGRSSPILEVSCLFAEIVQHGLRCIAFCKTRKLSELVLSYTREVLQKTAPNLVNSVFAYRAGYTAQEGKGHRRREGYKPLGRPNFCLEYVTQADMFDLNLLTYLDRRRIESDLFSGNICGVAATNALELGIDVGHIDVTLHLGFPGSIASLWQQAGRSGRREQPSLAIYVAFEGPLDQYFMKYPQKLFRGPIECCHVDAKNPQVLEQHLICASLEHPLSVLHDEKYFGPGLKTSILSLKRKGYVSTDPSRGPSAEIWNYIGHEKMPSHAVSLRAIEAERYKVIDIKSDQVLEEIEESKAFFQVYDGAVYMQQGKTYLVKNLDLSTKVALCQEADLKYYTKTRDYTDVEVVGGQIAYPAQISDIQYSRTTAQSNPCKVTTTWFGFRRIWRGSNQVFDTVDLSLPSYSYESRAVWIRVPQVLKTAVEAENYSFRGGLHAAGHALLHIVPLYIICNSSDLASECVNPYDARYIPERILLYDSRPGGTGISAQVQPIFTELLTAALELITSCCCSGDAGCPNCVQNLSCNEYNEVLHKEAAMMIIKGVLELEKSNLLP >KVI06446 pep supercontig:CcrdV1:scaffold_1536:57097:64585:1 gene:Ccrd_015204 transcript:KVI06446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MRRRAADYRRPVRRRLSVWIWVLLGLFCIAGFILLVVLQNQEYEDRVEQPVLERNAGTVQFTHRTLNVTEEIANDANSYARQLLEQMTLAKAYIVIAKDHNNLHLAWELSSKIRSCQFLLSKAAMREDPMTQDEAEPLIRSLSTLIFKAQDAHYDIATTIMTMKSHIQALEERTNAATVQSTFFGQLAAEALPKNLHCVNVKLLADWLIKKTLQEVTDEIKSSPRLLDNNLYHFCLFSDNLMAVSAVVNSTVSNTDHPKQLVFHIVTNEANYGAMQAWFVSNDFKGSIVEVQKVEDLTWLNASYSPVVRKLTEADLRAYYFEGSQELNDEPKFGNPKYVSLLNHLRFYIPEIYPQLEKVVFLDDDVIIQKDLTPLFSLDLHGNVNGAVETCLEAFHRFYKYLNFSNPVLSSKFDPQACGWAFGLNVFDLVAWRKANVTATYHYWQEQNDDGSLWKLGTLPPGLLAFYGQTEPLDRRWHVLGLGYDVNIDNRLIESAAVIHFNGNLKPWLKFGIGRYKPLWERYVNQTHPYLLDCVTN >KVH95057 pep supercontig:CcrdV1:scaffold_1538:27837:38385:1 gene:Ccrd_002872 transcript:KVH95057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTFGSDSVPSGPMDKSKVLTVKPLRCLVPIFPSHSDSSAPPQSSPFASVPPTGPFPPGAAPFFPFYASNESQRQGSGPAPGRSYPIPSPVPLNSFRTPVTASRNGEIGTSRRSSRSHTIVDEDGYSQSDGYDNSFGMDGSDDEKKPKTRRKSRGAAGIAVSNSEIDIDSYVTHLLGSFNLLEIDTFRQADSDKELVGRVLVVYNLLRRKITQLDDTKEAMAGVTRRPDLRAGTICMNKGARANVKKRIGAVPGVDIGDIFFFRFELCLVGLHAPSMAGIDYMGVKFSADEEPVAVSIVSSGGYEDEGDDGDVLIYSGQGGVQRKDKPQMDQKLVRGNLALEKSLHRANEVRVIRGLRDFANPTGKVYVYDGLYKIHESWIEKGKSGCNVFKYKLVRVAGQPEAFTLWKSIQLWKDGATTRVGVILPDLTSGAENLPVCLVNDIDNEKGPAYFTYSSSLKYRKPFASTKSSINCSCSTGCQPATNCPCVQRNGGYMPYTSLGVLLSHNSLVHECGKSCLCPPNCRNRVSQAGLKIRLEVFKTRDKGWGLRSWDPIRAGAFICEYAGVVIDSSTVEENGIDPDDNYIFDATRSFDSVESLPVDEPAKFPFPLIISAKNEGNVGRFMNHSCSPNVYWQPVVRENHDESYLHVGFYAIKHIPPMQELTYNYGTVRADKAGSQRKKCLCGTPRCKGSFY >KVH95058 pep supercontig:CcrdV1:scaffold_1538:3655:10701:1 gene:Ccrd_002871 transcript:KVH95058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFNNAPITRTFVVACFLFTILFGIQGRSNKLGLSYQDILKKLQLWKLIVSVFAFSSTPELIFGAYLLYYFRVFERQIGSNKYSVFVLFSIIVSSLLQLFGQAFLNDPTLNVLTSGPYGLIFSSFVPFYFDIPVSTRFRVSSLTFSDKSFIYLAGLQLLLSSWKRSLIPGLCGILAGSLYRINVLRVRRLKFPEFIASFFSRLSLPSVGGPAPLARNAPPFTARQVEGNYRAPMSSAAQEPSEDSIATLVSMGFDRNSARQALVHARNDINAATNILLESQSH >KVH95056 pep supercontig:CcrdV1:scaffold_1538:39940:60005:-1 gene:Ccrd_002873 transcript:KVH95056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MSRSVNPTPPAISAASSGDEDLVIDHHIHSRRRRRRLSVTSGNVPPRCIDADVMGMEFMIGRKTPFLFNAFVSQVTARGWRVGIKDFLMMSGTRQRPHLKGPNWVIVLVCLVSIFLIGVYIYPPRNSAACYIFFSDSCSEHETAPAIPSRELTDEENAAQVVITEILKSSPITSKNPKIAFMFLTPGPLPFEMLWDKFFQGNDDRFTVYVHASREQPEHRSPHFVGRNIRSDKVDWGKISMVDAEKRLLMNALQDPDNQQFVLLSDSCVPLHHFDYVYNYLIFTNVSFIDCYNDPGPHGGGRYSEHMLPEVEYKDFRKGSQWFTMIRRHALLIMADSLYYKKFRLYCRPGMEGRNCYADEHYFPTLFRMVDPGGIANWSVTYVDWSERKWHPKSYKSQDVTSKLLRNITKTVLTTPCIWNGMKRPCFLFARKFFPETLDNLMQLFSNSTAF >KVH95060 pep supercontig:CcrdV1:scaffold_1538:90773:96193:1 gene:Ccrd_002874 transcript:KVH95060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calycin MNSIFILTLSTVLLVFQNNSGESINTSKGKYDFGFICTLCTSAVYALLLSLTQLSYRIVVKDESFRAVVAMIIYQSMVSSLVITIVLFASEDWRRLREEMMKFELGKVAYVMILVSNAPTSGINTRDTYTLNSDGTVNVLNKTWSDRKRGFIKGTAYKADPESDEAKLKVIIPVTGDYWVLYLDDDYQYALIGQPSRNSLLILSRQNHHDEKIHMNRGMKLWRVQSCSHTQ >KVH95059 pep supercontig:CcrdV1:scaffold_1538:108508:109520:-1 gene:Ccrd_002875 transcript:KVH95059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MVVKKPRIMITGAGMTGLTAANKLYRFGVKKIAFELCVVEGGNKIGKGINTSEFGGGRIEMGATWIHGIGGSPVHKITQETNYLESDQPWECMDMFLDNPITIAENGYVLNPSFVDPISLSYLIYKFMKSRKGRRNQEIDYQIWDIRNQEKEKEIGKKETRNNKSKRNGNGRYLKQAVAPVCLKKSTSD >KVI06742 pep supercontig:CcrdV1:scaffold_1539:77973:112236:-1 gene:Ccrd_014903 transcript:KVI06742 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MFPNPFSNQAFLKETPIGSSEGIVIKFDLISVVFLMQLFDRFRTGVNHLEEAEPGKRLAQLKARGQKNLFSFDRYVPSAGWLVKAWEASDDDLYACGGIDGLVFLKMIVFCIRIFSVAAVLCIFLVLPVNLFGQGIQQINAESQMDIFTIRNVQEGSKGLWAHFFALYVISICSYVLLYIEFKSVARMRLEQVIRFPTRPSHFTVLVRGIPWIPGLSYSEAVSKHFGSYYVSSYLAHQMVYRSGTIQRLMMDAEKMYKVLKDTPINQYLAPTTVGCGQCAGHSNSFKMLTCESDSDVKKPNQQNEPDLKEQECAAAFVFFRTRYAAMVASRTIQVLDPMLWVIEFAPEPHDVYWENLCVPYRLLWIRKTLVFIGSIIFSLWFLLPTTLVQGLVNIAQLESTFPFLRGISQKSYANIVQGYLPSLVLTCFALIVPPVMLMFATLEGAISRSSRKKSACNKSIIFFFWNVFFYNLFTGTWWDRITRFTVTGLKDMATLLGNLIPGQASFFMTYVMTTGWASLAWELMQPYVLIVNWIFQFVLMRKEGFGDLYTFPYHTEVPRTLLFALLGFTFSTLAPLILPFLLVYYFFAYFVYRNQILNVYITRYNTNGLYWPVAHNAMIFSLIVMQGVAAIIFGMKDFGTASTFTFVLIIFTSLFNVFCRHKFLPLFKNNAAQDLIEMDRHDEHSGKIEEILPRLLASYYQFGPYSGNHPSDLLSADYKKCGENPVVVTKPKEHETSRDPATTTISGSKL >KVH95360 pep supercontig:CcrdV1:scaffold_154:362731:368802:-1 gene:Ccrd_002592 transcript:KVH95360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MVRRCVWETLACLSTALVILKCCSVAADEVTCSGIVPMVYRNDKISITDFGGVGDGRTLNTKAFNEAIYRIKHLKRRGGTLLYIPPGVYLTESFNLTSRMTLYLANGAVIKATQNTANWPLVAPLPSYGRGRERPGGRYLSFIHGEGVHDVIITGENGTIDGQGGIWWNMWRQRTLQYTRPNLIEFKDSRNIIISNVIFKNSPFWNIHPVYCSNVVIRHVTILAPSDSPNTDGIDPDSSSHVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSHDITIRRVSGSCPFAGIAVGSETSGGVYNVFAEHITLNHMGVGIHLKTNIGRGGIIRNITVSNVYMVNARKGIKIAGDVGDHPDENYNRNALPVIKHVRITNVWGKKVQQAGLIMGLKNSPFTDICLSNINLHGPTGPRNVPWKCSDVSGGAIQVIPSPCFELTRSNRKCFTHF >KVH95343 pep supercontig:CcrdV1:scaffold_154:369714:374477:-1 gene:Ccrd_002594 transcript:KVH95343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MVITGQYDGTDSSEYDYIRRHHKHEVRENQCTSSIVKHIKAPVHLVWSLVRRFDQPQKYKPFVSGCNVQGDLEIGSVREVNVRSGLPATTSMERLELLNEEEHILGMRIVGGDHRLRVSLFSLTHTHTSQTSALCDDNYSADSNYSSIITLHPEIIDERPGTLVIESFVVDVPNGNTKDETCYFVEALIKCNLKSLADVSGRLAVQDRTESIVGV >KVH95357 pep supercontig:CcrdV1:scaffold_154:295973:301288:-1 gene:Ccrd_002584 transcript:KVH95357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MASEDVVGKTSATIANLAEEAKIASEGVKAPSRHALLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHAIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEEASKGILWLHRQQPGNEDAELTPLLRLGAGACAGIIAMSATYPMDLVRGRLTVQTDKSPSQYRGIAHALRTVPYVGLNFAVYESLKDWLIKSRPFGLVEDAELSVTTKLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTADGKSGLEYTGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVSYEMVKDLLGVEMRISD >KVH95339 pep supercontig:CcrdV1:scaffold_154:52901:53449:-1 gene:Ccrd_002570 transcript:KVH95339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MKSIFVFFFFHFLTLSFANPGMKRQHYPCKNLVLYFHDVIYNGQNAANATAAIVGAPQWGNLTILADKFHFGNVVVFDDPITLDNNFHSVPVGRAQGMYIYDTKNTFTAWLGFTFVLDNAQHKGTISFIGADPILVKSRDISVVGGTGDFFMHRGIATIMTDSFEGDVYFRLRVDIKFYECW >KVH95334 pep supercontig:CcrdV1:scaffold_154:185906:186844:-1 gene:Ccrd_002577 transcript:KVH95334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEEEHKSEPCPSEASSISAEGESLKNKTLDSKALAEGSSDIQLNRRVGNQSSSRAFLDIKFSNTEDKRLELNLFNPSKVAAEGATSSQVSESSKETTREKSRVFSCNYCKREFSTSQALGGHQNAHKQERQIAKRRQMEVPPYGHLGPPPPHYGNFTYYPSFPNLTSASISSNRSSLGIRNEPFIQRSPSWSTSQFNYRYASVGHHDQFTTGLPYFDRPKMLEGFQGNITNNSDCYGSPAVATASSSFKFEGVPGAAHDFFGPSSGNGNPTPALENGIEGEISANNLLALGVVHPENDQDGSTVDLDLNLKL >KVH95356 pep supercontig:CcrdV1:scaffold_154:313404:316711:1 gene:Ccrd_002585 transcript:KVH95356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3133 MEEEASTVRLVRCPKCENLLPELPEYSVYQCGGCGAVLREIKLEHILRPLEKPDNDIGTRVSEKIEKVSLESGSPIEKEGDEFEVGRRHGRTIHKRVSSLSVGSSSRKEKRESFMDSDQSRRRRLDFDQTKVKVFDNWDTNQEIRHPFGPIRSRPMGIHRNSSTVEKGRFGNLPYANEGPSSSHLGTFNSFGEHVRNHGGLNEFSRVQDLENDRVELLKKLDELRTQLSRSCTVAEKPNGRLNGSSYLDSNSFNHPSWPQPPPPPPLPPHQPPHHQYLPPYHDPFLGYNQEPVLFHRPSCSCSQCYNMNWQPIPTKTRPFPSFNGREAGYPGSSQLPRHHLGSLRSAGDLDSGNGSFGRRYPRRRVILSHGGERVSRPISGGAPFISCCNCFELLKIPRKLTIMEKNNHGMMKCPVCSALISLKLEDNKLVASVSSPILQQKANEKRSNGSPHSSCDYDNCGYTFQLTDTEPQSSSGGAEKSDEKSPDSVIDKPSSPVPFLESQNDDNLSKSQRTDQENPSVNNKKSLKDALVATEIDVSLTEYLNIDVVSREDDQLRNKKGGNESFFGGLIKKRLKDFSRLSSGAEKARSDVFVNGKLLTDRVVKKAEKLAGPIHPGDYWYDSLAGFWGVMNQPCFGIIPPFIEEFDYPMPKNCAAGNTAVFVNGRELNDKDLDLLAGRGLPTTKDRSYIIDISGKVVDEDTGEELDGLGKLAPTVERVKHGFGMKLPKAVAK >KVH95353 pep supercontig:CcrdV1:scaffold_154:229313:233225:1 gene:Ccrd_002580 transcript:KVH95353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MYHCCINLLLKQGTTSPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >KVH95347 pep supercontig:CcrdV1:scaffold_154:41857:42426:-1 gene:Ccrd_002569 transcript:KVH95347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MNSKHQISPLFLTIFFLLVLGCTASPRKNIRSRRPCKEMVFYFHDIIYNGKNFKNATAAIVGSPAWGNKTILANNNHFGNVVVFDDPITMDNNLHSPPVGRAQGFYIYDKKEIFTAWLGFSFVFNSTAHKGSINFAGADPLMNKTRDISVIGGTGDFFMTRGVATIMTDSFEGEVYFRLRVDIKFYECW >KVH95337 pep supercontig:CcrdV1:scaffold_154:114431:117834:-1 gene:Ccrd_002572 transcript:KVH95337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MASKNTTCLPPMKATSEGVFQGNNPLHYALPLVILQICLVLVLTRVLAYLLKPLRQPRVIAEIIVSRNTDYLHAVFPARSLPVLDTLANLGLLFFLFLVGLELDLKSLRRTGKKALAIAVAGISLPFILGTGVSFILRGTIAKGVSQGPFIVFMGVAMSITAFPVLARILAELKLLTTDVGKMAMSAAAVNDVAAWVLLALAVALSGTGRSPLVALWVFLSGSAFIVLCSFAVPPIFKWMSQRCPDGEPVDELYVCATLGMVLAAGFVTDTIGIHALFGAFVVGVLIPKEGAFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVASIKGLQSWGLLVLVIFTACFGKIAGTVGVTMLCKVPFSEALALGLLMNTKGLVELIVLNIGKDRGVLNDQTFAILVLMALVTTFITTPSVVAVYKPAKAPAKSEYKHRTVYRKGSSTSPFRMFFTFHGIRNLPTMINLIEASRGTGKKETLTVHAMHLMELTERSSAILMVHKARKNGLPFWRKDPNADSDQMVVAFETFQQLSKVTIRPTTAISAISSMHEDICNGAESKKAAMIILPFHKHQRLDGLLETTRAEYRHVNRKVLEHAPCSVSILVDRGLGGSSHVSASNVDSLITVLFFGGHDDHEALAYGARMAEHPGINLVVVRFILDGNVKSGSVSVEIDEPGTIEARSMDDDVIEEFKEKLMKDGTNKYIERVVRDAYEAIEIIREHSRCNMILVGRMPEGELVASMRRKSECPEMGPVGNLLISGKIMTTASVLVVQQYHTQLSMHSLASLKEDETTDQGEYDSN >KVH95358 pep supercontig:CcrdV1:scaffold_154:335167:336074:1 gene:Ccrd_002589 transcript:KVH95358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMQLQKPVDHTKNFPQHGHVPTNAQNKCNTCGYQKPVDHNKNNPQNGHALAQNKCNNTCSCCQRKENEHSFTNKVKEMANSAYKKVADQMHHHDQHSNGHRPITGPKQACHGSGGVTEGKKKEGSMTNCIPRIGDHKRREKIPKKKGNNCKCKDGSGSRSRSRSSSSDSESDNDAHMKRSRGGALADTSKKMDD >KVH95345 pep supercontig:CcrdV1:scaffold_154:403650:408501:1 gene:Ccrd_002596 transcript:KVH95345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNLTFLVVLFIILVAVHCADTDLEVKKNTHDDLGSKTTTSNRKVGLNDKSSTSGSNLESEKADASEKAKFSNDIPNASVDKTKSVPSKLLGDGEAGNVKTDSKDKEVVEDVEKKSIADKGSKSKNVPEEEKLSSMRKGSFRDEQCDSSFKCTIGSDENHEMVACLSVPGDDSTEVSLLIQNKGKGLLDVDINAPDFVRLEKTKIQIQENDDQKVMVSIGDGKTERLITLKTHKGNCSLDFMDFLTHNPMKKSNYMSQLTFTNLFRRTPFLGLISLAFLLIIASVLVCVTYQRRRFLNNGTKYQKLDAELPVSGGPKTDFDQKGGWDDNWSDDWDDVEAPSTPSMPLTPSISSAGVSSRRVNKDAWKD >KVH95341 pep supercontig:CcrdV1:scaffold_154:344469:345087:-1 gene:Ccrd_002590 transcript:KVH95341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I9 MGDKHKHLHFVFMNYDPEYERLQSDRSNRGAREVEMYLSKKHNDLLAKKLEPGTYNKTLSLFIVDAFAVQITDSQANVLRSTKEVRVVEKNQELA >KVH95331 pep supercontig:CcrdV1:scaffold_154:201789:203549:-1 gene:Ccrd_002578 transcript:KVH95331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MFCKENKDPKKDAIVGGSSSDPQENNPQDQLSPVDLPVPNQVAGPSAVNISSPPTGVSEEDALVPPVDDGSADERSKTRTFPCRYCSKQFPSAQALGGHQNSHKHEREFAKRQRVHAQGYMPYNYGRLPNSLTLGPPRFPSYNYANNFTLARPPLGYPYSMPGFPNTQYPRPTTGLTLGHFGLSSGMLFKGTQLPGYDNNRMINPYTGNSGNVDNHPIGNFNSIGITGGGEGGMLGGFQGTHGNNRSMWPDLNSLGIAGGKESGSTTTGILMLNGNSRFPADGFDAAGTSTSDLFRTLFGVSQGETSNARDDFQDAIEVCGEGDGTIDLLSRFGMDSGIDGESNGGGSNGETEVTDDGALDLLSRVGVRGPENGEQNGDGSSSALD >KVH95355 pep supercontig:CcrdV1:scaffold_154:325235:328550:1 gene:Ccrd_002586 transcript:KVH95355 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MMKQNWWKSAYKQNHFVFKLGFSILLVGFGFRLLFSESSTVIPNVSDNNNTNNTPAVEKDENPISSDFADSPKIVSGFHVKDNNSERCDIFDGEWIPNLGDPIYTNNSCRWIESHQNCMGNGRPDTGYLHWKWSPKSCELPRFDAKKFLETMRDKSWAFVGDSITRNHLQSFLCVLSEVEDAVELYHDKDYKNRKWHFPSYNLTVSVMWSPFLAKAEIFEDVDGVSSSEIKLHIDILDKTWTEQFDMWDYVLFSSGKWFIKTAIYYENNAILGCHGCQGKNYTDLGFNFAYRKILKNLFKFIMNSNHKSMIVFRTSTPDHFENGEWSSGGTCNREVPAKEGDFELGDLNRILREVELPEFSKAEDEASEKGVKLKLLDVMPLSLLRPDGHPGPYRHFYPFAKDKNAKVQYDCLHWCLPGPIDQWNDLLMNLVMNDD >KVH95350 pep supercontig:CcrdV1:scaffold_154:282728:285753:-1 gene:Ccrd_002583 transcript:KVH95350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKEDPTVECGSEMPFPTVKELFSQLRSSFFATEFQRVEKLLVEHEEHAKEKQRELKNKAESLEKEKDCLFLENLKLNDELKKKQKESDALRMENLEYIDQIKVLNSKVSKNPELEDELKKKRREIDEMKKLNAEYEKREAEFRVYKKHFLDLGDRVLNLEKTGKELVGSDGTPLMNLENKQQKNCDSENSKPPLFGEKGDYRKRTEPKFGEIIQIDDDDDDDDDQKCISTLKRKRSLNEIRKEYNDDNIDSHNQPVASLGPNSSSHSDDENVDEIYKSIIARKKRQKERWGVEMDMLQEFNKDDELCMNAVCALHRQIIQGKLTTLGDHKSRISQLSKLIDGDSHKKPKRTASELNRSDLDDCRRFAIGYPTQLFKIYQNKDDPFFPPSRISCKDRYQPLGFRNLFKDHSFSSRISGMTG >KVH95336 pep supercontig:CcrdV1:scaffold_154:164430:166841:-1 gene:Ccrd_002575 transcript:KVH95336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKGLEIYEIGPCKDPYQMGFSIGNHFSNLIRSRLSRDSIFNHQLLPFSQTPQAQSLLHSLSHNNNTKFPDYWNEIRGMAHGSGVPFLHIMILNFRKEILPFIPKSEKVEDEETIDDCSDILVVSDSMAVAAHNEDANVALAFTLNSVPPSESEIVAGGIGRNFVSRDLLEATSIEDAVTRIRSSQVSVGHSYNLIDVKLRRILNVETASSQRLSLHEVGSTPFFHANMYLHLEVNQVTMYYIAHDQNSISRQNRAALLPKRSKDDLLSLIGDTADEEYPIYMQDLCTPKTDNL >KVH95332 pep supercontig:CcrdV1:scaffold_154:220940:224965:-1 gene:Ccrd_002579 transcript:KVH95332 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1/A1 complex, subunit E MNDADVSKQIEQMVRFIRQEADEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKQKQVEVRKKIEYSMQLNASRIKVLQAQDDLVSAMKETASKDLLNVSHYKSRHLHNYEGLLRALIIQSLLRLKEPSVLLRCRKEDLHMVESVLDSAKAKYAGKERVHTPEIIIDDIHLPPAPSHDDPHALSCSGGVVLASRDGKIVIENTLDARLDVIFRGKLPEIRKLLFAQVAA >KVH95351 pep supercontig:CcrdV1:scaffold_154:266903:276864:-1 gene:Ccrd_002582 transcript:KVH95351 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MDEIAANEATAINNIDSKRFLRKESGFLPSRRSSNMLEMQEMLIPGDINVQESKMGLFIDVLDGKNVTRVDTSEHACVSPRFVDDTGTMVEELTVRNCNSGNLEIGASSARDKMMHKGQNNWQQFHRRAEGAVTGVWEDSGSTLFPELLNNKQQSENQKDTMDNSRREEKQPALCNTLLSPGGIRTKILSQSGFSQYFVKNTLKGKGVICSGPSHDGLVHIRDQNHQKDALRDFNSAPDSSKETIVHPSDGIAESWPNVSGVHDGLCLREWLKAGQNKVDKSKSLYIFKQILDLVDSSHSRGVALQALRPSSFKLTLSNQVLYTGSPIQKELMGNIVGKEFHHMENNGDEKRPLEHDPLLGDNRSSKRRKHGDERNSFRRWPQFTARAGFKYGNAHDIGAVNGFPRDSGYGFNEESIPKRDDSYPIQSMSNTLHLLASSESARTHAIAMMDLRQRILPPSFLSENPKEAGFCLWLLHPEALSRPTTRDILQSQLVSGIQQSSMEELSSSIDQEDAESDLMLHFLASVKEQKQKSATKLVKDIRYLESDISEIELRRPNNVFLGEQKQSYESEGNGSIQENRPTLGEKLRPSAFSSSGGSRLISNINHLEHAYFSVRSSIGIPNSDTNKPGEHEILRSRENNMGAIKKEANNKPVDHLGVFFKGLCKYARYSKFEVRGTLRNGDFSSMGNVICSLGFDRDEDYFATAGVAKKIKVYDFQALLNDSVDIHYPAVEMSNKSKLSCICWNSYIRNYLASTDYDGVVKLWDAGTGEAVSHHIEHERRAWSVDFSPVDPIKLASGSDDCSVKLWSINEKKSLATIKSVANVCCVQFSPYSTHLLSFGSADYRTYCYDLRHTSTPLCTLAGHDRAVSYVKFLDSGTLVSASTDNTLKLWDLNKANNGCLSTSSCILTFKGHTNEKNFVGLSVADGYIACGSETNEFGSIDPVSGKETENESNQFVSSVCWRKKSDMVVAANSSGCLKLLQMILMKRIQQKIGQAKHQTLLRKSPVCKTRLYPLPSGFHGILASCQLQHSANYLLLFPIGISEA >KVH95359 pep supercontig:CcrdV1:scaffold_154:333833:341263:-1 gene:Ccrd_002588 transcript:KVH95359 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAT8 regulatory NSL complex subunit 3/Testis-expressed sequence 30 protein MASKRPRTMETEYEQVGQQYAPMIKRAESSLVKFNNSPVVVFAHGAGAPSTSEWMIRWRNLLADALNAVEVITFDYPYGRRKAPPKEEKLVGFHSDIVRKVAAKYPEHPLILVGKSMGSRISCMVAAENDIGASAVVCLGYPLKATKGAVRDEPLLQLTIPIMFVQGSNDGFCPLELLEVVRKKLKAINALHVIENGDHSFQIAKKNLELTGMTREEAEARAVEAVAMFVSRISGEKVNAGPRVSS >KVH95362 pep supercontig:CcrdV1:scaffold_154:438505:439788:-1 gene:Ccrd_002598 transcript:KVH95362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 1 MHLLLPPDLRSYSDVGKMQGEIGRRSGGDNVLEREGYAGFSLGLVAFGRGLDAIGFMDTLLERLFQYAGGKEIHNLTAV >KVH95333 pep supercontig:CcrdV1:scaffold_154:182162:183043:1 gene:Ccrd_002576 transcript:KVH95333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIMILLFLLCSSFCSFAQSFDSKHIATAAEPLDFILQDHALKSLLFHRQRNETGTLYEIKLPASLSGMDVSYVRLRSKTLWRKGANFSSFQIPSRTLPMPYVKRVVIMYQDLGNLSYQLYNHTVPGYSLVSSVVGFRVYDASNPTTGNVRKLDFNTSEAPISVRFPNLKFPRGAKCASFGVSNGKVSVGEISVGNVCHTRSYGRFSVVIPKRKKAVGVWVWWGVGSVTVLLVSFIGMVLVKIIKVKRLDEMEMQMEEGSVDLETVWIGYSKMPCATVTRTHPNLENAGLST >KVH95335 pep supercontig:CcrdV1:scaffold_154:161017:164407:1 gene:Ccrd_002574 transcript:KVH95335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWGGEGGEKGRGEEGKEPPPENQENLGEKLRRIAGKRGGQTTPVLTFQAQFSSGGGAQYSSVSEVEEKNPVLSWTASVPPGASARTIAATVWELHQYDLPITKMYNGGGGGGGGGSRRNHRYQQLHHLHHHHHLRHHLLEDNPDPSPASPELGSASSLRRHVAASMMQHNRTIQRNHNDRNAVRAVSPASYGSSSMEVAPYNPAVTPTSSIEFKGGNGETSYSLKTSTELLKVLNRIWSLEEQHAANVTLVRALKRELDLSRSKIKELSRDRQSDRHEMEHLVKQIAEDKQGQAATAIQSVKDELEDERKLRKRSETLHRKLARELYESKTSLTTALRELENERRSRVLLEDLCDEFAWGIKTYEQEMHSLKVITPDKGNGSNHRAVVRDRLILHISESWLDERMQTKQETTPLKNSVAEKLSSEIEAFLEAKRKGLQKLGSGSGAGATARRRHSLESIPMNLAASAPPDDGDDDDDVSSGGGGDSPCFELDKPVARGKDEIGNSTTKLDQGKKKLVSQGTGKGRDSSSLQVKFEEQMAQAILGQTKTGEENHVEITEEEVGLNSKYLDSLLKNHYRETGNDFDDSVSKPMWKSHPSPVRGWTTRLPIEDLELSESSAKVPVESKDQTSLKSKLLEARTRGHRSGSRVKTNKVSF >KVH95361 pep supercontig:CcrdV1:scaffold_154:365240:368014:1 gene:Ccrd_002593 transcript:KVH95361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTPFEGWEFSYIPVIITSWTPSPWMKLRYLPPGRSRPLPYEGNGATKGQIDPGTENTCVALITAPLAKYRVIRLVRLKLSVK >KVH95354 pep supercontig:CcrdV1:scaffold_154:329743:332817:1 gene:Ccrd_002587 transcript:KVH95354 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MADVASADPIIIPTKRRTRKPLNPKNSSQNESDIDAGAISQPPLMSENSAGKENSESLSQHKSPKLKKSGRGKKQPQPDPTTFSFEKELQEMQEKLEKMTLEKQQAEEMLKLKEQELESHSREQEKVKMELKKLQKLKEFKPTMTLPILHSSKDKEQVKKKKKGCPETKKPATPYIMWCKEQWVKKEKPEAEFSEIANILGSKWKVLTPEEKKPYEEKYQIEKAAYSKIVGDEKRENEAMKLLEEEQKQKTAMELLEQYLQFKQEAEKEGGDNKKNKKERDPLKPKRPESAYFLFMNERRAALVAENKTAVEIAKITGEEWKNMTEKQKACYEKKAKKKNEKYNQEMEVYKQNKEEEAENSKKEEEEVLKILKQEALQLLKKKEKTETIIKKTKEEKNKLKEEKKNKKTDDPNKPKRPPSSFLLFSKQARKDLAKEKQGISNAQLTALISVKWKELSEEEKQKWNGEAAEAMEAYKKGMEEYNKNLVAEIPNKDN >KVH95349 pep supercontig:CcrdV1:scaffold_154:1284:3215:-1 gene:Ccrd_002567 transcript:KVH95349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFRNMGFAANHVSDAFRNLSSSVPGASHITDTTLRLDSCGFLNPSFPALKGVKRKWSSVNGSMDVPAGLPLGLWLGHSPSSSDSKASSATGCTTMSSAKETDEESSMDLELDFSLHLGAEKAPIRKKASTSNKALMDLQHQVDLELSLFSVPAESDVTTVHLSSDSDKIPPPVGCDFHMDDGSMSSHWKTVNSSPLLQNSLNKKNNYFVNQTLSETKSAGLASHYPSSSITTMPTSSVTCSSGFTEPQQPRTGSTKTCQFEGCGKGARGASGLCIAHGGGRRCQKPGCHKGAEGRTAYCKAHGGGRRCEFLGCTKSSEGRTDYCIAHGGGRRCSHDGCTRAARGKSGLCIRHGGGKRCQMENCTKSAEGLSGLCISHGGGRRCQFPACTKGAQGSTMFCKAHGGGKRCTFEGCTKGAEGSTPFCKGHGGGKRCAYEGGGVCPKSVHGGTLFCVAHGGGKRCAVPGCTRSARGRTDCCVRHGGGKRCQFGGCGKSAQGSTDFCKAHGGGKRCSWGQPGSEFGINDQICNSFARGKTGLCTSHGALVQDKRVHGGATLGTLVHDTTPDQPEKMKETVFPSQMTADTSAMMASGFEPFGILAGGERRSNGGEGRVHGGSLIALLAAGRTSGGSSDAGKVQKWI >KVH95348 pep supercontig:CcrdV1:scaffold_154:24690:31709:-1 gene:Ccrd_002568 transcript:KVH95348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0016 MGSMTTLCSSESVFSMRRMASLSTQSSSSSSSSSSSSKPSKFFRCFLDSNVSSVHTSQSRVFFRQHCIKLHDRRFRQFQHSRYHMNVFRSDGNDPTKLAHNVEDWECSTSGKSNSRNMLTAKTSLPSKDLSIDTSRNSYLKFLLFFGILTLQDAQSALAGSDVANLLQSSSFFGDLSDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSAAVIFLGTFGALGVMTIISVVLGRTFHYVDEILPFRSTLHNIIFLPFSIIPSR >KVH95344 pep supercontig:CcrdV1:scaffold_154:384572:394762:-1 gene:Ccrd_002595 transcript:KVH95344 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MQQNTMNPFLFDASTINYVQSSLSNLILAVGNTNTFDSIFSRCLSSSSSSSSSSSQSPSSVSPDQSGSSVYLKQRDLLLKFSENFDTVRQITREPVGFSKNLYRGVRQRQRGKWVAEIRLPENRMRVWLGTYETAEMAAYAYDRAAYKLRGEYARLNFPNLKDPTRLDGIGNGERIDALKTAVDKKIQAIRLKVRREKAKKREERKRDKEVGDSPAAALYGGDLPPAAAVVAEAELDSWSLARMPSYDLDLIWEKLPIMQQNNRYSFYTNNDIGSSLSNLILSGGSGSNTLDSIFTQCPSSSVTSNSPHQPLGSSVYLKQRDLLQKFSEQNMGKIGKLSSTITIPTRYSGYSDYYMNPYKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLQDSTQLGLIGDGQRLNALKSAVDAKIQAICQKVRREKANRKNKKNKKKNDSVGSDEEREKVMKTEYSSSISCVGNELISPSMSEDGFWKGESSRCSASGESKVAVGAVTDESEFEGCSLARLPSYDPELIWE >KVH95352 pep supercontig:CcrdV1:scaffold_154:254648:257824:1 gene:Ccrd_002581 transcript:KVH95352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYQVAYYFIESQTNNKASSPKSVLRFSPSSTDECVAESTQHSPLHDAINEPSVSENFSDFQPFNDPFSTSDLFDFPDFVPDIYDPNTMFHSSDPADVFLGCGNDFAFGSSSWPADDYLQEFGDVFGSDPLVAL >KVH95340 pep supercontig:CcrdV1:scaffold_154:74125:98426:-1 gene:Ccrd_002571 transcript:KVH95340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MGAHTTSTVLVLFFMFLTLSSSDNHEGNSKYYKPCKRLVLYFHDILYNGKNAANATSAIVGAPQWGNLTNLADQSHFGDVVVMDDPITLDNNLHSPPVGRAQGLYLYDVKTVWTAWFAFSFILNTTDYHGNFHLIGVNPSSQKTRDLSVVGGTGDFFMHRGIATLSVDSVEGEVYFRLRVDINIRYNGNNAANATSTIVAAPQWGNLTKLADQNHFGDVVVFDNPITLDNNLHSLPIGRAQGLYLYDNKKILTAWFAFSFILNTTDYHGNFHVIGVDSGTMKIRDLSIVGGTGDFFMHRGIASITTDAVEDEVYFRLRNNKHYKPCKHLLLFFHNILYNGHNAANATSTIVAAPQWGNMTKLADQNHFGDVVVFDDPVTLDNNLHSLPIGRAQGLYLHDNKKILTAWFAFSFVLNTTDYHGNFHVIGVDYGGLKIKDLSIIGGTGDFFMHRDIATIMPDAIEGEVYFRLRLDINMLVLFFMFLTLSSSDNHQGNNKHYKPCKHLLLFFHNILYNGHNAANATSTIVVAPQWGNLTKLADQNHFGDVVVFDDPITLDNNLHSLPIGRAQGLYSYDNKKMLTAWFSFSFILNTTDYHGNFHVIGVNNGMMKIRDLSIVGGTGDFFMHRGIASIMTDLIEDEVYMRLRFDINKYYKPCKRLVLYFHDILYNGKNAANATSAIVGAPQWGNRTNLANQNHFGDVVVFDDPITLDNNLHSPPVGRAQGLYLYDTKKAFTAWFAFSFILNTTDYHGNFHLIGVDATSMKIRDISIIGGTGDFFMHRGIASITADAVEGEVYFRLRVDIKFYECW >KVH95342 pep supercontig:CcrdV1:scaffold_154:360891:363234:1 gene:Ccrd_002591 transcript:KVH95342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKIGVFTIFTFLLFAVSTARIFDQVIVLTDGVSKSSPESVAVADSDIVLPNEKPKSEFDETTANDRLQEIEKTQTLSIDPTQRLNFARLHPIKRHVFDKTTRIPLRFVRRHPCRKFEKTFMIPRTERSYGDDMISSDKAYNFDPKTLGDQVPTKWLEFKHNYGHHHNHHNHHDHHNDKEEEFVPKYMFDREKMLHSSRHHKEKRENNGGFNFMKRIRKFLKHTFD >KVH95346 pep supercontig:CcrdV1:scaffold_154:425859:433672:1 gene:Ccrd_002597 transcript:KVH95346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding domain CBM49 MEDFMKLYSMATTLFLLIGLVPLALAGGHNYGQALSKSILFFEAQRSGYLPGSQRVKWRGNSGLFDGKANGVNLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMASSGELGHAMDAIKWGTDYLIKAHPQPHVLYGEVGDGNTDHYCWQRPEDMTTSRNAYRIDQNNPGSDLAGETAAAMAAASIVFRRYNPAYSRELLTHAYQLFDFADKYRGKYDSSITVAQKYYRSVSGYADELLWGAAWLYKATNNQYYLNYLARNGDALGGTGWAMTEFGWDVKYAGVQTLVAKFLMGGKARGHGRVFGKYQEKAEFFMCSCLGKGSHNVQKTPGGLIFRQRWNNLQFVTSASFLLTVYADYLTSARRNLHCSSGTVTPPKLLAFAKSQVNPSFVSCRGGYATWYSRKARDPNLLTGAIVGGPDAYDNFADERGNFQQTEPATYNNAPLLGVLARLHAGHGGLIAIAQKTSSSWVANGKTYYRYSVTVNNKSNKTIKNLNLSVTKLYGPLWGLTKQAGGSYGFPAWVSSLPAGKSIEFVYIHTNSPAKVSVMSYKLV >KVH95338 pep supercontig:CcrdV1:scaffold_154:156158:159575:1 gene:Ccrd_002573 transcript:KVH95338 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLFWVTDSFSLSVPGASWSDDESDTNRKGSGVFLLNLELIENDNNQEENSVSIDILPDDIHERILSRLPLSAIFKAACVCKKWNQIVHSKKFTLTDANFLSEKTWYFMFTSSAEPVGYLYDSGLRKWYNFELPFLVHHTWKIAPSCGLVSFMDDETCKKIHICNPITREYETLKNPPSPGFPVYSALAFSVDQSNSKYTISIVRAMQASEDFLSWLVSIHIYNSKEKTWLPPVMGGMEGWRPGDVSLICDNILYILVFCTRPNEVQNFHGLITYNLETFNPIGVLKEESVISAPCALTCGRLMKVQRQVVLVGGIGRADRPGVIKGIGIWVLNGKEWKEVTRMPQKFVQGFGELDDVFASSGGGDLIYIQSYGGTAVLIYDMDTRQWFWCQKCQMHKKFPLEIFSGFCFEPRLQFM >KVI03035 pep supercontig:CcrdV1:scaffold_1540:104452:106360:1 gene:Ccrd_018671 transcript:KVI03035 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MDRLAKCPANYVPLTPLTFIKRASMVYSNRTSIVYDGVRFNWRQTYERCCRLAYSLRSLNVVKNDVVSVLAPNVPALYEMHFSVPMAGAVLNAINTRLNAKSIATILHHSQAKVFFVDYEYVPQASEALRLLMADFKDSSSAQYAMPLVIVIDDLNKPTGIRLGELEYEQLINYGNPQYPGEDVEDEWDAIALNYTSGTTSDPKGVVYSHRGAFLSTMSLIQGWEMGTEAVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTTAEEMYRSISQHKVTHMCCAPIVFNILLEAKPHERREITSKVNILTGGAPPPAVLLEKMEDLGFHIMHAYGLTEATGPALVCEWQSKWNELPRDHQARLKARQGVSILTLADVDVKNKDTMESVXHDGXXMGEIVLRGSSIMKGYLKDEXETXKAFXXGWFXTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESILFKHPAILEAAVVAMPHPRWEESPCAFVVLKKTGSTTETELLTYCQKNMSKFMVPKKVEFVKELPKTGTGKVQKVELRKMAKTLQISENTPRNNKISEKEIHLDQTRYHQDEPHDQEKVLAMSRL >KVI03253 pep supercontig:CcrdV1:scaffold_1541:8515:9684:1 gene:Ccrd_018448 transcript:KVI03253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGLVLENEVDLAYKFYSTLSSYDFSADSFTFSILVHCYCKRNEPMEAKRVLEHMIQNGFESPKVKTFTQLINSFCKRGKLKQAFEVFEIMGKVKCDPTINTYNCLLKGLCYVGKVEEAYELLTKIKKSWNLQPDVYSFTAVMDGFCKVGRSNEALELLNEAIEMGLKPSIVTYNTIFNGYFKEGRPKDGFGLLKQMKERNCNPDYISYSTLLHGLLQWGEIGDGLRVYNQMLDMGFDIDGRMMNTLLRGICRRSRKEKELLKDAYQLFDEMSKRGVDIDPCAHELMIEAFCNGNEMDKAFMNLCEMIKMNLSPKTFTLNSVVRGLCVEGKIEKALLVLVLVCRGRRGMLDGVTFDVLIDEMNRQGMFKLATCVYCVALKNRVNLLRKPV >KVI03252 pep supercontig:CcrdV1:scaffold_1541:10735:16166:-1 gene:Ccrd_018449 transcript:KVI03252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMAIFKLSPFSSGVPPTTAHSSISLSSKKFFLPLKLSPLRKSSRSTFPRIYAFSSNDIKVGSNVEVDGSPWKVIEFLHVKPGKGAAYVRTTLRNYVTGNSIELAEISKETKQFTYKDGPQFVFMDLTSYEEVRLNESDMGDKTKWLKEGMDCILLFWKGKVIDFELPIQVKVTIVESDPGGTKPATVETGAVVNVPLFVERGQEIIVDTRTGQYVSRV >KVI03256 pep supercontig:CcrdV1:scaffold_1541:65153:65662:-1 gene:Ccrd_018451 transcript:KVI03256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVSLTRRLLLTDYPPSGENTESSPRSEETDFDANMVLVLAALLCALVVALVLNSVIHVFLRRRRESIEASTNVKSPGLDKRALRKIPMAVFRLRTGGSATECSICLGDFINGEKVRVLPECNHEFHVKCVDKWLAEHTSCPNCRRSLVVVDGGDRATVRVEHGGGAAVV >KVI03255 pep supercontig:CcrdV1:scaffold_1541:44667:64982:1 gene:Ccrd_018450 transcript:KVI03255 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MEPEVCMNGLCGALLAAEGDYKKGWALRSGGFANLCDKCGSAYEQLAFCEVFHLDDTGWRECTSCGRRLHCGCIASSAFLELLDNGGVSCISCSSTLGHNPKTREEKTKETGISVENSVGETRSSSIDNHVNVGNLNKIMLTKLNDGEELLIPSENAVASTSQMKLEEYGTTPMSLNGTSESPHAAGIIKYRESTGLKDVYGSLVQTNLTIGLSAAPGKPVLCPSTAVEETELNKTFSSFQQGTWSRHLLPKVPKSILPQGFNANPGMSSQIRVARPPVEGRVKNQLLPRYWPRITEQELQQISGDSNATIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWLFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMEPEGKLLMGFRKASTSTSLQLMKGSTYSHLSEFSRNVNLPNGDVGWHTNEQLGSKLMAGSFPPSEKKRSRNIGSKSKRLLIESQDALEIKHTWEESQDMLFPSPLQKPSVVTIEDNEFEEYEEPPVFGKGSIFTVCSSGRKSSVSHKPEQNQGYSGIDAQTPSTAEPSAAITTKHPRHRPGCSCIVCIQPPSGKGKHNPTCTCNVCMTVKRRFKTLMLRKKKRQSEHEAKIAEKNQLTCGPPKEDVEVSISVTPHNQLCYTLGHKEVEVGFLDNESSLLGSESTSKGHLDLNIHPHREERDRANGETRVSMMSLLQVANLPLETYLKQNGLTSLISEQQASSGSQTLPQETGETGGGDEEEDDRLGDES >KVI03254 pep supercontig:CcrdV1:scaffold_1541:112563:118187:-1 gene:Ccrd_018452 transcript:KVI03254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLEINLLRRKVTSSLFLVVALLSCQ >KVH93656 pep supercontig:CcrdV1:scaffold_1542:26883:30808:1 gene:Ccrd_004291 transcript:KVH93656 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MAAQFTGSSTVLPSCKFLVPSGTRCAFGTCKVRKSYTTFRLQEKRTQDVYRRFVLFQQRNAKYDSNAIHVRNKFPSDGTRNLTSFLDLALNAMDILFRFSRPYAAMATILSVLSTSFLAIERIGDLSPLFFIRVFQINKAYLPLAAGELTMNSAIIVTSFSAIMSLSIAWISGSWPLFCGLFFWFLIGTAYSANVLPFLRWKRFPYTAVLYMINSRALAVPFGYYVHAQNAIRGGVIIPTSRHFFPIAMLVVFSVVLILFKKRLWTLYVVIFFMQKDIPDIKGDEMHGIKSLASQIGPKSVS >KVH93657 pep supercontig:CcrdV1:scaffold_1542:112836:113755:-1 gene:Ccrd_004292 transcript:KVH93657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MTKKLCEGEVVHHGRRRRRSDLFESSPDEIVISILCNLSSTFSSSSDFVTVLLTCKRLNKLGVHPLVLSNACSETLAVGAKNWSDEAHRFLKLCVNAGNKEAYYTLGMIRFCCLQNRGSGASLMVKAAIKSHVPALYSLALIQFNGSGGLKNDKDLRAEVALCARAAFLGHADAIRELWHCLQDGYGVRKNVEEGRRLLVQANAHELSCIFHPFQLNRRRHHN >KVH88137 pep supercontig:CcrdV1:scaffold_1543:82373:86514:1 gene:Ccrd_024473 transcript:KVH88137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MATKGNPGDNRTRRSFSIFIVVGLCCFFYLLGAWQKSGFGKGDSIAQEVTKSADCSVLSNLNVETHHGDGMKRTNDLQLENKVFQPCADRFIDYTPCHDQMRAMTFPRENMNYRERHCPPENEKLHCLIPAPKGYVTPFPWPKSRDFVPFANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPHGADAYIDQLASVASWGAYLFKRNVIAMSFAPRDSHEAQVQFALERGVPAIIGVLGTIKLPYPSRSFDMAHCSRCLIPWGGNNGKYMMEVDRVLRPGGYWILSGPPINWKANYKSWQRPREELEEEQKNIEEMAKLLCWEKKYEKGETAIWRKRVNEAYCQERNSHVTMCQSTNADDTNNKDDVAGGELKPFPERLNDVPPRIASGSIPGISVESFLEDNKLWQKHFKAYKRVNKIIDSGRYRNIMDMNAGLGSFAAALESSKSWVMNVMPTIAKKDTLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYKDKCSYEDILLEMDRILRPEGSVIIRDHEKEVKKVKSILSGMRWNTKMVDHEDGPLVPEKILFAVKQYWVAGDNNSTSSR >KVH88139 pep supercontig:CcrdV1:scaffold_1543:107848:111021:-1 gene:Ccrd_024475 transcript:KVH88139 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein NGASTSLLECGRWRHPQVYLNVEDGAAPLGSRVSSFPFKLLVISYLLLLGFITFFTLPSLTISLRGLNHLGDCQIMSSMPVVSLAYPLYASIRAIETKSPLDDQQWLTYWVLYSMITLFELTFAKLIEWIPFWSYAKLIVTCWLVIPYFSGAAYVYEQYVRPFYTGKQTINIWYVPRKKDVFSKPDDILVAAEKYIQEHGPDAFQEIIHRSDREVRFRGSSLFSEDDHRY >KVH88138 pep supercontig:CcrdV1:scaffold_1543:43994:45900:-1 gene:Ccrd_024472 transcript:KVH88138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRWAAIASYLPQRTDNDIKNYWNTHLKKKLDKLHEKSDEESDYHHHHHGTSKGRHSSSSSETTISKGQWERRLQTDIHMAKQALCEALSLDNKSINLPELSSCTNSAKPQSVLPPSNQSTTTYASSAENIARLLPNWMKDSKKSSQTSTESIETTQARSSLTNQQFPSPPSEGYDNSQQFDYNNDLIHNHQKNNYYSNYSNSDVSQSVSPETSVFQDESKPNMEDQMPPLSLLEKWLFDEASAQVDLMNMSLQESDDFF >KVH88140 pep supercontig:CcrdV1:scaffold_1543:105499:105863:1 gene:Ccrd_024474 transcript:KVH88140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPMTIPTVDLSPFFIAGDESGREKAKESITKACTDYGYSDEIKRKCSSNPGAPLPAGYNKQPEQSPDKNEYLLMFPPESVFNILPNNPLHFR >KVI06527 pep supercontig:CcrdV1:scaffold_1545:8754:10829:-1 gene:Ccrd_015122 transcript:KVI06527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAEEGVVVGCHTVDQWNEHFQKHASSEKLVVVDFTASWCGPCRIIAPILAEFAKKMPHVVFLKVDVDEVESVAQEYSVEAMPTFILFKSGKPVDKVVGAKKDELLSRIVKHSEGAAAVSA >KVI06528 pep supercontig:CcrdV1:scaffold_1545:106196:115539:-1 gene:Ccrd_015125 transcript:KVI06528 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, subunit 116kDa MAERECLPTMDLLRSEPMQLARLIIPVESAHRVISYLGELGLFQFKDLNMEKSPFQRTYATQIKRCREMARKLRFFRDQMYKAGLLSSTWPTHGSEISLDELEVKLGELEVELIEMNANSEKLQRAYNELLEYRLVLKKAGEVFYPAQTRATTQQREHEHHRVERSIDSPLLLEQVLLSYFSLLGCYSKIYDAASYPPMVLKEITADLSKQVKLGYVSGLVQRDKSMAFERILFRATRGNVYLKQESVEEPVVDPVSGDKVEKNVFIVFHAGERAKSKVLKICDAFGANRYHFTDDTGKQYQMITEVTGKLSELKTTIDVGKHHWSSVVQAIGLQFEQWNTMVKKEKSIYHTLDMLSFDVTKKCLVAEGWCPVHATSQIQKALLRATIDSNSQVGAIFEVLHSKESPPTYFCTNKIMNAFQEIVNAYGVAKYQEANPGVYTAVTFPFLFAVMFGDWGHGICLFLASSFLILKEKKYSTQKLGDIMEMAFGGRYVIIMMSIFSIYTGLIYNEFFSVPFEFFGRSAYACRDSSCRDATTIGLIKVRNTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIIMSYFNAKFFQNDLDIWYQFVPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPMDDLADNQLFVGQKYLQILLLFLALVAVPWMLIPKPFLLKKQHEERHQGGAYEPLHTLDENLEQQLNNRSRGGGHEEFQFSEVLVHQLIHTIEFVLGSVSNTASYLRLWALSLAHSELSSVFFEKVLLLAWGYNNSVILAIGVVVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYQGDGYIFLPFSFSLINDDEE >KVI06529 pep supercontig:CcrdV1:scaffold_1545:104377:106398:1 gene:Ccrd_015124 transcript:KVI06529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein KRVGISTAGTHLPSYPNQYNWSIRYLIPKYHFTMGRRSLLSFFSLLLLLFSATNAIGGDVSSSDPKIRQVVPEEEQAADDLLNAEHHFSIFKSKFGKTYATEEEHDYRLSVFKSNILRAKRHQLLDPTAEHGVTKFSDLTPSEFRQKYLGLKSPLKLPTDANKAPILPTSNLPEDFDWRDHGAVTAVKDQGSCGSCWSFSTTGALEGSHFLQTGELVSLSEQQLVDCDHECDPAEYNSCDSGCNGGLMNNAFEYILKAGGVQKEADYPYTGKDGTCHFDKSKIAASVANFSVVSTDEDQIAANLVKNGPLAIGINAAWMQTYVGKVSCPYICSKQRLDHGVLLVGYGAAGYAPLRFKDKPYWIIKNSWGANWGEDGYYKVCSGYNACGMDTMVSAVVSTNT >KVI06526 pep supercontig:CcrdV1:scaffold_1545:64680:66936:1 gene:Ccrd_015123 transcript:KVI06526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MSEGVVVSCHNAGQWNTHFNKHASSGKLVVVDFTASWCGPCRIIAPVLDDFARKFNNVVFLKVDVDELESVAQKYFVEAMPTFLFFKNGQVVDKVVGAKKDKLHSRIKKNSGAVYAN >KVH99487 pep supercontig:CcrdV1:scaffold_1546:69294:69697:1 gene:Ccrd_022278 transcript:KVH99487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIFAVRSYPPKNLVETEKQMKKLKQKKETTKEDLQREEAFFAHVKYNFKVKKQEFVRFMAQSSLTQFKKLQGERLLDDDGSMEADNT >KVH99486 pep supercontig:CcrdV1:scaffold_1546:70876:71583:-1 gene:Ccrd_022279 transcript:KVH99486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSFLASSSVPLPFHDSSSAPSSQLVSGVLGGKNARIHIPDELDDVVDDEEDEAWKEWXQKKKLTEEKFDPPPVEV >KVH89699 pep supercontig:CcrdV1:scaffold_1547:37693:40221:-1 gene:Ccrd_008307 transcript:KVH89699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl hydroperoxide reductase subunit C/ Thiol specific antioxidant MPGLTIGDSLPNLQVDTTHGAIKLHDYVADSFTIIFSHPGDFTPVCTTELGAMAAYAHKFKERGVKLLGLSCDDVQSHKEWIKDIEAYNNGKKVTYPIAADPTREIIKQLNMASKHKIATPANWKDGEEVVIAPSVSNDEARKLFPGGFKTADLPSKKDYIRFTHV >KVH89700 pep supercontig:CcrdV1:scaffold_1547:24545:28414:-1 gene:Ccrd_008306 transcript:KVH89700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27a MVSDSELVGRLREFLSTSDLTTTTTPSNEEDKEGNDEEEEEEVDEEKEAAMASVPKKIKHKHKKVKLSVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >KVH89695 pep supercontig:CcrdV1:scaffold_1547:100048:107749:-1 gene:Ccrd_008311 transcript:KVH89695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGALRYSRSVATSRSSDTGKPLANADMIRKPPVTLTPLTNHGSRIAITVIEESSISPQGIPRITEPLLENKALLHYSMSTSSSTKTFGET >KVH89696 pep supercontig:CcrdV1:scaffold_1547:105109:111325:1 gene:Ccrd_008312 transcript:KVH89696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MKKWTGGVLIIGLACILLISYSFVQKQPRKQSSYEFFHPNEERGNLSNSVQKTGEMLRTSVGRPHLINVDGLDYLFNLTHMPKEEDTKPLLAWGQMRLLLSRSDSLPETAQGIKEASVAWKELLSKIDESKASKFVDNKEERNCSYSVSLMNNSALFSSNGSVILGMPCGLIEDSSITVIAIPDKKQDGFRIELVGSIGKEEQDPPVILHYNVVLPGDNFTKEPVIVQNTWTYEFGWGKEERCPNFGSSSNAKVDGLVKCNEQLTGSSLEENSNPKHLSVNKSTNASDVGDHNHMSSNFPFLDGSPFTATIWVGAEGFHATVNGRHETSFVYREKLEPWLVSGVRVTGGLRIISALAKGLPVSEDLDVATDLEYLKAPSISNKSKRLVLLIGVFSSGNNFERRMALRRSWMKYEAVRSGVVAVRFFIGLHKNKQVNFELWREAQTYQDVQLMPFTKILPAKYIMKTDDDAFVRVDEILASLKTKTSDGLLYGLVSLDSKPQRDKENKWYISTEEWSHDSYPPWAHGPGYVVSRDIAKFIVRGHQERSLKLFKLEDVAMGIWVEQFQKHGHEVQYINDDRFYNAGCEPNYILAHYQNPRMVLCLWEKLQKEHKPDCCD >KVH89698 pep supercontig:CcrdV1:scaffold_1547:45707:54951:1 gene:Ccrd_008308 transcript:KVH89698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MESTSRVAQLLDSTLSPDGEVRRSATEALDCLSLNPDFSFALISIAVVISMKNWDWELNLIKITGGENHGQSIAAATYLKNLTRRNTIDGGAASRASKEFRDVLVRALLQAEPAILKVLIEAFRPIVDAEFVKHNMWHELVPELRSVIQDSDLVNKSGNSRWKAINGLTVLQSVIRPFQEPVPSQLELIAQEILVPLITVFHQRVEDLCIQNIVEMDAEKSLLIMSKCIYYAVSINVLGDDTCVLLQVPTIKYQITVTKQVRSHMPSALVSLLPSLCRDLIRILHSLRFQDYGSFEDGYMLRLKTGKRSLLIFCALITRHRKFSDKLMPDIINSVVKLVNLKTDFSKLDTLAERIVSLAFDVISRLLETGPPVSFIAHYLFSDIYHTICNQGWRLVSPHFSSLLESAIFPAIVMNEKDITEWEEDSDEYIRKNLPSELVHTSIFTNGSNIFDSVAFVWQEEISGWREDLFTPRKSALNLLGVISISKGPPVVASVTSKRKKGEKNKQKGRSSMGELLVLPFLSKFPIPSDVNTPVTKTTNDYYGVLMAYGSLLDFLREQKPAYTTLLIRSGVLPLYNASCHPYLVASANWILGELVSCIPEIVKSLGHIHELFFLCSFQDMSSEIYSSLLKALAMQDMEDLSCYPVRVSAAGAIAQLVENDFFPPEWLPILQVVVGRIRDNGEETSIMFELLKTLVEAGGDIVASHIPHIISLLAEDILKHIPPSPEPWPQVVERGFAALSVMAQCWEESLPEEVVNDLTNDVVVSGRATIAKAFIDLLQEAWLRPAEVEGQVVELPPSCCIDDSSTLLTFIMSDVNGSDMLQKQKVSEVLLVWADLISNCHSWEEEEDSPIFNCIKEAACLHKRVSLMNFIVGSIPSPHSLPVRQHSIIEGICGFVSDAFLQYPSAIYRASSSVHILVHLSTYSTEEQHIMHAMTTTFCQSAFSCFRQKQSKPSPLWKPLLLAISSCYICYPDIVENALEKDQHEGFRVWALALFSISSSKFEHGPSTESEIKLTVMTLVKLMTRLLMGNQSSGLLWQCFAAVIEASVRLKEVQEEEEDVDENEDENTSDDESEDTDDEDSEDDVHEETEEEFLERCAQAAVELENGTGVEEAAEEDEEQEIELGELEEVDPLSILQSLIEKNHQILLQGPELPQELVTSFVNAFPETAIFFHR >KVH89701 pep supercontig:CcrdV1:scaffold_1547:7485:11564:1 gene:Ccrd_008305 transcript:KVH89701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPEAPSINYHPYSHALQIRVYQAFIFSIPILFSIILFLLFYLFYLKRRAYAISSSPPTLPPSTFNYATSFLPMEISRISCRRCCVCLGEFEMNEELIQVPSCKHIFHRDCIHHWLQSNSTCPLCRCCVFLAVGPLSLPVVGPPTEPHSSGDDDDPPTEGTTCEAQGTTTTTAAASSSNSNCLRVSSNSSHVSSGLSVVIHVGVGPQ >KVH89697 pep supercontig:CcrdV1:scaffold_1547:62504:66696:-1 gene:Ccrd_008309 transcript:KVH89697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPGLEQQEKGKSREQSQFNGVQLFLESSNSNSGHRSNSSTTETEMTQAYGEAWYWDKRYAKESTATFDWYQKYESLAPLLHLYVPPTTTHRRVLIVGCGNSVFSEGMSKDGYTDIVNIDVSSVVIDAMQKKYSGTLDSLLVSFLCCVTQECARELISNGKSLVAVWSQLKNKCCQDAGGDNVWYPSLPTTFVKRIALMGHKASCDRWFKPLNSLRVDVTATVCIPNPPPLDADILDKLLPGESSKRGTWELTCPVPVNSDGSLREGGPLENMDVHYIYVCTKV >KVH89694 pep supercontig:CcrdV1:scaffold_1547:79573:79988:-1 gene:Ccrd_008310 transcript:KVH89694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1138 MAKIIGSVAGTFVLAFSLDYIISDKKLFGGKTPRTMCKEWQEETEKMKSAWPRTAGPPVVLNPLTRQNFIVKSRNS >KVI11812 pep supercontig:CcrdV1:scaffold_1548:52104:61685:-1 gene:Ccrd_009770 transcript:KVI11812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primosome PriB/single-strand DNA-binding YSLRLNKALAGPKCPFGFDFFQYSSPSSSLVASSAVAGVAAAVMNAIRRAIIAERKRLLTLSPTSILQKQHASSSSTTRNTFRTLKSSKTRASEVRSQPAPAPAPAPAPASLPSTTSSSSNDVQKEPVTWPKPSEIPWQTKVVNSVSLIGKVKIPVQFEASSDGKNWAGTIISLDDASETSPSLPIPVIFEGDLAHIAMCHLKEKDYVHVAGHLTVDVPPFKLSEVQANVQVMVHSINFVQVSQSKEISTPGKLDKWTTKNSAIPKNNIFAQQSCKELNLMSKQPSTSSEMVVAFEGNHGQLLNGAESSPVHNSKEVGRLHSDRKADRQSIQAFWRDLLINSEQWLDNRESKLKGLVKAKYPDFKHKDTGVGLWLNNAPAERNQKAPDFKHKDSNKALWLGDAPAWALSGLPPLKAKTVDVDTKKAWRFAEKLMSFKADAKSTCSPTDN >KVI11809 pep supercontig:CcrdV1:scaffold_1548:5124:14133:1 gene:Ccrd_009768 transcript:KVI11809 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MKHNIISKPKQILDLIVCATQTPRSSVRFYSSKIQLRYQFRSASVSAKMATQTVCDSEKKLITHVIFDMDGLLIDTEKFYTEVQEIILSRFNKTFDWSLKAKMMGKKAIEAARVFVEETGISDSLTAEDFLVEREAMLQKMFPTCDLMPGTICLSVGLVKGASRLVKHLHAAGVPICVATGSHKRHYELKTQNHGGIFSLMHHIVMGDDPEVKQGKPSPDVFLAAARRFEGGSVDPSKILVFEDAPSGVLAAKNAGMSVVMVPDPRLDSSYHETADKVLSSLLDFNPADWGLPPFTDSAT >KVI11814 pep supercontig:CcrdV1:scaffold_1548:72000:78122:1 gene:Ccrd_009772 transcript:KVI11814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MKRIRVHVHNLLQPSTICRRFHLEARIEIGKMYASQFDGAAAFSGGGFMPSQATQTADPSPSVFSKNRDTQTLVPLTVKQISKALLSNDDKVNFLIDGVDVNNVKLVGMIFNKAERVTDISFVLDDGTGRIDCNRWYQSFIVVHEPVDTKEMEAMVDGMYVRVHGQLKGFQGKKQLVVFGIKPLTDFDEITHHFVECIYVHSYNTKLTKLQPSSSNQAHMPSSAVSTQSYQTAPSNQFTGQYVADGLRGIDKMVLDYLQLPGSLARESGVHQEELVKQLGIPLDKILVALNGLVDEGLVYSSIDDCHFKSTGNA >KVI11813 pep supercontig:CcrdV1:scaffold_1548:80176:90131:-1 gene:Ccrd_009773 transcript:KVI11813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin C/H/T/L MADFLTSTHRSKWIFVPQDLKEKYKAANHRAKQMLEKYGATRVDVDLDGSLAYPELQSDAKDNAEKHSRSKPLKIDEEQLLRAFYEFKIQDVCDAFKFPRKIQASTILIQQVSMNRLTCIYTACKAEENHVSAEELGKGIDQDHHVILNNEMISLGFDLIVYSPYRSIDGFINDMEDLHETAKMVADKTMRTEAPLLFSPGQLALAALRRSNEEHQVVDFERYLDSILSRQHPAHPVSELTVFLNAIDQLVNKLVTPTAADMKHIDRKLKYCRDPGSHEKYKKRKHRSKD >KVI11816 pep supercontig:CcrdV1:scaffold_1548:98932:101965:1 gene:Ccrd_009774 transcript:KVI11816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKREIVYEVSEWSQGAAELLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEILLKVVADKKSQEDKNRTTIGLESSETGHGRGTKRQRKTDHPNQPNISMDNSLTKIVECDPGYNEVRLCKNSACRAKLSQEDAFCKRCSCCICHQYDDNKDPSLWLICSSEAPYKGKSCGLSCHLECELQHEKSGISKDENNRGLDGSFYCVSCAKDTRRVDILCYRVSLSQKLLTGTLHYRNLHKIVNEAIQKLEADVGPLTGLPVKRARGIINRLSSGQEVQRLCAFAIESLDSILSNTHDPALKDPSILTPVVKYENVCPTSIFVILDFKDRSLDENLSGYNYIIWHRKAQDTDYPSEPTCLLLARSRTRFLLSSLTPSTQYVLKIILCQKSREFYSREFRFQTSTERAERSQSLATNSSGLSNPSSVEDENKTEKSNEPDKNAPDAVNNSIGNGIEFDPFVPMTEANLPITPCKAERSKDRNLARKSRPEALTNNLEGGSGKEQDGSSSKKRSGEEGQERDFGYYVKVIRWLECKRHIDTSFRQKFLTWYSLRASPEEVRIVKVFIDTLMEDPASLAGQLVDTFSEAITSKKCSKGLCLKLFH >KVI11811 pep supercontig:CcrdV1:scaffold_1548:67955:69662:1 gene:Ccrd_009771 transcript:KVI11811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDFSVHQSPSSVIKALSRDSSVGQSSCTYYRSTTNEGVPFDWEMQPGTPKHRPREEVIPPPTPPPAMQSPSFPRPNVDLYVESKESTPWRFWLWKKRLSKIIQRIRPKQKQKHNKKQTNEGPNNNYSRIEKEKQREPPSTPVPKNSTSRGGAPPRAGGRKKLIPLEDLDERSWLSFKDNIDREG >KVI11815 pep supercontig:CcrdV1:scaffold_1548:102265:107322:-1 gene:Ccrd_009775 transcript:KVI11815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRSFVISRKRFKGNSKFYLFAPKTIKPPNLVAPVLIEASPKPARRPCNPYTTEQWAIGGTETGTGSEIETTGIVSGTGTSTMTGNETGIESGTGTGTVKTGAKGRTRQTELVLATLGLGLAPRTTTDRVLDPRTGTVGEATTVPRLRTNRGSVASTGMKMASVLPLSFRSGSDVEKIQVKA >KVI11810 pep supercontig:CcrdV1:scaffold_1548:18540:23917:-1 gene:Ccrd_009769 transcript:KVI11810 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding, type 1 MQSDSSLETSSFDLITAALREKVIDTANASDRGDSMMPPALVMLLENRELLLMLTTSVALLVGFIVVSLWKRSSEKKSGKDLEPPKIVVPKRQQEQEVDDGKKKVTIFFGTQTGTAEGFAKALLEEAKARYEKATFKVIDLDDYAVDDDEYEEKLKKESFAFFFLATYGDGEPTDNAARFYKWFTEGGEKGVWLKKLQYGVFGLGNRQYEHFNKIAKEIDEGLTEQGAKRLVPVGLGDDDQSIEDDFTAWKELVWPELDQLLRDEDDKGVATPYTAAIPEYRIVFHEKPDTSAEDQSQTNGHAVHDVQHPCRSNVAVKKELHTPESDRSCTHLEFDISHTGLSYETGDHVGVYCQNLSEVVEEAEKLIGLPPDTYFSVHTDNEDGTPLAGASLQPPFPPCTLRKALAHYADVLTSPKKSALVALAAHASDPSEADRLKFLASPAGKDEYSQWIVASQRSLLEVMEAFPSARPPLGVFFAAVAPRLQPRYYSISSSPKMAPSRIHVTCALVYEKTPAGRIHKGVCSTLPADPKVPVIMIGPGTGLAPFRGFLQERLALKEAGTELGSSILFFGCRNRKVDFIYENELNNFVENGALSELIVAFSREGPTKEYVQHKMSHRALDIWNLLSEGAYLYVCGDAKGMAKDVHRTLHTIVQEQGSLDSSKAELYVKNLQMSGRYLRDVW >KVH92042 pep supercontig:CcrdV1:scaffold_1549:1329:2494:-1 gene:Ccrd_005928 transcript:KVH92042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MDGSYREVLSSRSSVVERYSGNNLFQSMLGFEIALEKQHREQRRLDYHTRTTLPKWLTYSKLERHACEGCLDMFNEGVNADEEVDTYLFEHLDKRDEKIAEYKVVRHLKESTIVCSCNHIGRHGYLCRHVFQVLLNADVESILEKYILRRWRRDLMPIELQNSRQRIYDVGEDQRRIINDIYDAFDDVLDILRDAKEKLESFVATIKELRDDLAKERTYEPSMKRKERGIEQILGFLRTDNIGIHPATGIRNKSCGTSKRLIVAVEKTVVKSFRPKRMCSGCKLLSNHDICNCLAKKK >KVH92043 pep supercontig:CcrdV1:scaffold_1549:4453:20824:1 gene:Ccrd_005929 transcript:KVH92043 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MRPLAIETASRCTIPLSTIVPGAGGGGGGGGSSGNNIFKSYNNKCAESLTISAPTSSYNYRHKSKQLNYRVNAASGSSGSGYDDSDNSTRQLAVLLEVEGVLMDVYRFGNREAFNLAFKKMGLDCAHWTEPIYLDLIRKSFGGEEEMLTLYFNKIGWPTSLPTNEKGSFMKRVLREKKNALEDLVMSKSLPLRPGAEDFIDDAHKEGVPIVVLASYSRSGENIARPIIESLGKDRMSKIKIIGNMEVEQSLYGQLVLGKGVSSSLDEQLVSEARKAASTEKQKIAKEVASVLKLSVDIDTTSSESIQKIVTALRAGAEYAEVPVPNCVLVAGSLPGVAAAEQIGMPCVVLRSRLTSRAEFPSANAILDSFGPPDLTISRLRQLRSS >KVH92041 pep supercontig:CcrdV1:scaffold_1549:37565:46274:-1 gene:Ccrd_005930 transcript:KVH92041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MGGNEFKKPLLNKLYYEGCPGCNLDQYKELNTGLPIKEVLWIWTVMLCNALPISSLFPFLYFMIKDFHIAEDEEDISYYAGFVGSSFMVGRALTSVFWGVVADRYGRKPVIIIGTSTVVIFNTLFGFSVNYWMAIITRFLLGFLNGLLGPIKAYACELFREEHQALGLSSISTSWGIGLIVGPALGGFLAQPAEKFPSLVSPDSLFGRFPYLLPCLCISIFALVVTIGAIWLPETLHFHKKDELESATHDAMEKKDEENSLLSLFKNWPLMSSIIVYCVFSLHDMAYSEIFSLWAVSPRSLGGLSYTTQEVGTVLSIAGLGLLIFQTSLYPIFEKMMGPIMVARIAGVLSIPLLSTYPYIAMLSGLTLALVLNFASVLKNVLSVSIITGTFMLQNAAVDQRQRGAANGISMTLQSICKAIGPACGGALLSWSQRRQNAAFLPGDQMIFFFLNVIEGIGVLLTFKPFLISNHY >KVH92040 pep supercontig:CcrdV1:scaffold_1549:70197:71114:-1 gene:Ccrd_005931 transcript:KVH92040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MLRTKIFLQSFSCIFQIRHRFLHLTLIWSSRSSKLAINTSNPVAQLLDDGNLVLKDEISGNLIWQSFDHPGDTLLPGMKLGVDLIADTHRNLTSWKSSDGPSPDVFARSKSSTICDLSLIFVIEKRVFVDSLEC >KVH99717 pep supercontig:CcrdV1:scaffold_155:317145:320203:-1 gene:Ccrd_022051 transcript:KVH99717 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II MALSTTNSLLPTKSFQMQSLPPPLKSFPTTTPTATRLIQPIFAVHSPDPSKTPVDKSSSSSKPSSPATAATSSTTSPTLTATTKTSDSKSPKQWSIDSWRTKKALQLPEYPDQVELDSVLQTLDSFPPIVFAGEARHLEERLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRVILQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDSFEEKDGVKLPXYRGDNVNGDAFDLKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEQSEQGDRYLELASRVDEALGFMSAVGLTVDHPIMTTTDFWTSHECLHLPYEQSLTRLDSTSSLYYDCXAHFLWAGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPNELVKLIDILNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPSGLKTRPFDAIRQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRMGVKQSLAL >KVH99710 pep supercontig:CcrdV1:scaffold_155:76838:83860:1 gene:Ccrd_022035 transcript:KVH99710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MKNIAAEEGINHALLQSPPSTVAGDAKSKFWIESKKLWYIVGPSIFSRIASFTMNVLGMASALETLCGQAFGAKRYNMIGIYMQRSWIVLFICCLITLPLYIFATPILKALGQPDDIAELSGKASLWLIPQHFTFALLFPMQRFLQSQLKTPVLAWVSLVNLVIHVLITWLFTSVFKFGLVGTVVALDISWWLNVVGLFVYTLFGGCPLTWNGFSMEAFSGLWEFVKLSAASGVMLCLENWYYRILILMTALVKNATIAVDAVMNINGWEMMIPLAFFAGIGVRVSNELGAGNGEKAKYATVVAILHSTAIGLVFCVLIMALHNKFALIFSSSTLVLEAVDHMAWLLAVTILLNSIQPVISGVAVGSGWQSWVAYINLGSYYLVGVPLGIVFGLVLHLGVEGIWGGMVFGGTLLQTIILGIITLRCDWEKEARKAVLKYSNLK >KVH99721 pep supercontig:CcrdV1:scaffold_155:364013:366043:1 gene:Ccrd_022055 transcript:KVH99721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGFEALFLEFFFWSRPFLQTPLSSICVSFDLIETDIGFRLLWFDLAEATPKSMLRLMGLKGLTLYHLKSHLERYKLGQQAKKQNNGDPNNQNNEMPWISRMQAWAQLEVQQENYRTARQLFENTQLTDSIYFERSFVDSLDADVGIDAFTVTVKVEIELLRI >KVH99722 pep supercontig:CcrdV1:scaffold_155:347490:353139:-1 gene:Ccrd_022054 transcript:KVH99722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MEEFVNHVVLGPYCWQTINILEWCICIGVRNPGHIDRMLRFLVHRSSGALREIRSTSRVSTIAGSLRTLLIPWCDISNSIIERVAEKLSGIIRMDLSYCYKIRAEGLSVIGKNCQRLVGLRRNMNPEKEMAGQDEEAAAIAATMPRLKHLEITYNRVETKSVMKIIESCPDLELLDVRGCWDVVLNRERVEKLGPKVRVLGPSVRNGLRIKDDDDDDDDDDDDDDDDDDDDDDDVVVV >KVH99724 pep supercontig:CcrdV1:scaffold_155:304778:309125:1 gene:Ccrd_022049 transcript:KVH99724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MERYELVKEIGSGNFGVAKLVRDKGTKELFAVKFIERGKKARFFFQQLISGVSYCHTMEVCHRDLKLENTLLDGNTAPRVKIYVWSCGVTLYVMLVGLYPFGDPDDPKNFRTTRILSVQYAIPDTVEISLECRHLLYRIFVANPEKRITIPEIQMHPWFLKNLPTDLMVEGSSQDTNSNDTYQTVDEILSIIQEARIHPGMLNDGSAQLLGDSMDFDDLDESDIEDIDISDDYVCSL >KVH99720 pep supercontig:CcrdV1:scaffold_155:367653:370326:1 gene:Ccrd_022056 transcript:KVH99720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MMSSMRLFVRAYESYKPYIIMLVVQVIYAGMALLSKASISSGMNPSVFVVYRQAFATLFLAPVAYFFERKNVPQLSYTLTCKIFFSSLIGITICLNMYYHAFNHTSATFAAATTNMIPSITFVIALILRMERMYIKELHGWAKLIGATASFSGALVFVFVKGPTFVKELQGGEVASKTNQFSSKLEFLKGPLLMLCSNFLWSCWGIMQALIMKEYPAKLSLITLQCFFSLIQAIVGAVAMERNLSSWKLGWDINLLSMAYCGVIVTASTYSLRIWVIEKRGPVFTAMFTPLGLIITAVVSLFLGKETLYFGSVCGAVLLAGGLYCVIWGKSREVESKISGQKPEEETTLESIKAQEIDAPEIKKSRTAVS >KVH99723 pep supercontig:CcrdV1:scaffold_155:291090:294241:-1 gene:Ccrd_022048 transcript:KVH99723 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MASPARDENVYMAKLSEQAERYEEMVEFMEKVSGALSDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIKNYRSKIEKELSDICDGILKMLDKKLVPSASSGDSKVFYLKMKGDYHRYLAEFKTGGERKEAAESTLNAYKAAQDIANTELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDEGGDEIKEAGGAATKQSEEQKE >KVH99716 pep supercontig:CcrdV1:scaffold_155:324985:333558:-1 gene:Ccrd_022052 transcript:KVH99716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEARVYLKYGILNPFLFNSSSLHPRNSISLRKDSSHTHSITYTYEEVKEMRCNLVWTPKFTFDPPRFKMCREREQTNLLVLHKEGVMKSYIVLFLLMASSYTRIHLLQTKHKEKQKPRSYNGKVLIATTSTDGKCRVFSTFIKGVDAGYVYKVSEALSSCKLHIFTETSALTLLKVGKIFWWSHNSMIYFVDEIGPSPSAQSVVLRDLPLHDIDFIQQERLLCEA >KVH99713 pep supercontig:CcrdV1:scaffold_155:105741:106814:-1 gene:Ccrd_022036 transcript:KVH99713 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MGQSKKLKNLHSILKDKAKIIKATFTITNRTTTSIQIAVIRATTRSTHSPPPDHRISSLLSVAHTTRHSASACTSAIIHRLHHHHQPNAYVTLKSLITLHSIIAGGSFVPKDHQSFRPTSAADHHYLNLSRFIDNTDTQSREFSLWAQWYARFLESNLSTSKVLVCSLSSKAEIDKKKENVKYSLFMDLFKEIEALVSMIEEICRAPRSLHCQTNDIIYEVMRLVGEDYRMTQYHTMIRLTELSTRIHGLSTNELSELTLYLERLEGCKERLTELFANRRRNESFWELVTESMAKLLRLKEDKELKSVSRRMIEYTTESTQLKKQLREASRPLGLLPLGDGNHWLSVDPYNLTFSLA >KVH99730 pep supercontig:CcrdV1:scaffold_155:275034:277331:1 gene:Ccrd_022045 transcript:KVH99730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKTDPIGTRKVVFTINTQFLFTLLINRSSRPTTNIHR >KVH99706 pep supercontig:CcrdV1:scaffold_155:211351:215214:1 gene:Ccrd_022038 transcript:KVH99706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPQETDDYIRESIEYSLGLPVSTRTLELKLRSSYETQRRLHDQYFYLKSKLKEKDETIERVRAESIMNAQAVKKFVEENQKLATECVNLLSQCNKWEKECLLYDHDREALMDFGNEADNRAKEAESRVHDLEEELSKLSEELLFYKHQSEGKQISEETKTASTEHFLVDTLLSNLISNDNVASTAHSFLEANNGVEVCQKMLEMWESLKPSTQKVLALASQVKILQKEKDHLRNNLTTAEEEVKVLFEENNILDKENRRLMKLLQKERQLNDSGGKHSSASMKNNKRKSSPRDCSPIEKKVDFIEAGSPRHPLSPLRHNTPEFRLNKK >KVH99731 pep supercontig:CcrdV1:scaffold_155:277538:283284:-1 gene:Ccrd_022046 transcript:KVH99731 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase, subunit VIb MAESDKTNSLSEDYLLKDIEEKLNVGTEPGDKSAEKDAVTADPVESPAATDSVESSDNSAVSESVTASADSSQGSDAAAEENVVETDSSDKDVPVPPPAATEESSENAEEGNIDEEKPEIKLETAPGDYRFPTTNQSRHCFTRYVEYHRCVAAKGEDASECDKFAKYYRSLCPGEWV >KVH99714 pep supercontig:CcrdV1:scaffold_155:397358:401946:-1 gene:Ccrd_022058 transcript:KVH99714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MRTYFEQFGHILEAVIIADKITGKSKGYGFICNLFFRIRLSSPMQRLYHHHQMYGPTPYYYGYSPTQVPTRRGGALTPQAQPIHHSSYLYYATHQSGPSDYPTPPPRQLFPSPPSPSPTGKHLS >KVH99726 pep supercontig:CcrdV1:scaffold_155:227455:227808:-1 gene:Ccrd_022041 transcript:KVH99726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSFLTVLMVALIAGSALAQSPTVAPTVSPTATPTASPPSPVSAVPTSSPTVAPLASPPAPPTSLAPGSPIGLPPSISSTPTDSPTSSPPNSASLNRVTVAGSVAVVVLAAAFVL >KVH99725 pep supercontig:CcrdV1:scaffold_155:223217:224454:1 gene:Ccrd_022040 transcript:KVH99725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MATYDIGMMGFMVILSCFTCGLAKVYIVGDTAGWALSVDYTTWTGDKTFKVGDSLVSSGDYTTCSVGNSIASYNSGTSTIALNTTGNHYFICGVVGHCSGGMKLTVAVTGADGSPSAAPSSITADSPSTSTTLGNTLTPATTAAETRNIPAESSSSAISPFAAAIFSLVALLWELVLA >KVH99711 pep supercontig:CcrdV1:scaffold_155:59998:61008:-1 gene:Ccrd_022034 transcript:KVH99711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRKLTTAYPYYSPPPPSPPPPTPACPPPPPPPPPPSPPPPACPPPPPPPVPCPPPPPPPQVPCPPPPKHGHHHHHKTPPSPTPETPAYPPATPPKPSHGPASPPKGPKPPTPSGPASPPGPEKPKPPAYGPASPPKGPKPPTPYGPASPPKGPKPPTPYGPASPPKGPKPPTPYGPASPPKGPKPPTPGGPASPPKGPKPPTPGGPSKPPKPSTPTWPPNAQPPLPSYPPFASPPQPYNAVPPSGNILTPPTPPKGSGSDHTVVIAVCASLGGVFFLAFLAAGLFCLAKRKKKPILVPAAAPYGHGGGEGHGHEGGGGGAGGHGGEHSSYGQH >KVH99715 pep supercontig:CcrdV1:scaffold_155:343317:346442:-1 gene:Ccrd_022053 transcript:KVH99715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFILQVRYCGVVQERIFRGWVPTFPGYSAQGACKFGCYEFFGPKKIMGTYLARWLRTLLIRWCDISDSMVEQVAKKLSRVTHMDLSYCCNIRSRGSTAIGKNCKGLVTLQRNMHPQDVCPQDEDAAAIAANMSKFKHLQMIFNCKRTKSVKKIIESWPDLEELDMRACWDVALSPLAREVCSQG >KVH99719 pep supercontig:CcrdV1:scaffold_155:388672:392492:1 gene:Ccrd_022057 transcript:KVH99719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKLSWQTLKKTLMGSLLESGGTISSLHVGRDEEAENLSGALRIETGRHALCCFRDEGSSPGEQWYWTWSFKLWTY >KVH99707 pep supercontig:CcrdV1:scaffold_155:32911:35414:1 gene:Ccrd_022031 transcript:KVH99707 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MTDDRSEMSEDDAGDGTAARSRNSAAGDYFIPYPDQVLENVLENVLVFLKSRRDRNAVSLVCKSWYRAEAYTRSDVFIGNCYAVAPRRVTQRFRRVRSVTIKGRPRFADFGLVPRDWGAHFSPWVSTMAIAYRSLEKIHLKRMSVTDDDLAVIAHSFPTFKELILVCCEGFGTSGLAVVVSDCRRLRVLELIEDDVSDDEVDWISCFPVDIPTNLESLTFDCVESPINFDSLEKLVARSPFLKKLRLNRYISIAQLYRLIIRAPQLTHLGTGSFSPPEQQQQQQQQQNDNNRDSDFVSAFAACRGIVCLSGFREISPEYLPAIVPVCANLTSLNLSYANIDAEQLKPVIHLRELRVFPIDATENAEVPVSDVGLLAISLGCRKLRSILYFCQQMTNAAVIAMSKNCPDLVVFRLCIIDRYRPDHVTGEPMDEGFGAIVKNCKKLTRLAVSGLLTDRAFSYIGQYGKLVRTLSVAFNGESDIGLKYILEGCTNLQKLEIRDSPFGDSALWSGLHHFYNMRFVWMSSCRVTRQGCTNVARQLPRLVVEVFRGDGVEGGERGDFVDTLYMYRSLDGPRADAPHYVNIL >KVH99709 pep supercontig:CcrdV1:scaffold_155:51572:53036:1 gene:Ccrd_022032 transcript:KVH99709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLKPAEAIATVATKVSPSAKKALLILNCILLGLGNCGGPLSMRLYFIHGGNRVWLSAFLETAGWPFILVVLIVLYVCRRCSSTTSVTVIHMRPRVFFAAAIIGVLTGLDDYLYACGVARLPVSTTSLIIASQLGFTALFAFLLVKQKFTPYSINAVVLLTVGAAVLALHTSSDRPNGESKAVYLKGFFLTMAAAALYGLVLPMVELTYNKAKQAITYTLVLEIQMVMCLFATIFCTIGMIINNDFKVIPREAMSYSLGKTEFYIVLCINAIFWQCFFLGAIGVVFCASSMLSGIIIAVLLPVTEALAVVFYKEQFKAEKGVALVLSLWGFTSYFYGEYRSMKKMKNKTQSFDDESMELREINSSSI >KVH99708 pep supercontig:CcrdV1:scaffold_155:59967:60739:1 gene:Ccrd_022033 transcript:KVH99708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLLVSARPSVDRSLSALHRDHQRRRLRLHDRKSPAAKNARKNTPPSDAHTAMTTVWSLPLPFGGVGGVRMFPDGCGGLAKGGYEGRGGCAFGGQVGVLGFGGLLGPPGVGGFGPFGGLAGPPGVGGFGPLGGLAGPYGVGGFGPLGGLAGPYGVGGLGPLGGLAGPYGVGGFGPLGGLAGPYAGGFGFSGPGGLAGPLGVGGLGPLGGLAGPCDGFGGVAGG >KVH99705 pep supercontig:CcrdV1:scaffold_155:216048:219084:1 gene:Ccrd_022039 transcript:KVH99705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MALLRFLCLPSASIFHNQQHQHFFQPINPNPSYLQSLSLSASLPNRFFKTHRPNFLVFQTQSAIEAPQVENSPDTVEQLEDEASRTRLLAQNVPWTCTADEIRPLFEKYGTVVDIEVSMYSKTRNRGLVFVSMGSHEEALAAFTNLQSYEFMGRNLNLTWAKPRKTPKPSAPAQPKLVPVHNLFVANLPFQARSKDLMEFFSAENANVVSAEIIFHEKPRGSAGYGFVSFNTKQEAEAALSAFQGKALESKDESSKSETEGEQAQTAD >KVH99732 pep supercontig:CcrdV1:scaffold_155:287615:288517:1 gene:Ccrd_022047 transcript:KVH99732 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MMDKSCHSSGEESSAINPNLDSSTGIISGKDQYLKHLHKLSHKISKPPIRKPFEFDHQPPVILDESQPSNLHHHHHQQQQHQPPVYNVSKSDFRDVVQKLTGSPAHERVTNPVQPVKPQSSRLQRIRPPPLEHVGNRPPPNAVAGGVLPQNPSFRQGHVSGNFFNNQRPQQQHHQPLSPLPPLPAVHATAESPISAYMRCFQTNGTSISGPSPRWNSFTPPPPPPPPLNTTVQPRSPTAAATDIPAPSSPLPFGCLHSPKSQYPMLSPGFPFSPTNQFGFQQLTPLSPTPPAPSPRWKSL >KVH99727 pep supercontig:CcrdV1:scaffold_155:232521:236867:1 gene:Ccrd_022042 transcript:KVH99727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27/L41, mitochondrial MALGLILGIGRAYRRKRTSSLNILTSKTGPRDYYKGKNCKPTGFHTRKGGYVVVQEKLPNYVVPDLTDFKLKAYVSQCAINATTDAGTGSSK >KVH99718 pep supercontig:CcrdV1:scaffold_155:310655:313088:-1 gene:Ccrd_022050 transcript:KVH99718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein PAFVLLFNHLQIKAFVLLFNHLQIXLRPTLQPSSNQGLRPTLQPSSNLKHRFQVKSIQMPRFTMTILHFTILVLILSTTFAGSESAQSLSLPACPDKCGNITIPYPFGTKKGCYLTKSYLVNCTTLRLSNTRFKLLHISLNGSMRGFLPMGYRCYNKTRGLISASEPRIALSRFPISSTHNLLTAVGCDTRANIKAYEGEDYITGCLSMTGCDGLTNGSCLGMGCSQVPVPYDLTSFRIHAQSNTGKVGKWSYNNCTYAFLVEKDRYTFVETDLDNMRNRSFPVVLEWSVGNTSCKIAQMNKTTHLCKENSVCYDDSIISFNQGPKHDCVHACGNTNGSYNCSCPLGMGGDGRKDGTGCSYLEAAKSLKSSVYLGQYQHGNRGFSCVNTYLILGSQTKANHEEQGEVLQEKRRIDLAETTL >KVH99728 pep supercontig:CcrdV1:scaffold_155:244556:244978:1 gene:Ccrd_022043 transcript:KVH99728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0503 MMNNRRSRPSSSSSMKCKKHPKHQQSPGVCSLCLRERLSKISRSSSRVITYACSSSYSSSGSISSISSAASSGALSNTASPMHVYRKGPNLSFLKSKLKKSKSMVFVTERVMEDDVKKKNGFWSKLMHSRTMREMLTTKA >KVH99729 pep supercontig:CcrdV1:scaffold_155:271800:272929:1 gene:Ccrd_022044 transcript:KVH99729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MMKQRHKVERESNIREVGEGIEYLHTGCVPSIIHRDLKTSNIFLDDNMTAKVSDFGLSKLAVDGNSHAKLHIENGGIQRIIDPALRDEYDVQSMWKTAEKALMCVQPHANMRPSMSEVIKEIQDAISIERGVNGGSCNEILRSSFHSSLNMGLLDAGIDPYLSFHDSITLPSAR >KVH99712 pep supercontig:CcrdV1:scaffold_155:169168:171911:1 gene:Ccrd_022037 transcript:KVH99712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSCSHFIAYDILEREMALETRLFPQGQIGNFRYDYVLPEDHIFGGFLDGTNNINHHQDSPSAHAYWGNYSSSSPVMHIKDQWDPKSSPEDCTGGDGCDGVILGSSPGNMKVESPTATTPIATATAVGRRKRRRTKSGKNKEELENQRMTHITVERNRRKMMNEYLGVIRSLMPSSYAQRGDQASIVGGAINFVKELEQKLQTLEVQKRGNNGYPPQPPPQPFVDFFAFPQYSLRAAGDGGAGSGGSTKNRPPAMAEIEVTMVESHANLKILSKKRQRQLLKMVAGLQCLWLTILHLNLEDGCQLSTVDEIATAINCLLCRIEEESL >KVH93574 pep supercontig:CcrdV1:scaffold_1550:30233:31509:-1 gene:Ccrd_004374 transcript:KVH93574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKYILKIDVVDEMIEGERNLGLGGGCVVALASATPIYLLFPQLDRIINTLHDPLVESKLTETKMEVIEDDLFFADLSKRISLLIMDDDEDPTLHCPPVSFQVISQTIHPIHHQVPSLHDQNGRREIKGTGVFIPQSSTNRRSKHSRSKSSNTRIQTQRHVDHASSGHFPHEPYHNHKNSTNPNSYNSLNHKRCY >KVI08842 pep supercontig:CcrdV1:scaffold_1551:122149:124379:-1 gene:Ccrd_012780 transcript:KVI08842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQMRKSEVDCVETELSDAKKAVQDLALKIEEANSRAKGLQRKPKWRKQEEAETSLKNKEDAQYAEVMRELEHMKQELGKLKLDMARVLKEKKHAERATKAWNSKAATFSASIGLIKKEIQELGEEQALTELARMEAVKECKAIEAWRKEDADRSQILLLEAKKKPEENEMTTELQVTLSDINLLDGELNMAKEMEKMARKQEGSPLNTITDELEAAKRELASIKEEGFNFMTSMDVIRDELKHVRDETARLQKAEQKRELTVQNLNSKILRAKAKLEALTASERKSNTIASHLSVTLQQLSAEAEITKKEKDVIMEEIGNMKLESQKTESEIQLAEERLQAAMEELKAVKSSESKALDELRNLINTTEEARGMVSMNSSIIRITDFEYEYLKGTASEAAEVADKKIVAAQAWVEAVKANEREILMKVEMAEREMKESSVEAVEEEEEVEVGRRGKSWEKAVASPRRSVVYRVGNMGSAKRPKSQKLRSPAARYTSKSRRGKMMPKLRKLFSNKNIEMDD >KVI08843 pep supercontig:CcrdV1:scaffold_1551:140177:144852:1 gene:Ccrd_012781 transcript:KVI08843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGSNEFVSSILSFDRDDDDDTSNYPWNSGGELQLHGTCAVCGCNLVIASTVGRLMREQRPCFCGYLRNPSLRQFVSPATAKRVASQCGVSIPQC >KVI08844 pep supercontig:CcrdV1:scaffold_1551:146170:146457:1 gene:Ccrd_012782 transcript:KVI08844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNNLVYVVVVALLMVTTVHKTDAVVCNLFELSPCLGPALFFVPPSGSCCGRLREQEPCLCEYVRTLTYGRYLSSFGARRVVQACGMVTPQCYG >KVI08853 pep supercontig:CcrdV1:scaffold_1551:8667:15024:-1 gene:Ccrd_012772 transcript:KVI08853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MEALNFELARPRTGDWSVLAVRKDVIPSTGTPELLNFGSKDERSKMERWGWAGRFLIRLPNSTRRLAKHSIKSEHLKFIASMIFYSVCHKHQKHEQKQKKTNAGMHTHPSLSLPSLSFSPLTGMGCGFLSQTVEIKVKMDCDGCERRVKNSVKSMKGVKSVEVNRKQSRVTVSGNVDPNKVLKNVKNTGKRAEFWPYIPYNLVSYPYVTQAYDKRAPAGHVKNVVQALAAPNTADEQITHLFSDDNPNACSIM >KVI08852 pep supercontig:CcrdV1:scaffold_1551:17961:29955:-1 gene:Ccrd_012773 transcript:KVI08852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase-like, plant mobile domain-containing protein MAADSSPDFDRTDISPMVPSDDDSMVSKRKEVASNELEWSRRKKKKKQGGTPRPACSWVHFSREFIKEYSASHPESSGLKVATKAASAAWKVMSLEEKEKYTKRAREVWDDYLSSAPAREPKPRKQANLVTRCSPGRLVNVMKRFTPDQKEAVKSMGFGSLLDLKCRTLRRSLCLWLLERFNTIRRSLEICGKRIPLSPRDVELVMGLPSSGKDIVNSGPDDLVADLRRKYNASNRGISVRFLEERLGEPEAGDDFKRAFLLYVLGTLLCPTARLDVSPSFLHFLTDMDSIHEYNWAKFLLDKLVREVARFRQGKQRAVGGCLLFLQLFYYESVAIGGPCELGPVVIPCLSLWTEENISEREKQEKELGGYGSGEVICKERGLGLSLLVEKAHVDVLPLREVAVRADRSILAPQEENLGSVAIAYKDESTMPTKIEGYGFNNTIDYSNMNDHNDVMTYGQSTCPLSSCNFTGLYEHLSDHFSTKHWDSGRRFQYNCPLPVSLGMDETFLVLQAEEDGLLFLLNKGTENIGHTIMVTCIGPSSSNERFLYYLISERGSSSLRLKSHTQNLPGRVDGVPLADFLLVPFGYLSSTGELNLEVCIWNSPDLVGDRF >KVI08847 pep supercontig:CcrdV1:scaffold_1551:92260:92736:-1 gene:Ccrd_012775 transcript:KVI08847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNYVSCTLTKHSKGTKVIYLNGEIRRVQQPIKAAELMLESPNTFLVNSKSLRIGARFSALNADEDLEIASVYVMFPMNRLNTVVATADLGALFLAVKSGAKKIGNVRIQPENAPTPPRLSLENIEELSSPEFKHMISMCRSKKPLLETIAEEPISSR >KVI08845 pep supercontig:CcrdV1:scaffold_1551:116382:120965:-1 gene:Ccrd_012779 transcript:KVI08845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase/chloroperoxidase, N-terminal MSGSRCWRVTGGRRREGGDGKEATGGRRREGGDGTAATERNRTSMMDSQQATHTVRSHGLVVARTHMHDWLILSLLVAIFIILNLIHPFHRFVGKDMMDDLKYPMKDSTIPFWTVPVSLTFHLSTNIVKLTNGGFSYFMFLYAVFLPMAVFLAFYFRRRDVYDLHHAVLGLLFSVFITGVLTQVIKDAVGRPRPDFFWRCFPDGIDLYDRWGDVLCHGDIDVVRQGYKSFPSGHTNCLPIHSRFTIPNELSSTRGCRTQIFCDFIAGSFAGLGFLSLYLSGKIKAFDRQGHAAKLCVVFLPLLVASLAAISRFFAPPYHSEGINIGERNFFNPNCFFFTRMLTPLTCGCKGWGPYAFFRALEESCANTSRVSGPPATNNTMGEVEIQQHERNNNSADDLESGT >KVI08846 pep supercontig:CcrdV1:scaffold_1551:115228:115677:-1 gene:Ccrd_012778 transcript:KVI08846 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MKYNPRVTSSRRKCRKAHFTAPSSVRRVLMSAPLSTELRSKYNVRSVPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHVERITREKVNGQTVNVGINASKVVVTKLKLDKDRKSLLERKAKGRAADKSKGKFTVDDVAAGASLQEID >KVI08850 pep supercontig:CcrdV1:scaffold_1551:101697:103732:1 gene:Ccrd_012776 transcript:KVI08850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate enzyme, central domain-containing protein MADKSTVIDSNLLVAKALARAGIDRMFGVVGIPVTSLANRAVALGIRFIAFHNEQSAGYAASAYGYLTGLPGILLTVSGPGCVHGLAGLSNAAVNGWPMVMISGSCDQKDFGRGDFQELDQIAAVKPFVKFSVKAKDIKEIPNSVFSVIDHARSGRPGGCYLDIPSDVLHQTVTESEANKLLDDAESSRKIESVKSVSIDEIAKAISLIRKAERPLIVFGKGAAIARAEGELKELVEKTGIPFLPTPMGKGLLPDSHELAATAARSLAIGKCDVAIIVGARLNWLLHFGEPPKWSKDVKFILVDIDEGEIELRKPYLGLVGDAKRTLKVLNKEIKDDPFCLGRSHPWVEAITNKTKENVLRMEAQLAKDVVPFNFLTPMRIIRDAISALGSPAPILVSEGANTMDVGRSVLVQMEPRTRLDAGTWGTMGVGLGYCIAAAVASPDRLVVAVEGDSGFGFSAMEVETLVRYQLAVVVIVFNNGGVYGGDRRSAEDITGPFKDDPAPTSFVPGAAYHVLIEAFGGKGYLVGTPDELKSALTESFSARKPAVINVTIDPYAGAESGRMQHKN >KVI08849 pep supercontig:CcrdV1:scaffold_1551:108667:110294:-1 gene:Ccrd_012777 transcript:KVI08849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INFSNTPSLFIPIPSPPNLFPYSICSCRDKHHLLLCIMEMEKKEIGSSTDNDGGNLSGNLFLSPSASHNDQLEFDFSSGELFNPASFCTSIFPPKFIPQSQLSFSSPSDCSFDDDAFSANAIATENRLSQASFILEYQQLYNCYTMCLASLQDSVKEVDALRQENEALRLANNDLLQRLNLFSQASMQNCLVSSVRPSPSPSSTLVGDFNRLGIGAGFCESNASVEKVPSVSPTSVIEPKQFARHNGERVSMPKSISVRSRGYLKSVSSQRPSRQQATTALLGQSQRVRVPGEKKSGEGLEFEVYNQGMSKTELCNKWQETGTCPYGDNCHFAHGISELRPVIRHPRYKTEVCRMVLAGDICPYGHRCHFRHSLTEEEMLLAVNPR >KVI08851 pep supercontig:CcrdV1:scaffold_1551:3069:4172:1 gene:Ccrd_012771 transcript:KVI08851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLIFIQKTILPFPGITFFAVLVGVVSVFSIVAFLCGSHGQFKRSNTYKREKNTVHLGDKKYSKLGTGLSNKALLMAKMISWRKVDEGGCEDDDDDNDEEAVWKRTIIMGERCRPLEFSGKISYDENGNSIAESPRKHVASIDNGVVGTPKS >KVI08848 pep supercontig:CcrdV1:scaffold_1551:65222:70722:-1 gene:Ccrd_012774 transcript:KVI08848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase domain-containing protein MAGIRLPPEDTDISQARALSTADLISDDDRSVAADSWSIKSDYGSTLDDDQRHADASEALAAAMYRAASDYSSDKEEPDAETITSMLGYQSYWDAAYADELTNFREHGDAGEVWFGADVMEMVASWTKGLCVDISQRQVQNHHDNDDSEFVSQEEKEIAAWSVLDVGTGNGLLLQELFKQGFSDLTGTDYSEGAINLARSLARRDGCTSIKLLVDDVLETKLDKKFQLVMDKGTLDAIGLHPDGPVRRIMYWESMSRLVAPGGLLVITSCNNTKEELVQEVENFNQRKIGSSQEEAPGDAKTRRHPDFFCYLDHIRSYPTFVFGGSVVSRVATVAFVLGRLV >KVH99193 pep supercontig:CcrdV1:scaffold_1552:32730:36249:-1 gene:Ccrd_022569 transcript:KVH99193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKISSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKVAASVAKKEGEEAEGATEEAKKSNHVVRKLEKRQEERKLDPHVEEQFSGGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA >KVH99195 pep supercontig:CcrdV1:scaffold_1552:11791:23560:1 gene:Ccrd_022567 transcript:KVH99195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MWKLRWLVSLLICSLSLAAAQGSGSKTSLWPTLNGDPPLVVARGGFSGLFPDSSYNAYTFALQTGVPDMILWCDVQLTSDSFGICVPNLNLENGTTISSVFDKRKNTYPVNGVPTTGWFPVDFSIDELDKVFLTQGILSRSPDFDGAFNILTVEDVAGKVKPPGLWLNIQHDAFFSQHNLSMRNYVISTSRKVVVKYISSPEVNFLRSIVTRFRASKTKLVFRFLGQDETEPSSNQTYGSLLTNLTFIKTFASGILVPKSYIWPVGGDLYLQPSTSLVLDAHKEGLEIFASDFMNDVQLPYNYSYDPVAEYLNFIDNGKFSVDGVLSDNPITPSLAFATPLIISFEGSSGDYPGCTDLAYRKAVSDGADIIDCPVQMTSDGIPICLGSINLLDRTTVADSDFSNLTSSIPTLQPTGAAIYTFSLTWSQIQSLRPAMFNTFKNYTLFRNPKAKNDGKFMSLSDFLDFASNATSISGNAPYLAAKQGLSVADAVMDVLNNSTLKNQRTKKILIQSPDGGVLKLFKAKSNRHELVYEVDENIRGALNTTISDISKIANSVVIGKQSVFPRNAGFLLGQTDVVAKLQAFKLPVYVHMMNNEFVSQPWDFFSDPYVEINSYVTGANVNDQTPPYMIPAFPGQLLTLMSPQSMPPAEAPNPILTEADVADAPIPPAVKPPPPAASNTSTSPPSASPSGQPPKATVWILLSSLAIIVATFLML >KVH99198 pep supercontig:CcrdV1:scaffold_1552:65165:71379:1 gene:Ccrd_022572 transcript:KVH99198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP01589, plant IAKQLQQIGKAVAERVLSTAALSPAIIFFRLHRRKLQVKFVEITKSTFSKELLFVNKPYWETTRFPWEDVFKYHLTEMKNNQESLDTPETQPLAQASHESQSDQHNNAAEVPVPDSGSVSISSNDNRKVSREDIELVQNLIERCLQLYMNRDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIILFNHLLEHQYHLMKYPVPPKLPVASIHNGIHPMPVNNLPMGYPVLQPPPMPTTGQPHMDSMGMSSCHVVNGVPAHSNFHPMRMNSGNESMVMDNSAAGVTPIPTNGAISPMSDMPMSPTSVASSGHFPFTASELSGMGVDTSALDTAFTSDVASSVGLHLPPDNGNSRSFDLGALGNYPGSPFLPSDSDILLDSPEQEDIGKNTARPDYDFIRPDQTMDEFFVDSVPGPPCSQSDEDKS >KVH99201 pep supercontig:CcrdV1:scaffold_1552:99096:104129:1 gene:Ccrd_022575 transcript:KVH99201 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEEEASSNSHCGHHLSSGGDAAVSTSTGGGGARYKLMSPAKLPISSSTSITIPHGLSPTSFLDSPILLTNVKPEPSPTTGFFLKSQLMQRYSSSAAFLLNAKASAGEELDVSNSSLYESRQHMGSAAVSGCSSAALQISTSLMYSLIPFPGFTHQFIRMNAYPPLCSSFPVSCFNLQQSEPSGQKQNQSESRPNALSSLTNCEMAAPKEQSLAAPVCMLAPGVAAFHGETNGVGVTKSRLHQSDLCHKDAGPLVLFDRSSDDGYNWRKYGQKVVKGSEFPRSYYRCTHPNCEAKKIFECSYTGQITEIIYKGTHDHPKPQPNRRFTAGALMSIQDENDDKRLSVPGQVGLSATSGQVHNFETCGTPLQSPNQANDNMDGTVPQLNITNDEVEDNPNLKRRRTDFGTLDVTAVVKPIREPRVVVQTISEIDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSAGCSVRKHVERASHDPKAVITTYEGKHNHDLPTAKSSNHDIAGNGNRKIRSEAENAICLDLIVGNRFSGKQPQALNAEAIHSQEHASNSSFNRVFQSACYGMVNGGIDVYGYRENEFGTHSFDIATLNHSSDQYPRNLGRVLLGP >KVH99199 pep supercontig:CcrdV1:scaffold_1552:74178:80308:-1 gene:Ccrd_022573 transcript:KVH99199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAIQHGIVEKMEPDNGKLFIGGISWDTDEDRLKEYFSSYGEVVEAVIMRDRLTGRARGFGFVVFVDPAVAERVVMEKHMIDGRTVEAKKAVPREDHHGLNRNISNIQGSPGPMGRTKKIFVGGLASTVTETDFKKYFDQFGTIIDVVVMYDHNTQRPRGFGFITYDSEESVDRVLHKTFHELNGKMVEVKRAVPKELSPGPNHSPLVGYNYGLGRPNSFLTTSYPQGYNMSSIGSYGVRMDSRFSPTVGGRTGFPPFGSNGYNMGMNVEPSLSPFGGSSNIGYGRVMSPYYGGNPNRYSTPIGFNQASGRSEPPIGSSARNMLGNGGLTSPVGPGSGSYLGSGNGGFGVFGNNAAGWGSNPLVSQGGVNPSGYIDGLVGGALRRNNGAAVAAPSSFNGPNGGHQGIYGNLYRGGSIYGDATWQSSSSELDGSVSGSFGYGVGNAEDAMARSSEGYVGSYSIANRQVNREIAA >KVH99197 pep supercontig:CcrdV1:scaffold_1552:45513:52857:-1 gene:Ccrd_022571 transcript:KVH99197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MKSNAEISRHRSSGSISISAIRNLLPRSGSAKKKMANPKLSRFNCENVPPVDPNIEVGELRSILKESTGKISVSGKETTEMAELEPQQHEEEPLSADPHVKVVARIRPPNSHERGDYTVRKVSDDSVSVGDRKFTLDAVIDSKSSQEDVFQLVGVPMVKSAMAGYNTSILAYGQTGSGKTYTLWGPPSAMVEDQSANGNQGIVPRIFQMLFTEIQRERDQAEGKQINYQCRCSFLEIYNDQIGDLLDPTQRNLEIKDDAKHGFYVENLTEEYVTGYEDVTQILIKGLSNKKVGATSINSKSSRSHIVLTCVIESWCKFLNRWNQTVNYQGSSKTSRITLVDLAGLEKNRTNDAGKECAREGEFVKKSISQLGNLVNILAGTNQSGLSKDIPYNNSCLTHLLRESLGGNSKLIVICAISPDDKCSGETISTLRFGHRAKLIHNNPVVNKITEDDVNDLSDQIRELKEELIRAKSDASYSIGSNTNYKGGSVRQSLNQLRVSLNRSLILPQIDNNDVKEELHIDEHDVRDLRLQLDMLHSSCDEEFQETSESTRFFSMGGCDDLASEQYASCQEESENEEIISEEPEMDLGNPKVINISAGRPSDVFNGPTLSESPKIGNAIRKSMALPSNIVDSLKFSSTVPQESQKISDQIRSSLRSSKMFGGPTESLAASLQRGLDIIDNHQRSSVLNKSLVALSFEHLATKTNDASVQTSTDESPNSYICSTCHKKALVASNDVQDSLKTWIVLQYKQEKEGGTSLLDELRNGKISRNSETKESLLQEIKTLRSKLQSPSEPPSSNKSIDRLRSSSLLSQSLQLRKSGAYSRNEGDEELQKERERWTEMESEWICLTDELRVDLEANRRHAEKVEMELRLEKKCTEELDDALMRSIAGHGKMVEHYADLQEKYNELVEKHRLIMEGIAEVKRAAAKAGAKGHGKRFSKSLAAELSVLRVEREKERELLKKENRSLKIQLRDTAEAVHAAGELLENVASIQEENDKLKKQVDKQKRKHKMEMITMKQYLAESRLPESALRPLYREDSDVKAHDNDDDDQAWRAEFGAIYQDHY >KVH99203 pep supercontig:CcrdV1:scaffold_1552:113892:114986:-1 gene:Ccrd_022577 transcript:KVH99203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MQTSVLYHHLHSLSPKFLRSIPHFCPKPHPQTPTINSPKNQLTELAFCVHNPRTQVLENHFKFSIPKKTDQFSFIPISKIHYESISKHKNGEENDDDDVWMMMKDEARSDVDQEPILSDYYFTSILSHHTMESALSNHLSIKLSSSSLPSGTLHDLFMGVLTEDQEIVKAVKADLRAVKERDPACFSYVHCFLHFKGFLACQAHRVAHKLWLQNRKILALLIQNRVSEVLALDIHPGAKIGRGILLDHATGVVVGETAVIGDNVSILHNVTLGGTGKIGGDRHPKIGDGVLIGAGTCVLGNVTIGEGAKIGAGSVVLKDLPARTTAVGNPAKLIGGKENPVKLDKIPSHTMDHTSHIEFYDYVV >KVH99200 pep supercontig:CcrdV1:scaffold_1552:80261:96022:1 gene:Ccrd_022574 transcript:KVH99200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEDVGRPLSLSSPKLKGILQMRSNGIERAGAREEIERTVKSFKRYRASCISFLLSASPLMKISFVNMFNLSKLEHTLRLQPHLLGLPINEAVKGELEGLFLDKVKLYLPNLKNLMLMVYAGSLVSDGGLGPISWWV >KVH99196 pep supercontig:CcrdV1:scaffold_1552:38691:44270:-1 gene:Ccrd_022570 transcript:KVH99196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MASTTPAADPAASDSDKEIVGTNHSVTSPSSEPNVTSDALTTTSQSSPPLVCLFRFAGDSAAGAFMGSVFGYGSGLIKKKGFKGSFGEAVSSAKTFAVLSGVHSLVVCFLKRIRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCITFGAFSFIIEGLNKQQPAMAHSFSSMTQRGQRDYGILPPLTLPLPNELKESFSFFRKAIEQRSSKVNFRRGSH >KVH99194 pep supercontig:CcrdV1:scaffold_1552:25468:29553:1 gene:Ccrd_022568 transcript:KVH99194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase AER61, uncharacterized MKTKTLYILVSLFVLNSITLYHYLSSNFDYFHHRLRSHSLPENVNISLTVYHNSPFHDRANDVPLYNFVNKPWPISPSYLPWPLSPNNITYRSCEAYFGNGFSRRVDLLKPKLRGGGGWFRCFYSSTLESSVCEGGRIRMHPEKVKMSVGGEELESVIGRKEDDELPKFEFGAFDLEVDEKLNSRKKLVDGSFLDQYLKKGSVPRHTVRELIDSMQLVGANEFKCSEWIEEPTLLVTRFEYANLFHTVTDWYSAYVSSRVTGLPIRPRLVFIDGHCLTPLDDTWKAMFSSLRYAKHFSGPVCFRHAILPPLGYETVLSRGLFEDLDCRGGSAHEVLQNPNEPITARISEFGDMIKAAFGLPLNKPHPTSNHHNILFIRREGYLAHPRHGGTVQPRLENEQEVFDGLKKWASSHSKCKVNVINGILAHMPMKEQLRAIEEASIIMGAHGAGLTHIVSATQEAEIVELIGVEFMRPHYALISKWKGIKYHPMHLREAYAVPSEVIDKVSNILANLGC >KVH99202 pep supercontig:CcrdV1:scaffold_1552:104491:110338:-1 gene:Ccrd_022576 transcript:KVH99202 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MLLKLLRLSRTKNSTPCLPPGPWKLPLLGSIHHLGSSLPHHRLRDLAKKYGPLMHLQLGELSVIVVSSPETAKEVMKTHDVTFADRPYLFASSVICNGATNLTFAPYGDYWKQLRKICAMELLSPMRVQSFRSTREEEVSHFIKSISENIGSPIDLSERIFSLTYGITARAAFGKKCKDQESFISLVKEATAAAAGFNISDIFPSSTVLPILTGFKAKLEKIRGMFEEIVDNIIEEHKAKKMAANVGDADEDEDEDLVDVLLRFQERGDVKFPLSIANIKAVILDIFSGGSETSSTTVEWGMSELLKHPRMLEKAQTEIRQVVNRRGTVDETCIQELVFLKLVIKETLRLHPPTPLLLPRESRERCEINGYAIPAKSKVIVNAWAIGRDPMWWKDPERFHPERFLSSSIDYRGLNFEYIPFGAGRRVCPGMSFGLANVELPLIKLLYHFDWNLLDGITNEDLDMNEAFGVTGILEAVTQDLHFGLLSSKQVQSLRLVREEEVLKFINSISRHPGSPINLSKSLSSLTYGITARAAFDKKCKEQDAFISLVNESVALVGGFNLTQLFPSSKLLSFLNKTQSKLEKIHQGFDQILNNIIEEHKNSTTDEGEVDKDLVDVFLKVQEHGDLEIPLSVDDMKAVILDMFSAGSETSTITVESAVSELLKNPQIMQKAQADVRPVFDREGPFDETCSQELEFLHLVIKGTLEVAPLQALCYCLEKTGRYARLMDLRYLSRLKL >KVI04325 pep supercontig:CcrdV1:scaffold_1553:84033:89806:-1 gene:Ccrd_017366 transcript:KVI04325 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MKPSTSREPEKVKQPRTNWLELPSDVIANILSRVHVLDILENAQKVCTTWRKICKDPSMWRVICMDYLNFDDMSKEVAVWKHVVDRSQGQLIDITISEFDDDEIIQYVADRSSQLRRLKIINYSEDTYPYKNWTEILKKFPLLEELSLYSREISKEAIETAGRYCPMLKTFKLKGGALCRWLGDTHNEIAIAIGENLHKLENLELIGNSLTNIGLQVILDGCPHLKSHDLQHCWSLDLKGDLGKRCSEQIKCLKLPSYDVLYEYIFFL >KVI04327 pep supercontig:CcrdV1:scaffold_1553:13994:20749:1 gene:Ccrd_017362 transcript:KVI04327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVQTDDATSFAEKEHTYFMETSALESLNVENAFTEVLTQIYHIVSRKALESGDDPAALPKGQTINVDDVSAVKKGGCCS >KVI04326 pep supercontig:CcrdV1:scaffold_1553:22412:26694:-1 gene:Ccrd_017363 transcript:KVI04326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSYRKVANQIPLPVRNALISIQNCKLESNPPSFQPKYTAKLSTNLIKAYFDTGLLKQARQVFDEMPERDVVAWTAMISGYTACNRLNFAWMMFKDMMSDSLEHPNEFTFSSVLKACKGMKSFCHGALSHGLALRHGFVGSSIYVDNALLDMYATCCITMEHACLMFGEILVKNQVSWTTIMTGFTHRNDGLAALEVFRQMLMEDAEQCPYSFSIAVRACAGIGSLTYGQQIHASVFKQGFDLNIPVTNSVLDMYCKCNCFHEADECFNGMKERDSITWNTLIAGYEKFDPVKSLHMFLQMEFVGCTPDCFTYTSVIAACTNLSVLRCGQQIHGGIFKRGLEQDLPLANSLIDMYAKSGSIEDSSRIFCEMSCRDLFSWTSMMIGFGNHGYGKEAVELFNQMVNSGIRPDTIVFMALLTACSHAGLVDEGLSYFKLMTNEYKITPDQEIYACAIDLLGRGGRVKEAYDMILNMRFKPDESVWAAFLGACKAHGHPIMGKMTAQQVLDLRPRKAGIYVLLANMYTAEGKWGDRAKMRTLINELGNKKVAGRSWVEIKDHIYSFVAGDGGGSHLESTYQTEERDLAISYGANEVVQEGSGVM >KVI04322 pep supercontig:CcrdV1:scaffold_1553:74186:76528:-1 gene:Ccrd_017365 transcript:KVI04322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTSSMAATPQNVEMEAAKFLHKLIQESTDEPTKLATKLHVVGHILFAQPLYPYVFPNHCPSSQELQPSPRLCPPSAAWSALLLLTVPSSSSPLPSKLLINGWLIFSCCCSLMDPVKPSNLQMDISCMGKP >KVI04324 pep supercontig:CcrdV1:scaffold_1553:96055:101450:1 gene:Ccrd_017367 transcript:KVI04324 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase, subunit 10 MGRKKEVVFDEQPPDFDPANPYKDPVAMIEMREHLVREKWIDIETSKIIRDKLRWCYRIEGVNHLQKCRHLVEQYLDSTRGIGWGKDGRHPDQHAPKVQSLE >KVI04323 pep supercontig:CcrdV1:scaffold_1553:42936:74118:-1 gene:Ccrd_017364 transcript:KVI04323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MDSNERKLFVGGIVWDTTEERLSDYFGKYGDVSNTVIMCNKITNAHVILQHMRSSGKENSMPYQVISRAMETVINQHGLDIEALMASRQPLTSGTQGGESSLSQIAGPSQQAAVENDSKSTLTAEDAAKIATFSSKPLHAGHDIYQGSANQLNCKCLSLKVPSCIPKALGDVQEVWPWEAIKSHGSDAGFSGSYESAEPGNPLSMQFSNSYDNQSAAMLMHKGPAGKALEQEGRFPNVMANSSKTVQSLPSLHKGGISNNVAEMGMFRTDASRDTGKLPVLQAPSVGPSMPFKEHHLKQLRAQCLVFLAFRNNLMPKKLHLEIALGNFFPKEDYILAAFILQEKHALAAFYKDNIREHAMIDQKGKEHSIGGQSISHEVGMPSSRIDNRETERTGPGYSSSGFISETNLLKEGEKLDRMIDKNDPPSGLPEHGQGMRNNIIPRKFDAELQAFEAKELQTSATKVARADSNIAPHAGLFGVPVGEGKDYFSSQSKSHADNQGNRHGHSHLPSFSLRESWKFHSGMEGERHMVVPKNANVLEKDVILGENYDFRYSLSIWLAERIVFIVPGNNHEGEDNSEPNASPASPRYTTSEKWIADCQKRKIDADYNWAVKKKKTEQRILACVEKLKPPSSPHHIEQNHCLTSSGLVVSANVALTNLDKVARGDEALIRKIKVIVETVSSSEDISAKTRSVIELKKLELLDLQHRLRSDILNDFFKPISTEMDRLKSIKKHRIGRRSKQLERYEQKMKEERQKRIRERQKDFFGELELHKKERTKGFNKYVREFHKRKERLYREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLKEAKVISRCFESDMNGLNDNSEFTIEDEDETDQAKHYMESNEKYYMMAHSIKETVAEQPASLIGGKLREGPFLVVVPSSVLPGWETEINFWAPSINKIVYAGPPEERRRERIVQQKFNVLLTTYEYLMNKHDRPKLSKIHWHYVIIDEGHRIKNASCKLNADLKHYHSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNADNSLEEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKILMQRVEDSLGAFGASKARAVHNSVVELRNICNHPYLSQLHTEEVHDFIPKHYLPNVIRFCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVMEDYLYWKQYKYLRLDGHTHGGDRGALIDNFNKPGSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRLETVKTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLNDDALNDLIARSESEIDVFEELDKKRQEEELVVWKKLVLEQGGISSEPIPPLPSRLVTDDELKSFCEAMKAIEVPKPVVVPGIGGKRKGGLGNFDTQQYGRGKRAREVRSYEEQWTEDEFEKLCQVDPPDSPNAKEELKERDLAIVTSESGIVIGAEGGLPSIQTIQPSEDLAIQQIKEVNPPSKRGRGRPKKNTAGISSSSLVLPSSSVPHIARSVAPTVHPLGIQNAPASQLTVIVPSGSSSPSDGGQSTVALASASNATLTVPPGFQPTANHPPGFQATASSPLGYKSVTTPPPGYQKMSSPPGYQQIAPAPPGFQPLTTSPAPLVGSQVTASLVSAPLSTPSLPAGSQSTPTIPAGPQLIAASPPNHNIPPASQSNSAQYSSSISNTNLPPGTHSSATLPVGSVSLPMLPPSSPDSQSAVSPSIVTPGRGRGRGRGRPRGRGRGRGQIIESGVDVPQRRGRKPNNVVLAIPGLRASSPVSKPETGSLVVPATTSEIQNQPLVSDATAVNVVSNIPTPGTVGTPVTVSPPETSKSDIALPKTSVPATSFAASSIFADPGETLVDPDVGTTQMPLSVSVGSQPTELGTLSSQIAAPIVTVPLDSRSVSPSDTALKQGRGRGRKAQSGLEVPRRKEKKKEEMVDAQEQKSTRPAQKKSRISSGRKTVATRSMLRNEAQKKASTTDDHFSQGSKLAEPSLNSSLNVKEQLSALAEADKSADTSTMDNSTSNHGEKVVEAKDISVATETGSKTHDAVEKTEPNSSLNEKEQPSTFAEVDMLSSADTSTVDNSINDHGEKVVEPKGLSVATEAGSKTHDSVQKTQPKDEPDPNKLVYLEEKRESKLETLDGREPKSSKEVEKRSHSGDCLPQEVEVSKAETEHEERVTEGSASEDAGKDNIAEAGVAESELCAQKKTEEQDPGINDEDTLEAMVANPAEEVVASVHGETEAMAAVEENVVEIVQKSDTESTAITVVDSQLQTEATSPKKLEGISQGELEHEEKEQGANEPIGEDVDNTGNTSSELAIMQGERECIATTEGRSLVDGDAQSFSEVADAFQEGTRSDNKRALEASGVSDDVGKNVFGDEKTPSDPPVEKVEREDSDVVGTPMTGEKSEKVKDASQGEIEHEKPEDDVGIATNAVDYVETASELPVGLGVETSNAVDTNVKPSEMGESENEKQDASGGIKENATEEEQTTIALPVILGDREDDKNTDGGPLVEIDKESSEKMDDVLMGETEVNTSEVGGEDGAGGIEKDVTEVGGEDGAGGIEKDVTEDDQSFPAAQEEIEASETIDSKKENDDTHGETVNEAEQRKNVIPLVQDVIEGNLDTDTENLQVEIECGKQKDEMLQGEAEMINEKSQQAKLSHEVDNDHESTIKELPVAVVAAAAEVEGSTDVEKLATTDDTNDLIVEKPDIVAEISDSRLVKEHENEKIEESENGGVATDEAEVAANSSEATESKENKTEDVGEEEKELKPCETDKNEDGDRGMDDSKSGVELDMKEEKQEVGAP >KVH88136 pep supercontig:CcrdV1:scaffold_1554:70661:71824:1 gene:Ccrd_024476 transcript:KVH88136 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal KTATFCSGILQQLDYNVVECQALVLAPTHELAQQIEKVMHALGDYLGVKVHACVGETTVHEDLRILSTGVHHVFGTPGRVFDMLRRQSLLACHVCDLNITFAYMGEQNSTYRVVWHSGMDCREFQSLEKGERDPEBVMLMELAKKKKWRRCGSEFCYGCGLVHHGSHVCPIA >KVH88135 pep supercontig:CcrdV1:scaffold_1555:89216:90766:-1 gene:Ccrd_024478 transcript:KVH88135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MEFKTELQGGASVKEEDVTFVSSTNTAATAGSSSSSSSPRPREGLHEVGPPPFLTKTYDMVEDPSTDSVVSWSRGRNSFVVWDSYKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRHLLKTIRRRRNIAQSTQQKQEYGVCIEVGQYGMEEELEALKRDRSLLMAEIVRLRQLQQHSIDQLIAMENRLRTTERKQQNMMGFLAKAFSNPEFLQRYMDKYAQKGQDHIEIGRKRRLTMAPSVENLQEVAGGMKNIQLNVDSFLLAPTPVEDESSSDVGNQGDVSSFVEDDSSGNLWEELLNVNLPIENEPEEFLDSLDGQNLDWDEDLQELVDQMEYLRSSNA >KVH88134 pep supercontig:CcrdV1:scaffold_1555:91694:99642:-1 gene:Ccrd_024479 transcript:KVH88134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MGSSQGSSLSTNVARLVEGSATGREVSYIDTLPVYVKELIAGGAAGAFAKTAVAPLERIKILLQSMFLREGVVIVNLGCMSISSVVTLDLSIWHFFLADVLNLEIMVKINIPQSLIIALASKHIEFLCGLTRTQGFHSLGVYQSLKRLLKHEGLPGFYKGNGASVLRIVPYAALHFMTYEQYRCWILDNYTVLGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVVDAKSSLGNDSKSIIAQPRYSGIRNVLVSVYREGGMRGLYRGVGMFPFAFYLLLWTVDRGIVVGPTLIGILPYAGLKFYIYEELKRHVSEDQQRSIMMRLSCGALAGLFGQTFTYPLDVVRRQMQVENLQGSVGGGARHKNTWKGLTTIVSQQGWRQLFAGLSINYIKIVPSVAIGFTAYDMMKSWLHIPPRQKSQSVTAA >KVH88133 pep supercontig:CcrdV1:scaffold_1555:87298:88020:-1 gene:Ccrd_024477 transcript:KVH88133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTMAEVKVVVAKILIPVRTTMIVGRFHGNNHLFQGSQPTRDVDDPLNPLSWFIVVLTR >KVH91999 pep supercontig:CcrdV1:scaffold_1556:6405:17370:-1 gene:Ccrd_005972 transcript:KVH91999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MTPTFKDLQLAEVGGRDSGGVLQSSAMDLGGNLEDVRLLDSYDGGYNDNNHNAGKSREGLEKGGVTRIQVRITGMTCAACSNSVEGALMSLNGVVSASVALLQNKADVVFYPNLIKEEDIKNAIEDAGFEAEILQEQGTTRAMSRGTVVGQFIIGGMTCAACVNSVEGILRKLPGVKRATVALSTSLGEVEYDPSIISKDEIVNAIEDAGFEASLAQSSGQDRIMLGVTGISNDLDVKMLDEILCNMKGVRQFNFNKTSSQLDVLFDTEILGPRALVDAIHEESSGNFEVHVKNPYTRMVSQNLEESSHMLCLFRFSLSLGIPIFVMRFVGPHIPILKSILLTRCGPFQIGDWLRLILVSLVQFVIGKRFYVAAFRALRNGSTNMDVLVALGTSAAYFYSVYALLYGAITGYWPRTYFETSPMEIDALLIQPGDVLKIVPGSKVPVDGFVVSGSSYVNESMVTGESAPVLKETNSLVIGGTINLHGSLHVQATKIGSDTVLSQIISLVETAQMSKAPIQKFADFVSTHFVHNYPRVASIFVPTVVTLSLTTFMVWFFSGVLGAYPEDWLPQNGNYFDFALMFSISVVVVACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQNIRYVIFDKTGTLTQNRAAVTTVKANSEHPIAKAILEYARHFHFFEDPTVIKDPKNESNDSTNTEWLLDTSNFSAIPGRGIEADINQKQILVGNRSMLAEKGVTISNDIEKFLVGLEENAKTGILVAYDSELIGVVGVADPLKREAAIVVEGLFKMGVRSIMVTGDNWRTARAAGIQDVRAEVMPAGKADVIHSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYILMKNNLEDVITAIDLSRKTFARIRLNYVFAMGYNFVAIPVSAGVLFPWLRIMLPPWVAGACMALSSVSVVCSSLLLRRYKKPRLTTVLEITVE >KVH91997 pep supercontig:CcrdV1:scaffold_1556:66914:84319:1 gene:Ccrd_005974 transcript:KVH91997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MAALTFAHNILLSNPSFLRHSTKTGFTTFFSVSSPYLRRYKSTQTLVASSVLELGGVKISKQDVVRDEPTNNVPDLIFTKLGLQLHRRDNHPLGILKNAIYEYFDTNYNNQFHKFDDLCPIVTIKENFDDVLVPADHVSRSFNDTYYIDAGTVLRCHTSAHQADLLRKGYANFLVTGDVYRRDSIDSTHYPVFHQMEGVRVFNPSDWNDSGMDGTLYAANDLKACLEGLARHLFGAVEMRWVDSYFPFTNPSFELEIYFQEKWLEVLGCGVMEQEILNRGGKTDNVAWAFGLGLERLAMVLFDIPDIRLFWSTDKRFVSQFTKGQLGIKFKPFSKYPPCYKDVSFWINDSFSENNLCEIVRGIAGDLAEEVQLIDNFTNKKGMTSHCYRITYRSMERSLTDEEINELQWNVRDQVQSQLNVVMR >KVH91996 pep supercontig:CcrdV1:scaffold_1556:84786:102269:-1 gene:Ccrd_005975 transcript:KVH91996 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MEGNENTESAAKGGMWDLQQKFDQPMDEEASRLKNMHTEKRCSAMLLVQLAFQSLGVVYGDLGTSPLYVFYNTFPKGIDDTEDVVGALSLIIYSLTLVPLIKYVFIVCRANDNGQGGTFALYSLLCRHAKVKTIPNQHRTDEKLTTYSLTPINENSVAAMTKRWLEAHALKKNALLVLVLVGTCMVIGDGILTPAISDVIVVVAVCILVGLFCLQHYGPDKVGWFFAPIVLLWFLLIGGIGIFNILKHDTMVLRAFSPIYIFWYFRRRGEDAWTSLGGIMLSITGTEALFADLAHFPHSAIQLAFTTVVFPCLLLAYCGQAAYLMKNKEHVYDTFYHSIPGTAVVVVMLVTTLLMILIMLLVWRCHWILVLIFTILSLVVECTYFSAVLCKIDQGAWVPLAIAVVFLVIMHVWHYGTVKRYELEMQSKISLAWILNLGPSLGLVRVPGIGLVYSELVSGVPRIFSHFITNLPAIHSVVVLVCIKSLPVYTVPEEERFLVKRLGPKNYHMFSVFLFAKLESLMDASSDSDEYSLYGQETISSTKDTFSSVVDITVSSWSESSVSSEVGADEMAFLKSCRDAGVVHIMGNTIVEARRDSGIYKKI >KVH91998 pep supercontig:CcrdV1:scaffold_1556:53276:60509:1 gene:Ccrd_005973 transcript:KVH91998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MRGIFAVRRMVRNGVYCGSLCSSITEIASASSYPATTPYSYSCSFSSVTKPLWPASISKFQSGQQPSNRNHSSSPSSGQSRVVTVESEDQFNSLLRKVQDESLPAVFYFTAVWCGPCRFISPLIGELSEKYSNVTTYKIDIDQEGLRGALEKLNIAAVPTLHFFQGGKKVSEIVGADVQRLKTTMEDLYK >KVI01549 pep supercontig:CcrdV1:scaffold_1557:26232:36786:1 gene:Ccrd_020178 transcript:KVI01549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MSTLVKGTFGYFDPAYYATGKLTRKSDVYAFGVVLFEVLCPKRALDISPLSPNDAQICPGSVHVQSLRSLATMRYCNDKEHEILLVYEYMQNQSLERILYPEAETLDVVEPLSLGRPSTMRGAADRLAYMHFIYRDVRSRDIALSSTLELFMI >KVI01550 pep supercontig:CcrdV1:scaffold_1557:42822:45022:-1 gene:Ccrd_020179 transcript:KVI01550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CCSLVRARSSLIYSFQSRESKQKREELLQKWSEGHTRHRSSARMSRKSIGLTSLNTLKLELTRKTLKSCTRRCIKPFMLIQPQRSWRNSLQRSTQEKLTYDERKEKLIERLNALNAASGGADDE >KVI01548 pep supercontig:CcrdV1:scaffold_1557:17203:26148:1 gene:Ccrd_020177 transcript:KVI01548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLMRCKKTMLIQMAKTERSRWSGGFGKVHKGVVNSGSSLVVATIERLNSISDQGATEFWAEVEMLSKFRHCTLVSLIGYCIYEKETILVYEYMPNGTLEDHLHKLGTPFSWLQPSATQHLHRCRSWVKLPSRRHRDSGWGYTLRCQER >KVI01547 pep supercontig:CcrdV1:scaffold_1557:12987:17168:1 gene:Ccrd_020176 transcript:KVI01547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MILVYEYMPNGTLEDHLHKLGTPLSWIQRLNICIGAARGLHYLHTGTGIQVGVIHRDVKSSNILLHESWAAKISDFGLSKIGPTNQPSTCVNTLVKGTFGYLDPDYYATGKLTRKSDVYALGVVLLEVLCRKRAVDATLDEEQWNLARWAQESIKEGKLKHIIDSGIKDQISPKCLREFVRIVESCLHNNPKQRSTMAGVVASLESVLALQEKFNNSLQPVANRTIFGWMVDMFPVLTNGETNSKQSSNTKSNSSSVDNAVGSKHNMFLGTREVPTDNKTTSFKEFKFTDLKKATSNFSPKLQLGEGGFGGVFLGWIDKNTFAPSKHGVGIGVAVKRLNGEGVQGHAEWQAEVSFLGRLAHPNIIRLLGYCSDEQELLLVYEYMQNRSFDRLLFTNATPLSWLTRLMIMTGVARGLAYLHSSTPQVILRGVKTSDIMLDQDFNAKLGDFGLAKRGPEIGETHVTTRVMGTYGYAAPEYMATARRNKLKGIMDPRLGQNYPLKAASRYAELTSKCLAMEPRLRPSSEEVLQSLEQIYSIN >KVH89366 pep supercontig:CcrdV1:scaffold_1558:39312:51592:1 gene:Ccrd_008644 transcript:KVH89366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTTLENTSAAIWNRVSLGMTTKSLWIISKTQWVEATTRVEWVNNLAQGSTCNSVTFNCTHQNHLGGPDGQDALGMDQTWVSQVIKTTFAEDLCSSLEPYGLTKLHTVTSQELREDAPKSSKHGPSAVNDLKLTVLGKCFWVSRKSGXPYHGLPDGATFLTAAFLMETRPLPKTSEDEGAVFTTCPVKGEERAMVEAAIGYFLKVEVCVLFGLQVYYVRWRDETNDFERDGTGLNVDVYFLIDSYSVNRFLSGKTLYMGLLSARTRYHGEFEERNKIRAEANKAIDAANILKLALGRGEITVYP >KVH89369 pep supercontig:CcrdV1:scaffold_1558:13035:39313:-1 gene:Ccrd_008643 transcript:KVH89369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIQLVNNIPNPDMISVGQRVAREDGLGKEEMSENSVVHGGIPIGNTLGNGYRPEKMDWERRRRVKILWYMVVPQLMVKKRVETWIILRPEIDLLFNTSKSNKHLWDQISFKMRENGFDRSTTMCTDKWRNLLKVFKKAKKHENGGNHNGYSINSKMQFYKEVGEIIRDGNKNCKVDFFMHFSDKGASACHHCSRLPSIALRTPSIDLSGSFSIQGNIAFYVKRLLTMHYRCSAYQLMKSLPTTRWAQATVKRTTRKL >KVH89367 pep supercontig:CcrdV1:scaffold_1558:45915:46712:-1 gene:Ccrd_008645 transcript:KVH89367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAASTMALSSPFTGQVVKTAPSSSEVFGSGRVSMRKAAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFAPGK >KVH89365 pep supercontig:CcrdV1:scaffold_1558:110599:111396:-1 gene:Ccrd_008647 transcript:KVH89365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAASTMALSSPFTGQVVKTAPSSSEVFGNGRVSMRKTAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFAPGK >KVH89368 pep supercontig:CcrdV1:scaffold_1558:11709:12506:-1 gene:Ccrd_008642 transcript:KVH89368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAASTMPLSSPFTGQVVKTAPSSSEVLGSGRVSMRKAAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFAPGK >KVH89364 pep supercontig:CcrdV1:scaffold_1558:58952:59749:-1 gene:Ccrd_008646 transcript:KVH89364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAASTMALSSPFTGQVVKTTPSPSEVLGNGRVSMRKAAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPXLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFAPGK >KVH91424 pep supercontig:CcrdV1:scaffold_1559:79770:88388:1 gene:Ccrd_006553 transcript:KVH91424 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUA-like domain-containing protein MEEHRHDGVQEEKPSLLEMSTFQLKHCIESLLRFTLALSIEESIDIGLSKEFCSNLLEEDEEDRTYSHTYPSSTSSTGVPPYPLYKHLASALCKSIPAGRIHPMSADMSLIHEDSSSKQQKCEWNKIIQEKGSDLIKMLETVQFELHVQEPFFSQLKDGQKTIEGRCADGDYNRYDTWQKLLVYTIVSIESGSSILFNKCLLLQVQDVHRYASFSDMLAAEDLANVLPGVETVKEVGDNQNNGHVISGTQIYRRFYSEEKERSKGVLAILLTEPALQPYDHLGAILSALHYDGVQRLLGIAHTIGTIPDALPLPRSTLLHSFSLPHNPDVKSSVLNIGARALAKHVNRSNGKFWGSFAGSEPQKNMLAFKVISNLIAHCCWLNVHIVPPHGAIFEIRVQDGYGARWSLKPTKFIGFLEPYTKDGYMKGWKH >KVH93706 pep supercontig:CcrdV1:scaffold_156:416000:420687:1 gene:Ccrd_004240 transcript:KVH93706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGSAMDDGHWGHLTLYPTARSTLFLESAKRTGFKSAASRSKLEKGERRRAITDAWSTRDRRTSFQIKTLFEPPIKSWPRYTEC >KVH93719 pep supercontig:CcrdV1:scaffold_156:217062:219567:-1 gene:Ccrd_004234 transcript:KVH93719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKAQALAQNQAWVLRCFCSFGIQSKTYVLLSYLILNLMFYLPWNLMILLFDLYLK >KVH93709 pep supercontig:CcrdV1:scaffold_156:109295:113044:1 gene:Ccrd_004229 transcript:KVH93709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6 MPLYDCMLLLKPHVRKESVVELVSRVGKHVYRRNGVITDLKSHGTVQLGYGIKKLDGRYYQGQMMQMTMMTPPSFNSELHYLNKEDRLLRWLLVKHRDIKFGGEFLSEDDGRSDLRMMRSSIYDMNSEDEDDDDEEAYDIEYQEQKKDV >KVH93710 pep supercontig:CcrdV1:scaffold_156:8771:10494:-1 gene:Ccrd_004227 transcript:KVH93710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAGTIPGVETARRRRFRGSNGWSDNSFLVNSAFGSTRRLSYDTHLNSTSFLHRSMVSQSDEDGKLGGVARKAKQRLEGRLRGYWKSETNSQERLRGANLVEEIGRKPTTTSMVVGDLQMEVFGLKKNGSKRFHWGKMGLNWKSLDQDECVVCLDKFKIGEKLARLPCAHRFHSMCLLPWLESHAHCPCCRTNVLGSN >KVH93718 pep supercontig:CcrdV1:scaffold_156:266018:289580:1 gene:Ccrd_004235 transcript:KVH93718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective-in-cullin neddylation protein MPRASKRKSDPVRSSEPAPKRATAKEVERIDNFFASYANTSIGMIDPEGVEKLCSDLRVEHTDVRILMLAWKMNAKKQGYFTQVCNRFPGSRIPGFIRKIRLAVRLAASLDFAKLIRIKLVSEHRSCTCDFVHTTMTKVQDLEAELTQQKAAADQFRADTTKRFENLEATMESLRIETEKRHAEMMKVMLQQFQALKSPPPPTAAMPSPIYTDCTTRPIMQQSNLIFDENGAPLPPWHATSTTKELNKPVGTQEIPFSESGTFSFSETDKPFGSGYGRGSAPSGERVLVPGSDYRLRHSEEVVFLSKDDEALLGKLNAFWAFFGLIKEDNRDEWRTGLKSLHADTLKKLKKELPELEKEVAKQNNFEDFYCYSFRYCLTEDKQKSLDIESVCMLLDLVLGRQFPLQIQKEYKVINMDQWTNFFRFCQEIEFPDLQNYDACQAWPLILDNFVDWLREKLTAA >KVH93717 pep supercontig:CcrdV1:scaffold_156:338421:342894:1 gene:Ccrd_004237 transcript:KVH93717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSNVAAKFAFFPPDPPSYDVFKDEDDPRNGGTRFVFTGITADKNVDVHLLDTKGGNKVVATFWKHPFGKLTLLYSHGNAADLGQMKDLFIELRAHLRVNIMRISVVEDVEAVYNCLKNNYGIKQEDMILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGIRVLYPVKMTFWFDIFKGTNDDIVDFSHGKRLWELAKDKYHPLWVQGGGHSNLESYPEYIRHLRKFINAMEKLSSKQNNQRLSSVPSIREVKHNRCLRFGRR >KVH93707 pep supercontig:CcrdV1:scaffold_156:419114:420798:-1 gene:Ccrd_004241 transcript:KVH93707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1068 MSRRSGSCLRCCLVIFAVISALCVSGPALYWRLKKGFNLKGGSSISCTPCICDCPPPLSLLKLAPGLANLSITDVNLFYKKFLKNTTTMIQNHVGFMQI >KVH93712 pep supercontig:CcrdV1:scaffold_156:410801:416859:-1 gene:Ccrd_004239 transcript:KVH93712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MDGSRGGGRRVEKRGYEGRVEDEEDNDGMPQSKKPKIPGLASVIVEALKVDSLQRLCSSLEPLFRRIVSEEVERALTRFGHGQIAGRMRMPPHLFTGGKVEGEQGAAIHVVLLDATTGNVVQTGPESSSKLNVVVLEGDFNEELDENWSADHFESHEVKAREGKRPLLTGELQVTLNEGVGTLGDLSFTDNSSWIRSRKFRLGLKVASGFCVGIRILWRLDRIAKDGALHKKLMKSEVITVEDFLRVLVKDPQKLRNILGSGMSNRMWENTVEHAKTCVLGGKLYVYYADLAHTTGAIFNHIYELRGLIADGQFVPLESLNHDQKISVDSLVKRAYENWNRVVEYDGKVLTALTVPAPPAQNLIEYPFISSDPMVGVSSHTHHQSVPSDYRPAGPSTFDVSGDWSSPSPHGYGDSFSEEVRLRSSEMLETDDMQKLLRSFGVGETGPTFGLYENGSYGYNIPHEPHISPVYAHGHRRGSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLIELD >KVH93705 pep supercontig:CcrdV1:scaffold_156:429822:432737:1 gene:Ccrd_004242 transcript:KVH93705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MKITALLVLKCHPIPDGSDPVILANATDVNHFGYFQRVTVKQFIVFVGRTVAKRTPPNQRHTVQHEEYKVHSYNRNGLCVVGFMDDHYPVRSAFSVLNQVIDEYQKKHGESWKTIQADTTSQWPYLNDALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSAASQVYLLPIKMHVIMKLS >KVH93711 pep supercontig:CcrdV1:scaffold_156:147186:150926:1 gene:Ccrd_004230 transcript:KVH93711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNISEDINGVGALEALAINDPISIGGNQGDEGSSMEETQLQKTEKDPRTIARKYQIELCDKALVENVIVYLETGCGKTHIAVLLIYKMRCLMKKPRKDICIFLAPTVALVEQQAKVIKDSIDVKVGIYCGSSNRLKFHRDWEQEMEQFEVFVMTPQILLHNLSHCFIKIENIALLIFDECHYAQVESDHPYAEIMKIFYKPDVAKLPRIFGMTASPIFGKGASINSLETLLHAK >KVH93716 pep supercontig:CcrdV1:scaffold_156:152482:154733:1 gene:Ccrd_004231 transcript:KVH93716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer double-stranded RNA-binding fold LNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVDRGSQRELNLIQNFCEDEAQMNNEITSRTSTVEYVDFEEKVYRVDSTGATIGSGSSVSLLHRYCSKLPHDEFFNPKPWLYYFDDAEGTVCHIILPPNAPIHQIISSPQPSREAAKKDACLKACQQLYELEESSRRELHEMLVPSALRERWIKVERKKVSLSSYFIKFSPSPADRLYKEFGLFLKAPIPQEAERMKLDLQLARGRSVMTEIAIIDKSEFVKDFVQLGKDDVSSPISTFYLLLPVIVNKYRGSMTVDWKLITKCLSSPIFRTPKDNTCDRTSHMNNCLYLSNGPTKIDDILNSLVYVPCKDTFYFVSGLFPEKDAFSLFKEETNYANHFAQA >KVH93715 pep supercontig:CcrdV1:scaffold_156:156508:157890:1 gene:Ccrd_004232 transcript:KVH93715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MQRLEFLGDAVLDYLTTSYLYSALGDLVESCVGAILLDSGFNLEVVWKIMISFLDPVMKFSALQLNPIRELQELCQFYNWELTFPSVKKDGVFSVDAVVDGKEVCETACATNSNKKTAKRIASQKLYLSLKLSQKMEARLIGYDEIPSNIDVSFADHIEDLKSNEVRNISREVQSRPVNSAKSSRYIQRMVQPTPD >KVH93714 pep supercontig:CcrdV1:scaffold_156:190331:194706:1 gene:Ccrd_004233 transcript:KVH93714 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MFILSPKRTSRKIIQNGVLAVQFSGNRRHITAYAYWKDHISDGGGFFLLSSSSTVSQQSPIELHICIDVLDEVGIGGGASGVSGGLLHPYSPKVKPLWRAAECWEESLRLLSIAETAISSKELGLNNGELAQNSNGFIARRRGILRPAISLKNMSIMNDKNAQNSLAGCRVQCINEDEARTLVPNICVPSNSAFYMPDAVNVHPQGYLEALYVACDTLAKDMSAAGLGEKEINFHKRSIGNLLELEGEYDAVIVCLGARSTFLPELSGRLPLRTCRGITAHLHLPDNIREEVPEHSPSILSDAWLAIQNPRNLYMGSTWEWKSCNYAQNVSMEEASKALEELLPKAAAIYPGITKWAFEGASAGLRAMPPLTGNGSLPLLGCIDDFISQTHASKFWLFSGLGSRGLLYHAWLGKLMAKAVLACDEGVIPSELTSWRSNLIKKG >KVH93708 pep supercontig:CcrdV1:scaffold_156:96673:106630:1 gene:Ccrd_004228 transcript:KVH93708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase ITTQSFHIQEFVVTVCLLTSSSVLLIAEQEQKLLSLLIMLNYPATPSFNCQNTRFASPPLSSGYTLHPSSFSVSSHSSLTSQYQQNLPSINGYKHAHFNISPRTKGLKVRCSQNDPLKVMISGAPASGKGTQCEMIVQKFGLVHISTGDLLRSEVAAGSEIGNQAKEYMNSGRLVPDEVVTAMVIGRLSREDAKEKGWLLDGFPRSFAQAQSLEKMKIRPDVFVALDVPDEILIDRCVGRRLDPETGKIYHIKNFPPQTEEIKARLITRPDDTEEKVKARLQIYKQNVEAILSTYSDLLKKIDGNRSKDVIFGEICSLLLQVQKEKETRNKLDSQFNKSPSVKENWRGIPTNLNNIPHSREIREYFYDDVLQATQRAINDGKTRVKVEINIPELNPETDVYRIGTLMELVRVLALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRQILEYMDWGNDGAMGTFINLGAIGGKEVDEVDDIFILVAPQNAMGNCIIDDLRAMTDAAGSRPVILINPRLKDLPASSGIMQTMGREKRLEYAASFEMCYQFRLLYYAGTQYPIMGALRMAYPYRYELFKRVNEPTGKEKYVILSTFPSRPTGDEVNDAFEGKTRDQAKKASGFWGFLNGILSA >KVH93713 pep supercontig:CcrdV1:scaffold_156:405670:410294:1 gene:Ccrd_004238 transcript:KVH93713 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MTVEEIRGSVGVSNEIDRFPIGMRVLAVDDDPTCLKLLDGLLRKCQYQVTTTNQAITALKMLRENRNRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSGNSDPKLVMKGITHGACDYLVKPVRLEELRNIWQHVIRRKVESKSQSKSNNQEKPNQGGEGGQEAEAVPKRILDLMNVEGLTRENVASHLQKYRLYLKRISQQANMVVAFGGSKDASSYMRMSSLDGLGDFRTLSGSGRLPNAALSSYSPSGMLGRLNSATGVTLHSLTSPSMIQPTHPQNLTNSIGSLTKFQPVVLPTPPNHQNTNLFQGIPSSFDLGHLHQNGNKPNTTQIPDFNPIDESRIFTGPSSFATLGSQSSLKMASMSSESFNLVSSSSSYLDVPPPMGENFHDQLPPNSSLKYGYSASSNTIPSFGSNITSTASVPLEAQGQRHGQYGKPSYSPYSNNAFSTLAAAAAAPPPNGGGLGGPVSQANDQICGVYNRSNGNGATAMQRSSRETERSSTELKMGSGEEYLLEQTKLPSGFVANNGYDSLDDLMNGMMKREQDPTIMMDGEFGFDPYSFGSCIQ >KVH88132 pep supercontig:CcrdV1:scaffold_1561:23296:25789:1 gene:Ccrd_024480 transcript:KVH88132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSSRTNSLLCIWMAIYVFRPIKGIVISIGVSVLAAAWTNALEMVVKKILCQSDAAEFLVAFAGIQESVHQFSKDYKLRKGPVSVSLSSSSEIVGVDSSHSISKFL >KVH88131 pep supercontig:CcrdV1:scaffold_1563:26927:36151:1 gene:Ccrd_024481 transcript:KVH88131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCDQNSHVKAANNRNYDRVSVDENRTTSWEVNTSEKHKGLDSSREEQGTGTFKSKGKEVRVIDLRHFVPLFCDIDVDYFCFKDSKMDDSLLEKLRQCGSLLSGYISDTNDCIYAVEEDCRTTVWTFQKDGENGALDSFQPDEQLKKKNPYKGSESYIDIHEAPRDKKIQSLIKEIEFLPFVPEIMDAGKGYALHTVKSPFLCAQLRLLDGQFKNHWRGTFQALKQKLDPLRQKESLPIHIFIMTDLPMSNWSGTYLGELANXPDSIKLFLLSEEDELIQKTAKKVVDASNGWKFGASYSEGIKKDCQKHQRFPDILLHVEETVCSRASLGFVGTAGSTIADSIEMMRKREICSNRNLTLCMSLHLINGDHNPVPHTAFEKNKKSLLLGCKSMNRAHFKCWMAVEELRIYANLMKLVSMIKKIQDELPSLKEQCCELLSSKQDNPNWHKHSWPGAMGNHDGSLSLGRYHKRLGNSSGSRPIERDVVQLGRIAATFLS >KVH88130 pep supercontig:CcrdV1:scaffold_1563:76610:81443:-1 gene:Ccrd_024482 transcript:KVH88130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MEINNGNRESRRVNIMKLIKTMEKSGRIDELEEIIAEKSIVQLNYESHLFVLLDSRWIDRPTELQRDLRTGNLNSSSVNMGYLNSIPGQPNGDSPVSGGGLSQDSKFSYGFASSPGKRSSMEDFYEARIDGVDGETVGLFGVFDGHGGARAAEYVKQHLFTNLIKHPKFISDTKAAISDAYSHTDTEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGKAFAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIQEEKVDNSLEFLILASDGLWDVVTNDEAVAMVKPIQSPEEASKRLMQEASQRGSADNITIVVVRFLDNQGGCFPSGGSTSH >KVH88129 pep supercontig:CcrdV1:scaffold_1564:4848:6071:1 gene:Ccrd_024483 transcript:KVH88129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLHFDSKTIQQVHRFLTALQRSCAHIADLTQIVQQQHCSLTFKLHQQHFSQIAVRTQGLVLCCLLFGAASIIVVC >KVH88128 pep supercontig:CcrdV1:scaffold_1564:106397:108310:-1 gene:Ccrd_024485 transcript:KVH88128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leo1-like protein MAEESLSGLKQQMHLYRAVRNVDGTISYDSNARFVRWLDGSLQLLIGNEVLDISVQDAQHDQAHLFLRQEKGNY >KVH88127 pep supercontig:CcrdV1:scaffold_1564:95225:96667:1 gene:Ccrd_024484 transcript:KVH88127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGERIDLHWNNAKEWRSDHSRLLVFVSYVLNKVSSEPQLLELDDSEENSETGKNLEEEKNWGLFSSTGYHKQRTLLIYLGQPFTRIQGSSLNTPSDSDLTIFCISVSGY >KVH93765 pep supercontig:CcrdV1:scaffold_1566:107304:113099:-1 gene:Ccrd_004182 transcript:KVH93765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MFGLFGIVWFLGFQFVAEDQPSINSASLSPSQPNPKAKSWETSMEEFGGSLNVPWKSFFQSKAVWAMIYAHFCGSWGHYCCLSWLPTYFSLVLVCSYVHTFCIKTQVSVLPPLASVVVTSFASQFADSLISNGVETTVVRKICQTIAFLSPAACMILSSVDLGLPPWEVVAILTGGLALSSFALSGAKLNQIWYFSCCFCVSAREDLKLSSFVWLQDFIGITNTVGAVPGIVGVALTGYLLDSTHSWSMSLFAPSIFFYLTGTIVWLALASSKPQTFKKID >KVH93764 pep supercontig:CcrdV1:scaffold_1566:102862:107240:1 gene:Ccrd_004181 transcript:KVH93764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGSLILQMGVCVWVLFMSLSYDLVDSETDVLQGRVFINGKNAIAKIDSDFICATMDWWPPEKCDYGTCSWGHASLLNVIRLGGTLQDKVVYQTENATKPCIQFSKNTSVLFGYTEGCLPLSRWDQLNTFFKETGAEIIFGLNALAGKTILSDGSAAGPWDSANAESLMRYTVKKNYTMYAWELGNELCGNGVGTRVSASQYAFDTTTLRNIVQEIYEGIEPKPQIIAPGGFFDANWFKEFIDKTPEIVDIVTHHIYNLGPGVDQHLVEKILDPSYLDGEADTFRQLENILKTSITSASAWVGEAGGAYNSGHNLVTNAFVFSFCALLWHRLMGRKVLSTNFTGTKKIRSYAHCAKQSQGITLLLLNLDNATTVDVSLSLNSTWRMHKLKSNVHHHHKAKNQRNSKIDETRTREEYHLTAKGRNLHSQVMMLNGKELTVNSSGDIPPLEPLSVNSSDPISVAPYSIVFVHFPHLILSACSY >KVH99844 pep supercontig:CcrdV1:scaffold_1569:23609:25918:-1 gene:Ccrd_021915 transcript:KVH99844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MSTLSEAYGDYPIPSDITPLDFNSIDKVPETHIWSQPDLQTHQYHPQDPIIPVIDLTDPNAIDQIRQACETLGMFQVINHGVPSVLVNKVESEIRRLFGLPIQEKCKVLRSPTGATGYGTARISPFYDKGMWHEGFTIMGSCLDDAKTLWPHDFQGFCDTMDAFQNQMKLLAHNLLRLIFQSLDATQEEINWATSSHGSQGALQLNSYPSCPNPDGTLGLAAHTDTLLLTLLHQGGGVNGLEIFVEGSGWTPVKPVEDGFVVNLGDLMHIFSNSKFPVVYHRVMVNQSKQRISAAYFCGPPVESVVAPSSKFRNPCFRPLVVKEYISLKAKHFNKALSLIGQE >KVH99836 pep supercontig:CcrdV1:scaffold_1569:106961:110101:1 gene:Ccrd_021923 transcript:KVH99836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGARLTAFENRANVEMEIRVFVPPDRPDRYRMIIRVKPGEVKKVLSKRLCNWEEYFSSETDNHIFLMVFMDGIYSGVTLLPWELPQFHNREKTEGEILRSNEEMLLNLLVYENLPNESLDKYLNNNKFSWIDRLRICIGAARGLEYLHNPHGTMQRVLYRDIKRANILLDAIMQSGMQRFQSVQSCTTKQQQQKSL >KVH99845 pep supercontig:CcrdV1:scaffold_1569:10943:21416:1 gene:Ccrd_021914 transcript:KVH99845 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MWDSLGNLFVRTQDNGDEALQLAALQRSPTFVRARTSVFRNFGGEFALVDVSKLDAEEKKIVLDKLVNTVNSNPGLFFDRIRRRFDNVDLKFPKVEVRFENLEIDAFVHVGSRALPTLPNSVYNMSEAFLRALRIFPGGRKKSSILNDVSGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGHGLELSGRITYNGHELNEFVPQRTSAYVSQQDCHMAEMTVRETLQFAEHCQGFGYKQGPSRVLFMDEISTGLDSSTTYQIIKYLKYATRALDCTTVISLLQPDPETFELFDDLILLCEGQIVYQGPRNAAVPFFASMGFQCPNRKNVADFLQEVTSVKDQEQYWSLDEHYEYVSIEKFVEAFQSNFLGSSLSRQLAVPYDRRLNPPAALSTSTYGVKRMELLKISFSWQMLLLKREAFVYAFRFFQLMLVVLIMTSVFFRTTMHHNSLDDGGVYLGALYFSIVMILFNGFMEVPMLIAKLPVIYEHRDMHFYPCWVYTLPSWILSIPSSIIESGVWVAVTYYLVGFDPQLSRCLKQFVLYFSLHQMSIGLFRVMASLGRNMIVANTFGSFAMLVVMALGGFILSRGVGALLGYTILFNILFTLFLTYLNPLGNHQTAILRENTKLQKTNGIVSAIVELKHYLQCSPSYAGTDVENQRGMVLPFQPLTMSFCNISYYVDIPGELRQEGLSNNKLQLLVDVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGHISGHIYVSGYPKNQETFARISGYCEQNDIHSPCLTVHESLMFSAWLRLPSHINVETKRDFVAEVMELVELDPLKGSLVGLPGIDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLLMKRGGKLIYAGPLGTGSHKVIRFFEAVRGVAKIRSGYNPAAWILEATSATEETRLGVDFAEVYRQSDLYSQNQQLVELLSKPDRSSKFLYFPTKYSQSFLGQFSACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTMCWKFGAKRETQQDIFNAMGSMYAAVLFIGITNASSVQPVVYVERSVSYRERAAGMYSALPFAFAQVAIELPYVYVQSLVYSVIFYLLASFEWRLLKLFWYIYFMYFTLLYFTFFGMMTIAVTPNHNIAAIVAAPFYIWYYWANPVAWSLYGLLTSQYGDVNDLLKLADGFHSVPLRQFLEDEFGYRHEFLGVAATAVVGFCLLFAVTFAFTMKSFNFQRR >KVH99839 pep supercontig:CcrdV1:scaffold_1569:110136:112913:-1 gene:Ccrd_021924 transcript:KVH99839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSTTTTGLSPRHDPNXVSHQQQQEGTSSISNNQQQPPPIPPSLPPARLTASQSFIKTAHPLDHLLVGGSREDYIICVPLYEASINGDWEAANRILDRRELVRFSITENYETALHIAASAQSIEFVEKLVNLMEKEDLELKNRSGNTAFCLAAAAGNTKMLEIMVNKNRGLLTIPGSQGMMPLYMAALFGYHDTVKYLYDKSQKMKDDSWTPKNRGWVLLKCIEADHFDIALNILEDCPELARTDGVLGVLARKPYAFNDIKPHFSSKIINGILSVVQWRVPGTSVKESEAMKLLNIIWKNIMKMPKVDIDNLLRGPYDKIIKNGKLVLNMKGGKPTYSSRILFVAAEMGNVKFVVELIRQCPDLIWKLNDNNQSIFHIAVAHRHENIYNLLYEIGSMKXMITPLKDSAGNTMLHLVGQNAKKNRLQDVSGVTFQMQRELLWFKEVEAMIPPSYRERRNAEGLTPYELFTREHKELVSKGEEWMKGTANQFMVVAALIATIVFAVAFSIPGGYDQDNGFPTFLRKEIFIAFVISDAISLIFSSASILMFLSILTSRYAEQDFLESLPQKLMIGLATLFLSIMTMMIAFSFSFFVLYHNKLIWVPIVINVVAVVPVVLYAKLQYPLLMDVYRSIYGSRYIFKPKKRMLYYKNPKL >KVH99837 pep supercontig:CcrdV1:scaffold_1569:85159:87724:-1 gene:Ccrd_021920 transcript:KVH99837 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MKFDGPIIVGAGPSGIAVAACLQENGVASLVLERSDCIASLWQHKTYDRLKLHLPKQFCELPLFGYPKNFPKYPTKNQFVSYMEAYAEHFEIKPRFNQAVASAEFDFESGVWRVNTQDSVYESRWLVVATGENAEAVVPEIQGIEKFEGVVRHTSEYKSGCEFREQRVLVVGCGNSGMEVSLDLCRYNASPFMVVRNSVHVLPREMFGFTTFGIAMALLKWLPLRVVDKLILFMANLTLGSTDKLGLRRPKTGPLELKNATGKTPVLDTGALSLIKSGNIKVVEQGVREITKSGAKFMDGQEIAFDSIVLATGYKSNVPFWLKGSDFFTEDGMPKTPFPNGWKGGNGLYTVGFTRRGLLGTTCDALNIAKDVTDQWRSMMCNSKHEF >KVH99847 pep supercontig:CcrdV1:scaffold_1569:41434:55151:1 gene:Ccrd_021918 transcript:KVH99847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADAALSGLVSDVLRSLTSTAIQEFGRLRRLEADVSALNNTYTQIQAVLSDAEVKQRKEKGVETWLSNLRSASLEVENILDNALTEGMLQRLHNERGIKYKVRAFFSYNHNPLLVRAKVAYKVKAIRRKLDKIAADRSQFNLISDAVSVDDGETRNMETSSLVPTSIIYGREADMEMVAQKICSKDIVRHDTETVQVCAIWGMGGVGKTTLAQLVYNNECVREHFELQSWVYVSSEFKVKKLTRAIIESIDKCQCQLPQLDAMQVYLQNKLKGKRFFIVLDDVWNDERTKWDELSKALSSGAEGSIVMITTRNQTTSRMMAKVPEFQHKVGCLSEEDSWSLFKKFAFASGKEGGNIRELESIGKEIVDKCKGLPLAVKTLGVLMWSKGNASEWQSVNKSDVWELQENDILPALKLSYHNLHPHLKRCFAYCCLFPKGYEMGKDLLIELWMANGFIPSRGETDLYVIGEEIFNCLVSRSFLQDVQEDENSKDLVCKMHDLMHELARYVMRYDCSIINPGKELITPDEVLHLSSSSSDFFFLDQDLVRLRSLRSIFIIVDEYKGNISQISNHVYLRVLCLDGIKQSTLPESICELKHLRYLSISHSGVHFLPKSIIYLQNLQVLLVRGCTKLEKLPEGMRYMKNLRCLDNQDCYRIHCMPVGMKELRCLRRLARFVVGKKKGAQIRELGDLNLLGWGLELDGLKNVGGLEDAKSANLKCKINLVSLVLCWSGSDGFKSEGETARHDEEVVEGLEPNSSLKELEIRNYMGKMISPSWMMNLRNLVKINFSCCERCESIPSLGKLPSLRVIHVAWMLVLKCFHDDEFSGLQELHIYHCLKLVSMPSNLPNLERLDMRSTGLVSIPSNLPKLERLDIDHAPDLVSLRSNLPKLVRLDINDAPDLVSLPSNLPKLERLNIRSTGLVSLPKNLPELLKLDMHFMSLVSLPSNFPKLRDLFMEKCSKLVSLPVNLPKLNDLFLEKCEKLVSLPSNLPKLTELCIYDCPRVHCLPDGLKELTSLTIRKCENLQRRCEKEIGEDWPKISHVPCVDVSRYHPHLCISSICLGQTNERACFYAPPVTTNPSVDTFDVALSALVKDVLQRLTSAAAQEFGLLRRLEDDVLTLRDAFNQIQAVLHDAEMKQRKQKALETWLKSLRSASIKMENVLDEVSTNVMLQRLHKRGIKYKVRAFFSSDHNQLMFRSRTAHEVKTIRRKLDAIAANRLEFNLTPSAVSVDAGVGGEMPNRETSSLVHSSIIFGRNADIELVTRKVCNKDICRDVDDIRVYAIWGMGGVGKTTLAQLVYNNERVNKHFELKYWIYVSHRFEAKELIRKIIESIDKCECRLSLLDAMQVCLQDKLRRKRFLIVLDDVWIEENDRPEWDKLSKTLSCGAEGSIVMVTTRYKITSRMMAKVPEWQHEVGCLSENDSWLLFKKLAFANGREGQNIEELEAIGKKIVDKCKGLPLALKTLGGLMWSKSSTGDWQRVKDNDIWDLQENDLLPALKLSYDNLVPHIKRCFAYCCLFPKGYEMDKNLLIELWMANGFIPPGGEADLQVLGEEIFNCLVWRSFFADVKENYMHQTCKMHDLMHDLARYVMKHDCSVVEPGKEFITPDEVLHFSSSCPHFFFSDQDLEKLRSLRSIFIFAKEYRGSIDQIFNHVYLRVLFLDRVESMTLPKSVCKLKHLRYLKISSSEIEVLPESIIYLQNLQVLVLRLCRHLCKLPNSMRYMRNLQRLDISCCPALRYMPVGMKELTGLRRLSSFVVGKKNGARIGELGDLNLLGWDLELLGLENVGGLEEAKSANLIYKTNVLVLGLCWSDIDTRENRLETFAQDEEVLEGLEPYSSLNELKIHKYMGNTFSSSWMVNLRNLVAIKFSRCNNCVHIPSLGNLPNLRTINLLGMATLKSFHGDDNTTSGDNIRFPSLQELYIHECWNMVSLPNDLTKLESLKILFSDRLVSLPSSLPNLRTLEISFNYQLVSLPNNLPKLQVLHLEECGELVSLPRNLPMLTKLCIDCCERLCSLPDEKQSLENLEELRIYECGDLSRRCEKEIGEDWPKISHIPYLDIC >KVH99841 pep supercontig:CcrdV1:scaffold_1569:1281:1754:-1 gene:Ccrd_021912 transcript:KVH99841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWEGKVSATLTKASADQIWPLFKDFFNFHKWFPNMSICYGVHGVNGEVGGVRYCSGFSLPNQDGGVDNVSWSKERLVAVDSKQMSMSYEMVDCNVGFKSYLSTIKVVPSGGAKGCVVEWLFAVDPVEGLTYEVLYQKYQDGLDQTTKKMEDGLVQ >KVH99838 pep supercontig:CcrdV1:scaffold_1569:98750:101976:1 gene:Ccrd_021921 transcript:KVH99838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MGASRGQKLSGIQKQVLSLYRGFLRTARCKSPENRSHIESIVSAEFRQNSKLIDRKNFLHIEYLLRRGKKQLDQLKTVDSVMLSSSNGNIWNVYSFTKVIKNLHRETTVVGTNDGGGGGFYWRSNSQMITNALPSPLV >KVH99835 pep supercontig:CcrdV1:scaffold_1569:101602:102840:-1 gene:Ccrd_021922 transcript:KVH99835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITTNCCFNHRLPTAPPAATQPPPSARPKRVISWRNQCVVGMACVIIGLQTEGIVGNNDDYAIAADAKAVVESKVKGKKRWSDKRMCPAWQLNSLETIVPEDLPRPSHKRRWEGVGDHLRVAPPVKASTATVVGANNGCFSM >KVH99843 pep supercontig:CcrdV1:scaffold_1569:32367:34317:-1 gene:Ccrd_021916 transcript:KVH99843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3743 MDPEAARTARESLELVFQMSNILDLGLDRHTLSVLIALCDHGLNPEALAAVVKEFRKEPPLTSSTPTTPSDKRKASEYRRAMKCYCHKADIKIVTNLRTGGFT >KVH99846 pep supercontig:CcrdV1:scaffold_1569:51110:57054:-1 gene:Ccrd_021919 transcript:KVH99846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRLLIQRGIVYRVRAFFFNLNQLRFRIRIVHKVKDIRRRLDVIAANRSNFHLSPITKSVDARVGGDRRSIETSSLIRLSKTYGRDAEMGMITKKICSKDVM >KVH99842 pep supercontig:CcrdV1:scaffold_1569:35740:38681:-1 gene:Ccrd_021917 transcript:KVH99842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MAAVLFSSTMSQNTTTNVNDDVKPTIFHDFLGRQCAPLLHCAAADGGSPSPSASRAGGAPISTTSDLDSDRQVGSHLEGVPFYGQRNDFSPSEIRNRYAGSKRSNSDYVFMGSSRDGVPQIRPDFPENSHLMKVLSNIGQQEQLKRPHEVEAFMAAHPIRPTSKWERAIPVNVGPLLQYPPRAGQAVPNAYQTLSNRFKDANVGSVISQSAADQGSRTGIKGSGILSSSINVNGGVAEPAIGKQKSVISVPEPGSSSPLRRQMTIFYGGQAHVFDDVHPNKADAIMALAGSTGASWSTSYSNSVVKPARPSGENIPVTGENTGMAASNSGLPRGRFYVAGSSSPGAVSNDRRSMPHGGHGGVLMADPRQRTTRAAVESSTEEKL >KVH99840 pep supercontig:CcrdV1:scaffold_1569:4844:10031:1 gene:Ccrd_021913 transcript:KVH99840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S16, lon N-terminal MDEDHRQRLLDRELYQIEQIRQLDSEELEIEEVEHGHQSSDDDPYDDRAYGSASGSGGYTFNTSLASLHSYLGEVEDTHNRLAFLDGGVILHVPLFYLEGVVLFPEATLPLRVIQPNFIAAVDRALRQAEAPHTIGVVRVYRDGDNGTIRFATTGTTAEIRQYRRLEDGSINVVTRGQQRFRLRRRWIELGGAPCGEIQIIEEDLPLRTPRDAVGQLAPLRNLSAANASHSKLLVRGNQDHDSDASSDDSFESKLSLTERRLHSSALVSSYPHDLLGESTSSDDDRLMCEPELQLENSLQSLHSVKNRSHDDGLKGHEMKRLSDMPFRGAKRRNHSIHRLRECPRAYWPYWAYQMYDSYCLAQRLSDMWKKIVGTPSMDALVRKPGLLSFHVASKIPVSESTRQELLEIDGISYRLRREIELLENFDRIKCRSCQTVIASRSDMLVMSTDGPLGAYVNPSGYVHEIMTLLKASGLALIGIPTEEYSWFPGYAWTIAYCATCEYQMGWLFTSTNKKLKPRSFWGIRSSQVADDMH >KVI05286 pep supercontig:CcrdV1:scaffold_157:41834:45541:1 gene:Ccrd_016361 transcript:KVI05286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MDLQPVFCCLAFFTTWVSSATALLSPKGVNFEVQALMNIKNGLEDPHSVLNWDGDAVDPCSWTMVTCSSDKLVIGLGSPSQSLSGFLSPSIGNLTNLQTKRKPVRCTNGVADGTSLQCRLLQNNRILGPLPFEVGRLPKLQTLDLSNNMFTGEIPPSLANLKHLQYLRLNNNSLTGPIPQALANMTQLTDLSFNNLSGPVPKFQAKTFNIMGNPIICATGIEQDCNGTTLMPIVTTIGHAQNSQDGFSLRDKPWVLLLAVFRIWICFLVAKTTQPASFLRYQREEVCLGNLRRFQFRELQVATNNFTSKNIVGKGGFGIVYKGFLSDGTVVAVKRLKDGNAVGGEIQFQTEVEMISLAVHRNLLRLYGAARGLLYLHEQCDPKIIHRDVKAANILLDDYYEAVVGDFGLAKLLDHHDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKSANQKGAMLDWVKKIHHENKLDMLVDKDLKTSYDRIELEEMVQVALLCTQYQPIQRPKMSEVARMLEGDGLAEKWEASQRAESNRGRANEFSSSERYSDLTDDSSLLAQAMELSGPR >KVI05308 pep supercontig:CcrdV1:scaffold_157:212266:216324:1 gene:Ccrd_016379 transcript:KVI05308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MKSSKGHQEDEEEDYEDFGAKKDNTASSNTSKDGKSSDKANATRSKHSVTEQRRRSKINERHAYWSAAVDIKERLLETVVTEFQILRDLIPNSDQKRDTASFLLEVIEYVQYLQEKVHKYEGSYQGWNAEPTKLMPWRNSHWRVPNFGQPPVLKSDSGQAPSFPVRFDENVSVAPAVNTTTPQNPSTDGDANQQEELTVEGGTISISSVYSQGLLNSLTQALQNSGVDLSQATISVQVDLGKRANRGIGKDEQEEFSNGDKSSSDQAQKRLKT >KVI05278 pep supercontig:CcrdV1:scaffold_157:382134:385762:-1 gene:Ccrd_016390 transcript:KVI05278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGRVELKRIENKINRQVTFGKRRNGLLKKAYELSVLCDAEVALIIFSNGGKLYEFCSGPSCKIIEQTESGSKQFQLTTL >KVI05288 pep supercontig:CcrdV1:scaffold_157:83383:90166:-1 gene:Ccrd_016367 transcript:KVI05288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longevity assurance, LAG1/LAC1 MAVTDLLNSIDFEYESFPTYADFTLLPLFAIFFPTVRFFLDRFLFERVGRWLIFDKGQQKLDVETDDRNKKIRKFKESAWKCVYYLSAEILALAVTYNEPWFTDTNSFWLGPGDQRWPDQKMKLKLKGLYMYTGGFYTYSIFALIFWETRRSDFGVSMGHHVATVILIAMSYICRFARAGSVVLALHDASDVFLEVGKMSKYSGAEALASFSFILFVLSWVVLRLIYYPFWILWSTSYQVVQTLDKEKHVKEGPLYYYVFNTLLFCLLVLHIYWWVLMYRMLVKQIQDRGKLSDDVRSDSDSDKEHED >KVI05291 pep supercontig:CcrdV1:scaffold_157:285299:299790:-1 gene:Ccrd_016387 transcript:KVI05291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein 14 MWQKVQRKKTLLKDYEQSGKSSVFVDKRIGEQNEELGEFDKAILRSQREMRVKLSKKSKYNLSDGEEDEFDIEDGSLYPERDDFEDEVPFDEEEDGEVTEAEKRSVILKQLSAHGTPGAHATGIEGEENRQKTKKEVYDEIISKSKFFKVAEKAKDKEENDLLIKKLDEQFTSVQSSLAQPNQINVVKDVTSKSINHVISSKVEPDQEKADAYDKLLNEMVLDMRARPSNRTKTPEELAQDEKERLEQLEEKAGEDDGVNLEVKPRDHKQAVNTNEHVDNLDAEKKVAKVKLPGPLQGDLPYTIEAPKTMDDLSSLLENRSDSEIIEAIRRIRAFNAIKVAAENRKKIQVFYGLLLQYFSVSANKKPLNFRLLNLLVKPLMEMSIEIPYFAAICARQRLLRTRSLFAEDIKNSEKSCWPSMKTLFLLRLWSMIFPCSDFRHVVMTPAILLMCEYLTRCPIISGRDVAIGSFLCSMVCKQSRKFCPEALVFLRTLLVAALDKKSEPSQDSEDNRIQNFELESLEDENGYLVRASMLVTVVDTLQGYANIYEEFKSFPEIFLPISNLLVELTAQDHMPVALKDKLRATAQQIEKKADEHHILRRPLQMRKQKPVPIKLLNPKFEENFVSNRDYDPDRQRAEDRKLRKLVKREAKGAARELRKDNYFLAEAKARDKARLEEEKAEEYGRARAFLQEQEHAFKSGQLGKNKKRRK >KVI05301 pep supercontig:CcrdV1:scaffold_157:158120:159161:-1 gene:Ccrd_016374 transcript:KVI05301 gene_biotype:protein_coding transcript_biotype:protein_coding description:U box domain-containing protein MPSSSQSSDWFSKEKDLYSINGFKSSMDKELVETTDLMNSQELAPYLEPLYEIFFCPLTDKIMNDPVEIETGVTCERAAITVFGDTADIIFPESGKKIISRVLNRNIALMETIKQWEKGNEQASIRFARSALSLAKFIQKKQYNVVEICAVGVKPLLGTFLAQKDTDLTFETLELLRLLAENDDDEGKEMIARTIDLSAIIQLSSTVKCVSHLALLLLVDLSKSRHLYDKIGSVTGGVLMLITLKYRQPVDAFASEKADKS >KVI05302 pep supercontig:CcrdV1:scaffold_157:186595:191670:-1 gene:Ccrd_016377 transcript:KVI05302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLHRIGHQCRSLIRLPFTPLNPSILILTKSSSSSLNSAQALELESSTASSATNGPLLSSILSLFKVLGGSAVKFLGVKHRFRTFVSGLSSRQVDEIIEYLRIQDPNSAVEFFELLKTEYGFRHSRVSQFVIAHVLASQGRLKLLRSNLLQMLQEEGFGSGPLFCELLSVDFKGWEANAIVWDMLAFAYSRSEMVHDALFVIAKMKDLNVQASILTYNSLLYNLRHSDIMWDVYNDIKESGVHESKQTNSILVDGLCKQSLMQEAVTLLRGKDMKESSPHVASFNTVMSSFSKMGFIDIAQSIFCLMLKFGVHPDTYSYNILINGLCLAGSIEDALKLTDDMDKHGVAPDAVTYNTLAKGFRVLGMVSGASKMIQQMLTKGLNPDSVIYTLLICGNCQEGKVEESLDLRDEMLSRGYQLNYISYSVLVSSLCKIGRVDEALCLLSEMEIVGLKPDGVMYSIIIHGLCKQGEIQKAIQLYMEMCTKRIFPSIFTHRAVLLGLCENGPLSEARMYFDMLTSSDGIQDIVLYNIMINRYAKLGMIRESVQLYNQILEKGIDPTIVTINSLIYGFCRTRQLTEAIRSFDSIRDHGLLPTAITYTTLMNFLCEEGNIPAMFDLKREMEASAVEPTHVTYTVIMKGLCKQRKLKESLLQLDNMFSQGLSPDQFSYNILIQCFCEAREFPKAFELHDEMILHDLKPDAVTYNILINGLCVYGDLQDADKLFSYLREHNFGLKKAAYTTLIQAHCVKGDAYQAMALFSEMVKMGFQVTIRDYSAVINRLCKRCLTNEAKVFFSMMLSNGVSPDLGVYTVMMYALRLVEQDPSRKSGQDAPVDSVSMTARKSNATDICCGALWSPTI >KVI05294 pep supercontig:CcrdV1:scaffold_157:276078:278157:1 gene:Ccrd_016385 transcript:KVI05294 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MATFLGIFIAILCSVTLLLLFKFLHKFWWIQMNIKRVLSPQGIHGPPYSFIHGNTKEISNMRKKSMSFPMDTSHYIFPRVQPHIDSWFRIYGKNFVYWHGPEAMLVVTEPVILKEIMSNREISMGKQDMGPIMKKIVGEGLISSEGDKWAKQRKIANHAFHAERLKNMVPAMVQSVDMMLTRWKEAGNEEMDVYEEFRILTSEVISRTAFGSSYEEGKQMISSREKTMDEDDSRNDYLGLLLKSHHDIHENFKLSTQDIIDDCKTFYAAGHGTVSLLLSWATLLLAIHTEWQEKAREEVRQVFGNENPTSEGIARLKTMGMIINETLRLYPPGIAITRRVGREMKVGNLNLPANINLQIPALALHHDPKIWGQEAHLFKPDRFSDGIVKATNDNPEAFLPFGYGPRNCVGSSFGINEAKTALSKILQRYRFCRSPNYVHAPLHRITLRPNSGVQIIFRAL >KVI05285 pep supercontig:CcrdV1:scaffold_157:4097:6993:1 gene:Ccrd_016360 transcript:KVI05285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVSAIGFEGYEKRLEITFVEPSIFADPEGQGLRALSKAQLDEILNPAECTIVSSLSNDQVDSYVLSESSLFVFPYKIVIKTCGTTKLLLSIPPIMKLADSISLTVRSVRYTRGSFIFPGAQSYPHRSFSEEVSVLDGHFEKLGLSSKAYVMGGFDKPQKWFIYSASAGPVSSGDPVYTLEMCMTSLDREKASVFYKTQWSSAGTMTNDSGIRKILPDSDICDFEFEPCGYSMNAIEDGAISTIHVTPEDGFSYASFEAVGYDLKAVSLEKMVERVLACFEPGEFSIAVGAAVASKSLEKTCWIDVDGYSVEETCGEELGGEGSIIYQKFVKKDGSCCGSPRSVLKSGSWKEKENEEKE >KVI05292 pep supercontig:CcrdV1:scaffold_157:300244:300528:-1 gene:Ccrd_016388 transcript:KVI05292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVSRVASNNDSANKKKKNKKKINPNAIAMKVKAPAAKPNPFETIWSRRKFDILGKKRKGEERRIGLARTLAIEKAIISISRHMIPLQMIFVA >KVI05287 pep supercontig:CcrdV1:scaffold_157:79311:82518:-1 gene:Ccrd_016366 transcript:KVI05287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVLTELPPPSRFFLEDLNNFTPPTPPLPSPFLLLSNPNSKTPLRPSLLIIALSTPSLYLLHHLSSKTLTGTLVLPEISSSGNSVEPSLKDKSCNLYAITHANESIILANFQYSVPSERTHAIAKTLIGQQIIPERVLIFDCIQSRNFRGRLSTDDTFALKLETAVERKGVPLLKSLNYFPSGSVIEGLGAALLGRCQMKNIKGSLCVSWPELGGSVTSTLKSVLLKDVLPGLELKIDANGEDEGLRFGHKHHYIDSDLYT >KVI05300 pep supercontig:CcrdV1:scaffold_157:168109:171299:1 gene:Ccrd_016375 transcript:KVI05300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MTIQAETHQIKKHSKHPSRKHSKKKDSVTVAVNEKSPLIPKKKGDHFNGASKSGAVMNLSTTIIGAGIMALPATMKVLGLALGIAAIILVAVLSEATVRILLKYSRGGGTDSYGSLMGDAFGEVGKKVLQACVVINNLGVLVIYMIIIGDVVAGSSSNGLHHPGLLEGWFGPHWWNGRVFVLLVTTVDSLSVTSGLAVALAVVFLIITAGIATFKIASGSLPMPRLLPEIIDINSIWNLFTAVPVLVTAYICHFNVHSIGNELEDPSFIEPIVQISLVLCTIVYIMTSFFGFVLFGDATMGDILSNFDSDLGVPYSAELNDVVRISYALHLMLVFPLVFYALRLNTDGLFFPSTQLPLESDNKRFAFISVGLLSLVYLGANFIPSAWDAFQFTGATAAVCIGFIFPAAIAIRDTHGIATKGDKILAVLMIGLAVFANAVAIYSDAYALFKKNPSPRA >KVI05290 pep supercontig:CcrdV1:scaffold_157:76143:77663:-1 gene:Ccrd_016365 transcript:KVI05290 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MATSILFILVSLLNICCLHAIADDPPLSLDYYKSTCPNAEAIVRKEMECAVQSDLRNAAFILRLHFHDCFVQGCDGSVLLDDTITLKGEKKAPTNLNALKGFEIIDRIKNKLESECPETVSCADALTIAARDATLLVGGPYWDVPVGRKDSKTASFSQVDTSIPGANDRLLSMIAKFMYQSLSVTDMVALSGAHTIGMARCTNYRERIYSDYETTATMNPIAESNLKSLKSSCPAAGGEDNKEAAMDYISPNLFDNTYYHILLRGEGLLNSDQELYSSFLGIETSKLVKKYAEDQVAFFQQFSESMVKLGNITNPETYVDGEVRKNCRFVNT >KVI05279 pep supercontig:CcrdV1:scaffold_157:267584:269780:1 gene:Ccrd_016384 transcript:KVI05279 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MKPPLKQVDSANKHGILLEVVQVLTDLNFIVTKAYISSDGGWFMDGNSHLQIVIVLGSETSFTTMKSVGVTCSTDSTVIELIGTDRPGLLSEVSAVLTHLKCNVLNAEVWTHNTRAAFIVQVTDDESGSAIDDPDRLSIIKQMLSNVLKGSNKAREAKTVVSHGVIHTERRLHQMMLADRDYERGSDAGLDETQRPDVNVVNWYDKDCSVVTIRCKDRPKLLFDTICTLTDMEYVVFHGHVDAEGPEAYQEYCIRHVDGSLVKSDAERQRVIQCIESAIERRVSEGLKLELCTTDRTGLLSDVTRIFRENSLTVTRAEVATRNGKAVNTFYVRDASGYPVDNKIIDSIRKEIGQTILKVKCNPHELIENRQESPTRFLFGGLFKSKSFCNFGLVRSYS >KVI05283 pep supercontig:CcrdV1:scaffold_157:44859:48304:-1 gene:Ccrd_016362 transcript:KVI05283 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like protein MHMEFPPGEDYISDLPQSIIETILTKMPLRDAVRTSILSGKWRYKWASLTQLEFDDKCVYGTHDRALAEMNLVKFVSRFLFLHDGPIHRFTLSTAYLQSSPDVDQWLLFLSRKDVKELILELGEGEWFRAPSCLFSCRKLVRLELVRCELDPPLSFKGFLFLKHLNLQQVLIAPDVVENLISRCPLLESLTLSYFDSLELTIRAPNLKYLILEGEFKDICLENTPMLIAISVAMYMTDDIAEHFGQSSSCNFDKFLGGVPSLQRLIGHIYFTKYMSIGNTLGKTQMTYQQLKVIELYQVSFEDMKEIMVVLRLILNAPNLQELQISGSSNSSSACEAPDFDFWEKECPCDCTFEQLKIVKMTDMSGVPHEMGFIEFLLGSSPVLEIMSITPSVYVTEGRLNLLIELLRFRRASAEAEIIFVQDQV >KVI05305 pep supercontig:CcrdV1:scaffold_157:107377:107931:-1 gene:Ccrd_016370 transcript:KVI05305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MAANYHTSFSSSVDFGTNVHHHHRQPMVKQFSSSVSCNNSSSTWDHESQMNMVIQDRKHRRMISNRESARRSRMRKQRQLEELCTQVVWLKNQNHGLLDQLNRFLESQKQVIQENRKLKKETLELRKLLSEAQLATTYTGLGDIGFFRDLDDDGYLLPSCTTVQSSHETTVSSANTNDSSTLLH >KVI05304 pep supercontig:CcrdV1:scaffold_157:151027:154504:1 gene:Ccrd_016371 transcript:KVI05304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MAGEDEIEGGNRDEEQVHFHSANLSSDWPFNGPNDLTNTSMSMITSSNPMNNTSCSSAPMIDSFCHTAPTVWDHHPTNSQNLGAFCDMNLQNNAATSSSSLGFRKGNLVPARNLDMCWAPANSAIKRGGMFLPPAASNILPHSLSQFPADSGFIERAARLSSFSAGNFEDMINPFGSVPESSLSPYSSRAMQGQPQDGFVGNGFKSASAQGSKDMPLVVDCGGANDGSQSKNERQSESFVRSVHEAKQGGSGFSGNNVASEAEFSEGGGGQDDNSNEGLDSKKRRRGDQDTDYNQVKRSPQTPSEATKNNTEVQQKGDNNPNSVANKSSGKHGKQVSQSSDIQKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMDFNIEGLLAKDMLESRGGSSGTLGFGPDMAMPYTPNSSQMAIMQGGLSGLGSSSDAVRRTINSHLMAVGGYKDPTSQVPSSWDDELHNIVQMGLNPSTPISSQNLGSTPPGHLKAEP >KVI05307 pep supercontig:CcrdV1:scaffold_157:154758:156780:-1 gene:Ccrd_016372 transcript:KVI05307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSMEDFVGTGSLQKLLPKLLDDGWDDVPTLKIMNTEDMTAINMTQAQKDALEIRSYLHDRTLMQYADKLEASGKCLAELLNLGTTDLSAQFGMKRGHMARFMDRTSQCEADPLPASFSLPARKPMAPPSRNSSMFKTPSISAKPKLQAMSTQSTIAYDATIEQSIADFKIKDGYIFKGIVAALPDEPRACGCIQPPPIVDDVAPYSTIENISVQKLTPEYKIGMERLVKLKTPPMRVSDMWRDKPSLLLCIRRPGCIMCRAEAHKLYSKKPIFDALGINMFAVLHEHIESEVRDFWPRYWGGVVLLDKNMGFFKALGGGSLLKDKFVSGFLFNPRARANYKRAKAMGIEQNFKGEGEIKGGLFMVGKGRSGVAYQFIERNFGDWAPLAEVIEVATKLQNHQEDGEESMGGSRDYE >KVI05298 pep supercontig:CcrdV1:scaffold_157:237881:244035:-1 gene:Ccrd_016382 transcript:KVI05298 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVTLVVATTTDPASFGPASALSAMGNWKPGVSFQGIRSKVNGEVRLLEHDKGIVEEDHLDNRWEEVTGEVVEEIIFLSKHTAVSNRPALTIHPIGVPHLKEGDVPPQGGKPGWAAVPSPRMTPWLILLKKLAQSHNLVPEFEITLEATHHGPVTNKPTMFVEIGSTIECWKRQDAAQVVARLVWEGLGIGGGEAVGNWNSMSGNKKVLLGIGGDPGQSKGETKSKDIGGTWKQAIKAAYDATNEGFPGGEIIAHLDHKSFKGWQKKAIMEYLDEQNIKIGKPGDFY >KVI05284 pep supercontig:CcrdV1:scaffold_157:54436:59041:-1 gene:Ccrd_016363 transcript:KVI05284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MYDNSSDINETSYTTLWDLNVDSSFFLFSWCSLLDQHQQEERMNNRHHRLFRSAIAATFSKIRPCTSNVRTLSTVRCNSTNVAVANDDEYKGHDMLAPFTAGWQTTDSSPLVIEKSEGSYVYDVNGKKYLDALAGLWCNPLGGNEARLVAAATKQLNTLPFYHSFWNRTTKPPLDLARELLGMFTANKMAKAFFTNSGSDANDTQVKLVWYYNNALGRPNKKKIIARTKSYHGSTLVSASLSGERNILEQVNHISPMFQEGLKAFSSSSIIGEIRGTGLILGTEFTDNKSPHELFPPEWGVGAYFGAQCEKHGMLVRVAGDSIMMSPPFIMTPNEVDEMISKYGKALKDTEARVEELKSQVK >KVI05306 pep supercontig:CcrdV1:scaffold_157:157396:157650:-1 gene:Ccrd_016373 transcript:KVI05306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDLDTGVGILIQEKAIHHVLNVVKEHKDESVWQKSFWILEKLLGKGEDNSISEISQDRFLGATLINVMHHGHGDIRLMAENT >KVI05309 pep supercontig:CcrdV1:scaffold_157:196692:199356:-1 gene:Ccrd_016378 transcript:KVI05309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-/4-kinase, catalytic domain-containing protein MAVAVFKSSLGREYHGNDRMEGVKHTGRRRVFVQTESGCVLGMELDRNDNAHMVKRRLQIALNVPIEESSLTFGDMVLKNDLSAIRNDSPLLLTRNLLHRSSSTPCLSPSGRDTQQRDRSGPIEILGHSNRFLITKEMVDEIVKALKIGVDPIPVHGGLGGAYYFRNSRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYNHFANVPPTALVKITHSIFNINDGVSGTNNNTCKPERKKKHFSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLNDGENGKFGEVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELEYIEALDPYRDSEMLRNELPMIREACLRVLILCTIFLKEAAGCGLFLGEIGEMMSREFRRGEEEPSELEVLCMEARRMVTEREKEVFVLSPKTQVQEDGFLFEIETDDSGFDISPKLSTVLPFNFGFGRNPLSKLEETMEEEEEDDENEDENEAEDGGKLSNLSMTLKKNLSLGCDYGSSKGYVAVKSSGGQRSAGEQLPAGANFVKVAEMEEEEWGVFLEKFQEVVRPALAQRKSGRLQRQRLGTSCRF >KVI05281 pep supercontig:CcrdV1:scaffold_157:92473:95924:-1 gene:Ccrd_016368 transcript:KVI05281 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal MATPSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAEKEGNLPLYGFHDPKSFINSLQKPRVVIMLVKAGAPVDQTIETLSVYLEKGDCIIDGGNEWYENTERRQKAMAEKGLLYLGMGVSGGEEGARNGPSMMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLIAESYDVLKSVGKLSNEELHEVFSEWNKGELLSFLIEITADIFGIKDDKGDGHLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERVAAAKVFKSGGVSDILSDQVVDKKKLIDDVRQALYASKICSYAQGMNLIRAKSVEQGWDLKLGELARIWKGGCIIRAIFLDRIKQAYDRNPDLANLLVDPEFAKEMIERQSAWRRVVCLAINSGISTPGMSSSLAYFDTYRRGRLPANLVQAQRDYFGAHTYERTDIPGSFHTEWFKLAKASKI >KVI05303 pep supercontig:CcrdV1:scaffold_157:170622:178167:-1 gene:Ccrd_016376 transcript:KVI05303 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, helix hairpin domain-containing protein MWRNVCNRASVKKLIAFANKSNFRDTQFLEKARLLELYPYRNLSYGDLGFRRISGLGSQKDNLGDSSLANLGVSFGSLHVSRKGYATSSAAEEIVSTEGEESDEIREMVHHLNKEIKVVKMKANNNSDSKKQPKLINGIGQGKYIALKRRQIKIETEAWEAAAREYQELLVDMCEQKLAPNLPYVKSLFLGWFEPLKNAIAAEQDLCKEGKNRGAYAPQFDQLPADMMTIITMHKLMGLLMTGGGQGGARVVQAALHIGEAIEHEARIHRFMEKSKRKTTLNESPDDDLEAVNNEQQIQKLRKKVTLLVKKKKLQQVRHIVKQQDELKPWGQDAQVKVCTLLAKHVVVIIFNEQFLFFLIQLTTVNVRSSQVGSRLIQLLMETAYIQPPVGQFEDCSPDIRPAFVHTLKTVETPKGSRRYGVIECDPLVRKGLEKSAMHMVIPYMPMLLPPVNWTGYNRGAYFFLPSYIMRTHGAKQQRDIVKRTPKKQLEAVFGALNTLGATKWRVNRKVLGVVDRIWASGGRLADLVDRDDIPLPEEPDTDDEDEIRKWKWKVKSIKKENRERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGESGLRWLKIHLANVYGGGVDKLSHEGRMAFAEKHLDEIFDSSDRPLEGKRWWLRAEDPFQCLATCMNLSEALRSSSPETSISYMPIHQDGSCNGLQHYAALGRDKLGASAVNLVEGEKPADVYSGIASRVIEIMQRDAKGDPITDSNAKHARMLVNQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIEDDAELFAAACYAAKTTLTALGEMFEAARSIMSWLGDCAKVVAMKNHAVQWTTPLGLPVVQPYRKLGRHLVRVHDSFWTHACDVDQMNTILREKFVELYEAPILENLLESFQKSFPKLEFPPLPERGDFDLKEVLKSPYFFN >KVI05289 pep supercontig:CcrdV1:scaffold_157:68641:72313:-1 gene:Ccrd_016364 transcript:KVI05289 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTH-like domain-containing protein MAQDNSIAESTSRKQRLLKDQIRLVKRKDSARFEIVQIKDTLSFEKGFFVVIRACQLLAQKNDGIILVGLAGPSGAGKTAFTEKIVNFMPSVAVISMDNYNDGSRVIDGNFDDPRLTDYDTLLQNIRDLKDGKAIEVPIYDFKSSLRTGYRTLEVPSSRIVIVEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIYQISETVYPMYKAFIEPDLQTAHIRIVNKFNPFTGFQSPTYILKSSRNVSVEQVKSAMSEEHTEALEHIYDIFLLPPGEDPETCQSYLRMRNREGKYNLMFEEWVTDPPFIISPRISFEVSVRLLGGLMALGYTIASILKRSSHVFHDDRVCVKIDWLEQVNRHYVQVLGRDRLSVRCVAEQLGLEGSYIPRTYLEQMKLEKLLNEVMVLPEDLKTKLSIDEEMRSSPKGSVTHATTRDKFLKSGMSHSYSTARDKNLTSITGFTGAISQLSEQISTLNDRMDEFTSRIDELNSQITRGINYGSQQKIDACNGSASTSYFTSAVANHSSTGPTVRHSSSSTQLAKDSALIEEISGIARSQHKIMHQLDILNTNLRDKIGERSREEKEVKKSKGLEVDAVVASLLLALACGGIGIFLFKTVLPGN >KVI05296 pep supercontig:CcrdV1:scaffold_157:218115:223793:-1 gene:Ccrd_016380 transcript:KVI05296 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD-like protein MESFWQKAKSLAEEATKRSQTLTSSPVRIADIVSETAKRSKDIAAEASKKADEFKTAALLSLADQIPSLSITSSASSSDSPSELDLEKFGITQDLRDFVKGLTLSTFQNFPFPDDLVSDVATVSNVRQDLSEWQQKHANLVLTTVKEVSRLRYELCPRHMKDGRFWRIYFTLVSTHVSPYERKFVEESKLKQIAQKEDENMDRTLVVGPELVEASLKSKTSTSEQDLDSYLLGDLDDSDGHPDDEGNDAFVDDDDDDFDKIDNSEIEDGK >KVI05297 pep supercontig:CcrdV1:scaffold_157:227479:230602:-1 gene:Ccrd_016381 transcript:KVI05297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESPKRYTNPFLSDEENEKVNFWNNQELECSNIEDDLTNNCDDEKFRSLAPCNLPSEFFEKETDFYTDKNVMECELPELLVCYKESAFHVKDICVDEGIPHGERILFDENNHEIHCISSPANEGKQDEIIEDSLHTQYLKPEGLRFSHMEDYYMESDLPVLEPTDDHMDVGDNRDEVIERNLDIQLVMGEKIRPSSSKDSCMKSDASSETQEIDTNLPVSEPVNDYIDTEIAVRCFHSSVPDKDSKDCEDDTAKECGPKEEALDSIVPNELKNTSKDDNGDDDGPSECSLDELKISAESDTVPKATDNYGPEISTETGEKQINSSANLLDNASTEQVVSISVPSLQQDEPLPSLQFLLDSVNRARDIHQQPCQSAVEEVSERPVVENEAEEPGRSIQTTDISNESMMEGNNALNLNNGKPATSGGLHGVQNPENVHELPIEAQGAPNHLDVASDNVAMVNPVQRGEGESSFSVAGPVSGRITYSGPIAFSGSVSIRSDSSTTSTRSFAFPILQTEWNSSPVRMAKADRRRLQKHRGWRHGILCCRF >KVI05299 pep supercontig:CcrdV1:scaffold_157:243804:250601:1 gene:Ccrd_016383 transcript:KVI05299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin MAERADAGPNEAGSVVVATTSVTILASNRQMLYSSASLHRHFYLMAPKPQLIRRLADSTMQGTTKKSRLIATASASPSSSMKDYFSKYAEYLNQFNDKRERVVKASRDITINSKKVIFQVHRISKNNKDEVLERAEKDLLAVRDQHIARLVNELQGTDFWKLRRAYSPGVQEYVEAATLCKFCTSGTLLNLEEINSMLLPLSDASLEPLQINILDYILGLADLTGELMRLAIGRISDGELEYAERICRFVREIYRELTLVAPKMDDTSDMKQKMDTMLQSVMKIENACYSVHFPCEIYLLKETTIEDHKTLLHEHVVCISNGKVMYP >KVI05277 pep supercontig:CcrdV1:scaffold_157:423658:428967:1 gene:Ccrd_016391 transcript:KVI05277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin II heavy chain-like protein MEEVTGDGAMIGMRDKTEMLYGGDHSGGNARPPMGETTAFMMSGSFKEGVGGGRGSGTGSRRRFSVRPSLDADEFMNLLHGSDPVKLELNRLENEVRDKDRELSEAQAEIKALRLSERLREKAVEELTEELSKVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKIMDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQHLRDKLAITERAARSEAQLKEKYQLRLRVLEDTLRSPNPISRSGSSDGKSMSNGPSRRQSLGGADNFSKLTSNGFLPKRSPSFQMRSTLSAGSSSVLRHAKGTSKSFDGGTRSLDRGKLNLNGGSSPTFNFVQSCEGTKDIEPSNSSWKGNPDEKPSDFPGTETEDTVPGLLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQDNRAKRFGNSKGSMNSNQINPARYATHYFSYMNVARGGLTRNTQ >KVI05293 pep supercontig:CcrdV1:scaffold_157:432525:435058:1 gene:Ccrd_016392 transcript:KVI05293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MASNDVHSHRDEENGGATCIATTDTQNSSNHRSDCKKYEIIDIDIRSEFSHHDRDVARINNGSFGSCPSSVIESQQCYQLRFLQQPDDFYFNHLKPSILRSRQAIKTLIKADHVDEISIIDNATTAAAIVLQHVKWSFFESKFNPGDTAVMLHYAYGAVKKSVEAYVTRAGGHVIEVKMPFPIASNDEIITAFRNALELGKSDNRKVRLAVIDHITSMPTVVIPVKELVKMCREEGVDHIFVDAAHAIGSIEVDVKEIGADFYTSNLHKWLFCPPSIAFLHCRNPEVANLHHPVVSHEYGNGLAIESSWIGTRDYSAQLVVPEALEFVNRFEGGLDGIIKWNHQKVVEMAEMLVKAWGTNLGSPPEMCSSMAMVGLPPSLEITSDSDALKLRSHLRDCFRVEVPIYYRQPKDGEVNPVTGYARISHQIYNTFDDYYRFRDAVSNLVNCRFTYSNGDPTPTEDWIGLVWFGLVWWKMKESSRFDETPLTNFDFHVTVTNHLNSKIFL >KVI05282 pep supercontig:CcrdV1:scaffold_157:99055:105941:-1 gene:Ccrd_016369 transcript:KVI05282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MAKEIHFNHDGSTTTKLLAGVNMVAELIGVTLGPRGRNVVLQNKYGPPRIINDGETVLKEIQLEDPLENVGVKLVRQAGAKTNDLAGDGSTTSIMLAQGLIAEGVKVIAAGMNPIQISRGIQRTAESLVSELKLMSREVEDHELSDVAAISAGNDFEVGSMISEAIQKVGRRGVITIEKGNCAENNLQIVEGMQFDRGYMSKYFTDRRTMKAEFQDCKLLLVDKIITNPKEMYRILDMAVKGNYPIIIIAEGIEQEALAPVIRNKLKGVLKAAAVKAPAFGERKSHYLDDIAILTGGTVVRDDAGLTLERVQEDVLGTASEVVITKDSTLIVTDGSTQAAVKRRVTQLQRLVENTEENFQKKILNERIARLSGGIAIIKVGAQTQVELKDKQLRMEDAVNATKAAIEEGVVVGGGCCLLRLSLKVNEIKELMDNEEQKIGSDIFKRALEYPARQIAKNAGVNGSIVVEKVLSNDDMRYGYNAASNQYEDLMAAGIIDPTKVMRCCIEHAASVAKTFLTSDAVVIDIDGPVAKGMRPPKQTPKPTPTPTPTPTPTPMSMPPSGIGPMGF >KVI05280 pep supercontig:CcrdV1:scaffold_157:308696:310633:-1 gene:Ccrd_016389 transcript:KVI05280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MESILERYERYSYTERQLVAADAAPRSWTLEYNKLKSRAELLQRNHRHYMGEDIESLSLKEIQNLEQQLDTGLKNIRSRKNQLLHESISELQKKIKEKEKEKTITQNVPWEQHNYVDTDATFLMPPPPPALNIG >KVI05295 pep supercontig:CcrdV1:scaffold_157:280504:283367:-1 gene:Ccrd_016386 transcript:KVI05295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSDDYKKSSSSSKKWGGGGGSGGGGGGGPYRGGLRGLDHTPLQPVDLAVEAKTLYSRNRSVQVRFGNDLMGLIVCVIYSIS >KVI06684 pep supercontig:CcrdV1:scaffold_1570:10516:12738:-1 gene:Ccrd_014961 transcript:KVI06684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MRSFLILFLSFYTLTQVAALDDALSILEFKYKADLHNKLPYSVNTTSTHCKWQGVQCQSDGKVVHLVLENLSLAGVFAPNTLTRLDQLRVLSLQSNSLTGPIPNLAGLVNLKTLFLDHNSFSGAIPLSISYLHRLRTLDLSYNKLSGVIPLDITKLDRLNYLRLDSNRFNGSIPPLKQSSLQILNVSCNSLTGPVPVTPTLANFTASSFSFNPGLCGEIVRTECSSIGPFFGKNSTAAPPPPPRVVLGQSTQMQEGMTGFTNSNSRKHKRLALIIGFSAGIVVLISSIMCLLISMKASEKKKRKDIMSSSEIMEMAAAADAAAEVMRMEETNELEEKVKKLQQGMAMGKSGNLVFCAGETQLYTLEQLMRASAELLGRGSVATTYKAVLDNRLIVCVKRLDAGRMAGTTKETFERHMEAVGGLRHPNLVPLRAYFQAKEERLLVYDYQANGSLFSLIHGKAKPLHWTSCLKIAEDVAQGLCYIHQAWRLVHGNLKLSNVLLGSDFEACLSDYCLSALSPRHPEDPAAYDPPEIRKLNHQPTAKSDVYSFGVLLLELLTGKPASEHPHLMADDMVKWVRSARENGAVEAEDRRMMMLVEVAIVCRGSSPEQRPTMWQVLKMIQEVKEGGGVQEEGPNEQK >KVI06683 pep supercontig:CcrdV1:scaffold_1570:106785:108881:-1 gene:Ccrd_014962 transcript:KVI06683 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAVDLMNAYRNGALLTKMDENSVQEAAAAGLQSVENLIRLLSSQNQSYQTNTTSSSSSSPPADSSATTDYVAVADVAVTKFKKFISLLDRNRTGHARFRRGPVCNPPVSQEKPALLAAGVTNPHHHQTVEKVYSPAPIQQRLPPSSQTIHHHPLVKSGSFDRKDFPVTTINFAATAAAAASQANSFMSSLTGDTDSFQPSMSSGFQITNMSQVSSAGQPNMSSSSFKRKCNSMDDSHTKCANSSGRCHCSKKRKSKMRRIVRVPAISMKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVEKALDDPAMLIVTYEGEHNHSHNVNDTTGAIVLESS >KVH88122 pep supercontig:CcrdV1:scaffold_1571:75895:76448:1 gene:Ccrd_024489 transcript:KVH88122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLSKMLRHSHPSNLLLQRKLIVSIQLSVEYA >KVH88124 pep supercontig:CcrdV1:scaffold_1571:31085:33205:-1 gene:Ccrd_024487 transcript:KVH88124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MARYVLGKQQIVNDLQLLNSSPFADLLSSCLKSKSSSKQTQRIHARIIKSRFCSETFILNRLIDVYGKSDFVDDAYKVFDRMPERNIFSWNAVLSTLTNAALLDEANQIFESMPVTDQCSWNSMVSGFAQHDRFDESVEFFVSMHAQDFVLNQYTYGSSLSSCAGLRNLKMGTQIHASISKSPYEGDVYMGSALIDMYSKCGNVDCAQKVFNGMNQRNVVSWNSLITCYEQNGPASEALDVFNKMMNSGIEPDEVTLASMISACASLSKLNVGREIHNRVVKFNKYRDDLVICNALVDMYAKCSRIVEARWIFDTMPIKNIITETSIISGYAKAANVETASSMFVNMTERNIVSWNALIAGYTQNGENEVALGLFRQLKQDGVFPTHYTFGNLLNACASLADLRLGQQAHTHVIKHGFRFESGPESDIFVGNSLIDMYVKCGSIEDGTRAFRDMAQRDYVSWNAIIVGFAQNGHGFETLELFKEMLASGEKPDHVTMIGLLSACSHAGLVEEGRRHFYSMTKEYGIEPMKDHFACMVDLFGRAGCLDEAKNLIETMPIEPDAVIWSSLLGACKVHGNVELGKFVAEKLMKIDPKNSGPYVLLSNMYAEKGNWGDVKRVRKIMKQNGVVKQPGCSWIELQGKVHVFMVKDRRQRQKKEIYTVLRTLTKVMKLFGYVPNVRDLEANEEGGSDLNSFEEQEVPITTEVS >KVH88123 pep supercontig:CcrdV1:scaffold_1571:6571:18266:-1 gene:Ccrd_024486 transcript:KVH88123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine/AMP deaminase active site-containing protein MDAYTLHLAMAALVGASFVAVSAYYMHRKTLNQLLEFAKTVEKEREEGEDDLLQHYRKSVHPSVEKPLQRRNQGGRRNGFYRRASSSLPDVTSISGGGGAHDEDAIPPGLPRLHTLPEGKCAGHAGLAKRAGHILRPTSPKSPVASASAFESVEGSDEDVDVTDNVNPDATYLHTNGNAGPECTSVNANGENLPIAASTMIRSHSVSGDLHGVQPDPVAADILRKEPEQETFVRLRISPYETPSPDEAEVYRAIQVCLEMRNSYVFRESIAPWEKEVISDPSTPKRNPNPFDYVPERKSDHYFQMEDGVVHVYANKDSTEKLFPVVDATTFFTDLHHILKVIAAGNTRTVCHHRLGLLEQLSSDFGMLLYGISMKKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVTCLLVADFVVIFRDGTYLTLLEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFTDLDGSKYQMAEYRISIYGRKQSEWDNLASWIVNNELYSDNVVWLIQLPRLYNIYMEMGIVTSFQTILDNIFLPLFEVTVDPGSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNIFNPAFSYYVYYCYANLYTLNKASMLRESKGMTTIRFRPHCGEAGDIDHLAAAFLTTHNIAHGINLRKSPIGLCMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHVLKSHWIGQEYYKRGPDGNDIRKTNVPHIRLEFRDMIWREEMQQIYLGKATLPEYIET >KVH88121 pep supercontig:CcrdV1:scaffold_1571:35747:40809:-1 gene:Ccrd_024488 transcript:KVH88121 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA domain-containing protein MENGNHKEIPEDANEHCPGTQSEDAGKSDACAGCPNQEAYLVVIAERMATVKHKILVLSGKGGVGKSTFSAQLSFALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVFVDSIGVMSIGFMLPDPDDAVIWRGPRKNGIIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLKETGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGIKVLGVVENMSGLCQPVTDFKYLKQSKNGDQEDVTRWAMEIMKEKAPELLDLVACSEVFDSSAGGAAKMCRDMGVPFLGKVPLDPKLCKAAEEGKSCFSGEECGVSAPALSAIIAKLLKDNTMT >KVH88126 pep supercontig:CcrdV1:scaffold_1571:81445:94796:1 gene:Ccrd_024490 transcript:KVH88126 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MKTLVLMWVLLQMLISFTASETYTLINSTNLAKPGCLSRCGDVIVPYPFGIGIKSNCSISHEFDIYCDNSSNPPKAYFVEGNYNSITLISDSTLRTTNMVASTCYYPNATIKTSVNISTDYTGSPYTLSEVNKFTVIGCSDYAWLTSRTNSRDVSTGCMAFCSRPQDAIGDHCSGSGCCQSSIPKDMNYYTTQLATFQNSANLSYTRSFNPCTHAFVGEENTFEFHGAKDLKDTSLDKKIEANVPIVLEWAIGNMSCIDAKALDGFACQSNSKCVNSTRESGGYRCICNEGYEGNPYLSPGCQDINECKDKERFPCYGTCVNNGGNYKCKCKQGYSGDAKIHGGCRRNIPILQLSLDINECKDKERFPCYGTCVNNGGNYKCKCKQGYSGDAKIXGGCRRNIPILQLSLEHLIQQSPPLMIVAIHTLYHSEFPTI >KVH88125 pep supercontig:CcrdV1:scaffold_1571:102086:115281:-1 gene:Ccrd_024491 transcript:KVH88125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTFLKEKIEANVPIVLEWAIGNLSCSEAQTTNGKAVGTVEYAMKAMKEVLIYFLVAQCHYPEKFPCYGTCVNTVGNYTWKCKEGYSGDAKIRDGCRRKPFQPLVLYIGNSSLFSLIDCWLRFVLTKCALQRQTQSYNKKQSLHIFATTFVEGYLSKPRRRKWRRWWSEMETTVVVGNGSGDLLLLEDGGGRRREGGGGWQQVWRLAVARRRWWSATVVSNGKTVVVGNGKTAMDLTFTATLLQTLQRPLLEKWIIVELNIYLIPHSTSRISWLQVHSVYLRIIQIGQVNKFTVIGCNDFAWLTSRTKSRDVSTGYMVFCSEPEDDLARECSVVISMSLKMWRSFHVTELVLKLQGITHANANEDILVMLRFMMVVDINHSHCFYCLQ >KVH97293 pep supercontig:CcrdV1:scaffold_1572:89342:94690:1 gene:Ccrd_000613 transcript:KVH97293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MGHSAAVWDSKIATENVKDWNGIDQIVLRNPHGASARVSLHGGQVISWRNDRGEELLFTSSKANGKAPKPMQGGIPICFPQFGSCGSLEQHGFARKKIWIVDQDPPSLPANDSNRKSFIDLLLKPSEEDLKFWPHSFELRLRVCLGMDGNLTLISRVRNIDGKPFSFSFAYHSYLSVSDISEVRIEGLETMDYFDNLCHKERFTEQGDAITFESEFDRVYLSSPNCVAVLDHERKRTYVLRKEGLPDVVVWNPWDKKSKAMADLGDDEYRQMICVDGAVIEKPISLKPGEEWKGRLEIVVVPSSFCSEDL >KVH97287 pep supercontig:CcrdV1:scaffold_1572:5959:6306:1 gene:Ccrd_000605 transcript:KVH97287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MDRIHILSVVAIYCFLSGPMVVAPPSCRQIIPELVPCVPFFMGLVLQPSILCCTGVRVIKEMGKTTEDRVAICNCAKEILTRLVLYDPKQFPLLDKRCGIDLNYPPISKDFDCKK >KVH97288 pep supercontig:CcrdV1:scaffold_1572:27404:31535:1 gene:Ccrd_000608 transcript:KVH97288 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MKTNPSWLSSSKGKEVFNRVFPHKLKYQPLSSSKKLGLPNLMTAAQSQLQISTRASKHGSETRASKSLIEDEAELSDWVSDLKSDSFKKSRSYSESDDGGNDWRGSKGSDGKRKRDSDSDGYNGRLGNRDRGGGGTRGSFHGDSRGKGRSGGEFGRRDEGFASRRFENGRGGRGLDNSRGRGRGGGRFGGEVERSDRFSREGSKGNPLSKGKDNRFLGSRRGGGGKSMSKRSLMTSDEDTVSEDDEEEEKNLTNKFRELISDEDDDSDDDDDEDEVLGNGPPKPRLDIVDGASSSPKISPDGSESYLSETRFDQCAVSPLSLKAIKDAGYEKMTVVQEATLPVILKGKDVLAKARTGTGKTVAFLLPSIEVIVNSAAVGRDQKRPPILVLVVCPTRELASQAAMEANKLLKYHPSVGVQVVIGGTRLGLEQKRLQANPCQILVATPGRLKDHTENTAGFATRLMGVKVLVLDEADHLLDMGFRKDIEKIIAAVPKQRQTLLFSATVSPEVRQICHIALKRDHEYINTVREGSEETNTQVQQMHLVAPLDKQFSLVYTLLKDHIADDPDYKVLVFCTTAMFTRLVADLLGELKLNVREIHSRKPQSYRTRVSDEFRRSKGLILVTSDVSARGVDYPDVTLVIQVGIPADKAQYIHRLGRTGRKGKEGQGILLLAPWEEFFLSTIRDLPISKAELPLVEKALAHVEMKNKESAYQAWLGYYNSNKKIGKDKFRLVELANEFSRSMGLDNPPAIPKLVLGKMGLKNVPGLRSK >KVH97286 pep supercontig:CcrdV1:scaffold_1572:10627:10971:1 gene:Ccrd_000607 transcript:KVH97286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MDCFRLLWSVAIGLLLVSRRPVVYAAPSCTWVMPMLAPCLGYINGQEPSSLCCSAVESIKAMEKTKSDRMAICNCVKQATRLISYNPKRLPLLPKKCGVDLKFPPIGHDYDCKK >KVH97285 pep supercontig:CcrdV1:scaffold_1572:31630:44605:-1 gene:Ccrd_000609 transcript:KVH97285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase domain-containing protein MGDHEEWSRGLLPNGLLPNAGPLLESLDSVRWLKAEERTAELIACIQPNQPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSNNANLKDSWASEVRDMLETEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWDNFCVSLWGPVSISSLPDVTAEPPRKDSGELLLNKVFLDACSSVYAVFPGGQDNQGQAFVSKHFNVIDPLRVSNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPKENLVAEVNQFFTNTWERHGSGIRPDAPGTDTLRLNLSVSDNQPVTDIPKINSSGKKFKEFIGRESEFEERVSRNSFRQHGNNQSESTVKTQTQKGHGNLNSSRMSNQAATADRGQKNLIPGQLVNDIQRSPFARTRSSPELTETYNDVSSQGRLNRAPESGKNQAPTDTHGGSNSSYRNRRKNVEAELPNHTGRSSGDDPSSVRHAPTHLGFDASVDSNNSPILYQRDSVLHSMGEEISSTVGAQGMHQEEQDLVNMMASSALHGFNSEVHMPMSLASGHLPFPFSPSFLAGMGYAQRNMAAGMVPANFPLIDPAFSGMQFPHGLVSPQLTHFFSGLGVTSNPEDSIEVGSESFNSVEMSSGDADHDSRREQDVGSTGGFEPNRMNSEIVPSDDKRQSSSVGLNYVPPPRRVGGSGGLVRSQQKYNKEKRGPLRDGHSDHSQYQDNRGSDVFSDERTTSSRFSSVAHSNSLKSKTSSESSWDEPSTVPKSTKEKRGKKAVVSAESSSGYVKGKTMFESTSAQQSEDDDQDCLPPPSMGSDTADRSFGPQSMAPIHVPRSHMSYEPAQTSGSESMVPIGPMILGPNSRQRMMDNSGAMPLTFYPTGPPVPFLTMLPFYNVPSQPGPSDASTSHFGVEDGLDNGDIGQNFHLEGLDQAEDFSPDSLRGAAPGGPQDERKSDILNSDFASHWQNLQFGRFCQSPRQHGPTVYPSPLMVPPIYLQGRVPWDGPGRPLPNMNLVTQLMNYGPRLVPVAAPVQSVSNRPPNVYQRYVEELPRYRSGTGTYLPNPKVAVRDRHSSGSRRGNYNHDRNDNHIDREGNWNANSKPRGSGRNHSRNQTDKSSSRLDRLAANESRADRSMSSYRHDSFPTYQSQNGSLHPSASSQSGQANVAYGMYPLPASNPGGPSSNGSTVPNMVMVYPYEHNTGFTSHTEQLEFGSLGPVGVSGMNEQSQPSDGSRPRDTLEEHRLYGTSVQRSSPDRPSSPRHQR >KVH97289 pep supercontig:CcrdV1:scaffold_1572:524:814:1 gene:Ccrd_000604 transcript:KVH97289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MVVAPPSCSQIHVALAPCVPFLKNLVLQPPILCCMGVRIMKETGKTTEDRVAICKCIKEVLARLILYDPTRFPELDKGCGTDLNYPPISRDFDCKK >KVH97292 pep supercontig:CcrdV1:scaffold_1572:55209:62259:1 gene:Ccrd_000610 transcript:KVH97292 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/Kelch-associated MRDSSLDLFDPRTAVMDSNYSAEPGRADGDFGFAFNDSNFSDRVLRIEIVSDPLEARPDGEGYLSLADWCRDRKRRREDVKRENPVDIAAGCQEEQILNQPDHIDDGVDTENQDEEPAAMIEEAPSGMNHLLFSNGMRESEQRYVNLRINASEEAALMELLNFMYSNSLTVTAAPALLDVLMAADKFEVASCMRYCSRLLRNLPMTPESALLYLELPSSVLMAEAVQPLTDAAKQYQGEVLNLPIAGVEAILASNDLQVASEDAVYDFVLKYARFQYPIIEHRREILGNRLSQFIRFPYMTCRKLRKVMTCPDFDPDFAQKVVVEALFFKAEAPHRQRTLAGDENPNLNRRFVERAYKYRPVKVVEFELPRPQCVVYLDLKRDECARLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEYVSKYKGNYTFTGGKAVGYRNLFAIPWTSFIGEDSVYFIDGILHLRAELTIRH >KVH97290 pep supercontig:CcrdV1:scaffold_1572:95872:101089:-1 gene:Ccrd_000614 transcript:KVH97290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease, phosphorolytic domain 1 MEYVNPEGLRLDGRRPMEMRQLRAEIGAVSGADGSAMFEMGNTKVIAAVYGPREVQHRSQMVNDKALIRCEYTMANFSTGDRTRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGKRKILISHSKHLICYASQLLFTFFSGTRSACINAATLALADAGIPMRDLVTSCSSGYLNSTPLLDLNYVEDSAGGPDVTMDAKLPMDIFENVMQLATEGCKAVANYIREVRNLILVLFQAIRFEKVAIVWFLLMENQVCGERCRRRDVINHLPSYLLFGLNFRRFRAVTVGV >KVH97291 pep supercontig:CcrdV1:scaffold_1572:63219:65283:-1 gene:Ccrd_000611 transcript:KVH97291 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4308 MSLMTTAAISTSMASTAVTLPRLPALRCTAVPNLPCRPFPTSIKLVSEDASSPPDANELFADLKEKWDAVENKPTVIIYGGGGVVAIWLSSVIIGAINKVPLVPNIMELVGVGYTGWFVYRYLLFKSSRKELATTIESIKKEITEP >KVH97294 pep supercontig:CcrdV1:scaffold_1572:81044:86599:1 gene:Ccrd_000612 transcript:KVH97294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGNSEEAKDFKHDETSSPSLEQQQTGHVYPDWAAMQAYYGPRMAVPPYFSSAVASGHAPPPYMWGPLQAASPMHIDSPAKSSGNSDRGLVKKLKGFDGLAMSIGNDNGGSAEGGNDNGISQSGETEGSSEGSDGNTTEVRIETSTLLLYGFLLQMTLKLLPCYVQGAKNGRKRSRGGSSTSSEVGKTEQSDQLPSTNANGGSKKVTGLAVAPGKVLGKTIGVVISADSGTELELKKSPAAANMTSAAVTMIPSTAILQNERELKRERRKQSNRESARRSRLRKQAEAEELGGKVEALTSENLTLKSEINRLTVNSANLKLQNAKLLEKLKNTQHEEAREDPRPDKKGSSLSTANLLSRVDNGSGSVVRNDGEATGSGATLRQLLDGNPRADAVAAG >KVI11123 pep supercontig:CcrdV1:scaffold_1573:93031:95552:1 gene:Ccrd_010471 transcript:KVI11123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRDHGLVMVGMTMAVFLASFVSADFDLLQDVCVADLASGVKVNGFTCKSNISTEDFFFAGLANPAVTNNTFGSTITPASVQQIPGLNTLGVSMARVDYAPGGLNPPHTHPRATEMVFMLEGELDVGFITTDNKLYAKTIKKGEVFTFPRGLLHYQMNNGKVPAAAIAAFNSQLPGTQRVPNALFASSPSVEDAVLTKTFQIGTKMVEKIKSRLAPKK >KVI11125 pep supercontig:CcrdV1:scaffold_1573:23180:24978:-1 gene:Ccrd_010468 transcript:KVI11125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MTKKIVLKVNFHCHKCKTRVLLALTKLIGIDQVSFDGDKGTVVVVGDVDPVCVATRVRKTGMIAQIESVGPNKKSSTPLPKLVRMINPLPPCGCEVVANGYSQPYDDDSAVVLTLSVNFPTSLMV >KVI11124 pep supercontig:CcrdV1:scaffold_1573:36413:40519:-1 gene:Ccrd_010469 transcript:KVI11124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMFFGIGTRKGTINEESINTQHRHRTPGRVWERLPSTVTTSFTPLHHCLYFLGSSVRQSLQGNRRIHSYHLFFTGVEEGMKADECCEIGNKNKWKLVCA >KVI11122 pep supercontig:CcrdV1:scaffold_1573:51731:80839:1 gene:Ccrd_010470 transcript:KVI11122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAPTTRRSSGESSQDPNLRRVLMFEVGEALQTMIPELFEQMKAELKIELGQMMDERITTLGANHNKGLGRANVLRDFMACNPPNFKGQKDPLESAFRTSFCPAANQVLYATTLLQGTAKIWLNLTCKTIPEEELNAMTWNDFKTRFSKQFVPQIEVEQVTKEFLDMKQTTESVNEITDKFMEKALFCPQYIADETMKLFRYTEMLKPEIRSFVALARCKTLAEAIEIARAQELDLDIKRKTAPSHEAMHLTRNSNPLNQRTKTNHLNQGTKEVYHCVRSATNTTMGTALTWHVTNVEVLVSDVDYCFLTEFGFQLVQTLTQVLVSDVVANITLTQLDYCFVVQETGRYSLFTFSVLVLLEPDFEHYRNQLLFYIRCSFYIVAVLQVGHEFDAAIKDTDPRLNKTVLCEGNAHRYEQLQDASDFIKPSSRIQENSEHKNPNQSFAPLYSRREGDNAYLSMERREATVGRRRLELAVEMRWSPSIGTRRRATVLDNKSKGFDDINIDEIDNIGVCYNIGVDDIDVSER >KVI11382 pep supercontig:CcrdV1:scaffold_1575:50560:57145:1 gene:Ccrd_010208 transcript:KVI11382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMDILYPQSRGLALDGSEDKKDWRKTGIEAESGRRWREKERETGLLGRRERRKTDRHVDVGRDMIDNRAPPFDTWLDVGNRIAGHEAQRNNKWSSRCGPDEIEKETWTKKRTDAEKEDAHGDTQAHASSTRVVFKRDPDS >KVI03147 pep supercontig:CcrdV1:scaffold_1576:17444:17971:-1 gene:Ccrd_018558 transcript:KVI03147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGCAFFTVGIVHYPKVQRFNQIHVKIQQKQKWNPSFVSNYVLQTVAIVSSELLLMAVMGILFPASELALSRFSSCIMLVAYASYLFFQLKSHANLYDSIDEVGHLILEVLTVDVSMRCNSIFVFHIPVVYGPLLIGSH >KVI03146 pep supercontig:CcrdV1:scaffold_1576:18661:20576:-1 gene:Ccrd_018559 transcript:KVI03146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin 6, N-terminal YQWQPDNDDDLVKSRQDDLHPSYPPYVPSECHPMGYSRVKLDCLPLPAFLENEGSNHDITLIDLYIHIQLAEYVVAATATLMLVVLLWGPFFIQSTYVVMLVVTQSPNMRSTKLQFTFHQWENIPANGEDHVHLTKELLGRCDNIEWQVDELEKTISVAARDPAWFGINEVELGKRRWTSIARTQVGNMKKAVGGKDLNGKSISNKIGERRELMRVPYSKQQERTPQYIAGENDDFISSESDRQMFLIKYMNYPLFLSFGFHLHDSLXMLSTLV >KVH99687 pep supercontig:CcrdV1:scaffold_1577:6856:18583:1 gene:Ccrd_022076 transcript:KVH99687 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 1 VNVSGFVSGQHAKVKLQLQNCSQAWILHWGCISNGNKKWFIPSSYPSGTTIHKKTALRTPFIKDGDAYVVVIELRDPKIHAIEFFLKETRHDKRNEPWRARRSQGNNFVLSRFSLLTLAKFRSFVAAWPPFTFYFYIVEHDAAGNAKCLLNEMPHKGEVEKLLKHSSTFFPKPSTSSSIWQGLSRKNNTFSNMYAMSLEEAHNDMVGEKSYRIRFLSKQVPAWIRFPASIVIPFGVFEKVLSEDINKEVAKKMASLHEHTNTGDVLKLKTIQETVLQMKAPRKMSIEVRKKMKSSRIPWPRGLGEDTWDRVWQAIKEVWASKWNEGAYIPNMNHDKRGTGILIQEHVRPDYAFVTYTNHPVSHDSSQIYTEIVKGSIESLIGASPGRAMSSITKKSDLKSPIVTCYPSKSIGLYTKNKRSIIFRPDFVYENTNEYTGGGTYNSVLMDYKKEVVLDYSRDPMVVDPHFQALIHSKIAKAAKIIEDAYGCAQDIEGVVQDGELYVLQCKSLKL >KVH99686 pep supercontig:CcrdV1:scaffold_1577:30970:50271:1 gene:Ccrd_022077 transcript:KVH99686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MAGTLRSSLPSRLRQLLSGEGPIGPNVKLESETPPKVKAFIDKVILCPLQDIAIPLSGFRWEYDKGNFHHWRPLFMHFDTYFKTYLSARKDLLLADNIIEEDGPFPKQSVLQILRVMQIILENCHNKGSFSGLEHFKLLLASTDPEVLIATLETLSTLVKINPSKLHASGKLVGCGPINNCLLSLAQGWGSKEEGLGLYSCVILNERTQDDGLSLFPSDVPTDSGNSQNRLGSSLYFEFHGPCTQTAGEVGNLTSLPSTTVIHIPDLHMWKEDDLSILKLLVEQYNVPPEHRFLLLTRVRYAHAFRSPRICRLYSKICLLAFIVLVQSNDSHEELVSFFANEPEYTNELIRLVKSEETISRTIRTLAMHALGSQLAAYSSSHERARILSGLSISFAVGNRVILLNVLQRAISSLNNSSDPPSIAFLEALLQFYLLQVISTSSPGSVIRGSGMVPTFLPLLEDTDPTHMHLVCLAVKTLQKLMDYSNSAVTLFRDLGGVELLTSRLQIEVVRVIDSTTGDDSSMSIGECSDTSDDQLYSQKRLITVLLKALGSANYAPANSTRSQSAHDVSLPDTISMIFKKVDKFGGDIYSSAVTVMCEMIHKDPTCYAALDEAGLPDAFLSSVRAGVLPSSKSLTCVPNGLGAICLNATGLEAVRETSALRFLVDIFTDKKYVLPMNDGIVPLANAIEELMRHVSSLRSTGVDLIIEIINKISSTDDNKCTLQSGKANGSVMDMDTEEKENAGPCLVGATDSTLEGVSDEHFIQLNISHVMVLVHRTMENAETCRLFVEKAGIEALLKLLLRPSITQSSEGMSIALHSTMVFKSFTQHHSAPLARAFCSSLRDYLKTTLAAFSVLSGSFLLDPKFTPDTEVFPSLFLVEFLLFLAASKDNRWVTALLQEFGNGSKDVLEDIGRIHREILWQIALLEDAKFEIEDASAGTADESRLSESEEQRFNSFRQFLDPLMRRRMSGWSFESQFFDLINLYRDLTHASGFQQRHNTGGPSELRLGASHRSHPSGSSDMMRSLSLHITHLFQELGKAMLLPSRRRDDMVTVTPSSRSVASTFASITLDHMNFEGHVKPFGSVASWSTKCRYLGKVVDFIDGILLDKPDSCNPVLLNCLYGLGVLQSVLTTFEATSDLLFTVNRTPTSPMETDEGVSKLNDVEETVRTWINGPLANYGRLMDHLVTSSFILSPSTKHLLAQPLVNGDIPFPRDPEVFVKILQSMILKAVLPLWTHPHFTDCNDDFIATVISIIRHVFSGIEVKSVSNVGSRPSAPPPSETTISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEQVQEDDELARALAMSLGNSASDAKDTIANETDQHIEEENVQLPPVDELLSTCKKLLETKDSLAFSVRNLLLMICSQDDGRCRSKVITFILEQVKFCSSVAESGKNTMLSSLFHVLALLLNEDKDSREVASKGGLVKVAADLLSHWIAGTHEHEAFEVPKWVTAAFLAVDRLAQVDQKLNADISELLKKDDVGKQTVVIDEDKPNLGLSSKHVNIEEQKRLVEISCGYLRNQLSAETIYAVLQLCSTLTRTHSVAVSFLNAGGLPLLLSLPAGSLFVGFDNVAATIIRHILEDPQTLQQAMESEIRQSVLTAANRQSNGRLTPRSFLLNLTSVISRDPVIFMRAAQSVCQIEMVGERPYVVLLKDREKDKSKEKEKEKENEKEKTQTASGKSNTSNTNSLGPGSVNGKLSEANTKHAKVHRKPPQSFVNVVELLLASVVTFVPPAKDEAFTGESSSLTAMDIDVALNKGKGKAVASASEVNENSGQEYFTSMAKVVFILKLLTEILLMYGPSVHVLLRRDGEVSSCRSPGQKGLTSLCAGGVFHHILHRFLPYSRNSKKEKKTEVDWRHKLAGRASQFLVASCVRSTEARKRIFMEINSVFNDFVDSSKVHRPPGNDIQAFVDLLSDVLAARSPTGSSISGEASVTFLDAGLVGSLTRTLQVLDLDHAESLKIIPGLVKVLELVTKEHVHAAEANTGRGDNTTKAPDHTERRQTENIGDISESRETAYLPNAGSAPTERIESFSTVQTYRGSEAVTDDMEHDQDIDGSFAPPSEDDYMHETSENTRVLENGFDSVGIRFEIQPDIQESIDEDDDEEMSGDEGDEVDDEDEEDEDGDDDENNDLEEDEVHHLPHPDTDQDDHEIDEDDFDEDMIEEEDEDDDDDDGRVILGLGEGMNGINVLDHIEVFGRDHGFSNDTLQVMPVEVFGSRRQGRTTSIYNLLGRAGDTSAPSQHPLLMEPSRSISSRQADNVRDGYPERNAESTSSRLDSIFRSLRNGRHGQHGHRLSMWADDQQSGGSNASSIPSGLEDLLVSHLRPPTSGKASGHDTIVEVQTNNETGQLQTSAGLVPEATTEDNGNNNPIPISLNSSQDSESTPTVDESRRGSGAFGAHPQSVDMQSEHNSVLRDVEAVSQESSESGATLGESLRSLDVEIGSADGHDDGCERQGPADSRSRRANVSLGNTTSVSEREASLHSVTEVSENPSQETEQGDTAQDAEHDGGSGSAQIDPAFLDALPEELRAEVVSGRQGPVTQPSNTEPQNGGDIDPEFLAALPPDIRAEVLAQQQAQGAQRSQELEGQPVEMDTVSIIATFPSEIREEVLLTSSDAVLSNLTPALVAEANMLRERFARRYNRTLFGMFPRSRRGESSRRGEGIGSSLDRASGAATTRRSTGSKPIETDGAPLVDTEDLRAMIRLLRVVQVAIWYGLLSCICAHSFSSICSFLFVTQPLYKPQLQRLLLNLCAHVDTRSAIIKILMDLLVLDTRRPSSDLDASEPSYRLYACQSNLMYSRAQSFDGVPPLVSRRVLETLTYLARNHKFVAKFLLQFRIRPAAIEESQSLDQARGKAVMIVHDDETEKQQYREGLLAITLLLSLLKQPLYLRSIAHLEQLLNLLDVIIDNAESKQGLVEHGESLTEETAQMSTLDADINAGAAGSGSGSGSGSDAKPSKVDDAPKPLSSGANRENESHNILLNLPQPELRLLCSLLACESLSDNAYALVADILKKLVAIAPSHCHLFITELAGSMKNLTTLAIDELHVFGEMEKALISTSGSDGAAILRVIQALSSLVASLNQEKEQTLLEKEQAATLSLVNDINVALEPLWMELSTCISKIESYTDTTPDVSASSIILTSRPSGALPPLPAGTQNILPYIESFFVMCEKLHFGQSGAAQDFGVAAVPSTDDVSTSDNQQKTLGACAKVDEKNVAFVKFSEKHRKLLNAFIRQNPGLLEKSFSVMLKVPRFIDFDNKRSYFRSKIKHQHDHHHSAVRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPGFFRNLKWMLEFYIFKTHVQNDNSDNLDLTFSIDADEEKLILCERAEVTDYELIPGGRNIRVTEENKHEYVDLIAEHRLTTAIRPQINAFLEGFNELIPRDFISIFHDKELELLISGLPDIDLDDMRVNTEYSGYSAASPVIQWFWEVAQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSADRLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >KVH99685 pep supercontig:CcrdV1:scaffold_1577:52718:55124:-1 gene:Ccrd_022078 transcript:KVH99685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRGRSSGAISRPAPQPAKSPSPAPQQSRPTTGGLGSVVAEGMAFGAGNAVAHRAVDSMMGPRVIQLETVTPSAANTANLDAASTLLRTISAAANFT >KVH99684 pep supercontig:CcrdV1:scaffold_1577:66611:110306:-1 gene:Ccrd_022079 transcript:KVH99684 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEACH domain-containing protein MEAGGLNWLVGKVCIFRYTFLTELLRVIRRLSLKEQWTNSSLQYLTLRTLQLALTDNSRGQNHFRSIGGLEVLLDGLGVPSFNSLRSSSSSNRGRWDSGQQACPSAIRTGPKVKVEWSALHKLTTALVVAFELPSEFPSPVRQPYSHTFKDAVVALLPYKWRYKSFNVDSAAPCSFFGGSQGSRQRSNSAVEDDTKIPSSVDSDRKSSIQNTGITETSSSLNTPSCQYWSNYTVNLSKTLYSFILTLEDLRSHQVQSYGRSTFPFSSAYGELSIKFIMRVLLTVFPSIKAFSNQNELPSHLRIFLYSLQHYVLFVFRKILVLSPSLLDVFRSEGVWDFIFSEHFYFGSGSTVIPEAYFNYSDVRPWSSEPYTRSKSSNKHASSNVTDILQTNVISVVEFAATLDATSHNMPECSVLLDTLELFACNPEVDTGLAKCLLHILQLAPEKTVSSFRTLDAIPRVLKVSCIQAQESKKSNSPGTVHSWRDSMESCMQLFAEYFSVTEEAQYLCGVVKMSLTCFVLQYFQTLFCDGECFLHVVSLLHGNTEDEEGEKLVLNVLQTLTSLLMGNDASKAAFRALVGKGYQTLQSLLLDFCHRRPNAGLLTALLDMLVDGKFDLKRSLVMRNEDVILLYLSVLQKSSDLMRNEGLNVFLQLLRDSISNRASCVRVGMLSFLLDWFPYEENDSVVLKIGQLIQVTGGHSVSGKEIRKIFALLRSEKVGTRQQYCSLLLTNISSMLNEKGPTAFFNFDRDDSGILISTPVQWPLYKGFSFSCWLRVESFPTSGTMGLFSFLSESKRGCLAALAKDRLIFESIYQKRQCVTFPLSLVGKKWHFLCLTHSIGRAFSGGSQLRCYLDGVLVSSEKCSYAKVTEPLTSCMIGAPINLLSFEEDSASYSSKESSPFFGQMGPVYLFSDAITSEQVQGIYFLGPNYMYSFLDNEFAVSADNPLPSGVFDARDGLASKIVFGLNAQASNRRTLFNVSPSLDHALDKSSFEATVKNGTQLCSRRLLQQIIYCAGGVSVFFPLFTRIDLYENDSQQLGYNLLTPITKERLTAEIIELIASVLDENLPNQQQMLNLSGFSVLGFLLQSVPSQQLNMDTLSALKHMLNVISNCGLAEVLVKDAIAHVFLNPFIWVYAVYKVQRELYMFLIQQFDNDPRLLESLCXFPRVLDIIHQFYWDNMPYSTVGSKAHLQPITNKDFGERPNKEEIQKIRLLLLSIGEMSLREHIAVSDIESLVSFFETSQDMACIEDILHMIIRALSQKSLLASFLDQVNLVGGCHLFVNLLQRDYEPIRLLGLQLLGRLLVGIPSEKKGSKFFTLAMGRSKSLLEGQKRVELRLQPIFSAMSDRLFRFPQTDVLCATFFDVLLGGASPKQVIQRHNQPERQRSKGNNSQFFLPQILVLIFKFLSGCDDVNARIKIIGDLLELLDSNISNIEALMENGWNAWLVASVKLDVLRNYKMKSRLHGDNELLEQNYVRTLFSVVLCHYIQLIKGGWQHLEETVNFLLMQSEQGGKSYRYLVRDIYEDLMKRLVDLSSRENILSLQPCRDNTLYLLKLIDEMLISELDNKLPFPACGNDFSPECIELENDKELVSALYEALQGENHDHLSRDPKVLMQSVLKEVEKIDESWWNLYDKLWVVISEMHGKGPSRLLPNSSSTIGPSFGQRARGLVESLNIPAAEMAAVVVSGGISNALGGKPNKIIDKAMLLRPEKCSRIAFRLMIIYLCKSSLERASRCVQQFIPILPCLLTADDEQSKSRLQLFIWALLAVRSQFGMLDDGARFHVIAHLIREAVDCGKLMLATSLIGRDDTSDIGSISKETGAIQNLIQKDRVLAAVSDEVKYLRNATVERTKQLDEFRVRMDENMSSDLNQWRTFEDETRSSLNAILASDDSRRASFQLAHDEEQQVTAENWIHMLRTLIDERGPWSANPFPNKIVTHWKLDKTEDTWRRRQKLRQNYHFNEKLCHPPSTLPSNGTVPSVTETKSGFAAHIPQQMKQFLLKGIRRITDESFLESVELDADNSEQKASTSEDLSERQYSEGPKDESDQKDLQERKDHSSTSIDSEAREVLMSVPCVLVTPKRKLAGRLAVMKKFLHFFGEFLVEGTGGSSVFRNIHASGSFDSNKSDNLGMLKQKFIKWPVNLDLTSEGESSDNINAVLGNLLQKQSENIKRHRRWEIGKIKAVHWTRYLLRYSAIEVYFNDSAAPIFFNFASNKEAKEVGHLIVATRNESVFPKGYRDKAGVISFVDRRVSLELAETARESWRRRDITNFEYLMILNTLSGRSYNDLTQYPVFPWVLADYSSENLDFNKSSTFRDLSKPVGALDQKRFEVFEDRYHNFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTGLHRTLQGGKFDHADRLFQSVESTYRNCLSNTSDVKELVPEFFYMPEFLVNSNSYHFGVKQDGEPLNDVGLPPWAKGSPEEFISINREALESEYVSSNLHLWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLETMEDELQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLRFAPSSITLTSIVSSTSMLPSAVLYVGISDTNVVLVNQGLTMSVKLWLTTQLQSGGNFTFSSSQDPYFAIGADVLSPRRIGSPMAENIELGAQCFTTMQTPSGNFLVSCGNWENSFQLIALNDGRLVQSVRQHKDVVSCVSVTSDGSILATGSYDTTVMVWEVLRVRAPEKRLRHVLPDFHRKDCVIADTPFHILCGHDDVITCLYASVELDVVISGSKDGTCVFHTLRKGRYLRSLQHPSGCPLSKLVASRHGRIVLYSDDDLSLHLYSINGKHLTSAESNGRLNCVELSSCGEFLVCAGDQGQIVVRSMKSLEIVGRFSGAGKIITSLTVTQEECILAGTKDGSLLVYSIENPQLRRATISRNLKSKASGM >KVI06105 pep supercontig:CcrdV1:scaffold_1578:99676:104154:-1 gene:Ccrd_015556 transcript:KVI06105 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MEPEKESEIIFRSKLPDIYIPKHLTLHSYCFENISDFSDRPCLIDGATGQVYTYAEVELTSRKVASALHKLGIGKGDVIMILLQNSFEFVYSFLGASYLGAISTMANPFFTAAEIIKQAKASSAKIIITQSSHVPKVKEFASDNSIKVVCIDSAPEGCLHYSELTSGNETELPEVEISSDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLWIHKEDVLMCVLPLFHIYSLNSILLCGLRAGAAILIMQKFEIVPFLQLIEKYKVTIGPFVPPIVLTIANNEEVVDKYDLSSIRTVMSGAAPLGKELEDTVRIKFPNAKLGQGYGMTEAGPVLAMCLAFAKEPFDIKSGACGTVVRNAEMKIVDPDSGASLPRNQRGEICIRGDQIMKGYVNDLEATKATIDSKGWLHTGDVGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLTHPDISDAAVVPMKDEAAGEVPVAFVVKSNVDVTEDDIKQFISKQVVFYKRIKRVFFIEAIPKSPSGKILRKELRAKLAAGVPN >KVI06107 pep supercontig:CcrdV1:scaffold_1578:85311:87268:1 gene:Ccrd_015553 transcript:KVI06107 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MHFKVYLLLYTHLFVISCSDAFNITTILSQHPSFSTFNHYISQTQLTAEINSRRIITVFAVPNDVVSSLSGKPHDVLKNLLRVHVVLGYYDVEKLRNLPNGTVQMTTLFQITGRAVEQQGFLKATVLKTGNVVIRSATQTWGAILLKSVAVEPCHISVVSISTVIVPMGMDCGVLVSDSNSTSPVEPPAPHSAARSPKMPLVPAPGPSNSPKMVPPWASTPKAVPSMAPKPLASHEGSPSPSANAPGESSLAGAPTAAASESLANAPGASRESPPKRIDTPTGSPPAPLARLPPTPSDSGGSKTSDDRGHTSDDGGKTVGSSGSNLRIVLCVVTTHVLARDKSLHFEWAWPTSLILRALR >KVI06104 pep supercontig:CcrdV1:scaffold_1578:90111:92380:-1 gene:Ccrd_015555 transcript:KVI06104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPMRRVWLAVGARGGLLKLHDDIQTCGYEDIQVMWEILRRTESGATSNPAKRKPKPFWKILVATEC >KVI06106 pep supercontig:CcrdV1:scaffold_1578:83600:84799:1 gene:Ccrd_015552 transcript:KVI06106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTKKRSLLVGDTIYEDNQKRSKQEDVKVGYGDGVSWFRGAIIGKGSFGCVFLGNLKNPKSKYNSYPPIMAVKSAEVSVSGSIQKEKEVMDNIRGCCNVIKCFGEEITNGENGQMVYNLLLEYGSGGTLADLIKKSSGKGLPELDVRCYARSILRGLRHIHKQGYVHCDLKPDNVLLVSNSRDGCFNAKIGDFGLAKRANQKKNKLGLNWRGTPMYLSPEAVNYGVQEPPADIWAVGCIVSEMLTGKPLWFSEQDLCMDEILSRIGEEDESPCISSNISTEGRSFLKGCLSKKIMCRLTANMLLDHPFLKGLVEDDASEVKDSHQEVLDINTITSSPVFSDDDDDDDDEMWLSSYSDGSCYSWSEGDVDSMENQESTDARFNVLQQYPVTLTISKGV >KVI06103 pep supercontig:CcrdV1:scaffold_1578:88010:89778:1 gene:Ccrd_015554 transcript:KVI06103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator Hfi1/Transcriptional adapter 1 MQPPQKHSWINLAELKDQLTRKLGSERSNQYFDYLKRFLSLKLNKIEFNKLCLRTLGRENISLHNQLIRSILKNVCIRKVQPLRHDDHSTTVGNNKFSDEVYHENGYVPVVTQASTPLPPSSQKVAVNTKYSTESLGRNSGKEVFARTSLHAPLGIPHLVRKASSLGRNSKFLGILDINGLRGRMEQLAATQGLEGVSIDCASVLNNGLDAYLKGLIRSFAELSGARKNISIEPHAHLRPCNGLRPRHHYQLETRNWSSETMQEKEGNRPISLVDFTVAMELDPRQLGEHWPILLEKICTHAF >KVI03082 pep supercontig:CcrdV1:scaffold_1579:22685:30192:1 gene:Ccrd_018624 transcript:KVI03082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MRTFQCSWYRAWEKFILIWAVYSSFFTPMEFGFFRGLPENLFFLDIAGQVAFLVDVVLQFFVAYRDSQTYKMISSGNLIAVRYLRSHFVFDILACMPWDNIYRASGRKEEVRYLLLIRLVRVRKVLEFFSRLEKDIRVNYLFSRIIKLIAVELYCTHTAACIFYYLATTLPAVEEGYTWIGSLKLGDYSYSSFREIDIWKRYTTSLYFAIITMATVGYGDIHAVNLREMIFVMVYVSFDMILGAYLIGNMTALIVKGSKTERYRDRMTDLLKYMNRNRLGRDIRNQIKGHLRLQYESSYTDSAILHDLPISIRAKISQTLYKSYIGNVPLFKGCSLEFINQIVTKVHEEFFLPGEVIMEQGNAVEQIYFICHGELEEIGIGEDGSEETVSILKPHSSFGEVSILCNIPQPYTVRVCELCRLLRLDKQSFSNILEIYFHDGRKILNNLIEFKATDDRMKQLETDIILHIGKQEAELALRVNSSAHYGDLYQLKSLIRAGADPNKKDYDGRTPLHLAASKGYEDITLFLIQEGVELNVLDNFGNTPLLEAIKSGHDKVASLLVKEGASLKIDDAGCFLCSTVAKGDIDYIRRILCNGIDPNSKDFDFRTPLHVAASQGSYIIVKMLVEAGASVLSKDRWGNTPLDEGRMSGNKLLIKLLEEAKSTKCIRGNVQYIHFTHGKQRKRAGLE >KVH91176 pep supercontig:CcrdV1:scaffold_158:310751:346902:-1 gene:Ccrd_006808 transcript:KVH91176 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEACH domain-containing protein MDDEKERTETSGSKRVNNSNVNKDSNTHLSKDVDAMLEQACLDRDKDLDKLMNPYGDDTDAFGFPVTNGAVEFESSLAAAQVNHDHISGHGPMEVRSPYSYLTAHSPFVSSSPRTPRTPRTPRTPWTPKPKRICDAQDKNAEIIRLVDSAIMGQPESMGTMKDIVSGVENCGEGFDTDTVAFLVVDTLLTTMGGVDYFEEDEDNNPPSVMRNSRAAVISGELIPWLPWLTDSVGFMSPRTRLVRGLRTILRACTRNRAMCSSAGLTGVLLQTAEKIFLDDAGSAKQLRWNGSPLCSCLQYLAGHSLSVTDLNMWFQLVRRTIDTSWAPRLMISLEKALSGKEARGPQASFEFDGESSGLLGPGEGRWPFPNGFAFATWIFVESFADTLYTATAAATVAAEAEAKSGKFSAMSAAAAASALADEGKANMPRLFSFISADNQGIEAYFHAQFLVVESGSGKGKKNSVHFSHAFKPKCWYFVGVENTSKQGAHGEVRLYIDGKLHESHPFDLPRISKPLSFCCIGTNPPPTMASFHQNSRQCPLFAEMGPIYIFKEPIGPEMIARLASRGADSIPSFGNAAGLLWLATNAHVQNIEEESARLDADIGGYLHLLYHPSLLNGRHCPDASPSGPSATRMRPAEALWALAYGGPMSLLPFVVSKVDHDTLEPEQGNLNLCLATVSLAAPIFRIISVAIQHLGNSNELCRARGPEVLSKILTHLLKTLSSPDVAKHTVADEEIVAAVVSLCQSQKNNCTLKMQLFSTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESSVLRDAKAIQTLLDGCRRCYWTIREKDSMNSFSLNGSPRPVGEVNALVDELLVVIELLLVEAPPPMAVNDIRCLLGFLVDCPQPNQVARALHLIYRLVVQPNTSRAQTFAEAFISCGGIETLLVLLQREAKAGDLDLQDLQDIDDEALSVLGSKAESGSGVLESNVDDVGSLNGSEWSTYEPQSRNSFLLACTSSSLGSRASYSESQLLKNLGGIRFSISAENARNNVYNIDKSDGIVVAIVGLFGALIILGHLKFGSIAPPDLTGNLYGLLEGAGSMFDDKVSLLHFALQKTLQAAPNRLMTGNVYAALLGASLNTSTTDEEMNFYDPRHRFEHLELLLVLLRSLPYATKSFQTRALQVLLSLPSFRSMAYFDLLILACSHPENRSRLTKMEEWPDWLLEILISNHEMGAMNASMSSSIRDVEDLVHNFLVIMLEHSMREKDGWKDIEATIHCAEWLSMIGGSSTGEQRARREKSLPIFKRRLFGELLDFIARELRVQTQVIAAASAGVASYGLPPEISKAEIENAAQLSVALVENGIVMLMLVEDHLRLQSKLFSSTRTQAGSASPLSAVLHVGNSTNPSAITKPLEGGDSSKDSEGLPFDFYCYIHQVLASMADGKGEISASVMERLTAAAAAEPYDSVSCAFVSYGSCVKDLADGWKYRSRLWYGVGQPSNAADFGGGGSGWDSWKSCLEKDSNGNWVEIPLIKKCVSMLQALLLDESGLSGGLGISGGTGTGMGGMAALYQLLDSDQPFLCMLRMALLSLREDDDGGNGMLMKNANLDDGLAERSHGEDGSAPSSLESSPWTSTQQPRSALLWRYTSTNILLFLLFDLKSLIRMLFNVRHMQVWHAVSQDRAPLRKQYLEAIVPPLVAVLRRWRPLLAGIYEFATQDGLNPLAVDDPALDADATPIEVELVSSTLLSYYFTGLRSALAMITPAWAASFASPPAAMALAMIAAGAAGAETATPAPAINVHLKRDSSTLHERKTAKLHTFSSFQEPLAVPKKSSAVLKDKAAARAAALAAARDIERNAKIGSGRGLTAVAMATSGQRRSKSDMERVMRWNVSEAMGTAWMECLQSVDTKSVYGKDFNALSYKFVALLVGSLAFARNMQRSEVDRHARVDFIARHRLCTGSDHAGAAANCEDPKELERDKENATCPSNSILATEAISRLLVNMEDEQDAVVNIEGNTDEMWLYEDIQTRPSGTPEQQLQVPMDSTEPQVTNYQDLGQSTSTAGPGYSPGEHYEIIILELPASMVRPLEVRQGTFQITTRRLNFLVDKSEGKVEDKVEDKDRSWLMSSLHQLHSRRYLLRRSALELFMVDRSNFFFDFGNAEARMRAYRAIIHARPSHLNNIFLATQRPEQILKRTQLMERWSRWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYKSTHLDLANPSSYRDLSKPIGALNDDRLKKFQERYYSFNDPVIPKYHYGSHYSTAGTVLYYLMRVEPYTTLSIRLQGGKFGHTNRMFSDIGATWNGVLEDMSDVKELLPPWAENPVDFMHKHQMALESEHVSAHLHEWIDLIFGYKQQGKEAISANNVQRHAIQDQIAYFGQTPSRLLTIPHIKKMPLADVLHMQLFEIGNTSTLLTQTIFRNPKEVKPYHVPSPHHCNLPASAIHASSDSLVIVDTNAPSANIALHKWHPNTPDGHGMPFMFQHGKANVSSGGGTFMRIFRGRSASSSEERKFPQAHAYAASGITSSSIVSVTYNNEVITGKVFPSLKLNDHDCGHVDNSIRLVSPDGAKTLEIARGHCAPVTCMFLSHDSKYLVTGSRDTTILVWRIHRSPRFRSCILPEPPTGTATPTSVSATTAASGFTDKNRRRRIEGPIQVIRGHLGEVINCCVNSDLGTVASCSNFSGVLLHSMSRGRLLKKLHGVKAHIVRLSRNGIVVTWNNSLRSLSTHTLNGILVARAHLPLSCRVNCMEISFDGRSVLMGLNSCSENECDDEENEVAEIERLNVFHTMKLEEGQDITALAMNKDNTNLLVSTSDKKLIIFTDPAVKFKTVVRRAGSGLIGLTRGRRRSRRSLASVSSDDE >KVH91173 pep supercontig:CcrdV1:scaffold_158:295283:300520:-1 gene:Ccrd_006807 transcript:KVH91173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGKKRREKNYKEAHGVGKNRLPPPPVRSSLDVIPSKLRKLMSYTSGSGKLQVDGEENKRGGGGRGGSGGGNLIENKLSTKDKSDSVASGSKTEDANDQYMDTEKKKKKRKRKQVDDLRFEGELGPVGSKRKERKKKLLEERKKKHKKVKVEDDGLNFPGREEIKFGEVVQAPPKLVNIPKRFGSSVNASQERIRLRAIEAYRDQKKWASRPGLHLPTTDITPPSL >KVH91168 pep supercontig:CcrdV1:scaffold_158:201488:223931:1 gene:Ccrd_006802 transcript:KVH91168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps53-like, N-terminal MLLLFPVLHWVLLQELMYKVREIKTKAEQSETMVQGICRDIKKLDSAKKHITTTITALHRLTMLVSAIEQLQVMASKRQYKEASAQLEAVNQLCSHFDAYRDIPKIAELRDKFKNIKQILQSHVFSDFSSLGTGKEAEESNLLKQLSDACLVVNALEPSVREELVKNFCNRELISYQQIFEGAELAKLDKTERRYAWVKRRLRTNEEIWKIFPASWHVEYLLCIRFCKLTRTQLMEIFISLKEKPDVGTLLLALQRTIEFEEELAKKFSGSGSGSSKSVESDIEEIDKREGNNQTVMDIRKKYERKLAAHQGNEDDDKDVNKDLAVPGAGFNFRGIISSCFEPHLMVYVELEERTLMEHLEKLVQVFLIIRRSLKRCCTLTRNQTLLNLFKVFQRVLRAYASKLFMKLPKGATGTDGHIKTSDKDERLICYIVNTAEYCHKTAGELAENVSKIIDSQLIDAVDMSEVQDEFSAVITRALVTLVHGVETKFDVEMGAMTRVPWGTLESVGDQSAYVNSINMILSGCVPILGSLLSPVYFQFFLDKLASSLAPRFYLNIFRCKQISETGAQQMLLDTQAVKTILLDIPSLGRQVILSPIDSVADTYGALLPEGTPSEFQRILELKGLKKADQQTILDDFNKRGSGFSESPVAAPAVQVSHVAAAPVPPPLASPASSAVIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >KVH91190 pep supercontig:CcrdV1:scaffold_158:373810:379014:-1 gene:Ccrd_006812 transcript:KVH91190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF819 MSSQFLLLQLPPLPPRLQRRCQLSSCQNNRISTRHVMNPYSSRPRNSSSQNHDFDACKYETLALSSPSVIPPNNCNLNRRCVKVRSSLNFPLISSSDQWGNWAALFSIGAIGSWSENTKIGNMLSGSLVSILIGLAASNLGIMSCEAQAYSVVMEYLLPLAVPLLLFRADLFRVVRSTGKLLLAFLIGSVATTIGTVVAYWMVPMRPLGQEGWKIAAALMGRHIGGAVNYVAVAEALRVSPSVLAAGLAADNVICAVYFTTLFALAAKIPPENSASTTDVEEDVKPESGGKLPLMQSATALAVSFAICKVGSFITKSYAIPGGSLPAVTAVVVVLATTFPKQFTYLAPAGEVMAVILMQVFFAVVGASGNIRNVINTAPSIFLFAFIQLVVHLAVILGLGKLFRFDQKLLLLASNANVGGPTTACGMATAKGWSSLVIPSILAGIFGIAIATFLGIAFGAKVLQFM >KVH91186 pep supercontig:CcrdV1:scaffold_158:399022:402228:-1 gene:Ccrd_006814 transcript:KVH91186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF620 MFQSMRRLCSTNDDEKGLETLLEISMPENVFTNTGMNAAKRWQQMRNLSMTHHARLTKWLTALSTTSDGGDGPKDKQFMYLLKIASSSFIPFQVQLDRTLEMPVKDGSIEASMAKYIVRHYIAATGGHTALNSVNSMSTVCQVNMTQSQILGDGQESPTTRHISDSGAFVLCQKNPNLWYLELVVSDSTVSAGSDGSGLDPRSTTNLFLSTVCVGEKVIMNEDCFILKLDTSQDILNGQSTANTEIMHHTIWGYFSQRTGLLIQFEDTRLVKMKSTRKTGDYYVYYETRMESSLEDYRQIDGINIAHYGKTVTTIDRYGHGENVRWKVEETCTVKEVEFNVCCLSTDSFLPPVDVKTEE >KVH91180 pep supercontig:CcrdV1:scaffold_158:141325:156171:-1 gene:Ccrd_006797 transcript:KVH91180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MVAHFDLSQFKRLKLRKNNWDSDTYEFDDVLTESASQKRVYEVVAKPVVEVGDVSITVLSCSSCMLKLEPLVTDTPHAFQSVLDGYNGTVMAYGQTGTGKTFTLGRLGDEDTSARGIMVRAMEDILANICRDSDSVMISYLQLYMETIQDLLNPTNDNISIVEDPKTGDVSVPAATLVDIRSHQDFMELLRLGEAHRVAANTKLNTESSRSHAILMVHIKRSVTDMESEISSENDHTLHMSNTSKPPIIRKGKLVVVDLAGSERVHKSGSEGHTLEEAKSINLSLSALGKCINALAENSAHAMKVENMLKIKEEFDYKSLCKRLETRIDKLIAENERQQKAFEDEIERTTIEAQKRVSEAEINYTKALEREKMKCQMDYMESIKKLEEKWMTNQPKHRTNGLVNGSHGGEGNNQVNEDATELKKMLQKEITLRKRAEEEIHNLRNSQLLPIEAGVNADIIKLQNLLDDETRQKHKLEEEVLLLQSRLSQLTFSSSQPRYSQLDDQGNGERTSITNLHEHVGLQKILSLLESEDANVRLHAVKVVANLAAEDTNQERIVEAGGLSSLLALLRSRSFDETICRIAAGAIANLAMNEANQELIMAQGGISLLAVTAADAEDPQTLRMVAGAIANLCGNDKLQARLRSEGGIKALLGMVRSRHPDVLSQVARNKNSRSLLIEDGALPWIVQNANNDASIIRRHIELALCHLAQHEVNAKDMVSGGALWELVRISRDCSRDDIRALACRTLTSSQIFSSELRRLRVEI >KVH91187 pep supercontig:CcrdV1:scaffold_158:370743:372570:1 gene:Ccrd_006811 transcript:KVH91187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFDPYEHLKVLKNEDGTLTRLVQFPQIPATGDADPVPGQTVVSKDVTLDATKKTWLRIHRPAKIPSNDNKIARLPLVIYFHAGGWILFSVSDALNHEAMNKLSDEIPAIIVAVNFRLAPETRLPGQYDDAMDAINWIKKQATDPNGDPWIKQYADFSRVFMYGTSCGANIVLNTALRVIDHDISPVTIAGIILCQPFIGGKKRTKSELKLAADVFFPLPVIDVLWELALPKGTDRDHRFCNPLGDEQVKEKMKKLGRCLVIGFGGDPLIDRQQDLVQLLVMQGVMVEARFDDTGGGGSCWRWLMVALMMMVVVMMMGGCD >KVH91171 pep supercontig:CcrdV1:scaffold_158:190202:201484:1 gene:Ccrd_006801 transcript:KVH91171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps53-like, N-terminal MLNVSIFSQLTIDSSKPSSLTVVAHRLRRRLPRWSSLTVSIAVSHRGRRSPSLSLSLMVVVASRPLAKLPPINTSSSPPSPIKQQKPFESSCGGTSAKRHCSRKLSILSLEPITAGEAGLAIGEAHELPPRRPPGWAGAVTAREMEKAGTMDYINQMFPTEASLSGVEPLMQKIHSEIRVVDAEILAAVRQQSNSGTRAKEDLAAATRAVEVSQHSIFCWQLRTILLFPFPLTLLFP >KVH91172 pep supercontig:CcrdV1:scaffold_158:262962:292494:1 gene:Ccrd_006806 transcript:KVH91172 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNTLHIIFASAATTLLLIIITFSALLLRIFVGKSVKNPNYPPILGTVFGQLFYFNTLHDYFADFALKHPTFRLLAPDQSELYTTDVRNIEHVLKTNFGNYSKGEYNKDIVTDLFGNGIFAVDGVKWKQQRKLASFEFSTRVLRDFSFGFGVELNCLEGSSEEGGAFIKAFDNSNALIYWRYVDPLWKLKRFLNVGCEAALRDNIKSINDFVLDLISKRREQLEKNQHCNPQVQEKVVLEMETIMGNEGNGARIEDFVEKITDEVLDKMHYLHAALSETLRLYPAVPLASPKFTSLFVAGPRICLGKDFAYRQMKIVSIALLRFFVFKLADESRKVTYRTMFTLHIDGGLHLLAENGAIAQKSCAVQAYQYLSSFHIYTHVFKQLEEHITTSAMATSMDFLSSSGSIPVITVISLLLLLLYIYNQQQQWKTKKKHHPIAGTMLNQLINFHRLHDYMTDLARKYRTYRLITPFRNEVYTSDPANVEYILKTNFENYGKGMYTHNILKDLLGDGIFTVDGDKWRQQRKVSSYEFSTKVLRDFSSVIFRKNAVKLAPTLDSIFKVAFGIDLDSMCGSNEEGVRFSNAFDDASAMTLKRYVDVTWKIKKFLNIGSEARFLQINDTDPKYLRDIILNFIIAGKDTTSATMSWFIYMLCKHPQIQLKVAQEIKEATNKKEGVTDVADFAVMVTEEALEKMHYLHAALTETLRLFPAVPVDAKICCADDVLPDGYDVKGGDMMSYQPYAMGRMKFIWGDDAEDFKPERWIDENGCFRPESPFKFTTFQAGQRICLGREFAYRQMKIFASVLLGCFVFKLSDENKTANYKTMLNLHIDGGLHVRVFNKRG >KVH91178 pep supercontig:CcrdV1:scaffold_158:425:1983:-1 gene:Ccrd_006793 transcript:KVH91178 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MGGNGGGGGGGSPCGACKFLRRKCVKGCVFAPYFKSDDGGTAEFEAVHRVFGARNAAKLLLITPANRRLDAVVSLCYEAVSRVKDPVTSCQSSKNHSPSSFGSTVPSLETPGFSNDDSASLDEALFKDIDGLEMLARELLNKYLPGSKI >KVH91189 pep supercontig:CcrdV1:scaffold_158:390430:397974:1 gene:Ccrd_006813 transcript:KVH91189 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP/25A-associated MAGGGGDAPPPPRPPVNGGEFLLHLLKNPPQHPRSNTQQQPPQPPPALPHDPAVAAVGPSIPFPSHGSDYLPPSRPSLFPPHNYFNQGLGFPQNPNPNPNLINPNPNWPLNFMHNHPINNQGVFDDLAKLGIIYSNHQNQQQQQQQDRHNKLVFGSLYPDIQSSKVSKNGNLDYDLANIEKHLLKERELGVGNSRVNGLEVDVKRNAEFKQNVQIGSLAFGNYRSTEAASQQQARRIPPPGFSSKARTVGKRNIEQSVDKGKGNHRDVMSSGGGFVDGERFHIGERSSGEGGFINQLDDPGPSRGNNLHSVPATDVEESMMTLHAMNELKSRNNGLDARELDELDEHVDNLLLEDGTDEKNDIKKVPKSRDKDYRSDKRGQWLVNQRMRNYRSHTECRGDINRLNTPFLAIYESLIPAEEEKEKQKQLMALLDKHVKKEWPGARLFLYGSCANSFGFRKSDIDVCLAMGDADINKSEILLRLADILKSDNLENVQALTRARVPIVKLMDPVTGISCDICVNNLLAVINTKLLRDYSKIDVRLRQLAFIVKHWAKSRGVNETYQGTLSSYAYVLMCIHFLQQRSPSILPCLQDMEITYSVNVDNVKCSFFDQVEKLQGFGSRNGEGIAQLVWAFFNYWAYCHDYANDVISIRTGSIVSKRAKDWTRRIGNDRHLICIEDPFEVSHDLGRVVDKRSIRVLREEFERAAEIMQHDPNPCVKLFEPYIPC >KVH91182 pep supercontig:CcrdV1:scaffold_158:54979:66826:1 gene:Ccrd_006795 transcript:KVH91182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MASSEAESRLINNILVPALEKIIKNGSWRKHYKLIRQSKSVLNRITSSENRPPVSPISPPTPSTPSSEENQSGVDLPSQSSSPGVLHDSGTNELTLAESEFILSPIINACGSETLKIAEPALDCIQKLIAHGCLRGEADSTGGPDAKLLAKLIDSVCRCRELGDEGVELLVLKTILSAVTSTSMRIHGDSLLQIVRTCYDIFLESKNVVNQTTAKASLIQMLVIVFRRMEADSSTEPMHPIVVAELMEPTEKEEDGDGTMTMFVQGFISKIMQGVDGVMNPGSPVASNVGVGGVHDGAFETKMSTMESTNPADILDSTDKDMLDAKYWEISMYKTALEGRKGELVDGEGERDDDIEVQIGNKLRRDAFLVFRALCKLSMKTPPKDALSDPQLMRGKIIALELLKILLENAGSVFRTSKRFLGAIEQYLCLSLLKNSASTLVIVFQLSCSILISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFHQKMIVLRFLERLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTTQGVPPGVATTLTLSQEATMKLEAMKCLVAILKSMGDWMDKQLRIPDPHSAKISDVVEYSSEMKNLPMENGVATEHELADGSDIHSEASSEASDALTIEQRRAYKLELQEGIALFNRKPKKGIEFLINVNKVGSSPEEIADFLKNASGLNKTLIGDYLGEREDSSLKVMHAYVDSFDFQGMDFDEAIRTFLRGFRLPGEAQKIDRIMEKFAERYCKCNPKAFISADTAYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISRNEIKMKEDDLALHQRHSVNSTKILNLDSILNIVVQKRGEEKHTSEDLMRHMQEQFQEKARKSQSVYCAATDVFILRFMIEACWAPMLAAFSVPLDQSHDMIVIAQCLEGFRHAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQRNIDAIKVMVTIADEDGNYLQEAWEHILTCVSRFEHLHLLREGAPPDATFFARNQNESGKSKQAKSNVLPVLKRKGDGRIQQAAAAMRRGSYDSSNIGGSASAGITSEQAYNLVSNLNMLEQVGEMNRIFARSQNLNSEAIVDFVKALCKVSMGELRSTSDPRVFSLTKIVEIAHYNMDRIRLVWTSIWNVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNDFMKPFVIAMRKTSAVEIRELIIRCVSHMVLSRVNNVKSGWKSMFMVFTTAAYDNHKNIVLLAFEIIEKIVRDYFPYITETETTAFTDCVNCLIAFTNSRSNKDISLGAIGFLGLCAAKLAEGDIGSSRNKEKEGSEILRSPHRGNDRKYENKELANKEHHLCFWFPLLTGLSDLSFDPRPEIRKSALQVLFDTLRNHGHHFSLSLWEGVFDTVLFPIFDHVRQAIDPSGDNSSNWEVDGDDELDQDSWLYETCTLSLQLVVDIFVNFYGTVNPLLKKVLTLLVDFIKRPHQSLAGIGVAAFVRLMSNAGGMFSDDKWLEVVLSLKEAANATLPDFSFILYKDVSSMNHEDFSTPRSNVESAESDMQQEDSEKQQRVCLYAAISDIKCRTAVQLLLIQAISEIHNLYRPHLSVKNTIVLFDAVRGVADHAHKINTDVALRSKLQELGPMTQMQDPPLLRLETESYQICLTLVQNLADNRPPFDEESEVEFYLIDLCHQVLKFYVEIARPPHMTQLSPNGHPHWLIPLGSGKKRELAARGPLIVTTLQAICSLGDSSFGKNLARFLPLLSSLIRCEHGSNEVQVALSAMLSSSVGPVLLQLC >KVH91184 pep supercontig:CcrdV1:scaffold_158:174197:179328:-1 gene:Ccrd_006799 transcript:KVH91184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPLCGYYGFLLSVVSDYQRDFRAPNCKNRWIETKDFISKSVKDFIFSINFNLASVNNLVHDWDSEVMVSARFKAFSGQRSDWESRYYFWRDLIIKIARHLHIFIIRPSVVKNVWFNHGGLTPLCLDHVLEKSMEVIKLLRDDHWTCSCIVTMSKFQVICGGPKEASVILSHLSKCGKAKYLAIRRKDLIEGVKFSLSLQTVSGITSPDYDVLHLIWTAEKLQLQLDMIDQRREKSKMLALASLKSGNKISALRQATEMKLASESREKCNLLLMRVEEVFEAIQSGSKTIKDRQITIEEVELCLDELDETINSQKQVEKLLGSVWSYEEFDEDLEDELDKLMLEESGKSESDGGTREQESLSKSLSDLKLSDNNNNNVVVTRGVQKPDPPNPIQSKKKNNPKQPDV >KVH91170 pep supercontig:CcrdV1:scaffold_158:184540:189170:-1 gene:Ccrd_006800 transcript:KVH91170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEMESTSGRRTAVVDSCKRNNSKRRNYSVFEVPSNFFYSCRFVEWISTGTATESTLDERETAAEKKNFSCSERWTCNTCNSSFESLHDQRSHFKSDFHRFNLEFPYFVFLFLCIMFLPTNILFQIKLSIAEKDTVKEEDFDEWISTSSVKDYDTSSISGSEDEDEDDREFGHLSDLTRGLLGSKKQKLFLHLPNGELMSFWKCLLLGDSEKALLEHDLSWSMNDDDTSFVTLREVTEKLLNVIHEPRDNTHFRVMLLASGGHFAGCVFDGNSVVAHKTFHRYVTRAKAGKKQSSEDASGKNAHSAGASIRRHNELALKKDIQELLAAWKPYIDASACIFIHAPSHNRQLLFDGQNPCFSCQRNVIRRIPLTVQRPTFKEAQRLYKILTQISTEPYEEIVPIIKEKSTSTPGKDKLRNNLDKRDVSEDSFVEKVEIVHMFSPLHEAAKAGNADKVLELLEQGLDPCLIDERGRTPYRIAAGKEVRNSFRRFMALNLDKWDWQAAKVPSPLTKEMEAKKDAKRKARAKEVKLLREAREKKVQETHRSSSRVAGVLALVIGSLLVIAFGQMGR >KVH91174 pep supercontig:CcrdV1:scaffold_158:242418:245449:1 gene:Ccrd_006804 transcript:KVH91174 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRC-like protein MATQLLKMHSALSLQFCDSILKFGTPCWNFSQSIWSSRVREPSPMDLEPGRCRRTDGKKWRCRWPVLPSQKYCERHIHRGRLRSRKPVEVPETVSTSISTVSSLKPVSNLQSAAINNAINHGKVHIKNQSLKIKKLSRVNALEFGFSPKSVLQNGNVQGCGTSSHDNSIALSVTGSERCRRTDGKKWQCSKDALPKQKYCGIHMHRGAKKVENLRNLNASRSFSVGSSYKQMDDDGNRSNDESSSNPGSSDATTIST >KVH91185 pep supercontig:CcrdV1:scaffold_158:406734:414216:-1 gene:Ccrd_006815 transcript:KVH91185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKRGNSIAGVRRNNGGGGSWMSIVILVIFLVLAPVGFFVGCRITSTGCRLESKAGFFARQISFLEGGMLLKTHFNVIDVIKANTDDLGPWSLDSFRKNNLSASWKISGLENVIDDNSSSTEITEANRKRITISKQEKILDDKRRENRAADLVKQDDDVIIKLENAAIERSKSVDSAVLGKYSIWRKENDNENTDTTVRLVRDQMIMARAALMFPIIFYVYIYSVPEKIKAMGKLLSKAKDQLYDCKMVTGKLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKRKFPRSENLENPSLYHYALFSDNVLAASVVVNSTVMNAKEPEKHVFHLVSDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKSDHQTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWRVDLQGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNMFDLEEWTKKDITGIYHKWQNMNEERVLWKLGTLPPGLMTFYGLTHPLDKSWHVLGLGYNPSIDKNEIEKAAVIHYNGNMKPWLELAMTKYRPYWIKYIKFDHPYIRGCKLGQ >KVH91188 pep supercontig:CcrdV1:scaffold_158:359020:367742:1 gene:Ccrd_006810 transcript:KVH91188 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MDMDFRWGGNPDIVLGVDTGVASLPIQLKDLQVFTIIRVIFQLCEEIPCISAVVAIGGSLTAIPGLSDMIEDTVTSIITDMLQWPHRIVVPLGGTPVDTSDLELKPQGKLTFTIVKANNLKNMEMMGKSDPYAVAFVRPLEKFRTQVIENNLNPVWNETFHLSVFDEDIGADQRLGIAKLPLVDLQPEIEKEIQLRLQPSLDTVKVKYHHFTKEEQDAALAEEKKMLEEKEKQRDEGKINSTMDAVHDSTHVEG >KVH91183 pep supercontig:CcrdV1:scaffold_158:162907:169716:-1 gene:Ccrd_006798 transcript:KVH91183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNGDGHNNNLQGTPISSNPNPKPNSKDPIAPVAGGDLSGKSPSPQDFILSVALKLSSQPLQYSDPDVWGVLTAISTKARQRRQGINMLLTSDEHVIGRTVADTRFQILSNQISQHHCKVYRKKVSSEDAEGQSRLFNCGFLRDTSTNGTFLNWEKLNKNSPESKLHHGDIISFAAPPQHELAYAFVFREVLKPTSGTESSPLKRKADEFGSETKRLRGIGIGASEGPISLDDFRSLQRSNTELRKQLEDQVATIDQLRNEHRAVIELHEVEKKNLKESISKTYVDELKEARNMFEAKQKELVEVNKITSEQKRAMVDLNERLDASLQSCTEANEIITSQNASISELKMLLDEERDQRKDDREKAVANLTASIQRVKAEAQEELKRLSDASLRRENEQQEIINKLQESEKERCSLVETLRSKLEDTRQKLVGSDNKVRQLESQFSQEQRKVQELEHETSRLRKELESEKAAREEAWSKVSALELEINAAMRDLEYEKRRLKAARERIMLRETQLRAFYSTTEEISSLFAKQQEQLKSMQRTLEDEENYENISVDINPNTDNGNHIGSLHQTKEPIGSQCSGGVKASESTTSTKPQGENQAETSSDEASVTEKHDCDVRNQETCEETQEAEFGSFDPGAKGAFGSDIEGVNTAPIGTEQVLETETQGLDQNIDLNKLAGDTMMIDDGGERVKIDGSENLHQPKDPIEEDTEAGDTIRTGDLLASEVAGSWACSTAPSCHGENESPGSGGGYDKGTRGGGSGGGMLHDSASIAAESQTGVGRNPEHEALTKMIGIVAPELKDRFCGTIGSDSEKGVASNSDTEDCTDDDGGESDTETRGHDRPSNNDHKA >KVH91177 pep supercontig:CcrdV1:scaffold_158:352401:359017:1 gene:Ccrd_006809 transcript:KVH91177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGMFMGALFGISIMASWRYMMRRRSRNRAAKAKWLNKQLSKLWPYVAEATSIIIKESVEPILEEYRPSGISALKFSKLSLGNASAFKALRKVK >KVH91181 pep supercontig:CcrdV1:scaffold_158:40142:48647:1 gene:Ccrd_006794 transcript:KVH91181 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSSNPSTSSSGGGSSGGGGGGGGGGPCGACKFLRRKCVAGCIFAPHFDSDQGAAHFAAVHKVFGASNVSKLLLHLPAQRRHDAVVTICYEAQARLRDPIYGCVSHIFALQQQVVNLQAELAYFQAHLASLEGPTPPPPPPPHSSVPPPGLTINDLTTASSVLGAYDLSSLLEPMVAPPSWTMQPTRRQMDPDRQFVSRSATRSLTDLPPSQGGTDDLQELARELLHRPSRVSSGSVPCKAEHSSLPPHNG >KVH91175 pep supercontig:CcrdV1:scaffold_158:247085:254451:-1 gene:Ccrd_006805 transcript:KVH91175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLKELIDSFSSIFSDPVSSSNTSNQNPSSSNNFHNLPTMEGVENERAAYKLKGYFDLAKEEIDKAVRAEEWGLIDDGILHYQNAQRILGEAIATPVPSYISSREQEKVKSYRQKISKWQGQVSERLQTLNHRSGGTSINKTTSPRLQTTAVSSSISNATKVVSQKSSGPSLRGVVTRTQSSKAMNSKPVQDSNGGLDPKLIEMINSVIVDRSPSVKWEDIGLLLFGPPGTGKTMLAKAVASESEATFFNISASSLTSKWVGEGEKLVRTLFAVAVSRQPSVIFMDEIDSVMSARTVNEHDASRRLKSEFLVQFDGVASKSDDLVIVIGATNKPQELDDAVLRRLVKRIYIPLPDANVRRDLLKHKLKGYSGSDLQALCEEAAMMPIRELGSNILTVKADQLRRLKYGDFQKAMTVIRPSLLKSKWEELEQWNREFGSN >KVH91179 pep supercontig:CcrdV1:scaffold_158:127766:128823:1 gene:Ccrd_006796 transcript:KVH91179 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MEVANGCDIQESIANFATRRQRGICILSGNGTVTNVTLKQPAAPGEVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGGVVGPLLASGPVVIMAASFGNAAYERLPLEEEETTPVSGNEPLGSPSGINGQQQLMNEANPSTQQMRPSSTFEPETLGCFEEY >KVH91169 pep supercontig:CcrdV1:scaffold_158:230821:232149:-1 gene:Ccrd_006803 transcript:KVH91169 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MCATMDTLRIIIVTLALMAAVSTVAGHNITDILSEYPEYSVFNSYLSQTKLADEINSRQTITVLVFNNSVLAKFLSNDTLSVVKIVLSIHVLLDYFDMQKLKTIGGGTVITTTLYQTTGNAIGSTGFVNITDLNGGKVGFGSAAPGSKLDSLFIKSVKQIPYNISVVEIDLPIIPLGILTGGAPSGVNISSLLETAGCKTFAKLITDTGVLKIYETVSTKGLTVFAPSDAAFKAGGLPNLNKLTNAELVSMLLFHACSNYIPRGSLKTEKNPIHTLATTTAGKFELTVQTNGDSVTLVSGVDSSRVESTALDSVPLSIFKIQNVLLPTELFTKSPPSVPAPAPETSTPSAPTPASAPRTIASSPAPVNATSPVRSPPSPSTPPTAPTPPTPSISSPIDAPAPAENPAADTGKSNAGDGIKVPGLFVALVTASISGIISSIAA >KVI11387 pep supercontig:CcrdV1:scaffold_1580:9430:11015:-1 gene:Ccrd_010203 transcript:KVI11387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MMLNSNSNSKLQYLLQWISISLTSTLFMVVCCEVGTASMYNPPYTPSACYGNDASQFPSSNLFAAAGEGIWDNGAACGRQYLVRCISAVIPRTCIPGQTIQVKIIDRAKTSASRPTSMGTTMVLSDTAFGTIANQISSINIEFQQV >KVH88117 pep supercontig:CcrdV1:scaffold_1581:9427:10239:-1 gene:Ccrd_024492 transcript:KVH88117 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MENATQVNQTSTSTTTTITAATVSSSASSTSASSWPSASKGCKSQKGSKRAPKGNNGNEEKKAGKNGGGGGGGGGGSGGEEGEEHLTYRGVRKRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAAIAIKGASAYLNFPQSAHLLPQPATRSPKDIQEAAAKAAVMTYGRDGEGAEPDSQPMLSHSHSHSSTTLSSDHTQEGAEPAESQETMASDDDTFFDLPDLSLHHTGQNNGFCIASSWQLVAGVDTGCRLGAEEPFLWEIY >KVH88120 pep supercontig:CcrdV1:scaffold_1581:86102:88417:-1 gene:Ccrd_024496 transcript:KVH88120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPFPSMGFLTNYMTKSLPTAQMLNLVLGTLHSKFMEKEINGFDDFHLALLDMFNTINAALPGKHYDVPPQTKVEMQECFEKWEGAKESERKKVFIDFMKASVSLSMFDDSTFITGLVTPPAAMAAKRACESLPQLNAIKVIPDVIFVPSATILALISAKLSKRMFLQNVAS >KVH88118 pep supercontig:CcrdV1:scaffold_1581:73774:87026:1 gene:Ccrd_024495 transcript:KVH88118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVLDLKGRKETTMEASASEEHIAVVQMPKDKNMRSEGNHEGKFDMRTALGEQDLEICKKEEVEVEVEVDIINCMTNDDEGTSVQPQSEDATGSSSSFGDTFSEVGSREYGSDDEVMSGLRVDAAPTRGFGGSGDLFPPRKKRLTSHWRKFIQPIMWRCKWVELQIKKFQSQAIKYDKQLKKHYQTEPVKHGNFELEGLCAKSMPFSHNSQREKPMKRKKRKRHEESDTEVYMAQHNLFSSTIGNGGGTMDDDQTNLATSTDKNVNNEFRVPDELLSLEFRDDYFLEQMLWKIEVAQSQVCEMKTRLNTVMTENTGRFEDLNLLESNNALTCSVRESGSPRNRDGPSVVAAIASELMKYNTGDDSVKPENATSSHGEETQLHDINEQMEQPPVASRKRSTDGILIYNRRAKKPQTDSGAVKIHPIEKLQQPKEKADNAGPISVSEDSSQNEQPAPKLRSVSKLTAPNNKKKRAARARRKGGSSLWSRRTSGLIT >KVH88116 pep supercontig:CcrdV1:scaffold_1581:26088:26294:-1 gene:Ccrd_024493 transcript:KVH88116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MERGRMYDELGEIKKGPWKTEEDAVLIKHVKRYGPRDWSSIRSKGLLRRTGKSCRLRWVNKLRPNLKK >KVH88119 pep supercontig:CcrdV1:scaffold_1581:50821:54232:1 gene:Ccrd_024494 transcript:KVH88119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine MGNILSQIRGHIAARKRPSPPQPSQPPPPPPPPNLSLVLNPKGPSRSGSRKSRNGSKNKYAYIPDNYSSLEQVTQALRESGLDLHAIGDFPNPYEKAISIIGQTLAPFDDDNLIPCFGFGDGPTSYAAVVNAAMDIVEKSGGQFHILVIIADGQVTRSINTSDGDVSLQEQKTISSIVEASLYPLVIVLVGVGDGPWDDMRKFDDKIPTRGFDNFQFVNFTGIMSKDSSPPHKEAAFALAALMEIPIQYTAVTELGLLGRVTTNRKRITPRQPPRPRGHAHVPQGITNPTSTENDENKTCPICLTNDKDMAFGCGHMACRECGSRLSRCHICRQEISSRIRLYTG >KVI08204 pep supercontig:CcrdV1:scaffold_1585:28096:30233:-1 gene:Ccrd_013426 transcript:KVI08204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNANSQNSGYRSEVQDHDLAYSGRRFILSEMQGVNGKPTPCLYAFVDVTKEIEYEELVHVKTVHSNAKPQVLTLKQDLLYSRTWEMRFDPETAMSQRKMTNKAL >KVI08205 pep supercontig:CcrdV1:scaffold_1585:80378:85720:-1 gene:Ccrd_013427 transcript:KVI08205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTPTPSAVAAVAFTTDAFMECGWLICLADVDDDHIPAVAPPAVAGPALKPNERSCSEGLRADEEEGLATQSAKAPESEVGDRPAAKVPEMMNLNNLKRVICLLKKLVQMIEQRISKQAEDFKKAKKINIEEKKRVEDVELVRLMKENDDTNLEIS >KVI08203 pep supercontig:CcrdV1:scaffold_1585:84504:86989:1 gene:Ccrd_013428 transcript:KVI08203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral Root Primordium type 1, C-terminal MNQSSKTSGISILEIKTKHIKTEEKKMFSKSASHKEEEREPKTHLSLSKNSKETQRIYARKADGDDDAAAAAVEFVGAAVAVFSDLTANLCIRAVIVLSLSTSCCLQVSLCSREFQRPHHHAVVATSRSSRLPSDSGAFADWVANPSSSSALRPSEQDLSLGFNAGPATAGGATAGMWSSSTSARQINYGLPEMGMLGLRDVFVVAPSSHHNLADHHTHPHSINASVVNATAATALGVGVGVIPLLSASPCLNVEEDMLNNRGGGRSSNTNNNSNFSIQFWQQHPQTQPHNYLNKPIISDHGFLQGSVGGVGGSTSTSGSTMTCQDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVPAARRRERQLMTSTGTATVSSASTSGPKKPRLTSHTTTTSHTSTSNTTPPRSFDTSSSHRQDANFKESLPGQVRAPAVFKCVRVTAVEDGDDEYAYQASATIGGHVFKGFLYDQGIETRDHTNFPNFSELHLGGGGGGGGGGGGAGRNVGGSSSSPLLDPSEVYGSSGGGMLAGSTYGNPIN >KVI06723 pep supercontig:CcrdV1:scaffold_1586:65967:69709:-1 gene:Ccrd_014923 transcript:KVI06723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Development/cell death domain-containing protein MTAHVTRFSSTNRHDSGDSVEVSVRAITPGLPLDLSCVHLKEVLSVSVRAITPGLPLFLYNYTTQCILCSPERSFVNCGLALMMVEAMYATTFGGSNIDPTAWEDKKCKGESRFPTQVSVRAITPRLPLFLYNYTTQCIATTFGGSNIDPTAWEDKKCKGESRFPAQK >KVI06722 pep supercontig:CcrdV1:scaffold_1586:42987:45056:1 gene:Ccrd_014922 transcript:KVI06722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQTEGVCAYESREAGDGSRESGVGSESLVRVHMISLPIFNNQNTIRCKINSTGSTNSKISLQFFNLGLEDSSITNFCPSAAQICNLKFYLIRQANFYASSIL >KVI00799 pep supercontig:CcrdV1:scaffold_1587:101211:111489:-1 gene:Ccrd_020939 transcript:KVI00799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine MNKIIKFIHYDGTMLIDSGNIVDPPSVPFFNLHKEEEVSNAKVEEKCLTGEEECLMRRTLAAHLDYIYTNKTKP >KVI00800 pep supercontig:CcrdV1:scaffold_1587:75817:77592:1 gene:Ccrd_020943 transcript:KVI00800 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1/A1 complex, alpha subunit, N-terminal MGQVRQLGFDESGESFDMIIHSPTVLEDVNLGDSIAVNGTCLTVTEFDPQRLEFKVGLAPETLRKTSLIELEQGSVVNLERAVKPSTRMGGHFVQGHVDGTGEIVSMEPEGDSLWIKVKTSPEILKFIVPKGFIAVDGTSLTVVKVFDEEECFNFMLVEYTQQKVVIPLKKVGQKAQELIVGSENHIAMKSSKSSEPNSLSFLSKAFNNLLRKITSQRVLIPRLHDLLEADDLADLVSHLESRRHWSEEI >KVI00807 pep supercontig:CcrdV1:scaffold_1587:59425:65882:1 gene:Ccrd_020944 transcript:KVI00807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3411 MAVTTIRSFTLQSPNNHHRCRHSNSRHLTTPLNLKIVTHTHKTHLSFTLNKLHNRLPPLTRSYSGGNGDDGVGGGGGGGGGGGGEDGDDDAGEKNKAEAFLALAEAGRSLESIPKDIAASIDAGKIPGSIVKRYLELEKSAVFRWLLQFGGFKERLLADDLFLTKVGIECGVGIFTKSAAELEKRRENFTKELDFVFADVIMAIIADFMLVWLPAPTVSLRSPIAINAGRVAKFFSGCPDNAFQVALAGTSYSFLQRIGAIVRNGAKLFAVGTGASLVGTGITNGLINARKAIDKSFAVEAEEDIPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRMLEPLLHQHKLILSAVCFAVRTGNTFLGSLM >KVI00803 pep supercontig:CcrdV1:scaffold_1587:105042:108551:1 gene:Ccrd_020940 transcript:KVI00803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKANLFKGQQKRKTVPPSRHGKTPKTRKGKRVVKPAKVTQEMDTDRELSKFINYCNEVKAATAANKEGGQLSIVKHQAGEAAKD >KVI00801 pep supercontig:CcrdV1:scaffold_1587:79941:89187:-1 gene:Ccrd_020942 transcript:KVI00801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYDVSRERRYILELLFNGLRRLEYRGYDSAGISIDSSSSSHNDSPLVFRQEGNIESLVKSVYQEVSATDLNLEESFSVHAGIAHTRWATHGEPAPRNSHPQSSGTGNDFLVVHNGVITNYEVLKQSLLRHGYTFESETDTEVIPKLAKFVFDKANEGGDQTVTFSEVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKEFTEGQNKGSSYNDMKFISKNGHPKELFLSSDPHALVEHTKKVLVIEDGEVVNIKDGGVTILKFEHGKGSDGKSLSRPASVQRALSILEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLIRGGSSKRKSVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPLLEELSGIPVMMEIASDLLDRQAPIYRDDTIIFVSQSGETADTLNALEYALENGALCVGITNTVGSAIARNTHCGVHINAGSEIGVASTKAYTSQIVVMAMVSLAIGADTISSKPRREAIIESLLNLPEMKDLAQSLISEQSLLMFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATRDQSFSKQQSVIQQLKARKGRLIVMCTEGDAAAVCGGSGRVIEVPLVVDCLQPVVNIVPLQLLSYHLTVLRGYNVDQPRNLAKSVTTE >KVI00806 pep supercontig:CcrdV1:scaffold_1587:39989:40409:1 gene:Ccrd_020945 transcript:KVI00806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEWLKAPVLKTGTEVSPTSSLGSLVSIKRGHGKNMFKITINSFFKSCCSCTSPSCVPQMPYEEEES >KVI00805 pep supercontig:CcrdV1:scaffold_1587:39471:39946:-1 gene:Ccrd_020946 transcript:KVI00805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbZ, reaction centre MTIGVDTVTIKDLGCDGSNGIVHVLVAWRIKSLTLAFQLAVFALIATSSILLISVPVVFASLDGLYIIMDCISLFGGYP >KVI00802 pep supercontig:CcrdV1:scaffold_1587:96790:96996:1 gene:Ccrd_020941 transcript:KVI00802 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA pol I, largest subunit MEEDLDVDHVYSNNIYVMLNTYGVEAARTSIILEMKNVFGSYGLEIDYKHLSLIADYMTHSGGVSTNE >KVI00804 pep supercontig:CcrdV1:scaffold_1587:14947:38388:1 gene:Ccrd_020947 transcript:KVI00804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 5 MDKVLEQRKPVESDHLTIHYRDLCLKQEKLGAEILELEASAREKRHQKKEKEKLLDRNRALARQSAERLREMENIKNKRLQALRNSGAEKISDAYSWVQSHRHEFKREVYGPVIMEVNISNQLHAAYLESHVPYYIWKAFITQDSADRDYLFKNLRSFDVAVINHVADAGRIPEPLHISQEAFKEVLIGQSGLEHSYIGSKKSDENADMAHSFGVMDLWTPDNHYRWSKSRYGGHVSASVEAVGDSRLLLSSKMLVSIFSDTDGEELNTLRGKNKELVETISVLEASFKSLQSEIKELEDAQAQLQKQRENLVNEAQHEKRKQRELQNRVNQKRLKLQSLRREEDAAIVMSKLVEDVENLNIQRFKCVLEIKNLLTQATAHRKNYAEKFMASIELELKIKEMEASIKQQEKLALQASLHFENCKKDVEDHRQQLAAAKKVAESVAVITRALEQEFLQVWLKLVLVILGLFALIGVIISTLPSTIEELNAAIQDITSQANSILFLNHNILEEYEHRQRKIKELSTKLESDEKGLATRLNELNSLKEKWLPTLRNLVAQINETFSRNFQEMAVAGEVSLDEHGNEFDSYGILIKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLSDLEYGESCTILTVMNGPMETWRKLGLCYGIVGGKPLLKTVQTSESVTCEYLSTSSRSLLHVASIDRRKGRVRRVN >KVH88115 pep supercontig:CcrdV1:scaffold_1588:12357:21483:1 gene:Ccrd_024497 transcript:KVH88115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MYRFLLFIVNFCFRVAISTSTSTSTSTSIGRVQYLPGFQGSLPFHLETGYIGVDENEDFQLFYYFIQSESDPKNDPLILWITGGSGCSSISGLVYEIGMFFYLFMIANIIVIDIPIGTGFSYRRSAQASLIRNSFLILSMLEETHTLESGRPVPVVTQLISNGNEAGCDPYINLKGYLLGNPRSFPEEDNFQIRFANGMGLISDELYECIDGIEKPQILEPNCSAIVQPIKQPSQMQFEEQRPLSSFYCHDEVNDLMSIWANDGGVREALHIRKVHIELFQYDDICHREGKQSVRGGGHTAPESRRKFCHVQE >KVH99485 pep supercontig:CcrdV1:scaffold_1589:63606:76283:1 gene:Ccrd_022280 transcript:KVH99485 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K56 acetyltransferase, RTT109 MNLQAQMSGQFSRQVSSQAGTSLPAIPQQQNMMQNSEGPHAPLNMEPGFVKTRRFIQDRIYESLMQRQQTREIAPKNVLDIVRRLEEGLFKTATTKEEYMNLETLETRLQVLIRRLPLNNQNQRYQQQSNSSVAIGTMIPTPGVPQSGNSNLMVPSSRDSSLIGPGGGNSMVSSAVNAGSFSLNTTGPSRGMLSGSFGSEGGLTDGGQQSLSNFSVSSGGNSLISSTGAQRMASQMMPTPGYNNTNSTSNQSYMKMESSSNVSVLSSVDSIMVSQPLQQKQQVGGQNSRILHSLGSHMGGGIRSTLNQNAYGFPNGSLNGNLGMMGKSSQPINSLGTSEGFLRDTRYGNSAKPVPQYFDQHQGQMSQGDGYGSGTADSGRSGNFYTPTTSATSLMNINPVNLPALQGTNPPLVVNHSSLHNADQPVNMKHSIDQSEEMDFRSQHLLRESAVHSQQPLHFQQQLLQSQRQQKQQNQRLPYGQSQLISDLGGRIKAEPGMERHNETLQPQAYGHFQSSQATNCFQQNSGEDHTRASQLHSLSSGSQDMCLSMTETSEQQQQLLQQPHFGVDTRNDLMSSIGIQPEAVLQGQWHSRSQEASHELGNLSNELRIQEEFRQEKRGHDQGQRNNLSSESMNHQMAAKRSVDPPDTGAVCRSANSDRGLQYRNQKRWLLFLIHARKCAYPPGNCPEVHCITVQKLLNHIMSCNAVTQCQYPRCHRTKTLLHHYKNCRDQNCPVCVPVKLFVQRKGSHRTNLNSGFPQSGNGSCDYSAEAVRRYTPSVVETSEDLHPSLKRMKIEQPCQSPAAESENPIIPVSITNTPEVLQDVHRGEHQVGDACVPLEFEVPGMKLEIPAPKVTEARKDYVEDCIQNTDDVSVVSNEATGFTKQEFLKAKKEMGQAKQENAAVPAETSTGTKSGKPKIKGVSMTELFTPEQVREHITGLRQWVGQSKAKVEKNQAMELSMNENSCQLCAVEKLNFEPPPIYCSPCGARIKRNAMFYTIGSGDTRHYFCIPCYNEARGDTITVDGTNILKARLEKKKNDEETEEPWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYMEEVEKGERVPLPQSAVLGAKDLPRTILSDHIESRLFKRLKQERLDRARFLGKSYDEVPGAESLVVRVVSSVDKKLEVKQRFLEIFHEENYPSEYAYKSKVVLLFQKIEGVEVCLFGMYVQEFGAECQQPNHRRVYLSYLDSVKYFRPEIRTVTGEALRTFIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVVDRTNLYDHFFVSSGECKAKVTAARLPYFDGDYWPGAAEDIIYQLRQEEDGRKHNKKGSIKRTITKRALKASGQTDLSGNASKDLLLMHRLGETISPMKEDFIMVHLQHACTHCCTLMVSGTRWVCKQCKNFQLCNRCHEVEQNIEERERHPINQRVKHQLYPVEITDVPTDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMALYHLHNPTAPAFVITCFVCRLDIETGQGWRCDICPDYDVCNACYRKDRGMDHPHKLTHHPSIAERDAQNKEARQLRVVQLRKMLDLLVHASQCRAQLCQYPNCRKVKGLFRHGMHCKVRASGGCVLCKKMWHLLQLHARACKDTPCNVPRCRDLREHLRRLTQQADSRRRAAVMEMMRQRAAEVAGGSSG >KVH95912 pep supercontig:CcrdV1:scaffold_159:297445:298669:-1 gene:Ccrd_002000 transcript:KVH95912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNPNQQIASVTFTPSSRLPSNQLWDEAHHMQKNNSQCFSENMVNLNDQTSQENLVPTCWTDDSIILPHYSDQFTSQASENSTFQLSNTENNNFNVDSIFPTPISSPTPLDSASIFINGSSSEDEIESYCRKHFKFEIPESFEFDDFM >KVH95931 pep supercontig:CcrdV1:scaffold_159:124031:125753:1 gene:Ccrd_001990 transcript:KVH95931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MGIKGKVVREVDIKCGGNFIHEFFTHKPHEMAVIAPDIIRSCNLVFGQWGASGSVVSWQFIHDGKVASTKEMIEVGDNKIVFKVIEGDALKAYNALSFSIHVKEIGGKQFGVWSVEFQKVNATIPDPTSYLDMLCGFTKDMNAYVLKQTTA >KVH95924 pep supercontig:CcrdV1:scaffold_159:50133:52519:-1 gene:Ccrd_001981 transcript:KVH95924 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MGVQENGSGDMGMSGVPLGSKNKYRRMDSDYSDDDLEVVPYKQTQQERSKSTRKYVFACAVFASLNNVLLGYDVGVMSGAIIFIQEDLKITEFQEEILVGCLSVISLLGSLGGGRASDALGRKWTMGIAAIVFQIGALIMTLAPTFQVLMIGRLLAGVGIGFGVMIAPVYIAEISPTISRGSFTSFPEIFINLGILLGYVSNYVFSGFPSHVNWRIMLAVGILPSVFIAFALCIIPESPRWLVMQNRVDEARSVLMKTNEIEAEVEERLSEILKAAGIGAGENQEEKAVWRELLSPSPSLRRMLVTGFGIQCFQQITGIDATVYYSPEILQTAGIQDKTRLLAATVAVGITKTAFILVAIMLIDKVGRKPLLYVSTIGMTICLCGLAISLSLFKATSLGVALAILSICGNVAFFSIGIGPVCWVLTSEIFPLRLRAQASALGAVGNRVCSGVVAMSFLSVSRAISMAGTFSIFTVLSALSVAFVYKLVPETKGKSLEQIELLFQKDRNWQEEEVELSDTQYLVQQKNDVIAN >KVH95921 pep supercontig:CcrdV1:scaffold_159:102402:104473:1 gene:Ccrd_001985 transcript:KVH95921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAMEQYLQDSVPENNFNKEDDASLKWKSSTESVDESENSPSGGFXCNICLDTVHDPVVTLCGHLYCWPCIYKWIHQQRTTXEYPDKKNVQCPVCKSEVSQKTIVPLYGRGQTTEPLSDEKGQDLGIMIPRRPPSPRCGLHTIPTQQLNHRNHQQAPMAITMPGLGGMTMTNMINPTSPTTGMLGEMVYERIFGNSHPSLFAYPNSYNLAGISTQRARRQAMQADRSLSRICFFLLCCVILCLVLF >KVH95910 pep supercontig:CcrdV1:scaffold_159:314733:321242:1 gene:Ccrd_002002 transcript:KVH95910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MKRLEHISSLIGAPVVHRQFPIILPSSHPDRLVSGRLFVAASSLLRRRLVAASSPSPRRLPQPHVAASSPSAASRRRLVAFRSLTSPPRRLPQPHVAASSPSAAFVAVAFGLGDQFLSLVFSGIGEGKRNPIWESLDRRDGKPRKRAYPSSHPLQDGIVLTPMSRFRSYNSLPQSHAILYYSQRATDGGFLIAEATAISPAAQGFRDVPGIWSKEHIDAWRPIVDAVHAKGGIFFCQLWHPGRASDQSFDGVEIHGANGYLIDQFLKDQVNDRTDEYGGSLEKRCRFALEVVEIVANEIGGERVGIRLSPFSNHMDSVDSNPEALGVFMAKSLNKYGILYCHMVESRTEVTEEKSECLVPMRQAFNGTFIAAGGYERRNGNDAIAQERADLIGYGRLFLANPDLPRRFELDARLNKYKRETFYTSDPAVGYSDYPFLEMPD >KVH95927 pep supercontig:CcrdV1:scaffold_159:433265:437778:-1 gene:Ccrd_002012 transcript:KVH95927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCLINNXEDDSALLRFLQCKFGKGRSSGPDFFYDPKYALRLCLKEKRMRACVHIYSMMFMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVVEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNQQIEKLKEEMNDATHGADNIRNDIGALAQRYAVVDRDEECGVCRRVILSAGADYRMARXYTTIGPMAPFYVFPCGHAFHAQCLVAHVTKCTDQNQAEYILDLQKQLTLMSIEPKEGVNGGINGDDSITSVAPVDKIRSQLDDAIASECPFCGDLMISEISKPFVLPEESYLVSSWEIMSKNMGSEKGISFVV >KVH95939 pep supercontig:CcrdV1:scaffold_159:27973:31638:-1 gene:Ccrd_001978 transcript:KVH95939 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MDYSLLGVSILYDVVLILFLAILYCLCQAWTKEETDQLFDLCERFDLRFVVIADRFSLSRSVEELKNCYYSVSRAILIVRAPFPTDISGHPLVKLFEVPVVILLYSLFQKDMNQSSYLKAVARGLLGLPVGEMKVKANRDESSPYTAMLAAQDVSQRCKPDEAIYLKINNKISGLRMRLDRSDLNLLYNAYEWLLLDAIGGERRLFIRSDELDAAWSIFTPLLKELEAKKIAPDLYLYGSKGSVGAHYLAANYNVRWGDLAGDD >KVH95932 pep supercontig:CcrdV1:scaffold_159:154629:159109:-1 gene:Ccrd_001993 transcript:KVH95932 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGG domain-containing protein MSPSGVHPQKLLERFCMQRLTWTALMSAGTPRALVGNKDILSSKKHDLSGKDERHYVDYSKDRVNTLLLVATLVATVTFAAGFTMPGGYVXTEPKXGQAALLHKLLLQTFVICDTIALYSAIMVAVTLIWAQLGDLTLVVNALKFALPLLGLSLTTMSVAFMVGVFLVVADLTWLANFVLIFGIISLANMLTLFIPLCLPYTSTSLVLRYITYYPFRLLVLASGSSDDRQIKEHLLKDVSIHIFGEGTKQVQIWSWIRMQRIGSTNAEELRKKESSMCEVGSIAPRAYRKRAEVSTTLA >KVH95906 pep supercontig:CcrdV1:scaffold_159:355743:355997:-1 gene:Ccrd_002006 transcript:KVH95906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function wound-induced MSSTTKAWVVAGTVGSVEALKDKGFARWNYTISLINRHTNSNLPSFSQAKKLSAMAKNQSIQEKPKQPQESLRKVMYLSCWGPN >KVH95933 pep supercontig:CcrdV1:scaffold_159:145577:147910:-1 gene:Ccrd_001992 transcript:KVH95933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MLEQTNDEGDTALHVAVKAGHFAVTDLLLRSGKEEFLDQMYLKNGKGNTPLHEALLHNHQSLVDLLLHWAADCSCYVNNEGKSALYLSVDAGDVKTFNAMLKAECWMFTRFDGFLEEHLMKGRSLLHAAISRRDKVMLQEILAKGMHMLLHVKDENGQTPIDFASRIGFDEGENILHDELRKETTYTGDVVVNQKVLEHNPDALCSLLVEEQNEGVIQSPDWDGIHERMRKLIRNVMLPQQCISHSCMDLGLYEAARKGDIDEFVSALELYSVEQNLALPTIFSQLTLLQDTFLHVASRHGHKYLVWLILQYFPILFGRANSHGNTALHLAAKHGHLDIVKIFVTLRNEKLSKDPPVNINLDINDAIDTTNGMHMVVENVDGRTPLQLALKSCHKKVVEFLIKENVEEAYHVNMKGTSPLYITILNGETERLKNILNTVSRYVDQNVLDDQLTKGKSLLLAAVWSQKIDILQELARQKPALFRVKDEHAQKLVHFAELLGFHEDLKISRLRAYNKANGRYARMKKVMARKPAPAPAPAPAPAPEQPTATDGSSANVRVREKRVRCRMNWGLELAAKGGDVEWFITILEEVSTRQELQPNTILEQRDYNGNTYPSSSGHVWEA >KVH95908 pep supercontig:CcrdV1:scaffold_159:326880:330364:-1 gene:Ccrd_002004 transcript:KVH95908 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease adapter protein CLPS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68660) UniProtKB/Swiss-Prot;Acc:Q9SX29] MVFYMIKKGFLFGNRVCGEKIERERERDFAMETAICGRLAPSPNHGLLHSKSGDKYLLHKQSTKWITVMTMAPPRLEKGAGVLDKPVIEKTTPGRESEFDLRKSRKMAPPYRVMLHNDNYNKREYVVQVLMKVIPGMTVDNAVNIMQEAHINGLSVVIVCAQADAEEHCTQLRGNGLLSSIEPDGGGC >KVH95938 pep supercontig:CcrdV1:scaffold_159:38446:43216:1 gene:Ccrd_001979 transcript:KVH95938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin MTMLMRSCTQSLLKLVNSFTGMCGFATILYALWMIRIWHRQANDSYHPASWYYTFHIFLYSFYESVSFMYTILGLGAILCAITCWGHIAAETANGCCLYFYLVFVLLILILEGAVTMDVFLNPKWEEDFPQDPSGNFHKLKDFMKENVDMCKWVGLSILSVQGLSMVLALILIALGPHRISYNNDDEYTPEGVPLLKNYSNQSPCVYGSKIDAWN >KVH95918 pep supercontig:CcrdV1:scaffold_159:108727:111694:-1 gene:Ccrd_001986 transcript:KVH95918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIMAFLRSYKSSKSYISISRAVFFSTEPSVIERKQISQKNDSLYRRISPVGDPNVSVIPILDQWQSEGKFVSFQRLIVIIKSLRKFNRYTHALQLSEWMANKKSNLQPAGVAVHLDLVSKVHGLEEAEKYFDSTPDDVKTLHVYGALLNCYATAKSVEKAETTAEKMKQMGMMTTLSYNSMLSLYKKIGDNEKLDKIYQEMIEMGVPCNKPTYYIRLSAYASASDLEGMERVLKKMEESSDLNVDSNAYIISSGGYLKSGKPDKAFEMLKKSEEHIRGNSKGAIWEILLGMYATLGKKEDVYRIWKVYKTSWSKVYNRGYLSMIRALVKLDDIDGAEKLLTEWETENLSFDFRIPNLLINAYCKKDLLAKAEEYVGQVRDKGKQPPASTWVLFATAFTRKNEMEKAVEMLKKGVEAADKRGCKLDKDTFRECVEYLKGKGDLEGAEEIKRTFEDRIRFAEKSGAESLGDEISEAQEYDEMEAESSLSK >KVH95917 pep supercontig:CcrdV1:scaffold_159:123918:136299:-1 gene:Ccrd_001989 transcript:KVH95917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAFMVGVSLVVADLTWLANFVLIFGIISLANVLTLFIPLCLPYTSTSLVLRYITYYPFHLLILASASSDDRQIKDMLKDMSIHIFGKAILGMQLDQIWNAI >KVH95916 pep supercontig:CcrdV1:scaffold_159:118380:119995:1 gene:Ccrd_001988 transcript:KVH95916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MVITGKVVREVDINCGGNFLHQFFSHKPHEMAIIAPDTVHRVDLVSGRWGAPGSVISCHFIHAYGFCVRADEKINSVKDFIKVDDEKIVFKVIEGDVLQVYNALSFSIHMEEAEDKQSGVWSVNFEKANASIPDPTPYLDLLCGFTKDMNAYILKQANA >KVH95936 pep supercontig:CcrdV1:scaffold_159:235986:237082:1 gene:Ccrd_001997 transcript:KVH95936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVKTPSFDKNGVKKGAWSEDEDNKLRAYVQRYGHWNWRLLPKFAGMSHFPEWSEMAAYLPGRSDNEIKNRWHTHLKKRAQKDQTELENEHIGTVEPDQTNNTEENLVQNSDLQLQQDIEILLAESPLSSSTTNLSWLNGFDSAGSSDDIPQLTDYAPVGDFWTDPFFSDNDSIISSSDNLFLPSDLVYTASCQDMIVADDEFLWSSLDSYLEYNGQFIN >KVH95922 pep supercontig:CcrdV1:scaffold_159:83189:86782:1 gene:Ccrd_001983 transcript:KVH95922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLIVPAMCQLPSQDILALLEFKMGIKHDPTGFVFDSWNEESIDLNGCPSSWNGILCINGSISDVVLDNLSLSANIDLGVFSNLTKLVKLSMSNNSISGKFPRNLGDFKRLEFLDISDNLFFSFLPPEFGVVKSLKDLSLAGNNFSGYIPDSISGFTSLRSLDFSRNSFSGIIPSSLTKLTNLVYLNLSANGFTKKIPRGFRYMANLEVLDFHGNMLEGRLDAELLLLTTATHVDFSGNSITSTRHGQKLLSEISDTIKYLNLSHNRLTGSLVNGGKAQMFGSLVVLDLSHNQFSGELPGFNFIYDLQVLILSNNQFSGFIPNNLLKGDSLVLTVLDLSCNNLTGSISMITSTSLQILNLSSNGLSGELPLLTGSCTVLDLSKNKFEGNLTRLVKWGNIQFLDLSQNRITGSIPEPMPQFLHISYLNLSHNSLNSSIPRVITQFPRLTVLDLGFNQLDGLFPTALMSLLTLQELHLQNNKLVGNLLFPSSYSNESKIRVLDLSHNLFSGNFPGGFGFLTRLQVLSLAGNSFSGSLPFSKGEITSLVSLDISGNHFVGQLSKNLPDTLQSFNASYNDLSGVVPKNLRKFPRSSFFPGNSGLEFSNPLPEQNSGGPSSKKKPIKTIVKVLVIVSCVFALVILVFLAIFIHYMHISNQPLTQEVTSKEVHHHQTIIHNPSSGSGMAISGGDIVTKRIGSSSSEMISTEKTGCALTSFSPSKNSRFSYSPDSRDSNLSRLDLRSPDRLGGELYFLDDTILFLAEELSRAPAEVLGRSSHGTSYKTTLDNGLLLTVKWLREGIAKQQKDFAKEVKKFANIRHPNVVGLHLNARVADYCLHRLMTQAGTIEQIFDAGVRGYRAPELATSKRPLPSFKADVYAFGVILLELLTGKCAGDVVSDEDGRRVGLTDWVRLRIAKGHWLDCFDVVLIPEMGTERGMHEVET >KVH95926 pep supercontig:CcrdV1:scaffold_159:418063:418317:-1 gene:Ccrd_002010 transcript:KVH95926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function wound-induced MSYMSRVFMTAGVAVANGHTDQGHKLKSGIKSFQQGKKAFTSSADPAELGPISAVGGLLRGEERRKQSDDSIRQVMYMNCWGPS >KVH95909 pep supercontig:CcrdV1:scaffold_159:343049:344419:-1 gene:Ccrd_002005 transcript:KVH95909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MASDPILTVIEHSQISPPPVTVAPCSLPLTFFDLTWLLFPPVHHLFFYHFPHSKSHFIQTLLPNIKHSLSLALRHFFPFVSKLFVFNNSGVTRKPEIRHVEGDSVTLTVAECDLDFDDLTGDHPRKCEYFYPLIPPLGTAVKGSDYVTIPLFSIQITIFPGFGIAIGMTNHHSLGDANTRFGFLKAWATIACSGGDQSFLANGSLPIYERLIDIPKLDENKLRHTRVESFYQPPSLVGPTKVRATFVLTRTNIDRLKKRVLTHLPSLEYVSSFTVTCGYIWNCIAKSLVKMGEKKGDDELEQFILTVDCRSRLDPPIPVNYFGNCTAPCITTIQNVVLTGEKGFEIAAKLIGESINKMVNHKDGILKDAERWHEGFKIPARKIGVAGTPKLNFYDIDYGWGKPKKCETVSIDYNGSVSINACKNSTQDLEIGLCLSSMQMEAFANIFNDGIGNILS >KVH95907 pep supercontig:CcrdV1:scaffold_159:360709:387805:1 gene:Ccrd_002007 transcript:KVH95907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRAWMVAGTVGLVEALKDQGFARWNYTVRAIHHHTKSNLRSISGTKNLSSPVAMASSRGMEEKIRQSDESLRKDEFNKQSMDGGRNCWVGGGTQGSRLCTMELCHQDPPPSRQVQSPLNLSHQPPLITGGHGLKQRHGRENKSIGGVIAKSHHEFNKQSMDGGRSRWIGRGTQRSRVCTMELHHQNHPPPSQIQFPVNLPHQEPVITGGHGSKQRPTTRENTPIGGVFEKSHDEFNKQSMDGGRNCWIGGGTQGSRLCTMELCHQDPPPPRQLQSPLNLSHPQPLITAGHGFKQRHGRENTSIGGVVAKSHDEFNKQSMDGGRNCWIGGGTQGSRLCTMELCPQDPPPPRQVQSPVNLSHQQPLITAGHGFKQRHGRENKSIGGVIAKSHATNLNHSSPTPSAMPTNCPSLPPNIFVHFLAFYAPTSTSATGRHSPTILSGKSCTSTAGAQADPRVFINR >KVH95937 pep supercontig:CcrdV1:scaffold_159:212051:213112:1 gene:Ccrd_001996 transcript:KVH95937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MILNRNLISFICRWSAIASKLPGRSDNEIKNRWNTHLKKRALISDHQTVAENEHIGTLESDDQANVNENPFKEIDVELDQQEVDSLLAEILSDESPSSSSRTELSSYPDYGVLSDLWPQTFQDEVVGNFWTEPFLPDNGGIGPSSETLLSPFNFVNDFISQPPSCQDMMMTNEFYWSTLDTYVDDNMDFLN >KVH95911 pep supercontig:CcrdV1:scaffold_159:321886:322161:1 gene:Ccrd_002003 transcript:KVH95911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MEVIKERSECLVSLEPMRLAFNGTFIVAGMYETEDGNDAIAKERVDPVPYGLLFLANPDLPKRFDLNAPLNKHKKDTFYTSDLVVAYTDYS >KVH95928 pep supercontig:CcrdV1:scaffold_159:283374:284405:-1 gene:Ccrd_001999 transcript:KVH95928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSEQKELSASPTQFNLSNLLNLRTLVNPDVLKLATLLASSSSNQGNQQLYFNNPITGVIQNPNQQIRNCASTPSSLPSTPLSNNSNLMGAKDGQYLTELLENMNYQSSQENLIPSCLTDNSVALPSNQPSNIGNKIPTSQNFNYDSILSIPMSSTSTTHVNSSSTFINSSSSEDERGSHCSNMFKFEIPESLEMM >KVH95930 pep supercontig:CcrdV1:scaffold_159:140848:145536:-1 gene:Ccrd_001991 transcript:KVH95930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MLEQTNDEGDTALHVAVKAGHFAVTDLLLRSGKEEFLDQMYLKNGKGNTPLHEALLHNHQSLVDLLLHWAADCSCYVNNEGKSALYLSVDAGDVKTFNAMLKAECWMFTRFDGFLEEHLMKGRSLLHAAISRXDKVMLQEILAKGMHMLLHVKDENGQTPIDFASRIGFDEGENILHDELRKETTYTGDVVVNQKVLEHNPDALCSLLVEEQNEGVIQSPDWDGIHERMRKLIRNVMLPQQCISHSCMDLGLYEAARKGDIDEFVSALELYSVEQNLALPTIFSQLTLLQDTFLHVASRHGHKYLVWLILQYFPILFGRANSHGNTALHLAAKHGHLDIVKIFVTLRNEKLSKDXPVNINLDINDAIDTTNGMHMVVENVDGRTPLQLALKSCHKKVVEFLIKENVEEAYHVNMKGTSPLYITILNGETERLKNILNTVSRYVDQNVLDDQLTKGKSLLLAAVWSQKIDILQELAXQKPALFRVKDEHAQKLVHFAELLGFHEGANYLCSEFKLEIVLDSIXADVIPKLPLQSYDLDAYSLSVIEMVDQKXXKIMQLMXQNGRFERMKXLFCSXSLQDMPQENRXEQSMDVXLYXAAKNGDVDSFIDALEKVSKANNSSLHTISEQRTHIKNTFLHVAASRGNEDLTTMYGKDNVVRFXLQTPDLEFLINEKDDDGNTPLHLATINWYPKIRLTWTALMSAGTPRALVGNKDXXSSXKHDLSGKDERHYVDYSKDRVNTLLLVATLVATVTFAAGFTMPGGYVXTEPKXGQAALLHKLLLQTFVICDTIALYSAIMVAVTLIWAQLGDLTLVVNALKFALPLLGLSLTTMSVAFMVGVFLVVADLTWLANFVLIFGIISLANMLTLFIPLCLPYTSTSLVLRYITYYPFRLLVLASGSSDDRQIKEQ >KVH95919 pep supercontig:CcrdV1:scaffold_159:115613:118611:-1 gene:Ccrd_001987 transcript:KVH95919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVARNDRSRSTPSPRNKIDTVNRIRGNDGHFVWFVAEELVEEVATTIDIHFTNYLSCYNHMGSFENQVKDRAEELKRIFKQGAKIVGDSCKKGWHKVKHLRK >KVH95929 pep supercontig:CcrdV1:scaffold_159:264746:267850:-1 gene:Ccrd_001998 transcript:KVH95929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMCGGLDVVNGGFENGRWWLNNVLIICSFFDLARSGLLAEPAQPLKPPDDSTPNTVPSFPVQTESELCRLDLSDELFGGVNAACGRNLDRSRCCPVLAAWLFAAHARSALQVNASVPASSDEMPMMPDDSQNCVNSLQSSLQSRNIHIPQPNSSCDAVLCFCGIRLHQIGSLSCPAAFNITTTSRNATPTAAVRNLEKNCRNSSYAGCTKCLGALQKLNEGGKNGTRKRHHEGGDRASKILTRDCQLMGLTWLLARNKTAYIPTVSAVLRAVMYSAHPPHESRCSPDQENMPLAVDSLQFEKSEASASRAAFLLLTVLPLVLWFCHFDL >KVH95913 pep supercontig:CcrdV1:scaffold_159:307470:312407:-1 gene:Ccrd_002001 transcript:KVH95913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II Psp29, biogenesis MATVTSLSFCGVAQSPSDRKLCLSSSARCLLSNSDAFRVRSSFSFHSISFRASNSSSRFVVHCMSSGSGKFSSPPPVSETKLKFLNSYKRPIPSIYNTVLQELIVQQHLMRYKKTYRYDAVFALGFVTVYDQLMEGYPSDDDREAIFQAYINALNEDPQQGDAEKLEMWARAQNSSSLVDFSSKAGEIEDILKDIADRAGGNGSFSYSRFFAVGLFRLLELANATEPTILEKLCGALNIDKRSVDRDLDVYRNLLSKLVQAKELLKEYVEREKKKQEERSEPQKANEAVKNCLAENQYATKY >KVH95915 pep supercontig:CcrdV1:scaffold_159:404028:404297:1 gene:Ccrd_002009 transcript:KVH95915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSRVWMAAGVAVINGHSDQACKLKSLIKSFRQGKKAFASSSSSDHPPSDLRPLSGFLGSTVDLRDRKTRSDDSLRQVIYLTCWGPS >KVH95925 pep supercontig:CcrdV1:scaffold_159:427898:428164:1 gene:Ccrd_002011 transcript:KVH95925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function wound-induced MSYLNRVWMTAGVAIVNGHTDQGNKLKSSVKSFQQGKKAFSSSSAGADPADFRPLSGVVGSEVGGEERMKQSDDSLRQVMYLNCWGPS >KVH95920 pep supercontig:CcrdV1:scaffold_159:89281:92291:-1 gene:Ccrd_001984 transcript:KVH95920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MLLTLISPFLQDACFGVVRRQLEHSEDEILTFLLQCSEQLPHKIPLYGTVIGLLNLENEELVKKILESTQKSLQDALDTGDCNKIRVLMRFLTVLHHLSSLLASTDVDVVEACLQTLAAFLKKSTGKFSLLTRLRFARGFGSSAARLQYTCIRLHAFVVFVQACSDNDDLVTFFDTEPEFINEMVTLLSYEDSAPAEVRILCLHSLVAVCQDRSRQLTVLSVVTSGGHRGIVSCLIQKAIDAVLSKPSKWFVVFAGILVEYLDPSPAAGYILW >KVH95935 pep supercontig:CcrdV1:scaffold_159:174870:186015:1 gene:Ccrd_001994 transcript:KVH95935 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenase acyltransferase, catalytic domain-containing protein MEHPLTVLIYGLEAVIIQSLRNRPQQSMMAQLLNSSFTPTSPCLRRQSMPSLTQPTSRKPQIQAKIREIFMPALSSTMTEGKIVSWVKSEGDKLSKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAAVGSAIALLAETEDEIPQATSKAKEQSSSSSSSAAPSSPPPVETKIPDSXPVATVVKPVTVTMVASTHPASDGGKRIVASPYAKKLAKELNVDLSGVVGSGPMGRIVAKDVEAAVVAGAVAVAAAAEPTKPLSTAPGIELGSVVPFTTMQGAVSRNMVESLGVPTFRVGYTITTDALDALYKKIKGKGVTMTALLAKATALALTKHPVVNSSCRDGKSFTYNNSINIAVAVAIDGGLITPVLQNADKVDIYSLSRKWKELVDKARAKQLQPQEYSTVDRFDAILPPGTGAIMAVGASEPTVVATKDGRIGMKSQMQVNVTADHRVIYGADLAQFLQTLAKIIEDPKDLTF >KVH95934 pep supercontig:CcrdV1:scaffold_159:187617:191427:1 gene:Ccrd_001995 transcript:KVH95934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEIMIVGDRAVNASEFGLERLYLIGICFMSNELVTKVMARMASADIMCVGSKVVREKFSGIIRNALRNARKLFHL >KVH95923 pep supercontig:CcrdV1:scaffold_159:45916:48673:1 gene:Ccrd_001980 transcript:KVH95923 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein, eukaryotic MTDRYFENFMREETSTVEGADEEERSVGLHKESQDSLLKLLSMPYPTLSARLKSAAIDLKQTVVGKTWGFTLERNRRISDFTLYTGALGTAFLLFKSFQVTDNRNDLNLCSEIIKACDPASLHARAVTFICGRVGVCALGAVVAKHQANQQMLEYYLAEFKGIKVNEDHPDELLYGKLGFLWACLFLNKNLGDGVIPSTFTVRFVMEFLLRFASSYIWIYNHAILEQRALVNKVIKNGRKLGVGSRCPLMFKWFGQRFWGAAHGLAGNMYVLMHFDLPSDVVEDIKKTLKYMIENRFPSGNYPSSEEENTSDLLVHWCHGAPGMALTLVKAAEIFDDKEFLEAAIDAAEVVWKRGLLKRVGLCHGISGNAYVFLSLFRLTGNVEFLYRAKAFACFLLDRAGKLISEGEMHGGDNRYSLFEGVGGMAYLFLDMIDPANARFPGHEL >KVH95914 pep supercontig:CcrdV1:scaffold_159:401130:401393:1 gene:Ccrd_002008 transcript:KVH95914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function wound-induced MSSTSRAWMVAGTVGLVEALKDQGFARWNYAIRTLHHHSKSNLPSIFHTKDLCSPSAMASSRGMGQKTSQSEESLRKVMYLSCWGPN >KVH88113 pep supercontig:CcrdV1:scaffold_1590:37311:39467:1 gene:Ccrd_024501 transcript:KVH88113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MATEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRSTRRTVPFLFVRGDGVILVSPPLRTA >KVH88114 pep supercontig:CcrdV1:scaffold_1590:16776:26277:-1 gene:Ccrd_024500 transcript:KVH88114 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome subunit P45 MATPMAEDTSFEDDQLAAMSTEDIQRASRLLDNEIRILKEELQRTNLELDSFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKSSLNYYA >KVH88112 pep supercontig:CcrdV1:scaffold_1590:48370:70378:-1 gene:Ccrd_024502 transcript:KVH88112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MSDSDDDELLQMALKEQSQRDVNYTRPSQSRSSKPVVNFVQPPSNHRSAKNPNPMTTTKSQHRRNATEDDEDSEVEMLSISSGDEDSVNDRKVGARNRARGTGRGGGKDDDKLWVGEEPDSWKRVDETELGRRVREMRETRTIPTTQKFEKKPSVAIKGLNKLQSFPRGMDCVDPLGLGVIDNKTLRLINEYTASSPSKSDKVDSDVRVMTMVYPTDIESKLRRIEEDPEGCGTSHLYSSIQGVTLVANRAFAPLLERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNINKGEYDLAVREYRKAKSIVLPSHVGILKRVLEEVEKVMHEFKGMLYRSMEDPQIDLTNLENVVRLLLELEPESDPIRHYLNIQNHRIRGLLEKCTFDHETRIENLQNELREKALSDEKWRKIQHELNESGDVDMPLPHMNNSLTVDSQPRDSGAEELDALRGRYIRRLTAVLVHHIPAFWKVALSVSSGKFAKSSQVTAELNITTSVTKTEEKMGDNKYSSHSLDEVSGMIQNTISAYESKVHNTFLDLEESNILQPYMNDAITAISKACEAFEAKEAAPSIAVAALRTLQYDITKIYIQRLSSWMRASTEEISKHESWIPVSVLERNKSSYTISHLPLAFRSVMATAMDQIDIVSAMIMQKERSKLAALHTNQDDHLKWELTCFDQVKRAKSVHANPLGRQRSRMSMSGAPVVVVSPVQLVCGWQQPLAVDGWEAYYCCRMNSSSRASKLTMSTEEDYHPWLRMMQSLGSEATKSEDAFMLLQETQESVRLSFLNCLLDFAGHLEQTGSKLSQNRSYKESPSFQNGYPLDLEENSFDPLPGSITHPHQQLLMVLSNLGFCKDDLSHEMHDKYKHIWMQTRVKDDEDNDMEDLVRSFSGLEEKVLAQYTIAKVTAAVNYLLDAGVQWGGAPAVKGVRDASVELLHTLVAVHAEVSAGCKPLLDKILRVLVEGLIDTLLSLFHEHKDTDIRILDANGFCQLMLELEYLETILNQYFTPDAGESLKSLQGVILEKATESSSEASDTPKHRRRATRGSDDLLADDGVSPDELIALAQQCSSELLESELNRTRINTACFGDSLPLDSTAAKAGAGYGSFRDSPSRNYGGKQGVGVGVGPPSFSRQKRR >KVH88111 pep supercontig:CcrdV1:scaffold_1590:99677:104570:-1 gene:Ccrd_024503 transcript:KVH88111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKTIAGAPMAMGNPSSSSLPLKLAFILSFSLTASSSSSSSSSSSYYSPTPPKSHYHYLSLRKFNSKKASTAPPTASAGDILSLLGTPQQASSVNPKVAAELRSCFKFLVPFNSTTSSPSKLGNRPSKSSTDSEIRFPRRSLNSECRSDSWSDKDELVWSPPAPVLEIARLAFDSGGDPGCIQRTLDPTMIDIPDVQGSNKNRCQLTRTPYGRRFINEELNLYMEFLFKLIAARGPKVGLHVSLDRYDFFHGHLFIAADGRVGILFHAKEYLAYDKEVFPYNMGYCQAGSNVTYDDSMNLRNILWLAPLPSNSISGGSAPGVLVVLDAHPGGIIYRDIIPEYVDYVRTIYEDDFGDNVVDVNYLNVGAAEADYQIFIC >KVH88110 pep supercontig:CcrdV1:scaffold_1590:13892:16566:1 gene:Ccrd_024499 transcript:KVH88110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MLWVVQGAVSVDIGANPSAEGGEDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVAYIKKYIKLLTPKLEPEKQEAFKKNIEGATKFLLSKLSDLQFFVGESMHDDGTMVFAYYKEGATDPTFLYLAYGLKEVKC >KVI04346 pep supercontig:CcrdV1:scaffold_1591:47220:52268:-1 gene:Ccrd_017343 transcript:KVI04346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRITASPRPCSGRRVVAKKRPRGGIDGFVNSVKKLQRREICSKRDRAFRMCDAQERFRNIRLQEEYDTHDPKGHCSIVLPFLKKRSKIIEIVAARDIVFALAQSGVCAAFSRESNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSFSSLKCRTTRIEYFFLLKLYLVDNGDRYIRRGQPDAGFSLFESESLKWPGFVEFDDVNGKVLTFSAQDSIYKVFDLKNYTLLYSISDKSVQEIKISPGIMLLIYNKASGHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNCRISSLHSYVKRTPKVRNSDLTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAESDDPITEGNGNFPNLYFKLLYECITSKIEYCLQVR >KVI04347 pep supercontig:CcrdV1:scaffold_1591:40493:46435:-1 gene:Ccrd_017342 transcript:KVI04347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMEMERGIDPDAPLDYVELKIFPSQNRYEACVSSSNRTEKVAAGTLEQLLLHSSRVKDLSCTGSNTNFKVLPPDNADDAEWFTTATFTRFLYIVGSPEILSIGNEIAQLEETRKFQLSLSVKAEVDITSSIDSKDSLQKFVETSHFPSVEYSRNGSSNKTEKSAPALRPSQLDPPIKYGVSPAKVAQVERQGSTDDENSSFSSENDQPSVERSRASTRSATPRRSASPMRRIQIGRSGSRRATALTIKSLNYFPAREKVVFQRDAVGNSSDEEDPEKPPKNNVLRMSVQDKISLFESKQRDQTVDVPKTKTLLNTTVGANKAVLRRWSSGMGEGATRVLPDANTKTSPISPENVAPEAESESCTVTSQVSAETAENITPHSPERGAPEPSSVKVETLEPEREEICEKHPASVVRSQQKEAEPNQLFMEMMDNKPVRQRNPTTDINKSKKSPKEQRGGFYDHYKQKRDEKLRGEVAGKRAEKEAQFKVMQRFLDERKAEMASTNVIDVNSRHTTANKPQKTQKNSTALTNSRKEATKPSVLKKATSKTSALPATRKSWSSTPSPRATGASPARTPTGPTSASTTPTSRKPQSASSAVRSSTKSEKSQPRATTPKATPPDANRSHKTVSEKEQPTVTKSTKTIKAEVRTTEVDNATAAKPSFYNKVTKKRSVVPLETKPFLRKGSGIGPGVGPVVIKTKFVAQPEETLGSSGILIQAEDNEVVMTTDINADPEECEAPEMSINLDSESQVLSPTKCEESVSSNQVNISGGDGFKRIEFPKVNSGTEEELIISPTAWVEVVQHHQEEIIPCKESPVQIVSPKVVAPARISSPRVRYSLSQMLLEENSEADIGEWGNAEHPPALVYQKDSPKGLKRLLKFARKAKADSHLTGWSSPSAFSEGDDDAEESKGQTNISRFTAQNVSSSVNTTKEVICVDVLVALLSKIGYGVVFFVFQQQGHSSLFQRLGAARRTGASTTQDAASGIWCKLWSYLFLQESFSRMGMLMLV >KVI04349 pep supercontig:CcrdV1:scaffold_1591:28829:32137:1 gene:Ccrd_017340 transcript:KVI04349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese/iron superoxide dismutase MVMVAATTTASHLTSTFLSTPDFCGLSRSLQWPHMQHALEPHMSKETLEYHWGKHHRAYVDNLNKQIEGTELDGMSLESIISASYNKGDILPAFNNAAQIWNHEFFWESMKPDGGGKPTGELLELINRDFGSFEGLINKFKSAATTQFGSGWAWLVYKEHKLDVPNARNPRPSEEDNKLVVVKSPNAVNPLVWEYHPLLTLDVWEHAYYLDFENRRPDYILTFLDKLVSWETVSSRLEAAKVRVAESEKEMAEIDADEDLDTIEPEDTDMYFGSEAEDAEDFLDDE >KVI04348 pep supercontig:CcrdV1:scaffold_1591:33293:38263:-1 gene:Ccrd_017341 transcript:KVI04348 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG1-like protein MAREWTGIQQFPLATQTKLLELLGKLKEKERDSLTILVMGKGGVGKSSTVNSILGERAVSVSAFQSDVPRPVMVSRERSGFTFLLNKTIDVLLYVDRLDAYRVDNLDRQIVKAITESFGKEMWRKGIVVLTHAQLTPPDCLSYDNFFARRSEALLKVVNSGVRFKKREIKVHAVPVVLVENSSRCNKNDSDEKILPNGTAWIPSIVETITKVATNESKSILVDQKLIDGPNANDRGKLFIPLILAFQYFFVVKRVQKWITDDIEKAPKSPWE >KVI04345 pep supercontig:CcrdV1:scaffold_1591:98774:101245:1 gene:Ccrd_017344 transcript:KVI04345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLHRSSSVPIFKLPSSSIVPLPSQSSSFHRHPLFLFRRSSTRIRAMASNAVLAINLRH >KVI04797 pep supercontig:CcrdV1:scaffold_1592:3484:7871:-1 gene:Ccrd_016880 transcript:KVI04797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCLIPLPSCASWKPVTTVRRKHVRTTSINCTNDFGAKSFFLNPSDERILKKALKEPVAFMGGMFAGLLRLDLNEDPLKEWVSRTVEASGFTEEELDTEKTIAAEEPQQIEIE >KVI04793 pep supercontig:CcrdV1:scaffold_1592:108323:109886:1 gene:Ccrd_016884 transcript:KVI04793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MVTENKEKRISSKEEEAVAQQEIWKYIFGFVPMALVKCSIDLGIPDILENHETPMTLAELASKLNCSQSVLYRIMRFLIHYKIFQEQPLSKTSVGYAQTPLSRLLTRHGENTMVDLVLLETSPIMLAPWHKLSAWVLGNGNSPFEATHGKDLWGFNVENPGHSKLFNDAMACATRVEMAAVIEGCPGVFEGLKTMVDVGGGDGTALRCIVEACPWIKGINYDLPHVVSVAPTSTGVEHVSGNMFDYVPKADAVYLLKVLHDWTDEKCIDILKNCRAAIPQDTGKLIIVDTIIGHKEDDEFKEMGLLLDMVMMAHTSDGKERTLEEWSYLFHEAGFTRYTTKKIQTYLSVFEVYP >KVI04796 pep supercontig:CcrdV1:scaffold_1592:10962:28470:1 gene:Ccrd_016881 transcript:KVI04796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWHHSKSQSQDLNSMMLQESSSVGQRETLSSKNQKYFLEEPEAPSLFPISVLDKSVMVSFRVGLKSSIMVRKSDALNGGSKSSLPPVNQPSSQSDLSSLSKHFSFRTLKLKTKQQELLIRVTILGLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAAVIYWALKFLRFAVHIREVCVLTAPFFASNTTIVAYFFGKELWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLITGRYSMRLYVAYNCMYIVGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLIQVFYFLDWVKHMLNDSKLFQAFLRIGVTCALGVGVVALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFQQLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATLKNLTQLVRVESKPAHTVLAKGMNSTKSLSKQQASLDESASFLKKGAMVLLLGAYYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGQRVIFDDYREAYFWLQQNTPSDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMYKLSYYRFGELTTEYGKPPGYDRARGVEIGNKDVKLEYLEEAFTTSNWIVRIYKVKPPSNRWEIYMDLVDESDGDYGYDCGAIQLDQATGNYKL >KVI04794 pep supercontig:CcrdV1:scaffold_1592:66514:67452:1 gene:Ccrd_016883 transcript:KVI04794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MALVRERRQSKLGLRLPEISERRPRFPLPLPPTTQQPTTTTAAVDLEKIQVLGHGNGGTVYKVVQKKTSNVYALKVVHADSDPMMRRQIFREMEILRRTDSPYVVHCHEIFEKPDGDIAIMMEYMDAGTLDSLLKNGGRFTEKTLADVARQVLNGLNYLHAHKIIHRDIKPANLLVNKNMEVKIADFGVSKIMCRTLDACNSYVGTCAYMSPERFDPDTHGANYNGYSGDIWSLGLTMLELYMGHFPFLPAGQKPDWATLMCAICFGEPPSLPEGVSDEFRSFIECCLQKDSSKRWTASQLLSHPFCRQPES >KVI04795 pep supercontig:CcrdV1:scaffold_1592:35864:41710:-1 gene:Ccrd_016882 transcript:KVI04795 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MEPGDPGVGSYYHHQQPPPPQRYSHHQQPPSQPPAATTNAILPNTITDTGPPQMLYPRNSVPSAVSSPTGVRRKRGRPRKYSTPEQAAAAKRLSSLSSPSAIVPPLSSSSKNDVSNAVGASSSSSKKSSAGNAGQGFTPYIITVTAGEDINHTIMSFMQQSKQEICIISASGVISNATLRQPATSGGNITYEGRFDILSLCGSFVRSDFRSSSSGGLSICLSSNDGQIIGGGVDGPLIAAGPVQVILGAFVISGKNAAMFTKDDASVGPSSLGFPSAPESFARTSMVGNDGNHQNSHGYPFMIPNGGMPVTTDWRNNNDSRSAPGFNFSGRLNHGANHSPKNGDYDRFQG >KVH94705 pep supercontig:CcrdV1:scaffold_1594:101364:104827:-1 gene:Ccrd_003226 transcript:KVH94705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHIQNLKRSSLLRWVFVDLNTKAVYSTGSYHDIQNPRFYSTKSACKTKNTQKLNELLADDGNVGLWSRVACREAQDALLEYLHSTRSLQFMDAENISRNSPRFLGKLLKGVENKESVGQSVARFLRYHPINEFEPFFESMGLEPREFSMLLPRNLMFLSDDNVLLENYHVFCQYGFAPNKIGKIYKEVKEVFRYNDGVLLSRLQDLQNVVLDQSTVIKLVGSCPSILIEDGTHDVVLKVVTELKVAGIVNGWFIEHLSEEDYYDWKHMLELLCLFKRLGFDHEQLGRLFHKHPTILLQNSGSTATSIIGFLLKFGASKNEIRALFLQFPELKIHEFVSNLRRSYYFLSKIEMDVHSISKLLCAQPLLLGSCPLKGVKTALNCLNSGKKRLCTIIKKNPQELKNLALGLKVEPLPSLKEGALEKTKFLLDMGFTENSSEMKRALRRFRGRAGELQERFDCLVNVGFDQKDVAEMLKTSPQVVNQTTEVLEMKIDFLVNYLGYPLSSIATYPSSLSYAIEKVKLRCTMYKWLHEQGTTNSLALSTILACSEKKFIKGMVNRHPKGIEIYENLKRQVYSIV >KVH94708 pep supercontig:CcrdV1:scaffold_1594:45035:45443:1 gene:Ccrd_003231 transcript:KVH94708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-25/LEA-D113 MQTGKNAAASVKESAANMAASAKAGMEKTKATMQEKGEKMTAHDPMQKEMAREKKDERKHEAEYEKQAAREQNAAQRQAAGTTGTHTYSTTGDYTGTGGTYR >KVH94704 pep supercontig:CcrdV1:scaffold_1594:80694:81329:-1 gene:Ccrd_003227 transcript:KVH94704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MATTPSSSPHNFAIRAPPPPPPSPSSSSSLATCVKFKTLIHNFIFSHLYRLVRALTKAKTLILELLKQIHRLHNIHFLEPIILKKNRNKHNKICFGSFRLHYNWCSSSHVVPMAMTSSPNSFNGVYYDSTWNAFVDEMAPESQLSGYLQWLEEKNKGSNNGSNAGSRSITVDEMNEIDRLADKFIANCHEKFRLEKQESYRRFQEMMARSM >KVH94702 pep supercontig:CcrdV1:scaffold_1594:62407:64095:-1 gene:Ccrd_003229 transcript:KVH94702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MLSNHLHHSLYIHTYKSMPFLHSSIPSISIFHPPLPSPGRHHRCFPPMANTNTTIMATAILVALVFTAVPCLAAQSPRGSPMMSPTSPTTMGPAPDCMTALYNVSDCLSFVQVGSNMTAPDKACCPEFAGLLESNPICLCDLIGSSESFGVDLSRALMLPDACKLETPSISSCPATPTSAPEPSPTGEAPGSTAAGAVPNGGAAPGPVGSGTGGSNGASSTTVHDLSTFVCLAVAIFIAYYF >KVH94707 pep supercontig:CcrdV1:scaffold_1594:47136:48581:-1 gene:Ccrd_003230 transcript:KVH94707 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MPTNWPLLGMTPALLWNSHRFNDYITSILKQNGSTFICKGPWFVNMDILFTSDPANYLYISTTNFHNYPKGPEFRETFDILGDGIFGCDSKMWELHRKTTMSLFNRPDFLNMVEQTTWNHMEEKLLPVLEVMSERRSKMDLQDIFQRLTFDSIFSLVVDYDPKTLSVDLPHNKFEVAFTKSEEALFVRSVVPKCCWKLLKRFQLGNEKHLSDALKLSDEIIYKLLNEKKERQIEAYDIEREQQEDLRLLTGFMREYNDQTGSFDDHDKFIKDTILSLVFAGRDSTSATLTWFFYLLAKNPIANGKICEEIHTKLGIKKGEKWRKIGLEELQKLAYLQGALCEALRLFPAVPVNPKAPVDLDTLPSGHQVDKNTKIFLHSYAMGRMEMIWGQDCLEFKPERWLSEQGGIKHVPSHKFTTFHTGPRTCIGKKFALTQMKIVAATVIYNYDVEVVDGHPIMQNPSVILQMKYGLMVKLTKKYAA >KVH94706 pep supercontig:CcrdV1:scaffold_1594:11869:12375:-1 gene:Ccrd_003232 transcript:KVH94706 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MEDMMINNKPTCSPTTKPFTMHKDSRIISKIKPKIRIIHIFAPEIIKTDVANFRDLVQRLTGKPAEKKKLKTTKRTETQKRSSFSLAAAGAEVTREKIKGEEEIWVGANSGGGFLGGFGDLDGFMQELNHHQNHGFSPVLQNLEAPTLVNSHLDYGFGERSLNLPTYS >KVH94703 pep supercontig:CcrdV1:scaffold_1594:74820:76324:-1 gene:Ccrd_003228 transcript:KVH94703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRESAMVESGNGINLDVRIYKPAPEDDDSTSDDQKIVVVLVHPYSVLGGCQGLMKGIARNLADQGIAAVTFDMRGVGKSTGRPSLTGFSEVSDVVAICKWTSQNLSSNRILLVGSSAGSAVDQVDEVIGYVSLGYPFGLTASILFGRHHKAILQSLKPKLFVMGTKDGFTSVKQLANKIKSAAGRAETHLMEGVSHFQMEGPSYDADMANLIVTFISSL >KVH88108 pep supercontig:CcrdV1:scaffold_1595:23405:59289:-1 gene:Ccrd_024505 transcript:KVH88108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase NYRICRRCPDRFQGPVFTPWLNPVPTTIYYKHTQSTFISEAEFTFEFVSFCRQGHTLDLGILLMENSGNVGDGGVSDRPTIMVTNDDGIDAPGLQALVRVLVCTNRYRVWVCAPDSEKSAVSHSITWRHALSAKQTEISGATAFAVSGTPADCTSLGISKALFSSVPDLVLSGINMGSNCGYHIVYSGTVAGAREAFFYGLPSVSISYDWVGGTSSINNFTLAAEACLPIINAILVEIKNKTYPQKCFLNVDLPTDILNHKGYKLTNQGNSIIKMGWKQVSSDAAQGGHMLSTMTMEPNSVASNPDATGVSQEHLLFRREVKGGQVEDGETDYCYLKEGFITVTPLGALSHADIDSQTFFKEWLPAVAERTSSSALSHLAKDALMSKRHFEEEISERFTENKS >KVH88109 pep supercontig:CcrdV1:scaffold_1595:10638:11516:1 gene:Ccrd_024504 transcript:KVH88109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MKDFPSCFAENGVAIADASCTSSTVSKSKASQNLVTCVYQSKFLAKSRLIIITWSKNLVGHCFSVEIEDLSHKSLSRLDVKPSLFSKTKGSKHLQVDFLTIDVYWDLTNAKFGSTPEPIQGFYLGLAFKGQIVLLIGDLTKQILKKTGSIPIASQSFSNSLVLKREHIFGNKVYATKAQFKDNGVIHDLRIECDTVGSNNPRLVLRLDSKIVMQVKHLLWKFRGNCTISIDGLPVEVYWDVHNWLFGSTAASAVFMFQTCYELADPSTVPWPCSQSLHCPGFCFTLYAWKNE >KVH88107 pep supercontig:CcrdV1:scaffold_1596:2107:4107:1 gene:Ccrd_024506 transcript:KVH88107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII LAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALENPTYLEEVKVALVQERERLYKLLKEVPFLNPYPSYSNFILCEVTAGRDAKKLKEDLAEMGVMIRHYSNKELKCYVRVSVGKPDQTDTLMDCLTLLS >KVH88106 pep supercontig:CcrdV1:scaffold_1596:37258:37515:1 gene:Ccrd_024507 transcript:KVH88106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVINVYNGSSLCMIICNNGFSFSPSLDKASCAFPRNQRKFSCMASSRAAVKEEGKQQLTGDSFIRPHLRELSPYQPILPFEVIL >KVH96049 pep supercontig:CcrdV1:scaffold_1597:18879:19232:-1 gene:Ccrd_001865 transcript:KVH96049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MMVSKIVKLVRKWHRDAWKSGSNKRNDLVANKGHFVVYAVDQSRFVMPLHYLDNNVFLELLRMSEDEFGLPGNGHITLPCDSIAMNHMVDVFEQGCSDDLERDLLVSIASNRCSSCS >KVH96048 pep supercontig:CcrdV1:scaffold_1597:34706:39812:-1 gene:Ccrd_001868 transcript:KVH96048 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGCVGSKAEESPAVALCRQRCTFLDEAIHQRYVLAEAHLAYFHSLKNVGVSLHRFFNIHSAAVQGGSSPPSPVLNLPPQRKGDSSKTPATAVVHDHSHSNSGSSHLHFHSDSDEDSGDDDGSIHLHSVNDGSSPLHQHRPYGNLPYSDHHETAGSSYPPAGYPPPDYPPSGYPPPDYPPSGYPPSGYPPSGYLPSAGYPSSGYPPAGYPPSGYPPADYPPSGYPPTGYAPSGYPPGRYTMNFMRKQPTPSVVYQQRPMSPEAIRYGEASSSSSYYNNNSNYQNLNSYSYNNNYSNYGEFFGSSSRQPPYGGVSSPPPMLQTEASSSYSKPPSPPPPPPPPSSTWDFLNPFQTVDNYYSTPYTPSLDSREVREEEGIPDLEDEDSFQGEVVKEIHSSNEKFVDGGGGGGGGGGGGNVGSKKAAVVDEDSEKSSVAELHYRSGPSVPEDEPVEFDVHVVDKEETSRGEKPLADFQNDSEVVREIQIQFDRASESGNELAKILEVGKVPHNRKHAAYQVPSKMLNAFTPSLAVAASKSSATEMGNPAALDTNVDLRMRSNNLSSTLHKLYLWEKKLFEEVKIEEKMRLLHEEKNRRLKRLDEKGAEPHKVDATRTLVRSLSTKIRIAIQVVDKISVQINKLRDEELWPQLNHFIQGYV >KVH96052 pep supercontig:CcrdV1:scaffold_1597:65908:68145:-1 gene:Ccrd_001869 transcript:KVH96052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQIAIIGAGVSGLLACKYCLSTGFNPIVLDAESDIGGQWAKTIKTTRLQTPKSLYQFSDFPWPSSVTDDFPTHQQILDYLRSYATHFQLIPHIKLNSRVKGISYDGPSSETWSLWNGTGEPFPPEGKWNITVEDTRTATTQVYIVDFVILCLGRFKDLPNIPEFPAGKGPEVFRGQVIHSMEYAAMDDDAAAEFVKGKKVVVVGFGKTGLDIARECSSINGAEHPCSVVYRRDHWKLPNWSPWGIPLPYLYQNRFSELQVHKPGEGFLLSLLATLLSPLRWGTSKFVESYIKKKLPLAKYDMIPEMSFSKDARSCLVCYMPDPEDFVNAVDKGSINLKKAPSVCFYDNGILIEADDTRIEAEIVIFATGFKGVEKLKNIFESPAFGHLITSSPRVPLYRECIHPRIPQLAIIGFSESFSNLHTSEMRCRWVTSLLEGAFKLPSINEMQKDISRWDEYMKQSSGEYHHRSSIAVLEIWYNDQLCKDMGMNRLRKKGLLANLFEPYGPMDYAK >KVH96042 pep supercontig:CcrdV1:scaffold_1597:92776:95155:-1 gene:Ccrd_001872 transcript:KVH96042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHYLSSSKLSDLVSKIKESSFNGRWQQVLSLCNELNKSGGLQLTQPSLFHPILKACAAISLNHGKSLHASVIKLGVESCTSIGNSIMDLYAKAGQMGSTFSVFGCMTIKDSVSWNILISGHLHHGDMDRGICLFMQARATGFAPNISTLVLVIQTIRNLGALREGQKMHGYMIKTRFLATSSVQNSLLSMYADMAMEFARKLFDEMSNRDMITWSIMISGYVKDNESCVALGVFREMLSHLRTEVDGQTTVSALKACTNLNNLITGRMLHGFIFHRGFGFDLFIGNSLIDMYSKCNDPDSALQAWREIPLKNIVSWNSLLSGFVSNHKHSEALHLFDSMQKVGVEPDTVTLVNLLQVCKHFADPLLCKGIHTVIIRQNYELNELVINTLIDVYAKCNLINWAQKLFSWIKIRDTITWSTMIAAFTYCGLPDESIGIYQKMIDAREKPNAITILNLIEACSFDAELKRPKSAHGIAIRRGFASDAIVGTAILDTYAKYGDIITAKKAFHQISNKNVISYSAMIAAYGMNGLARDALSLLDEMETRGLKPNSVTILSVLSACSHGGLIDKGLSFFQKLIETQDFKPNLEHYSCLIDLLSRCGKLDLGTELIKKLAKQHKAGASAWGALLSGCRISYNGNKEINEKVVSRVLELEPNNSSGYMLASSVFAARGLWEDAARIRALVREKHVKIVAGNSMVHVNNKCYRFVAGDRNQFLSNEIRTTIEELHKFDGLSTADVALSCTTRWSKPAFPTVADRELLNSKKARKGWSQ >KVH96047 pep supercontig:CcrdV1:scaffold_1597:27068:29734:1 gene:Ccrd_001866 transcript:KVH96047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAILIYFLFTLSIVTSTNACDRCLHQTKAVPFSNASALSSGACGYGSSAPSFYNGHLAAAVPSIFKFGSGCGACFQVRCMDGKLCSKGGTQVIVTDLNKNTETDLVLSSRAFMAMANKGMELNMLKLGAANVEYKRRSFRMQVRSTSINRLLVYFVGRVPCDYKGKNLAVRVEESSQKLRYLAIKFLYQGGQTEIVAVDVAKVGSTNWSFLRRKSGAVWDTDRVPAGKLQFRLLVTAGYDRKSIWAKSVLPADWNAGIVYDSGVQIEDVAEEGCGRCD >KVH96044 pep supercontig:CcrdV1:scaffold_1597:82443:90019:1 gene:Ccrd_001870 transcript:KVH96044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock chaperonin-binding MGVEGGESTQKGVASVDGADAEIALNIRCSNGNKFSVRTSLRSTVVAFKDVLAQNCDVPANQQRLIYKGRILKDDQTLDSYGLQADHTIHMVRGSAPAASAPATGGSTAGNANTPSVVSQGAVSNDGFGLGGAGTGAPLFPGLGLGGLGGSGAFGSGLPEFEQVQQQLTQNPNMMRDMMNMPAIQNILNNPEVMRGMIMSNPQMREIIDRNPELAHILNDPAILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTTGGAAANDSGSNPFAALLGNQGAATQTRGLSNNPSATGTETTPGAAAPNSNPLPNPWGGTGGGLGLPGLDRTTGMPDLSQLMQNPAVSQMMQSLLSNPQYMEQIVSQNPQLRSMFDSNPQLREMMQNPEVLRQLTSPQMMQQMMSLQQLLPQLNQQQSSLDPTQTGAAAGTQNNMGLDLLMSMFGGLGAPGMAVPNVPDGNVHAAVERLLGNFGP >KVH96046 pep supercontig:CcrdV1:scaffold_1597:104937:109166:-1 gene:Ccrd_001874 transcript:KVH96046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGICCSSNNQASSATPNPSSGISQTTTTSNGTSSLLSVVSSNVSGNSQFSSASSGDDVGPGGQILPHPNLKIYSFAELKSATKNFRNDTVLGEGGFGKVHKGWLEEKSGSKHNGSGSVIAAEVNFLGRLSHPNLVKLLGYCYEGKELLLVYEFMQKGSLENHLFGSKNSLQGQSHVTTRVMGTYGYAAPEYVTTGHLYVKSDVYGFGVVLVEMLTGMRTLDPNRPVPQRNLVDWVKPMLANRRKLKNIMDSRLEGRYPSKATGRIAQLAFTCLETEPKSRPSMKEVVETLERLDAINEKPKVPRTQNSNRNSSNRYGLQPLHHRSPLHVKQDVDEGSKHLPKGS >KVH96050 pep supercontig:CcrdV1:scaffold_1597:5338:10607:1 gene:Ccrd_001864 transcript:KVH96050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTMHVKSDSDLTSVEALTPPRSPRRPLYYVQSPSNHDANDKMSFGSSPFGSPGHPTHYHCSPIHHSRESSTSRTFSASIKNAVVRAHHQGHAGRALSPWKRIEDGEVDEDDDDDGDDGGGFPRRFYVIWFVLSFVVLFTIFSLILWAASFPYKPKVFVKAANCVPTDMLTLNSTVKIFYRNPATFFGVHVTVTPIEIHYFQLKLASGYVKKFYQSRKSQRVIMAQVLGYQMPLYGGVSPFNAAIGHLKSVTVPVNVTFGLRSRAYILGRLVKPKFYRHVSCQVTLRGNQLGKRVNLTDSCTYHD >KVH96051 pep supercontig:CcrdV1:scaffold_1597:31404:33768:1 gene:Ccrd_001867 transcript:KVH96051 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDIEQVEKETRLELQLPGFRFHPTEEELLDFYLKKMVLGKNTCFQIIGVLNIYLYDPWILPELSKVGEREWYFFVPRNRKHGSGGRPNRTTKNGFWKATGSDRKIFSLYDTKKHLGLKKTLVFYKGRAPGGSKTDWDIVLCKIYRKATSMKILEQRAAMEEEAHPPPSPSSTTSQRLDLFALPPAPLEPTHLPSTDDDFFLEENTDRKLMLKLPEGADNLSELRLPRSNTDWNPDSQLRSPWLHNLIFTPPPYANILNFFD >KVH96043 pep supercontig:CcrdV1:scaffold_1597:98295:103939:-1 gene:Ccrd_001873 transcript:KVH96043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MKPPCRRLTLSLSRLVIVIFLVLIHIALVAADAFDTLTVQREIIEAWPLFDCPQCMDKKEQLHTKSMAVGNHQIEKDIDIIVTYTDTSGAIRIRTVKSKDLSASWSLEDQHDTRVLDTNELSANEHADVNEQPLKTPFPMHPAKLRRRALRQERRDRRTAELIQGGDKRETQMRSAAIERAKTFDTSVKAKYSIWRKEYESPNSDSTLKLMLDQIIMAKAYASIAKAKNATAIYDSLIKHSKRSQQAIGEATYDIDRAKEMGHILSLAKDQFYDCILMARKLRVMLQSTEANVEEVKKKSASLTQLAAKTVPRPLHCLPLVLTTDYFLLSDEKKKFSNSRSLEDPSLYHYAIFSDNVLATSVVVNSTVLHAKEPGKHVFHIVTDKLNFAAMKMWFLVNSPAGSTIQVQNVDDFTWLNSSYCPVLRQLESSRMKEYYFKAHQTSSLAAGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSVDLHGMVNGAVETCKESFHRFDKYLNFSNPKISDNFDPDACGWAFGMNMFDLKEWKKRDITGIYHGWQNMNEERTLWKLGTLPPGLITFYNLTHPLDRGWHVLGLGYDPALNQTEIENAAVVHYNGNYKPWLDLAISKYKSYWSRYVIFDNPYLQLCNINTFMLS >KVH96045 pep supercontig:CcrdV1:scaffold_1597:91388:92452:1 gene:Ccrd_001871 transcript:KVH96045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MNKDMITDATTTTSSDLQLCPASPDAQEIFRPTLDVSDVSAGNIQPITIIRPHQQNPRKKRTKMIRFHNSKAAILDVRVDSSNHSSVITKKKPDPSAPKITRPCTECGKRFWSWKALFGHMRCHPERPWRGINPPPNLHHQPLDHEDFTHVTTEEDQYVAACLLMLAKGPTLPDTTTTTTTTTATHTSTSYHHHHQPGLQDTLGDPEIRFECSSCKKVFGSHQALGGHRASHKNVKGCFAITRNDGGIEELEDGEFVSHCDRTGGGGDNMMVVLGSSNQQHRCSICLRIFSSGQALGGHKRCHWEKEDGLIPTTSTSMLPQGHLKFRFDLNLPATLQEDDPTCSTSDLDLRLSL >KVH88105 pep supercontig:CcrdV1:scaffold_1598:98773:101774:-1 gene:Ccrd_024509 transcript:KVH88105 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF23 MVKDRDAIQNPNPKKMFIGIVWNCAPELKLLLSSILFLCSLFTLFQFFPSHLSFSIQDLRHCAALPQPPPPPPPTHINQQDVVLNNGVIKRNFNTYGTAAYNFILMSAYRGGLNTFAVVGLSSKPLHVFSKPTYICQWLPQNITVAGNKILPDWGYGRVYTVVVVNCTFPFPVGDDGSGGRLLLHASTSGGGDANFNLTDTIEALTETPESLNLLQFTAPPKYDYLYCGSSLYGNLSPQRIREWVAYHVKMFGEKSHFVIHDAGGIHPEVMEVLRPWMEKGYVTVQNIREQERFDGYYHNQFLIVNDCLHRYRFMAKWMFFFDVDEFIFVPKKSTIKTVMDSLTNYTQFTIEQRTMSNKLCYLDDHVGKIYRKWGIEKLVYRDSVKGIRRDRKYAIQPRNVFATGVHMSENLLGKTTHDTEGKIMYYHYHGTISERREPCRQLVNTTSMKVGGTPYEVDKTMREVAGAVKRFELRMIGSVLIRTKQ >KVH88102 pep supercontig:CcrdV1:scaffold_1599:19857:20304:-1 gene:Ccrd_024510 transcript:KVH88102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MDAPAMGFLYGVINEAKEKITKNLDSNKPSYKGIWDIVDAKWERQLHRDLHATAYYLHPRFRWSPNVSKHPEINYTKDDATYMVE >KVH88104 pep supercontig:CcrdV1:scaffold_1599:74661:92193:-1 gene:Ccrd_024512 transcript:KVH88104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MEKLGVLHLEVGQLAEMRTFVRGFRGAWFRCKIKDVFLEKNKILLEYYDFSDEEISWAKIYEVPHYGRKSKQIKKQLMMRPHYPQMHHTSEMPPVNSISEVCVINDGTWKVGDLVDWFEDSSYWSARVIKVLSDDKVKIELPMAPAGEGGVHEAFCKDLRPSLDWSAGKGWTLATMEGQSSCSAQLIFPSQQDEVNCKATEQMVMGLDSEREEASSTSRISAAIAIEGGDRERQQEANKMNSEQVKMDGKAVISSSSSSSEDSISTLHVEESKEEEVVVGSAEYSSSIELNMMHEETLEATILDLEELANRIKWIKSILETNQDTSSLSSSSRWKFT >KVH88103 pep supercontig:CcrdV1:scaffold_1599:23067:23619:-1 gene:Ccrd_024511 transcript:KVH88103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQINAKEHRYQVCMDIARCFYENGMSFNISSNLPFIYMVRSIGNYGRGLKPPSRNEAGNWMLNEEVMTTSWDASVIKIKLHIRS >KVH92848 pep supercontig:CcrdV1:scaffold_16:213432:215610:-1 gene:Ccrd_005108 transcript:KVH92848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MPPTYFPLRWESTGDRWWYASPIDWAAANGHYDLVRELLRLDGNHLIKLTSLRRIRRLEVVWDDEQQFDDVAKNRCLVAQKLLHEGECKRGRNSLIGSGYGGWLLYTAASAGDLEFVQQLLQKDPLLVFGEGEYGVTDILYAAARSKNTGVFWVIYDFAMSPRFISGDRRVVEEIPSGYKQEMKNRAVHALARGGNLKILMEALGDCSNEDVLAYRDIQSSTILHTAAAKGQVEVVKDLISSFEMINSVDKQGNTALHTAAYRGQLSTVEVLIQAWPSSINSRNNAGETFLHKAIRGFQAPTFRRLDRQIVLMKQLVCSKTFNLKEAINARDNGGRTALHLAINGNLHSDLVELLMIVGSLDVNVRDNDEMAPLDLLKQRPRSXSSELLTRQLISAGATFGSQDYTARRMIASHLKMGSIGGGGSPGTSFKLSDSEMFLYTGMDSTSSTTTYGTPVFSMHSAXLSQLDSSSNSNSESKSPKKNKQKGIQRFLQWVRRRKGGNEGMILTRNLNEIPVSLRERYSSLPNNKRTLAARSNLVSPTVKKKVASGLVBGVMQAMPHLNRRSGSNSQSSLDNKDMGVDVVGSSSSNQMFDDGDDEEQGVVNSRRLWC >KVH92798 pep supercontig:CcrdV1:scaffold_16:423699:428412:-1 gene:Ccrd_005142 transcript:KVH92798 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 51kDa subunit MMKGILSLQRAALFRRQYGNWGVGARLFSTQSATTANTAQPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDIVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRNDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLEKARKEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFSSFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKNVCEDVLMDFDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERADRELLQAAA >KVH92852 pep supercontig:CcrdV1:scaffold_16:871122:873976:-1 gene:Ccrd_005180 transcript:KVH92852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MGKPDKEPFAQMSPRSPLSTQTRETDSIDLTVNSKTSCNSIDQLCHNIYEMESSDRSPSRFSFLSYGQESRIDSELRYLARGSFSKDSLRKEPVSTADEKETEEFHDPPEGDFKQETVAKGGEKEVSESDVTIPKKKKPNPSSPARSSSPARSSSPARSSSPGKIPKPSPKSKSIHDKPLIIKKTGLKSNLKKNEKTSNSGGEQRNEDPKYLGPYLLKQSRELLSAGTDPNKALELAERAMKSFESCQSEKPDLEYIMCLHIVAALYCILGEYDEAIPVVERSIEITNMNEGQKHSLAKFAGCMQLGDTYAMQGRIENSILCYTAGLEIQKHVLGEYDARFGKTCRYVAEAHVQAMQFDEAKKLCRLALIIHGKNGTSASLEEAADRRLMGLICDSQGDYETAIEHYVLARMAMSANGHDSDVAAVDVCIGDAYLSLARYDEAIFTYLKAFNVFKTTKGENHPSSASVFVRLADLYNKIGKFRESESYCKNAKRIYVKPIPGNQNDEIADGLIEVSAIYESMNELDQALDLLKKALKAYGKGPGLLTTVAGVEAQIGVLCYMTGRYFESYDYFKIAISKFRAAGEKKTALFGIVLNQMGLTCVQIGAIDEAADLFEEARGIFETEYGPQHPDTLGVYRNLAGTYDAMGREEKLGTANPDVDNEKRWLAELLKESGRDRSKGSRSLEFLLDG >KVH92872 pep supercontig:CcrdV1:scaffold_16:366148:369582:-1 gene:Ccrd_005134 transcript:KVH92872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MMTDGYSTYKKKDDICDDVCGQEVSRMSRIRCMMQSLDLRTFIILFVIIPSGFVGIYFHGQKLTYFLRPLWQSPPKPFIPIPHYYHENVSMASLCEIHGWKLREYPRRVYDAVLFNNEIDMLTIRWKELYPYITQFVLLESYSTFTSIPKPHFFAINQERFDFVKPRLTYATIPGGSRKGKNPFVEEAYQRVAVDRLLRIAGIEDDDLLIMSDIDEIPSGHTIDLLRWCDGSPPIMHLNLNNYLYSFEFNLDHDSWRASVHKYEKGRTRYAHYRQTDYLFADSGWHCSFCFRKISDFVFKMKAYSHSDRVRFRHYLDPKRIQNVICNGDDLYDMLPEEYTFRDIIGKMGPIPHSYSAVHLPSYLLNHADEYKYLLPGNCVRETG >KVH92810 pep supercontig:CcrdV1:scaffold_16:92260:95576:-1 gene:Ccrd_005081 transcript:KVH92810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MDPDALSKAFVEHYYTTFDTNRAGLANLYQETSMLTFEGQKIQGSQNIVNKLTSLPFQQCKHSITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSFYVYNDIFRLNYA >KVH92809 pep supercontig:CcrdV1:scaffold_16:97414:99530:1 gene:Ccrd_005082 transcript:KVH92809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MVRFTEFMFAFFNNRWLVFVVAMWVQSCAGIGYLFGSLSPIIKTSLNYNQRQVARLGVAKDLGDSVGFLAGTLSEILPLWAVLLVGAIKNFIGYGWVWLIATHRVPTLPLWVMCILIFVGTNGETYFNTVALVSCVQNFPRSRGPVVGILKGFAGLGGAILTQIYASINWPDRASLLFMVAVGPSMVVITLMFIVRPVGGHRQLRSLDASSFSFVYCVCLILAAYLMGIMLVQDLFDLNQTIVKILTLVLFLLLVAPIMIPIRMTLSLDPMDSKEESLLASPQTPKSKSEPDPNDVIFSELEDEKPQEVDLLPASERQKQIAKLQAKLAQAVAIGAVRVKRRRGPHRGEDFTLAQALVKADFWLIFWSLLLGSGSGLTVIDNLGQMSQSLGYEKAHIFVSMISIWNFLGRVGGGYFSEVIVREYAYPRPIAMGVAQAIMAIGHLFFAMGWPGAMYIGTLLIGVGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGFLASSIYDAEAQKQAQQRNQRLENSSTKLFVGDEPLKCEGSVCFCLTFFIMSGLCITAVILSTILVRRTKVVYQHLYGKTQKTSNST >KVH92843 pep supercontig:CcrdV1:scaffold_16:177711:181774:1 gene:Ccrd_005101 transcript:KVH92843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco LS methyltransferase, substrate-binding domain-containing protein MDVAYLHHTKCIFNPAYSSTHYRPISVLSRVSLFNSRDDKNNVRRSLRRASSSDTLVSGKTSLTVASKKDSEFEEEEDLKSWMHKNGLPPCKVVIKERKAYDSRHPSIHYVAASEDLQAGDTVFSVPNSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKESVWYPYIKELDRQRGRGQLAVESPLLWSESELNYLTGSPVKAEVLERAEGIRKEYNELDTVWFMAGSLFQQYPFDIPTEAFSFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYRSNCKAMLTAVDGAVQLVVDRPYIAGQSIVVWCGPQPNSKLLINYGFVDEDNSYDRLVVEAALNTEDPQYQDKRLVNVGKERETVLDMLPYMRLGYVSDPSEMQSVLSSQGPVCPVSSCMEHAVLDQLATYFRERLSGYPTTLSEDEAMLADCDIDPKKRVATQLIRCEKKILSRCLQATVDLINQLPDASTSPCPVPYAPLLR >KVH92820 pep supercontig:CcrdV1:scaffold_16:278859:282975:1 gene:Ccrd_005120 transcript:KVH92820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystinosin/ERS1p repeat-containing protein MGSWNSSEMEVLSSVLGWIAFCAWSISFYPQVILNFKRKSVVGLNFDFVVLNLTKHSSYLIYNASVFFSSALQRQYRQKYGSDEMIPVAANDVAFSIHAVVLTAFTLFQIAIYDLYLSIPGFGWPPALVMNFRRKSTIGFSIGNILLDLLGGLTNYGQMAVQSIDQHSWVNFYGNIGKTLLSLVSIFFDLLFILQHYVLYPAKTTVKPPPSPDLVSQEPLVISSEHA >KVH92849 pep supercontig:CcrdV1:scaffold_16:216900:217849:1 gene:Ccrd_005109 transcript:KVH92849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGTNQAAVSFLTNLARAALGLGASATILNSALYTVDGGQRAVLFDRFRGVIDDTVGEGTHFLIPWLQQPYIFDIRTKPHTFSSISGTKDLQMVNLTLRVLSRPQVNKLPSIFKTLGLEYDEKVLPNLTLRVLSRPQVNKLPSIFKTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDSLIRRAKDFNIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAERSKFVVAKAEQERRAAIIRAEGESESAKLISDATAAAGMGLIELRRIEASREISSTLARSNNVMYLPNTGSQMLLGLNPSR >KVH92794 pep supercontig:CcrdV1:scaffold_16:468288:491677:1 gene:Ccrd_005146 transcript:KVH92794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIDIPVSVSSKKGEREDDAFSSEFLDGKVTEHPGNRVKQLESGPNQPLETSSHVAETVNTQLGSDKRTDDEGGIFFQALSVPMVNTPDSCETTTTEDQEDIRTTKIETFTEENPNDDERVAVIASEETEDHNVVEAVSAQTEGSIDHIHGLIKGSDKDIEEVTEFCNKDESTGGSSNAKTDAGKEEKEGKEPEIVKTKENVEKDDEEIRPSVETVEKTEYEGLQDGIISDVCVSTQTKPKHGITEDEKKNDDGQMKSEKKGSEKAESEGLEVSYSMAPSKKEIASEDEDQEKEYVLNVLEHEQGNGDDVTGSHDATGEDIKETAVSQHATTVAYVKEQDLNETDNKSVDAQEASEQSENEGRDRSEQKPMESINKIVPSCSETEQEEQTEVIRPIVEIDEEAITILNKDDDQEKGQEVNMSEKSQYEDTITSSQDVTFGGLQRKNHYSESIEPELVETKEIIEKDTQEIEDNVETLEKTERLIHAIPTDVFISTQTEPEEKTPKNEEKNDEEVIGSDDDKKKKSESLMVMELCEELESEEVSNLSDNSCMVSNENVIASKEDQDKLYVSGKGQGGSDDVTSSQNASSEYIKETTTGQHATTPAYFEEGRDLNVTPKDIVKEGDDRTIDPQEESEQCENEAKDKTEQKQGESVNKIVHSSSEIEQKDDTEEIKPSDKKLEQQGLLHVITADTSNSTQTESHVGSAEHEENNGETIECDDGQTKKSRSPMVEACIETVPEKANEYEEGLLHSNSSSMVLNENEIVSKDEYQEKEQEFYVSEKGQHGGDDVTSSQNASSEDIKEMVGRHGTKVAYLEEVQGLNAMAKDILKEEAKDRTINPQEASEQYENEASGEREQKPEKNSCLETEQEEYAKEIKPSVETEVKEEQEGLMQIETQVESQQEATEHEEKNDEEVIESDDGPKKKSNNPSTEEVCKEIDAGKVEYEGVELFDNSSVKLKAIATLSKDEDREKEQELNIEQEKSISGELQAQVEKELQEDLGGHQLEDSKSENPCTTEQNILEEVGEKTTMKSEEITKQAFQESQIDTNKEIEAKLDVENQTDERENASEMKDEVILTEKISLELGQPMEQNTEACQTTTMSEKIEEEIAEKVEDLSLGSKDDTYDNTITEEKSFLDGRTTEIEISTFEHELVAEKTTIKPEEITVQAFQDSQSGANKEIKAKLEAENQVDKREDGSEMKDEVVVAEKMSSELGQPMEQNVEEIQACQTPTMSEKTEELIAKNDEDLSHGNIEDTNANTTIEEKGFLDGSTREIEISTLEHEPVGEKNGGLDEASKKKYTDLNEATAAELQEDFIPSKSPRTSTEDTPNPVKSKAESENLPIDLDSDSEVEIPRVVPKSEDSNLKEECVISATETSVDEEKGTVTKEISSDELTWTFLKQISYPDPIAENPEVTEVRVDAQIGQDDLQAAEDFDCDSKTSSTIQALKMDMKESAEKSVRISEEVKNDGVDEAPKQERIPSQEDATTLEPQVNLSNIESTYPSESDKKVDEDDFYATHERKSIDALGQEKSIYGALQARVEKELQEDLGDHESEDSKSEHPCTTEQDILEEVGEKTPTKPEEITKQVFQKSQSEINKELEAKLDAENQDDGREYRSEIKDDIMSSELGQPMEQNVEEIQACRTTTMSEKTKDEIIEKDEDLSLGSIDDTDDNIIIKEKSFLDGRTREIEISTLEHEPVEEKTTIKPEEITIQAFQEIRSETNKEIEAKIDAENQADEREDRSEMKDEVVPTENMSSELGQPMEQDIEEIQACRTTTISEKIADEIAKTDEDFSLGSKDDKDDNTIKEEKIFLDGRTREIEISALEHEPVEEKTTINSEITKQAFHESKSETTNEIEAKLDVENQADKREDRSEMKDEVVLTKKMSSELGQPMEQNVEIQACQTTTMSEKVEDEIAQKVEDLSLGSVEDTNDNAIKEEKIFLDGRTREIEIFALEHEPIGEKTTIKPEEITKHVFQENQSETNKDIEDKLETERSEMKDEVVLTEKDSWKYKLIYIDFIFPLIVNSLNFLYFRLFINILKNRVYQVSSEYGQPMEQNVEEIQACQTTTMSERIEDEIAKKDADLSQGSIDDTNDNTIKEEEKILNGRTKEIEISALEHEPVGEKTTIKSEEISKQAFQESQSATNKVIEVKLDVENQADEREDRSEMKDEIVLPEKMSSELGQPMEQNVEIHECQTTTISEKIADEIAEKDEDLSLGGKDDKDDNAIKEEKSFLDERTKEIEISTLEHEPVGEKTTIKPEEIAIQAFHESQSETNKGVEAKLDAENQTDGREDRSWMKDEVVLIEKMSSELGQPTVQNIQEIEACRTTTMSEKIEDEIVEKDEDLSLGSTEDTNDNTIKEEKNFLNGRTREIEIFVLDQKPVGEKTKMKPEEITKLAFQESQSETNKEIEAQLDAENQADEREDASEVKDEVVLTEKMSLEFGQPMEQNVEDLSHGSIEDTNDNTTIEEKSFLDGRAREIEISALEHEPVEEKNGGLDEVSKQKYTDLNEATTAELQEDFIPSRSPRTSTESENLPIEDLDSVSEVEIPRVVPKSEDSDLKEECVISATATSMDEEKGTVTKEISSDEISYSDPVAVENSEVTEVRVDAQIAKEDLRAAEDINCESKASSSIQALKMELKEGVEKSVRISGEIKKDGVDEAPKQESIPTLEDAATLEPQVNLSNIESTYPSESDKSPTWALEFVCDVQTPEVVTDSKESKVAEERIVLATAEHTDENNGEEEQEIKTNEISSAEKKEQITESNQVVEHPSMMCPSAILIEEPQPEQSVITSENIMKYQTLESKLEHTSEKDLNRSWDMEENVTLTPENQINSTEDQNETMKNVILKENVVVEREKEEGRTEVKEQDSEEDDCPKEIENTSTSNLKELANEIEDSKPIPIEVKAESQRELKNIPENLSCLSKASSTIEEVKDDSIDEASRSERVPILEDAKALELQVNLPEIESIITPSNDQDGMKLREGIETIEQQGVLVSQLTDREILEEEATENRKEAPNHEFEKEEKDDEQISEIQTPEAISKMEVKEEYLGATNDSCKTLTGGLSSEKLLDELKEIDAKEDTELIVSGEEKAAEDSYQDAVAEVTAISQTEEVRAVIEKLVSMVPPEELTSPTTEDRTNDLVAGTDKTKVEHSSISNKDWNPEEFTETLLEDQRSVEKYIEEEGQDNFGVENKEAKSGNEVVEDVLVNELRTILVLSWHIKSSPNLQVLLEAEKAKESKSIKDNINKEVSCIPNQLTSATDEVCQIKRLNSIPNELLEAKGSVEDIGDPKLMAENHNLKAETFTIMKEPTEDMKQDVENTSETVASEFERLENMVTEEKLIEANANKEKETLDEPQADDPAKIMSDSISSSTEQDKEVRSEESSGHGDMHKLEVEPTEVDEIVADGKEVSAMLTENLQEASPEMIPVKHDPETATIIEKIEEIKDKDETLVDMDFSTSTKEVACLSNEKTRELQVSTLNTEIDGGKQDGTGDMLNKEREAVQTHEHISDIKFVDPPLNVEIREQEELMHCGSQEPSEDNALLSQNDKKIVDLLVQPSVQTEIIQSVDKRFEEAPAIDEQKHENDLDTAKARIVDVVSSAESPRIEQQHLDTKEATVEPINQENQSEQKKEADENIQSDIPIEKVPKQTTADTEIADEFLVMPVAKEEEEKSNHLQNYSREEEHYGKRTYQDLEAVTEPSQTDKLSDKVKKAESIDIQEETMAGGNLHKEETEKRTNITEATLDLHHGEQGDETCSEAAIPIEDLKGDMDVPVPKCASTRNPEVRSAILEVLSVMDATADKAGYNRDPNDKTAEAHVAEKGVLKEDVHLDSTCNRSKTTDKISVMNQVLPTPVCATHLSQKIRKLPDSCGGDASYGSHEEPVNLPLTKERSMELDAPVTPATAAREDMEISKEYVTDDLVKISQIQPELQKYEVSASAVDKQLPDKPYQNESMESKLLTGNIDASHEQREPNAKSLTAKAKNLKATDASGTEPQCSIEIVKEEKKISDEKEKSETPEAARIVFTDILHESTQGNSQVAENLMLRTRSRTDKEDEALTKDQQVDKEETGDNREKTEDEDEAEEDDEDNQKMGSCSDAPVMIEASKDMDVKVHKKSHNILSGVGSKVKHSIAKVKKAITGKSSHPKPPSPKERD >KVH92847 pep supercontig:CcrdV1:scaffold_16:197975:200708:1 gene:Ccrd_005105 transcript:KVH92847 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM/LAG1/CLN8 homology domain-containing protein MDFGILVSSLHHLPLFFSIFFLIYLTAYLFLFRNWTSKLRPEAASCLISLAHGTPAVFLASQAILSDPHHGFASPNTDFQNSVLEYSIAYFFMDLCHYLIFNPSDILFIGHHLATLFVFLTCRYLVFHGAYGILILLILAEVTSFIQNIWTLASAQKADSKIAAQVILDP >KVH92837 pep supercontig:CcrdV1:scaffold_16:595733:598341:1 gene:Ccrd_005154 transcript:KVH92837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKAKELVSSNPVVVFRNSKEEIKHISDWRRVDRNEFAMTCDGSEVQSALYEWTKQRTVPNVFIGGKHIGGCDTGAIATKSSA >KVH92832 pep supercontig:CcrdV1:scaffold_16:546795:552619:1 gene:Ccrd_005151 transcript:KVH92832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTIGFIRLIRVRTTTSIASVLPPPRIPLSGKFEPFKSLTRGFESPAGRYTRPLILDWKLCVRRYFHMTKSYNLGHEFSMGRLLGVSAVLGSFLLRPRFAHCMDGYASSADDHSMGMLGKSETDDNPHSFMIFAKKLMVPIALLLIVWMNWNYPVVLGVKVILTLLSTKPSPFSVYVFIEQLQQQYRGQHPFLHKFKSSYAKKVEVEDYTVFCIAKIEMGDQKYTLLGILGGWWVFELTSLRSALSGFRSRTLEILETAVSSDV >KVH92877 pep supercontig:CcrdV1:scaffold_16:853281:858789:-1 gene:Ccrd_005178 transcript:KVH92877 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MASHSFLSTHISSHHAPTLLPSRFPSPNRPPNFTLSLSRNLAPRNLHATCIVASLRPIAHSNHSPATESADRSACNRFLDSFVVVLTSVALSVAIFVTDVDSAAAFVVTPSRKLQTDELATVRLFQENTPSVVYITNLAARQDAFTLDVLEVPQGSGSGFVWDKKGHIVTNYHVIRGASDLRVTLSDQTTFDAQVVGFDQDKDVAVLRIDAPKDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVGGIVDQLVQFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPLNGPAGKAGLLPTKRDTYGRLILGDIITSVNGKKVSNGSDLYRILDQCKVGETVTVEVLRGDHVEKIPVLLEPKADES >KVH92822 pep supercontig:CcrdV1:scaffold_16:285048:290090:-1 gene:Ccrd_005122 transcript:KVH92822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTCLVSSLSFASPIPNETNNHGNHVHALGDSVSFGRFTSESLAWEKWSAFSHKRYVEEAKSYAQPGSVAQKKAFFEAHYKRVAAQKAAAAAALLEQETATASSPKSQVEERVCGTKHAAHDLEPKGISNTHSSETTVMNSKMGVNEEQQIITQNASKPIDANEQGSVVNLVKLETDKIVAAADLRNEELLKNTENLEDHSKLNTDQEVLQPRIRRKPATPSFRSSSNGRKQSRIPPSPAKYVASMHPRKENMVTPRTKNSTAIDPIDKKRSAPRSLYTLMNSGSVRESCKVNPPAVRKIESTKPAPTAHSTPKRCATPSTTPSKVVTNGVNKQPLATPSSKRRMETPVHPSAVGSKTPGQKWHIFSAVSKSLSAYRNKMQSPTVSSPFTLRTEERAARRKQARIYKLEEKFNAKEAQKVQLQTTLKEKAETEFRRLRQSFCFKARPLPSFYNTFETPRSPIKKVDLKREIRGKQELSKLHME >KVH92850 pep supercontig:CcrdV1:scaffold_16:893330:898286:-1 gene:Ccrd_005182 transcript:KVH92850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MERELKQKRQVDVDSPAISSSGHLRDRRSQPSPPARSAEDSPLSTMSPLSSLSHGFSPERDEVEPESEAGVEASQGSPPLYPLPDLSAVRVTSPAPVPTVNRYVRDETMSIKKTDHGAKDGYVGRPVEEAQTGGGGQGRRWRPSVGSVRRTDKEGSMKKIALGFRIFELLFCLVSLSVMATDKRQGWALDSFYRYKEFRYSMAVNVVGFAYAVLQGFDLAYQLATGNHYNRRHTLRVDDWKSNWGADKFPELATASVGLGFVAFVAFAFSSVIS >KVH92846 pep supercontig:CcrdV1:scaffold_16:190678:197110:1 gene:Ccrd_005104 transcript:KVH92846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MCRTIAATTKFLRLRRHQVTDFLPDRFLPLRQLQFWWTSFGCKSFRGVMAKKDRFPDAYSGGFPPNEESEVSGCSGRNHMEISAYENSSGPRKKWIKLISASHDGFGVPIQSVPLSTLPSSEKHKWLLRLQSELDLIRTFQKRVDVDKAQVTVSSSSNIINCSNVHGTQTNFRKSIDPQAQKAHSLSRGVAGRFQSVNEPAVPETFSLLLKKQCENLLKKLMSHPHGWVFNKPVDVVELNIPDYFNVIKRPMDLGTVKQKLGSGNYSSPFQFLADVRLTFSNAMTYNPPGNDVHVMADILSKFFELRWKPIEKKLPVSGSQQQPVHEEIDLVKPMPPSKKRKITSIQPNILPEPVKLVMTNDEKHNLSRELEAHLGDLPDNIIDFLRQHGSNANEDGEDEIEIDIDDLNDETLFELRKKLDDHIRKKQNDAKAEPCVIELLNESGLSNSTMQLYKGNDLADEDVDAGGNEPPVSSYPPVELDKGTRKIDNNQTTSGTSSDSDSSSASENELKDVNASSPFSGAKEIDVVGADLDQKTGSVSVVDHVEQTSHQKPNSVESDSQKDDGDSAPNERQVSPDKLYRAAVLKNRFADTILKAREKTLNQDEKADPEKLRREKEQLENQKRKEKARLQAEAKAAEDARRRVEAEAAAEAKRKRDLEREAARQALLKMEKTVEIDETSRFLKDLEMLRGANPEPSCLEELIPEFDDGFKFGASNPLEQLGLYMKRDEHEEEEEEEEEEEEGETNEVDVEEGEIN >KVH92854 pep supercontig:CcrdV1:scaffold_16:906926:913656:1 gene:Ccrd_005184 transcript:KVH92854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAGNDDHIKNGFLRADQIDLKSLDEQLQRHLTTAAATTGTRRTRSFDKNTSINLTISGINFSTNPSYNQQKLDNLHLQHNHHGLHGDGGGNRDGNNTSRLRIDTNSNRRRQDWEIDPSKLVIKSVIARGSFGTVHRGVYDGLDVAVKLLDWGEEGHRTDAEIASLRAAFTQEVVVWHKLDHPNVTKFIGATMGTSELNIQADNGHFGVPRNSCCVVVEYLPGGALKSFLIKNRRRKLAFKVVMQLALDLARGLNYLHTKKIVHRDVKTENMLLDKTRTLKIADFGVARVEASNPNDMTGETGTLGYMAPENLRPEIPRCCPNSVATVMKRCWDANPDKRPEMDEVVAMLEAIDTSKGGGMIPGDQQQQGCFCFNRTRGP >KVH92856 pep supercontig:CcrdV1:scaffold_16:84642:87052:-1 gene:Ccrd_005078 transcript:KVH92856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MFWSAFEINSLYKAPYAVIKREMAILKHWLGLCCGRLGFELGEGLDSFLFPWQWLIGLGLGLGLGLGLVLGLGLDKPPSEIQIAIAKNKQQLLPPKRTSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLHQAEPSIIAATGTGTIPASALASASASAPPSHGLSISMGLQHELGGGRTSWPMVGPIWPTTGFGFPSSSNSLGMENSNYNMQKIAFDLPAAGCNNLISFYNHQHEQLPPGLELGLSQDARIEVFSQEALNQMDHQARMQQQQQQQQQQQQSSKDDSQGSGGQ >KVH92842 pep supercontig:CcrdV1:scaffold_16:172609:176997:1 gene:Ccrd_005100 transcript:KVH92842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLESGSLGGRGGAKLFRRSVLVRSLRGKGSEIPRVLEGFTLDFSHLSFFYQQMSVASQSFNGNLKKAVAGLRRINLDGLRWRVFDAKGQVLGRLASQISTVVQGKDKPIYAPNRDDGDMCIVLNAKDICVTGRKLTDKYYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFSGSKHPFGDRPLEPYVMPPRQVRELRPRARRAMVRAQKKAEQQEEGGNKISKGKKREEISERCV >KVH92776 pep supercontig:CcrdV1:scaffold_16:141031:143930:-1 gene:Ccrd_005094 transcript:KVH92776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRHNVFHLLFLSWVFLISCTHELQTTQLRLLLQLRQHLEYPIQLTNWENYNADFCSLPSTLHVTIKCEDNSVTELKIMGDKLPRVSSLFHGFPIPNQTLSENFSIDSLVVTLARLTTLTVLSLVSLGIWGPLPDKIHRLHSLQVLDLSSNFIFGSVPNEISRLKKLHTLALDANFFNESIPDWFDSLSNLTILSLKNNRLKGHFPSSICKLTTLTDVVLSHNHLSGKLPDLSTLSSLHLLDLRENHLDSQLPLLPKGVTTVLLSNNSFSDNIPQDFQTLHRLHHLDLSCNSLVGTPPAALFTLPNITYLNLASNMLSGSLSNSIKCGNKLGFVDISSNRFTGRLPSCLDTISGNKRVVKFSGNCLSVGNGKHPESDCKQGSMRKKPSWGKALWVLIVVICAVICLVSFAIVFLIFRKRYHPRKTVMLQHTVPKVTGGSAPTKNSSEVTANARNVSEASELGTQVASSCRVFSMEDLVEATGNFDQSAFLGEGSIGKVLSGYSKLCSLYKGRLQNGGYVAIRSLSLFKRYSIRNLKVRLDLLSKLRHPHLVSFLGYCIHDGGVEDSTSSKIFLVYECISNGNFRAFLSEHDGCNSSRMVFAADGPERVLKWSDRLGVLIGVAKAVHFLHTGVIPASSSNRLKTNNILLDDYRIAKLSDYGMSVITGELEQLEVAFDINCCDDLDIESVYLSILIRRRMVSLKCGVVPGRHLKKLGDDVYDFGFILLEALVGPIVSGKGEAFLLNEMKSFGSEDGRQRIVDPVVLTTCCQESLSIVISITNKCISAEATKRPSFEDVLWNLQYAAQVQTTADVEQKAEGAS >KVH92783 pep supercontig:CcrdV1:scaffold_16:273236:273892:1 gene:Ccrd_005118 transcript:KVH92783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIGLHIKESYGKLTDGWKNLAYGIDSFGYEASCRNLEINTVGRIWPNACSSNPRWSKSQQKVSFCEPNTIGCIFR >KVH92797 pep supercontig:CcrdV1:scaffold_16:466709:492595:-1 gene:Ccrd_005145 transcript:KVH92797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVCDLINPKLSIFLGHVSLESSRGKLPEDFLSTLQKLLYRQQLFHQLQLALLQGHLPVAHLVSNALASSRIGTLSDLDASSMLSSFTSSMVEDAFDRQLRFSDVAVAEITHSSFRSESSDLGTTLGISTSETESRSSIGKFSLSVEVLGDLEVPFSSSTDVSVAEITHSSFRFESSDLGTTLGISTSESESRSIGKFSLSAFDFTGFGVSSVEVLGDLEGSLPSFSSLPASVFAFEEPPVLSSLLQNSDKHNFYYYRLKLSVGRV >KVH92788 pep supercontig:CcrdV1:scaffold_16:224255:226822:-1 gene:Ccrd_005111 transcript:KVH92788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MYLSSDKPRSIDFYKEATADRAMLIEPEHPPHPHPQQPQQQLMIMADNNNNTSSGDDHELRAPKKRAETWVQEETRILIGLRREVDRFFNTSKSNKHLWEQISSKMRDKGFDRSPTMCTDKWRNLLKEFKKVKHKNGKGSGGATKMLYYKDLEELIRDRAKNGTYKATSPTSSKLDSFIQFSDKGLEDGSIPFGPMEANGRSTVNLERPLDNDGDPLAITTAEAVAANGVPPWNWRETPGNGGEGQSSYGRIITVKWGEYTRRIGIDGSTKAIKEAIKSAFGIRSKRAFWLEDEDGVIRALDRSMPVGSYNLHLDEGVSIKICHYDESGRIAVRTEDKTFYTEEHLHEFLSQRGLSGLREINGYRSSNNVDDLRLGAVYQEVRLLGD >KVH92830 pep supercontig:CcrdV1:scaffold_16:622679:624525:-1 gene:Ccrd_005159 transcript:KVH92830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDQQFSFQMFGSAFANSPREFSCLSSIENDRHKKPNGRKNNNARKKSGKDWRKTLIIKGQWNSEEDRLLMQLVKQYGDSKWSRIAEKLPGRIAKQYAWSEEEDRVLIAIHKEVGNKWSEIARRMPGRSENTIKNHWNATKRRQLSSRKRGKPKYQSLLQEYIRSVSSSSSSSVNQINIKENNVKKTQMVSAPITSLQTNFSYADVNGSSSSSLEDYSLGAMMGYIPGGSMLHESSLEFDLGPFHLSSQLEFDLKKEMDFLEMLYQ >KVH92811 pep supercontig:CcrdV1:scaffold_16:91329:91535:1 gene:Ccrd_005080 transcript:KVH92811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3339 MNDWAAPIIAAALFAFLAPGLLFQMPGNESPIGFMNMKTNVISILLHMLLYGLFLILLLIILDIHIYA >KVH92784 pep supercontig:CcrdV1:scaffold_16:245619:247240:-1 gene:Ccrd_005115 transcript:KVH92784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVGEEPKRSTPKQSDNGERENCALFSPGFRSVAAMAGWDEEALLMASLVVEDTPDRDSKQKRRSDPLFKTPPTNSRRKRRDQRKSPVSIPVAVLDLGEEEVSREEILEQKKEPTIDVDANVNPKKDEKSNESSSSIPCIDRLREELSCAICLEICFEPSTTSCGHSFCKKCLKSAADRCGKRCPKCRQLISNGRSCTINTVLWNTIQLLFPQEIEARKTVAGGGSNTKEYGDVQSPPARRRNQYRSVIEALNSPEGEQLSLERRRRTSNHNLRQQSFRPASVVLLNSRESGDNSVTRRRRRREVEVVVVPPPAPLSQDEDAALALRLQRQEFMGAFRGSEEQAEVHRRSSVTRAAVNLRAMASRAIDIRNLRGRRT >KVH92800 pep supercontig:CcrdV1:scaffold_16:410111:413524:-1 gene:Ccrd_005140 transcript:KVH92800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPENGTADIPTEDLVVDDNVKYGYVTPNDIPELLDQHINKGEIIERIWRGQMGAEKAEKAVEPEPALALALPNGNGLKVNEKDETVKEEKENSGGCCQGATGFSCCRDETSEVKKKSTSKLDLFSKKWEQHDIFTAAAVIGAVATVAVAYSLYKRSR >KVH92786 pep supercontig:CcrdV1:scaffold_16:257565:269140:1 gene:Ccrd_005117 transcript:KVH92786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MATSGDDGIKKKFFLDLKCLFSQIPEVDTVLKSNQSDTYSDESKVVLLDGDDDGFRNSNLLHPIRPNAKDCSFFLRTGTCKFGTTCIFNHPVLSENSVDLGKSNENGVESSYRNSCPIPCKFYLSGHCKYGDFCKFNHCKSEAEKSTGQLNVYGFPKRLGKKNCAFYMRTGMCGYGESCRFHHPDPFFIMPELYSSVVTGESMDDHKETSEITLHFSESTPSHLSRPLITPKSLAYVHQNFVLSGNQGKKVCWNYERVGLCKFGHACAYDHPNKHSPKDGSTSEFPSGVTGESSTISFPTMENCMDSVCANWIQLQAQSTNDTTSHSALVFPPTQ >KVH92777 pep supercontig:CcrdV1:scaffold_16:145142:152583:-1 gene:Ccrd_005095 transcript:KVH92777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MASPTTDDNQIPARRVAVVGAGVSGLAAAYKLKVHGMNVTVFETDGRAGGKLRSISQDGLIWDEGANTMTESEADVSSLLDNLGLRDQQQFIPSNPIALIRSSFLSPQSKFQILWEPFLWKKNSSETQESVGGFFQRHFGKEVVEYLIDPVVAGTSGSDPESLSMRHAFPELWDLERRYGSVISGAIQSMLSSKGGKKSPPGNRKRRRGSFSFFGGMQTLTNALCKEFGQHELNLQSKVLEMSYSCSDNSAIGNWSIFCAPVQNKQLNQQPFDAVIMTAPLGNVKEMKITKRGSPFLLNFIPEVSYMPVSVIISTFKKENVKRPLEGFGVLVPAKEQENGLKTLGTLFSSMMFPDRCHKDLYLYTTFVGGSRNKELAKASREELKEIVTCDLRQLLGAEGEPHMLTHYYWSKAFPLYGRDYDSVVEAIEKMEKELPGYFYAGNHKGGISVGKAISSGCKAAESVISYLDSHSKVEGGGGGGEEI >KVH92851 pep supercontig:CcrdV1:scaffold_16:901075:903982:1 gene:Ccrd_005183 transcript:KVH92851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGKQVFQRVRSFLASSRKPQFQSNTTGLSPESSKAFSSISGRRNPRLLSRFPVELGGMQSLMPLHSVTASALLNSMLSSKVGQWSSISEGASPELDLQHHYNMAYNI >KVH92859 pep supercontig:CcrdV1:scaffold_16:81751:83988:1 gene:Ccrd_005077 transcript:KVH92859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MGTSSSLKNDMNSLITESQCLKLQPTSPHHDHTTKKTPSSSHLQHMMMMNTTTTTTTTLTPSSLTFPTTTTSLKYEEEEEEEQPQQDHHIIHAPRDMDIQSPDTNSLWESFFSEQLDAADFMISSPLLAQPSNNYSFNPHAAAAAAAASFNNNNSNKPKGMSPLQRVFSNSPNNQFMQVETISLPALENFLDDFDGDDHDFLSYSTTTHTASDQSYDSLTTIPALLDCLTLPSPSRFYADHDHPTTTTTTTTAQTQLHGDQMYHQMPPANAPLLEQLEQERRQEKQHHRQHPRPSPLQPQPIISHNHLMVPPPVGPEEHDSGLQLVHLLLACAEAVAKEDFMSARKFLHHLDRVVSLLGDSMQRVASCFTEALKARLAATLTTKPAASSTPKPPFTPFPPNAMEILKIYQIVYQACPYIKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPGGPPFLRITGIGSSPESVRETGRCLSELAQSLHVPFEFHPVGEQLEDLEPHMFNRRVGEALAVNSVNRLQRVPGHCLGNLLAMIRDQAPNIVTIVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPADSPQRAKVEQYIFAPEIRNIVACEGAERVMRHERLEKWRKIMEGKGFKGVPLSENAVTQSKILLSLYSCDGYRLTEDRGCLLLGWQDRSILAASAWRC >KVH92791 pep supercontig:CcrdV1:scaffold_16:227395:233413:-1 gene:Ccrd_005112 transcript:KVH92791 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MFAEEKELRLVHLPRLRMESSDSKPETGCLSIIVLGASGDLAKKKTFPALFHLHRQGFFESHDVHIFGYARSKMSDDEVRNRLRGYLTPCQGCEIIREEDASKFMGLIKYVSGPYDAEEGFLLLDKEISAIEDKTERSSRRLFYFALPPSVYPTVCKMIKSCCMSKCHLVISPADKGGWTRIVVEKPFGRDLDSAEALSTQIGELFDESQIYRIDHYLGKELVQNLLVLRFANRLFMPLWNHDNISNVQIVFKENFGTEGRGGYFDQYGIIRDILQNHLLQVLQSVLPINDEEVVIGQYEGYKDDPTVPKDSNTPTFATMVIRIHNERWEGVPFILKAGKSLNSKKAEIRVQFKDVPGDIFKSKKLGRNEFVIRLQPYEAIYMKLTVKEPGLEMKTALSELDLSYRQRYQDVVIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPYLHKIDKKEVHSVPYKAGSRGPVEADKLAEKVGYVQTHGYVWIPPTLKPS >KVH92833 pep supercontig:CcrdV1:scaffold_16:529928:539509:-1 gene:Ccrd_005150 transcript:KVH92833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MELRVSAQEPGMSPSVYKSHPDDKEISDEDDDDRNHKHRRREDARSQSLEDDAMEQVFTKPYRRGSKPFQNGHLFGETGSQSNETWKKYSFNPLEKDISAKFDQKRPGFTQFSRGPLDLNQKIRVNQPFSGDPGAVRGRGRDSGFWNQRDPRFSSIDIASHIVQQGSVPHSLFAGRGLPTVSNSCGPSWSAFGLVPGMPNGGLDMLHPLGLQGTFRPAMSPLNMGHSRQRCRDFEEQGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLASANLSIAPLGIGPSPATSVATSTLSNSRSSHGKNIKCEMVNNGLDASGTFTDSAAAADFYDPDQPLWGNDSHASTRPQSISQPNVGKSDSLLDPGLSGDHRVGLSDGSDNELAGSIGGTAVGSLTTSSLRGRISSTKKRTEMRDDMVSKASPSDFMHSEMTGSPVPPNSAQDPHHQKRNNSDSSLKMQSGNGRMLRRPSQKAQRTLFVNGIPQQNNRRESLLSHFRKFGEVINIYIPLNSERAFVQFSKREEAEAALLAPDAVMGNRFIKLWWANRDNIPDVETIGGHNMSLPPHGQTVSTVSPYISVAYKRKDDPRSASHNGINTPVPVSASDQPKPVVANGAKVPPPLQKKLESLEVLKEELRKKQEMLDQKRNDFRRKLDKLEKQATGLKGEVSPEQVAKKQKLGFLSDSTKAGTPSSSDHHTVLPSPQDEVLANSSKSIDPFGLQNSRTTLASEPQESSSLKSSLLPMAHVGSTVVTNRFKLDNRPTAFKIIPPLPDGLAEAPVLKEHFSPYGDLSKVQLEDVDPTTEGNAVLPKTSKASARIYFTTRHAAEKAFINGKSWKGHNLQFVWLNSNKDVSRETPSPTSKASYDSSTKPVVETSKTTPQEPSGNGESEKNGREIVVK >KVH92840 pep supercontig:CcrdV1:scaffold_16:181867:183634:-1 gene:Ccrd_005102 transcript:KVH92840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MVRKQISTKSTILFLFLTLVAAAALIFDYLWASSSAIHSNHLLTLTKDENGTRLLSGTFADLAAPLIQWEEMAPAPVPRLDGASIQINQLFFVFSGYRNLDHVHSHVDVYNITSNKWEQSFATPKEMANSHLGTASDGRYIYVVSGQKGPQCRTPPISQTFVLDTQTRNWDSFPPLPEPRYAMQSSPSLVHFNLFHHSGFQVVYSDVYMLDDKMKWHTLAPMPKPDSHIESSWVVVNNSIVIAGGTTEKNPVTKRMILVGELFRFDLHSKKWHVIGKLPYRVKTTQAAYWDGWLYITSGQRDRGPDNPQPRKVVADLWRTKLKL >KVH92808 pep supercontig:CcrdV1:scaffold_16:98440:105300:-1 gene:Ccrd_005083 transcript:KVH92808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase, major region, subdomain 2 MRASIYNAMPLAGVEKLVAFKKDFQAKHELARKPEKTREPAGFARVRKLYKAPNFFSPNSSEAAAGTIAQCAPYPTPINKEASPLPEASRLPAH >KVH92787 pep supercontig:CcrdV1:scaffold_16:253937:255260:1 gene:Ccrd_005116 transcript:KVH92787 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function, DUF971 MSAVWRAKRCISLAAGDCPRLIKFALQAPKNVVAEFTDGSRYNLPAEFLRVFSPSVDSKIRSIGGEKVIYGRRHVGIMSAEPVGNYGVRLLFDDLHKTGIYTWDYFYHLGSNKFTLMRSYINMLRKHGLSRDPPAKK >KVH92826 pep supercontig:CcrdV1:scaffold_16:306214:308912:1 gene:Ccrd_005126 transcript:KVH92826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M76, ATP23 MAPGSASEPASGNSVSSAANGGMTVAECENMIQRSLRTPMVKFLKEHLEKGGCNIASNFIKAINCDQRMSGGYVRGEGIVVCSNYMNIQDEVNQVVIHELIHAYDDCRAANLDWTNCAHHACSEIRAGHLSGDCHYKRELLRGFVKLRGHEQDCVRRRVMKSLANNPYCSEAAAKDAMEAVWDTCYNDTKPFDRAP >KVH92803 pep supercontig:CcrdV1:scaffold_16:844273:851032:1 gene:Ccrd_005177 transcript:KVH92803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MSATAAAFTPCFATVSHQKPSSSSTVVSCFARLSNNSSSSATPPPPSLIRNQPVFAAPTPIITPTWREDMGTESYEEAITALKKLLRTNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPFDQEKYAGVGAAVEYAVLHLKGLMTFPDKGPHTTDFIEDWVKVCLPAKSKVIAEHSSTSVDDQCVHCEKKTLALKGGHYDFVNGTFELWGLEFGLSPPTSA >KVH92858 pep supercontig:CcrdV1:scaffold_16:64022:70948:-1 gene:Ccrd_005076 transcript:KVH92858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arfaptin homology (AH) domain/BAR domain-containing protein MKSSLGKLGRKLSINRSDGKDKRDHQPSAHLEDLAHASKDMQDMRNCYDTLLSAAAATANSIYEFSESLHEMGTCLLDKTAVDADDESGRVLSALGNMQSELQKIADSYRSYVILTVTNPTESLLSELRKVEEMKLQCDEKRDAYEYMMAQHREKGRLRSGKVETSVVQKLQEAQDEYDVVARLCAFRVKSLKEGQCRSLLTQAARHHAAQLNFFRKGLKALEAVDPYIRFVAEKHRIDFQLSGLNAGAAAESEGISSYESTDDGELSFDYRQKKQGIDNVATSSNVMELDRVDNLYPQVSNLADSEINVNKYQGERQGRVSSYSAPIYPESKIDPSGKLKEMKFYSYVLPPPVVDTKSPSSNLHQPLAVELKKHERDTGDEVSSTSTSAKAWSVEKERFSFPQQRDTHTGKAAKRQAYSGPLPPGKMASSNSRPITTSSSQARSVSPPPLSSPKISELHELPRPPTTSLSRPLAASASFSSGHSAPLFFKNQNKMLTSPLPPPPLVVSRSFSIPSTNQRGKVGSPPLTPISLANIKSLSTVDI >KVH92774 pep supercontig:CcrdV1:scaffold_16:134328:135878:1 gene:Ccrd_005092 transcript:KVH92774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MAMVRVAPLARLRLAPMKRRRFYGSAAQLQCDYDDEYDYKESFSGTEEDSLGSVSGRGVQWVIMGDPLTNRHMYAERLSQLLKVPHISMGTLVRQQLHPRSSLYKQIADAVNQRKLVPEEVIFGLLSKRLEEGFYRGETGFILDGIPRTRMQAEILDQVADIDLVLNFKCTEECLQKKHVGGQACASYQDFLNGRDSMKNALEEYYKKQKKLLNFNVGGAPGETWQGLLAALHLQHMNALSSCSHKLSV >KVH92868 pep supercontig:CcrdV1:scaffold_16:327496:335457:-1 gene:Ccrd_005130 transcript:KVH92868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKFLRTISANRQSLLGALGHRHFAVSADNYAKRNYANNVSEYNTVIGSLTSQRRIYLLRDVYDDMMLDGVKPEKDTFRALIAGSMKGVRLQDCFFFRDQMKSMGLIPDVVLYNLMISTCGKCKNSVEATRIFEEMKKLEVKPTAQTFICLINACAAAGRLDQVYAIIGDMTAAGLGLNKFCYAGLIAAHKNKTPLAKDTAAKILELVEQSKGWSSLEETRESAENVMMGISEEELYNIPTANYIHRKAGFVQRFFTVYHVAFDACADLKDVQAVDALQEMLKKDNRRPDVFILMQIMRCYLNSGDIDRGHKVFEEYMSSGNPPIPELYTNGGYTIANMIWDLMQARNITPFLPAVQAYYNGLKDREIPEDDPRLLVVSRTLDNLRVRFGRNSGQFGDRR >KVH92795 pep supercontig:CcrdV1:scaffold_16:495964:509137:-1 gene:Ccrd_005147 transcript:KVH92795 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MRNTSVSELDDEIIRSMSIGALFSDFVGKINALDFHRTADLLVTSGEDDFVRLYDIANGKLLKTTHHKKHGADRICFTHHPSSVICSSTHNLDSGAESLRYLSMYDNRCLRYFKGHKERVVSLCTSPVDDSFMSGSLDHTVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVSMEGGAIKLFDSRGDMAEIWDIKFSNDGKSMLLTTKSNNIYVLDAYNGEKRCGFSSGDGTLNAWSIPTRSKNVPEVRNPATARPTVSAAGIITGLKITNTGVAKASPIMVPARVIPASPSKIPPTGNKAITVKIFPLDGPCERMRDLAPP >KVH92821 pep supercontig:CcrdV1:scaffold_16:284250:284876:1 gene:Ccrd_005121 transcript:KVH92821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQHGFVGCYYVPPPNLCRRKMVDPATARGCFVKVPNKPTNLSKFTGRCRHPYTGCKCCHITPASKSKDKIKGTMKLRSIDSGNNLTKYYSGTSVTGVLAFLAHENGYNDNDDDDDYDYQGTLEENYDYGYSYGHADHDHDHHDEHHDIDLRVGFGIEEHENDVDMGEIDVDDDDMSFCDVGLCWGHGDDDDDDGWYLVGGQME >KVH92869 pep supercontig:CcrdV1:scaffold_16:392234:394861:1 gene:Ccrd_005137 transcript:KVH92869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MNSNTSSITKGQDTNDKEKRIVNGETGEMEEKLQNEEMLVEIEPKTGISFSIKLSDGKQLKAMGVRKKKLLGFSIRIYSFGIYADNQKLVGVMKSKIVESPTKTTKEMYRMVIDSAVGITVKMVIVFANLTMSMVRKNFNEGLAAAIRKLGGEKNEELMKRAYVYLYLGDDPLDKEAKEKFGMSLISLF >KVH92879 pep supercontig:CcrdV1:scaffold_16:712708:716864:-1 gene:Ccrd_005167 transcript:KVH92879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIRVDSEVTRIMMGVNESSIKGYPHASISSKSAFEWTLNKIIRSNTSGFKLLFLHVQVPDEDGVNFESDEEYGFDDIDSIYASPEDFRDLNRKDKINGSHLLEVFVGTVSEFCVKHCECPVVTIKRSADETPQDPVDD >KVH92814 pep supercontig:CcrdV1:scaffold_16:109834:112048:-1 gene:Ccrd_005085 transcript:KVH92814 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB domain-containing protein MSVNNNNSQKNPAAFGNSFPPNPTPHLQSHSQPSASPFATQFQLSQYAAAHAHAQAIAQAQSKAQAQAMANHVQFQAQLQAQGMSLNQSHAPFSANSPSFPNSTIKRLPQKPLGRPPGMSSANTISPMRMMELTPAARNKKKQKLPEKHLLERVAAILPQSALYTQLLEFESRVDAALARKKIDIQEAIKNPPCIQKTLRIYVFNTFVNQTRTTPMKPNDDPPTWTMKIIGRILEEGMDPDQVGMMPAPSPMYPKFSSFFKRVTISLDQRLYPDNHMILWDGARTPTPQQGFEVKRKGDKEFTANIRLEMNYMPEKYKLSLALMEVLGIEVDTRSRIIAAIWHYVKARKLQNADDPSYFICDPALRKVFGEDRMKFTMVSQKISHHLSPPQPIHLEHRIKLSGNSPAGNACYDVLVDVPFPVQRELNALLATTEKTKEIEACDEAIFSSIRKINEHRKRRAFFLGFSQSPVEFIDALIESQGKDLKLMAGVASRNAEKEHRAEFYNQPWVEDAVIRYLNRKPATVIHGST >KVH92829 pep supercontig:CcrdV1:scaffold_16:734677:737200:-1 gene:Ccrd_005169 transcript:KVH92829 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MIIFILFVITTSTFLLTWYTHSHSQSHSHSHSRPPLPPGPKPLPYVGSFITMLQNKPVFRWVCKMMDEMDTNILCIRLGNTHVIVVSDPKIACQFLKDKDEIFSSRPDCMSSYLTSDGYTTTVLVPSSDHWKKMRKILSVEVLSSTRHKTIVQQYSSNVTRKIIFGSRYFGKGSVDGGPGEEEIEHVDSLLIILSYVYSFCVTDYFPFLRWITDFDEHEKIMRNAIRTARKHQDRLIDERIQQWKDEWAMAEMMNQPRILDRAIHELDSVIGKDRLVQESDLANLNYIKACVKEGFRLHPVAPFNLPHMAMVSTSVAGYFIPKGSHVLLSRVGLGRNPE >KVH92831 pep supercontig:CcrdV1:scaffold_16:617543:620421:1 gene:Ccrd_005158 transcript:KVH92831 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (adenine(58)-N(1))-methyltransferase catalytic subunit GCD14 MLPTDPTKKLSFKRNISDGDLVIVYEKHDNMKAVKVCEKSVLENRFGIFKHADWIGKPYGCKVSSHKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLELIPGCVVLESGTGSGSLTTSLSRAIAPTGHVHTFDFHEQRAAAAREDFERTGLSSLVTVGVRDIQGEGFPKDLTGLADAVFLDLPQPWLAIGSAGEMLKPDGVLCSFSPCIEQVQRSSETLASNFTDIRTFEVLLRTYEVREGKMDHCQTEGGTPGSRPCKRKHRTSEGSQWEQEHSGSSIVMARPSGEAKGHTGYLTFARLRCVA >KVH92825 pep supercontig:CcrdV1:scaffold_16:303974:304264:-1 gene:Ccrd_005125 transcript:KVH92825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQMIVLALIFIAVVGFAAAADEKSPAASTPSASAASPTASPSTDSAAAGSPGAAEGTGDAAGGDSASSSGSSINLKVSAAATVGVVSIAGFFLF >KVH92815 pep supercontig:CcrdV1:scaffold_16:102115:103973:1 gene:Ccrd_005084 transcript:KVH92815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Development/cell death domain-containing protein MESYWQLGDELRGQSKVSEDQKWYAAASRLAEQTRLKGERRNNLDLSKFSADTTRPRENATTFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQNGV >KVH92778 pep supercontig:CcrdV1:scaffold_16:153060:156587:-1 gene:Ccrd_005096 transcript:KVH92778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine repressor C-terminal-like domain-containing protein MAISIKRAAISFRSMYPLFRTTRRISHFPASPYFLKTASDPRPALPNSPPIDFFFESRRCFAKARKKWDDDDNGELDVSNGDAASRIEIVNIGPIIKSAAVSEMEAAIDAVSNELAKLRTGRASSGMLDHIIVETGGVKMPLNRMAVVSVLDPKTLSVTPYNPNALKELEKAIVSSPLGLNPKPDGERLIASIPPMTKEHMQAVCKVVAKSSEDAKQRIRRARQKALDTIKKSVPKKSEGKDKGKSKAKVVSGFSADDAKRLEKEVEELTKKFSKSAEDICKAKEKEITSS >KVH92844 pep supercontig:CcrdV1:scaffold_16:205864:207468:1 gene:Ccrd_005106 transcript:KVH92844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNFMQIRRISTLLDSAIKNPNSSLLGRRKWIPIPIPHRTIHEPRGQDLDYINVAHSHLLHSDWAKLDELLTNFDSFRVKHVLLKLQNDYAISLKFFKWVETHNPNLLTLETNCIILHILTKNHKFVSAESVLEKIVGSCDVEMHSKLFDAILHSYRMCDSTPRVFDALFKLYAQMKQFRNATDTFCRMKEYGFLPTIQSCNLYMSSLLSLNRAGIALSFYKEIRRSKITVNVFTLNIVINAFCQLGELENAVKVFDEMQDMGFNGKTEKGNEVFEEMLRNGIKADILTYNALLLGLCNEGKTRKAAYLVKELDKKKLVPNSSTFAALIRGQCARKNPDRALKLYKSMLKSGCRPNEHTLKMLMLCFLQNDDYGGVFDVLKEMLERPVGPDLAVLRKLCKGLSQAWKDRLIMNLSNEVDDGRLGHGRYEKVKSIITQSNAEGKELEQNA >KVH92873 pep supercontig:CcrdV1:scaffold_16:836007:836816:1 gene:Ccrd_005176 transcript:KVH92873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MQLTGEINDITVSPSFNCYSSDSLTAKAAAKVSREVEQERIDEFQEFSEVDEEDFEFSLEFAGEEFSAEELALAGRLLFPIFNSDLVTKDEVDRVVKEVDDEHDVDSLIIPLHKLFVDDSDESRSSSSSSEVDDLEDRPSGTFCVSWRKANAGSPTLAKKSRSTGSGSRTWKIKDLLRRSNSTGSETVFFLCPKRVEASNRKRYGKSREVRKVTGKSKTASSPSFHELFYVQKRAEQKGEKMKSYLPYRQDLLGFSVKVNGSGNKKLPF >KVH92799 pep supercontig:CcrdV1:scaffold_16:431735:442191:-1 gene:Ccrd_005143 transcript:KVH92799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLLNFGHQYLKNKPIQCFRTRFSYPINIRLRSSPAKFKIASSCCYTFDSASLSEPSIPEDRLHGRKHNSSPNIEKWVDFVPGGSWWSLEDEDEAGKVKATNPITVWYVLRRMWELVSDDKLVMYIGFGSLTFAALVEISIPNLLTASIFAAQNGEALVFYKNLKLLTFMCFASGICSGLRSGCFGIANVVLLKRLRETLYANLLFQGTGALINLLTLSWPLALSACFICTLLCMIFLVYGRYKQWLDKLAITSVRESAAYGLWNLSFSTLYRSTQVFAIILGGMSILTGHASAEQLTKYVLYCEWLIYAAWRLADNFSSLLQSVGASEKVFQLIDVLPRVKLQRLTGKFEFINVSFHYPSRMTMPTLEEVNISVEANEVTAIVGLSGSGKSTLVHLLLGLYEPTHGEVYYMCPLEYHLNIRWLRQNIGYVGQEPHLFRMDIKSNISYGCSRDMEQEDVEWAAKQAYAHEFICSLPDGYETIVDDELLSGGQKQRIAIARAIIRDPRILILDEPTSYQQQELLTESLSWMQMGDHEKLLQQNGLYARLHLLQMEGL >KVH92785 pep supercontig:CcrdV1:scaffold_16:240879:244747:1 gene:Ccrd_005114 transcript:KVH92785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAVKLYSVFFKLILKRRLQNRIQNPNNLHDDNASFGVTSRPEETIAAANPSFTDGVATKDIHIDPSSCLSIRIFLPDTCLVYPDSDADRVTAKRSKLESRSFPSNSSNRTPRRNSYGSAEASNVAPAPSNRRSSYCDGFSKDDMGVKSENGVYRGYSPFTRKCRKLPLMVQFHGGGFVAGSCDSVANDFFCRRIAKMCDVIVVAVGYRLAPENRYPTAFEDGMKVLHWLAKQANLAECSKSLGTTLDSKKSDVHIADAFGASLVEPWLAAHGDPSRCVLLGASCGANIADYVARKSVEAGKLLDPLKVVAQVLMYPFFIGNTPTHSEIKLSNSYFFDKSMSILAWKLFLPEEEFNLDHPAANPLIPNRGGPPLKRMPPTLTVVGQHDWMRDRAIAYAQELKKVNVDAPVLDYKDCVHEFATLDMLLRTPEAHACAEDIAIWVKKYISLRGHEFSY >KVH92839 pep supercontig:CcrdV1:scaffold_16:606320:612149:-1 gene:Ccrd_005156 transcript:KVH92839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPSLPSDTTSLDYWMNWKFLLCLIWVLAPTIMALFLIWKYEGPTNSKTYKEANRLEKAWSLYDCEAWMPCVKEIHPAWWTFMLVIFYFAFGSVLSAYGLFQHHKMFTVSSLDLDAQQAVYVPLNNEDLENSSSQQGGTCFLRSSAFWGYVFQVLFQMTAGAVMLTDSVYWIVIVPFLTLIDYPKSFLTVLAHSLNLVLLLGDTALNSLRFPWFRISYFILLTAFYVIFEWVVHVCVSTWWPYPFLDLSTEYAPLWYLVVALLHLPCYSIFALVVAIKYCLLTRWFPESYRFLR >KVH92828 pep supercontig:CcrdV1:scaffold_16:719423:719923:-1 gene:Ccrd_005168 transcript:KVH92828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREENNCPCEPPSTTTGISRRKHRYPVEETRPPGDSEVGCSGQSCRSCTGGVIADCVAVCCCPCAVVNFFTLTFLKLPWMMGRKCLGLDNKKKRRKKLKNDDTDKDRNGISRKDKGVNRKSQNLEEEEKEEYSARFEAEKVWLELYKIDHLGFGRLSFTGIQSLE >KVH92792 pep supercontig:CcrdV1:scaffold_16:496026:509162:1 gene:Ccrd_005148 transcript:KVH92792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPVGGILLGLAGITLAGTMIGLALATPVFVILSPVIIPAALTVGLAVAGFLTSGTFWLTGLSSLSFLVNCVRQATGRLPEKMENVKGRMQDMAVFTGKKTKEVGQKIKNKAHEVGPEGQGQSQSQSQGSGKLENCYIGFKWKWIRGRKRSKTAVKNVTSQNLSLVNSIFPAAEFGSLGIQNVRIPIEAAANMTRRGAHFKHDATPISLNTNLTGIHTKIPYPNSVIKRTGHETVIYRRRTQGDNPTDKARQELKDILSESQKAIPEKRSKQIRYPRKLVQAAALTKSENSAPIDILRMISSSSSDTDVFLIVKSTEKS >KVH92793 pep supercontig:CcrdV1:scaffold_16:510817:526725:1 gene:Ccrd_005149 transcript:KVH92793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDSFHHLLERTRLPQPSLQKLAVVSIFEKLRLPTTLNGPEFDPGMQAVAQCLNSNSPAVVDQSVRELCLFVKDSKLEVSRGLLELQSALEGSDSRFVNVFVKAIGFIVQLGFQNDNVSFRFHSSEAHPFVKVLSCGTEVQSELVRQVLIFIMQNRPLGMEGICEFLRPFLNFLVIQTSSSATMSSLARNLLSSISSLCCSFPLDAIPVFKLLMGCCKYMQCNSAEDLTNVSYLMETIVDAFIAVLSHLASTGMRIHDAQLCGAELLEMIVSTIHKYSGGEECIFDMSRRLIVVQSELGLKFIPEASSVMLSLFFSLIQSEMEHIQLSILKLVLILMKWKNSNENIVDVHEEILFVFPTINLMSSPSRYVKEAASELLIMLRRLSAYLLVNPINELLMEERFPRIGRLEDIIFRVFHHLWFQDQTSSSGSFYLHWVSNGNKNVKEKQHPLKSWTTLVTGYCQRMVEIQKSSLPRSQSQEIFLREIPPLLAAIASVLILHPTLGNSAVDLLALIGNMDPKLGVPLFLVILFYLNIFSGKSEETDFQDILLKLLRMLPLLVSHPAMVPLIVQTILPMLQKDANPVLYATALRLLCKTWEINDRIFGSLQGLLLPEAFTQFKWERSISISMAVSIWDVCKKNPDRGVDIILSVEACIKSTDATIKALGVQSLALLCEADVIDFYTAWSVIAKHVRSYSTDPVIANSACLILRWGAMDAESYSENATGVLQILWEVATSRHPCHGLSWANARASAFEALTQYQVPHIHQFIPDFREKNIEMLVSETDPKVLEAMERFEAKMITHEHITRRRLVKEKRVPANKIEKLLDVFPRVIGISGINSKAGELPGAALFHLSFSVKDENNQGASKVLHDLHARYENALVEIAGSLQLSRNIIVALLSLQSWKPFMQRWLRACIPLLDVKASTTLMDTTSKAADDILKCMRQKADKSIPRSAENIGLAVGALCLVLPPSAHATKASASKFLLSWLFQHEHEYRQWSAAISLGVISSCLHVTDHKQKFQNINALIEVACTSRSTLVRGACGVALGYSCQDLLTRFTVGDDSHLDKEVHEMQETDLLGKVVRTLCRLIDQYAQSSTTTLQSLSEYFPQLPNTTDPDIALSSSGKSSDYLEEDIWGVSGLVMGLGSSVTAIYRSGCIDAIKKIKHLILSWIPLENPLEENSGMNGNLELVLSMGACLALPFVVSFSQKAELIVGAELEYLVSGYCELINKLLSTKSSGAFCQSLLMASCVGAGNLLGCILNEGVHSLDAKSVKDLLDMFKKIYSNPHPPLMHLGAMLGVVNALGAGAGTLFLHCPLAFSRSISEQKESSYITGPLFSSAVMELSLTSLIQEIFLVAQYSDDDQLQQYAAWAVSFLRHYIWSSDLHNEDRAKPNSASQSFPDDSIVMKLSLWLMNLNYSGAAASSHVNTVAAVLRCLTHAPRLPQLDWGSIIRRCMRYEDQVAHMLQEDSDHKKGKLREECLVFSLVHGSNFNALLTFLDELFDLSRFKMLEMNLQLCILSHLPQMLKIFSGSRLEKIFDDVATFIQSPVSFDQIYNPEQKSLLRTSCWKGLHMCFDEASLDSEKLIPNFENCMEVLFSLLPQVSGLKSLEMNRNYEGEEWSEAVRCLGKVRWDWLSHCLQISESSFNQGSHQFLEAKKKVIARARLVQTGSIPLLELSKLKPYILNTRSEGIWDVLVEVVMALQDAEGSIKRQWLVDAAEISCVTSYXSTFMSLLCGCSSKYMPFLIVNPHTILSDLPVTLSSLLLDDGNWGVVAEPVVSLMWTSTNRIHDWVTNNVNGFSNQQLFDESEREINVFLLKVMHHTCVALKHHLPPEKQLLLANMILP >KVH92863 pep supercontig:CcrdV1:scaffold_16:407046:409185:1 gene:Ccrd_005139 transcript:KVH92863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAEVEVVEALLFGDHEAKIAAAEAVIHLTNKQRHKLADNGAIPPIVSMLHAPPDFKSLESAIFALMSLAYGSERFSLMAASGAIRVLNESLLENNTTQAKLDIVVTLHNLSTCHQLIPTIVSSSTVSSLIQLINESEKSSKLVEKSMGLLDHIVSSSEIGLKESAIGIRALVEAVEDGSKTCKEYAVGILLLICESSRERYRGMILREGAIPGLLQLSIDGTHRAKRSAKSLLRLLRDCKKVCRSEMSKNVVLEEVMGEIDRDALVIPQKTAR >KVH92865 pep supercontig:CcrdV1:scaffold_16:355118:364004:-1 gene:Ccrd_005133 transcript:KVH92865 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGF29 tudor-like domain-containing protein MSSPDIAAILDQSKELDRLRKDQEEILLEINKMHKKLQSTPEVVEKPGDNSLSRLKMLYIQAKDLSESEVAVSSQLLSQLDALIPSGPPGQQRRRMEGNEQKKKRMKADPDVPRLSPSVRNHLDILASLKGEQVAARVTQENADKDEWFIVKEPGDDEEPAGQRKYKLPMSHIIPFPKRNDPSTAQDFPPGKHVLAVYPGTTALYKATVVNTRKRKTDDYVLEFDDDEEDGSLPQRHVPFHKVVALPEGHRQ >KVH92860 pep supercontig:CcrdV1:scaffold_16:48162:57493:1 gene:Ccrd_005074 transcript:KVH92860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase MRIFKLMFAFCFSSDTDPRELLPSLQEGERLRRILPKCQIRAFSGCCHALFLEEDFDLVTVIKGAGFYRRAKHTDYASDFLLPSPREIKDIVDSARWLDVATSPVMLSTLEDGKIVRSLSGIPSEGPVLFVGCHNMLGFEVAPMIRRFILERNIIIRGVAHPMLFTKLREGKMPDLATYDVTRVLGAVPVSASNLYKLLSMKSHVLLYPGGVREALHRKGEEYKLFWPEQSEFVRMAGRFGATIIPFGAVGEDDFTQLVLDYDDQMKIPFVKDYVKEITEESMKLRTEIEGEIANQDLHLPILLPKVPGRYYYLFGKPIKTEVTHSGKRQELRNREKAHQVYIQVKREVEKCLCYCKMKRESDPYRNIIPRFMYQATHGLESQVPTFDLH >KVH92861 pep supercontig:CcrdV1:scaffold_16:61655:62014:-1 gene:Ccrd_005075 transcript:KVH92861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MGDEGGLYDFLKMQHPPPHPDHAKQPNHSRLFSCLYCPRKFYTSQALGGHQNAHKRERAAARRSYIITAADNHLPPPPTTTYTWFDHAQQAPTTSLPFPPAAQNNNTHAPEDVDLTLRL >KVH92874 pep supercontig:CcrdV1:scaffold_16:827283:828074:1 gene:Ccrd_005175 transcript:KVH92874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQLEVDELGFSPSFNCYFSDSLTSTTATAAAKVSREFMQEQAAQFREFSDVDEEDFEFSLVLDDEEVSGKQISVQRSPTTADVDFPVGETDTASSVHIQIQKLFVNGGEASSFSSTSESEESDDRPSGSFCIWRPKSYVVSSTITKCKKSSSAGSGSGSRRWRRILDLLRRSNSEGKESMFLLRSKKIKSSKQNRKVSPVEVTRVAGKLKEGSSPSFHGLFYAQKRAEKEGHKMKSFLPYRQDLLGFFVNIHRIGHKKSTF >KVH92796 pep supercontig:CcrdV1:scaffold_16:454772:455095:-1 gene:Ccrd_005144 transcript:KVH92796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKKHQADSESMEGKKWMIAGITLRAPLKPISTKISNEEDDETSNSGSTTPTSNQSRIPEVLPCPPPPRKRRPVSTCHNNGNREFFTSPDIDSFFKIFPNTGKAN >KVH92790 pep supercontig:CcrdV1:scaffold_16:234868:239813:-1 gene:Ccrd_005113 transcript:KVH92790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADSLPALKRRRFAIADPMEAQVATEKLAAAKQNYGREIHVFETTTATISRTSNDASDGEEPDDQLEFTTEDYYRVLSTKKEDKYLKTKKIRDAEAAASRSRITKAVVRVRFPDNHTLEATFHPSETMQSLVDLLVKVMARPDLPFYICEHTIAKYSYTSITNEAILKSEKKGRNHPQKNTVPPKKQIKDMSQDFYSAGFAPGAIVYFSYDLPQVDDNIGAAGPFLKEDVMSLKGLELILEQKPEPDHSAPEPSVAAAPAARKSAAEKKMVKPKWLKM >KVH92819 pep supercontig:CcrdV1:scaffold_16:322591:327141:1 gene:Ccrd_005129 transcript:KVH92819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVAIDSRPVKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLAGWLEDARQHANPNMTIMLVGNKSDLSHRRATAEKILEKIQEGVFDVSNESSGIKVGYGRPQGTGGARDGTVAQSGGCCS >KVH92845 pep supercontig:CcrdV1:scaffold_16:208727:211752:-1 gene:Ccrd_005107 transcript:KVH92845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVTGGKSLKKFHDLICNDNCKDIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSIIAWSASVAKGVQPNVDYGYKSSTTADKIFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYIIVAICYFPVALIGYWIFGNEVSDNILISLEKPTWLIAMANLFVVIHVIGSYQIYAMPVFDMIETVLVKNLNFTPSFTLRFITRNLYVALTMFIGICFPFFGGLLGFFGGFAFAPTTYFLPCIMWLTIYKPKKWSLSWITNWICIVLGVALMIVSPIGGLRQIIVEAKDYEFFS >KVH92817 pep supercontig:CcrdV1:scaffold_16:119891:122185:-1 gene:Ccrd_005088 transcript:KVH92817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MGVRKLLRFLWVISVVLLIEAFSGSGSVRLKSVAMNPPPLGICASSVRKHGYKCEEVDVTTEDGYILSVQRIPVGRGGAVGGGRKRQPVLLQHGVLVDGMTWLLNPPEQSLALILADNGYDVWIANTRGTSFSRRHVSLDPDRPVRIMLHIRFHSVEFWDWTWDEIVSRDLPAVIAFISQQTSQKIHYVGHSLGTLIALASLSEGKQLDKLKSAALLSPIAYLSHMTTALGVLAAKVFVGEYLVWLSSIQKGKNCCLNASTVDVFLRNEPQSTSTKNLVHLAQTVRDGVLAKYDYGNPAFNMEHYGQPRPPIYNISNIPRNFPLFMSYGGQDALSDPKDVATLLDDLKLHEEGKLSVQYIKDYAHADFIMGVTAKDVVYDKIISFFRRNQ >KVH92870 pep supercontig:CcrdV1:scaffold_16:383227:385595:-1 gene:Ccrd_005136 transcript:KVH92870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MVIVTELLTGGTLRKYLVNMRPRGLDTRVAIGFALDIAQNLLLTADHRSVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEDLALIVTSCWKEDPNARPNFSQIIHMLQQYLSTINLPLEPPTIPPRMFNVFIPDSPGTSTLISKDNETPKTPLQNTPRGPFSCFYQCCW >KVH92875 pep supercontig:CcrdV1:scaffold_16:817726:818394:-1 gene:Ccrd_005174 transcript:KVH92875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MIGWDDVYKLIASMFPLYFALILGYGSVKWWHLIKPDHCGAINQLNCYFIMPLFTFDFASRINPYKMNFRFLVADGISKSIVLVVILLWAKFSSKGNYRWSITSFSLSAFNNTLVVGVPLMHAMYGPLGENLVIQASILQLTIYMIILLVMYAFWSVNESLDQEVGPNDQLETDLEGNPNEDSMTRRPSLLIVLKVVGIKLAKNPNTYASVLAVSWAFVANR >KVH92827 pep supercontig:CcrdV1:scaffold_16:313076:320625:-1 gene:Ccrd_005127 transcript:KVH92827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MNTLQPTLKPFQWNPHPNPKSKPTSTIKNQIDPPKPQSSAGKIKRLVLTKEGRTKFNISPDKDFYAYPRFVTHVDDNFIATLTDVYRKKLSPEFEILDLMSSWVSHLPEEVKFKKVVGHGLNPQELAKNPRLDYFVVKDLNQDQKFEFQNASFDAVLCTVSVQYLQQPEKVFSEVFRVLRPGGVFIVSFSNRLFYEKAIGAWREGTGFGRVQLVVQYFQCVEGFTEPEVVRKLADGAKPDGSAFGWIKGLLGLVSGSDPFYACEQKEKEEDEEAQVEEAEEASTKENLVLKSSSCRATHKGKFWGVFTILDDGRWWKTASTDLVHRCEQREHL >KVH92813 pep supercontig:CcrdV1:scaffold_16:114607:118317:1 gene:Ccrd_005086 transcript:KVH92813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel ClC-plant MEKDSDQLAETTCNMEQQTNQQERDPESNSLHRPLLKRNLTLSSSPLALVGTKVSYIESLDYEYMMGFFFMTGVNFMLTLGATLLVVFLAPTAAGPGIPEIKAYLNGVDTPNMYGAMPMFVKIIGSIGAVAAGLDLGKEGPLVHIGACIASLLGQGGPDNYRIRWRCIRYFNNDRDRRDIITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFMEYCKAGDCGLFGQGGLIMFDVSGVSVRYHVVDLIPVTVIGIIGGVLGSLYNHLLHKVLRLYNLINDKGKFAKIMLSLAVSLFTSACLYGLPFLASCTPCDPSLVDSECPSTGRMGNFKQFNCPKGHYNDLATLLLTTNDDAVRNIFSTNTPSEYRVFSLVIFFLLYCILGLFTFGIAVPSGLFLPIILIGSAYGRLLGMAMGPYTTIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLISKSVGDCFNPSIYEIILDLKGLPFLEAHPEPWMRNITVGELADVKPPVVTLCGIEKVSNIVDVLRNTSHNAFAVVDNQVVPAVGQVSEVHGLVLRAHLLLVLKKKWFLQERRRTEEWEVREKFTWVDVAERWGTIEDVAVTKEEMEMYVDLHPLTNTTPYTVVETMSVAKALVQFRQVGLRHMLILPKYHGPTVPPVVGILTRQDLRAHNILSAFPHLERSHASKKGR >KVH92841 pep supercontig:CcrdV1:scaffold_16:184530:189796:1 gene:Ccrd_005103 transcript:KVH92841 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c1 MDWALYQALAHPKLVSFSYKQKSNGRLVVVLVLVVVVVGRSPSVWAIDSFLHLLPYRISLRQLYAARYDYDYDYFFLTHPAPPALSSLISKKEQDASGSAGMKSLRAIALLGAGVSGLLSFTTIAAADEAEHGLECPSYPWPHQGILSSYDHASIRRGHQVYTQVCASCHSMGLISYRDLVGVAYTEEETKGMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAGYYRRMRWSVLKSRKLVLDVVN >KVH92812 pep supercontig:CcrdV1:scaffold_16:118727:119430:-1 gene:Ccrd_005087 transcript:KVH92812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MDKSASYNMLFFIGMMLMLSKQGLAEQHVVGGKQGWDESTDFDSWASGQTFKVGDTLVFKYSPMHSVAELGSESKYNKCDMGAATNSMSDGNSVVKLTKAGTRYFACGTAGHCDQGMKVKITTVSASGSPSSSSNATPTSNSSGTYVAGWFVLGGLVLVVRSLMHVSY >KVH92807 pep supercontig:CcrdV1:scaffold_16:741958:750187:-1 gene:Ccrd_005170 transcript:KVH92807 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MIISTLFFVTIFTFLLAWCTRSQPRPPLPPGPKPLPYVGSFITMIQNKPAFRWIHRMMDEMDTKILCIRLGNVHVISVSDPKIACEFLKEKDEIFSSRPDFMSSYLTSDGYLTTVLVPSSDHWKNMRKILAVKILSSTRHKWLKSKRDEEADNLLRYIYDRCEINFTVTGGIMNVRTIVQQHSSNVTRKIIFGSRYLGKGSVDGGPGEEEIEHVESLLIILSYVYSFCVTDYFPFLRWITDLDGHEKIMRNAIRTARKHQDRLIDERIQQWKDEWAMAEMMNQPRIFDRAIHELDSVIGKEKLVQESDLPKLNYIKACVKEAFRLHPSAAFNLPHVAAVDTTVGGYFIPKGSHVLLSRIGLGRNPEVWDDPLTFEPERHIDGDNEVVLTDYNLQMLSFSCGRRGCPGVLFGSTMTVMLLARLVQGFTWELPPNEPLVDLKENLRNVFVSGDLISGAR >KVH92805 pep supercontig:CcrdV1:scaffold_16:796698:807681:-1 gene:Ccrd_005172 transcript:KVH92805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEAPRKKFPLDAKDYKLYEEIGEGVSASVYRALCVPLNETVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAYCSFTTGQNLWVVTPYMAAGSCLHIMKSSFQEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILVDYNGSIKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKEMVAACLVKDPKKRPSSEKLMKHPFFKNARTTEYLEHTILDGLSPLSDRFRMLKAREAELLMQNKELYGEKEHLSQQEYIRGISAWNFNLEDLKNQAALIQDYDETSNAEDLSASSKQQNVINDAGLPAERSSPEISDHSDTASHLEDAINEIPDLESSFVAFPMKPLQALKGCFDVCEDDMATGSSTPGDENQSDNDQQSATKPSVKAEGQETESNDAEHYGQRKFLSRATTNGPRKYMSGSLLPDDSLSPKKLVADVDRDHLQSRFQTQRNHSGPLQCRQKHKINNSLSVEDVSEGAVVQRKGRFKVFSADLNSKEEILKLIKFAEQNYGTSMEYTGSGTNENSQSPIISARERELQSQVIHLQQSIGSLVEQLRILKMSNAK >KVH92779 pep supercontig:CcrdV1:scaffold_16:162388:165590:1 gene:Ccrd_005097 transcript:KVH92779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] MRTQQNNHIFFQPSNPRIPSINPTPFPFPFPFPMKAFTLFGTSDTLSLFGVAALASSSSPSPSISISISSRSYAFRNQRSFPQRLFFCRAENADGSVSLVNVPPNLLLAEREEAKAVLNLFLRKQGMSRVNAIRKIKQLDLFIDHLISRLHTVYKTRYLVGRELTTLEIRDALIPYLDELLEEHGDNLVDALEYFPIPIVKEKSEEIDQNPPADIIEPSTTHASSLSSANSKKLTAMARVSDIGETPNLPPHVVYLEDLGMDLEVIKEVIRKFPAFAYYSLEGKIKPVVEFLVDLGIAKSDIPVIISKRPQLCGISLTENLIPTMAFLEDLGVDKKKWAKVIYRFPALLTYSRSKLQATVDFLYEMGLSSEEVGKVLTRFPSIISYSVEEKLRPTAHYFHSVGVNGGVLMSRCPQAFGLNIETSLKPVTEFFMQKGYHMADVAVMASRYGALYTFSVAENLVPKWEYFLTMGYPKSELVKFPQYFGYSLEERIKRRHEIVKESGVRLLLNQVLSLSDEDFDRVLKRKVKKKNACA >KVH92882 pep supercontig:CcrdV1:scaffold_16:644871:649386:-1 gene:Ccrd_005162 transcript:KVH92882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAIQSEQQQHLTLVIRKACFGLPTACPSCLPVYIYLKFANVPFDLSYNLTFPDSDHFLSIESTKDKEKLLLLSTSVNFCDVSLDLQHLCSLADQIPYVDTDTYVAYNNEKGGVIESLKEDNIVNLDAGVQNLPEWVSTMAMINSWLSDAILYELWVGSDGSSAHKIYYSDLPWPVGKLLYLKQVHNVKQLLGISKETAERREEEIYRRATMAYQALSTKLGEESFFSDNRQVPTCYIFLPTSLDAFFLGHALITLYALPETSVLRGKLLEQANLVKYADKLKAEFLDAGSSSPDPSSSSVPRRGPSNWGKFRVPVTNCSKPKGQPKRERTQEEKSFRRKAKYFLVTQMVAVLVFLSLLGGSDDTEVDADGDDGFDYDG >KVH92801 pep supercontig:CcrdV1:scaffold_16:417936:420760:-1 gene:Ccrd_005141 transcript:KVH92801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASNFTTFRKLRIKPQMMHQIFCRKKEKGRDQNYPYKVIEVTPPPRNLGVRCFPPRSHTGTSFEKVNTNQARKDSTCSPPEDTY >KVH92867 pep supercontig:CcrdV1:scaffold_16:336486:344123:-1 gene:Ccrd_005131 transcript:KVH92867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSREERPRQSPSFQSTSSFTGSQYGGYPQQTYSYPPQDIQNYPVQEAYPAYPQHYPATVPAAPSPAPAFGGQTHRPQKKLDRRYSRIADNYSSLEQVTEALARAGLESSNLIVGIDFTKSNEWTGSRSYNRRSLHHIGDGLNPYEQAITIIGKTLAAFDEDNLIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLTRYREIVPHLKLAGPTSFAPVIEQAMTIVEHTGGQYHVLLIIADGQVTRSVDTEPGHLSPQEQKTVDAIVEASKLPLSIILVGVGDGPWDMMREFDDNIPARDFDNFQFVNFTEIMSKNVPPIRKETEFALSALMEIPTQYKATIELNILGNQKGISRHRVALPPPIYGTSSFSRPKPSPSSSFQKGSHSYYGQSSPPRAAPYNDQISSQPMAPPAPNSTYDNQLCPICLTNPKDMAFGCGHQTCCECGQTLQLCPICRSSIETRIKLY >KVH92881 pep supercontig:CcrdV1:scaffold_16:639666:642671:-1 gene:Ccrd_005161 transcript:KVH92881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLQKTSTFSRHLSFQKQQRRPLKEQLLIILKQCVSTKTVQQIHTQMLINSVEQPNFLLAKLIDLKDFSYSCHLFTSMHEPNDYAFNVMIRGLATAWKRFDLTLELYYNMKVLGIKPNNFTYPFLFIACSNLLAVEHGRLSHSMVLRSGLMLDGHVRHSLITMYSRCGYLGCARKVFDEITDRDLVSWNSMISGYSKMGFPRGALELFERMRGEGFEPNEMTLVSVLGACGDLGNLSSGRLIEDYVVDNEMKVNSYIGSALIAMYAKCGALVSARRIFDKMAKKDLVTWNAMITGQVFLPVSPQYLSNPSLIFINLSHDRYAQSGLSDEAISLFNIMKEEQVNANNITLSGVLSACASVGALDIGISVDTYASERGLQHDIYVATALIDMYAKCGRVDRASKVYENMPFKNEAAWNAMISALAFNGRSKEAILLFNQMSEDRVVRPDDITFVAVLSACVHGGMVKEGRRLFDLMNPSFGLVPKIEHYTCMVDLLSRAGLVDEAWDFIQKMPEKPDEIALGALLGACQKARNLDVSEKAMQLLLDIEPRNSGNYIISSHIYAGSKRWDDSAKMRLLMRQNGVTKVPGSSWIEIDARVHEFHVGESLRTEEIRQMLEVLYDEMKPEGSMVNSNGLKGEDKKVPVNLEAY >KVH92864 pep supercontig:CcrdV1:scaffold_16:395553:399547:-1 gene:Ccrd_005138 transcript:KVH92864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MAINIIKEGDRSPRIILHEPTGSTVEVLLYGAQVISWKNERREELLFMSNKAVWKPPKPVRGGIPICFPQFANFGSLEQHGFARNRLWLLDDDPSPLTPTNNQSSADLVLKSTEDDLKTWPYRFELRLRIAVSAGRLTLIPRVRNVDNKAFSFTLALRNYFSVSDVSEVRVEGLETLDYFDNLSKKERYTEQADAITFDGEIDRVYLSTPTKIAIIDHEKKRTIVLRKEGMVDAVLWNPWDKKAKAIPDLGDEDYKTMLCLDAAAVENPISLRPFEEWKGRQELSIVSSSYYSGQLDPQKVLNGFH >KVH92866 pep supercontig:CcrdV1:scaffold_16:349327:353718:1 gene:Ccrd_005132 transcript:KVH92866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKSTATDFIRRKKLLKQVLLGLIVLLGLICLYNGSTFAPHLPRAKEVIALDDGTDPVIGSFVPKRDYFDELFEDQEQNSEVPKSLPVCDMRHSELIPCLDRHLIYQLKLKLNLSLMEHYERHCPPAERRYNCLIPPPVGYKIPIRWPESRDEVWKANIPHTHLAQEKSDQNWMTVVGDRIRFPGGGTHFHSGADKYIASIASVGHMLKFPGDKINNGGHIRSVLDVGCGVASFGAYLLPLGIIAMSLAPNDVHQNQIQFALERGIPSTLGVLGTKRLPYPSRSFEMAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDAENRRIWNGMHDLLRNMCWRVVSRRDQTVIWAKSLSNSCYLKRAPGTNPPLCSSENDPDVSWDVQMKACITPYSAKTHKRKGSGLEPWPSRLTGPPPRLDEIGNTWYHRVIEYWRQMRSVIQKDSIRNVMDMNSNLGGFAAALKDKDVWVMNVAPVNASSRLKIIYDRGLIGTVHDWCESFSTYPRTYDLLHAWRVFSEIENRGCSVEDLLIEMDRMLRPEGFVIIRDQSSVVDHIRKFLTALRWDGYSLEVEPKTDPLSLSEERVLIARKQLWGDDDLDEAAKF >KVH92855 pep supercontig:CcrdV1:scaffold_16:915664:917044:-1 gene:Ccrd_005185 transcript:KVH92855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET8 [Source:Projected from Arabidopsis thaliana (AT5G40260) UniProtKB/Swiss-Prot;Acc:Q8LFH5] MNCLLWIFYGMPFNHPDSTLVVTINATGLVLELIYLTSFLIYGKNSHRKKIIAWLAIELVGLGAIAGLDLGFFHTHASRSNFVGIFCVVFGIIMYGSPLTIMWKVIRTKSVEYMPFPLSLAAFLNGCCWTTYALLKFDLFILIANGTGAVLGLTQLILYACFWRTTPRKNERPPSE >KVH92789 pep supercontig:CcrdV1:scaffold_16:219795:222972:-1 gene:Ccrd_005110 transcript:KVH92789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde 3-phosphate dehydrogenase, catalytic domain-containing protein MVCLHVYINPSIHPVISTLTTLPSPPPPPLLSQFSIFLGSVIMGKVKIGINGFGRIGRLVARVALLSDDIELVAVNDPFITTEYMTYMFKYDSVHGQWKKGEIKVKDSKTLLFGDKPVTVFGMKNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTARLEKPASYDDIKAAIKAEAEGSMKGILGFTEDDVVSTDFVAGIALNNNFVKVVSWYDNEWGYSNRVVDLIRHMAKS >KVH92823 pep supercontig:CcrdV1:scaffold_16:290848:297769:-1 gene:Ccrd_005123 transcript:KVH92823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPARGVWWSLGHFILFLPYFIGLLILGFIKGIILAPIICVIMAVGNSTIAIGLWPAHVVWSYFCILSAKRLGPVMKVVVCILFTPVLAIWPFSIVVGSILGGLAYGFLGPIFGTFKAVGEGKTDQFRHCIIDGTWDTVQWSFTFVRDLADVCLYSFFSMMDDLRKQGMPEGKIEIRIVYLPVAILLGLLGVVVDFPMISVIAAVKSPYMLFKGWHRLFQDCVGREGPFLETICVPFAGLAILLWPLAVAGALTSSAWEQESSFYLGLCYVVAAVSIYDEYSNDVLDMPEGSCFPKPRYRRNPGLSASSSFSRGGSLKHAPSRSGSLRVPMIELKPLEDSVRVITIGLPAYCLYLALLRSGKANSSADNATEITSANRPKDAFYDWFLNPLLVMKDQIKAQNLTESEEDYLGKLVLLSGDGQKLKNSNIGPPPELQGITKSISRYPTYRRRFENIMKAISEELDRRSIGSNRGPQTVPRSKSTFGRIFSTQRSFKNKTSNHGVRQEDERDVEIV >KVH92781 pep supercontig:CcrdV1:scaffold_16:169655:171793:1 gene:Ccrd_005099 transcript:KVH92781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like protein, C-terminal MVLDETDEELQLTEIPTIQQDPESKNTKFSDDFMIFEDSEHLAKEDCRNKKYPDEDCTTGETMISEMTLVGNMTLKPSPEKRQLSVSIDVTPQSKAPAVSGEHKSDFVAIRTPASKEHAWPPRKRRLVYDDTIVVPNKVFKNWLADAGDLVGKRRKASYPLLTRKECRSFDFVLEPIIPIIFSLPPVNAEFPSDLTSVISTNKVLVLEKVEDTMERDSKLDELGSLNTPKTSEQKETEAIAPSTPVTHSTSLRFNETNSSRSGEKELFPIEDVELDEIQMKKLGSVFERSVGSYLHSNLVQREERGEEEVINLSQILKHKTKKESARFFSEMLILKTGGYIDVKQGKAYDDIRVMQTSKLKEVFGKG >KVH92871 pep supercontig:CcrdV1:scaffold_16:374465:381252:1 gene:Ccrd_005135 transcript:KVH92871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MAKLNCITRASSLVFFHPRPILSFRTQLLCKPFISQSIYSYSTTKTPFPLQYEMIISRPPQTPNLHHPRRRRPPPPLPNSKPSDSDPNSQLGFDDWVDMKLTSESAHGSSTQNQDIKQPMFLNEEMDRSKRKYYNKRQKRMYGSDSEDDDGKHENKYIELNQEVVKLRTLHKREEELYFYDAFAYPWEKDKHYKMVYQLEKKFFPDQCFDKAFLEPGQSNGIMEEEKKKRVAVKNGGLKKDEKRLVFFEEEKELKDEDGKKDVKMDITEKKVEEFFKCLKKVPNEKNEVDNTLPFISGRSSGLPPKWDGPTGAVLLVNKPKGWTSFTVCGKLRRLVNGPLILWQLVCLLFQGMDKGYSGVLRLGEATSTLDADSPVIQREPWEHIKDDDIKKTAASFCGEIWQVPPMFSAIKVSAAAISVRASTSRRGESIELSPRRISIFQFDVERSLEDSCAHMTALRRDSIGEYSVDDAWEFTDLEREIPKSYI >KVH92853 pep supercontig:CcrdV1:scaffold_16:886509:891639:1 gene:Ccrd_005181 transcript:KVH92853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MMWGGCILLNAVLSRNKCAACYRQFNKKEHLVEHMRVSYHSVHEPMCGICGKRCRSFESLRDHLIVALAGKMVGGGSDGSLDLCARVCIIDEYENILFHSYVKPQLPVTNYRYETTGIRPEYLRDAMPVRQVQRKIQDFLCNGEPIWKIRPRGERARILIGHGLDHEMKCLELDYEIQNGIQDPYDDCVATMRLYRRMRSQAHQNYEDYPLATDSQNKNNFGSWRQGELERMSPEELMAISRSDYYCWCLDGKE >KVH92883 pep supercontig:CcrdV1:scaffold_16:652546:659808:-1 gene:Ccrd_005163 transcript:KVH92883 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-5 cytosine methyltransferase GDHAPGDDSENIDWDTEDELEIQNIAPSSCAQLITRDTEAVISNGKASSSAGPSNTKLVEHFLGMGFREQWVTKAIEKNGEGDHESILDTLFAYQGVQIFGLLMRISVLQALEDPQQGHNSCHPNHLNSPQQQQCVNDDDLSSDYDESLLDDFSESDSWLGSETEDFDSLPEHEKTLKYLVAMGYTEEEASIAMERCPGASIAVLTDFIGAAQNAKTEPKRKNLGENGKLKKRKLYELEAWKRNKQKGPLTEQDEVIRLPNPMTGFGVPSEPSSMVTHRTLPDDAIGPPFFYYENVALAPKGSWDTISRFLYDVEPEFVDSKYFCAAARKRGYVHNLPINNRFCILPLPPRTISDALPLTKRWWPEWDKRTKLNCLQTVIASAKLTERIRKDLEKYSDYVPLDVQKKVIEDCRKWNLVWVGKNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLVAYHLSVLKDIFPKGINMLSLFSGIGGAEVALHRLGILLNNIVSVEISEANRDIVRSWWEQTNQKGNLVHLPDVQQLNGDQLEQFISSFGGFDLVVGGSPCNNLTGSNRVSRDGLQGDHSSLFYDYFRILDTVKSIMNKQN >KVH92838 pep supercontig:CcrdV1:scaffold_16:614897:615799:-1 gene:Ccrd_005157 transcript:KVH92838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP01589, plant MLFSMSRDDCMRTLAEHADIHPIVTLTVWEELLKENKSFFQAYSHSVSENNRWPQETDNANHYGRT >KVH92857 pep supercontig:CcrdV1:scaffold_16:88056:90733:1 gene:Ccrd_005079 transcript:KVH92857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MCYGIPCQVLLIFQFSRKNLQAYKIMDWVFKASSSWDMTELDFGVLDDTNKNQYMEVFSVDPSSGKSFNKWNEQKHSTMSGSSKKQHISCLVDGCTADLTSCRDYHRRHRVCETHSKTPVVTIGGKDQRFCQQCSRRNECCFCNCLVAYLTLTPQKETVAMQIWSLLKQNSSRIKEDLLRYQNLFHHRLYTNTISDQLSEFDRFHSVGEFDEVKRSCRKRLDGHNRRRRKPKPESMYLNYGSFSTNHQGTKLVLFGGSPEYTSIPLRWPYNEKLKQQVHVSVQQRTPSHPYTRFCIAREKKFPFLLGTEASSQIPERLVEPKGALSLLSKHTIHTSKASPSDPLNHHPLLPLGNVRGGDTDNHSEGLLEDESYTWPSQIEVDNLYI >KVH92835 pep supercontig:CcrdV1:scaffold_16:558847:565555:-1 gene:Ccrd_005152 transcript:KVH92835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAAGESRSEIQPLNRFPNKHTQFPPNFFTWVLYFSLNLSFFTADSDPRVTVAGNLSCGTGRATTSSFIPTFVQAMEGLSSNLNNSNNNFAVANITDASLPPFFALIQCHRDLSRTDCLVCYAVSRTAVPNCLPRTSGRYFLDGCFIRYDNYSFYHEATDSAMDTRNCNSSLGNNSWSDFQGGVLEFQRTVGDLVENLTEIALRDNGFGVLELRGVYGLAQCWESLSNEECRVCLNKAKTEARRCLPSREGRSMNAGCFLRYSTQKFFNNDSLADGGNSGLSSPGAKVAVVLAAVAFLMISGFASYAAYIRISKLKQERKNMGLLSNSYNKAGLKFKYETLEKATNYFDVSNKLGQGGAGSVYKGTLPNGDVVAVKRLFFTTRQWVDDFFNEVNLISAIEHKNLVKLLACSIEGPESLLVYEFVPNKSLDQNDRTQILSWKQRMGVILGTAEGLAYLHGGCHVRIIHRDIKSSNILLDEDFSPKIADFGLVRTFGADRSHLTTGIAGTLGYMAPEYIVRGQLTEKADVFSFGVVVLEIACGKRNNAFIEDAEGVVAEAIDPLLDGNFPEHEALEVLQIGLLCTQASATLRPSMTEVIEYLTTKGEDREIPVPHQPPFLNARALVSQGLSSSSTSSPMTKMGISYTTTSRSSTTPSSEWPLRINETSSS >KVH92782 pep supercontig:CcrdV1:scaffold_16:274816:277253:1 gene:Ccrd_005119 transcript:KVH92782 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin, iron-sulfur binding site-containing protein MATGLIRRSLSRVQSSPAAKLFLSRAHASEPQAQQVEPISRSTHSLKNFQIYRWNPDNPAKPELRNYQIDLKECGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNIDGCNGLACLTKISSGDATMITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPAPSGWEGKEVPQSKKDREKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTKERLDAVNDEFKLYRCHTILNCARACPKGLNPGKQIQNIKSLQS >KVH92775 pep supercontig:CcrdV1:scaffold_16:136316:139374:-1 gene:Ccrd_005093 transcript:KVH92775 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MVQGMKTKNRKSPSVQLDYEITIIDIKPWPPSQSLRSLRSALIQWEHGEKNSGTTKAVAPLLVSGSDIGDGKIEFDESFRLHVKLLREMPIRGGDSDTFLKNCIEFNMYEPRRDKTAKGQLLATAVVDFAEYGLVKHGLIISVPMNCKRTFSNTAQPMLFLKIQTVEKNNRARSLSGDSLVREGSVDRNCSEEYAEEAEIASITDDDVSSQSSMAATSLTSSNTIEVTGNTQEMMSSQGLEQVKVSKVDENIASLKVMESQVNVVDDQKSCMPLSNNDESQNGQENQIMGDLESNISSADRFIKGKPARSPLDSGYGNGSWRSSKFGEKIKDGFHTQNERKDVSKVQRLEQRVQSLEGELREAAAIEVGLYSIVAEHGSSVNKVHAPARRLSRLYLHACKENSQSRRASSARSIVSGLILVAKACGNDVPRLTFWLSNSVVLRAIIGNTFEQEHSATFSEQLSKKNAVKWKKESFLKENRTGMRSNEWESPCNFTSALEKVESWIFSRIVESVWWQTLTPYMQSAAAKAIVRIVDSGSGKKTSSSHDQEQANFSMELWKTAFMDACERICPVRAGGHDCGCLPVLSRLVMEQCMARLDVAMFNAILRESADEVPTDPVSDPISDARVLPISSGKASFGDGAQLKNATGNWSRWLTDLFGIDDDSFEDGDYDASFKSFHLLNALSDLMMLPKDMLLSSTIRKEVCPTFGAPLIKRILDTFVPDEFCPDPIPLVVLEALDSEDSLEGGEDCVMSLPCAAGSVVYQAPSSIRCILGDGGSQLTRGGSILRKSNTSDDELDDLSSPLTAIIDTSCRRNPTKTSSRLKDGGIRYQLLKEVWMNNE >KVH92818 pep supercontig:CcrdV1:scaffold_16:313165:316980:1 gene:Ccrd_005128 transcript:KVH92818 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein KHRNCRRVRALSFSSLSLLRPNPTSFLHCISSILLSSSFIFFVFLSLHSFMAPVNNNNNNGQINNNENPSKYKVTIVGSGNWGSVASKLIASNTLKLHSFHDEVKMWVFEEKLPSGEKLTDVINRTNENVKYLPGIKLGSNVVADPDLENAVGYRENREIADKWVQLFSTPYFMVSPCQDVEGVELCGTLKNVVAIAAGSFFDLLSIIFNSEKKERNKGFDGFDSYGQVGGRNRKCAEAFAKNGGKRFGVSTAKEVYEVLKHKGWLEMFPLFTTVHEICTGRLPPSAIVEYSEHAPKFPLVGGPTAFQ >KVH92780 pep supercontig:CcrdV1:scaffold_16:168022:168687:1 gene:Ccrd_005098 transcript:KVH92780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like protein, N-terminal RILVDQLPVVTYRILGFLLLGVTRIYSKKVEYLLHDCNNSLNEMKIHLEGRTKVNKDVGGMCTPESSSKRSKHSVVDMPVSKSSGIKRCNVFVEAMNAQLSSISLPENFELDAFDLEVVEDDNSEDHVKSRLEIVLNEDAWENDRTGGQTFGKVHQVFSQKM >KVH92824 pep supercontig:CcrdV1:scaffold_16:302273:303494:1 gene:Ccrd_005124 transcript:KVH92824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MKLKPKRFSRSFSRFGGSGSGSGGDGGGATVDIGMGETKWELRPGGMLVQRREIRGENDEEEIITIRVTTVSQWHDVPTQATSTFGELKMILSTVTGMEIKEQRLLFKGKEREDGEHLHMVGVRNNDKVLLLQDPAIKEKKRLGLVKLPSYRTIIV >KVH92816 pep supercontig:CcrdV1:scaffold_16:124417:126379:-1 gene:Ccrd_005089 transcript:KVH92816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSTQNQNQNQNQNPMKLFGSNLLSPTQQKPPIINANASHPPLSFQDFGSKEDTFFDSQAWLESDCDDDFMSVNGEFTPSRGTTPVHHNFSVGNKQQPLVSPYPNTPEKKPRLSDLFKESLRADNYDLEDENEDGETKSNVSNGVKTKRERLGCFRSLVPSRSSSTNGRKMMMMSPNLTSPNPKSVVE >KVH92802 pep supercontig:CcrdV1:scaffold_16:663644:674396:-1 gene:Ccrd_005164 transcript:KVH92802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MASVGMAPTVVEKVGGNSIFVDKLPEEINEMTIKDDKVEKTISYMAERVVGQGSFGIVFQRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETAYRVARHYSKANQRMPMIYVKLYTYQIFRALAYIHAIGVCHRDIKPQNLLVNPHSHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQVLGTPTREEIKCMNPNYTEFNRRSCFKTPPIFSKLEVYCELKGASFELVAKLIPEHARKQCPVLGS >KVH92804 pep supercontig:CcrdV1:scaffold_16:811374:816109:-1 gene:Ccrd_005173 transcript:KVH92804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MALQKKIIDCGLNLTAFAMLLRFVVAPTMIAVSSLAVGLRGDVLCMAIMQIKNCTSYTKTTHTNTTSTSNIQVVSLNLHDFQRRVHALIRNHARNSPTGSYKKKK >KVH92876 pep supercontig:CcrdV1:scaffold_16:862072:869998:-1 gene:Ccrd_005179 transcript:KVH92876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLLSSAKEPPPPMVLVPPLFDFPPLAARTRMLESSYNLLFGKLALRSLFEDYFDAANHFSTIFLLKPIDDRHVDLIATVSGPLDNKPEETIVGNALFRWQSDADDPHTFTDLYVSSIDPILLMRACAYYPKYGFGAFGIFPVLQKNRVSSEDYGIMGLRYGSSNLSFGATLLPYSLGDDFPKSAWLVSKIRRLTAGVQYDPQFEKKDGAKYKNLKNWNCAIGYGLGSGSPLSPSFNFGLEFKQKSQFIASFYQHVVVQRRVKNPFEENEVIGITNYIDFGFELLTRMDEEKASNNIQDSTFNVAASWQANKNVMLKVSCLGSDVMFYIYERADPNFVMLTPNKEHLAEGIHWKVGKRPMLQSDVNSGNFDGVPRELRPLGRIL >KVH92878 pep supercontig:CcrdV1:scaffold_16:704736:705314:1 gene:Ccrd_005166 transcript:KVH92878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12 MAASSPLSTITLRSSSCRSPSPAALITPPSVSFPKQTLEFPLRTTKFCRRSTFLHPVSAVSTEEKVVQLGDEISNLTLSDAQKLVEYLQEKLGVTAASFAPAAVAVAPGGAGADVAEVVVEKTEFDVVIDDVPSNARIATIKAVRALTSLALKEAKELIEGLPKKFKEGVSKEEAEDAKKQLEEAGAKVSIA >KVH92773 pep supercontig:CcrdV1:scaffold_16:131789:132208:-1 gene:Ccrd_005091 transcript:KVH92773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDIQQQYKRVFGYLDKNGDGKISPPELQICIRKMGQELSSEEAEMVAELMDSDGDGLLSMEDLVKVVEAANEEEKANDLKMAFKMYGDEDTITPKSLRRMLSKLGESRSVDECELMIAKFDLDGNGVLDFHEFQDMMMS >KVH92862 pep supercontig:CcrdV1:scaffold_16:23356:46950:1 gene:Ccrd_005073 transcript:KVH92862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein MKRLFSVSAFLVFLCFWGGECKYMVYDTSQRILADKINVHLVPHSHDDVGWLKTVDQYYTGANNSIRGACVQNVLDSVISALLDDKNRKFIYVEIAFFQRWWNQQSGLLKTKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHMFIHREFGQKPRVGWQIDPFGHSSVQAYLLGAEVNASKTSCVISYFWCFCLVTNSTLKDDVLLFDYNVQERVNDFVAAAMAQANVTRTNHIMWAMGTDFRYQYALSWFRQMDKFIHYVNLDGRVNALYSTPSIYTDAKYAANETWPLKTGDFFPYADKENTYWTGYFTSRPAFKGYVRTMSGYYVAARQLEFYKGRSSSGPNTDALANALAIAQHHDAVSGTQRQHVAADYAMRISIGYAEVISDCVAEEVVASTLAYLTTSRSSANYEKSVGMFQQCPLLNISYCPPSEVVLANGKSLVVVVYNSLGWKREEVVRVPVSAATVSSHELIVLDSSGREIESQLLPIFNSSLNMRDYHVKAYLGKSPSTTPQYWLGFTATTPPLGFSTYIISSAKHTDRSSIMSTMWTSDSTSDKTVVVGGGDLKLLYATDEGKLTSYINNRNMVEESMEHSYSYYSGYNGTDQASGAYIFRPNGTFLIKSEGEKAFTILRGPLMDEVHQQLNSWIYQVTRVYKEKEHAEVEFIIGPIPVDDGVGKEITTQITTAFKTNKTFYTDSNGRDFIKRVRDFRTDWDLQVNQPVAGNYYPINLGAYVGDGRMELSVLVDRAVGGSSLVDGQIELMLHRRLLCDDLKGVGEVLNETICVLNDCKGLVVQGKFYFRIDPVGTGAKWRRTFGQEIYSPLLLAFSEQDENEWKNSHVSSFSMIDASYSLPNNIAVITLQELESGKVLVRLAHLYEVGEDKDYSVMATVELKKLFPNRKISKVTEMNLSGNQERGEMERKRLAWKVKDLSEVKIVRGGAVDSEKQVVELGPMEIRTFIIDLDYLGMFGSVPSDE >KVH92772 pep supercontig:CcrdV1:scaffold_16:128019:131275:1 gene:Ccrd_005090 transcript:KVH92772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MPTPKIAPSMLSSDFANLASEAQRMLTFGADWLHMDIMDGYVSDMAKPLLFHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPLDYVEIFGKAGASGFTFHVEVSKGRIIMLSDHPIHSLRLQFRLLMVRENTADNWQELVKQIKSKGMRPGVALKPGTPIEEVYPLLEGENPVEMVLVMTVEPGFGGQKFMPDMMNKVRTLREKYPKLDIEVDGGLGPSTIKTAAAAGANCIVAGSSVFGAADPAEVISLLKTSVNEAQKATIN >KVH92880 pep supercontig:CcrdV1:scaffold_16:625448:633665:-1 gene:Ccrd_005160 transcript:KVH92880 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MAATATATVTTAAPRYAPEDPTLPKPWKGLVDGKTGYLYYWNPETNVTQYERPSSGSKGSTQPPKSSLVAISSAVQVQQSSQQQENGFIDDDRYGRSSNGGSKIPSGTRGHEAFEMSTSRHASKQGGSRAVMRWPLLSCIRWTPWMVVVTTGGVVALRMAESTRSGSHNSHSTPNGIIAAAPGGASVRPYGSSAGSGLSPDAYRRKHEISVTGDNVPPPFTSFEDTGFPSELLREVLQCYVHVALSLLKFHQVSDSCLLFHLYFFSQVRYLLQGGAKCRTLCHPLVLQAGFSAPTPIQAQSWPVALQSRDIVAIAKTGSGKTLGYLIPGFIHLKRVHKNRQMGPTVLVLSPTRELATQIQVEALKFGKSSKILCTGPQLRELDRGTDIVVATPGRLNDILEMRRISLSQVTYLVLDEADRMLDMGFEPQIRKIVNEVPARRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNVDELVANKSITQLARNLTRQFAAAAIHGDKSQGERDYVLNQFRSGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGEAYTFFGDQDAKHASDLVKVLEGANQRVPTEIREMASRGGGMGGRPRRWGGGGSSFGGRDGGPTGVAIIIRASMKAPWVVERSVGGAEVHRAKVVQDGVVGLVAVAAVSTGSTSRLGPILLAHMSFALGFVLVTKRKKVFKHSEAQPMS >KVH92836 pep supercontig:CcrdV1:scaffold_16:600381:603625:-1 gene:Ccrd_005155 transcript:KVH92836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyl transferase MIKCSRKVEGIEDAVDIVGTGGDGANTVNISTGAALLAAACGARVAKQGNRSSSSACGSADVLEALGVNINLEPEGVKRCLEEVGIGFMMSPNYHPAMKIVAPVRKKLGVKTVFNILGPMLNPARVSSAVGLDEMSPLGPGVAFDVTPEKIKKFHFDPLSGHVGTLAEAVALARETHQSGKALNTLDRWVAVSNLSTNYDSFSV >KVH92834 pep supercontig:CcrdV1:scaffold_16:582240:593579:1 gene:Ccrd_005153 transcript:KVH92834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase NADP-dependent MLRLKHRLTTMSSAAAATTMISSSPLPLRNPRLPISSNSSIFRSVRFSNRLPLPTSLSRVSLRCFASATTTAIEKIRVLNPIVEMDGDEMTRIIWQMIKDKLIFPYIDLDVKYFDLGILNRDATDDEVTVESAHAALKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPIMCKNVPRIVPGWKKPICIGRHAFGDQYRATDAVIKGPGKLKLVFVPENDETPMELDVFDFKGPGIALAMRHMRRIGRRNLKNIQYGFGSLGLMTSVLVSKTCIFLIFILRRVCSFRMSINSNATRRLQLSSDGKTLEAEAAHGTVTRHFRQHQKGNETSTNSIASIFAWTRGLEHRAKLDKNERLADFVKKLESACVETVESGKMTKDLAILIHGPKASREFYLNTEEFIDAVAQNLDSKLQTLAIH >KVH92806 pep supercontig:CcrdV1:scaffold_16:767452:770146:-1 gene:Ccrd_005171 transcript:KVH92806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFVLFVVSSFIFLFTRYTRSQTRPPLPPGPKPLPLIGSIITMLQNKPTFRWIHRMMDEMGTKILCIRLGNTHVIAVSDPKIAREFLKEKDEIFSLRPDCMSGYMASGGYLTTVLVPASDQHWKKMRKMLATEFLSLSRHKWLKSKRDEEADNLLRYIYNQCEINSNVTRGVMNVRTIVQQYSSNTIRKIIFGSRYFGKGSPDGGPGQEEIEHVDSLLVILSYLYAFCVTDYFPLLRWITDFDGHERIVRKAVCTVRKLQDHLIDERIQQWKDGIRTNEDDLLDVFINLKTPILTRDQIKAQILVRLAQVLEMILAAVDNPSNGIEWAIAEMINQPRIFDRAIHELDSMVGRNRWVQESDIPNLNYIKACAKEAYRLHPVAPFNLPHVASVDTTVAGYFIPKGSNVLLSRIGLGRNPDVWDDPLTFNPDRHMVGDDEVVLTDYSLQMISFSTGRRGCAGVLLGSTMTVMLLARMVQGFTWELPPNEPYVDLKENHQDIMKAKPLLALVKPRLPHHLYPIS >KVH91617 pep supercontig:CcrdV1:scaffold_160:423763:425874:1 gene:Ccrd_006360 transcript:KVH91617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHFVLSHCRTYCSHVAISANCQIARYGRLGQIDNAREVFDRLREKNIVSWNSIVACYFQNNQPTEARNLFDQMPERSTVSWNGLISGYVKNRMVNEARYVFDRMPDRNVVSWTAMIRGYVEEGLVSEAESLFWQMPERNVVSWTVMLGGLIQENQIDKARGLFDIMPVKDVVAWTSMVGGYCQNGRLSEARRLFDEMPDKNVISWTTMISGYVQNDCVDVARKLFEVMPKKNEVSWTAMLMGYTQCGRMQEAMELFNAMPYKSVVSCNAMILGLGQMGEVSKARMIFDQTSEKNDGSWSAMIKIYERKGFELEALRLFSLMQTEGVRPNFPSLISVLSVCSSLASLDHGRQIHAQLVKALFDTDVYVGSVLITMYVKCGDLIKAKSVFDRSPTKDTVMWNSIITGYAQHGLGEEALEIFHKLCSVGIAADDVTFIGVLSACSYAGKIDEGLEIFESMKSKYLVEPKTEHYACMVDLLGRAGRLEEAMNLINKMPMEADAIIWGSLMGACRTHLNLEMAEVAAKKLVNLEPNNSGSYILLSNIYASKGRWAAVADVRKTMRSHNVKKSPGCSWIVIENEMHMFSGGESRPHPEHSLIMGMLEYLGGLLREAGYNPDGSFVLHDVDEEEKVHSLGYHSEKLAVAYGLLKVPEKMAIRIMKNLRVCGDCHSAFKLISQVMSREIILRDANRFHHFKDGLCSCGDYW >KVH91635 pep supercontig:CcrdV1:scaffold_160:411946:417542:-1 gene:Ccrd_006358 transcript:KVH91635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MIYLIIFTILCTNLIINCFPATGVSTINIGDQLNRTSQLVSPGRNFTLGFFPITDTNNTYLGIWYTNDNQSRKVWVANPSIPITSSSSVLMIDPGTSKLIIATGGTTLVNISNNESGRGSNLTATLEDTGNFHLKDETDNRILWQSFDHPTNVLLPDMKLGSDLRTGQSWNLTSWMSNEKPDLGAFMLSWEPDGEKSQRLMIRRRGQPYWTSGDLDNQTFEFMSVNNPFSQFQYNLSYVYNDEERYFSFHGINGVQPMWILTPQGQIIDGDSSATWVPEFCYGYDSGNGCVADSNLPQCRNEDDMFSHLNGDFTPGMTNSSVDDNPDLINSDCMVRCWNDCSCLGFTTSSNETGCVIWTGAKSVNNFSVNPQGNSVQKYVLISPNPSKGNAKNRIWASIFAAIFLALFCIGLLRYLKKRKVRREEERRQKREDEYFLELMASDGFNDETVLQSNGRKGSELIVFSFASIAAATTDFASENKLGEGGFGPVYKGILRDKREVAIKRLSRTSGQGLVEFKNELILIAKLQHTNLVRVLGCCIHGEDKMLVYEYMPNKSLDFFIFDETRKALLDWPKRWNIIEGIAQGMLYLHKYSRMRVIHRDLKASNVLLDESMNPKIADFGMARIFKQNETEAMTRRVVGTYGYMSPEYAMEGTFSVKSDVFSFGVLTLEIVSGRRNTSFSYLDKTVNLIGYAWELWQQGDALDFEDPTLANTCVVPQLLRTIHVALLCVQENATDRPVMSDVISMLINDTMSLPTPKRPAFFIGTGASRSTSTKRMSDDHSVNNITITEMEAR >KVH91636 pep supercontig:CcrdV1:scaffold_160:417711:421616:-1 gene:Ccrd_006359 transcript:KVH91636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MDPIIIARLDRVGLPLTSKANCVSTIKVGDQLNDTSQLVSPGKIFTLGFFTIPATNNTYLGIWYTNVRRSRKVWVANPSIPINISSYGILMIDPDNGILIITTGGTTLVNISDNESGHGQNLTATLEDTAAPTTGKLSTVVKR >KVH91620 pep supercontig:CcrdV1:scaffold_160:8813:17232:-1 gene:Ccrd_006334 transcript:KVH91620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWELEPKPPTQEIWKIIAQIKTSLMIENRKKPSDSYQLRKMAHNSKGKKKGKPNKDTSKVLLMSRTTGFGAIPWLYDILLTVSSITSLYAILLVFFAFACSTACCFLTAIATTLAAVTPPFATFPPPSSSFLFFFSSSSFTFLLLLSSFFFNSRFSFAILASAARLAKNAIFGFRLGSSFGFDSCSGLCSTSGFCSTLWLASGFGCDSGSCCGSAALCSSLDCGSNAGTCCASCSGCGSTFGSDCGSRLCSAFDSTSGSNCDSCLCSISGFDSTSGSEGDLSSASDFSTSRSNSGSGLRSTSGSGSSFGSGSGSTSCSGSGSGFDSGSSFGSGSTSCSGSASGFGFDSTSCSGSGFDSTSCSGSGFDSGSGSGSGSGSGSGFDSACFDSSSGSDSGSDFAGFDSGSGSGSDFASFDCGSGSGSVATLLALPTLVFSFFAFVGLFVFTGLGIRGGDFATSFGFGKLDVLTFFTLGIGEGLRKFLSVVSGEGLRLITGEGLRRDGDGLRRDGEGLRRDGDGLRRDGEGLRTDGEGLRRDGDGDLSTDFRGELTLSAGVDLPFLVSAVVVVVVFLVVEGGGFAVFFCGAAVGIREEDVVFLSFAGPFDAICDGTRTITCIRNGINH >KVH91630 pep supercontig:CcrdV1:scaffold_160:96925:100366:-1 gene:Ccrd_006340 transcript:KVH91630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MASIPCSSNLTSPLSDFSKSNRNHQPSCLSLSSCSATTSVKSLLGSSNSMFFQHGFALKAGIVSGFSSISRSQFGVFATAATGKSIYDFTVKDIDRKDVPLSQYKGKGSKSLHSPAISLGSKNLVQMLRLKNLLVQGSKLNFQFLTRFVEVDVNGPFTAPVYQYLKSTSGGLLGDLVKWNFEKFLVDKSGKVVERYLPTTSPNEIEKDIRKLVAV >KVH91644 pep supercontig:CcrdV1:scaffold_160:363801:382793:-1 gene:Ccrd_006355 transcript:KVH91644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MKAAVLVITTFALEWLGVPAVGAMSSLSRELVFLILQFLDEEKFKETVHKNDRAKAVEILVKDLKVFSTFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLAFPVFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCASNNGGRAPPPTNSSLAGPVPKAGVFPTIGAHGPFQPVVSPSAGAIAGWMATANPSMPHTAVAAGPPGLVQPPVAAAFLKHPRTPPGAPGLEYQMADSEHLMKRVRVGPSDEVSFSGSTHPPNIYSPDDLPKTVVRALNQGSNAMCMDFHPQQQTILLVGTNVGEISIWEVGSRERMVHKPFKVWDISACSMPFQTTLVKDAAVSVNRCIWGPDGSILGVAFSKHIVQIYTYNPSGELRQHLEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKTIKVWDAVAGRRQYTFEGHEAPVYSVCPHYKEQIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGLWCTTMAYSADGTRLFSCGTSKEGEAHLVEWNESEGAIKREYSGFRKRSMGVVQFDTTRNRFLAAGDEFQIKFWDMDSINMLTVTDADDGLPARPRLRFNKEGSLLAVTTSDNGIKILANGDGLRMLRMLESRAYEGSRAFSDTVKPSIPGPLGPIPNASSSMASVVERDRMQTPLSIGNLANAESSKVVDIKPRIVDGADKIKSWKLPDIVDSSHLKPLRLPDPMAASKVMRLIYTNSGLALLALASSAIHKLWKWQRSERNPSGKSTASIVPQLWQPTNGALMSNDVSESKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKLTDLAKLQVMTTFMPPPPAATYLAFHPQDNNIVAIGMEDSTIQIYNVRVDEPLTAASTPLQQPNFDSIQQQLHNLQLMASQLGYQLNPATSSRPQAYFESRSFNNNRGGRAFDPVFFSVPETHWHLNVKIKLKGHQKQITGLAFSQTLNALVSSGADAQANNILEKIYSIHVFCSYSACLYCFLLCIWNINGWEKRKSRSIQSPPGHPSSLVGETKVQFHNDQRHVLVVHESQIAIYDYQLECLRLWSPRESLSAPISSAIYSCDGLLLFTGFSDGAVGVFDADSLRLRCRIAPSAYISSSISSSNSTAYPVVIAAHPSDSNQFALGMSDGSVHVIEPADADPKWGGSAPQENGTLASSNPSNSAMNSQPSETPGQVWGTGRLEPWLGLCLMSHHTPLYPCCVSTVAPMTRPAPSPAPLPAQASGVTCDFWRRGHFMRLRTHR >KVH91642 pep supercontig:CcrdV1:scaffold_160:405964:409919:-1 gene:Ccrd_006357 transcript:KVH91642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MGSLSSSSSSSQFHVAVVPQITTFLFFFIFFFPNGASSATITVVNDCGFTVWPGISGNPAFNTTGFELTGGNSHSFQTPETWSGRVWGRTGCTFNGSSNGSCATGDCGTGEVECNGRSYKGPVTIAEFSISQFQDQGFYDVSLVDGYNLQMIVEATGGSGSGLRSCAKTGCVDDLNRRCPGELRLADGGGCMSACQAFDSPEYCCNSQFGTPSTCKPTAYAQLFKSACPRSYSTPFDDQTSTFLCRHADYTVRFCPSADAFSTIKLGGQLNSTDQLVSIRGNFTLGFFDEDFSYLGIWYTDDVQSRKVWVANPNAPITSASGAHALSIDPNTGNLIITAGGRTLMSITDVQAGPNPNLTATLEDTGNFRLINQIDQRVLWQSFEHPTNVLLPGMKLGSSMTTGQNWSLTSWLSNEILDPGAFTLSWEPIEEASQRLMIRRRGQPYWTSGNLNDQTFQYIYALNGRGSQSHYNLTSVYNNEERYFSYEGSNAVLPMWILTPKGQITDSDNSTAWTPEFCYGYDSDNGCVESSLPSCRRETDNFSVKNGEFDPNMARSVIDDNSSISISDCFVKCWNSCSCVGFNSSTVNGTGCTIWTGSNSFSVNPRDNSTSKYVINQNPINPSTGNKTKKNMNWIWVLIGVAIPILFLCLGILWCIKKRKHRREEYERRKRDEYFLELTASESFKDGKLSDGREIAIKRLSRTSGQGLVEFKNELVLIAKLQHTNLVRVLGCCIHQDEKMLIYEYMPNKSLDFFLFDENRKAELDWSKRFNIIEGIAQGLLYLHKYSRMRVIHRDLKANNILLDESMNPKISDFGMARIFKQNETEAMTNRVVGTYGYMSPEYAMEGTFSIKSDIFSFGVLILEIVSGRRNSSFVHLDRTFNLIGYAWELWQQGDALLLKDPTLGDTCIVQQFLRTVHVALLCVQESAMDRPTTSDMISMLLNDTITLPTVNRPAFITNRAELKSSSDESKTKDCSINNMTITIMEGR >KVH91622 pep supercontig:CcrdV1:scaffold_160:23255:24066:-1 gene:Ccrd_006336 transcript:KVH91622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTQLYQTLLDVTTLKLLEWVGKKEM >KVH91634 pep supercontig:CcrdV1:scaffold_160:224779:232345:-1 gene:Ccrd_006348 transcript:KVH91634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT3G16630) UniProtKB/TrEMBL;Acc:A0A178VP70] MGGQMQQRNAAATALYDQPADGGSHQNAGPASDAGDAVMARWLQSAGLQHLASPLASNGIDQRHLPNLLMQGYGAQSAEEKQRLFKFMRNLNFNGESGSEPFSPTAQGSGGIGASDGFYSPDFRGDFGAGLLDLHAMDDTELLTENVMSEPFEQSPFIAAAAAKAVENDHDVLRSRQQRGQTDVDASYGLPTLEKESNSRENNVAKIKVVVRKRPLNKKENSRKEDDIVSVCENGLTVHEPKLKVDLTAYVEKHEFCFDAVLDQQVSNDEVYRETVQPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRLLHQPTYRNQKFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVLDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLVVKKHNEVKDTRRNNNDGNESKGGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQLHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGSSKKDQVGSSQPTTKDSSSANMQVPGDTDDIYERYQEAKVIDTGKRGAQRENISYNATADFEKQRPPSSNYSFTSRDDSVQDKEKVDAKNTFSGSSNQKVNSTPSSVDTEEMVQKVSPPRRKNYREEKSEKVSNWQKKDSGDEKSDKGGWPKRDGSNIDLPTIGNKHQGSSIPSSGYMASKQSEPEQPHDENINEILEEMKLLAEVDKPGSLIDNYVTQLSFVLSRKAASLVSLQARLARFQHRLKEQEILSRKRVPR >KVH91643 pep supercontig:CcrdV1:scaffold_160:346206:362527:-1 gene:Ccrd_006354 transcript:KVH91643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEPEDRFELLFPFENGAGDVASHEFEHLRLQLETIKSATSNFAAENCIGNGGFGKVYKGELDIHSKGQSVVAFKRLDRVFGQGNP >KVH91640 pep supercontig:CcrdV1:scaffold_160:300082:303246:1 gene:Ccrd_006351 transcript:KVH91640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MDATKSVSDVVTVDVHEAKDLLNSGHRYLDVRTNEEFNKSHVDNALNIPYMFITQEGRVKNPEFLAQVSVLCGKEDLLVVGCNSGGRSLKASADLLTAGYKNVKNMAGGYSAWVDNGFVGNSAPAEELKTACKFRR >KVH91633 pep supercontig:CcrdV1:scaffold_160:236281:246786:-1 gene:Ccrd_006349 transcript:KVH91633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGSSEMDKSIKEAKDTTKEPKTTNSQEQASGAATGTVNPDWTGFQPRWYVNLEQPYPPMPPHGFLASSPQAHPYMWGVQHLMPPYGTPPHPYVAMYPHGGIYTHPSMPPGSYPFNPYAMPPNGVTEASGNTPGNVEVDGKSSDGKEKLPIKRSKGSLGSLNMITGKNNEPSKAGASANGVYPKSAESGSDGSSEGSDGNSENDSQMKSGSRQDSIEVTGEASQNGNSAHGSQNGGPNAPHSVVNQTMPVMPVSPSGGPGSIPGPTTNLNIGMDYWSGTNSSNIPAIRGKVTSAPVAGGMVTAGSRDSMQSQLWLQQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENSSLRAEVSRIRSEYDQLLAQNASLKERLGETPGREEVTSSGIEQQKGPKGHQDGQTEQLVQSRE >KVH91626 pep supercontig:CcrdV1:scaffold_160:194263:196922:-1 gene:Ccrd_006344 transcript:KVH91626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein GGSVHKVDIFDFFNWNAKVSDVGLSRISPANQPHTIVISNGVGTPGYLDPLYLEMGLLTKESNVYSFGVVLFEVLCGRLCFEFIDSQWRTLVRLWKNSYKEKKLDEIIFQDMLQQMDPSSLETFSDIAYLCLHESLEGRPMTAHIVKELEIALESQETYEKPVDSEEPLAYEEMIKTLIPPLIYTSKEELNMLLSKGILFNGHWFSLNKKGEHIEMISAAESIRNLPLHDKLSSTYNSSESTCLYLEYTLEGEKDRSTSYVAYPREDGWLTAELYRFTSYRRKFDLKMQFGCHHTSDTLVVEGIEFRPLEKVIATSVEHEALEDEKVDMQPISDSDIYWEQKLPNDYEEIITSSKDAVQWTTKKELYFLLREGFLINNGGEWFSLAKNGKKCYMLPARAALVDSEWAWQSRPESRFGEVAFNPLKEIRITYENKFKTWSPQTKYASYLVYKLLENHSKFEAPVKVRDNRSPTVFWMIYLLCRQTPVIRPKVDQNTNSPLNIPTMRDLPQQRIDGWMEVKVWDFRTHYDWRHVDLTVYFPSSLDGLIVQGIQFRPY >KVH91637 pep supercontig:CcrdV1:scaffold_160:304894:326418:-1 gene:Ccrd_006352 transcript:KVH91637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MFTGKKSEPCDEVSRTNSASKMVVRGSTRMNSDINVAKWGKFSTTGSDALALTLHSLSSDFYYNSDESPVPLKVYDPSLAHGKSVRRSPRFTMGESIITTKGASKNKSVLGERVEGSSSGRSSRLSSSTALIVGTLDRQRSPKSKALPRRRLRRSPRLSPVTSHAENAGSNKLKRLPQKCLRRSPRLSPIPSCPQIEWEGLHTRVKGERTTDGPKLKRIKSCVEELNSNVVTEKCTKKSSARVQHGNGNCEKLAVPALSSAETVKDDFIPNGDTARPCGLEFHVRKKEDSEVKSTSIRTHDKKAASVGNIDFGYNKAPPVRCKTSVSSTKQQSSTKKQRKLKRASFFVGEPVPEDEAQERWHWRYELKFFAVSKIRVTSEMGFEEEVNGVFQRKLGAETDLNKGKTGQRRKGQSWILNAGEEDELHLNVMCHYLQANVDGCIYRLGDCARIKLHGENNNYKDKDEHRTYTSESASKNRARAQLLIHGEGKREHVGRIVEFFKTSDDENYFRVQWFFRAEDTVMKQAAAFHEKKRLFSSTLMNDNLLDCILSKVKITEKAPALGLQSAIQPSEYYCDMEYSVKYSTFRSLATATNSLVARCDLTLPSSLDANNVTITTTPLELSSCEPYKAELALLDLYAGCGGMSTGLCLGAKISGVKLVTRWAIDYHKSACDSFKQNHPETQVRHITAEDFLELLKEWEKLCKLYVLNDTDRGLETSSNRTKKSIIRESSLLDAEVAPGEYEVSSLVDICYGDPSSTGKHGLKFKVRWKGYSPSEDTWELIQDLSDCQGHIKDFVRSGYMSKILPRPGEVDVICGGPPCQGISGYNRFRNTDDPLTDERNQQIIVFFDIVNFLKPKYALMENVADILRYDKASLGRYAISRLVHMNYQSRLGIMAAGSYGLPQFRLRVFLWGALSSETLPQFPLPTHEVIVRYFPSAEFEQNTVAYDEGQPRELKEATVLRDAISDLPAVTSHEDREEMAYDMPPETEFQKYIRLTKDEINGSTLKGVTDWRSSVLTDHRPYKLSEDDFHRVCHVPRRKGANFRDFPGLVVGADNLVRRDPTKEPVLLPSGRPLVPDYVFTFEKGKSKRPFSRIWWDENVPTVVTFPNLHSQRAIHPEQDRVLTIREYARLQGFPDHYRFCGTVKERYCQVGNAVAVFVSKTLGYALGMAFQKLSGDEALMTLPPDFAFQVPPLDQFSAQL >KVH91625 pep supercontig:CcrdV1:scaffold_160:197742:208863:-1 gene:Ccrd_006345 transcript:KVH91625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAIFFNEFKHLVIQLEEIKSATDNFGDNNLIGRGGFGKVYKGTISHSKGTSMAAFKRLDSKYGQGNSEFWKEITMLSRYTHENLVSLLGYCDEGGEKILVYEYASRGSLDRHLSDTALTWMQRLRICLGAARGLSYLHDPKGTQQRVLHRDIKSSNILLDENWNAKVSDVGLSRISPANQPHTIVISNMVGTLGYLDPLYLEMGLLTKESDVYSFGVVLFEVLCGRLCFEFIDSRYQTLVHTWKDSYKEKKLDEIIFQDMLQQMDQSSLETFSDIAYLCLHESLEGRPMTAHVVNELEIALERQVTYEQQRDHEDMNRDQTCFSGRSEVPPLIYIPKEEPEMLLSKGKQGAESCYGD >KVH91621 pep supercontig:CcrdV1:scaffold_160:12446:20698:1 gene:Ccrd_006335 transcript:KVH91621 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein TVYVSASSICHLPLFLLPSLFPFEQRDSSSFPFSDSSNEGSNHTIMSRLIHSRLCPSRFFNTLGSIRRGRYLSTESNKIDEPLKVEEAEPVNAPPPPAEKLLVLGGNGFVGSHICKEALERGLSVASLSRSGKSSIQEPWASKVSWHQGDLLSGDSWKEALGGVTSVISCVGGFGSNSHMYKRFVYISASDFGVVNYLLQGYYDGKRATETELLTRFPYGHVILRPGFIYGTRRVGSMKLPLGVIGSPLEMVMQYAKPLNQVPIVGPLLTPPVDVKAVAKVAVRGATDPVFPPGIVDVYGLRRYSKQKTF >KVH91639 pep supercontig:CcrdV1:scaffold_160:257450:264965:1 gene:Ccrd_006350 transcript:KVH91639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin C/H/T/L MAALLSSDPMHSGMHEGGPYKQSDNKPEENGGRWYFSRKEIEENSPSRSDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTVATVCMFLAGKVEETPRPLKDVILVSYEINHKKDPEAVQRIKQKEVYEQQKELILTGERVVLATLAFDFNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFNVTPRQLEELYEQNRMPPSQTSEVLDGSTGGGVTQKARPPAVNEEHGANDSDSHVGATSISGTSNPASSRPTPDQLYQDNGGGHPRTSQDHSNDDGSTDRNKDIISDVENNDDQHCESENGDGKEGETNSMCGPKRHEVEDQEFRGQNVDYRSQPSPKDAIRKIDKDKVRAALEKRRKARGGDVSRRTEFMDEDDLIERELEDGVELAAESEKSKQERKQSWLKPSNLDDKEVEDFEAVEEGEVGMVGEGGGRQRSPLKSGGRKRKTSGSPPLEKRRRN >KVH91638 pep supercontig:CcrdV1:scaffold_160:331699:346190:-1 gene:Ccrd_006353 transcript:KVH91638 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MMLSRYRHNNIVSLLGFCDENDEKILVYEYASKRSLDLYLRNDDLTWIQRLKICIGAAHGLAYLHNPVGTQFRVLHRDIKSSNILLDVNWNAMISDFGLSKFGPANQQFTFLVSSPVGTIGYCDPLYVETGFLTKESDVYSFGVVLFEVLCGRLCVSNNNDKRQPLTRLARECYEQNRINDIIYGSIKDEINPNSLKVFTTIAYQCLNRDREERPLMTEIVKVLETALDYQANKESWKLCDTADSSSPEVSLLKQTHPFNMMYIEDQAQAGEWDEVERYLRGFTRVEDNPYSTKIFFEIRKQKYLEALDRQVLQNEQLSMYGDTKMARVTTLFELKKLIKANPVFKGKLAYPIIKASRPLQRLNVSTNFARICERVEATSVRSDQEPDFFFDMKYFEDQVEAGAWDEVERYLCRFTEVSEKNPSSMQIFFEIRNQKYFEALYRQVLWSMYDRVKAVEILVEELKVVSTFNKELFEDITHLITFENHSPVAGEGEPERENRSGSRCRPVAVAVAGEGESGREKDDAILLSFVGHHISTNHHRSQSVVLWSFYFCFCSTIKAMSHIKEFEHLKVQLEAILLATNNLAVENFIGKGGFGKVYKGEIDVHSKGKSMVAFKRLDRAFGQGNPEFWKEIMMLSRCRHENIVSLLGFCDEGDEKILIYEYASKRSLDLYLNRDDLSWLQRLKICFGAACGLAYLHNPIEDQLRVLHRDIKSSNILLDENWNAMISDFGLSKLGPINQEFTFLISQTVGTVGYCDPLYAEMGFLSKESDVYSFGVVLFEVLCGRLCYRNNNDSDKLLAGLAKECYEQNRVNDIIYGNIKDDIDPSSLKVFTTIAYRCLKRDHKERPLMTEIVKALETALHYQANKESWEFSDTVDFFHPEASMLGQTDFFNRMYFECQVQAGEWDEAERYLGGFTKVFDNRYSFKMFFDLRKQKYLEALDRQVLYYIFMQHEKLPEYGDTKHARSNILLELKGLIGINPLLKEKPQSPLVRASQARQRALDHEMAFFFNMKYFEDQVQAGKWDEVERYLCRFTEVAENPWNDLSKAVEILVRDLKAVSTFNKELFKEITQLITLDDFRLNKDIKSARSIMLVEVTKLIEANPLLRDIDMFHGLWAEN >KVH91632 pep supercontig:CcrdV1:scaffold_160:164258:171423:-1 gene:Ccrd_006342 transcript:KVH91632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclase MVLLNAAVAILFTTLITFSAAAASFVADDAYPSPHIASMTSCDLDDLSPIRREEYDEGRIIDITHRYRSDMPSWESDDGLGQFLWLPGSMKNGSLANNSEMKLPAHTGTHVDAPGHVYDHYFDAGFDVDTLDLHVLNENMMARKMSPLLTFLMLVLPSMVLSSQDSAYPPSYGADKDSCGGSSCKLIPPRREVYGNGKIYDITHTITMKNPSGLSNEAFDPYLQLFASMKNGSDYNFSLIKLPAHSGTHVDAPGHFYENYYEAGFDVDSLDLEVLNDLLKTSKLSSLENMMARKMSPQLILLILVLPCMVRSSQDSAYPPPYGVDKDSCGGSAGLIPPRREVYGNGRIYDITHRITSETRSWDSDEGIGLYLTLYASMKNGSDCNFSLIKLPAHSGTHVDAPGHVFENYYEAGFDIDSLDLEILNGPALLVDVPRDKNITAEVMKSLNIPRGVKRVLFRTLNTDRRLMWQKPFDTSYVGFMKDGAQWLKDNTDIKLVGTDYLSVAAFDDLIPAHLVFLESREIILVEGLKLEDVKAGIYNVHCLPLRLLGAEGSPIRCILIK >KVH91618 pep supercontig:CcrdV1:scaffold_160:57079:58430:-1 gene:Ccrd_006338 transcript:KVH91618 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MQDHELLVNNLNKRKFVECEEGNTSGRTETLHQGSLKRPNEGVTRVVKDGYQWRKYGQKVTRDNPSPRAYYKCSFAPSCTVKKKVQKSVDDAHLLVVIYEGVHNHESMEKEPDDTSNRRLNSPMEMLKYDEALVEQMANSLRRNPDFIEDLADAISSNILEYDLF >KVH91629 pep supercontig:CcrdV1:scaffold_160:131671:145032:-1 gene:Ccrd_006341 transcript:KVH91629 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFLESFQGDNAPGLKAFVRGGTVNPDDKVKSDTGGNSKDVSGSKKGSRYVPSFIPPPMATKGKEHEKQRDEERPKEKGKGKPRNIDYFMEELKHEQEMREKRNQEREHWQDGRQSATSNLSSRFDELPDDFDPSGKPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERKRQKNCGFVAFMNRADGQAAKDEMQGVVVYDYELNIGWGKSVSLPSQSLPAPPPGQMAIRSKEGATVILSGPSGPPVTSVPSQNSELVLTPNVPDITVGLLEDVHLRKVIDTMALYVLDGGCAFEQAIMERVRGNPLFSFLFELGSKEHTYYVWRLYSFAQGDTLHRWRTEPFIMITGSGRWVPPALPTAKSPEYEETGATFAAGRSRRVESERTLTDAQRDEFEDMLRALTLERVQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASSYRTKFEAALPDILESFNDLYRGITGRITAEALKERVLKVLQVWADWFLFSDAYVNGLRATFLRSGNSGVVQFHSISGDAPETENKAIYDDTGDVGKINPDAALAIGKNAAMKELMNLPLAELERRCRHNGLSLVGGREVMVARLLYLEESEKQRGYEPNDNLKYSQNHPSSGGYVRNPRESNVDTGPVGFSGRHHQVEDDILSESKSSASIAPMIPIPQPELKAFPNKAKTDSVLPASKWAREDDESDDEQKRSARDLGLGYSSSGSENAGGGHSNTEATEAASILTSSDGGLSEEKRQKLRQIEIALMEYREVLEERGIKNREEIEKKVAAHQKQLQYKYGLVDSSEDAPNNRTPSTERRDKRDDSRESSRKRHRSHRSGESPPPKSSSRDREKDRNCDPQSEREKERDHDRDKRRERDRDSRRRTTN >KVH91628 pep supercontig:CcrdV1:scaffold_160:213035:219271:-1 gene:Ccrd_006346 transcript:KVH91628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MGALTSNRNKRAAEFQPSPPSGSGYNLLYVAKKLKPSPSSSSCKAPTPTKTSRSSVSRLSLYPQQVTPIAREIHAPCRVVSGFNGNLKRGNNSPSIINRQNSDFPGDGMDKDLFSKYTLAKESAIGSCRHVVFEEEMDVVEVIDVDNQGTVKDDKAASGSSSIEEVEMVEDEKEDIHRSGEAQEIAAKYRELDQKAPSTSSEVVSELTNGKMLELLSLNRESKVFDVDRGLPVHKKLHQESAEKRDPRLRRLSFSIELYETKRALLMQSHPAKKKEDVTEAPFMPLTEDEEEMVDNALSNSYRRKILVTHEKSNITITGEVLQCLRPRAWLNDEVINVYLELLKERENREPKKFLKCHFFNTFFYKKLASGRTGYDYKSVRRWTTQKKLGYGLLECDKIFVPIHKEIHWCLAVINKKEGKFQYLDSLRGADKKVLRVLAKYVTDEVKDKTGKDIDVTSWDQEFVTDLPNQENGFDCGMFMIKYADFYSRDIGLCFNQEHMPYFRLRTAKEILRLKAD >KVH91641 pep supercontig:CcrdV1:scaffold_160:400401:403737:1 gene:Ccrd_006356 transcript:KVH91641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MDYLKSSSSQFLVAVSFLFFFFIGASGATFNVVNDCGFTVWPRILSRTNASIITGFELTNGTSRSFQAPANWRGTIWGRTGCTFDGSGRVSCATGDCDEMDCNRKTTTSPATLAEFNISQNQGLDSYDVTIGNGYNLQMVVEPTGGSGSCAKTGCVDDLTRRCPAELTVEGGGGCKSACQAFGSPEDCCASSLTCKPTAYAQLFKSACPRSYATAFDDRSSIFTCKDANYTVRFCPRADAFSTIKLGGQLRSTDQLVSVRGNFTLGFFDADYRYLGIWYTNDARTRKIWVANRNAPIMSTFGTHALSIDRNTGNMVVTDESGTTLMSITDVHAGPNPNLTATLDDDGNLLLVNEIYKRVLWQSSSLDNSIHQKKEETRRKRDECFLELTASKSFKDIYQLESNDGKGSDLLLFSLASIMAATSDFSTEYKLGEGQGLVEFKNELVLLARLQHTNLVRVLGCCIHGEEKMLIYEYMPNKSLDFFLFDENRRAELNWPRRFTVIEGIAQGLLYLHKYSRMRVIHRDLKASNILLDESLNPKISDFGLAKIFEPNETETTTKRVIGTFGYMSPEYAMKGTFSVKSDVFSFGVLILEIVSGRNNGSFMHHDRELNLIEYVWELWQQGNVLELKDSALGTTCVVQQFLRTVHVALLCVQESAADRPTTSDVISMLLNNTITLPPPNRPPFLSDGVYLKSDSDKSKAIDCSINKLTITVMDGR >KVH91623 pep supercontig:CcrdV1:scaffold_160:32401:34990:-1 gene:Ccrd_006337 transcript:KVH91623 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MVDTSLGIDLNVTPFHKTDDTPMNDDLTRMSLENKKLREKLTTVWDKNNTLQNQVNKLMQDHDLLVNNLNKRKFVECEEGSTSGRTENLHRGSLKRTNGGVTRVYRRTDDPSDKSMVVKDGYQWRKYGQKVTRDNPSPRAYYKCSFAPSCPVKKKVQRSVDDAHLLVVTYEGEHNHQSMEKEPNQTSNRRLNSPMEMLKYDEVLVEQMANSLRRNSDFIEDLADAISSNILEYDFLCDSRLDEEGDWAIIVSDLGGGGGEQGRRRWRRAGQAEAEARSAGGGGGQHGNSRSRAV >KVH91624 pep supercontig:CcrdV1:scaffold_160:3481:6704:1 gene:Ccrd_006333 transcript:KVH91624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MENDNGKDIEVKVLSSLWPEDIDATGKELSVGTPGVDQDFLKDVTFIKEPTVVDVQRLIELTNYSEKGSSQLSHLVKSWEKKQEKTVRLLREELENLSKQQEVELKKLKILEEHPDEDIIGKTDLEIFKGGGVKESRDFKKEVLERGLPGKKEITFETELFGAKTILIYVEPVFSKAGETIGVNYMGMDITDQVRKREKMAKLREEIAVQKTTETELDKTIHITGLATFFTCTVAPIDHILGEETERAEKLLATMSQEIRSPLSEIVSMAEILSTTKLDSDQKQLLSVVLSSGDLVLQHINDILDLLNVESGVMKLEATKFRPREMITHVLQTAAASLRKMLILEGNVADDVPIEVIGDVHRIQQVLTNLIRDHQEPKIDTEDLHDHEENESQSHETALWICCDVQDTGIGIPETALPLIFKKNTKDSGTALSLAICKQVVELMGGSVTVSSKEHCGSTFTFVLPLKVPLACESLDEADEFRDTNGNASTEDDETKRVLRSWKDPVFELE >KVH91619 pep supercontig:CcrdV1:scaffold_160:70309:72032:-1 gene:Ccrd_006339 transcript:KVH91619 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MVLDTSRAIDLNVTPFHKTDDTPMSLENKKLKEMLTIVWDKNTTLQNHVNKLMQDNEVLLNNPHKRKFGQCAEGCASGSTENLHDHGSLKRPNEGITRVYRRIDDLSDKSMVVKDGYQWRKYGQKVTRDNPSPRAYYKCSFAPSCPVKKKVQRSVDNDHLLVVIYEGEHNHESMEKEPEIQTSSISRLNSPMEMLKYDQVLVEQMANSLRRKPDFIEDLADAISSNILEYELF >KVH91616 pep supercontig:CcrdV1:scaffold_160:428993:430002:-1 gene:Ccrd_006361 transcript:KVH91616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MGKSWKLTSWLSDDIPDLGAFTLSWEPEGENSQKLMIQQRGKPYWTSGDLINQTTEFPLQWSNRDVGNQTFEFLDVNSPISLYQYNLSYVYNNEERYFSFHSFNGGHPMWILTPQGQFVNGGDSYINWSPNFCYGYDSGNGCVAGSNLPQCRSENDRFDLRNGDFALDIKNSYDFNSSLSISDCMVRCWNDCSCLGFITSNINNGTGCAIWTGTKSTDSFSINIQGTSLSKYVLVSSSPSKGKESYFHFKVMNSIVEERQKRDDE >KVH91631 pep supercontig:CcrdV1:scaffold_160:178880:188205:-1 gene:Ccrd_006343 transcript:KVH91631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFFSEFKHLVIQLEEIKSATDNFGDNNLIGRGGFGKVYKGTISHYKGKSMAAFKRLDSTLGQGNSEFWKEIMMLSRYTHENLVSLLGYCDEGGEKILVYEYASRGSLDRHLSDPALTWMQRLRICLGAARGLSYLHDPKGTQQRVLHRDIKSSNILLDKNWNAKVSDLGLSRISPANQQHTIVISNMVGTLGYLDPLYLRMGLLTKESDVYSFGVVLFEVLCGRLCYEFSNSRYQTLVHTWKDSYKEKKLEEIIFQDMLQQMDPSSLETFSDIAYLCLHESLEGRPMTAHVVKELEIALERQVTYEQQIDYEDMNRDQNSFSGRTEVPIYIPKEEPKMLLSKGNLLDVSKRFKHLVIQLEEIKSATNNFGDDNLIGRGGFGKVYKGTISHYKGKSMAAFKRLDSTLGQGNSEFWKEITMLSRYTHENLVSLLGYCDEGGEKILVYEYASRGSLDRHLSDPALTWMQRLRICLGAARGLSYLHDPKGTQQRVLHRDIKSSNILLDENWNAKVSDLGLSRISPANQPHTIVISNMVGTLGYLDPMYLEMGLLTKESDVYSFGVVLFEVLCGRLCFEFIDSRCRTLVRLWKNSYKEKKLDEIIFQDMLQQMNPTSLVTFSNIAYLCLHESLEGRPMMVHVVKELEIALERQVTYQQQIDYEDMNKDQTCFSETTEVPPLIYIPKEEPEMLLSKGNLLDVGKWEEMGRPLPKFGVWDPDHPASAEGFTVIFNKARAEKKARDEKKTRGESESSTNADPVQAASNNVNDPTPPKGCFTVLFHKMKKVANQSSQ >KVH91627 pep supercontig:CcrdV1:scaffold_160:221004:224899:1 gene:Ccrd_006347 transcript:KVH91627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MYEYASRGSLDRHLSDATLMWMQRLRICLASARGLSYLHDPKGTQHRVLHCDIKSLTSYGMRIGMLSTLHYNPH >KVI07968 pep supercontig:CcrdV1:scaffold_1600:11028:17992:-1 gene:Ccrd_013664 transcript:KVI07968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease V MAMEVEFEPPHEMIQSPTPPTLIEIAQTTSLSSSPPSQIPTEIVSDEEMSLIESAFSLAFKARPSLSSSSTSVHFHRNSSSITLHSKRSFSNYSSSYCVGDIEDGIGIRSAQKKRVGESLLYRFRRKTGLFVTDITSTQKEFFLVCGKPKATKAMKAGSARHAVLEEEVITRVEVVIRSAEEHWALKMINFIHGTNQLLMDGLTRELPLCAPTLTLNDVLRRYEYVCSMLPKTRDQLLLRYEYQKDQSLISENQFLYKSNWVTDQIRSSLEFWKGDREASYTPQDERWKCNHCKYASRCPVQNPVSEEA >KVI07969 pep supercontig:CcrdV1:scaffold_1600:28632:31206:-1 gene:Ccrd_013665 transcript:KVI07969 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MMSFVTAIVTYNMVKFQSGFSRILYACLDLLFSIAVVESCMMVVASLVPNFMMGIIVGAGFIGIMMMTAGFFRLLPDLPKLFWRYPVSYINSMSWALQGAYKNDMIGMVFDGPYEGGEPKVAGEFILTTMLGISLQHSKWWDLGVVVAILICYRLLFFAILKFKERATPLFRKLYALQHLNNRPSFRKTSSFPSKRHQPVCSLSSQEGLNSPLH >KVI07970 pep supercontig:CcrdV1:scaffold_1600:44939:51737:-1 gene:Ccrd_013666 transcript:KVI07970 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MGLQDCAENVIGNWHSRGISGGEKKRLCIALEILAKPTLLFLDEPTSGLDSAAAFFVIQALRTMARDANRTIVSSIHQPSSEFFSDAGIPCPSRRNPSDHFLRCINSDFDRVNAALQGSQRFQDKRTISTSHALYSTTAEIKAMLVHKYRCSEYATAARTRIRGISSSIEGITIETQCGSRASWWKQLTTLTKRSFVNMSRDVGYYWLRIVVYLVVSLCVGIVFFNIGTSYRATFARGACGGFISGFMIFMSIGGFPSFIEEMKNIYRILM >KVI07971 pep supercontig:CcrdV1:scaffold_1600:76168:77458:-1 gene:Ccrd_013667 transcript:KVI07971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVVKIEARRKQEEEPAEVTKFVEDGCIENHLHNGSHSGGRGYGEVYLVWEDLTVVLPNSSTKSNEKPTKRILNGVTGFAQPSRIMAIMGPSGSGKSTLLDSLVDL >KVI07967 pep supercontig:CcrdV1:scaffold_1600:3115:5486:-1 gene:Ccrd_013663 transcript:KVI07967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type HFVLPTQGVIGSPCCDGVCIPSVPSEFQPVVGSIFASLEDGIEMYRRYADIAGFDIRLSTQKIRKGDKQRNRQRNEDHKTNTTTPTFKTNTLIEYHAAKVYTRAIFFKVQKEIFKGYRCCSQWQVNSEMGFTVYTIRERKKHCSTKLEFKVAQSHSDDSIYCDCRHFEYYGTLCRHVFTVLFNLDFDEIPQQYILKRWMRGVIPVDVLRSRHISIGSDSRVDKLSNDVYLEVGQCLMNFKSDEDKITAFLDNIRSWKSNMLIGVSEKLDLPIKMM >KVI01673 pep supercontig:CcrdV1:scaffold_1602:61982:62589:1 gene:Ccrd_020047 transcript:KVI01673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRSEFNSDVFLATNELVLRVQPDETIYFKINNKISGLGTRLNRNDLNLLYNTRYSREISDAYEWLLLDAIGGERRLFIRSNELDAASSIFMPLLKELEAKKIAPDLYLYGSRGSVRAHY >KVI01674 pep supercontig:CcrdV1:scaffold_1602:73479:74453:1 gene:Ccrd_020048 transcript:KVI01674 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MKRLLSACKEITVPSISMSFPGRINMESFLPRNRKDKVVIVMGATGTGKSRLSIDLATKFPAEIINSDKMQVYKGLDIATNKVTDDECHGIAHHLLGFVDPNVDFTADDFRHEASLVVESIVANDRIPIIVGGSNSFIKALVHNNIEFQSRYCCCFLWVHVSLPVLQSFVSKRVDRMVQSGLVDEIQRFYNPKLTNSKIGLRRAIGVPELDHFFKNENSENLKEAINKIKANTCKLASRQLQNILSLQTQMEWDLHHLDATEVFLKHGFEADEAWERLVARPSGLIVRDFLYEERDFPKIMNTNSVITPPSMTTAVNAIAAVAR >KVH99683 pep supercontig:CcrdV1:scaffold_1604:3148:10080:-1 gene:Ccrd_022086 transcript:KVH99683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argininosuccinate lyase KISKLRGEWILYFLLETHLIISLHQSPPPPPPHNESMVFASSPYSSSYSSALCIQDPNLSSTIDHRLIFSISFNRLTTSHNKRHTLALCCAASQPESMAKEAKLWGGRFEEGVTDAVESFTESISFDKALYKHDIMGSRAHASMLANQGLISFSDKDSILEGLDQIEKQIERGEFVWRTDREDVHMNIEAALTDLIGEPAKKLHTARSRNDQVSTDFRLWCRDAIDTIAARIKNLQVALVSLAKKNTGVIVPGYTHLQRAQPVLLQHHLLAYVEQLDRDVGRLLDCRVRLNFCPLGACALAGTGLPIDRFMTSDALGFTAPMRNSMDAVSDRDFVLELLSANSITAMHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVLGDLVTLQVLCKGLPLAYNRDLQEDKEPAFDSVKTIIGMLEVSSEFAQNITYNQDRIQKALPAGHLDATTLADYLVHKAKYIMQGIPFRTSHDIVGRAVALCVYKNCQLLDLTLDELQSLNTVFETDVYDYLGVENSIKKFSSYGSTGSECVAAQLDFWITRLNINK >KVH99682 pep supercontig:CcrdV1:scaffold_1604:42921:46773:-1 gene:Ccrd_022083 transcript:KVH99682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEITAIEGASDQSLWQGFKDFWSDRFRILDNYRPYIRRQNPLPPWSASDVEEFIASDPVHGPVLKTTRDAVKFLAVGGIVGAVSTASFAWKYSKSPHGAVLSLGAGAVVGMTFGQEIANHSLQLYRLDTMAAQVKFMEWWQKKAGGRS >KVH99680 pep supercontig:CcrdV1:scaffold_1604:73752:76641:-1 gene:Ccrd_022081 transcript:KVH99680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNESSLLDPDFSDFSPEEEFGGMWHVHRHNSNFGRLHRRHPIAPTPVVASDPQVVMFSGKKRSISTGILLINYMDEMEDDSCEMV >KVH99677 pep supercontig:CcrdV1:scaffold_1604:17656:21839:-1 gene:Ccrd_022085 transcript:KVH99677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein YKASTVLDLLGEVYRFQDVQDVKIDWNALVKQTKTGITNPREYQMLWRHLAYCDPLIDDDLEYELEAFPTVSNEASAEAAACVKVLIDSGSSSDSCLEKGLTIEAPLTINIPNNKLENPQLGSSSYGINITIPVSVPKQTLPTVSSAEGLDTNGYANSNLPPRRKRKPWSADEDKELFAAVQRCGEGNWANILKGDFKGDRTASQLSQQLNHQNGLTTFGGSNLSVQPTVAEPSSAGALPQNQSQPDSKRAIPRSQPFPTRPSAKPLTSGPDAVKAAAVAAGARIATQSAAAAILKAQLKSAIHIKTTTSGNTRPSPATHMGSDYFRDRYSSMAANAPRANLGSSHANPNVGLAVQQVNPGGSGPDVNIASETSDGTEVKPSGSASSMVEPVQEDQVAVCGGPVVVQDNLGLKDEDLEEQPPPVSGNPPNSNLESE >KVH99679 pep supercontig:CcrdV1:scaffold_1604:82527:85442:1 gene:Ccrd_022080 transcript:KVH99679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MADCLLSSLLCSEDNGSICYDDDDDRYNVEDGVWGYRNHRNINKNELFIKNLETEHPVLDFPLQSDDCLALLIEKECEQFVGFDYLKKLRKGNLDFVAREQAVDWIRKVHAHFNFGPLCAYLSINYLDRFLAVYEFPKDKAWMMQLLAVACLSLASKMEETEVPLILDLQVCEARFVFEAKTIQKMELLVLTTLKWRMQTVTPFSFIDAFIGKLDCDQPISRSLILRSTQLILCLINGIDFLEFRPSEIAAGVAISVVGQPQISALLKHVQKERVLKCVEMVNVLNGGCTKSIKSGTLPQSPIGVLEAASLSYNKSDDSTTSKRRRLNNRTPSQLVL >KVH99678 pep supercontig:CcrdV1:scaffold_1604:26877:35668:-1 gene:Ccrd_022084 transcript:KVH99678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSNNLKKDVPYFASNQRPRIDPISSRNGSRGFGLPPPSKFSSGHLPGVVPISQVLPGEATNSGSENDMSTDSDGEVYGGRYSLDSSSPQDDRVPPSNRYYDPVQRRPQYHVYSSDVSSSIEITGGRGRGNVVDRLMMRGTNKNHVRSNVYTEDESDDSRASSEFSTTQKMRVNGDIPSRKEVGGQNVQSGKAFDEDIPSAPPFLGSVGENKQEELSQSSKANHTSLRANSRDFAAKLTHERKTPSIDLKDSHKQESSRPSNRWNLVLCSAFLLALFMSLILSNRNICVESGASSGSLPVRLPTFHASSLGPWHSVLAYDACVRLCLHAWAKGCMEAPMFLENECALLRSTFGLQQVLLQSEEELLVKRASEVGSKGAGPKPKKMVGKMKVQVRKVRMALDPPTGCNFSSIKPPKVKLESIQRHLYSLQSTCSSGWQAVRRIPFTPRVPVDGSFSRKSLAYMQASTQYVKQVSGLLKTGVLSLRRTSLTNEIVQETYSCLLRLKSSTEADTVRMQPGSSETHIFLPDSLGDDLIIEVQDSKGNHYGRVLAQVATISEDPNDKLRWWSIYQEPEHELVGKIQLYINYTTSLDDTLKVKTFEVLESITFFSVVILIIRPRNLVLQCGSVAETVAYDIVMEVSLKVQKVQQRKLLLHDPWKWLLTEFASYYGVSDAYTKLRYLSYIMDVATPTADCLSLVYDLLLPVIMKGHSKSTLSHQENRILGEVDEQIEQILALVFENYKSLDEQSTSGIMDVFRPATGTAAPVLEPAVKLYKLLHDILSPEAQNKLYSYFQAAAKKRSRRHLTETDEYVSFNGEGYSMDAVAISTAYQKMKFLCLNIRNEIFTDIEIHNCNILPSFIDLPNLSSAIYSAELSGRLRAFLVACPPPGPTPPVTELVIATADFQKDLACWNINPAKNGVDAKELFHSYIIIWIEEKRLSLLETCKVKWSGVRTQHSTTPFVDEMYDRFKETLNDYEIIISRWPEYTFALENAIADIEKAVIEALDKQYADVVAPLKENMTPKKFGLKYVQKLAKRTTNPYLGILLNSMKRMLDVLRPKIELQLKSWGSCCIPDEGNTAPGERLSEITVMLRSKFRNYLQAVVEKLLDNTRLQNGTKLKKILQDSKECVAESEIRSRMQPLTEQLTNTMNHLHTIFETHVFIATCRGYWDRMGQDVSSFLENRKENRSWYKGSRVAVSILDDTFASQLQQLLGNTLQEKDVEPPRSIVEVRSMLCKDAASYKNDTYYY >KVH99681 pep supercontig:CcrdV1:scaffold_1604:51246:58108:-1 gene:Ccrd_022082 transcript:KVH99681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucose isomerase (PGI) MAAISGLCPSSSSSSNLMRNQHSSSSLSKTQLPSVVSYQHHRIEETLKAGFSSVCCNTWNAKTTSILTHSVAREVPANVSKSTTTIDDELAGKSKSKKGLEMDPQALWERYTEWLYQHKELGLYLDVSRVGFTEEFVKEMEPRFLKAFKAMEELEKGAIANPDEGRMVGHYWLRNPKLSPNSFLRLQIENTLESICAFADEVVGGKIKPPSSSAGRFTQILSIGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVVSKSGGTPETRNGLLEVQKAFREAGLNFAKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAGIDIKEMLAGASLMDKANRTNVNNPAALLALCWHWASNGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYSNGRESITVSVQEVTPRSVGALIALYERAVGIYASLVNINAYHQPAGKKAAGEVLALQKRVLAVLNEASCKDPIEPLSLDEVADRCHASEDIEMIYKIIAHMAANDRAVIAEGNCGSPRSIKVFLGECNVEDLYD >KVI08426 pep supercontig:CcrdV1:scaffold_1605:38904:39146:1 gene:Ccrd_013196 transcript:KVI08426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding-like protein MVFVVHGRVNRSQWLNRGMVATSILESGTFFGDELLSWCLRIPFIDRYPAATATFTCVKATEAFALDAKHLSDEIESIRV >KVI08427 pep supercontig:CcrdV1:scaffold_1605:40663:46146:-1 gene:Ccrd_013197 transcript:KVI08427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase MENSITRRTSTQRRWRKVAYGGMQPGFDDNHTDETFLEEMVMNANVVKRDMLKVMLDSVSISQYLCIVALVVLVWTYTLSSTITEKFLLVLDVTLLGSGFFVLLLTAKMLSFNLLLNYAIKISFFTTGLYVLSPIYHTLTRSISSDSILALTTSLVILHLFLHNYSGSTVKAPGALESPTLTSNISLNASIVASLLIASRLPSRLLVFGVILFSLQVFLFAPLVTYCLKKYSFRLHLWFSFVLMGTTLGCVYKLHKLLFVFLLGMLVFVNLVCPYWLIRIQEYKFEINGPWDEAKLCFNITD >KVI08433 pep supercontig:CcrdV1:scaffold_1605:78900:80342:-1 gene:Ccrd_013200 transcript:KVI08433 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MKRAKVAIIATPAIGNLVPAVEFATHLINHHHRCISVAILVISMPQRPLIDDYVISRTSTQHIRFIQIHTVDPPPPDQYHSTIEFISLHIENHKPNIKQTLKNLMDVDAVPDSVPVPLVGLFIDMFCTSMIDVANDLGIPSYLFFTSPAAYLGFVLHLTTFPATESISLATELTVPSYANPVPPNVLPSFCIKRKESGYSTFIHHALRYKETKGIVVNTFQELEPYALDSLSSSKYFGLPPVYPVGPIIDHFGPAKWHPNRSGQEKIIGWLDRQPSGSVVFLCLGSMGSLSRTQVREIATGLERTGYRFLWTLREPAKAKLELPNDYKEFDENLFPDGFIDRTAETGLVCGWAPQVSVLAHEAIGGFVSHCGWNSILESLWYGVPIATWPLYAEQQLNAFEMVKEIGLSVELRLDSRDKSSDLVLAEEVERGVRELMDGRDGELRRKVKEMSEKSKNALMENGSSFGSLGNLIDILFSNV >KVI08434 pep supercontig:CcrdV1:scaffold_1605:89023:99202:1 gene:Ccrd_013201 transcript:KVI08434 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta MPVARPESSDSRIIAHVDMDCFYVQVEQRKQPHLRGQPTAVVQYNSWKGGGLIAVSYEARKDGVKRSMRGDEAKKVCPQIQLVQVPVARGKADLSIYRNAGSEVVSILARKGRCERASIDEVYLDLTDAAETMLKETPLESLESINEEVLKSHNGNDDKELVREWLQRRDADHRDKLLACGAFIVAELRLQVLRETEFTCSAGIAHNKMLAKLASGMNKPAQQTIVPFASVKGLLEPLPIKKMKQLGGKLGSSLQIDLGVNTVGDLLQFSQEKLQDFYGVNTGTWLWNVARGISGEEVEGRLLPKSHGSGKTFPGPRALKTLAAVEKWLKELCEELSERLQSDLEKNKRVAHTLTLHANAYKSTDTDSYKKFPSKSCPLRYGGGKIQEDAVNLFQAGLREYLGAYIGSQCGGWGITGLSVSASKIVPIPSGTCSITRYFQCRDQFHSSSELSDEKFIPEDDLLSPSRSESHPAPHQTEQQTECGGEEAPVTYDITSFDQYENACKDEASSDITREVSHLLSGAESCSTPKQNERQLRLPGKESKMKYPSDGIRSYGQKRKATKEKGTSSILRFLQSNSCSTSKQDNVSALQETKPLSSGISSFIF >KVI08428 pep supercontig:CcrdV1:scaffold_1605:3111:5514:1 gene:Ccrd_013194 transcript:KVI08428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRAILEAIFEAATAGLEQLLYRFTAGLELLHFGTLCAVLCCVDVEKKQLSSSNSKHNSSDVVYGV >KVI08429 pep supercontig:CcrdV1:scaffold_1605:18848:26020:1 gene:Ccrd_013195 transcript:KVI08429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MASSSASTSATLTLSSIHLTQEPKSSLISSFTFKPNSKTKTLVLQSQSECIRPTILKSGFVGYASSKNSPFLVSAVSAEAELDEDVDEEVEGGGVATAAPPSSTKPKKGKAALPLKRDRTRAKRFLEIQKLREVKKEYDVKTAVSLLKQMATTKFTESAEAHFRLNIDPKYNDQQLRATVNLPKGTGQVVRVAVLTQGEKFDEAKNAGADIVASLGKILGPRGLMPNPKAGTANFSEEDLLTNLMAAVKSVESNKPTGAKGVYWKSAHICSSMGPSIRLDIKDMLDFKLP >KVI08430 pep supercontig:CcrdV1:scaffold_1605:50174:62582:1 gene:Ccrd_013198 transcript:KVI08430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 SVRRCLNQIHQWRKATFRYSQGEDLEGDQGVEHPNAHFFPFAMSLTFPTPYPAGTVTSSRQSLTNLSSVSDGNIPGLPGTIILLESARALNLYDGIPWLHSVQDGSILELYSPVFKYSISVADVGYMCISSIISVSVHELGHALAATSEGIQIEYTALFLAVIVPGALVAFNNEMLQMMPCVATLRIYCAGVWHNAADESCSTPIHMPGLAWAEITFSRPYSPECQRVGNKMHSSYNNSGSGENSCSGTFVFIGDMMSMARSIWLTEYQSRWLYGVAYIPAVVEKMLVNTFHVSLMLVVLNSLPVYHLDGESILEVALCCFGSLTPTLRKLDLMLVIS >KVI08432 pep supercontig:CcrdV1:scaffold_1605:111697:113469:1 gene:Ccrd_013202 transcript:KVI08432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MASSENMVDEQIRPQSYWRWSKQDFFPEESFRDWGTYRYALSHTYSRFKDRLLTRSQDSDETDKLRKESENDMKRCLNWWDLMWFGFGAVIGAGIFVLTGQEARNNAGPAIVLSYVASGVSAMLSVFCYTEFAIEIPVAGGSFAYLRVELGDFAAFITAGNILLECILGGAAVARSWTSYFTTLINRESNSLRIQTNLAEGYNLLDPIAVVVLVIAATIAMSSTRRTSSLNWIASAVNTIVILFVIIAGFSHADTSNMKPFAPYGAEGVFRAAAIVYFAYGGFDTIATMAEETKNPSRDIPLGLLGSMSIIIIIYCLMALSLTMMQKYSDIDPNAAYAVAFERVGMKWAKYLVALGALKGMTTVLLVGALGQARYITHIARAHMIPPWFALVHPKTKTPINATLLVTICSAFIAFFSSLDILASLLSISTLFIFMMMAVALIVRRYYVKGVTPRASLLKLVGFLLIIIGSSMGMSASWGLDPSGWVGYTVTVPLWFMGTLGMAVVLPQQRNPKVWGVPMVPWLPSLSIATNLFLMGSLGYEAFIRFGICTVIMLLYYVLFGVHATYDMAHRQPESEQKATILDEDGVGKA >KVI08431 pep supercontig:CcrdV1:scaffold_1605:63492:73347:-1 gene:Ccrd_013199 transcript:KVI08431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MSEDSSNSPAANEAEKTTIEPIRLPTIEEVRGQDIWNNCAVKSVASGVMGLSKLPKEAFFFNQTIKLFCVKKSICIKLASVNGAGGGLGLAMGLFLGALDNPIMQDEMTGRQQFIYTAKQMGRRSWGSCKAFAVMGFIFSAAECARAKHDMTNTVAAGCVTGGAISAKGGPKAACFGCAGFAAFSVVIEKFLDRHGE >KVI04027 pep supercontig:CcrdV1:scaffold_1606:98651:113346:-1 gene:Ccrd_017670 transcript:KVI04027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper chaperone SCO1/SenC MVETHEVETYLEREATELWGREVDDPFFWICICPIPGAKPLLFPITYYVLPPPEENPLPEFCVLGIPFAVQLLQFMPVSKVSLFSIGKKSAESLFFPRRYVTRMGFHSSSYMRAAKMSNEGRRLLLGQGQLESPLRRVYLIPTVILGGIGGAFIFWHMNDEKRAIHKGQGSNDGCCTMKGPVIGGPFNLIDSHGRLVTEEDLRGGWILLYFGYTSSPDVGPAELLKLAKAINTLESKYGIKVRPVFVTIDPQRDTPSQLRAYLKEFDERIMGLTGPVGAVRQMAHEYRVYFKKVEEDGDDYLIHNESRKTGA >KVI04025 pep supercontig:CcrdV1:scaffold_1606:13721:30035:1 gene:Ccrd_017668 transcript:KVI04025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MKGLELWMRDLIASSGSQPERSVASTDFVTGGLFLESASVPSSIASFVSLHTTGSIVSISCSSRRRRTRRRRGGGGFLSVTLSIKDGSVGESSVILGGENENENGEKHLEEEEIKVQKQGGAFNTTKHLWAGAIAAMVSRTFVAPLERLKLEYMVRGEQKHLIELIQSIAASQGIKGFWKGNFVNILRTAPFKAINFYAYDKYRSELLKLTGNEETTNYERFLAGAAAGITATVLCIPMDTIRTKMVAPGGEALGGVIGAFRHMIQTEGFFSLYKGLLPSIISMAPSGAVFYGIYDILKSAYLHSPGGRRRLQHMKDSEEELNALEQMELGTVRTLIYGAIAGCCAEAATYPFEVVRRQLQMQVLPSAAISYFVYELMKIVLKVESE >KVI04026 pep supercontig:CcrdV1:scaffold_1606:31963:40322:-1 gene:Ccrd_017669 transcript:KVI04026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nnf1 VPFVQSPASSHIPCHSVSSKHTKSTLKSSEHNKMEKGGREIGYPGSRHVDLKRSFKLGLHGLLTTCSKEEFCKAFPRFSQTEQERLHRLYIEVIVSLHQNIEDEFEVLCQETKVGNVLDTVEKLVEEQTLDPLYPDKTNFKDVAQVLSTLKKNEIQNLTSMLEKSEAQNKVLRSRVEFLQKETQTSSNAVQKLQMKNAGMLNQ >KVI04522 pep supercontig:CcrdV1:scaffold_1607:69327:80010:-1 gene:Ccrd_017161 transcript:KVI04522 gene_biotype:protein_coding transcript_biotype:protein_coding description:RFT1-like protein MLKMAKTASSNDDRSVGPGDGDRAANLSRTFKYLLATQFLSRGIPFIFNSWIVRHLTEEDYALYAVQFHLFVTCVFEGPLTVENVSKLLKLAWITLPWGVLITITGCVFVFWTQALSFSSSYGQAILINAFACILELLAEPLYILSQNLLLLKLRLVVETAATLLRCITVYVFIVMQLDTERAIVFALSQTAYGGCIFLCYWGYFLLLHGYRISVLFPFRVGSYDKQLSKMSTLFTLQSFQKLILQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVLLPFEESSYTTFARSASGEGMQKSRKLQTSLRDALKLVMLIGLVFMAFGPSYSYSLIRLLYGRKWSDGEASVALRYYCLYVVVLAVNGTSEAFLHAVATEDQLKRSNNSLVLFSFVYIVLNILLIRSAGAVGLIFANALSILHSDSSSFSFHSCLPAGWTILLFSSIATLVSEKLILDPDNFWPTFCIHFSIGLSCFSLASFVIYRQERSFINKLPGAFGDSQAGLFLPEVAIKACFKNKI >KVI04521 pep supercontig:CcrdV1:scaffold_1607:45453:48749:-1 gene:Ccrd_017162 transcript:KVI04521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MNHHCRRPLLLLLLFFFFFRLLSADALPSQLVSLLSLKSSLVDPLDTFHDWETSKPGQQPVWCSWSGVKCDPETYQINSLDISNRNLSGTIPAEIEYLTSLRHLNISVNQFAGTLPTAIFNLPFLQTLDINHNLFSSAFPPGISKLKCLSYFGAYSNSFTGQLPTEFVRLRFLEHLNLGGSYFEGEVPKCYGRFQRLKFLYLAGNNLSGQIPDELGLLTSLQHLEIGYNAFTGIVPMKFASLSNLSLLDISNAGLSGEFPIAVTNMTKLKTLLIFKNNFHGEIPAAIGKLQSVEILDLSNNNFSGSIPEEISSLKNLTQLSLMNNKFTGIVPEGIGELPRLEFLFLWNNSLTGILPQKLGLNSRLQKLDVSSNSLSGPLPPNLCHGNNLGRLLLFSNKFSGALPPSLANCTSLYRFRIQDNRFNGSIPAGFGSSPNITYMDMSANNFSGPFPADLFNAVQLELLNVSENSFDDLLPENIWSAPSLQIFSASYSQLRGKIPNFRDCKNIYKIEIEGNELSGSLPWDIDHCLKLISLNLRRNSISGIIPWEISSLPSITEVDLSHNLLSGTIPSSFGNCSTLEGFNVSYNQLTGPVPSTGIAFSSLHPSSFNGNEGLCGGVLRKPCSTEKENEVKPQHPKKTAGAILWIMAAAFGIGLFILVAGIRCFRANYQRRFIVEEKEIGPWKLTAFQRLNFTADDVADCLSMTGKIIGTGSAGTVYKTEMPGGEIIAVKKLRGKHKETIRWRKGVLAEVEVLGNVRHRNIVRLLGCCNNRESTMLLYEYMPNGSLDDLLHGTNKGDNLIADWLTRYKIALGVAQGICYLHHDCDPVVVHRDLKPSNILLDGEMEARVADFGVAKLIECNESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSFGVVLMELISGRRSVESEFGDGNSIVDWVRSKIKTKEGTNEVLDQNAGGACSRVREEMKVILKVALLCTSRNPPDRPSMRDVVSMLQEAKPKRKSPEDGGRVVVNGGGKPPAQKCTS >KVI04523 pep supercontig:CcrdV1:scaffold_1607:97248:100024:-1 gene:Ccrd_017160 transcript:KVI04523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15e MPKPISRWANKPQPISAFSTLELDGTLSKTLIHTYASYSYTEIAAISLRDRSRKRLRQWFFHLGLLKCPVICVVVTGAYTYVSELWRKKQSDVMRFMQRVRCWEYRQLPSIVRVTHPTRPDKARRMGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWLNEDSTYKYFEVILVDPAHAAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLNHKARPSRRATWKRNNTLSLRRYR >KVH93655 pep supercontig:CcrdV1:scaffold_1608:106785:110087:-1 gene:Ccrd_004293 transcript:KVH93655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing protein MRAGLSTIQQTLTPEAATVLNHSIAEAGRRNHGQTTPLHVASTLLASPTGFLRQACIRSHPNSSHPLQCRALELCFSVALERLPTATSSPAVTEPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEESLSNNNLNPSSLGVGFRPSPSPTTTPVSIPINRNLYLNPRLQQGNQQKNDESTVSSSSTPINLYIQQQQQQKNDETSSAPRNLYLNPRLQQQHQGNLLSSNQLGQQQRNDDVKRVIEIMTKPKKKNPILVGELEPEAIRKEIMRRIEKGEYGELKNVQVISIEKEFASMSDKSLMPTKIQELGNLIDIKIGTCDGVIIDLADLKWLVEQPPATIVSSVGRESVAEMAKLVSKFSGKVWLIGTATCETYLRCQVYHPSMETDWDLQAVPITSRLPLHGVFPRMGTNGILGATSVDSLNPMNNFSSRTRCCPKCSGDYEQELAKLKESSSDEIKSNLPQWLQNAKTEHQSQVKDQEQVLKQRIQELQKKWSDTCLRDHPNYNQFPRLDRLAPMLVPLTGTYKPNMLLRQGQPAQQPRLQPPTSLQEALQSKSNLNTTQQRSLELPRSPVRTELVLGPKKALETLVPKDNEDLTVKDLLGCISSEPEGKIQEFHKGKFANAADTDSFKKLLKGLMKKAWWQPEAASAIATTITQCKVDSGSRGSVWLLFAGPDRVAKKKMASVLAEHVCGANPITIGLGSRRDDEETDMGLRGKTVLDRIVEAVRRNPSSVIVLSDIDEADMLVRGSIKRAMERGRLTDSHGREISLGNVVFVLTGNWSTANIDEHLVDEQRLRLIASRDWQLRLTVDDKRLKRRSNWLSDKDRSRRPRKESGLDLSLDLNLAMDYEEDRTDGSINSSNLTMDQEDENQRFAVMSVPHELVGPSDGAVVFKPANFGHIRREIEKTIKSAFSATVDEKITIEVEEAAVENILGGLWFGRTSLEEWAEQILIPSFHQLNSRLPSTGGEMVVRLASDRDSVSVGGGGDWLPSKIAVVVDGVGG >KVI02646 pep supercontig:CcrdV1:scaffold_161:254309:254812:-1 gene:Ccrd_019072 transcript:KVI02646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MNKLLLVLTLLLWRAAAQAPPPPAVPADGKEYLDAHNKARAEVGVSPLRWNFQLAKATSLLVRFQRDRENCRFANLTSGKYGGNQMWASQEVVTPARVVENWVSEKAYYSYANNSCAANHRCGVYTQVVWRHSEELGCSLARCAQDQSTLAICFYNPPGNVIGESPY >KVI02634 pep supercontig:CcrdV1:scaffold_161:297165:302886:1 gene:Ccrd_019067 transcript:KVI02634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin HVTNYKVGISPTKLELAERYFEFPATVEENPMARVASNPVGLHKFRPSHLHPAVGSLNFINPSVHSLNRRLRYQRLYCQTESNSTDSNSEKNLIIESGSVEDNKTSEVTSSPSGGELPALPNKNINRRIAVASVLGAVGLFLSGRLDFGVSLKDLSAAALPYEEALSNGRPTVVEFYADWCEVCRELAPDIYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDGNGNEEGNVVGKLPRKYFLENIDALATGKPSIPHARLVGQFSSAEARKVHQVPDPRSHG >KVI02637 pep supercontig:CcrdV1:scaffold_161:382384:383988:-1 gene:Ccrd_019062 transcript:KVI02637 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MPSHRKPTNFYTAILSGSVNKTSLRIPNRFIRGHRKQILLNDVILIVSDDKVWQLGWMISGDGKLWVQKGWPEFANHYRIGYGHLLLFKHMGKSMFHVTIFDSSNCEINYPPPPSKCPKLQKGIDQSVTQDDQIGGKILFFY >KVI02624 pep supercontig:CcrdV1:scaffold_161:58688:62206:1 gene:Ccrd_019082 transcript:KVI02624 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MVVPVVNFPIFLCVRSLGVLVLIIVLIWNVNYRGGLALFSQNKSLLFNVHPVLMVTGLLLLNGEAMLVYKTVSGTKGFKKLVHLALQFLAFVFGGIGLWAVWKFHKDRGIDDFYTLHSWLGLACLFLFAIQWGAGFATFWYPGGSTKNRVSLMQWHVFFGVYIYALSLASCITGILEKATFLQTHKIISHYSTEAIMVNILGVLIVLLGSFVIFGVISPSNGKGEVIRGSIE >KVI02641 pep supercontig:CcrdV1:scaffold_161:134004:134915:-1 gene:Ccrd_019077 transcript:KVI02641 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein MYYLSEHPSIVNFRWNHTQSWGSTWSFLFTSISAYILLSLLLNLLLFNRRRPVPLGPIPAIHSLSMALISATIFTGILFSAAAEIRDTRWFWRRNKTTAFQWLLCFPLGTRPSGRVFFWSYIFYLTRFLHTLRTFIAILRRRKLSFFRLFNHSILIIMSFLWLEFSQSFQILAILFTTSIYSIVYGYRFWTAIGLRSACFPFVINCQMVLLGCNLICHIGVLLLHLMKGGCNGIGAWGFNSVLNFAILFLFLNFYVKSHLRKKTKTSRSSSEYGDDEGLNLKSDSSTAIMDLNPIGCTKIKGI >KVI02642 pep supercontig:CcrdV1:scaffold_161:142330:145190:1 gene:Ccrd_019076 transcript:KVI02642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MRESTIKPPESSSSRDTGSNDAGDFECNICFELAEDPIVTLCGHLFCWPCLYKWLHIHSRSHECPVCKALIEEEKLIPLYGRGKTHTDPRSKPMPDLEIPHRPAGQRPATAPAPDNNNFPNFGFGGFAPMASARFGNFAMSAGIGGLFPSLLDMHVHGFQNPLQYGPRAYGPRFFNGFHGGHHVHEIFHSRRGNQENNLLKTFLLMFGIFMVLVMIL >KVI02633 pep supercontig:CcrdV1:scaffold_161:301551:305960:-1 gene:Ccrd_019066 transcript:KVI02633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Green fluorescent protein-like protein MIFHLLIFSVILIITSPPSSSAKANCTRVCIGGGRETLVQFPFGFNSDCEIGLHCSEDGKIQVGGYDVHNFTRDHILVNLPAKCDRGFEEIRFFSGLNYALTSRNGLLLENCRSTLNDCVVSPTRVENHFNLQQCNSSTNRSMNCYSEDNPDREEFLNLTRLETAGCKILFSSVTVDLNGTNPESSPVSLDFQSVQLGWWMQGDCGCHRNAACRNVSIDNTRIGYRCHCNEGYDGDGFRDGNGCHQVSNCDASRYMSGKCGGTTRVGVLVGGIVAGASLMSTVALVCYCLRRRATLKNQRNAKRQFSEATGSFSVPFYHYRAIERATNSFSEKQRLGVGAYGTVYAGKLGNNEWVAVKKIRHRDTDGALAIDRIGKGCVDDIIDPFLDPNRDAWTLSTIHKVAELAFRCLAFHRDMRPSMTEVADELEQIRLGGWTTIDDNVTMASSSVASVSSSPFNGSEKSIGGTIVKKVAAISSRRLGVPNLRPDCLSKTEEEKDSSPVCVQDPWLSEQSSPSTNSLLGNVVR >KVI02631 pep supercontig:CcrdV1:scaffold_161:372029:379290:1 gene:Ccrd_019064 transcript:KVI02631 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit, helical insertion MAVEGPSMVIDDGGVPYSFALEYEGPPISHRHLPRAVPINVYKIPVAAFVPQSILSDNIHDYDKLSMPVVQPILASDFTTNFCKLGTTEPIVYPTSLISPVNDENIHNNNEILTTMESQDSVFISSGEFSDVINSSIGSSSVSQDHSYELSGSFENSRNMSANSHVNDCKESLDFSESIASGLSFDYPSSRVSSAKDESFSDQRNPVVTFRDIESEDEDEDFLEDVVQTETQLKEESKVKTRKGVCYRCKKGNRFTEKEVCMVCNAKYCTNCVLRAMGSMPEGRKCVSCIGFSIDESKRGNLGKCSRMLKRLLNSLEVSQIMKAEKLCAVNQLPSEYVCVNGKPLSHEELAEGEKPCQIISPHLNAGGPIMPDASNGNTGVFINGREITKVELRMLQVHISQLLVATGSTKYAKFDYLSGVQCAGNPHFWVNEDGSYQEEGQKKTRGYIWGKAKILYKEIPFTEQERENIKIIIQSNVYGYLGILLEGRERFEDEALNENRKTRSCDGSISTGNSDMNNEKTIYSICPRLKAFSDWLLKTMVAGNMEAVFPVASREYAPVVEELWNHPAAINILSRDYIPSDMDILYADHVTSSNGLSCVDFSFQLIRMPERGFGENCKWLEMFEDVQLVIFCVSLSDYDQYTTDPDGNTVNKMLQSRKLFETIVTHPTYDQIDFLLVLNKFDIFDEKLERVPLTCCDWFNDFRPVVSRTSRNKNISSNNINHIPSIGKMASHYVAVKFKRLFSSLTGRKLFVSVVNSLEPDGVDGTLKYAKEILKWDEEKPNFSFGEYSIYSTEASSYSP >KVI02636 pep supercontig:CcrdV1:scaffold_161:403232:406528:-1 gene:Ccrd_019061 transcript:KVI02636 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MPSRRKPTNFYRVTLSGNVNKTSLRIPNRFIRGHRKQILLNDVLLIVSDDKVWRLGWMISGDGKLWIQKGWPEFAKHYRIGYGHLLLFKHMGKSIFHVSIFDSSSCEINYLSPSKSPKLQKGIDRSVTQDDQIEGKILSSY >KVI02635 pep supercontig:CcrdV1:scaffold_161:413700:425386:-1 gene:Ccrd_019060 transcript:KVI02635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISLWKPIISHCASLISDKKSRRKYGSDHTNEEIKRSPSVRRKLQENKLREALEQASEDGSLVKSQNMDFSESFDREDKGLGRSRSLARLQAQKEFLKATSLAADRTFEDKDSIPEFDEALLKFLTMYPKYKSSEKIDQLRVDEYSHLADTISKVCLDYCGFGLFSFLQTVHFWESCTFTLSEITAHLSNHALYGGGERGTVEYDIKSRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVNWMAQSAKDKGAKVHSAWFKWPTLKPCSTHLRKLILNKKRRKKDSSTGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGDDPTGFGCLLIKKSVIGSLQNQSGHAGSGIVKISPVFPLYLSDSVDGMPGLAGIEDDEVVKGEGISESHAGALLPAFSGAYTPSQVRDVFETEMDHGNSPDRDGASPIFEETGSFSVGEVMKSPVFSEDESSENSMWIDLGQSPLGSQSEIVNSPLPPPSWFSSKKSNEKEIDSQPHISKVLSFDAAVHNVKKADNFQESFQEKSQETERKLEISEIQEEPESKKLKESAVIRRETEGEFRLLGRMEGSRRVSFGLEDNNKHCEDFYISDEEYCEGQESERREPEITCKHLDHVNMSGLNKTTLRLRFLVNWLVTSLLQLRLTGSDGEQDSVPLVHIYGPKIKYERGASVAFNIRDRVRGLISPEIVQKLAESNGISLGVGILCHARIIQSTKQNHAAIDLADTTLCKPMNNDGGGFVRAEVVTASLGFLTNFEDVYKLWVFVAKFLDPSFIKENGDSTEMEGEEQTGTGDTNYRNKLKHNIDRSFFGTKMLSFMIRTILVISTIIVAVTLPFFGYLMSLVGALLIVMVSIILPCSCCLNISDTYKRIRLELVLIGFIIFIGIVIAVVGTYPLSHCAALFMDKKSKDRNGSSDEIIRNPSVVRKFQENKLREALEEASEDGSLVKYQDFDSESVETNQTVAKSRSLARLEAQKQFLKATALAADRTFESEDSIPDLQQSFSKFLIMYPKYQLTEQIDHLRSDEYSHLSDHVPKVCLDYCGFGLFSFLQTVHYWESSTFNLSEITANLSNHALYGGSIEGTMEHDIKIRIMDYLNVPESEYGLVFTVSRGSAFKLLAESYPFQSNKKLLTMNDHESQSVNWMAQKAKSKGAKVQSAWYKWPSLQLCSADLRKQISNKKRKKKKSGAGLFVFPVQSRVTGAKYSYQWMSLAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVIGSLQNQPGHAGSGIVKISPVFPLYLSDSGDGMPELATIEDNEHGENGEGPSGNHGGPRLPAFSGVYTSDQVREVFDTEMDHDTSSDRDGESTIFEESGSFSIPDVMKSPVFSEDESSDNSLWIDLGSSPLGSNNHRGSTSPLPPVWFSGKNRRKQLSSPKTTSKITNSPVVDKETNHGMLSFDAAVMSVSHELDSVTEIHEEPFMETNHLHGIEEETKTKTTQRSSKVPLESKESAIRRETEGDFRLLGRREGNRFSGGRIFSTNEIEEHNEYPERRVSFINEDHSKQLDFLATNMEDDDFLSDNQESSRREPEISCRHLRHVNLLGLNKTTLRLRFLVNWLVTSLLQLRIPSSNGRENTRLVHIYGPKIKFERGASVAFNLRDENKGLVDPEVVQNLADANGISLGIGILSHIRILDGSRPNLEDSTVYRRTESGGRNGKNGYIRVVVVTASLGFLTNFSDVYKLWAFVAKFMNPGFVKGGVTTDE >KVI02643 pep supercontig:CcrdV1:scaffold_161:148455:155526:-1 gene:Ccrd_019075 transcript:KVI02643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3633 MMVLGINGMWEHTNLSCNLVIMPTIELELPAMSYVFIEHNSSPVYRNINCLLFQEHLPIERFKNWKKDSKAQFYTTVCVMGWLTKILRGFSSSNKNSGKYHGRYENEGIWVDPPTTVVISTSIPSICVGCRAEIGHGRFLSCMGGVWHPECFRCHACNRPISDYEFSMTENRPFHKSCYKERHHPKCDVCKNFYRAHPFWLQKYCPSHEHDGTPRCCSCERMEARDTKYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKIEQQVPLLLGHHHMPETRGLCLSEEQTISTIIRRPRVGAGRIMDMFTEPYKLVRRCEVTAILILYSLPRLLTGSILAHEMMHAWLRLKGYSNLPPDVEEGICQVLAHMWLDSEIMAGSGSTNVASSSSSAAAPTSSKKGKRSDFEKQLGEFFKHQIESDTSAAYGDGFRDGNKSVLKYGLRSTLDHIRLTGRFPC >KVI02628 pep supercontig:CcrdV1:scaffold_161:1396:1842:-1 gene:Ccrd_019086 transcript:KVI02628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGRLMVSLKMKLRSLKMKKPVVDDDSSWHSYDKIEKSESMRVEIRSKKARKLIEETLRVADSPKTTKSYSF >KVI02650 pep supercontig:CcrdV1:scaffold_161:113435:122897:1 gene:Ccrd_019078 transcript:KVI02650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFGAFYWSHGYH >KVI02630 pep supercontig:CcrdV1:scaffold_161:9026:23198:1 gene:Ccrd_019085 transcript:KVI02630 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MKLHAINEGKHRRKTSSKIAPLVALTLIITILPVYYPYIRYILEKPSENPSHSNDLLDVQTTTTLSAHHPLYRSHLLPPAAQKEEEEDLKVLVVPEKKENRKGGRRRKKKRKRGWREAVLKRSTGGGGGEIKNLDGGGGEIKNLDGGGGAGGGEIKNLDGGGGGGSSCDLFSGEWVENREGPYYTNATCWAIQEHQNCMKFGRPDRDFLKWRWKPRECELPVFDPVEFLEMMKGKSLAFVAYPNDASKSDDQNFRHWEYPDYDFNISIFWSPYLVNTERINQKDITQPFKLYLDELDESWTSQIENFDYVIISAGQWFFRPTIFYSNRRVIGCLYCPETTIHHRSSTFSYRRAWRTTFRAINGLKNFKGIVFMRSFAPSHYEGGTWDKGGDCVRTKPFKNNESMMEDYSLEMYKTQLQEYKIGEREGRGKGIKITFMDVTQVMQLRPDGHPGRYGHWPLQNVTTTNDCVHWCLPGPIDAWNDLLMELIRREVFHGSTSKILLIVTLTLITLAVIPFHTFPSLSSNHSDTAISPPATHPEDTVITIDDNHHKCDVFSGEWIPNPDAPYYQNTTCWAIHEHQNCQKYRRPDSDYMKWRWKPDECDLPIFNPYQFLEIVRDKSLAFVGDSVGRNQMQSLICMLSRVEYPIDASTTKDDNFKRWYYVSYNFTLATFWSPFLVKFDEPDTDGPTHTGLYNLYLDEFDEAWTDHIDEFNYLILNGGHWFSRPSFYYEDHQVIGCKYCQKDNVTDYPMTFGYRKALRTAYKAIISRKNFKGVTILRTFAPMHFEGGEWNKGGNCVRKKPFKSSEIQLEGSNLELYLAQMEEFKWAEGKAKENGLKFRLLDITQPMLLRPDGHPSKYGHWPEENVTLYNDCVHWCLPGPIDTWSDFLLHMLKMEGRRSAEEKKVYSRLIKGKL >KVI02638 pep supercontig:CcrdV1:scaffold_161:378157:380332:-1 gene:Ccrd_019063 transcript:KVI02638 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MGKRGRGRPSKVSSIFNQDPEFFEIFLPNRISHQLRIPPDFIKHFDKKIPGAVILKDLAGRIWHVDIKQTETGVFLKNGWMRFVNEKRLELGQVMVFRYDTSSTSFTVRIFGRNAIKDEDQDSKKPFNSVKKEQESVADPIPIRKSKRNRRKPQKYA >KVI02640 pep supercontig:CcrdV1:scaffold_161:283372:285491:-1 gene:Ccrd_019069 transcript:KVI02640 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MTAGIATNSKGQAWFCTTGLPSDVVVDVDDMTFHLHKFPLMAKCRKLHELITEQEANVTPDSVGVERNSEQENEEIQEDKAEKAEDEKQEQYCHVTFPGFPGGPETFEMAAKFSYAVKIELTPSNVAPLRCAGEVLEMTEEYCEGNLISKTERFLSQTVFRSLRDSIETLKSCEHLIPLAESLGIVERCIDSIAAKVSAMDPSLFGWPVNEAMPSSTGAVNSQGGGARRIGDATRATAGGDWWLDELTFVTPTLFKRLIMAMKGQHLSNEIIENCLLCYAKRHIPGINRTCRKPLSSSIPSEIEQKELLEAMVTILPEQNCTRSSTSVKLFFGMLRTANILNASDDTKSALEKKIGSQFELATLDDLLMPSYSYLTETLYDVDCVQRILSHFLDSVEDRTAALMLVGKLIDGYLSEIALDANLKPEKFCELAAALPEQARVYDDGLYRSVDVYIKAHQWIPESDREKVSGVLDCRKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLMAADMGPSDSERSPARRQEVVEDDETVVEEGEDGTWRAAVRENQVLRLGMDSMRTRVHELERECTTMRKAIEKIDHVEPPGWRGSLTRKF >KVI02647 pep supercontig:CcrdV1:scaffold_161:257422:257907:-1 gene:Ccrd_019071 transcript:KVI02647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGICCSCHSTSVATAKLILPDGSLQEFSSTVKVSDVLRHHPSAFICNSDEMDFDDVVSAIKGDDELQPGQLYFALPLARLKHPLQPEEMAALAVKASGALAKCGCRRRRKNICFTPSFSGEKGWGSSRVADAETIGSIRRSCGGDGGKRQNFKVMLSAIPE >KVI02644 pep supercontig:CcrdV1:scaffold_161:215031:221415:1 gene:Ccrd_019074 transcript:KVI02644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MSQDYIFNFSHGFERSSAQDQQQNHLGHQIRRDKLRVQGFEPPLVGLEQVDEGPGGGGGLPAVYETGAGMLSEMFNFPSGGSNSASELLVNQINYQQQHRNQRPSTAGDWYGNSAQAMQLFLTNPSHESPSSESPSHHHHHNNPPSSSTLHMLLPNTVPSANSTLHHQQSFGSSTGTGQGHFGPSTQFTWVPPGGTSHEGEGVGGVVDNQGLSLSLSSTLQHLEAAKVEELRIGDDSSAAGMLYFNQVGGGDPYRNLNMQGAGPVMGQTHHPIHIGYGSSSLGVVKVLRNSRYVKAAQELLEEFCSVGRGQFKKNKSGSKHNNPNQNPTNSGGGGGGGGGGASSSSSKDQPPLSSTERIEHQRRKVDRRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAISTQLKHSCELLGEKDAGSSGVTKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQESKEEVDHHHHQDDDQDEENKSEGNINYNNQHKNGPASSSPSSAMAQTPMHPPPPPPPATVPTYTTNSTATPAKRSEINDPENDPSILAINTQHCFSENQATTMSYSYTPTITTTGNTSTIPPSFPTTHDADHTCRRGSILDAGDDAAADIGSTLIRFGTSAGDVSLTLGLRHAGNLPEKTSFSVRDFGGC >KVI02625 pep supercontig:CcrdV1:scaffold_161:42049:50320:1 gene:Ccrd_019083 transcript:KVI02625 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K56 acetyltransferase, RTT109 MWDVNHHAMISHGVISSDSAVNVGYNCYNYASINTSNPGYFGGSSLKDYSQNVASTNISCDGMNMIPPLDRKQAYMEFITSPEHASCITASSYEDAISDTEAYDSNFQYNPESAHFLPSKYNSHRSDCGALLQSEVPFQQDIHAAECKNNLCKCHALNCNICGPARRSCGTANFFLGSRKRKFDTLDPSIKESRISTGTLVDMLPANYLNGSIVVDQISQEFSLSPSQQGLPVQLPCLEQWPKASVHNEDISTASWDRKANSIITEDSMRINQSKNLNAAFCEQKSKGAEGNGDTTNDEFKEPPEISSKRVHLCVEEKTSDEKEESSQVGPKAGEPQQANCESTAASDVYDSVLESEETNIQNTSMDENDSGLGSKNIKTPSTSMADSFTTSQIKEHLLSFPRHKWVQCDRCQHWQHRICGLYNNERHTEGEEEYICPKCCLEEIEDGRRVALPPTAVLGAKDLPRTNLSDHIEQRLARRMKQERVDMAEFSGIELGKLEVRQQYRDILDEEDYPAQFAYRSKSSTSNQKEIPQVVNLFVPSFTTKYWYKSMLRKAAEDDVVVDNTNLYNQFFVPTRQGNTKITAARLPYFDGDYWSTAAESIIKKLEEEESSGGLRSRLPTKRTLIAMGLENPDVVTKDVLVMQRLGETILHSKENFMIVRLQYMCTYCHEDVLSNVPIDTKDGDDALVNNFFETRDDFLNKCQKSEYQFDTLGHAKYSSMMILYHFMNELKLTQPSTKAISKTRSDKHKQRVMMIKASLDALMHASKCKSIQCSYPDCRTIRKLLHHASICSVRGHKKAPSNTFIEL >KVI02648 pep supercontig:CcrdV1:scaffold_161:268421:270564:-1 gene:Ccrd_019070 transcript:KVI02648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MESFHIRLQCRCREECHTTAVQCRFPFYQGRSMEEDLPGSIGISVSLALRLGQALFSVASLLFMCVGVQFYAYTSFCFLVTIKGLVIPWSLTLAMVDAFSMFVKRQSRQFQIVSVIVIGDWILSFLSLAAACSTASVSDFMVTEAGASFCDGKLCSRYQISAAMACLSWSLSLASALFNLWLLPSLYSNF >KVI02632 pep supercontig:CcrdV1:scaffold_161:321359:353995:-1 gene:Ccrd_019065 transcript:KVI02632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 13 domain-containing protein MFITNLIKRKLASLLQPWLLQEPELELKLGFLRSYGIVKNLRFNTSALNELLDDSISFYFTDFRVDRLTLRISTWSAPAFNWELQGFHVTISPRVVEGSERSREPSEVLLDEKKKVLREIDPEGSALHDIMEKLADIALSRSQTPALPKLIVNYCCLQMCDINLRLQHAISDNSLECLWEMEELNVDSRLVKPQSFLRGYISSLFVSSKESYFDLELRGLEIKLKSNEQIIPVGYATNIICAVKLNDLQLIDLHCSIEELVISFSPIDVSIISVLVRELSRKSSPIRNGRQLWKENTTRIRSLISRRRWSMWKLVNVVCLWLRYVHAWDNLFLLIGYPTDIMIKRSAVKMSKNQIFSKSFMRQWQVISEIEQEIPAPAIALAHRVVRGRTVKNVIPSKDELPVTRYLEYFQKSCQSFGRIWSTFCSMLNSITHWAFLRNSFASHPKMKKIGVLPTDSCTNLCYKLNLGKISVTISPDNAIPSVGKRTVSDRRVSDLDLLSFSLLIDTLILVYQENICEHQSIFSCRSFKVMYSSATRNKHGYSSKGRQKHQVLDSKTILWSKPALVFNHENTEMVSLPLLESLLNEMWLDWKISCAEFEKTTDEQLNDPFVLCEIKHLLTDQGHNSLSYHFTRCCLALGQLDVSLGYSSTLSLAILLQQIQKAFSWPTEMKSHKSTPKSFEDPPVRVWDCHSRISGVEEALHKVLPEKLIQVGVYVVGPQIRMSLRKDSLHSRSTNLHEADDDIHLSFDCKNVELIMSPILADNSTILNDAIHMKELQIVDLGKSDNESFRCQGQIMLDASLKIHGIIANLDDWPELQQSQIMTLKPISLQLSTIRKDAWSLGESVSAFSAVLHGNASGLSGLIFVDELSVLAENLSVHFLPDSGIHISVHQMHMMLSYKKKERKMEGLANFFGLRAVIFKYANDVTNTPDKHDIRDLLPRHQDVCELSVSNCTFSLSLTYLPHELPSSHREVVSSTSGSNILHTMENPILTNNSEEVIAQSPKDNQNTSLAQSTVAPVSNTCLHARISSTEIYMIGCPLKDVIVGKHQSSKLEISLSSDGGCQTISSCHCQGGIIFFETISAVLFSQCGNSYIRRVRHLLRGAPSFQENQAAADSANVTILVDYPSQGTRTVPQQGMQGISEDFTMGLSQFYFALMARDESGRLQELLFGADMHLDLKVVNMRRKLSFGLPHFSILSRVLQEFNRHQSNEVQIPIMSSSTSSDPSFHLIPKDMEATHGNTDEIHPVTTDASSSTSDSRIELRSQDSHQGPANYILKQLSCFIAVEEPVPKDPSDTLKSDQHWVGSGSISGFDVTISLSEMQMMLSVAELSGGSSKETTASVQQRQLHIDEEPVRKLEEMVQDGSIIAIQDVHQHMYIVVEGAERKYHLAGAMHYSLAREMALFRVKYHYQRIWKSTYLWFSLTSLCAKSESGEHLQLNCNSRSNFVELSSSGNSGSALWRSLPCKSTGFEDDSELESYNNVEKNLFYLINRKNNCSIAFVEGVLEFVSSPRNPFKWKVFQDFALARDPLLLDTLEDSKTGAQDTLHADKGKSTESTWALPLIDIKIDKISLTLYHEIPDTTEKLPLLQMSMVVPEFSIEILHAKTRFRVSVMELSLDILLFVIGKLNLAGPYAIQSSLLLANCCKVENQSDLFLLCKFSDKQIVTIARKQSTTVFLRNLASNQPPKASNVSIQLAERGDFMTVPIKFSLLKADSKRFPGPFVIVEITWKSEDGLSIVVSPLLRIHNKTDFPIELRFQQPVQEENEDALVLKAGDTVDDSTAAFDVIKTSGNFIFSFRPKISDDSRNFKNLASMGWSDELKGGKAARLSGLFDKISYHVRNAFPVESAKSSFSTARTSSKSKEGEVDDLHFLIQSTRRDVPILQPDGSEHAIEGRASTVALLEQNEIYILPTVQISNLVQSEIHVLLTDKDRYLPQDSENMSKQATIPCRSSVNLYSNPEAMFFTVTLTALGLSCKPVNCGDWAKKLLKQKKDNRNLDMELNFGDGIYFCSLRLSCGHRGILEAAIFTPYTLKNNTDFDLFCLAPNQNPLSRNEAEELRSQGYSQLGVLLPPKSAISWFFRTNKVSLKLLHDKATKAQLDLDAVSGLTEINLEVEEGPGLKYITKLGVSLHSTIRKVVPSQVVSLSPRYVLANESDEVITVRQCNLEDEMQGVTTVSSKQRKALQLCNRTSKKRETSIFENFIRKHKNVQDDSLLFIQFRLNEAGLNWSGPVCVASMGRFFLKFRRSTNITVEEIDQETASEENKQEFAVVVVSEEDSSLVLRFHRPPNMNFPYRIENGLCDASITYYQKGSTELETLGSAKQVNYVWDDLSLTHRLVIQISGLHMLREVNLDKVRAWKPFYKFGQHRVLGFNFPLDKKAGDKVKLRSSSNFNEMEMVNLGYEVYADGLTRVLRICERNDSRKLDKVFYPGAKIALRVSRFAIHFSERAKQSVSVDQKWVGAPFAAMLRRHQTGFSDTSDSMLRVVLILLPSSSNIRQVKYSSIVLQPVDLNLDEETLMKIVPFYRTSLSDPNTPSQQYYFDHFEIHPVKIIASFLPGDSYSSYNSTQETMRSLLHSVIKVPEIKNKTVELNGVLVTSALITIRELSIKCAQHYSWYAMRAIYIAKGSPLLPPAFASIFDDLASSSLDVFFDPSSTFKLLSKCIDGKGFTGTKRYLGDLGKTLKTAGSNILFAAVTEISDSVLRGAETSGVDGMFSGFRQGILKLAMEPSVLGSAFMEGGPDRKIKLDRNPGIDELYIEGYLQAMLDTMYKHEYLRVRVIDDQEWRIGPTVLTLCEHLFVNFAIGWLRKQAGDMTSKIKWENKFKGKPEKATKQPSKMSVLKWGVGQFVFAGIVAYVDGRLCRSIPNPVARRIVSGFVLSFLDRNDDK >KVI02627 pep supercontig:CcrdV1:scaffold_161:62514:66154:-1 gene:Ccrd_019081 transcript:KVI02627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome maturation factor UMP1 MEGSKKIEHEIGGLKNDAFRFGLQGVKSDIVGSHPLESAFQSKHVYDDELNVVVQAIVREENMKRKILANTYGSAFSVKQELDRKILSRFQRPPGLVPSSMLGLESLTGALDDFGVHDTETTCIPDMHHGMEVRVGISKGPIHPSFV >KVI02629 pep supercontig:CcrdV1:scaffold_161:26357:33673:1 gene:Ccrd_019084 transcript:KVI02629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxylesterase, type B MTIPTSPVGFSPVETTTSTHDMRSTDAVDSLRSRTSTSTNPVAGTRRRSIDSGKLAKTSPSLCRSQSFGREIGHAASETYLITRLAFTLLRYLGVGRRWISRLLALGLYAMLLMPGFLQVLYQYLFSSQIRRSVVYGDQPRNRLDLYLPKKIDSPKPVIIFVTGGAWIIGYKGWGSLLGFQLAEREIIVTCIDYRNFPQGTISDMVEDVSRGISFVCNNIADYGGDPNRIYLMGQSAGAHISSCALLKQAIKESKGESTSWSVSQIKAYFGLSGGIMEGDESLQQFSPEILIEDPSAKNAVSHLPHIVLFHGTEDFSIPPDASINFINALKRVGARAELILYDGKTHTDLFIQDALRGGKEELFDYIVDYLHTGDSEALANDAMAPPRKRLCPELLLKLAGLVSPF >KVI02645 pep supercontig:CcrdV1:scaffold_161:248102:253506:1 gene:Ccrd_019073 transcript:KVI02645 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MNVECSTSSSMAEVGSATGIHKRSKSFLDKSKFEEDGLQPSDRLKLDKGHSENCVNAKKNHLPNAEVQNSLRDEILQLEKKLQDQVCERGALEQALGYGSSVDIANQASIPKPATKLIKEIAVLEYEVSHLEQYLLSLYRKAFDQQISCPSPLRNVQRLKSPLITPRGKYLESCRADISLQANSWQEANGCSGEEEDQLLDSGVQHCHSSYAQTTSGVISLAEHLGTRISDHIPETPNKLSEDMVKCMSAIYCKLANPPLVNHGLSSPTSSSSSTSAFSPTHHSDMIWGPGFRRDSPSFDVQLDNPFMVQGLKESLVSRLEEVHPKKLKHEEKLAFWINVHNALLMHLETAKEEFIRATFGVGKDQRILLPKIVESFGKDSGLCAAGVIEMIQLCLPDTFRKSIKKHQMAKSRKKIEWVPHDFAFRYLISKDLVN >KVI02649 pep supercontig:CcrdV1:scaffold_161:83806:84361:-1 gene:Ccrd_019079 transcript:KVI02649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQTKEPSLKKLEYLSLVSKDRVKEFEREIEMEARERRKRDGEVDDDGGRDHRERDIDRGRGRDMRVIGIDQEEEEEGFRNRLGRRGRVYN >KVI02626 pep supercontig:CcrdV1:scaffold_161:76987:77973:-1 gene:Ccrd_019080 transcript:KVI02626 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MYNSSSNPFPSSIHGFPPASAASFYGHEKDGVYFHHHPPFVAGDCSFHHVTTTAIVPPLLPPAIKGSEVVGYCDNSRNHFSDSVISFPSKTRVSGSKKDRHSKIFTAQGPRDRRVRLSIDISRKFFGLQDLLGFDKASRTLDWLFTKSKTAIKDLVEEMKQHSSSSALTDQCEEVFLGKGSGDHLEKIKGKKSKKPPITKCGNGGSKRKTTKKTTTQKQKAGFHVNLAARSQSRAEARARARERTIEKLQKLRSESENVVGDQNYCYHLQSNCGNQIQSQSNQDVKIGESAAMDQKLSKPYSLLYSSHYSCFVESKDSTSQFKNSTTH >KVI02639 pep supercontig:CcrdV1:scaffold_161:291898:292371:1 gene:Ccrd_019068 transcript:KVI02639 gene_biotype:protein_coding transcript_biotype:protein_coding description:YCF37 [Source:Projected from Arabidopsis thaliana (AT2G23670) UniProtKB/TrEMBL;Acc:A0A178VUP0] MACRSSNLLLNPFSRRSSRPVTTVSAYKVQCPKAAPPTIQFDGQSRRQLLFLMTATTAVKALEMPSMAEDIGLFGLRKKLKKAEEEAEEIVKEGIESAEKGLEAAERGIETAEKDIATDVSFGIGGGLTQAGVVVGAEVVGVLIATSVVNGILGPEG >KVI02158 pep supercontig:CcrdV1:scaffold_1610:40513:42327:-1 gene:Ccrd_019554 transcript:KVI02158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A MASIVFFLLLSFSLLSAGKPLPKSTIFRPRLTSDPTTTNDISPTTYFEVTKPIQLPNTKPYSKLVLQHDFGYTYGSPPVLAEYTPPANYPSNDFAKIVLEWTATCKGRQFDRIFGVWLGGVELLRSCTAEPRATGIVWTVKKDITRYHSLLMNKQTLAVYLGNIVDDTYTGVYHVNVTVHFYPAEKKMKMPVNSDHLHNQWADLIIPISRSLPLDDGLWFEIENSTDVKSKKFVIPQNAYRALLEVYVSFHENDEFWPTNVPNEYISANNLTGFPGNGPFREVGVSLDGNVVGAIWPFTVVYTGGVNPLLWRPITGIGSFDLPSYDIEITPFLGSILDGESHDFAFRVRNALNVWYIDANLHVWLDGKSEKTNGKFLMQKISPLHVSLESNFTGLNGTFVTKVNRSIKSSGWVQSSFGKIVTESTQEFDYSNFMVMRKDGDSQIVNQMINFNDSVYAKMPSSVLSKKSLKSFSFYIFSDTVEKGKGSYSEIANITLGFNEKKIDDLGSKSSSSVLENLQNGQGSMLVKGNLVVSGLGSTQQMYNYGDDKFCYFRDISSSNYTIIYDKEGSTCSKRKKSRFDFAMGKRWPFPARRALKASDRHAM >KVI02162 pep supercontig:CcrdV1:scaffold_1610:67012:70716:1 gene:Ccrd_019558 transcript:KVI02162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYYEQRLRDEVIYLHSLWHRGPLYRSLPYTTLQPYNSTNFKKHKKKSKSNARQSKKVGSFSGKEWPVKPLSADPPLTQSGWPELKLKPNPQQTPRLPTPEDLEKFKDCIALVQHSISIAKTKKLRSHRAYGQVVCKVLGWDIDRLPSSIVADYKGNGVNADKDVNNGGDNAGLNKNTDNGESNLQVDPNGSCHDVSEIVDDESMVCEGNDVNVDKDVNNDGDNEGLNKIIDNEESNLQVNPNGKGQDVTKTIDAESMVCEESVVNNGAGTTTTARQQQQCSCRLTDKV >KVI02161 pep supercontig:CcrdV1:scaffold_1610:51482:67102:-1 gene:Ccrd_019557 transcript:KVI02161 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MAMTYKEDLGAIENGVFNPRISFFSRNPRFVVFDLYAMSSSSSSKIVAEYAKSGKSSCKKCSQKIDSKALRLGLKIRDPRGYDSTKWHHLDCFFSLDSVPVSYTETIEGFSELTGSDQDKLKQMVSEGDQSSTKREGDVELESQRTSSKKLKMDEDEEAGQAKKNVTDEKYNIFTSFAMSSSSTCIKIVAEYAKSGRSSCKKCAEKIDSKSLRLGFSSWDPRGFENTKWHHLDCFFPLDTNLLSAESIEGFSELKSSDQEKLKKLVTEGDQSSKESNEDGETELEGRDQKSGKTDEDEEAGKEKENVKNEKIVAEYAKSGRSLCKKCAEKIDSKSLRLGLTSWDPRGFENTKWHHIDCFFPLDSNLLSAESIEGFSELKSSDQEKLKKLVTEGDQSSKKSNEDGETELAAQDQTSEKISNSLDARVGSEIDFAVSDIKDNYKGATLQPKWKAFRTIVYLERDDGLQDSRKIAAFDFDGCLAKTSVQRVGATAWSLMYASIPKKLQSLYNDGYKLVIFTNESNIERWKNKRQAIGLKFYLPEEYFDA >KVI02165 pep supercontig:CcrdV1:scaffold_1610:98400:99737:-1 gene:Ccrd_019560 transcript:KVI02165 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF641, plant MDSVQHSSNKSRLARTFAKLQYAQFPYDGEGIQSADQIVVSELKNLSELKQCFLKKHLDDSSPETTQLVAEVQEQKNLLKTYEITRKKLNSYTKLKDSEIMFLKEKLEESRRENKVIEKRLSSSGSLSSSLHENLDFHSLTPTNFVSALKQTMKSIRNLVRFLISEMESANWDLDEAADSIQPDVVYWDTTHKCYAFESFICREMFDGFNLPEFRITSDYQSMPRSEKRQRFFDKFMELKSLKAREYLTWKPTSMFAEFCRSKYLKLVHPKMEFSLFGNLNQRNLVGARKFPETAFFDLFADVAKRVWLLHCLAFSFGPEEVGIFQVAKSSRFSEVYMESVNEEALLSPESSSVVAFTVVPGFRVGKTVIQCQVYLI >KVI02159 pep supercontig:CcrdV1:scaffold_1610:43368:48018:-1 gene:Ccrd_019555 transcript:KVI02159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3-GAP regulatory subunit MAPRTHLTDLGSIASVDLTELGAGKEGWLDNPNVLCALDTNSLAIASRYFLLVLEWSTASGLAGFRVKIRPNLSPIEAEYISALEWFVFDDIRVIAIGTSCGYLLIYSLGGDLIHKQLVYPGRILRLRVRGTKRDITEDTSSFEEVCVVIPGIIARFDGSDIQETQNRFWDQSADRDLEESENSYARLPYQLWNVSKYGSCVDAAVTGVMPPPLLELQSSDRYYCAVTIGVDAAISAFRLSEDRSRSFVGAIMSKVFPATFSTIASFSKLLWRSNQPTKKPEPKPQPFARDHPRKGEKLTLSPSGTLAAITDSLGRIMLLDTRALVVVRLWKTLQELMNTFGSTDGSSSYKPLEVFFLNGDSGQLSRLN >KVI02164 pep supercontig:CcrdV1:scaffold_1610:113832:122337:-1 gene:Ccrd_019561 transcript:KVI02164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MGSCSLAMALYSSPLQDFCVVAPKRRVFVNGEVCIDPKLVRADDFFFSGIHLMGDASNAVGSNVITVTVVESPGFNTFRISRACIDFAPSAINPPHTHPRAIEILTVLKGSIEIGFVTSNHENHLITKDLQKGDVFLFLQGLVHFHRNIRNSYAHVIAALSSHNPGAITIVNAVFHSNLDIARELLAKAFQMDKNVISTQIFLQRHSKWTRRSFIKFNQNSRLLYPHSSTMASRLFVVTCSLASASDHGPLQDFCVTIQVGFVTSNLENCLISKVLNKGDVFVFPEGLVHFQQNVGNCNVVAIVGLSSQNPGVITIANVVFGTNPDIASFHFSISTMASRLLLIGLVFVASCSLALASDPSPLQDFCVADPSGRVLVNGVECKDPNLVKADDFLFRGLNPMGDTSMGVGSNVTAVTVAELPGLNTFGISMARIDFAPQGINPPHTHPRATEILVVISGRLEVGFVTSNPDNSLLTKVLEMGDVFVFPQGLFVVTCSLASAFDHGPLQDFCVAMPNSPINNACMMLLHNRLTVSFVKMRHVSKQNTSCNGEHIKCSWIPCDTYNCGLGINPPRTHPRATDILTVIDGTIQVEFVTSNLENCLISKVSNKGDVFVFPE >KVI02160 pep supercontig:CcrdV1:scaffold_1610:48365:50134:1 gene:Ccrd_019556 transcript:KVI02160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHNPSFFKTLNPYFSTFTKPYPLPTKFSNPLYKTLIPFHSSPQISSLKCLFQPKPNNASCTPDVRSYAGRSKKQPGGTSTGRIEGNAELRREAKRNARRKSKKLAENLFYRLKNPHGNYPNNFSEEELQMIGLGYDRMVQFMEKDDPNLKHPYDWYKYGEFGPYSWRGVVLGEPIRGRFSDENVTLIGEVRDQEEWEKIEQFEMSQDFGQRMESMDRNVGFRYFWVFVRHPKWRVSELPWEQWTLVSEVVVESGKQRLDKWNLMGRLGNQTRSLITKCAAWMRPDIIYVKRPVYQCRFEPQDEFFKAMVPLLDPASEQDFMCELESDDGGVEMCTYFGGLCKILRVNPKAFVDDVVKAFEKASDEKKSKCLEFVLGNHPIELLHPYTKEWKAKLEDMERSVQMTTKLYEKQSRVMEEKERKVKEENENDDRELRGRAKISPEEWEKLGYGPRMKKIKKSRIPPGQFLRAAVRPFRYKNLVKEIVLTRHAIVEGEIGGDKKK >KVI02157 pep supercontig:CcrdV1:scaffold_1610:22403:25234:1 gene:Ccrd_019553 transcript:KVI02157 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF640 MSTHDQQIQGRELGEGSSRGGGGGGGGLMPSDSQPPPPPPPQLSRYESQKRRDWNTFGQYLKNQRPPVALSHCNYSHVLDFLRYLDQFGKTKVHLQGCVFFGHPDPAGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPEMNPFASGAIRIYLREIRDSQAKARGIPYKKKKKKRNTPILKANSNEETSSFRIQQS >KVI02163 pep supercontig:CcrdV1:scaffold_1610:71502:72639:-1 gene:Ccrd_019559 transcript:KVI02163 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MKHQQFQCCYHNWVAQQRLDLDELLQTLTHYWTDPDYLKLITNKIINHFENYSHARAELAKHDSPSFLAPTWGSTFENSFLWIGGCRPSLIIRIVYALCGSQLNAHFEEFLEGIRHGNLGEISTDAPLVLLANDHREAGETGRDEVVDKAMDRHALDIYNVLIEADKLRLSTLKGMIDILTPLQSVEFLVASKKLHLFLHEWSKRRDMKMGITHLLNTYNPSSSRDPPPPPEPCGPPPPPPAEP >KVI02926 pep supercontig:CcrdV1:scaffold_1611:16745:19207:1 gene:Ccrd_018780 transcript:KVI02926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDSTAAGAEIDLAAQHVLLGEGYYVERTSKQTIEILKRRGKDLESQIETLNAVIKDLKFEASFFDDTAIEAAKRKHKGELIDGIRSYTTNCPYQVSGAMKEEKQEEWKDEVQEQYMPGANVMHEIDDKSFYSRRMYVKSVAVPPPSE >KVI02925 pep supercontig:CcrdV1:scaffold_1611:77149:82552:1 gene:Ccrd_018783 transcript:KVI02925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MLSKLINFLKACWRPSDRYAYTGSDAAGRQDGLLWYKDIGHHLNGDFSMAVVQANMLLEDQSQIESGSLSFLDSGPYGTFVGVYDGHGGPETSRYVNDNLFQNLKRFTTEQQSMSVDVIQKAFQATEEGFLSIVTKQWMVRPQLAAVGSCCLVGVVCGGTLYIANAGDSRAVLGRTVKATGEVIAIQLSTEHNASIESVRQELHSLHPDDPHIFLFLEMVLQISRSIGDVYLKKAEFNREPLYAKFRLRDPIRRPILSADPSISVHEIQPEDRFLIFASDGLWEHLSNQEAVDIVQNHPHNNWDRVRNKNFVRTVGTRLVKAALQEAAKKREMRYSDLKRIERGVRRHFHDDITVVVVFLDLNLVSKASSSCKGPTLSLRGGGVHLPAKTLAPLSTTTEVSNTAAA >KVI02924 pep supercontig:CcrdV1:scaffold_1611:49941:50466:-1 gene:Ccrd_018782 transcript:KVI02924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLVVKNNRVEGKTTKIGYEGGRGGNDLPVCPKPRRPRSTIRREVLKPFKCINAHSHTTNHDVKSETLNMTTTDKKSPEEREPFCYYTGSPPQRT >KVI02929 pep supercontig:CcrdV1:scaffold_1611:101663:110235:-1 gene:Ccrd_018785 transcript:KVI02929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase, alpha subunit MVSKGRLHPRGSSRKSSSSTFIFSVLIICSFFVLILFGFAILSIPKSSSDSSKVHEVNSIVHHTSIVESDDRADQWVEVISWEPRAVVYHNFLVSMSDVEEGGETVFPSAKGNISAVSWWNQLSECGKDGLSVKPKMGDALLFWSMKPDASLDPSSLHGGCPVIKGNKWSSTKWIHVDEYKVVAPLLRGTNGPLRNGFTSMSIRFRFSSKGSNVLSFSYNS >KVI02927 pep supercontig:CcrdV1:scaffold_1611:34434:42807:-1 gene:Ccrd_018781 transcript:KVI02927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MQSFLVFLITFLSIWGNNFIKNCYASVFTLKMHHRFSEPVKKWSESINKLSAGDFPSTGSVEFYSQLADHDRVFRGRGLSDSGEQRLTFSDGNSSLRIKSLGFLHYTTVSLGTPGQKFLVALDTGSDLFWVPCECSRCASIDNTPFSAKFNMSIYNPKESSTGKKVTCNHRMCTASCPGSGTVSTCSYSSSYVSSQTSTSGILMEDFLHLETDDGNRKIVNAFVTFGLLAKERFIADSFSMCFGHDGSGRISFGDKGSIYQEETPFTTQLRVGAALINSSFTALFDSGTSFTYLVEPHYTRLTKMFHAQTKDSRRLTDKRIPFEYCYAMSPDANTSLIPDICLTMKGDGQFIIYDPVIVLPTHNEEMSIIGRYDVDNTTVSPSKPLNTDTVPPAVAAGLEGEGTETRTKIGAQSSVASSYNLNFCYSTHNCIHALIIHSLIVVISLGFFV >KVI02928 pep supercontig:CcrdV1:scaffold_1611:87796:94127:-1 gene:Ccrd_018784 transcript:KVI02928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSGLLILKKTEEGVDVFKLGIPEMDDPYFEDGDEEGEGEGEGGVEEKMKKYVILVGHLRLSMIFMKKSLKESCLRDSSIMEVYTYPSHFMRSSSRISSISFRNLVCKVKDFLSSAVSAIIGNLFSAILTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLILWKSDESGIGCLLYLIDVIVSLLSGRLVRERIGPAMLSAVQSQMGAVETRFDEVHNIFDIGGSKGLTEYSVELIPKIAFSNDNDVDESGERVSCSVCLQDFQVGETVRSLPQCHHMFHPPCIDKWLVRHGSCPLCRRDL >KVI01730 pep supercontig:CcrdV1:scaffold_1612:82484:102519:1 gene:Ccrd_019991 transcript:KVI01730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MAAVKGLYVSIEEFLKHCSQSGDSAYSAFRSLLERLEDPKTRTEARIFFAHLKKKIENDGASDHCLDTYHFLIQDVYLGQSEGYENRNKLTAMVIPSIFLPEDWSFTFYEGLNRHPDTIFKDKIVAELGCGNGWISIAIAERWSPLKVYGLDINPRAVKISWINLYLNAFDDNGQPVYDQEKKTLLDRVEFYESDLLSYCRDNHIELERIVGCIPQILNPNPDAMSKLITENASEDFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIDVIKPMGIMIFNMGGRPGQAVCKRLFQRRGLLVTKLWQTKILQAADTDISALVEIEKNNPHRFEFFMGLVGDQPICARTAWAFGKAGGRISHALSVYSCQLRQPNQVKKIFEFLKNGFHDISNSLDLSFEDDSVADEKIPFLAYLASVLKDNSHFQYEPPSGSKRATAIENALQLFAPRLAIVDDHLSRHLPKEWLTSLEMEQNINNKSSTNGITVIEAPRQSDLMIELIKKLRPQVVVTGMAQFEAVTSSAFEHILSTTREIGSRLFIDISHQFELSSLPNSNGVLKYLARTPLPSHVAVICGLLKNQLNVMSIHHCAVVGYLEAEELTSGQYSVSLVYTDLEVAFVISEEQIICDALSKSVELLQGNTALISQYYYGCIFHELLSFQLPDRHQPAEYMKLKIKQHRSFLVIWMLLDKASWREAENAKCSEMIGFSSSAISVLSNAEFSLRETDNSSLIHMDVDQIFLPTPTAVKAAIFESFARQNVTESECDVTPSLKKFIKTAYGLSTDNNTEFIYADSPLSIFSKLVLCCIQGGGSLCFPAASNGNYVSTAKFLNAKIVQIHTISDEGFKLTEKHLSSVLETVSKPWVYISGPTISPTGLIYSNEEMESILNVCAKYGAKVIIDTSFSGVEFNSKGWNGWNLEGSLAKLTSGKSTFSVCLLGGLFFKMLTGGLTFGFLVLNQRFLVDAFNIFSGLNKPHSTIRYTAKKLLDLREQKPGELLATTEEQGKLLGGRHKQLKATLERCGWEVLEAHAGVSVIAKPSTYMGKRFELKKDGSTWKANLNDSNIREAMFRATGLCINGASWIGIPGYCRFTLALEDTDFDRALECIAKFKKLVE >KVI02049 pep supercontig:CcrdV1:scaffold_1616:78927:81002:1 gene:Ccrd_019673 transcript:KVI02049 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MSTRLQKAIGAVKDQTSISLAIVNGSSNLEVAIVRATTHNDDPIEERHIYDVLQLVSSNKIHAGACARAIGRRISRTRNWIVALKSLMLVLRIFQDGDPYFPREVLHAMKRGAKILNLSNFRDESHSSPWDYTAFVRTFALYLEQRLDCFLTGKLRQRYTCKERDGSHSYRTMANESLRDMKPSMLLDRIASWQRLLNRAIATRPTGSTKMNRLIQISLYVVVKESFDIYKDITEGLALILNNFFHLQYEACVCAFEISVMAVKQFEELNEFYSVCQTIGVGRISEYPNIQTISEESLERMEHFLEDQSSSSGHVRPPNKPLVVPDPEVRSPSSCDGSWQPNLDARSEFGTQCSSIEDLISATETGKRPSISIDLEAYSDQFEKTYQQEEPFRVSYTGSTQSLPVMNSMIDLLSLDDWPPEEQQEQEREQELFDTVILNDSTNPQHSTSSNDPLQSSLDKSWELVLAESVERLPQSRENSLDGFGNLQVHDTYSRRKQPESNEGWELALVGTPGPQQTHQGISSNTFDASTAITLYDQPPPQSPSLNHYNPFLDVIPATPPRRPSPTMDFGANFLGVNPFSSPPPFPRTTPSQNDPFSAGLRKTQSDRFALPPHQRQNDRFLVRSISSQNDPIPRSSFTPPAHGDQSDPFGPYIQIKDPFSERMNQQNLLKQQQLWLQHQQKIMDRNMANI >KVI02048 pep supercontig:CcrdV1:scaffold_1616:63627:73200:1 gene:Ccrd_019674 transcript:KVI02048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFELLTFIDDGCFDTHDLIPLFVMMFHGVSFVSSSDPAQWHYSIDQFPSGLNLRDLQSYLSDLSLFLAPESKTFYVLVDNRPWLEDLVSGPAHLWQLMVTKSRMSPFAITRGRKGGKGDPEFSELNCCSDASSHTQKLKRWLSMTTLSRKRALLPVKKLQTSLLANSQLHRILYGFIVFEVVWNDVRGINYLNELQTATSLAIEAKYMRRWEFDSIAQAATGITSWFPGTPYERLLLEQHLNSMLGEVVHDAGMDSPSFCSTSDDGTDVLAEDESLCSPSGSLGDLSENLEDQTSVSRTPSPDEPYKRRKNSQDIDVDGDLYTETSYSGTASCQIPSPCPSECEGATEAIQYRDVLLLFRFNDRDLPFALRKIIMSDLRLLTLLEAGLPSWVVFFQSYPVFCHIYRPWMCPLARALYVAISFVTVVIGFYDLYKNVPVLKATASRMFGPLFDWIETWEMISRIKYLGTMLFLHNAEKAIMWFLMVTRTVRSLFSVLTQPLVAPFLVVMDALFPFCNFFIEMGESLYSFICILLDTSWNVLEDLIEILLLPVWFISSAICIICAPIHLLMGLSNFVGFIYKYMYDLVGAIWLFVSSLLKVASNTEATVHTYEVSMWRALWNDLFSQIFRAIKSILYGVVAFFAACNRHRLSIYNHLLEFIRRPYRPAEIPEPLIFNNRVQKPGSRTPP >KVI02043 pep supercontig:CcrdV1:scaffold_1616:59113:63755:-1 gene:Ccrd_019675 transcript:KVI02043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCRIRRRNERNTVEHHNKERDQVMRVEAAIINEYYKFPASTTVLCISNHPRFDATSPVAKVALTGDAMIGPITSFRVSHHYRNL >KVI02046 pep supercontig:CcrdV1:scaffold_1616:90478:95832:-1 gene:Ccrd_019671 transcript:KVI02046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MHYSPPPPPPPPSSAHTLNQTTALLSILLPATFSVKSFIARWQVIRSKLAILKSSLYDISESTHWSENPLLQTLLPGLLSTLRRIQTLCDQCTDSNCTAGKLLMQSDLDMAAGWLSKQLDDLDLLIRSGVLCQSTAIVLSQPAPGSSIEDLGFFIRDLFTRLQIGGVEFKRKAMECLLQLLVDDENAVTVVASEGNIRYLVHLLDVDDHREQAVSAVSLLACASEHSRKTVFEEGGLGPLLRILEFGSISSKEKASVAIEAITGDIHNAWAISAYGGVPILLDVCRSGSLTAQSHAIGAIRNVASAEDIRSCLCEEDAVAVIVQLLVSGSAAAQEKAANCIAIVTSSSKYFGTLLIQEKGLERLLHLLHRSSNPDTLEYVLRSIHSLSTSDSVCRLLSSSSTFIIQIAELIDHGNLTLQQISASILAKLFINDCNKRAVAGCMGSLVKLMEFVKPVGLQEAAMQALTSLLTVKQNRSYFTKDEKSMTSLVQMLDPLTESVPKKFPVAVVYALMAGGSNSRRKRLVDAGAHNYLQLLSEMEVVGAKKALQRLSGSRLKSIFTRTWRE >KVI02041 pep supercontig:CcrdV1:scaffold_1616:8793:14514:-1 gene:Ccrd_019679 transcript:KVI02041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MIKQILNKLPRKPSSKSSQNDGGKNDMAFPSMNSGVNNSSKTTGSSGKGSNPAINGSYAPNVKSNQGKKSGPLGSTQVSHGPNHAVYEALPSFRDVPSSEKHNLFIRKLNMCCVVFDFSDPSKNLKEKDVKRQTLLELVDYVSSVSSKFNEVTMQEITKMIGSNLFRSLPSSIHDNKLPDVFDPEDDEPSMEPSWPHLQIVYEFLLRFVASSETDAKLAKRYIDHSFVLRLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGVAELLEILGSIINGFALPLKEEHKLFLMRTLVPLHKPKCLSMYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPITNSSKEVMYLSELEEVLESTQAAEFQKCMVPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLITQNRKVILPIIFPALEKNTRGHWNQAVQSLTLNVRKIFSDADQSLFDECLVKYQEDELKKKENRKKRESTWIRLENVAAAKTNEPILTSKFTSVAIGATTTTTTTPTPSSLATASS >KVI02040 pep supercontig:CcrdV1:scaffold_1616:3453:7619:1 gene:Ccrd_019680 transcript:KVI02040 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF861, cupin-3 MEKRVSMFRDGVGGEVKKNRTQRRSSESRRAPFLPTKVQNSATMASLAMAPQLFSISKRNKAERKTSHSTHVRIRSMQRPLEEIYSIRVERNVSKERLAELEVSKWSTWKTGKCNVPWDWHVDQLVFIEEGEVRVVPEGSERHMSFVAGDLVRYPKWFEADLWFNGYYQERYSFRAYGDD >KVI02042 pep supercontig:CcrdV1:scaffold_1616:26164:32769:1 gene:Ccrd_019678 transcript:KVI02042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFSSLGTGILSSAYDGRLYTCMHHPETVNRPVAGIGNDSLIFIDIDQGQKLHLWRSDYVESSLPSQISSICSCGFTNGGATSPSWIAVGLSSGRSRIGGKFHGDKWWMKWLLTLASPEGDTGNEFNGLLASSSEEQSEHKNWSSFLASSIVAQTCWPNCVMIDRTDQTNLRVEGQQNKLHRHESPNPTTALQTGSNNSTQCSSSQIMATINKNKYGSDSFLYLSKADNEIMKIPVSRRSNQELIMKRFSLRHPDDAKLRKKKEKKPGNLMNRRMISGGKMNNVVKFEEKKRSIKKP >KVI02045 pep supercontig:CcrdV1:scaffold_1616:46667:54405:-1 gene:Ccrd_019676 transcript:KVI02045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase MSTTAGDEKPGRSASVYSEVQTSRLKVFLPLPSVLKSSFDVVDGPKSSATGNPDKIAKLFPKLFGQPSASLKAGGSLPEQSLKIGVVLSGGQAPGGHNVISGIFDYLQSRTQGSTMYGFRGGPAGIMKGKYVKLTGDFVYPYRNQGGFDMICSGRDKIETPEQFKEAQETVKKLELDGLVVIGGDDSNTNACLLAEDFRTNDLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNLMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVQQLIAELNEILAQDVIDKEGAWKKKLRSQSHELFEILPNAIQEQLLLERDPHGNVQVAKIETEKMLIQMVEAELDYEGRCGLPSNFDSNYCYALGYGAAALLHSGKTGLISSVGNLGAPVEDWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASMRDDWSLKNRYINPGPVQFVGPTADVINHTLQLELGAQA >KVI02039 pep supercontig:CcrdV1:scaffold_1616:106830:110296:1 gene:Ccrd_019669 transcript:KVI02039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] KNASCSRPAQQTRSSSKIGSAAIVNQFQGIHAQLGTQMVNKAWRIIPRPIMETVLNNHAHRHRVHQPLIIHGPRGVGKTTLILERLLENWNKGPHVTGYVDFAQSIEDNHPHHGHSFPWASWSNCSPPSLPTLRTQLEQSLESMAEKGVQLGAISSHQICKTLGKWHNLDTSLKRIIQTNKETTISKRILSNKVSTLTLWDKAVFTLTARLNATEIDEVLGLREKGKNMSVQEASYYREGMVALKLAKEVLRIQQGFRANAVKNLNKTGGFSRTLANSATDWPYLLLELLSGAAQADYFQVHNTFIIHKIIDAKHPKLVINNIDVLKNAALVDDSSVSASMYHDSLIWRIIALGANEMCMPVILVTSDSYYSYGAYMDFGFPDIFISRETFGWTPQQAKIHMVPDYFSQSEWDLIIEVLGSNPRHLFEIYALKQSNYYQA >KVI02047 pep supercontig:CcrdV1:scaffold_1616:98193:99589:-1 gene:Ccrd_019670 transcript:KVI02047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSGLSFFHTSNILVQVAQGNETGANVSNHGQSGNQSQPRSPSQSMPQGLQNPLAAATIVPTLATPIPDSLNTLSKFINRMEQALSQNAYQPNHPSVSTEGIPAVELPSNGHGLLSPTALAVVLRRTQRLLSGPAIDSLSHTAGRLEEEEHTTNVTVRTQIQTEAMQSGIAMQHLGALLLELGHTMLTLRIGRSH >KVI02044 pep supercontig:CcrdV1:scaffold_1616:27978:32905:-1 gene:Ccrd_019677 transcript:KVI02044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKLEADHRNNVKVRVRWYYRPEESIGGRRQFHGVKELFLSDHYDMQSAHTIEGKCIVHSFKNYTKLDNVGTEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDQFLCSDCSSDDDAKRPLNSFPVSPSGDAKGVYPKRGIFKGGAETQKAMTDQLTGERRIGVP >KVI02050 pep supercontig:CcrdV1:scaffold_1616:82699:85642:-1 gene:Ccrd_019672 transcript:KVI02050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30, ferredoxin-like fold domain-containing protein MAEVAKVGPAVPESVLKKQKRNEEWALAKKQEVEVLKKKNAANRKLIFNRAKQYSEEYEAQQKELIQLKREARLKGGFYVNPEAKMLFIIRIRGINAMDPKSKKILQLLRLRQVSSKSRIPGYPNLKSVKELIYKRGYGKLNKQRIPLTDNSIVEQVRLLFFGLGKHGIICVEDLIHEILTAGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDFINELIRRMN >KVI10814 pep supercontig:CcrdV1:scaffold_1618:67199:67666:1 gene:Ccrd_010782 transcript:KVI10814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MNTREDRSQENPGHQKGWLNLSLGQNLGENSQSRPMKVYTCNFCKRKFYSSQALGGHQNAHKRERDAARRYQSPKFTVNRSLGVHAHSLVHAPSRDGETTVARFTDDGARCGVTWLKPYDGEETAESKWPGGFYLDAQPASQPSDPHMLDLNLKL >KVI10818 pep supercontig:CcrdV1:scaffold_1618:102619:110276:-1 gene:Ccrd_010779 transcript:KVI10818 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MAIDIRTLKDRYIDSCNDWRTVPNKAVLSAFFKAELKKSNNEESSFVIFLDDLKGVDFHPLYNVFVQIDLSEIDSVDILQKSSCSLDGDSVLLLLHAVNQKLRVVDLQDRTYGKDFLFFHFSKVYSCCLFTTSNPSLLSAENVKQLIHGLLMTFTVRGLSLGGLACQVLNLKFSHFRKVNMIGKFMHMRTLNLDFSASLTSFGKDCFACMPNLKCLSLCETKVSNLWTTSASLAKLPSLVELRFQSCLCCDDTRACPRSFGAKADESTSSGHLDHGCEKITPFLTDEEDTDLFSNMEGEFINVFPVGNYNPIHETISESDDSTDSEVDFSNTHPGIHLLEDFTYGPCVWNGLLDLPNEIFPGTWGSEDTEETWSNSSISRPISCIARKKHLSYHPSPICYEKHYREFLIASFPNLRILDNLPIRKTDKDRAAIIYSENFEHLPYRRTSRESVVSILQNREIKANRTRSSTSGKSQYSYSRSLGASKVGCAVLPSLHPLSITASSSIPRGDGRKFRPRQFEYHPSNSNLMVIGTLDGEVVVVNHESEKIVRYIPSHGAMNSVLGLCWLKKYPSKLISGSDNGLLKLYDIQHISSTDAGIYPSAASVAFDEFDQLTSVHVNSLDELFLASGYSKHVALYDINTGKRLQVFTDMHQEHINVVKFANHSPSMFATSSFDHDVKMWDLRQKPIHPCYTASSSRGNVMVCFSPDDHYLLTSAVDNEVKQLLAVDGRLHLDFGIAATGSSQNYTRSYYMNGRDYILSGSCDEHVVRICCAQTGRRLRDISLEGKSSGSSMFVQSLRGDPFRDFNLSVLAAYLSPSSSPEIVKVNMLSSKDADKECLNSQPTQSLGGA >KVI10815 pep supercontig:CcrdV1:scaffold_1618:49484:63687:1 gene:Ccrd_010783 transcript:KVI10815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARIGHYDSSLHIIAIPFHVKEALHYLASWFFQNALRCDSDLTFKGIRVVSTEQLSGSLSFQPWNFPMRYVDIGIPANNKGQENPDASQNCRTKSRRGLFTIKTSLYEDIEHQIR >KVI10816 pep supercontig:CcrdV1:scaffold_1618:16655:28332:-1 gene:Ccrd_010785 transcript:KVI10816 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MSLDSAKSGSNSKRSFNSTNSNKTGGNDYNNNNNKKKKKGEGGSGQKTLGMVXGSNSRSSSRSSFRSSPFTDFGSYMAVKNKKLHEQFDAEASSSSHGGSGSKKPLFYGVSIFVDGYTVPSSQELRGYMLKHGGRFENYFSRQRVTHIICSNLPNSKLKNLRSFSHGLPVVKPTWVLDSVAADKLLSWAPYQLDQLASETNNQPKLSAFLALRSNLVPVDPTNGAMTSDINISEVSDSAEDIKLPNQESDSHVHNSYDEAKVEEPICSNDYEKEVAETNEVVIGMPLAEMESKSSPCQPSASGSSRCSDFQNITKSSSMVSGSSNKGPSTTVDPNFVETYFKNSRLHFIGTWRNRYRKRFPSSSDGFRPSRSISASSTCQRNTVIHVDMDCFFVSVVIRNRPELWDKPVAVCHSDNPRGTSEISSANYPARAHGVRAGIFVRDAKALCPHLVIIPYDFEAYEEVADQFYSILHKHCNKVQAMSCDEAILDISDMEVDDPQLLVSLIRKEISATTGCTASAGISGNMLMARIATRSAKPDGQCYLPPEKVNDFLEDLPIKALPGIGRAIEEKLKGRHVKTCGELRMISKESLQKDFGQKTGEMLWNYCRGVDNRLVGMIQESKSVGAEVNWGVRFRDMKDVSRSILPISFFLKVQTSFFLKFISVTKCQHFLLSLCKEVSLRLHGCGVLGRTFTLKVKKRKTDEEPVKYMGCGDCDNLSHSLTVPMATDDVNVLQRITKQLFSHFHIGIISSDHSCADVIDIRGIGLQVTKLESADNFKQGNERSSIRSWLSSAREGHEVSSSSRERRNQDIKEQIGDHLYPSRSNSSVSANLFNREANRSSDVPPLSELDLEVLESLPPEILSEMNDLYGGKLKGFILKRKFEDVGVGTSSTLSGNAEGVREHFRPELVYNVAAVASTSQNVGVMPSSLSQIDASVLQQLPEEIRDDILEVLPAHRNLETVSNNEAEPRDCSSSNQLWVGNPPQWVEKFKSSDGQILRFLSETYSELGSSCNLSSVLLRSVSAVCIGESNGECVDEISCLYEFLKQYIDLKVESDLEEIHTCFRLLRRLSGKSELLLQVYNKTLTHLQDMDSEFDLPLEFKHWVTQKEASYVLQE >KVI10817 pep supercontig:CcrdV1:scaffold_1618:30081:34233:-1 gene:Ccrd_010784 transcript:KVI10817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNEAAAIDPLAGGSFSRLLFSDVEVDDAITDHRHRHRHHQPDNTTAVGSSRRFTFSADQKPPKMLCFGGDYTNDISAVSLTPQNSSTTTTDDNNNSSSPSSTTNSTTTTSLSSNSNMKRNMIRCDYFQPVVLPVSFTTTGATTTTTPMTTTRRNYKKTRAENTPPASHAKVKKEKLGERIAALQQMVSPYGKTDTASVLHEAFGYIKFLQEQVQVLCSPYLQRPASAHIEGEGKKDLGSRGLCLVPVECTLHVAESNGADLWSPAMVNRPPLHLAQ >KVI10813 pep supercontig:CcrdV1:scaffold_1618:69163:74341:-1 gene:Ccrd_010781 transcript:KVI10813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGVGLLRNLKIVANAISKTVITTHKQVGVVIGRKPPELTARNLHSRSNLESVMHTAAMLFNSLKAISSISAAWAFLYAVAAYNDPKIITQRLPIDLQKI >KVI10812 pep supercontig:CcrdV1:scaffold_1618:90696:92693:1 gene:Ccrd_010780 transcript:KVI10812 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MATDDRRSPVDLLAFEKDAKALEFIEKMTSSADTVQANVLAAILSRNAKTEYLKLYNLDGATDQETFKSKIPMVTYEDLQPLIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIREDMDRRQLLYSLLMPVMNLYMPGLDKGKGLYFLFVKSETQTPGGLLARPVLTSYYKSDHFKSRPYDPYNVYTSPIEAILCPDSFQSMYSQMLCGLYDRKNVLRIGAIFASGLLRAIRFLQLNWKELCNDIRTGTLNYKISDPDIQRCMTRILRCDPDLANIIESECSNQNWERIVTRIWPNVKYLEVIVTGAMAQYIPTLNYYSGGLPKVCTMYASSECYFGLNLNPLHEPSEVSYTIMPNMAYFEFLPHDPHSERTSDSLVDLVNVEIGKEYELVITTYAGLYRYRVGDILRVAGFHNSAPRFHFVRRKDVLLSIDSDKTNESELQSAIENASKLLNEFNTTVVEYTSYADTRTIPGHYVIYWELLVKDSRNYPKGEVLEKCCLAMEESLNSVYRQGRVECNSIGALELRVVKNGTFEEVMDYAVSRGASINQYKVPRCVSFTPIVELLDSRVVASYFSRGLPHWTSERRR >KVI03172 pep supercontig:CcrdV1:scaffold_1619:17392:28782:1 gene:Ccrd_018533 transcript:KVI03172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAHFPVGGLDQLQVKSENELPLETAWSDEHECLPLKQRLKILRSKSVSNCPEETLISAVSPIDDVVMEEDDLCDSQVFHFDHGGSKGRSKIASCAVHAIECSPLRDRMLPERLEIEYNDAQYDSVTQLPNCQHIPSAHDIEISEDFLEDLDDIVLKERQRMLLSRSSSIVIVLFSFHMELVGSIEQAMEHNLSDTSINSVDMISQDAGIGSGGNSICDIGGNDTFEKSDGSIYASCEALESRSSNCTTVGAPARRYQHQGTRVSESQKYKHVYGEARPYFSDRNAINEQCLLSSSCKTVCTLALPPFPNVKVEQLDDELKISDKDTLNSQSLGNKISENSQHVNTAEIFEDIIDHMMLGDRMRLLASRKIPKTTLYENFGNSSTFESSSIGHKPILSESSIPLMKKRPHKRRKTVTDSVETALEEDAPGLLQVLIEKGVLVDEIKLYGETEGDEVVDETLIEESFSELEDVIAKIFSQRQSFFKLAPIRCAKGEKASYCLACLISLVEQARYLRVRKWPVEWGWCRDLQSFIFVFERQNRIVLERPEYGYATYFFELVDTLPVRWQIKRLVTAMKLTSCSRITLIENRALTVGDDMTEGEARVLMEYGWIPNSGLGTMLNYCDRVVHDRKHESDTSEWRSKIGKLLTDGYNGGTIVPNDIPKKVMESGISHTSQVKLEQ >KVI03078 pep supercontig:CcrdV1:scaffold_162:7337:15181:1 gene:Ccrd_018628 transcript:KVI03078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MESPFKGDILKGKVGLLTGGGSGIGYEIAAELGKHGASIAIMGRRKNVLDSAISALQSLAIHAIGIEGDVRKTEDATRAIETTITKFGKLDILVNAAAGNFLVPAENLSPNVIDIDAVGTFTMCHEALKYLKNGGTIINISATLHYTATWYQIHVSAAKAAVDSLTRSLALEWGTDYGIRVNGIAPGPIDGTAGVTKLLPDDVQRKSREASALYRMGKKWDIAMAALYLASDAGNFVNGTTLVVDGGIWLSKPRHMSKEDVKQVSKAVEKISRDAPVGVPKSNL >KVI03072 pep supercontig:CcrdV1:scaffold_162:70158:72472:-1 gene:Ccrd_018630 transcript:KVI03072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MNRWKLGGGEDQRYESCLEINWQDISSVIENLSGKNRMIHGIGFLNFNKTEISDWKRKILVTPPNENDHIVHLHLDPVDKNVTWDSLYPEWIDEEQEEEVPSCPNFPKIEVPRKRLDLVVVKLPCRNEGNWSRDVARLHLQLAAAGLAATVRGNFPVHLLFVTNCFPLPNLFPCTELVVRRGNAWLYEPNLKVLREKIQLPVGSCELALPFKPRAYVTILHSAHVYVCGAIAAAQSIRMSGSTRDLVILVDETISDYHRSGLELAGWKIRTIQRIRNPKAEKNAYNEWNYSKFRLWQLTDYKKIIFIDADMLILRNIDFLFGMSEISATGNNGTLFNSGVMVIEPSNCTFKLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKTINFLKNFWIGDDDEMKEKKTRLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNSDIFREFASDVAHEQWWKVHDAMPTQLHQFCFLESKQKAQLEWDRREAEKAGFSDRHWKVKIKDRRLKKCIDNLCSWKEMLKHWGETNWTDDQSFNPTPPAIMKLEIN >KVI03076 pep supercontig:CcrdV1:scaffold_162:364876:370935:1 gene:Ccrd_018635 transcript:KVI03076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MWKRSEVNVCQTCGDEGYTNAFVYCVKCLDFVIHRYCLNVMPTTFNERVLWYCEHCKPTVGNQVTSPEVRSSRSQKEEAASSGQVKSTNHKKKQKERDIASIIAGTVVVNCQESTTEPEAECILPTDSLKEASMKLNSRHKRITSSKPGKRKKIAARLDAKKKEHKLRSGLKTETQKACTKMADSVKHSKNRIHHTASNLKNNKGVVDSLDEQTHESRPSQPPRGHKKLSSDSPSMKEESDKTTNHECQAEKANKLQTKQDIVFNLSQSADFENNVPCGQPIIDPVWRGSFSILDRDYDLFEGFVAHLSTKACDKVYKEANMLPSLLQLEMHPKTVLWPKSFQECEPSDVNIALYFFPGDQINEKDFDHLVIDMMDKGLAMRAMATNAELLIFTSRVLPQLFSRFQGKYYLWGVFKAKKNGSSSVASHNLSVVNNSDKHDLTKGSPNEVFDVKAVLTKVKTLDSHSPRSPFSNNGENGVDMDPESGD >KVI03073 pep supercontig:CcrdV1:scaffold_162:130838:137897:-1 gene:Ccrd_018631 transcript:KVI03073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MINQRPIKFRLPGSISSISNSSSSSSSSSFPRSSSASSLNVHKSDQIITKMGRRSVLKPTLVTILLILAFYAFFNRSIYPNTDNNLPNQFLGKTNLEIPDKSVRIYMYDLPRKFTYGVIESYAIPRHGSGNEDVTKVKYPGNQHMAEWYLFSDLNNPKRSGSYVTRVLDPDEADLFYVPFFSSLSLMANPARGGVVPKGYSDEETQEDLIAWLEEQVYWKRNNGRDHVFICQDPNALYKVVDRVKNGVLLVSDFGRLGRDQASLVKDVILPYSHRINSYKGEIGVEDRKSLMFFMGNRYRKEGGRIRDLLFQILESEEDVIMKHGAQSRESRRMASRGMHGSKFCLHPAGDTPSACRLFDAVVSLCIPVIISDYIELPFEDVIDYRKIAVFVDTESAVQPGYLVKLLRGVRNDQILGFQTEMKKVRHYFEYDDPDGTVNEIWRQVSLKLPLIELMINRDKRLVKRQLAEPDCSCVCSNQTGIHTSL >KVI03070 pep supercontig:CcrdV1:scaffold_162:264649:265635:-1 gene:Ccrd_018632 transcript:KVI03070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MLRSCHLLFICFSFLATITKAQIDQFKGSKNYEGPSNLVDLQYHMGPILTSPIDLYIIWYGNWNPNHQSTIRDFIYSLSSSSSVSPSVFDWWQTIRLYTDQTGSNITKSISLSQEFIDSRYSHGKFLTRLSIQSVIKNSIQTLHKPLPLNYRTGLYLVLTSSDVQVQDFCREVCGFHYFTFPSIVGGTLPYAWVGNSGTQCPGFCAYPFAWPKYSGMPTGNKNIMGAPNGDPGTEGMISVIAHELAEVSTDPFISGWYAGNDPSFPNEIADLCVGVYGTGAGGGFVGQVYKDKKGNAYNLNGVKGRRFLVQWVWDPVKKSCFGPNAIH >KVI03074 pep supercontig:CcrdV1:scaffold_162:372129:373115:-1 gene:Ccrd_018636 transcript:KVI03074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MLHSCHLLFICFSFLARFSNAQSNQFKGTKNYEGSSNLLDLQYHMGPILTSPINLYIIWYGNWNPKDQSTIKDFLYSLSSSSSVSPSTFDWWQTVRLYTDQTGSNITESISLSGEFIDSRYSHGKFLTRLSIQSVIKSSLDTLYRPLPLNYNNGLYLVLTSSDVQVQDFCRAVCGFHYFTFSSIVGATLPYAWVGDSGAQCPGVCAYPFAWPKYSGMPSGNKNIMGAPNGDPGIEGMISVIAHELAEVSTNPFVNAWYAGNDPSAPDEIADLCVGVYGSGAGGGFVGHVYKDKMGNGYNLNGVKGRRFLVQWVWDPIKRSCFGPNAIQ >KVI03077 pep supercontig:CcrdV1:scaffold_162:16293:19768:-1 gene:Ccrd_018629 transcript:KVI03077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPDELQFLTVSDILKESIAIPKQSPQTFYLITLTLIFPLSFAILAHSLFTHPLISQIQDPYGSHTSQWTKLLIFQFCYLIFLFVFSLLSTAAVVFTVASMYTSKSVSFSSTISAIPSVFKRLFITFLWVALTMVVYNIVFLGFIVLLIIAIDTQNLVLFFFSLIVVFLLFLVVHVYITALWHLASVISVLEPVYGFAAMKKSFEILKGRARMASVLVFGYFVICGALNGLFGSIVVHGGEYYYGVFSRIVVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKNALYDHLGGYLGEYVPLKSSIQMENLD >KVI03075 pep supercontig:CcrdV1:scaffold_162:354835:359777:-1 gene:Ccrd_018634 transcript:KVI03075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTATIEGDEGERRPWKQMDDRRRRHLSHESTSLFCSLALLLSIRFAAAKGFARQRQPTTENHVPSNFTNFPQKMASRIAQIRLVSSHPQVYEPCDDSFALVDALLADRKKLLDHRPTICMEVGSGSGYVITSLALILQEIKTAYYFATDINLHAVRVTHETLEAHGVHAELLTTNIAAGLEKRLAGLVDVMVVNPPYVPTPEEEVGGDGIAAAWAGGENGRSVIDKILPIADNLLSEKGWLYMLFLAANDPLQICLQMREKGYAAKIIVQRATEEESLHVIKFWRDSDIRMEGNEAVTIAKNRGWEFLLSQLSRLSFRR >KVI03071 pep supercontig:CcrdV1:scaffold_162:272583:275164:-1 gene:Ccrd_018633 transcript:KVI03071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MPYKDPKQQLCARINDLMKRMTLEEKIGQMTQIERSVASNVVINNVLSGGGSVPSKEASPETWVDMVNDFQKGSLSTRLGIPDPVLVQKIGAATTLEVRATGIQYAFAPCIAVCRDPRWGRCFESYSEDPKIVRQMTEIIPGLQGEIPSGSEKGAPFVQGHVHMPAYNDSIIKGVATIMTSYSSWNGVKMHANRNLVTDYLKNKLYFKGFVISDWQGIDSITTPTHANYTFSILAGMNAGIDMFMIPLKYTEFMDGLTQLPVHIFSYLDVNRVNLMLRAMRE >KVH92010 pep supercontig:CcrdV1:scaffold_1620:104210:109970:-1 gene:Ccrd_005961 transcript:KVH92010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVVHVEVEHVKFTSKSHFFSTTFMASMAFSSTVRSFSLYRLPNTSSSSSTFVPPASLSTRSLPSRLNLNLRRKNFFIRSISTQAAPASGGLAPAIALTDNALEHLNKMRSERSEDLCLRIGVKQVCDPKSLLFIFGMQLDFSDALIGGGFSFKNPNATQTCGCGKSFAAEM >KVI06826 pep supercontig:CcrdV1:scaffold_1622:1425:2290:1 gene:Ccrd_014819 transcript:KVI06826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 LKLLLNLVFDDSSSSSKKVLNVDTKKESKRAVWPKLFTTLSSKEKEEDFMAMKGCKLPQRPKKRAKMIQRTLLDISPECFFAGVCCGGLITLPIQLGIGFLLREQPVFALATVDTVV >KVH88101 pep supercontig:CcrdV1:scaffold_1623:26089:39291:1 gene:Ccrd_024513 transcript:KVH88101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate decarboxylase-like domain-containing protein MEENPSPTILEGRITGISFSLATRQEICLSSISDCPISHASQLTNPFLGLPLEAGKCEACGTAEPGKCEGHFGYIELPVPIYHPSHISELKRILSLICLKCLKFKNRRMQTKNAGVLERAFASCCDDAAQVTVNEAKSTEGACYLELKLPSRTRPREGFWDFLDRFGYHYGDGVSRPLLSSEVLAILKKIPEATKRKLAGKGCFFQEGYIMQYLPVPPNCLSTPDVSDGMSVMSSDISMTMLKKVLRQVEIIKSSRSGVPNFESLEVEVNDLQAAVAQYLQSRGAKASPNRNTRYGIAKELNDSSSTKAWLEKMKTLFISKGSGFSSRSVITGDPYKGVGEIGIPFEIAQRITFEERVTEYNMKFLQKLVDDKLCLTYRDGQSTYSLREGSKGHTFLKPGQIVHRKIMEGDVIFINRPPTTHKHSLQALSVYIHDDHTFKINPLICGPLSADFDGDCIHIFYPQSPEAKAEVLELFAVEKQLLSSHSGNVNLQLGTDSLLSLKTLFKTYFFTKAQVQQLALFTSNSLPTPSMLKVHRSGPLWTVLELLETSLPRGFDCSGDKFLIRDSKILKLDYSRDVIQSIVNDVISSIFFSKGPKEVLKLFNSLQPMLMENLCCEGFNLSLEDFIVPKNVLRSIEAEIQEVSSLLYHLRSNYNEVIALQLEKHLRVVKGPISEFILRFSSMGNLIDSKSDSAVTRIVQQIGFLGLQILEKGRLYSRTLAEDLSSHFLGNYPFPDKYPSEEFGLVRSCLFHGLDPYQEMVHSIASREVMVRSSRGLTEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVKTQNLFAAGEPVGVLAATAMSNPAYKAVLDSSPNSNSSWNMMKEILLCGVNFKNVLNDRRVILYLNDCDCGGKYCLENAAYLVKNHLRKVSLKDVALEFLIEYKRQQTTYENAEIDAGLVGHVHLNKVLFLYMNQCSKHITSTSLISLVSHIISEHFLFALILFIFASMYEQTQLKESNISMEEVLTKCEDTLNSFRKKKKIGQFFKKIALSCSECTFQQSSESKWTPCLKFFWQDTSDIHLEKTAHIFADRICPVLLNTIIKGDPRVREANITWISPETTAWIRNPSKDTKGELAIDVVLEKEAVKKSGDAWRTVMDSCLPIIHLIDTRRSIPYAIKQVEGLLGISCAFEQAVQRLSTSVSMVSKGMLKEHLLLLANSMTCAGNLVGFNQAGIKALSKTLNFQVPFSEATLYTPKRCFEKAAEKCHSDSLSSIVAACSWGKPVAVGTGSRFDILWDTREVEMNQKDGIDVYNFLHLVRGGSEEEADSAGLAGEVDSFEMEDNFMEMGSPEHNSGSTKPVFEDGLDLDLDEMDDNQTSKSSWEKGPEVGAASGGWEGVVKTTAATEGGWGEAKSDKDQPVGGAWGGWGKKDVQADASGSWGAKTQKDVEPSGSWGAKAQKDVEPSGSWGAKAQKDVEPSGSWGAKAQKDVEPSGSWGAKAQKDVAVWIVGSEGPKRR >KVH88099 pep supercontig:CcrdV1:scaffold_1623:41894:42868:1 gene:Ccrd_024514 transcript:KVH88099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MKFQESRCFYVVSTDGRKEDFSYRKCLENFVRGKYPDKVDEFMPKYFKKPQPRPAGNRERGSGGPEDAGTPRSQSGWKRDSSDEAGTPRARSGWNRESADEAGTPRSQSGWNRESNVAADEAGTPPVSGWNKEAGTPVSGWNKDSSTAVPEGGVATGASGWNQSGSSGTVAPKEGGAAAGSGWNQSGSSGTAAPKEGGAAAGSGWNQAGSSGTVAPKEGGAAAGSGWNQAGSSSMVAPKEGGAAAGSGWNQVGSSDAVAPKEGGAAAGSGWNQAGSSGTMAPKEGGAPAASGWNQAGAEEGGAGSASGWNQAGSSSKTKSGWGS >KVH88100 pep supercontig:CcrdV1:scaffold_1623:42889:73878:1 gene:Ccrd_024515 transcript:KVH88100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase 3 MQAVEEYHRVRKQRDSKQRDAMNACVVISASLVLLLSLLLSLHIRNDHNEWRWRNRLWWLPSTFSSTSGIAADLLVTNGTIYTSDSSLLFADSMAIRDGRIIRIGNYSFVQEVSGYGTKELNLEGAVVVPGFIDSHVHLLFGGLQMARVEVRGVNTKDDFIGKVKEAVRNMKEGSWLLGGGWNNDLWGGELPSASWIDDITAHHPVRNTAYKLYEVENSILLKVWLSRMDGHMGLANSLALRIAGVNSSMQDPVGGTISRNADGEPTGLMIDSAMKVILSCIPEVSVEERRQALERASRYALMRGVTTIVDFGRYFPGAPLKHSWEDFTDVYKWADLSGRMMIRDVVQTTGRRLSQWIFLGGVKAFADGSLGSNSALFHEPYADEPWNVGLQVTDTESLSNMTVQSDKFGLQVAIHAIGDKANDLVLDMYKSVVSTNGKRDRRFRIEHAQHLTHGSVAKFSEQGIIASVQPDHLLDDADSAVKKIGLERAQKGSYLFQSLLASNTPVAFGSDWPVADINPLHSIKTAIKRIPPGWDKAWNSGECMKLTDALNAHTISAACACFLDDDIGSLSVGKMGDFVILTAHTWDDFITQGTSSVAATYVGGLQAYP >KVH88098 pep supercontig:CcrdV1:scaffold_1625:7377:9042:-1 gene:Ccrd_024516 transcript:KVH88098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MANSDYGLVIGMMMAFFLASFVSADPELLQDVCVADLASGVKLNGFTCKSNISADDFFFAGLAKPALTNNTFGATVTPASVQQITGLNTLGVSMARIDYAPGGLNPPHTHPRATEIVFVLEGELDVGFITTDNKLFSKTIKTGEIFTFPRGLLHFQMNKGKVPAAVIAGFNSQLPGTQRAANALFASSPTVEDAVLTKTFQVGTKEVEKIKSRFA >KVH88096 pep supercontig:CcrdV1:scaffold_1627:71938:89597:-1 gene:Ccrd_024518 transcript:KVH88096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAEGRRYALGAQLDIEQILSEAQHRWLRPAEICEILRNYTKFRIASEPGNRPPNGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEEDENFQRRSYWLLEEELSHIVLVHYREVKGNRTNTNRIREVGEATPNSLESEENISSSEVDGSVSSKFSTYNYPVASQTTDNSTQASEYEDAESAYSHQSTSRYSSVVNLQPSVTAKTDDHLSMPYYPVPSSNDYDGKFQANPDMSFVSLMQGDRGENNLDADLTYKSQKHLDLPSWDNCFETQAAGSQPASYQPTHLSTQSYNASILPGRGNEMLRQVMADGFDKVQEFRGNSGWQLDAEYNVPSRFQGEVSYAEIFNSFETTIAVDESKPLAQGNLELHFANEDGWKSVKPNLEGNPTLDDKSVSMKPPFLEGFMKEGLKKLDSFDRWMSKELGDVKESQTQSTSGTYWEAVESENGVDDSIISPQVHLDSYVLGPSLSQDQLFSIIDFSPNCAYAGSKIKVQCSISYKILIRPYECQTMNSCIYLGDLGVLITGRFLRSQQELQHCEWACMFGEVEVRAEVVADGVLRCHTPEHMPGRVHFYVTCSNRLACSEVREFEFQVNENQDMDAPDMIGDNSNEMLLHMRFVKLLSLGSGAAQNSFPRVNDPELMNQLSSLIQEDNSEWDQMLKLTSEEEFSSEKARNQLFQKLLKEKLYAWLLHKIAEGGKGPSVLDEGGQGVLHFAGALGYDWAIAPTVAAGVSINFRDVNGWTALHWAANCGRERTVAFLISQDAAAGALTDPTPRYPSGRTPADLASSNGDKGIAGYCAEAALSTHFKLIGLKDPKEGDGGHISGSKVVQTVSERTPTPVSHGDMTQGLSLKDSLAAVCNATQAAARIHQVFRVQSFQKKQLKEHGGNEFGMSDERALSLLALKTARTGQHDESGHAAAVRIQNKFRSWKGRKDFLIMRQRIVKIQAHVRGHQVRKNYRKIVWSVGILDKVILRWRRKGSGLRGFKPEPIAEGSTTVAKPSKEDDDDFFKEGRKQTEQRLQKALARVKSMVQYPEARDQYRRLLNVVSDMQESKAVYDKALENSEEAVDFDEDLIDLDALLDDDDDALMTI >KVH88097 pep supercontig:CcrdV1:scaffold_1627:48613:62913:1 gene:Ccrd_024517 transcript:KVH88097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSNNKSLADAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNEIFRSYMHQDAHEFLNYLLNELVDILEKESHAAKSDPESSSSSEQIANGLKSAHTNGHMREPLVTWVHKNFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPQILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADAEYSLFGVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYERVDTGNNNTS >KVH99366 pep supercontig:CcrdV1:scaffold_1628:71741:77917:-1 gene:Ccrd_022402 transcript:KVH99366 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2062 MADLLRRSGLQGIGTWLKKKVVEPAVEILSRGAEPKQLAFSTALGTTLGVFPIVGVTFFLCLLAIAVLGSSVNAPAVMLANFVATPIEISLMIVFLRFGEFLTGGAHFPLTSDALKKVLTGKGSKEILLSVVRAMLGWLVMAPFIMGLLYLILVPCFKVLVRKFSSAVSSPKKDDAPSYTEVLMLKVRDV >KVH99368 pep supercontig:CcrdV1:scaffold_1628:94724:101344:-1 gene:Ccrd_022404 transcript:KVH99368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MARNEWINGYLEAILDVGANLKTQKGVDTKMKLEKLQDNVKRDKHFSPTNYFVEEVVNSVDESDLHRTWIKIALDDAQRLANHRLEREKGRHDAAEDLSELSEGEKEKGETQPVNKISRINSEMQIWSDENKSRQLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELAKALADMEGVIRVDLLTRQIACIDIDYGYGEPIEMLSCPPEGSGNCGAYIVRIPCGPRDKYIFKESLWPYIPEFVDGALSHVVNMARALGEQFDGGKPIWPYVIHGHYADAGEVAARLSSSLNVPMVLTGHSLGRNKFEQLLKQGRLSKEDINSTYKIMRRIEGEELALDAAEMVVTSTKQEIEEQWGLYDGFDVKLERKLRVRRRRGVIPPGMDFSYVKTEDSTEGDLASIIGDRAQNKRAVPPIWSEIMRFFTNPHKPMILALSRPDPKKNVTTLLKAFGECQPLRRLANLTLILGNRDDIEDMPSSSSAVLTTVLKLIDMYDLYGQVAYPKHHKQVEVPEIYRLATKTKASAYGLPVVATKNGGPVDILKALNNGLLVDPHDQKAIEEALLKLVSDKNLWAECRKHGLKNIHRFSWPEHCRNYLSHLEHCRNRHPITRQKIIPTYEEPMSESLRGVEDLSLRFSVDGDFKSNGDIDPTTRQKELVETFTKMSASNKKSNTNYSPGRRQSLYIIATDSYDTNGDSTETLSIIIKNVMESVIAKPGQIGFILLTGLSFQETREALKRCQVDVXNFDALICSSGSELYYPWRDLLADEDYDNHTEYRWPQENVRSTIMRIARLEHEDDDNMAEQMKTSSSRCYTYIIKPGAKTRRVDDLRQRLRMRGFRCNTVFTHAAKRLNVIPLFASRAQALRYLSIMWGMDLAKMFVFVGERGDTDYEDLLVGLHKTVILKGAVEHGSEKLLRSEESFKKEDMVPPENSNIVISTGFEVHDITAALETLGSN >KVH99365 pep supercontig:CcrdV1:scaffold_1628:15562:31782:1 gene:Ccrd_022401 transcript:KVH99365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MTRGRCHRRKKMMGRSANGGCGTEEKHCPVSTSRVSNKFSPEHKDAPLAQVVGIDFYAQACKALAVLSPFDLEDGQKKSSAIVPTLPGGLASCLSKNADSRKRHKKSHLGSDTKKNKSSSRQEKPRGLSIWSETEDYFRELRVDDIERLNEASSFRDNRFISIPYPGNNLNETNNHSGVVAGGEQQDVDHFMEVDGVGANEILQNQQDDDNSCSLPQSSSGVEWLLGSRSKIYLTSERPSKKRKLLGGEAGLEKLFVARPVKEQGTSTCCHYCSLGDMGNQLNRLIVCTSCGVAVHQRCYGVQQDDEAGCWLCSWCRLKRDEDKSTDNHCLLCPQQGGALKPVRKRGAGNDDGGHIEFAHLFCCQWMPXVYIEDTKSMEPIMNIEGIKETQKKLICYLCKVKCGACVRCSYGMLSHPFHSSFFNGAVQYMIEVFLLLLLIHTITSIQDSSIRSCRTSFHPVCAREARHRMEIWGKFGCDDVELRAFCLKHSEAQKDCNHQVENLSVGDGSSSTFLEHRPLSSIANEPHKLKLGRRTGDKFASHTEATDVESGRLGGSASFEDGLLDTRSNLKRQIEYIDGLEAKGSSXVEDSKVSDSSNFTLLLKKLIERGKVNMKDVASEMNVSANMLASNLADDCLPLDLHGKIVKWLKNHAHAGGLQKNLKLKVMSTCISKSEKDSGVENAIRASDNNVGNSPVKSAPPRRRTKGNIRVLKDNSLILSLKRSSGDGVVMDEDKNGGLLHEDRNLQSEETSPDSREKVLVESCQASDLLTGNTSDHKGDGVKCSXPSQYGCGQVDKAVVSKCDTREKSNLGSDECSIAMNESSYAIRAEASPGSYVHPLIRVQNQVLSEIKAEEDDGSRCRHISLTEASSGSGVCCNHHNQNSASTESSSGFIRDLGKTKRMSIINMSPMDEVEGELTIVFFYVLHADGLISKVVKWLPQEVEALRRQNWDAVLVSQYLSELREVKKQGRKERRHKEAQAVLAAATAAAAASSRLSSFRKDTVDESAHQEVVILLSNSMNVLKIKAFGGRSGIHAQQMPRPKEIHPKLSVTWTSPEMNFDSSSSTLDYKVHSRVAVHIDCYRSVKDSTGPWFCELCEDLLSSRSRGVPSLGYSEKPCRVAECILCGGSTGAFRKSTDGQWVHAFCAEWVLESTFRRGQVKSIEGLETISRGNDFCLVCSRKMGVCIKCNYGHCQSTFHPSCGRSAGFFMNVRTTGGKIQHKAYCEKHSLVERTKAETQKHGVEEWNSLKKVRVELERLRLICERIIKREKLKLGVYYAPNPKKMSLVPLPCFVWEVAIKIFIFLLRIYYMIRLLQRELVLCSHDMLRWNSESVSSSIRACTAFVSPDVSSESATTSLRGYTNSYKSCSETIQRSDDITIDSTVAGKRRIRFPMPMDNDQKTDDSSTSQIFTQTPTTRPILSGKQIPHRPSSAASRSTSDDGEKCFRHGKASMRNQRLPKGFVYVPIRCLSNEEETIAAAAEETSGHDG >KVH99367 pep supercontig:CcrdV1:scaffold_1628:88231:101454:1 gene:Ccrd_022403 transcript:KVH99367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYYVGLNLYGNMLLRAYGVLGLGLLDRRDKSGDVIPAMTVGDPTFTSLSRLQYXKFATMATPLRPPCRPKLDTASLIITRIDGDGTSCQLVKGETPKRHCNNCDFDMVXCKCYDHLACVKVIAPIVCEDSVATETSHPQPLAQIIHPAHFGEGLYKFFLPGGWIYVSIRLKVTIDRESQRKVFNTPYDLLTGNRMPISTMLKMREGEELNLLLFTGKMPNSPAHILKPIASFKSVPCCNHLHLSILHFQRESERLDLDPGSVEVRFIDAINNFFDKLHLCINPFLGLEICSYIQYRLQVPIYPLVPRHPNKPPWPLPPAGGGGVVMLVGGGGGGGGGGGGGARSLKQIRKRPLIAYKGLLAYKTIMPYSF >KVH89727 pep supercontig:CcrdV1:scaffold_1629:44295:52001:-1 gene:Ccrd_008279 transcript:KVH89727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inner nuclear membrane protein MAN1 MNPLLKDKSTAIKSTMASPPKRRIKNPRYSTTSSPSPSSIRTSSSTQPPPSLLPSKTEFFKLIAVVSIAVSVAIGCNYVVKFSSLQPKPFCDRDTEFDYYSSDVCDPCPSHGICYQGKLECMSGYIRHGRSCLEDGDINEMAKNLAKMAESHVCESYSQYLCKGMDKVWIQGNELWNNMDKLKLTEDYGKDNTTYLYAKQRAMEIVYNLLETRDTNIGIKELKCPELLVEHYKPLSCCIRLWLLERALFLIPFCALLMGCILILLRIRRRHYLSVRAEELYEQVCDTLEETALTSRSVNGEGEPWIVASWLRDNILTPRERRDPLLWKKVEELVQEDSRLDQYPKMLKGEAKVVWEWQVEGALRSSGKKKKKKGEMDKQKWSENSNLGSNQDPRSRLKAV >KVH89726 pep supercontig:CcrdV1:scaffold_1629:63340:69431:-1 gene:Ccrd_008281 transcript:KVH89726 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MATSFPSPTPPTTGVVPITGRGGKSRVTSSISRDNSAKAMVSDQISQAVLSTSNLLHIMLQSSPSQAFPSSECFYLFILQDKLVKLPKNLLAKVPTIKNTQQVLEQMPSVISSLDEHMDRGLQSVPHLETVTRLLSNIENSQLKPLTDAQLLREESKPETSRQPEAHHLTEDI >KVH89725 pep supercontig:CcrdV1:scaffold_1629:54649:60548:1 gene:Ccrd_008280 transcript:KVH89725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPNPYLGYFWDGKRSALMNFCLWFPFVFSFKL >KVH89723 pep supercontig:CcrdV1:scaffold_1629:73547:80242:1 gene:Ccrd_008282 transcript:KVH89723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Got1/SFT2-like protein MNNFFFTETEIGLGLTGFGVFFSFLGIIFFFDKGLLAIGNVSVFLFYQISLYIFAQYTSLLIAEVELTTSNIKVILWVLNQILFISGVIMTIGIKSSLQFFMKRNNLKVFGASVLSTFTFVILFMYGTISFGVGFFFVIIGWPIIGMASESYGFIVLFSGFWPTLAVFVQKIPVIGWVFQQPFIRSFFDRYRGKRVPV >KVH89728 pep supercontig:CcrdV1:scaffold_1629:33309:38385:-1 gene:Ccrd_008278 transcript:KVH89728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MQSTISMTFKVLSRGPKAHMGSKTTPANRRRSPLSIIVVFGLCCFFYLLGTWQRSGFGKGDKIAIDLSKKTECEDDFATLDFESHHSIPIPDASKPKAKVFKPCDDKYTDYTPCHEQDRAMQFPRDNMVYRERHCPPEKEKLKCLIPAPKGYSTPFPWPKGRDFVHYANVPFKHLAVEKANQHWIEFQGNVFKFPGGGTMFPQGADAYINELASVIPIADGSVRTALDTGCGVASWGAYLMKRNVLTMSFAPRDNHEAQVQFALERGVPAIIGVLGSIKLPFPSRAFDMAQCSRCLIPWAENDGTYLMEVDRVLRPGGFWILSGPPINWKTYYQTWKRSKEDLKEEQRKIEKLAESLCWEKKYEKGDIAIWRKKVNTKSCKINSAEFCKSGDADNVWYKKMQTCVTPYPEITTANEVAGGELKKFPARLHAVPPRVAKGLVSEVSVESYQEDNQKWKKCEGFSTYPRTYDFVHANGLFSLYNDKCELEDILLEMDRILRPEGLVILRDEVDVLTKVMKIASGMRWDTKLTDHEDGPFVPKKILVATKQYWVGGIGNNTI >KVH89724 pep supercontig:CcrdV1:scaffold_1629:94758:109033:1 gene:Ccrd_008283 transcript:KVH89724 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase UPF1, UPF2-interacting domain-containing protein MDSQPNNLYETASQPDTGNDDAYTFLEFNTQGEEDFNYPEFQELSQPNAVRSSPSSSVWPTPSDSISVDTSAAVDHRSDSNASPGSATSGKGGGGRGNNNVNQASAVDALAAGMSGLNFEETGDDESYEYGKGDFMEHACRYCGVQNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVPSEQEQLRARHISAQQINKVEELWKTNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNLTIRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEFQMVRNALPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVYYWHVCEPYNDEIMVLLHSYLNQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQSTEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVVLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVTYASCLFCRLFVNQMDLHFIKANVLRTNCLQVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGIVANDSFGSAASGNPGSDGRNGRSRGSYMPPGPPNGTHKPSLHPAAYPMPRVPLPPYHGGPQPYAIPTRGVHGPVGGVPHVPQPGSRGFSAGRGNAGAPIGSHLPHQQGSQQPIGASMGSNFNFPSLENPTSQSSVGGPLSQPGYVSNMTQGPGQTFRDGFSVGGMSQDFLGDDFKSQGSHVPYNVAEFSTQASQGGYTVDYANPTTQGGFPGSYLNQNSQAGYSRFGTGNDFMSQDYMGHGSQGLFTQVGFNDPSQDDASQSHFGVPPTSSLQTQPFAHYNSQPLNMQAPQQQQQQQQQQQQQGQGSHYNG >KVH91358 pep supercontig:CcrdV1:scaffold_163:224556:239709:1 gene:Ccrd_006620 transcript:KVH91358 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MGGFSWLRNRPKSILQNFLYCQQHLLSVESVCGHVALKAPIIHSRAFYNIGYAGKPTDFATMRTLLLRRFIHATGSRTASEGDHYQVLGVPQNASRDEIKKAFHGLAKKYHPDANKNNPSAKRKFQEIREAYEVLQDSERRAEYDKMKQQSRRKENMDYSSGGAGGFRHANRSAEFSDSFQKIFSEIFDEDADNFTSDIQVELSISFMEAAQGCTKHLSFDANILCDSCNGHGYPVNAKAKSCPTCKGVGTVFIPPFMARCSTCKGSGHIIKEYCRSCGGSGVAEVVKEVKVPIPAGVDSGDTISIPRAGNVGRRSQPGTLIIKLKVAEDAVFQRDGADLYVDSNISFTQAIIGGKIEVPTLNGKTQNLPRRKLNRLTRCQLMETGGFK >KVH91360 pep supercontig:CcrdV1:scaffold_163:195429:196065:-1 gene:Ccrd_006618 transcript:KVH91360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPTEAYDEPPSVTAALLFSLISFTFFLIVSSAISCRMPSSISSAVTVTISALVLKCAFPCAPGILPATPLLGPRNHSDCCLVFHSMEVDVGTTQNGGHSAPKHVQSRLLGPSQNFPYSQNTRTPLKMVVDYPTTA >KVH91354 pep supercontig:CcrdV1:scaffold_163:300584:302100:1 gene:Ccrd_006624 transcript:KVH91354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MARTKQMVRKSMGGKLPSEIIRRAAFITPTIGGVKRRHRFRPGTVALREIRMYQKNTGFLIQKLPFQRLVKEIAQNFKMNLRFQSSAVEMLQEAAEAYLVGLFQDTNLCAIHAKRVTITPKDIQLARRIRDAYKSLSPAEIPIFHRGWEEGLEVLSTQLDPIVISSV >KVH91364 pep supercontig:CcrdV1:scaffold_163:114987:121578:-1 gene:Ccrd_006614 transcript:KVH91364 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecF/RecN/SMC MYIKEVCLEGFKSYATRTVVPGFDPYFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSVVFDNSDRSRSPLGYEDCPEITVTRQIVVGGRNKYLINGHLAQPSRVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKDAALKTLEKKQGKVDEINKLLDQEILPALEKLRKERMQYMQWSNGNAELDRLKRFCIAYEYVQAEQIRDNAVNGVDEIKAKMSEIDNDTGTMQTEVQEMETHVSKLTVEKDATMGGEVKGLSDRVDAISCDLVKEASVLKNQEDNLMTETKNAVKIEKNIEELKQSAEDRASAVKSAEDGAADLKKRVEELAKSLEEHEKEYQGVIAGKSSGNEEKCLEDQLGDAKVAVGKAETELKQLKTKISHSEKELKENKAKLLSKRDEAVAVEKELKIRQKDVESVERALKSLSHDEGQMDGLQKDRMMESETINKIKEEIRMISSRLGNIQFTYRDPVKNFDRAKVKGVVAKLIKVKDSSTMTALEVCAGGKLYNVVVDTENTGKQLLQNGDLRRRVTIVPLNKIQSHPVPPRVQNAAVKLARFCFLCKGNAEVALTLVGYDDELQSAMEYVFGSTFVCKTSDVAREVAFNRQVSTPSVTLEGDIFQPSGLLTGGSRKGGGDLLRQLHALSEAESELSLHQKRLIEIEAKVYLQHYIAYHSLEINELLPVQKKYNDLKTQLELKTYDLSLFQSRAEQNEHHKVSEIVKRIEQELAEAKSAVNEKQAFYKECVTEVETLEKSIHDHANNRDRRLKDLEKKIKAVKTKMQSASKDLKGHENEREKLIMEMEAVKQEQASLENQLASLQKQISVLTSEVDALKAKVTSLRDEHSQAQSELNAARTKVKECDSQISSILKEQQKLKNRIGEKNLERKKLENEVKRMEMEQKDSSLKVDKLIEKHAWITSEKQLFGRSGSDYDFTSRDPHRARDQFEKLQAEQAGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKSKIKLVIEELDEKKKETLKVTWVKVNSDFGSIFSTLLPGTMAKLEPPEGGTFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKSHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASRQTK >KVH91363 pep supercontig:CcrdV1:scaffold_163:160466:166169:1 gene:Ccrd_006615 transcript:KVH91363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAFSRGLAAQLRFYLCKRRPVNVRSRQYSSSYHERDERAMEEEAENKIGWLFKLIFIGTATIGGYHIFPYLGINLFLKSMTHYFFLSSLCQQTYYIGVEGDNLIHQSVSLLNVKDPLFKRMGASRLARFATDDERRMKIVEMGGAQQLVDMLGAATDDKTRKEALNAIIAIARSDEAVGALQSAGAISVIMATPQCTEDAEIEKFKRKLLKRLQDMKQEENSS >KVH91365 pep supercontig:CcrdV1:scaffold_163:28169:34150:-1 gene:Ccrd_006613 transcript:KVH91365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGKLNNRPGEGERKRGVAGAQQKDDAETGAEFEGGGWLERLWWRWGHEDLWSIGGAGRSWLWLLVTE >KVH91357 pep supercontig:CcrdV1:scaffold_163:281399:284251:-1 gene:Ccrd_006623 transcript:KVH91357 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASCH domain-containing protein MQRKSMASRSRGDGNYRNPCLTMHQPWASLLVYGIKRIEGRSWPSPVTGRLWIHAAGKIPEHETIKAMEDFYRQLYALDGVTDIKFPEHYPVSRLLGCVEVVGCVTSEELVSWEDVPQGVRLEGQTPFCWLCERPQKLLVPFEMRGYQGVYNLEKRILEGAVRGLCPVEARSPVKFPLPYPRKPLSLKPGSVSHGLKNGSNTSKTNEGTSPTLSAAIAGARAAATQFSKKSNGPPRTAGFQGQGSRTWSMSKEGVQRLDMKYDQQRW >KVH91366 pep supercontig:CcrdV1:scaffold_163:21741:23597:1 gene:Ccrd_006612 transcript:KVH91366 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MANSRVPELVFIPAPGVGHIMSTIEMAKLLVNRDQTIAITVLLIHPPYSSSVLTTYIRSLSTNPIERIRFIKLPPDQEAASKLDLKAPFTSFYGFINSHRSYVRNVVIDMMSLPGSGRIAGFVVDILCTGMIDVANEFGIPTYAFFTSNAAFLGFKLYIDTLLRDHKQEDIIEWSKSDGEFRIPSFIKPVPMMAYPVVYQTRDGLDFLTISIQKFREVKAIMVNTFLELETHAMESFSSYADFPPVYAVGPVLNLDGVAGKAEDKDVIRWLDGQPPSSVVFLCFGSMGSFEEVQLKEIAYALERSGNRFVWSLRRPPPSEQSFKALPDDYDDPRSILPDGFLERTNGFGRVIGWAPQVALLAHEAVGGFVSHCGWNSMLESLWFGVPTGTWPMYTEQQMNAFEMVVELGLAVDIKLGYKDNVFHREGDTVVVKAEEIESGIRRLMADEEVRAKVKRMSKMSRVTVVEGGSSYASVGRLIQDFVA >KVH91361 pep supercontig:CcrdV1:scaffold_163:194603:199031:1 gene:Ccrd_006617 transcript:KVH91361 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MNFKIHEPKEMAKTVAELVFIPAPGVGHIMSTIEIAKLLVNRDQKLSITVLVIKPPPSSGSGSAITTYIESLAKKSIDRICFIELLQDETLPIRDPKAPMTFFNKFINSHCKYVRNVVADMMTQPGSTRVAGFVIDMFCLAMIDVANEFNVPTYVFFTSNAAFLGFKMYLEIFCDDQNQDVELSNLGAMIPVPSFIKPVPTKVFPSIMHTREGLDIALGLSRKLRKAKAIMVNTFLELETHAVESLSSDSSIPPVYTVGPILNLEGGAGKPLDDDVMRWLDSQPPSSVVFLCFGSMGSFEKVQVNEIAHALERSGHRFVWSLRRPPSNETPNSNPSDYEDLRVVLPEGFLERTARIGKVIGWAPQVKLLAHHAVGGFVSHCGWNSMLESLWFGVPTATWPIYAEQQMNAFEMVVELGLAVDIKMDYTGNAHFNTKAEIVTVTADEIEDGIRQLMADDTIRKKVKEMSEKSRAAVTEGVAELVFIPAPGVGHIMSTVEIAKLLVNRDQRLSVTVLVIKPPPGSGLGSAITTYIESLAKKSLDRVSFVELPQDETPPSRDPKAPMTSFHEFINSHCKYVRSAVADMMSQPGSTRVAGFVIDMFCTSMIDVADEFNVPTYVFFTSNAAFLGFQMYILSLCDDQNQDVFELSNSDAMIPVPSFVKPVPTNVFPLMVKTREGLSFVMRLVQKLRKAKGIMVNTFLELETHAIKSLSSDSSVPFVYPVGPLLNLEGGAGKPLDDDVKRWLDGQPPSSVVFLCFGSMGSFEEVQVKEIAYALERSGHSFVWSLRRPPVGETTIMNPSDFEDPRVVLPEGFMERNAGTGKVALLAHPAIGGFVSHCGWNSMLESLWFGVPMAAWPMYAEQQMNAFEMVVELGLAVEIKMDYMKNLFNPKADMDIVTADEIEGGIRRLMADDTIRTRAKEMSEKSRAAVAEDGSSYTSIGCLIQDFIKNIS >KVH91362 pep supercontig:CcrdV1:scaffold_163:190274:194523:1 gene:Ccrd_006616 transcript:KVH91362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTKSIQKCPQIQMSYAYAMYSISLNYDSMTVSASTRIRLPMSSSLPFSLNSSSTPTRQSLHASSLQPPSHTHWTKDQLHPHPKPRTIRYRLSQLCREGQPHLARQLFDEIPQPTTVVWNSIIIGFICNNMPHEAILLYSQMKSNSFLCDSYTYSSTLKACAETRSLRIGKAVHCHILRSHLYPSRIVCNSLLNMYASCLHEDVKRVFESMPKRNVISWNILISWYVRVGMFAEAVRHFVKMMKSGLKPTIVSFVNVFPAVAGIGDSKTADVVYGLLVKLGDEFSRDMFATSSAISMFAELGSLESARKIFDNSLERNIEVWNTMIGGYVQNNLPVEALDLFNQALQASDDVAVDDVTLISASTAASQLQHLDLAKQLHAYIIKSLPVLRTIVMNALIVMYSRCNSIQESSKIFNSMNERDFVSWNTMISSFVQNGMNDESLMLVHDMQKQGFLIDDVTISALLSAASNLRNQEIGEQTHGYLLRHDIQFEGMESYLIDMYAKSGLIRVAQNLFERSCLHGRDQATWNAMIGGNCQNGLIEQAFGVFRQMLVHNVPPNTVTIASILPGCSLVGNARLGKQVHAFSIRRVLDHNVFVHSALVDMYSKLGIIAFAENVFSLSPEKNAVTYTNMIMGYGQHGMGKKSLDLFNSMRESGIQPDSVTIVAVLCACSYAGLVDEGLQLFESMEVKYKIVPSLEHYCCVVDMLGRVGRVSEAYEFVKGLGEKGNVLRIWGSLLGSCRIHGEFQLGKVVAEKLLEMGVGNKSAGYHVLLSNIYAEEGNWESVDRLRKEMYEKGMVKETGCSWIDNTGRIDYFKSRDRNHTNGDEIYQMLDTRLLSQLRYAWFQLSRSGWCLSHKSVRTWVILQLVDDRDRSSLLKHPPDEAYILFRRKDAI >KVH91355 pep supercontig:CcrdV1:scaffold_163:312224:313261:1 gene:Ccrd_006625 transcript:KVH91355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAHILRYGMLATYQRWIHHGESLSDKEENDHFEDSSNNDEDDHTVRDSIMDEEGCMFFNVDRSTENDVEDKSDVNRGHFDNGIGL >KVH91359 pep supercontig:CcrdV1:scaffold_163:216624:222610:1 gene:Ccrd_006619 transcript:KVH91359 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MANTVAELLFIPAPLVDHIISAIEIAKLLVNRDQRLSITVLTIKLPPIATYIESLANKSIDRISFIQLKDGTPPKLDSKNPMTSFDEFINSHCKYVRDVVAGMISQPGSSRVAGFVIDMLCTSMIDVADEFNVPTYVYFSSNAAFLGFKLHIETLFNDQNQDVVELSNSDGEILVPAFNKPVPTKVFPSMVRTRDGLEIFVSSSRKRRTVRAIMVNTFPELETHAIESLSSDSSIPRVYTVGPVLNLEGGTRKPYDDNVIRWLDGQPPSSVVVLSFGSMGSFQKVQIEEIAHGLETSGHRFLWSLHQPPSDHEDQGAVLPEGFVERISGIGKVIRWDPPVTLLGHCAVGGVVSHCGWDSMLESLWFGVPLATWPLYAEQQMSAFEMVVELGLAVEIKLDYKMDLFNPQADTVIVTANEIEGGIRRLMADKMIRTKVKEMSEKSRAAMTKGSSYDSVSYLIQDFIKKHLPNLIFIPAPVIGHIKSTIEIAKLLVNRDQRISITVLIINSPSGSRPASAMATYIQSLANNSMDRIRFTQLPQDQILPNRDPKAPMTFVNDFINSHCKYVRNVVADMMSQPGSGRLAGFVIDMLCTGMIDVANEFNVPTYVYFTSNAAYLGFQFYIQMICDDHNQDVIELSNSDGELQVPSFVNPVPVKVFPSGFDTKEGLDYVLLTSRKMREAKAIMVNTFLELETHAIGSLSSESNVPVVYPVGPIINLDGGAGENSENDVVSWLDDQPPSSVVFLCFGSLGNFEEVQVKEIAHALERTGHRFLWSLRRPPSPEQTSRAPGDYEDPGAVLPEGFLQRTAGIGKVIGWAPQVAVLAHEAIGGFVSHCGWNSVLESLWFGVPLATWPIYAEQQMNAFEMVVELGLAVEIKLDYKKDLFNPDSDIVTGEEIEKGIRQLMDDKEVRAKMKEIGRKSRATVKEGGSSYASVGRIIEDFISNISSS >KVH91356 pep supercontig:CcrdV1:scaffold_163:259613:260014:-1 gene:Ccrd_006622 transcript:KVH91356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSAALRTLATPPSVHRTINSPISSSTRSEKQTLRRHGEPATPPVEPTRSPSLLRQCALGILPAIPLLDPHNLRRLSAFAPVKEVDGGTTRNGGRFALKHMRSLSLVPLQNFPYCQNTTQPPRKVVDHLTNR >KVI03020 pep supercontig:CcrdV1:scaffold_1630:55549:58719:1 gene:Ccrd_018686 transcript:KVI03020 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF125, transmembrane MPMQTLSICNSHPPIHGRSLNQNLPWNPSLVTLSGFPKSLKTYSIFTLRNHPKMNNSRLTVFAVKGEEEQSTPSWAKPDSEEPPPWARNETQQASSSSNSELPFFVYLLASAITAIAAIGSVFEYVNQKPVFGVLNSDSIFYAPVLGFFAFTGLPTAVSLCSHSFGSNLLKLRIRRQRSKTEEMVIDCGEHTLPVTGVVKKKVSRGEQLQRAQWLRAAILGANDGLLSTTSLMMGVGAAQEDQWFMILSGIAGAVAGACSMAVGEFVSVATQRDIELAVNQEYLSKENSNLQEIKLEIPKNDKTIVETYIPAVPSPGRSPVLKVVLMDAREKTKKDEKEGLPNPFKAGAASALAFLCGSLFPLVSAMAVKDYTARIVAVAVVTSVALVLFGGIGAFVGGSSVRASAARVLVGGWISMAVTYSLLKPLDSVTDKNMSKES >KVI03021 pep supercontig:CcrdV1:scaffold_1630:86603:98700:-1 gene:Ccrd_018688 transcript:KVI03021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVQDYTDDPNYDSLAGFWGVMNQPCFGIIPVIPVSRFLNFFRYLSKNSTIHCPRIVAARNTAVFVNGRELNEKYLDLLACRGLPMTKDRSYVIEISGKVVDEDTRKELDSLGKLAPTVKHGFGMKIPKAIAK >KVI03019 pep supercontig:CcrdV1:scaffold_1630:73726:75514:1 gene:Ccrd_018687 transcript:KVI03019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPALPVLPRLDRLDRLLELLEEKHGKSRRYESITTNDDDNNNDYKKTSVDHPNCKTLLSALDDVHHKGTLIDRLTILENHVLQLSLDIEDGSTSRSSSSTAYTTKEEKEVPIDKCDTKSSGKGRRRRVHFKRMRWMSMGC >KVI03018 pep supercontig:CcrdV1:scaffold_1630:105358:105714:1 gene:Ccrd_018689 transcript:KVI03018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHMNYNRVGRKYYATRGFRLTSKRFSVQRLRAKFFNFFKILMGSWKSNSSSSSSSSSSPYEKSTTKGVGKSSQKRFVAKENGCRIRSFGRSNSFYSEAIEDCLEFIRRSSVSLDDKP >KVI03583 pep supercontig:CcrdV1:scaffold_1631:44440:45454:-1 gene:Ccrd_018117 transcript:KVI03583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAVPVKGTTSGGGGGSGSRWCPTPEQGGGVEDASNCKGMMDNWKVDLPSNQTCKFMCDCPLMSMMMMDNHGTTPYCTRVPPKTLQLFPVTTTDLKDDDDHHQFTITTKP >KVI03582 pep supercontig:CcrdV1:scaffold_1631:90693:92901:-1 gene:Ccrd_018118 transcript:KVI03582 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MASSDLNNTQAYNKSKQQVSTMLKQGFISDFFLSPPPSSSPSDPIRPLQSPIRSTPSPTLFEMMTDEHTRDSRHSVQKMRIEGRVARVLSGAPFRNPADWGLGIGDVKLTITGRDGFSVSMDVHREVLASRSQFFKEKLGRRSGSHHSVEICECDDVEVYLETLVLMYCDDPKKMKMGEGVSKILGLLKVCDALKFDDGISSCLEYLEAVPWSEEEEESVISHLNELHLVNDCDVLQRVALDPSTSSRIDDIFLRLLTGVLQAKDEKARREMKTLISRLLKEDASESDHGYDYHKLEISKETLYTVSHKCLTSLVLCLSEATSTDENKGMMAEIAREADNLEWVVDILIEKRICEEFVKLWSDQKDLAILHSKVPIMFRHKVSRITAQLCIAIGRGNILVPKETRVSLLSTWLEALYDDFGWMKRGSRNGDRRLIEDGISQIILTLPLLQQQAFLMSWFDRFLEKGDDCPNIQRAFEIWWRRAFVRQPVVEPQLQITVCDYPS >KVI03581 pep supercontig:CcrdV1:scaffold_1631:98069:109681:-1 gene:Ccrd_018119 transcript:KVI03581 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAC motif-containing protein MEPKRSSPVFLPAQRDVRGSLEVFNPSTNETTSQFRSQPTWRSWTESPQNHPEPSPPPPSTEVNDNVTLSSSRSGGLPADEINKSWMALKDPNPHEAPPVTMPPQSPPFARSSAAGVVALAPQSPGGILGAAEQRAAEWGLVLQTDTETGKPQGVKVRTSGEDPNAKPGSSRRDSGNSVQSSSDVSDDGLGNLALIYRKEKGFPRVSEDLKDALSTFQQTFVVSDATKPDYPILYASAARQKEMASSSVTELVEAVKRPRSLSESTNRHPFSRNSGDQDTTNAVAGFGRRNSAETMFPPPPPPPARRNSHAGVRTITTMQSINELPEKKQKKTKRLSFIGIKKNNRKSSEEFDDGFEGDVNIQDIDGEVDDEDDEFARNKDVQSRPESLDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPSTVKKIRDAIDNQREVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNCIPETTAKDGAVLVKETAENVDEAVRELPDANMVHRACAEREILDILDHPFLPALYASFQTQTHVCLITDYCPGGELFMLLDRQPMKVLKEDAVRFYAAEVLVALEYLHCQGIIYRDLKPENVLIQSTGHVALTDFDLSCLTSCKPQLLVPEISEKKKKKKKKHQKGQETPIFMAEPVRASNSFVGTEEYIAPEIISGAGHSSAVDWWALGKTRQRTFANVLHKDLKFPGSIPVSLQAKQLIYRLLHRDPKNRLGSHEGANEIKRHPFFRGINWALVRCQNPPKLETPVFNGTEDEKVKDVDYGLQDLENNVF >KVI03584 pep supercontig:CcrdV1:scaffold_1631:3207:9720:1 gene:Ccrd_018116 transcript:KVI03584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II ASSHLSPTRTLSVSQASAGATPAISQSRLSLSQLKVKDMAGAKGVKPDNAKKSAVVTNGEMIEYYEISGCYILRPWTMSIWEIMQTFFDAEIKKMKIKTCYFPLFVSNNVLQKEKDHIEGFAPEVAWVTRSGDSDLEVPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADTEVLEILELYRRLYEEYLAVPVVKGKKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFEINFEDEKGEKAMVWQNSWAYSTRTIGVMVMTHGDDRGLVLPPKVAAIQVIVIHVPYKDADIKEILSACSATVKVLCDLGIRAEADLRENYSPGWKYSHWEMKGVRAVRRDNAAKIDIPMDGLVDKVKCMLDDIQQNMFNVAKQKRDACVQVAKTWDEFIEALSQKKLILAPWCDEEEVEKDVKTKTKGETGAAKTLCSPFDQPQLPEGIIIVHCALHRGNLPRNGRTGDGVIKV >KVI11352 pep supercontig:CcrdV1:scaffold_1632:14574:14780:1 gene:Ccrd_010239 transcript:KVI11352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVVAEHYLNGVGSSEHKKSVDYALESIHNIREALSGLWESVMKSKDVCDSQKIAIETTVKA >KVI11351 pep supercontig:CcrdV1:scaffold_1632:56597:57889:1 gene:Ccrd_010240 transcript:KVI11351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFSLIFSNANEDYVAEVLHRLGLEDCFDDVGSSERKKSVDYALESIHNIREALSGLWESVMKSKDVCDSQKIAIETTVKA >KVH95054 pep supercontig:CcrdV1:scaffold_1633:57188:58564:-1 gene:Ccrd_002877 transcript:KVH95054 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIR synthase-related protein, C-terminal domain-containing protein MAVIGTISGEGFVRLINNHAIEKPLAVDLELEKVIGDMPQKTFEFHRVKDTREPLDIAPGITLMDSLKRITLADVAVIAQMYTNYTGGACAIREQPIKGLLDPKAMARLAVGEALTNLVWEKITSLSDVKASGNWMYAAKLDGEGAAMYDAAIALSEAMVELGITIDGGKDSLSMATRAGGEVVKAPGNLVISAYSTCSDIMKTVTPDLKLKDEGILLHIDLAKRKHRLGGSALAQVFDQIGDECPDVDDVGYLKTVFEVVQDLLTDELISAGHDISDGGHIVSVLEMAFAGNCGAQITLNSQGKSVFETLFTEELGLILEISKANLDTVATILGNHGVSAEIIGQVTTEPITGLNIDGITRLDEKMTDVRDIWEETSFHLEKFQRLASSVDAGVYEGSFTDHMIQRRRLVMLVLRIKVLHAT >KVH95053 pep supercontig:CcrdV1:scaffold_1633:80962:89024:-1 gene:Ccrd_002878 transcript:KVH95053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MACAVFMNILFLFKPDCSTDQHKAAQICSLIRHLFLRSEMASQVEQVLEPWHDLTGKVVFVTGASSGIGKEFCLDLAKSGCKIIACARRMDLLKSLCDEINGMTISTGSESKSKSEAVPVRAFAVQLDVSADEVTIKAAVQKAWEAFGHIDALINNAGISGQPRNPMDFEEGDWNYIFRTNLTGSWLVAKHVCINMRKAKQGGSVINISSIAGTNRVMAMELGINNIRVNCINPGIFRTEITQGLVDKDWFNNVTLRTVPLKTLGTINPALTSLARYLIHDSSVYVTGSCFIADAGTSLATIPIFSSL >KVH95055 pep supercontig:CcrdV1:scaffold_1633:84:6827:1 gene:Ccrd_002876 transcript:KVH95055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPTNEAIEAVCVILCLFQQASSDVTVSKEDEEEAKRGFTSAVMLPSDQGLLCVTADQEFLFYSPTXSSQGTFQLNLNKRLVGYNEEIVDMKFLGVEEQFLAVATSVEQASHTDIVLCLDTCTTSFGRTLIVTGSKDNTVRLXDSDSKGCIGVGRGHMGGIGADRTARIWKLPELTPGVVLRGHKRGIWSVEFSPVDQCVITASGDKTIXIWAISDGSCLKTFEGHTSSVWALTVGKKTEMLATGGSDAVINLWHDSTAADKEEAFRKEEEGILKGQELENXVLDANYTKAIHLXFELRRPHKLFELFAELCRRKDANDQVKQALGVLGTEEXRQLLEYIREWNTKPKLCHIAQFVLFKMRGIGELLEGLIPYSQRHYSRIDRLERSTFLLDYTLNEMSIVEPDMGVVEDPKDESLVGPTEAVAKGQERANEEEVSKKRSSKKRKVKILNGWNKKIKGSVLLMVQLFL >KVH93691 pep supercontig:CcrdV1:scaffold_1634:8578:21399:1 gene:Ccrd_004256 transcript:KVH93691 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, helix hairpin domain-containing protein MKNFYRSSVKKRVKVEIEKRNYYLLRRRQIKAETEAWEKMTEEYREFQREMCEKKLAPNLPYVKSLFAGWFEPLSKAIEKEQKSPNTKKHQEAYAPYIDSLPADKMAIIVMHKMMGLLMMGHEYRYVRVVQAAIQIGMAVELEVRIQTFLEKTKNSSKKKVLIQAQPDVTKENEMLRKRVKTLIKRKRIMEVQKILRNEEYQPWGRDKQAKLGCRLIELLTEIAYVQSPASQSADTPPDIRPAFRHIFKIVAMESGHVRKCGVIECDKMILTGLERTARHMIIPYVPMLVPPRKWKGQQQDAVKNAPTTQMQKIYEALDTLGNTKWRVNKRLLHVVESIWAAGGDIAGLVNREDVSIPDLNSEDSSEIREWRWSVRKAKKMNQELHSQRCDIELKLSVARRMKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGILEFAEGRPLGKSGLRWLRIHLANLYGAGVEKLSYDGRLEFVESHLNDIIDSADNPLGGNRWWLTAEDPFQCLAACINLSETLKSSMVHAMACSTMLHWEETLYLEAAAVNLVAGEKPSDVYSEIAARVHDIMQRDSEKDSTSYPNALLAKILIGQVNRKLVKQTVMTSVYGVTFIGAREQIKRRLLEKGHITDDQLLFSASCYAARVTLEALGEIFEAARGTMCWLGDCAKVIASENQPVRWTTPLGLPVVQPYFKTKRHIIKTSLQVLALQREGSTVEVKKQRTAFPPNFVHSLDGTHMMMTAIACRDAGLRFAGVHDSFWTHACDIDKMNQILREKFVELYSIPILEKAASPEASKCGPAVIMSKESEILRWSKILIRGIQGTECEDFCQRLKWRYHEIQVGSPKTFVAVEPSAPGSGIACAHKMRNQPLLGLRDQQRQAASEETAPAGLSGSTSSEQQAKKQPTSRIERRNSSKRAR >KVH93690 pep supercontig:CcrdV1:scaffold_1634:25513:32207:-1 gene:Ccrd_004257 transcript:KVH93690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 MSSNENVIQRGKLLVHIAENGHSFELDCDEDTLVESVQQYLESLSEIRLNDQLLLYLDLKLEPQQPLSAYKLPSDDREVFLFNRAKMRTNSAPPGPEEVEVAENNHPDPPRPTSSHDPHPLDEASDPALKALPSYERQFRYHYQFGDAIYRRTLVKYETCERLAREQRVQEKALEIARGNLDHFYKMILQNYMDFVKCYSQQQRTHSNLLVHFGRDLERLRSIKLLPVLQTADRKCLLDFVKEDNLRKMVDDCSSSHRQFENKVGEFKQEFGELKRSTEHLFSSKASILNRDLERTVKEHQHHINEQKSIMQALRFVILIKTCSLIHQCSKDVGLVKKLVDDCLTSQLSSSLRPHDAVSALGPMYEGHDKSYLPKMQACERAISNLLVFCKNKKSEMNTFVHRYMQKIAYIQYTIKEVRYKFSVFTEALKRQNDQFEHLKVVRGIGPAYRACLAEIVRRKASMKLYMGMAGQLAERLASKRETEVRRREEFLKVHSLYIPRDILASMGLYDTPNTCDVNVAPFDTNLLDIDISDLDRFAPEHLVGLSFKKGSSSMSQESSHSHEVDESSECGTEKYNDFVEASELVEIAGTSKMEVENAKLKAELASTIALLCSFSPEVEFESLDDSKVGNMLKNAAEKTAEALRLKDEHEKHLLSMLKVKHLQCESYEKRIKELEQRLSDQYSLEHKLNKDESTGKIGDSKSEISGDGETHMRCASSEPMDEASYASSSLLLKSGGIDSSGTMNPHLDSSMLEPNRDESHFNEKDDKETMVADVGMALATSSTADYMPQPLKTLPSDEQVKASDDLVVELQNLLTEKSNQLNEIETKLQAAVEEVTRLGSELEISRNLLDESQNCLHEAREEAQTHLCAADRRASEYSALRASAVKVRSLFERLKTCVLAGGVTGLAESLRALAQSLANSANENGDDGTSEFRECVRVLAEKVGVLTRYRSELNDRYTKAEALHEQLTKELDEKKELVNTLYMKLQSEKQLHEIAAFVLNVAGHYEAINRNCTHYYLSSESVALFADHLPHRPTYIVGQIVHIERQTVKSSAVRNNRVDVGSSQFALNSGGTGVNPYGLAVGCEYFVVTVAILPDTTIHSPTAAAS >KVH93689 pep supercontig:CcrdV1:scaffold_1634:90711:92035:1 gene:Ccrd_004258 transcript:KVH93689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPNHTMSGYVWEELIDNIFKTLPPKSLLRFRCLSKSWCSRIGSPDFIRTHALRFDKNLQKVLVKHWTYNKRRGMEEFYTLHPEDRLPLSHRHGYTGIGKFPCNDCSIVGSCNGLLCLFHHEIGTIALWNPSIRHQLTLPPHPCMRNYSSQASPRVALGFGFDPVTDDYKIVRISYYTFKRVEPTSMVYTINTGTWRAIASPTTRLSNVQCSACFVNGALHWIVTEQDNKDHCYIISFSLSTEVFGRVLLPEPSSEARELTILKDSLAVITGECHNPRIWVMREDNNVASWSMFFKLEALPSEGGIHRVLLLTTNRDLVFLTYCEGYKVYNPATGVRSRLVKFNARSYQLEVETYAESLVLLNKGTACNGNQPPWLRPKKRKQKKKTSG >KVH93695 pep supercontig:CcrdV1:scaffold_1635:30865:36224:-1 gene:Ccrd_004252 transcript:KVH93695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGKERKRKERNKQINVLHLHSMIIIMLQSLRFCLLCFFLFFPTLTLSYEARNPEVVVLILIRSGLNDPHGALNNWDEDSVDPCSWAMITCSPDNLVTGLGAPSQGLSGTLPGVIANLTNLRQVLLQNNNISGRIPARIGHLPKLQTLDLSNNKLSGDVPESLSLLNGLQYLRLNNNSLSGAIPLSLASVPQLALLDLSYNNLSGPVPKFSARTFKYVCVNLGIKRYTPGSQIKRVLKDSFCWDLGVWIDELYDFLENWIKKNAFFLNSIVGNPLICGSHANEGCSGSTLPEPLSFELNSSSGFKFSRTRFSFSLRKSKPKRVAIALGISLGCLAFLIISLGILLWQRTRNQKQSILDINDVPEEGLMSLGNLRSFTFKELQYATDNFSSKNILGAGGFGNVYKGKLGDGTMVAVKRLKDINGTAGESQFRTELELISLAVHRNLLRLVGYCATPVERLLVYPFMSNGSVASRLKGKPSLDWNARKRIAIGTARGLLYLHEQCDPKIIHRDVKAANVLLDEFFEAVVGDFGLAKLLDHADSHVTTEVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRAFEFGKTVNQKGAMLEWVKKAHQEKKVEVLVDKELGMNYDQIDVGEMLQVALLCTQYIPAHRPRMSEVVRMLEGDGLAEKWAATHNRVNGNSISIRKNGNENRNGNGNGNEDGNGMVGKLDENDDDYTSSMLGVMLMDDDHDAHAMELSGPR >KVI04760 pep supercontig:CcrdV1:scaffold_1636:31721:34479:1 gene:Ccrd_016917 transcript:KVI04760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase, bacterial/plant MKWEHRSTSKTTCFTEKQQWPFKCIPQTTTLFVTKSLAQCSSNLLKTTLSLINEGQVVINGAAKEIGMAAVMAVTKARGMEVAGAVDSHLVCGMEEALEIPIISDLTMVLGSISQSKATSVVVDFTEPSTVYDNIKQATAFGMNSVVYVPRIKPETIAALSAFCDKASMGCLVAPTLSIGSILLQQAAISAAFHYNHVEIVESKDNAGAFPSQESAQIADNLSNLGQLYNRDDLETDVPARGQRLGEDGVRVHSLVLPGLVSSTTVHFSGPGEVYSIKHDITDVQCLMPGLILAVRQVVRLKNLVYGLEKFL >KVI04762 pep supercontig:CcrdV1:scaffold_1636:48960:55830:1 gene:Ccrd_016918 transcript:KVI04762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVPDNSSQDLPCQRAIHRLDQILTRVFNAPDHRPIYQITRVPSTNRLQPVSLKNLALENYLDSSQQSALPRMGPSRAGVGMVHSSSSSGIFFQGDMQSQALGNSHLGSFGNSANSIPGNMRPNMVPISGDISNTVMSSGPSIGASSLVTDANSGLSGGGPRLQRSASANNESYMRLPASPLSFSSNNISISGSSVIDGPSALQQSSNQDPHLQQVQQQPGPRQGASTATSLPPPRIGQVSLPNGSRVPGSFIQDNDNISHLQKKPRLDIKQEDMMQQQDNGISYWRKFVAEYYSPRAKKRWCLSLYDNVGHHSLGVFPQAAMDAWQCDICGSKSGRGFEATFEVLPRLSEIKFGSGVIDELLFLDLPREYRFPSGIMVLEYGQAVQESIYEQLRVVREGQLKILTWEFCARRHEELLPRRLVAPQVNQLLQVAQKCQSTIAESGSDGISQQDLQTNSNMVVTAGRQLARSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLMDFCRDTKAGPIEGLKNFPRQTSAAKSQMLKMQEMEQLSSIQGLPADRNALSKLVSMHPGLNGPLSNNSHHMGSQGAGISGSAQAALAHSNYQNMLMRQNSMNSNSQQEASSSFNNSSQNGYLVQSSANMLQQRPMFQVQQNHQQSPSNSSSQALQQHMIQQLLQDMNNGGGSGGGGGAKPSVSGQSGASGSMGREGLRYGISSSGTSPRPPAVPTRSNSFKGATRSDSSAGGGGNVNQKASDVAHLCDDIVQDIGSEFTGNGFFDNDELEEGMGMGMGMGMGYGWKG >KVI04761 pep supercontig:CcrdV1:scaffold_1636:74831:83829:1 gene:Ccrd_016919 transcript:KVI04761 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGSGFSTNFPVNNDETPASCSHLPPKQLGGLGDLPENCLAMVLDHFDPPEICKLAHVNRAFYRASCADVLWESKLPENYGVLVKKLLKVYEYDCRLSKKDIYARLFQTIAYLRQIWWLEVEGHVDFYFPTGIYSLFFRLHLGKPSQGQNQHSSSNNQEIHGWTINPVQFKFSISDGQNAMSKHFLNKQGKWLCYHVGDFHVKESYEPTKIKFSMTQIDCTHQKGGLSLDSVLICPCGTKTHGIV >KVI04384 pep supercontig:CcrdV1:scaffold_1637:83144:84838:-1 gene:Ccrd_017302 transcript:KVI04384 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MRNRLSKMDENVFGSLPEGFIANALSLTSPRDACRLSLVCSVFRSAAEWDAVWESFLPSDYQKIVAAEEEEDGGDFSSKKQLFLRLCDRPLIIDGGNKSFWLEKRSGKKCYMLAARDLSIVWGDTPRYWRWISMPDSRFTEVAELISVCWFEVHGRISTSMLSPDTAYLAFIVFKSTSETYGFEYQPAEVSVGISGLKTQTRTVFLEAGLDQRRRQHPVVPRHRIGMFHRGHRANPIGLINPNSNGNGPKEREDGWLEIELGEYFIKKGELGELEMSMTEVKGGNWKGALVIQGIEIRPKICKT >KVI00783 pep supercontig:CcrdV1:scaffold_1638:29309:29653:1 gene:Ccrd_020961 transcript:KVI00783 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEHPKSSLTVQPTPLSSTVPENQRHHHCQPCKHRIMLKTNFDNYPKGIRFISLLEDFVSRGIFNSDGEVWKAQKPPVTSSMPAPSRTIIRKPPPSNYTLDSYRFLKVHGFGPGH >KVI00784 pep supercontig:CcrdV1:scaffold_1638:27134:35163:-1 gene:Ccrd_020960 transcript:KVI00784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVDYASSDEEDEAVGREEGRHNDNLVISHTKPSHHPPNQKATRLPVQQSDNSINHSESSTFRLPDASLLLDSPSLPSSMIGSYDHTSRVAAAMAQNESRKRDSKESTASYPRGKIPRGNLPHSKHVPETAFGLLRPPQLSGRSNVVTEDITKLFTRRNGSEPSSSSSRSTSG >KVI00787 pep supercontig:CcrdV1:scaffold_1638:16641:25987:1 gene:Ccrd_020959 transcript:KVI00787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, N-terminal autoinhibitory domain-containing protein MESYLKENFEVEHKNPTEEALKKWRSAVWLVKNPRRRFRMVADLAKRAAAEKKRRKIQEKIRIALYVQKAALQFIDAANRTERKLSEEVVQAGYDIEPEDLAYIVRSHNNRTYEFHGGLQGLSRKIKVSLRDGVISSDIEKRQQIFGCNRFVEKPPRPVWMFVWDALQDLTLIILMVCAVISVGVGIATEGWPKGMYDGLGIILCIFLVVVVTAVSDYKQSLQFKDLDKEKKNIIIQVTRDGTRKKVSIYDLVVGDIVHLSIGDQVPADGIFIDGYSLSIDESSLSGESEPVNIDKKRPFLLSGTKVQDGSGKMLVTSVGMKTEWGRLMVTLSEGGDDETPLQVKLNGVATIIGKIGLAFAVLTFLVLTARLWVAGESREIRTKDLKSSIPEKVLSVLLQSVFLNTNSEIVKDENGKTNIIGSPTETALLEFGLLFQSSYNADSKGVRILKVEPFNSVKKKMSVLVALPGGKLRAFCKGASEIILKICDKIVNEDGEIVELSEKQRKTITDVINGFACEALRTICLAFKDLEKESISNDNIPESNYTIIAVVGIKDPVRPGVKEAVKTCLDAGIMVRMVTGDNINTAKAIARECGILTADGLAIEGPDFREKSLEQLKDIIPKLQVMARSLPLDKHKLVTLLRNEFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADIVIMDDNFRTIVYVARWGRSVYINIQKFVQFQLTVLLLLLLSNCFG >KVI00785 pep supercontig:CcrdV1:scaffold_1638:91162:101880:1 gene:Ccrd_020963 transcript:KVI00785 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCNGP-like protein MASKKKESEGIALLSMYGDEDDDMEEDIDTDDNNSDNDAVPQENKEQSEVGATETVNMEEDDAEALNNSNNISYNYGSNVDPVVILDSANDNTTPVSIDNSTPPPPLAQQVIGVEPSRGMKGTLTIVDYGHDEAALSPEAEEGEIVATGRVTFGAELQTVNGTPPGTVQLLTPSTQSTPPQSSELVEQSQSDAMNYKGNESESAVPEEAVNMTEDGQKEMDPLDKFLPPPPTTKCSDELQERVQEKIVKFMRLKMKTGRSFNSEVRNRKEYRNPDFLLHAVTYQDIDQIGSCFSKDVFDPHGYDKSDYYDEIEADLKRELERKEQDKKKNQKIDFLSGGTQSAAAIPMPKIVAIPAGGGSSSVSAAVDTGTREGRPNKKSKWDKVDGDRRHPLTTSGQDSGSHAAALLSAANAGTGYTAFAQQRRREAEERRSSDRKLDRRS >KVI00786 pep supercontig:CcrdV1:scaffold_1638:35863:41382:-1 gene:Ccrd_020962 transcript:KVI00786 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MVGTEIEGSTNNAHANGLVQNTNGSLEEKVDELRKLLGKTDDDPLRIVSVGAGAWGSVFAAMLQDGYGHLRDKVQIRIWRRSGRSVDRATAQHLFDVINSREDVLRRLLRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETHEVFEEISKYWKEKITVPIIISLSKGIEAELQPEPHIITPTQMISRSTGIPMENILYLGGPNIASEIYNKEYANARLCGAEKWRKPLAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVASLTNESATSKSVYFAHCTSEMIFITHLLAKNPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLDMGDSIKGKGMIQGISAVKAFYELLSQSHLSILHPEEKKPVAPAPLEAILQALRDETMNDPRDRIEIAQTHAFYRPSLLGQ >KVI06733 pep supercontig:CcrdV1:scaffold_1639:41233:66708:1 gene:Ccrd_014912 transcript:KVI06733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MKDEDSVNDSPMRVTKEVILFRNLDQEERVAADAPLVPTMATVPVLGRAIPHLRLQLNTTLPAAVCLLIRRPCYTTTAAEFNRPIKKVPSTSTSTSTYDDKASLLSAKPHPPKDPKWENDPDYRKWKDKEAEIFQDIDPITLLVKDILHSDRYMDGEQLTPTDEKTVVEKLLAYHPHSEDKIGCGLDSIMVDRHPQFRNSRCLFVVRFDGVWIDFSYQKCIRAYIRHKYPSYAEKFIKEHYKRSSS >KVI06732 pep supercontig:CcrdV1:scaffold_1639:14311:18601:1 gene:Ccrd_014913 transcript:KVI06732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MVARMSRTKDVFYTEVTSEGVKLGFESSKKGGISLIFLIIDDVWQIVGMDPSSVEAKADNSAKEQGGAKMEERSSPTTSNQRPSLASSELKMSTTCLELQILLEMEMLLYIVILAWMSWRSTVPNVDCSASKPTCKVTLVTGVVKFGSRVTFIAIKPACQAATRDVVGY >KVI06734 pep supercontig:CcrdV1:scaffold_1639:82031:83827:1 gene:Ccrd_014911 transcript:KVI06734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MMTLLDSLYCEEKHQWEEDEEEPVDSYSSCVDDEKNIHHQHTNSTELLQEDLFWEEQELTTLISKESQQNFQNNVSDPPSHHRLTAVEWILGVVSHYSFTALTAVLAVNYLDRFLDSFDGFESEKKKPWMTQLAAVSCLSLAAKVEETHVPLLLDLQMEGSKYVFEAKTIQKMEVLILSTLQWKMNPITPLSFLEYITRRLGLRTNLSSEFLKRCECLLLCFLPDGRFRRYLPSVIATATMVHVINSVEPCIGIDYQSQLVGILGINKEKVNECWEEMQEMLSCSKNGGRHFNKRKFGAVPGSPNAVMDLCFSSDESWSSEVSSSPETGHKKSRKDAAA >KVH98260 pep supercontig:CcrdV1:scaffold_164:196600:200080:1 gene:Ccrd_023536 transcript:KVH98260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MDHKVVVVTGASSGIGEQIAYEYAKKRANLVLVARREHRLHGISENAKRLGAPNVLVMAADVVKEEDCRRFINETIGFFGRVDHLVNTASLGHTFYFEEANDASVFPILMDINFWGNVYPTYVALPYLRQSHGRIVVNVAVENWLPLPRMSIYSAAKAALVNFYETLRLELNGDVGITIATHGWIGAEMARGKFMLEEGADMQWKEEREVQASGGPVEEFAKLIVSAAVQGNAHVKFPSWYDVFLLYRVFAPDVLTWTFRLLLSHQGMRTTSYIGTGRSVLETPSPPRRLLTGGTHTVPHTSSRHTTPRIQLLNE >KVH98242 pep supercontig:CcrdV1:scaffold_164:374261:377604:-1 gene:Ccrd_023520 transcript:KVH98242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31e MHDKMKENSMGSSAITTHRLCHHFEKGTVTCKGLLVTLSATATATAAAAYLGILGLLFDRFHNQVPVKIKGQWWKRRKVERRSTFKKKAPKAIKEIRKFAEKAMGTTDVRVDVKLNKHIWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLTGLGTKVIDDED >KVH98228 pep supercontig:CcrdV1:scaffold_164:403502:411142:-1 gene:Ccrd_023518 transcript:KVH98228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFLCYKCRMYRKVDQEQRVPGFTRRSLILSFKSFAGVTVFLCGLAIAALGHFVNAPTVMLANFIATPIELSLMVVFLRFGEFLTGGPHFPLTSNALKKVMRGEASMEIVRSILHALLGWLVLAPIILGTLYIILLPCFVILVHKFSNVSSPKASASSTELRLRVRDT >KVH98248 pep supercontig:CcrdV1:scaffold_164:310912:317482:1 gene:Ccrd_023526 transcript:KVH98248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding-like domain-containing protein MALYLVKSCVKFWPKTTAPSTAAPIRLRRFQIRFPIIRSDNITSFSPNRTSSFHYTTSVDKISPISSSNNDGGREFVAMSDEKLMSQCEMDTYKSSGPGGQHRNKRETAVRLKHLPTGIIAQASEDRSQHKNRASALARLRSLLALKVRNTIELETYTPPPELLQILPAKSTIRGSECGPQIGPNNSNLSTGALSRLILSDDSLRQAVNEFRTSKSHDPGNHGPAGENIYEKNMPKFWK >KVH98253 pep supercontig:CcrdV1:scaffold_164:167503:176341:1 gene:Ccrd_023539 transcript:KVH98253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine/other tRNA-ribosyltransferase MSPAERPQSNHDGAVQERESGRMKFSVKAWSKNGRARVGLLQLNSSSSSCRQGGPPAKEIEIETPALLLTTRKGLPAFISPDHLASLPSPDSRLLQFSPMHFMEGPNLKTISNIGGVHQLLSLHGYGFVALPRDSILSLPECNSSNRHGASFETPCGRFLAILLTSSLDAVKPLEYMKMISSMKPDLWVSLADEVSASVTAKRNKAAVDRTIRWLDDCISLKSVTLFCLSNTDGALFGSIVGGCSIEERQHCAQEVAKRNVSGMLCYYIGGFGLGDSIDGRSTLLHAVTVCGLGLPEEVLQGIAAGIDLFDSMYIYHLTLGGFALIFPLDGQCKHVSDPQLSAMTSDHTKINLKATVYRKDASPIVDGCNCYTCQNHTKAYINHLLNVHEMLAQILLEIEAITKGKFEQFRQNFIESRRDHLLAASLSSMS >KVH98233 pep supercontig:CcrdV1:scaffold_164:73413:75468:-1 gene:Ccrd_023547 transcript:KVH98233 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEGGNKTKYHVVFVPFPAQSHIKCMLKLARLLHHKGLHITFVNTEFSHKRLLESGGPHSLDGAPGFRFETISDGFVCKHGSNIVDVTEMDELYNSLRTNCLAPFLDLVARLETHVTCIISDAFMPFPIDAAQKLQVPILQFWTFAATGFMGFYQKIQHIILHTFQELEPNVIKAIEPIFPKVYTVGPLQLLLNHLSSADEANKFDSSGCYMSFWKEEPECVKWLQSKEPNSVVYVNFGSIAVMSKQELMEFGYGLVNSNHYFLWIIRPDLVLGESGVLPPEFQEMVKKRGFIGSWCSQEEVLNHPSVGGFLTHCGWGSTIESLSAGVPMICRPFRGDQLTNCRQICKEWRVGMEIGSRVNRDEVEKVVRELMDGIEGKRMRNKATEWKKMAEIATGSNGSSYLNVEKLANDIIMLSSD >KVH98246 pep supercontig:CcrdV1:scaffold_164:331050:335287:-1 gene:Ccrd_023524 transcript:KVH98246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MGSRLFPLITIFFAMSALSVTSSKLSYQLHQEETTQFFTRCLTQYGVHNFTTHSNGNNDSSLYNHLLNFSIQNLRFSGSSLPKPTVIVFPENKEQLAKTIVCANKSSLEIRVRCGGHSYEGSSSVAVEGGPFVVIDMTRLDHVSVDVDSGRAWVEAGATLGQTYSAIAEVSLVHGFSAGSCPTVGTGGHISGGGFGLLSRKYGLAADNVVDAVLITAKGELLNRDMMGEDVFWAIRGGGGGVWGVVYAWNIRLSSVPETVTSFIVSRPGTMKQVSDLVNKWQHVAPKLNDDFYLSSFVGAGLPERKNKPAGLSATFKGFYLGPKNKALIVINDSFPELNIVETDCKETSWIESVLFFSGLGTGSSISDLKNRYLQDKLYYKAKSDYIRKPIPRFGLTMALEILEKQPKGYVILDPYGGAMQTISSDSIPFPHRKGNLFTIQYLVEWNETDDNKSNEYIAWIRSFHGSMTAYVSQNPRAAYVNYMDLDLGTMNWIKTRAEDDAVEKGREWGEKYFDTNYDRLVKAKTEIDPYNVFRHQQSIPPMSLKNKNERSSRMSE >KVH98250 pep supercontig:CcrdV1:scaffold_164:18217:20392:-1 gene:Ccrd_023551 transcript:KVH98250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MRYDHEAQNFRTEICYDHDHSSANIFHHPPHSTIHAIQTAHQEPEMGLTSAIFTASAATSSRFLVRSPLPSFSPHLSISKICNLSLNRRRRSSSLRKHTGRRLVSCSQVQIQDGTDEEEACELVNGIEVSIGEGSDTVPAYLLTAVKNNNGTGILLLSDVFGFEDSSTRDFAYRIACNGYNVLLPDLFNGDPWRKENPKASLEPWLATHSQTASKSIATSRKWMVDEFVAAGISKKLGIIGFCFGGGKVVEVLADDHDGYFGLGVSFYGTRIEPSVAANVKVPILFITGDNDPLCPVKVVEDIERHNVGGSKVVVFKGRGNGFVHRPASAEDDKDAEAAFMIMRNWLHNGLVVEKK >KVH98231 pep supercontig:CcrdV1:scaffold_164:32819:39245:1 gene:Ccrd_023549 transcript:KVH98231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1232 MDGPPGNDFCSICHGNFHIPHQANCSHWYCGNCILQVWNHGSALQPCKCPLCRRQITLLVPSQASSQQVNDPEASEILKRIEGYNRFFGSHSTGLSQRVQDLPFLLRRLFRELMDPQRSLPFVIKARVYLALLFFPTQLISTAIYVLSPVDIIPEALFGILGLLDDFIIALIFFLHVGTLYRAILVRRYGGSST >KVH98254 pep supercontig:CcrdV1:scaffold_164:180045:188255:1 gene:Ccrd_023538 transcript:KVH98254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF179 MDLWAVQIKTAARCPYVSKNNHLYDKPVSSWKSRKMVSFDVGKAFEIRVPRGTVSSRTSFVVRAMGKKNSQNNSDSSSPSGVAWFEWGVKGMLFACSQLWRLACNTIVGEGHNGNNPAESNKSSNTGTPKSQNKATDWREFRAILYLNQQLMLNKQEEGVVQESKRLGSKWAHPLTSPETGCVLVATEKLDGVQTFERSVVFLLGSGTRHPQEGPFGVIVNRPLHKKIKNMKPTNLHLATTFSDCLLHFGGPLEASIFLLRAGGKKNILDFEEVIPTLCFGSRNSLDEASALVKTGVLKPQDFRFFVGYAGWQLDQLREEIDAGLWHVAACSSNLIFGGTQNSSTEGLWEEILQLMGGRYSELSRKPKQDL >KVH98234 pep supercontig:CcrdV1:scaffold_164:115934:120521:-1 gene:Ccrd_023544 transcript:KVH98234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRFSCKTKSNHNGSKSSRRFGGGNRSQRKLQSDEELLHMQALSLAIQHHQSSQRFEGSMSRRIGSTSSRRRSNPISDPTLTNPKQQKQSPEFLENLETKKVVLIHGEGFGAWCWYKTIALLEESGMLPTAFDLTGSGIDLKDTNNKISKAIFLCGTMVSDGQKPFDVFAEELGSAELFMKESKFLIYGNGKDNPPTGFMFEKQQMRGLYFNQSPTKDIALAMVSVRPIPLGPIMQTLSLSKEKYGTGRRFYIQTLDDHALSPDIQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKILLEIAQIA >KVH98249 pep supercontig:CcrdV1:scaffold_164:284366:308451:1 gene:Ccrd_023527 transcript:KVH98249 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K56 acetyltransferase, RTT109 MNLHTHMSGQFSGQVPNQVGTSLPAIPRQQNMMQNSGGQHNTLNMEPGFVKARRFIQERIYDFLMQRQQTQEIAPKKVLDIVKRLEEGLFKTATSKEEYMNLETLETRLHVLIKRLPLNNHNQQYQQQGNTSVGMGTMIPTPGVSQSGSSSLTAPSSVDHSFPANSGSNMVSAVNTGNFIPNTTGLSGGMHSGGLTNGYQQSASNFAISSGGNSLISSMGAQRMASQMIPTPGFNNNNNNNNGNSTSNQSYMNVDSSNNVVGLSSVDSAIVSQPLQQKQQVGGQNSRILHSLGSHMGGGGIRSSLHQKAYGFPNGSLNSGVGMMNNNLQMMNECLYFTGDGYGSGTIDSSGSGNFYGATTSGSSLMNNQNMNPVSLQALHKTSSPLMINQSNLHNSQQTVSMKPSLDQSEKLDFQYQPSPRDNALQSQQPLHFQQQLLQHHHQQNQQSQQTQRLSYGQSQLISDPGSRIKSETGMESQNEVLQPQVSEQFPSSRTNNNFHQISGENHSRASQTSQDMCLPIAETSQQMQQLMQQHQFVVDSQNDLTCSIGVQPEAVLQGQWNSRSQESSHVMGNISYDPNVQEEFHQRIAGQDQAQRNNLSSEGSTIYQTAGNRTVDPPNSGDISRTAKSNRELQYRNQQRWLLFLRHARKCVHPPGKCPEPNCITAQKLWNHITSCKDVVQCPYPRCRGTKHLLLHHKTCRDSSCPVCVPVKQFMQLKGGPRTHSNSGLPRTCKESSEYRSDAAGRYIMKTSPSVAETSEDLHPSMKRMKIEQQSQSLATENENSGIQVPITSALEVLQDARDEEPQVGDVCVPIKSELTGVKTDVPVSSVQGFPKISEVKKDCIEDSCIQKSESVSIVSNKAVGFGKEFVKTEKEVVQESAAPPAETSAGTKSGKPKIKGVSMTELFTPEQVREHITGLRQWVGQSKAKAEKNQAMENSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMFYTIGTGDTRHYFCIPCYNEVRGDTINVDGTNILKARMEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIEEVERGERTPLPQSAVLGAKDLPRTILSDHIENRLFKRLKQERMERARFHGKSYDEVPGAESLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFGYKSKVVLLFQKIEGVEVCLFGMYVQEFGTECQQPNHRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILASVLASFLLQNSILFTSFFWLPLYLAMLRKATKENIVAELTNLYDHFFISSGECKAKVTAARLPYFDGDYWPGAAEDIIYQIRQEEEGRKQNKKGSIKKTITKRALKASGQTDLSGNASKDLLLMHKLGETISPMKEDFIMVHLQPACTHCCLLMVSGTRWVCNQCNNFQLCDSAYRSCVSNILPMHIYTLSIEINDIPTDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNRCHLDIETGQGWRCEVCPDYDVCNSCYYKDGGIDHPHKLTNHPSIAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSPLCQYPNCRKVKGLFRHGINCKVRASGGCVLCKKMWYLLQLHARACKESKCHVPRCRDLNEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGST >KVH98232 pep supercontig:CcrdV1:scaffold_164:91518:101602:1 gene:Ccrd_023546 transcript:KVH98232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLAVSASSQKLVLGFTANPRTDSIISNKPLFCSFKFPKRSSLRSKYPPSISAVSQPQGSTPRTNSKSNELERLGKDCFARLGSSSNQHTSSVGASPQIAVPPPSSQVGSPLFWVGVGVALSAVFSWAASYLKKYAMQQAFKTMMGQMDSQNNQFTNAGFSPGSPFPFPTPVAPGSTAPSPGSPFPFPAPPSPSSTASSGPASQRTVTVDVPRTKPEATPEATSSKDNFESAKEPKKSAFVDVSPEETLKTSPFKFEESTETESSKDSETANQASQNGAASKPMDGPFEQASFTDTTNPVMSVEALEKMMEDPTVQKMVYPYLPEEMRNPTSFKCQNSMGGSPEWDNRMMDSLKNFDISSPEVMDVFNKISELFPGVTGSP >KVH98257 pep supercontig:CcrdV1:scaffold_164:225884:230056:-1 gene:Ccrd_023531 transcript:KVH98257 gene_biotype:protein_coding transcript_biotype:protein_coding description:YL1 nuclear, C-terminal MVEWFFWNRADITRKVFCDDELFLWGLGQRVLRVAFEEMMEQEVIEAEMILPTHLRFKKIQMHEKYPKGQARGRHWKHLKQIIQAENYQNYPADEPNYVNIETPPSMHPRKHICDITGFEAPYSDPRTHLRYANTEVFKLIRSLPNEYVQRYLALRNAAVVLK >KVH98255 pep supercontig:CcrdV1:scaffold_164:215469:222453:1 gene:Ccrd_023533 transcript:KVH98255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase MNAEKVSCTLPGVELSNLTPSKFNPNSSVVWFHRKFQVPLCSHTYTKCEASGNSGSNSNNFQKLKLDGPIQSRGLAGKTFCSVGICTDPLSDNECHSHVEEEKVGVLLLNLGGPETLHDVQPFLYNLFADPDIIRLPRLFRFLQRPLAQLISLLRAPKSKEAYASIGGGSPLRKITDDQADALKSELEAKKFPTNVYVAMRYWHPFTEEAAQQANRITKLVVLPLYPQFSISTTGSSIRALQNIFREDKVLSRLPVAIILSWYQRYGYIKSMADLIEEELQNFNTPREVMIFFSAHGVPDTYVVDAGDPYKDQMEECIYLIMQELEARGIENKHRLAYQSRVGPVQWLKPYTDEVLVELGQEGVKSILAVPVSFVSEHIETLEEIDMEYKELALKSGVENWGRVPALGCTTSFITDLADAVIEALPSATPMSTFSANTSSQQFDRDPIGYAIKIFIGSFLAFILLLSPRMLSALRKPVV >KVH98247 pep supercontig:CcrdV1:scaffold_164:321260:330651:1 gene:Ccrd_023525 transcript:KVH98247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLWRWRKFRDVVVARTAATRFSSSNTSNRGVMKGVLNAGVPGPYIRRRSLIGVQTRCKWDHSGGGCDDNNRRTSSMTRRIKAEINCPRCCKQMDLDFFDNRHLIPPPSSDTAAGDELETSPDLGSDVDRKGGHLPVNLCPNCKSSFYFRPYKMAPLQGRFVEIGRFKNGNGKDKRHFNDEDDYGNKLRASFWDTLRSYGGDPPENWTNLPPPPPSRTGLAVHSPRSPPFPPGINVIRADGGDNGKRGGGEKNSGWGGSNMGKNLPTPKEIFQGLDKFVIGQNRAKKVLSVAVYNHYKRIHHASLQKGSGVESGRGRLEDDDGNVDLEKSNTLARFVNVPFVIADATTLTQAGYVGEDVESILHKLLTVAEFNVQAAQQGMVYIDEVDKITKKAESVNISRDVSGEGVQQALLKMLEGTVSDDTPDEFAINHDSSIGFGAPVRANMRSGKLTNAAVTSSLLESVLMEPKNALGKQYKKLFQMNNVKLHFSEKALRLIAQKAMIPDVRTGDDRVEAVVIDEESVGSVDKAGCGGKILHGDGALDDYLARIKCKQQTEAEEQLLEGDEDVSSKAMSM >KVH98241 pep supercontig:CcrdV1:scaffold_164:247949:265231:-1 gene:Ccrd_023529 transcript:KVH98241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MAEERETEVIHSWSAPRSLSTSLMYSFAQRDDTEVVDEPLYAHYLRVTGVDRPYREELLSKMESDGNKVVNNIIYGPGGKKYRYCKHIAKQRMPGLSNELMEKGKHFILIRNPLDILKSFHKVVPSSFHELGLADLVSIYSELCAAGKSPPIIDATELQEDPEAALRGLCEDLNIPFQASMLKWEAGPKSVDGIWAPWWYKSVHKSTGFVQTDKYRQPFPALLYDLLEQSLPFYNMLRSNARRKRFPKLPMPSLPVPANEKLLVWVGDEILPRDSAMVSVFDSVVQGGDSVWEGLRIYSGKVFKLEEHLDRLFDSAKALAFNNVPTRDEVKEAIFSTLMENGMFDNAHIRLILAEWKPPVYDNTRGITLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNANADDAIMLDKDGYVSETNATNIFLVKKGTVMTPPADYCLPGITRATVMDLVKMENLVLEVRRVSLSEFHTADEVWTTGTMGELSPVLKIDGRVIGDGTVGPITKRLQNSFNKLTEGLGVSSLKMVQEIKIKLVDCE >KVH98259 pep supercontig:CcrdV1:scaffold_164:189142:193920:-1 gene:Ccrd_023537 transcript:KVH98259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGICSRLSSGTSKNDRRKEGGSLVGVQVVDPLNKDGVPQNIKTEGSVDTASKHGTQFEDGKSRITEKSKDRNRRSISERVLGSKRMQKIKSIVGGTQAAQKAAGWPSWLTSVASEAIQGWVPRSAESYEMLNKIGQGTYSSVYRARDLQTDKIVAIKKVRFVNMDPESVRFMAREICILRRLDHPNVMKLQAIVTSKFSGTLYLVFDYMEHDLAGLLTSPKVKKFTEPQNWPIKSWLLLVFNVFICNIKCYMQQLLRGLEHCHSRGILHRDLKGSNVLLDNNGILKIGDFGLAARFEPGQREPLTSRVVTLWYRAPELLFGSTSYGVGIDMWSAGCILAELFTGRPIMPGRTEVEQIHKIFKLCGSPSEEYWRKSKLPLATSFKPKKPYKRRIVETFQQHLSSSALALLDALLSIEPADRGTATSALSSEVCLKLGFITGKYPPSKEFDTRYREEEARRQKAEAMKGHVPESVRNGAVELKAQPKGQGQIVARNYNPQQENGIGKNGFVYYNSMIHQCAAEYATGKDLKDDAACTSLRIGNLRIDPSLKRPMMTNMHPAAPDSTKNDDVSSKDAMGPIQKLNRVYSGPLITRGGNTEDMLKLHERHIQAAVRKARADKTKTREL >KVH98256 pep supercontig:CcrdV1:scaffold_164:223175:226113:1 gene:Ccrd_023532 transcript:KVH98256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLASLLSSLKESATQNKLSEAFYTFSLIIQQPHHVSSSHFLLQSLASLLESCSHVNAISQGKQLHAYVVSFGFERSRVLVPKLVTFYSASNLLNDAYLVTETSNIIHPLPWNVLISGYVRAGLGKEGLFMYRKMVEKGVIPDNFTYPSVLKACGEEFDLGFGREVHDSIMKAGLEWNLFVHNALVFMYGKCGDLKVARKLFDEMPVRDGISWNSIISGYASNSMWREAFELFDRMQNENVEVNIIIWNTIASGYLKTGNYMRVLKLISQLRASGDQWDPVAVITGLNACSHVGALKLGKQIHGLAIRTCCHAYDNVKNALITMYSRCKDLNHAHIIFHLVENKSVITWNSIISGYAHWDNSEEATFLFREMFFSGIEPNYVTIASILPLCARVANLHHGKEFHCYIIKHEGFKDYLLLWNSLIDMYARSGKILIARKLFDSLAEKDEVTYTSLIAGYGIQGNGKTAIELFEKMIRSNIKPDHVTMIAVLSACSHSALVDQGQTLFEQMSVYNLVPKLEHFSCMVDLYGRAGLLGKAEEMIRRMPYDPTPAMWGTVVGGCRIYGNKEIGKLAAEKLLEMQPRNAGYYVLVANLYADCGCWQKLAEVRVLMRELGVNKVPGCAWVDVGGGFRKFLAGDTKNSKLIGNLERFIFESCRIKLFHHQVSG >KVH98243 pep supercontig:CcrdV1:scaffold_164:361423:366575:-1 gene:Ccrd_023521 transcript:KVH98243 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MASSSGGVPPGFRFHPTDEELLHYYLKKKISFQKFDMDVIREVDLNKVEPWDLQERCNIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNTFEKIGMRKTLVFYRGRAPHGQKTDWIMHEVFKKKNLFKVGGTERSRGSMGSDQLDHGSNQPDSPVMVKQLMTNHGECDSGSCGNQQLNPVAYQACEPGLEVGTCEPAQSLANATGSEWGMMDRLMTSSHLGAAHDQDGDSSKGVQSPKP >KVH98236 pep supercontig:CcrdV1:scaffold_164:143994:147534:1 gene:Ccrd_023542 transcript:KVH98236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S26e MADATSMVVVMSSSFAAPTAASVAQRKIKWKLKVGKAKNTEKEGRKFSMIAYSLCSAVINVIYFYQDKAIKRFLVRNIVEQAAVRDVQEACAFDQYTLPKLYVKMQYCVSCAIHSKVVRVRSRTDRRNREPPKRFSRPRDDLPKPGQAPRPGGATGPPPVRT >KVH98245 pep supercontig:CcrdV1:scaffold_164:344682:348207:1 gene:Ccrd_023523 transcript:KVH98245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIICRYVSGRSGRIVVIFFVFCIRTLSFDYETSIRNLCCIIKFISIYREGALQIESYTPNLTIRIMSNRNDDEKGLFWKLPALYSDKLGKLGPAFGVGAGCGIGFGVGMIGGAGLGPGIPGLQIGFGFGAGCGVGIGGLIDEVVVNTKKLIKATHREVEKWR >KVH98244 pep supercontig:CcrdV1:scaffold_164:351384:361588:1 gene:Ccrd_023522 transcript:KVH98244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MDIDDEVVIIGAGICGLATALALHRKGINNVVMERSETLRNTTGAAIGIRQNGWRALDQLGVAETLRRTAILIHRDRTVSLDDGKVHEILMKGEFRCLRRKDLIDTLYDELPSTTVKFGCQLESIKLDPNTSKPVLRFSDGSAILAKVLIGCDGGKSIVADFLNVKPTKKFSFCAVRGLSNYPNGHSFDHDFVRITKDNKFLGIIPIDDHSEDEKKEAVMDVDDEVVIIGAGICGLATALALHKKGIKSVVMERSESLRNTTGSAIGIRQNGWRALDQLGVAETLRHTAIAIQRERIVSLADGKVEEIPMKGETRCLRRKDLIDTLYDALPPATVKFGCQLESIKLDQITTKPVLRFIDGSSIIAKVVIGCDGGKSIVADFLNLKPTKMFSICGVRGLSNYRDGHSFAHEFLRIRKDNKLVGRLPIDEHLVYWFCAHPYVPGDERNWEDPEEIRRSTLDLLSDYPKEIQEMIEITDVKTLSFSHLRYRAPWDLLMGTFCKGTVTIAGDAMHVMGPFLGQGGSAGLEDAIVLARNLAQMGSIHVESRRKVTVQGVEEAFNLYVKQRKMRVIRLSLQTYLTGMLLGASSHLKKLLCIVLLFLLFRNPSGHIDYDCGCL >KVH98238 pep supercontig:CcrdV1:scaffold_164:155312:157506:1 gene:Ccrd_023540 transcript:KVH98238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MNNPVTLVSVMWNGTGRRSGRLKAKLMFKFSNKESQAIXLETDVEDHVDVIAIXETGSRRRFHVLMGGQITTNLLDGEHVVFGKVIQGMDTVYAIEGGAGTYSGKPRKKVXIADSGEIPKAEWDVELQTILAAAES >KVH98258 pep supercontig:CcrdV1:scaffold_164:237380:244985:1 gene:Ccrd_023530 transcript:KVH98258 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MADEEVMGSASPAPSDHKRKLDDLDSEPFEQPPVSADSNGNSQSVADADGDAAPTDISPEDPDVKRPRLDDNTDGFVEATENGHQEETAEEPKEDVTEPSAVMKNAESEEGQEKPIEPLDTVNKESATNEPDANAIDLPSAENPEESDKVEHQESGVERQDPPSDVAPQGDVSSLKDQPTSADQPTSRRMEVPSNKVGVLIGKAGDTIRTLQYSSGARIQITRDAEADPNSATRPVELIGSIENINKAERLIKDVIAEADAGGSPSLVARGFSVHSSGIGEQIQIQVPNDKVGVIIGKGGETIKNLQTRSGARIQLIPQHLPEGDQSKERTVRVTGDRKQIETAKEMIKEVMDQPIRSSTPSGGGNNQQQNFRPRGPGPNWGPRGHPSPSGYNYPPRGAYPSQNPPYSSQGYGNYPPQQPPPPPKNNFGWDQRPPTNMQGPPEQTGYDYYSGQGGHMAASAAQPPMHSHPPGSAMGPPSQVNYNYGQPQGPDYGQQPPPAPYAQTAPQGYSQGYGETRYDHQGPAQHPYGGQGTQPSTYPPANTAHPGYGQQDQYGKLLAYNMPQQGPYAQPYGQPRQQPYPASSGPMPPQAYPQYGTAPAANDMYTATGGYSQQGGQPVSGYAQTGVQPQQAAAPGYVQAGPTTGYGQYPSTQSGYSEQVAPSSAGYGYQGAPDPAYASGPGMAYGAPPAVQPAYSQPAPVQPGYDQSIPQAGGYATPQPQPQPQPQPQAGYGQYDSSQMYAAHR >KVH98252 pep supercontig:CcrdV1:scaffold_164:11542:19977:1 gene:Ccrd_023552 transcript:KVH98252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF543 MAENKEIPSQYDLNAKWDACLDLGVRRFVYSSATGAFAGLLLFRTNLIICKSLLVNIVSDNGGVGGSKQLLFLNPNQFCSILKMDMLPLNTKAKRVKGSPVTRWASIAFGAGVGIGSAYSDCSQKFDGSRVTSPSVAETPVAKE >KVH98239 pep supercontig:CcrdV1:scaffold_164:152882:153775:-1 gene:Ccrd_023541 transcript:KVH98239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKGKYPIAFKPILLITFFLLLFLLVIFLRSSSSSPSPQSTKIHSDADLRINPSSCPPQQHTSMASDQTCNKISPAIAEALVHYATSNITPQQTYKEISVSLRVLTKKSPCNFLVFGLGYDSPMWANLNHGGRTVFLEEDKSWIQQIQSKFPTLESYHVVYDTKVARAGDLLEMGRREECQVIGDPRVSGCELALKGNLPEEIYEVDWDLIMVDAPTGYHDGAPGRMKAIYTAGLMGRNRAEGQTEVFVHDVDRVVEDEFSKVFLCERYMTEQEGRLRHFTIPSHRTRLGRPFCPL >KVH98230 pep supercontig:CcrdV1:scaffold_164:48123:68470:1 gene:Ccrd_023548 transcript:KVH98230 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEQIRLRDLPERILATKPDDPSLHYLVEAARVVDKVSHIIVHTFDELEDNLVKELKSIFPRIYTVGPQQLLLDQITGKETKISNFNGYSLWKEEPECVKWLESKEPNSVVYVNFGSLAMMCLQDLLELGWGLVNSNHEFLWIIRTDMXDGGSAVLPTEFVEAIKGKGLIASWCLQEEVLNHPAVGGFLTHGGWGSVIESLSAGVPMLCRPVSHDQRTNCRQLVKGWEVGMEIEGDVKRDEIEKLVRMLMEGKKMRKKSMEWKKKAEIAAGFNGSSSLNIENLAPQSHIKCMLKLATLLHHNGLQITFVNTDYNHNRLLSHSGSPYLHGRRNPGFQFKTVPGGFPVSEDDVEPTQTVEQLSLYLMDNFLDSFLELVAGLDSPPTCIICDGFMTFTNILYAAEQLRAPVIVYWTLSAVGFMGYYQAKVLTERGLVPLKDLHSRASAATSERDNQKRNQGLXFQRLQFMEGRTRVRQVARVEGTQLGGYVNFGSLAMMCLQDLLELGWGLVNSNHEFLWIIRTDMVDGGSAVLPTEFVEAIKGKGLIASWCSQEEVLNHPAVGGFLTHGGWGSVIESLSAGVPMLCRPVSHDQRTNCRQLVKGWEVGMEIEGDVKRDEIEKLVRTLMERKKMRKKAMEWKKTAEMAADTNRSGMDEEKAHVVFIPFPAQSHIKCMLKLATLLHHNGLRITFVNTHSNHTRLLTSAVSHHLHGAPGFQFRSVPDGLPSTSXGDEDQEPLQXIXZXXRYLATNFLASFLELVAGLETPVTCIISDGFMTFTKTRFAAEKLGVPAILLWTVAAYESYLTNGFLDTMIDIPGMKDIRFKDLPEHVLGTKSTPLGWQFIAEIAKEADKHSHMIIHTFDELESSLIEVVKSMFPNVYTIGPLQLLLNRIFPENEPNNSKLNGYSLWKEEPECIQWLESKEPNSVVYVNFGSIAVMCLQDLLEFGWGLVNSDHEFLWIIRTNLVDGKPAVLPQELEVAIKRKGFVASWCSQEEVLNHRSVGGFLTHGGWGSVIESLSAGIPMVCWPFSGDQKMNCRQMCKEWEVGMEIEXSVKRDEVEKLVRVLMAGXDSGRMRKKAIZWKKMAEIAAGPDAEKLGVPIILFRTLAASQSHIKCMLKLARLLHQKGLNITFINTQSNHKRLLKSGGTFHWLDAAPGFQFKTVPDGLPDSNSDHEVDPHTQTIAELWSYLATNFFDSFLDLVAGLETPVTCIVSDGFMTYTNMLYAAEKLRVPIIIFWTLAA >KVH98261 pep supercontig:CcrdV1:scaffold_164:201000:204817:-1 gene:Ccrd_023535 transcript:KVH98261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske [2Fe-2S] iron-sulfur domain-containing protein MATTAATKLASGLLSAPCTPHHRRKLPIVSLHHQNCQSAKPMALAIRRDGIVCKATEVSSSSVAEEGSSGVSDDGRNWVPVVPLSALPKGERRVIIQDGETILLLWYKDEVFAIENRSPAEGAYSEGLLNAKLTQDGCIMCPATDSTFDLRNGSIKEWFPKNPVLRVLTPALRNLFVYPVKVDAENIYISMGGSVSSDASAEIVFSGRAQPGMTATDVNVDEAAIIGFLLLLDFELLTGKGILKGTGFLDFLYSATNTFK >KVH98229 pep supercontig:CcrdV1:scaffold_164:415230:421738:1 gene:Ccrd_023517 transcript:KVH98229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYLQYMKTLRSHMNAKSETKQLKEYSDLMMKAKGEICSKILERQRKIALLENDSSTLSQTLELIQQERVNLSTKLVEKRTSYGKTEEEINTSLKEQQDWLTSYKPSSMVGQHGLVNIQIDSRAAESAGNYGAKTLVSSGNLDDAYTMAKVDTAKAKFDTLTQMRFELASERHK >KVH98237 pep supercontig:CcrdV1:scaffold_164:133198:141595:1 gene:Ccrd_023543 transcript:KVH98237 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG1-like protein MMGGSDARTLILVGKTGNGKSATGNSILGTKMFQSKRSFCGVTSRCELKTTVMEDGQMLNVIDTPEFQITSLTDFAGLLGSSVGRGTIGDIFSCIKLAIDGIHAVLVVFSVFNRSFEEEKAAISSLQYLFGKGICDYMIVVFTGADELEEDANQVQTLLSRVNMVLEQNDGEPYTNKIFTEMKVKRTDHYQERKKEHPEPTKEFQVLTEQEMLLLIEKIRVEHLNPKIEMMETMLMNMKLKFEQELKEERDARLKFKENTENAKKKDFPGFENFAFCMMGASDPRTLVLVGKTGNGKSATGNSILGKKMFRSKRSFCGVTSSCELKTTVLEDGQMLNVIDTPGLFYSSVDLETNLKEIASCVKLAIDGIDAVLLVFSVCNRVFEEEIAAISSLQMLFGKQICDYMIVVFSGGDDIEEDGQTLQDFLYDCPEPLKEILRLCGDRFVLFDNRTKDETKKADQVQQLLSLVNMVLENNGGQPYTNEIFTDLKKWSSELEGQTEEFQVLKDTEGHSKQQMLELMENMHVEHLKRTNDMLGLMLTEMKLKFQQQLLEEQAARLKVERDAEAASNKSKEDIVRLGEKLQKELAARFIWGHFTCL >KVH98262 pep supercontig:CcrdV1:scaffold_164:206658:207820:-1 gene:Ccrd_023534 transcript:KVH98262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSHKSFFMPMFCRFSINDTVKPAKCSDSSSADPSSPKLSCIGQIKKRSTNTNNININGNDRFLSCRFSASTTGKSSVHSYIKLHRLFSGKNLISPGIDAVSINTCSSKSVTKRSRSCNGRGGKPIGTKKYYMTSSGDQDAMRVTVAEELDPPLPVMTSSGEQDTMRVTVAEELDPPLPVVKTRRGDQEANIIIPTITMANFHFRHQHQPSDQSSDQGSQKTFPLFSRVHVFHVWNIACVCYN >KVH98240 pep supercontig:CcrdV1:scaffold_164:271002:276620:1 gene:Ccrd_023528 transcript:KVH98240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MIRAQNGDSVCNSGVGGVCMMSCKWRDEQHPSFINFISCFLSANSFRLNFVPIAPDFIFNCGGLSLAFIFVINYDCDNPGPIFSRAEKLKSQFAHLYVVITLPTKEQNDSFVHSYFRYGMDIGRPTFIPALDLEMGFEKIRYLVVIRFLFSLRRRGRSKGWMCFSEWSPLSPLIQTIGSIEAIAKASKENILNNTDVSSEKAEMISKFFRDCKVYLSPRIS >KVH98235 pep supercontig:CcrdV1:scaffold_164:106853:110342:1 gene:Ccrd_023545 transcript:KVH98235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup TTSSPALIQLPSNVGTSHSFVGIVGGTRFVCALSSPFLSSSATLTSFLVCWRFLLDGRTVYKRVYLGPSLKDIDSGETHVCGIVSSTNQLLCWQWNQFNDFIPNRIQLRSSVAVGENYVCGLTEFGEIRCLGDYTNRFNTIKSFPVGNYSVVSAGYNRVCGIDSGGGLDCTDETMEKPNGVFKSVSIGDNRFCAIRVDGRVICWGENDFRLPENLQQFSFAGLQANRGVFCGILTTNYSLYCWGNESLNSISNSIVFEDVVPGLCTSSCACRTIPNYMTFCGSDLMICEPCVYGRAPPESPPPQNGGWSNQMVAYMVVGSIGCLSFVLVFVFMIFRFCKSSEGSRVHNSGPMEDIQIASQVQNSNRILVKKLSHLISMGNGNHLQEFALQTIMDATDNFSSDHRIGVGSFGSVYHAILNDGRKVAVKRAELTNPSRCAGGINKRQEDTNNAFVNELEFLSRVNHKNLVQLLGFCEENNELVLVYEYMENEEFDST >KVH98227 pep supercontig:CcrdV1:scaffold_164:395138:397885:1 gene:Ccrd_023519 transcript:KVH98227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MTPAAAAAATTTTTTTNNYTAGQFGDTTYTKVFVGGLAWETQKDTMKTYFEQFGEILEAVVITDKTTGRSKGYGFVTFREADAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPNKLGGMGTTAFPSATAFPHYAIHQGIPFPATNLYGHALPSTCITIMIAIFFLTIVKLTCKLHMTTVHYSTFIQNFENDLGLLVLSFYFYRHMQLYHVYSPYSPDYTYPTSYYNVYGGTNGQYPFYGTSVGAAGGGMITAAGAAAAAAAFYPYLNMAEGGHGNYTTGQSYGVYPHHLYQYSAVNSSAGYPQQYGTPISLAATTPLQPAGLIRVFIHRFSNLSRVIYILTFLTVCFAVPQA >KVH98251 pep supercontig:CcrdV1:scaffold_164:21059:32931:-1 gene:Ccrd_023550 transcript:KVH98251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDYFLPPIACGKTMGTQFLVYQIDQSWPKKPNPSLPNSTHQKMSCRTTLNALTTNIASTSIPAVTPSSLLLSNITPNSFLALGRRIGGSSITNLNHFHLLSRVCNHGVGSNKKLRCSFVTYAGFLELPLLPFPSDQVLVPSETKTLHLFEARYLKLLDEVDPFLEGTVLPLQDNVPQNASEISSKAAKDEPLQTQTANSLEWALQEPILDCEEAFIPSFAERSTQSEMMKLKNEKLKAMDVKETLERLENSLSFINNIVSMTAAKLAIQSLNMQ >KVH98658 pep supercontig:CcrdV1:scaffold_1640:56619:80839:-1 gene:Ccrd_023118 transcript:KVH98658 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEMEVRAVRGLEGCYVSLPLHLIQTLQSTSSSASLFSFLALELRSIANNDDVWYVAWSGSASSSSSAIEIAQQFAECIHLPDNTTVQVRAIPNLPKATLVMMEPDTEDDWEVLELNAEHAEAAILKQVGIVHEAMRFPLWLHGHTIITFSVVSTFPKKPMVQLVPGTEVAVAPKRRRRPIVSSESSSKENPVVKALLRIQDGDSRFFHKYGVNDTEMGVVLTSAVFIHPETAKNVSLHSLQPVILEQRLVKKDRKLNHETNSSSKNGNSTVNEVDQVPTDKQEIRQAVVRLLISDSVAKGHIMLSKSLRYYLRAGLHSCMFIFYGSCPFMLPGIHVKSCSVILQQDAPSFVLSPCQFKMAGKVPENGNFEYLHGQKENHTDNLSLKTNSDTHYDLTDWSFHERIIAVLSDESSDHHDEGTSVSSSSRKGLSVLLRAWVLAQLKAITTFSRTELNSIVLGSKTLLHFEVKGVELADHAKLHASRNESFKIRKRVGESVVDILYVLSVPEDSMQGGVAYELDFSEGNRTSMSQSSLEFFLENLCLGEGLPLYYVKERISAKSCNMEIDSLSWMGTTAADVTNRLMVLLSPSSGMFLSTYNLPFPGHVLIYGPPGSGKTLLATTVAKTIEEHEDVLAHILASSKSPTIHQTLSRYISEALDHTPSLVVLDDLDSIIASPNNSEDYHSSPSSTMLMGFLTDILDECEEKRKNSCGIGPIAFIACVQTLTSIPQTLSSSGRFDFHVQLAAPAAAERGALLKHEIHKRSLLCSDEILLDIASSCDGYDAYDLEILVDRSVHAAIGRFVSHGLAFGNNKKPTLVKDDFLQAIKGFLPIAMRDITKSASEEGHGGWQDVGGLTEIQNSIKEMIELPSKFPMIFSQAPLRMRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPQERLDILRVLSRKLPLANDVKLEAIAGKTEGFSGADLQALLSDAQLAAVHDLLNSKDADRPGNMMPVITNALLQTVAANARPSVSEAEKHRLYSIYGQFLDAKRSAAAQSRDAKGKRATLA >KVH98657 pep supercontig:CcrdV1:scaffold_1640:968:23720:-1 gene:Ccrd_023116 transcript:KVH98657 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MKLATVLDYCNMIQFDSILPIHKLLSIYYVAGGEKIEDMMRKTTFKGANVFMSRNLVPPEIFDSLHDALKDNGADIFLCCDPSRNGPNDYHIISSRDHERFEDLREKGCNLLGPQCVLSCAKEHRVLPKQGFTCCLAMDGVKVLASGFEMEGKVEIGKLVTAMGGVLQTKASSDVSFVIVKNVLAAKYKWALNTLKKPIVSESWLHQCWKEHRVVPHESYRVLPFFGLTISVTQVPLDARKEIEKLVLQNGGKYSAELTRKSDKYKVAKRWGHIHIVTRAWFDQSVARRACLSEESYPVQRSPVTSMNSQRTSLTVQRSQDKVTRNSQCGPHSMTHQEISCDGMADADLEATLSQNMASTFSDIPIFINEDNRVPSIQPKSSVNIDGCVAKDSQSEDNDLYLLNCKIHLVGFDASEMRRLVNMVRRGAGSRYMSLNEQLTHIVVGTPSETEKKEVRSLSAMGVINVVRTVWLEDCEREKKEIPVLRRHVAYDLLLPKDSTSFSKGSVSAIPGPKQGNLSTLQPILPSDQSQSFNKGQQTVQHGIRNESQDNVSSVFRGRSFRFSSSFPDVQRAEIVDWIHEGGGEVVDTRAEKTVNYTVETHGVLCSPSQFSGVTNVSSHWIRSCLQDGYLLDVSSHILFSPLQCKVPLPGFIGLHFCVSQYEEKDRELLRNLCHILGGRLVNRLTKKVNYLICKFTEGPKYVAACEWGIQTVTIKWIWECVKQNKIVASGQFLPKEATISDREAGMCTTSQYPTQAVGMVSAARSSELASQSQDPKTVDGQVDTRAFIARKEVKYSVMCGKRSRLSEDRSSHEDPVIPTETNITGNGGDVSKSVPDVAAAIEDLLEQTSKIHDQKSPERRTAEKNVSEVFTSDCSRLGHDQNPHSAFGLSKHWTRRSNEKDDITNPGGEENTGIYGGFSETQTESQVVSYEEDLSGRQMIIDRVRTRSGLTPNPSSLT >KVH98656 pep supercontig:CcrdV1:scaffold_1640:36258:36572:-1 gene:Ccrd_023117 transcript:KVH98656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2, C-terminal MIELHYHIRLALEIDHIKITFWEVHLISKNCSATVGQVGNVEVNQKSLGRAGLSSDSSNLLHLVVKGSPVISSKKRKMKGFTHQMIQVLDKNLQCTRTPLLTIL >KVH93943 pep supercontig:CcrdV1:scaffold_1641:80226:95356:-1 gene:Ccrd_004000 transcript:KVH93943 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane protein/tumor differentially expressed protein MIALIVLPFFLPTEIILIYGDIAHFGAGVFLLIQLISIISFITWLNDCCLSEKYAERCHIHFMLLATTAYVVSILGIILMYIWYTPQPTCLLNIFFITWTLVLLQLMTSVSLHPKVSAGFLTPGFMGLYVVFLCWSAIRSEPPDDKCLRNSEASRDWLTMISFVVALLAMVIATFSTGIDSKCFQFKKDETQDEDHVPYGFGFFHLVFATGAMYFAMLLIGWSPNHTMKKWTIDVGWTSTWVRIVNEWLAVSVYLWMLVAPIVWKTKHVEEPR >KVH93951 pep supercontig:CcrdV1:scaffold_1641:72974:80478:1 gene:Ccrd_003999 transcript:KVH93951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MTSRLKEDEKNERSIRNLLKLPQNRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVSALQGGGNASAREIYFKEWDAARQSFPDSSHADSNVERLRDFIKLVYVNRRYTGEKSFDKPPRVKTGEAEDSYQGGSRSPPFENERRYSERSTPGGKSGDRSFKDSYNERRSPGYDQDFSRSPPAACTETINDWRREDIFRDGRRAEDGKFPDGGGSKVEGKSPDHQRDPDMSSPPIVRPVRDMGEKVSPLRVIEPPKVDGPKPSDGSSRTQVSGLASSNGNPAELRTESSLIDFDAVPEPTSTVPVPQIQQSASSPALFAPQPTTSSNNNWANFDSVQEVKAPHAPTNTNLLDVLSELSVPSSLPGGVGASLATPGGSSFPSNATPQAAPPGHVQWSSFGTSAPVAAPISHSTVAPGGAQAATPGPNAFFNTADGGWWQNVHPQQNRLPVTGNQAPVQSFNQAVGGSQNNQPWNPSLSGNSQGAQSQVTHGVDVKPTTKKELPVDLFTSNYSSFAAPNPGWFPAPQYGMGFNMHYNVPAPMPPAFLQPSSPSIPFDVNESSPAQATTRTSSLGTPSQASHHQLPMPTQVPSYGSPMPSGLLMGTAAPRPQVDGGFGLGNNSFGTLNVGQQQSGSSFSPNPFG >KVH93945 pep supercontig:CcrdV1:scaffold_1641:10574:13448:1 gene:Ccrd_003992 transcript:KVH93945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MPAGESRHASQPATAEPDEEASWLWAEIKAESRRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNTFSGDPDLRSAAVADLRAARSRDPACASFSHCLLNYKGFLACQLWTQSRRPLALALQSRISDVFAVDIHPGATLGKGILFDHATGVVIGETAVIGNNVSILHHVTLGGTGKAGGDRHPKIGDGVLIGAGATILGNVKIGEGAKIGAGSVVLMEVPPRATAVGNPARLVEGKEASKLEECPGMSMDHTSFMSNWSYTI >KVH93948 pep supercontig:CcrdV1:scaffold_1641:37714:42748:-1 gene:Ccrd_003996 transcript:KVH93948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr MADSSDSVSVDMETIYLGGKEHIIRTGRDMLCFQGLFFCPEAASLLLHNFCVYHISPPGHEPLQLGAAAICSDDPIPSVDDLCDQILEVLNYFRLLIKYRDRVTGLILVSPLCKAPSWTEWFYNKLMSNLLYYYGMCGLLKECLLQRYFSKDVRGNPEIPESDIVQACRKVSRSSDITLLVYTFLLTFLGDYTLPGTCSYWMKDRALTYGGIFKQSIGLWINGDRRAATCNADTHGVFSNGVWTVQAEPIHGQPEEPSESVLHSPGTSLSRKHGVEAKTDKNTSILSWGVKER >KVH93947 pep supercontig:CcrdV1:scaffold_1641:52858:58554:1 gene:Ccrd_003997 transcript:KVH93947 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3456 MDRKLVFNLLFWGSLLCSSWIQTSYCAKPAGVARKDDIPFIKCQVCEKLAKELFEQVRDKQAKISPKKISEYEVIEISENVCNLKKQEADWMLKIDIVEKGDRLELVEQDSEGQCGSECKTIERACQEVIGYYDTDVAEYIYKKKPQMHSLSKFLCKDLTKGKIMKEKESMKMDWKQKVKKGVIDAGEAAKKHATKMGFRLQKWWKGKKASFTQHNSNSAKNEL >KVH93952 pep supercontig:CcrdV1:scaffold_1641:65841:66278:1 gene:Ccrd_003998 transcript:KVH93952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKMLTFMSKDKQQFTIEEEFAVQSVTIRNMVEEDCASSVIPLPNVHSETLTLVIEYLNKQASVAENDLKKFVDEKQISTLLDLAKAASYLDIKGLMDLACEKLADLIKDMTVEELREIFQIENDFTPEEEQALRAEFPWAFEV >KVH93944 pep supercontig:CcrdV1:scaffold_1641:16029:22449:-1 gene:Ccrd_003993 transcript:KVH93944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide translocator 1 MGEKKNKIETGKDGVFYDTTPRDGVVEGIPLFAKELIAGGVAGGVAKTIVAPLERLKILFQTRSEFHNIGLLASFRRIAKTEGLLGFYRGNGASVARIVPYAALHYMAYEQYRRHIDYNFPAMGSSPVIDLLAGSLSGGTAVLFTYPLDLVRTKLAYQVVDTPKLNGKGAMTSEHVYKGIKDCFSKTYREAGMRGLYRGVAPSLFGIFPYSGLKFYFYEEMKSRVPDDYRKNIMVKLACGSVAGLLGQTFTYPLDVVRRQMQVIFQLWFRSAATGFHQLTSERNNGNSCYDCPKRRMETIVVPSVAIGFTVYDVMKAYLRVPPRDKAIVEVATNRRDSQTSTLPSSQSAS >KVH93949 pep supercontig:CcrdV1:scaffold_1641:32648:33882:1 gene:Ccrd_003995 transcript:KVH93949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MARGLGSIAVLALAFTVALAADHSPLQDFCVADLNGQVMVNGFACKNPNMVQANDFYYSGLHMMGNTSNALGSSVTPVFVFHLPGLNTLGISLARLDFAPWGVIPPHTHPRATEILTVLEGQIQVGFVTSNPENRHISKTLNKGDVFVFPVNLVHYQRNIGNTYAVAVVALSSQNPGVIPIASAVFGSNPDISTDILAKAFQVSDDVISDMQLKF >KVH93946 pep supercontig:CcrdV1:scaffold_1641:2837:9363:1 gene:Ccrd_003991 transcript:KVH93946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLKREDCKRTKHDSAFSDWKILIGPSNWEDYLLNKEGAERYRTQNLPNCSSCPGVYELGIAVSLPRTEEKSSSRLGSKSIIPVYVGQADNVRTRLQRYGRDGAHLENGWFNGEQDAHRVQGLFSGIFSQGFAIAYRWVPMNTKKDAKKTESELLKTFDYAWNRGMNGERRPGDIHQKLNAAASSAKRVPLLFKKFHVLQPKKVGVSIKRCDSNVLDNGSSFYTKQTGTNFLPSVFKFSRTRPTLVSKECDTNNNDGNTCGVALGHGSICTRPPVDGRKRCADHKGMKVNACVRPCSLTNTENILHLTCGVTLDDGSLCTRVPVLGRKRCEEHKAMRVNSPVPIHFGRDVGADSLTCIAITLDGSSCRRKAGKESMFCWQHEQ >KVH93950 pep supercontig:CcrdV1:scaffold_1641:16168:17514:1 gene:Ccrd_003994 transcript:KVH93950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSLQFKFRIPKQPKSKPKFPFNFSNPTLCTASPNDSTTRTTTNRRRNPSTIRPTLRRQNNLNQKLTTPSPPDADLMSLCKNGLIKETIELMSQGVPAEYDVFEVVLDLCDDLELGKKVQQLLIRSPFYGHLNEAMELIENMPFEPTVEIWESLMNFARIHGDIELEDHVQEILASFQPSMVLVDKLPLGRKQYASNMLEGKNKTDEYRNPDPYKEDTYKNVNGLNGQMRDAGYVPDTRYVLHDIDQEAKEQALMYHSERLAIAYGLISTPARTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >KVH88094 pep supercontig:CcrdV1:scaffold_1642:106104:109016:1 gene:Ccrd_024520 transcript:KVH88094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGANSGGGGGGGYWWWAAASGIQLVWGIYSFRKGYSGDSRLMPLKAFGVASLFVGATATATVGTISASGIHSVKDAVEVGASIRSGLGVKGRG >KVH88095 pep supercontig:CcrdV1:scaffold_1642:84661:86476:1 gene:Ccrd_024519 transcript:KVH88095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MASPLPAKSQPLHNFSLPHLKWKNHRSGRSRLAGETSSSSPPHRSPSTPWRESPPPPPPISHAHIPPPLRQSSPSYVSRRPSPLHKQSPMRDSESESEPGCAVSKGIEKPNRKLSSEKSTKSVDNNKGKRSNKICIRFRKNNNIKHDDTVAEENRSSTQADNATAANEEDSLPKTWNLRPRRPPMNHRQSNGGLQKIGSSPLQESRTFHGNNANKQASEVKNNDHNNLGTSKKQKFSIALSRDEIEEDIFSLTGSKPSRRPKKRPRTVQRQLDTLFPGLWLGSITADSYKVSEAPPKA >KVI06776 pep supercontig:CcrdV1:scaffold_1643:67756:72192:1 gene:Ccrd_014869 transcript:KVI06776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MPQADQTLVQPEQEKPELVLKEPAPQSLEETQLESVFTSLGGKRSALKLLTTYASSPSESSSSSSSDCFMEISSPRGVDKDEKNKKFSDDTKSDDEDNTAHGHEFTTPMTNPTKFKPETHCSSELGLIIGSLGHQPMGEEIKNMIKEVDADGDGFIDLKEFIKLNTKDIDFVEVLENVKDEFSVFGADKNGLIMAEEEMNQD >KVI06775 pep supercontig:CcrdV1:scaffold_1643:87537:90936:1 gene:Ccrd_014870 transcript:KVI06775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFSQFSWWSWSGKHQDTKLRSSKNSSTPDVNMLELDNLKFPLVNVASSTSRRVKRKWQSRRERKVDKEYDVVLVPSDGGCLSGSESDDSDWSIGWLEPHGAGFCSDNDDDDSDDSFAVLVPCYGRGRGDIVNAKKGPNDKFLDTIGQVSDIYSAENNVYMEEWLSSLQRS >KVI01519 pep supercontig:CcrdV1:scaffold_1645:3655:18011:-1 gene:Ccrd_020209 transcript:KVI01519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAPTTRRSSGERSQDPNLRRVVMSEVGEALQTMIPELFKQMKVELKIELGQMMDERITALGANHNQGPWRANTIPEEELNDMTWNDFKTRFSEQFAPQIEVKQVTKEFHDMKQTIESVNEITDKFLEKTLFSPQYVADVAMKLFHYTDMLKPDIRAFIAPARCKTLAEAIEIARTRELDLDRKRKTAPSHELPRRRFTPQDVPFKSRPSPNPSHLNRFTIAFVVSNRLRCQYDGRASPPRRYPLPLPSLINVTQASVVATLSQPSPITIVVSTLSPSPAPSPSHLHALPFSHLLPDSPSSELSVNLASSVTPPSLNPQNLVNKNGLLKA >KVH88092 pep supercontig:CcrdV1:scaffold_1646:33345:33578:-1 gene:Ccrd_024521 transcript:KVH88092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKQQKSFFSFFSIFRSQKARRDEGKFDDILKAYRVYPSDQDGVRWVADPAIDRKASSYISSITTMWSHDLDITK >KVH88093 pep supercontig:CcrdV1:scaffold_1646:106450:106683:1 gene:Ccrd_024522 transcript:KVH88093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKQQKKPSFSFFSFFKSKKVRGEHAKWDDSLKAYRVYRSDQDGVRWVSEPGIDRKASAYIDSRTSRWSDLDISN >KVI08181 pep supercontig:CcrdV1:scaffold_1647:20240:85039:-1 gene:Ccrd_013450 transcript:KVI08181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22B, signal peptide peptidase MRNASTSQIADSKQSNQWEFHRQAYMSHRCVWISGRLQTGIGVELLQGKKREEHKLLFSLDYQSINTQSVNHQSLLPVSILGEKRVEINDNNGEEAKTTDMKRSNRITSSIFPTLIVIYGVLFFILSPTASAAGSLTSCNNTSVPVKIKIWVNGVEGQTLEGQSATFSAKIPKTADEQAKQSAVLPNPSNCCSSLSSQLSGSTALAPRGDCDYLTKAKMAQSGDAAGLVVISDTEDIVEMSCSEETALDISIPVVMVSKLGGEALNKSLLGGGTVELLLYAPESPIIDPAAAFLWLMAVATVVCASLWPEITKIEESNERYDELSPKPNRKDDEENEIIEMSTMSAVIFVITASTFLVLLYLFMSSWFIWVLIVFFCIGAVEGMHTCIVSLIKSKCKSCGQKTVKVPLFGTTTVMSLLVLLFCVAFATFWVCTRKESYAWVGQDILGVFLIIAVLQLAQIPNIKVATVLLCCAFMYDIFWVFISPLFFHDSVMISCICYKHFIYVAKGDSSSGESIPMVLRFPRLSDPWGGYGVLGFGDILFPGLLLSFTVRYDKAKKRTWKDGYFLWLAVGYACGLLLTYLGLYLMDGHGQPALLYLVPCTLGTCIVLGVVRGEMKELWTYNATESKQTFGEESA >KVH98581 pep supercontig:CcrdV1:scaffold_1648:37212:48908:1 gene:Ccrd_023197 transcript:KVH98581 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein SCSQLGFQSRVDFIPKTEEVGAQEFALHGCAVMLRTTKWKLEKPKIPQSGWEKLYISFIPTESGKIIAKTSKANVRNGTCKWPDPIYETTRLLVDSRNKRYDDNLYKLVVGMGTSRSSILGEAIINLADYADASNPSVIALPLHGSDNGTILHVTVQLLTAKTGFREFEQQFDKGLQNSSILNREVEPSIATSSSSESQISDDHGNKVNTKTHFGSESKELISAVREIGTHEESLDSSVRCDGSSNTSETYYADKIDPSSIHEPNSLRSMASGDLNEPPHGQGLPIEKAERSDLQEWSSDYSMNNDLAIAHEENHRLRGILEMAESSIFELKMELSALHSYANEMGIETQKIAQHLTAEISSGQKLGRDIMVLRSECSKFNDELEQLKEIKSSSEFIGRTQDRADCHQLKCVNGLLLVEDRIRELQGKIHIGFHESDLSFIHSDLEVLLSIVQDLEKGTFEALPLQHEIQEFRSTRAFDLVEGKILILSSQLDEAKAERENLVRKMDEMECYYEALVQELEENQKQILGQFQSLRYEHSTCAYTISTCKAETESLRHDMNDQVIRFTKERHALGCVNEELEKRVMTAESLVQELQENQEQILGEFQSLRNEHSTCADTIKTCKAETESVRHDINDRISKFAKERHDLYCVNEELEKRVTTAEALVQELQENQEQILGEFQSLKNEHLTCAETISTCKAENELIRHDMNDQILEFAKERHTLSCVNEELEKRVATAESALKRARLNYSIAVTQLQKDLDTLSFQVLSMFETNQTLIKDTFSESSKPFSQRYPNMIENFQKSDDTLVLCEKQLLGSEVHSNDELCEIPCTNLYLDVYSGTLEETLFEASKKANEMKEQIKQYAQKLELSNQSRDILFGRLQTADEQIAQINDAQLQNQKLEASLESVLRENFLLMEKITECEALLMDYRVYKSKYEVVSAEKLELENLLEVQGLDSGNLRKDLSITKEELETLKARFLELEKSKESMQKLLDFLQEKFGNLLASCDKQLGEHFSFNYSSFQDTGIRDITCVITKLEELHNYTGEKIEDLKKERDIARSEIDGMRTKFTHDMRNMAAKVDSSNTIVEKLQLQLESVAKKFKNSLEMKESYMQHTDGLLTYLSSLELELHKLSSKDGNFVLEILGSDSMADEFESCKSPICELNQEKQDFLMPIENKEETTIREELEMENIRVVLKAMLDEHYDQYVVLREKCSELTDELSEQVLKTEEFKNLVKLKDSEKREPEGPSESLRIAFMKEQCETTVQELKQELSVSKRHGEEILLKLQDVLDETESRKKSEASYLKKIEELSFKNVGLEAELQSIQAELECAFLNLECCKEEKEKIVASIQECEEEKLKIAFELSLFQEHLSRKEEKGVGMDSSANGNASTAQIFELEGMKTENSILSMTNSGSSFQDLRRKLLQLHKANEELGGMYPNFKDFSEDGNALERVLALEIELAEALMTKKNSSIQFQSSFLKQHGDEEAIFKSFRDINEVIKDTLEIKSKYANMDTELKEMHERYSQLSLQFAEVEGERQKLLMTLKNSRSPRNLVRSSPEP >KVH98580 pep supercontig:CcrdV1:scaffold_1648:14490:15672:-1 gene:Ccrd_023194 transcript:KVH98580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTLKTKLGLSINFHLDGFTFTKKLKIGLRYKQECFQLEYNNDEEEWVLLMLLSLWAVRELAIVSWWEVPTTSLVHMRQAIFEVKGFFFLLN >KVH98578 pep supercontig:CcrdV1:scaffold_1648:55365:57727:-1 gene:Ccrd_023198 transcript:KVH98578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein, HCG-1 MASEDLIQVKFRLADGSDIGPSKYSPTTTVGSLKEMMLSQWPQENGPKTINDLKLINAGKILENDKTLAESRSPVSEVPGGIITMLAVVRPPMPDKNNEKLQDGSPKQTSCPCMIL >KVH98576 pep supercontig:CcrdV1:scaffold_1648:99490:100497:-1 gene:Ccrd_023202 transcript:KVH98576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVMLPLLLGTSAEALKREVTGLVLPLKDLKKSDDEDDNHSDNSSKSLFVFYEEHLKSELVIDMA >KVH98579 pep supercontig:CcrdV1:scaffold_1648:20845:26258:1 gene:Ccrd_023195 transcript:KVH98579 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEP domain-containing protein MEKEDSTVEELVGSPAPENVKDVDAPEEEDHSIDTLTKNELSDDEMVGTPTDTNEERLEKAPEIHEEDKDVESSTVKHLLNNELEEITADGQELASGIHRVDQEIETSSKSHLVDGEIEDTATDMEVRGQEEDDSTHSSTSSSPFQLSNSKNSIDTLTGEPDIQKEGQEKENSITDTILGSTATDIEIRSQEEDNFTDNDTSSSPIQLSNSENSVDAHTVESDIQKEDQEKHNSINKPTVDSTSEEGEILDNMKDKEDREPSSEIITVNHHSGEKMEMEMRHVQEESEDEASMHIKPKDVSHATSVEYGEKIGDDLNDRQGIAENSSSEQTGDIKTDVKDNTSSKEEESSIRNGIREPEVEANSAAWPGKAAALTNFVKLKSLLAVSNVFRRLSRRVDGHNDPDDKDKDINSENKNDKEVNSSEGESQLVSQTTLEKRGWRALSFIGISLEKDQGNKAELKEVALESLDSIAMKGRVVLYTRLWCQDCKEARLFLRKRRLRYSEINIDVYPSRKLELEKITGSSDVPAVFFNQVLIGGLNELKALDESGQLKEKIQYVTTEGPSPKAPLPPFSGEDDVSSSGVVDELAVIVRNMKESIVVKDRFYKFRRITNCFLGSEAVDFLTEDQYLEREEAIEFARKLSKELFFRHLIFLLQHFVPKHSENTFEDGNHLYRFLDQDPVISQCQNIPRGIIQLKRQPLVQLSYRLKCLLYAIIDAYTSEDGKRVDYRTIHGSEEFARYLRIAEELQRVDLNRMVKEERLAFFINLYNLMAIHAILVWGHPEGALDRRRLLNDFKYVIGGCAYSLSDIHNGILRSNQRPPYTLIRPFGITDKRFKVSLPYPEPLIHFALVSGNRSAPALRCYSSKNIDVELSEAARDFLQSGAFILHLDSMTVSVTKILKWYSVDFGKNEVEVLKHASNYLEVEKTRTLLELLDSTQLKV >KVH98574 pep supercontig:CcrdV1:scaffold_1648:84116:96910:-1 gene:Ccrd_023201 transcript:KVH98574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, conserved site-containing protein MRMQLIKENGIDLTSIPPMRVNEGEEASFEAVTNAVKKGNEDGGWGFYIEGHSTMIGSALSYVALRILGEGEDDNDGAMTRARKWILDHGGATSIPSWGKVYLSVKNPNGDEFKYHVGRIPDYLWIAEDGMTMHSFGSQMSEEIAGEKDKTARLYEAVNVLLYMQTTASIIGALIEFSRLHPRHRKEEITLSISKGIRYLEETQWHDGSWYGYWGVCFIYGTFFALRALSSAGKTYDNSETVCKGVKFLLSIQNEEGGWGESHLSCPTEVYTPLNGNRTNLVQTSWAMLGLMFSGQVERDSTPLHKAAKLLINSQMDNGDFPQQEITGVYMKNCLLLYAQYRNIYPLWALGEYRKRVW >KVH98575 pep supercontig:CcrdV1:scaffold_1648:71890:79459:-1 gene:Ccrd_023200 transcript:KVH98575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MFSFLHKPSQLVLHQVDHFQAPPRSAAVTVGVILHHSRYAFKSRTIKGKHCSSKKQETMAAVPQLQRQFIEYTTSLYNEGYLDDQFTQLQKLQDESNPDFVVEVVSLFFEDSEKLLNNLATALQQKTVDYKQVDSHVHQFKGSSSSIGAQRVTNMCVVFRNYCEEKNLEGCMQCLQQVRHEYVLVRNKLEALFRVCLLPKAIGATNLAGWRIGPYDGIGSYQLKSNGWESKPRMVEEIM >KVH98582 pep supercontig:CcrdV1:scaffold_1648:30320:34303:1 gene:Ccrd_023196 transcript:KVH98582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MLIQVTRSKPHQFLASTFRSHTGKLQFTTTTMKEIKTKKSSSVSSPLNPDAKCSSSVQSTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVSAFLNNLPSGSVILDAGCGNGKYLGLNPDCVFIGCDISAPLIQICNDRGHEVLVADAVNLPYRTGYGDAAISIAVLHHLSTEHRRKKAIDELVRIVKKGGHILITVWAVEQEDASLLNKWTPLTGKYLEEWIGPGSPRVRSSSSVTLESIPETEVSVSEEEVKDSSNVSEGKEDCFVGIENGDKTDQQQEYFVPWHLPYHRAEVSGASAGALENGLARKDDNKGAIVYDRYYHVFGQGELERLVSGMKNAVIVDQFFDKSNWCVVLEKTSC >KVH98577 pep supercontig:CcrdV1:scaffold_1648:61590:70716:1 gene:Ccrd_023199 transcript:KVH98577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MYNPLPQIHPTANFTPHSLSSHNPHIFFIHQFHQNLKIPKYSYSSLPYNRKTLFKTRIKCTENSDSNPAKWERLLPKNIISADKILRSIAGATSSPICQFISSPTTFLHTVDPRIKLAWLLVLVVLPARSHIYMRFGLITFLALLSVWIQPRQVWVDQLGRVALLSGILFLMLGLGADSMPMVVQSRTPPPSMMGLSAIPSSFAGYSYLLFKLGPLQLTRKGLSVASTSACLTFTIFQSASLCLSTTTPEQLAFGLEWFMKPLAYVGVPVAEVILTLLLSLRFINLVFDEVRIVALGIVSRRINWQQLATMETVEVFFTYIRRIFKNIFNHAEQITQAMNVRGFRGDSKLHKIYFSSTSSAAVANVISIMTLVGLISAAVLSEYMLS >KVH99615 pep supercontig:CcrdV1:scaffold_1649:33417:41034:1 gene:Ccrd_022151 transcript:KVH99615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, active site-containing protein MDHAADAFRTDLMTITRFVLNEQSKHPESRGDFSILLSHIVLGCKFVCAAVNKAGLAKLIGLAGETNVQAIFVKALVSSGRTCILVSEEDEDAIIVEPSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMIKDGGEPSLEDVLQPGKNMLAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTANMVADVHRTLLYGGVFLYPADKKSPDGKLRVLYEVFPMSFLMEQAGGQSFTGKERSLDLVPKKIHERSPIFLGSYDDVEEIKALYAAEGKV >KVH99614 pep supercontig:CcrdV1:scaffold_1649:9572:23081:-1 gene:Ccrd_022150 transcript:KVH99614 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4205 MEDHEEDDLQRALRMSMQHEPPEPKRSKARDNSAETPLEESPEAKNRKLQRELMAAAAEKRMMAASAAMATTATNTSAPKNVSSSSEASASKVDKCAPVAKKERCWGEELSSEEAQQLFSMVFGNEVSKGILAQWSNQGIRFSPDEETSMGLVQHEGGPCGVLAAIQAFVLKYLLFFPQELVKVVSNTPMVSVSRRLQETRDSVSNIFGSLTEETKSRALVRSMAEILFLCGSNSSATIASLRILDHEIEGKDERSKDEIVARSLDGLSIESSCDLQKVLSVNTFTSLASAMLRLEEMIPIFRSRMGALLFLLSALLSRGLETVQADRDDPSQPLVTAPFGHASQEIVNLLLSGMAVANVFDGKMDLGGGMFVKGILTTVEVGFLTLLESLNFCKVGQLLKCPKWPIWVVGSESHYTVLFALDPKVQDENELEGRETTIRRAFDAQDQSGGGGFISVDGFHQVVKDANINLPTEKIDHLCSSGFIVWSEFWQVLLDLDKSLGGLKDSTGLMGKKVFDLYHFNGIAKSVSGSDVQRPRLTKLRVSVPPRWTPEEFMANVGTSSSSKDAVVEVSKPERTQHAPLVDCVRTRWARAVCNWEGDPPSIV >KVI00233 pep supercontig:CcrdV1:scaffold_165:396222:396401:-1 gene:Ccrd_021485 transcript:KVI00233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMQMMKLFVVMVVMMMMAVSTVSAADPPSPAPMSDATTVFVPTAIASASAIVFAFLF >KVI00240 pep supercontig:CcrdV1:scaffold_165:143192:149648:1 gene:Ccrd_021505 transcript:KVI00240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-structural maintenance of chromosomes element 1 MPPLCWRHHTLIQALLSRGPLKEKEFQSIFSDVTGKSVDHRQLFNEYLRKINMELSYVQFELRACRNQYDGGVYYGVINNVADEQSKLGTRYTVPQIAFYKGIIEAIVQDATAQGTISNIAALNVRLENQGASTQVPTAFRNFSMSQKEKSLQEFVQDQWLCTTPDGKIGLGVRSFLDLRSWFHNNEVPTCDVCNEAGIKADLCPNESCTVRIHDYCLKAKFSQSRIDKVCPGCGTQWPFMVAKAEAVEEEDADVHQPPLVRKRLRSSRIVEEDESQPPSEPLGRRNLRSSRDGQEDESNGPSQVQPSSGPSNRRARKIEDEESIGQRRKKVQTSNDGSGPSQETRRSTRTSSRLHR >KVI00237 pep supercontig:CcrdV1:scaffold_165:130611:132537:1 gene:Ccrd_021508 transcript:KVI00237 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MLKTMKSSSCSTCFPWLVTMSLCLIARSQLTADFYSTSCPNLFSVGCDASVLLDGNDGEKFAFPNINSARGFEVVDAIKTAVEGTCSGVVSCADILAIAARDSVVLTGANTNLPGPNESIGIIAAKFAAVGAHTIGSAKCAVFSHRLFNFSGTNAPDKTLLDPAMVSDLQARCPVNGDGNKTTALDRNSVDLFDNHYFKNLVNGKGLLESDQFLYSSDEAVSTTRSLVEVYSNNQQLFLNDFVTSMVKMGNTSPLTGSSGEVRKNCRVVNS >KVI00262 pep supercontig:CcrdV1:scaffold_165:51578:57894:1 gene:Ccrd_021516 transcript:KVI00262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MLKAPVNHVNGHQRSSPPLLSRGKKAFVVGDGGVDVSGIPASFLSHNTLAFPVQRHSRSFRSRRHSGKLVGEIKAVSGEASTAGVITTTSVKAVVTVQMTVGGVLSNLSITRAFDDIGDLLGKSLLLELVSAEVDPVTGITKPTIKDYAHRLGRKADDLQYLAEFEVPEDFGSIGAIYIQNEHHKEMFVESILLEGLAIGPVTVTCESWIQSKREKNSKRIFFLDKVACLPKDTPSGLKALREKELAILRGDGVSDGPRKKIDRIYDYDVYNDLGDPDKDPELARPVLGGKQHPYPRRCKTGRARTKSEHDGCCLTSLLNTIANADPLSETRSSDVYLPRDEAFSEIKNLSFSFKTVYSVLHAVVPSLETTLIDKDLGFPYFTAIDSLFNEGVNLPQIDSKGPLGSVLPRILKAFEDAQNNILLFETPEMIDRDKFGWMRDEEFCRQTLAGLNPLSIRLVTEWPMMSKLDPEVYGPAESAITTEIVEEEIRGFCTLDEALKNKKLFVLDYHDIFLPYVNKVRDIKTMRTTLYGSRTLMFLTPTGTLRPLAIELVRPPGDGKPQWKQAFTPCWDATGAWLWKLAKVHALAHDSGYHQLVSHWLRTHACTEPYIIATNRQLSKMHPIYNLLHPHFRYTMEINGLAREALINSNGTIEACFSPGKYSIEMSSVAYDLQWRFDHEALPADLIARGMAVEDPDSPHGLKLTIEDYPYANDALVLWDIIKDWVTNYVNHYYKEENLVESDEELQAWWTEIRTVGHGDKKDEPWWPVLKTPQDLVGIITTIIWVASGHHAAVNFGQYDYAGYIPNRATIARVKMPCEDPTDDEWAAFKRRPEDELLSAFPSQIQASQVMAVLDVLSTHSPDEEYIGQQLELTFEAVPEIKAAYEIFSGKLQELEGIIDGRNADACLRNRNGVGVVPYTLLKPTSGPGVTSMGVPNSISI >KVI00248 pep supercontig:CcrdV1:scaffold_165:2628:4997:-1 gene:Ccrd_021521 transcript:KVI00248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MAEVLLCLFFVTTFLGFVAGQQTKCSVSVGASLTATPGVTPWLSSSGDFAFGFRQLEGNDNFLLSIWYDKIPDKTIIWYPETNATVSRGSKVELIDQRGLVLTDPQGREVWSTSSSFSDLACGFMNDTGNFVILGNNSGKIWESFSYPADTLLPTQVMEIRGQINSKKNKTNFSRGRFQLRMVTNGNLVLNIRDIFSNFPLNPYYVSGTSDAGNSTNSGYQLSFDATGYMYILRRNGQKYDLTRRDALPSGDYYHRATLDPDGLFTQYYHPKNPTGNASWAVIWSEPKEICFSGDIGSGACGLNNVCSLDGNRPKCECPQGFSLLDSNDPNGDCDHDISPRCDEGYGGDLFDFIELNNTDWSGSDYAHIRPSNEENCKLSCREDCFCAVAIFRDDQCWKKAIPLSHGKKTSEYVKAFLKYQKDERPPQCPPWILKEKDQRSLVLVGSVLLGTCVFVIIVLTGVICLGFFLVYKKKPMNPYASSKAAESNLPRFTYQELVEATNGFKDELGKGAFGIVYKGVIGTNIVAVKKLDRVVEDGEKEFKTEVNVIARTHHKNLVQLLGYCDDGEQRLLVYEYMNNGTLAAFLFKDVKPSWKERSYIGVGIAKGLAYLHEECSTQIIHCDIKPQNILLDDYYNAKISDFGLAKLLLMNQSRTNTGIRGTKGYVAPEWFRNTPVTVKVDVFSYGVLLLEIISCQKSVVFDSDKEDVEVLTDWAWDCYHEGRVDAFVEDDMEGLDDLERVITFVKVGLWCVQENPCLRPTMRKVVQMLEDLEVTDPPCPYPLFGTSI >KVI00255 pep supercontig:CcrdV1:scaffold_165:292991:298837:-1 gene:Ccrd_021494 transcript:KVI00255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MATTSSSTCGFSKLHFPLIFTNNISSSTSGFHTRTRVSPIASKPNRIISVSSCLIDSSSVEAVESISSKVKVETCVWNWKGYSIRYQYAGNSGPALVLVHGFGANSDHWRKNIPVLAESHRVFSIDLIGYGYSDKPNPRLVGLQAAVTDPSICKGIVLLNISLRMLHITKQPWFGRPLIRSFQNLLRNTPVGKLFFQTVATKEAVRNILCQCYHDTSQVTEELVDSILLPGLEPGAADVFLEFICYSDGPLPEELLPQVKCPVLVVWGDKDPWEPIELGRAYGNFDLVEDFVALPNVGHCPQDEAPHLVNPLVESFAARHATVKS >KVI00236 pep supercontig:CcrdV1:scaffold_165:367707:373021:-1 gene:Ccrd_021487 transcript:KVI00236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor P, C-terminal MRAVAIAAAAAAAKARRISGRLHATSSVRQYSIMISPHLKNITLTHSDSSIYSDDRSRPYGQPLAVPWSANQIRFAKARGSDVVKAQHSTQGRGGAIIQVELRDVDSGNKVNERFRTDEIVEKVFVEAKSFTYLYTDEESDSVVLMEPNTFVQLDVPKHLFGDSLAYLKDDITVSVELFNDRAMSASVPKRVTCTVVEAQVPMKGMGATPHTKKVLLDNGITVQVPPHVITGDKLLIDTTDNSYISRCGLSVFLIDPRLALLQNHNFSGYIFERYEMKY >KVI00238 pep supercontig:CcrdV1:scaffold_165:125162:128254:-1 gene:Ccrd_021509 transcript:KVI00238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MACSIDLANLGLRFNPLPLTNTTSLNQRRIRPIAFPTSLDLKHPHRRISCSAVDEEQIQQTEENSFSDAENSLISSLIGIQGRGRSASPLQLKTSSSLIEGRWQLIFTTRPGTASPIQRTFVGVDLFSVFQEIYLQTNDPRVSNIVKFSDAIGELKVEAAATIKDGKRILFRFDKAAFAFKFIPFKVPYPVPFRLLGDEAKGWLDTTYLSESGNIRISRGNKGTTFVLQKRTEPRQRLLSAISSGSSVIQAIDEFVSLNQTARKGEQQLVEGEWQMIWSSQMETDSWIENAANGLMGSQIVKENGRLKFLVDILFGFKFSMNGTFEKSGTNVYDVTMDDGAIVVGPYGLPVELVTKFKLEVLYSDDKIRITRGYSNILFVHLRVDR >KVI00267 pep supercontig:CcrdV1:scaffold_165:121108:123747:1 gene:Ccrd_021510 transcript:KVI00267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQTQMMKQSKMNQSQMMSMGQPLPPVMSQPQQVMNNQNSQMYVNQLMNPSQMMVNQSQPQGMLNHRGGGGGYGMWPPPQLDQLKFQNPNMKPSGLGSSSLKSMGPRINKNWKGKKGNDKWNNNNRKELPVMGGASSISNNSGGGGYNPPTLKELQQQNRLKARRYFPKKKFNHGGRSAPFAPRNTTSFLIRAKKSGGITSLVSPCPVTPAVLPTPIFSPSREVLVDMAKEEWGVDGYGSMKGLIRLRSGNEADAPEDEEEEEGGSSESDVEEHVEVERRLDHDLSRFEMIYPNYSGADHSSYLLENRVDDQDTHIAQLEEENLILKERLFLMEREFDDLRMRLQCLERQSRGLERLNEEVVENDSENESESRGYGRSMEDNNEVTEENNERSQHSEDNNGDTEFKQSDIIEKGVQEMRVGNVTDGEAKGYVNPLNKDEEQKDLDICMEEQIQKIEVIELKKEDDEVAERHKNIEKLGMLEENAVESGNEVSEPAKEEENAANGLKEEMA >KVI00250 pep supercontig:CcrdV1:scaffold_165:305625:308125:-1 gene:Ccrd_021493 transcript:KVI00250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MGTTTALAPGLSRKLKKVLETRIDNPDLMAAFHSEINSFEGYYFLPEFCKDVAVKYIKECHYMRELNISVSLVENYFNGVSPDDGGRDVVLMDTDDFLPDDILRTNPLLYGASDSWNMPVSFISVILLPIVGNAAEHASAIMFAMKDKLLSDITLGVAIGSSTHISMFVPKKMGLESNPIRTRRDHMKNTQLTDSIYFERLFVDSLDADVGIDAFTVTVKVEIELLRI >KVI00251 pep supercontig:CcrdV1:scaffold_165:343258:344977:1 gene:Ccrd_021490 transcript:KVI00251 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand 1, calcium-binding site-containing protein MDETVRPHNPTPAHHSLLRITVNICGEVAKNGCCYNVNVHNISRGSTPWSTLIWARTHMNKFQSYSHDKDGTHLGSVTKDDVPLAYTDILPNDSLCQGEISVNGFGYPRRRVDTNHSNFIGIEDLDNYDIVFGDQKWHSSDTHFSYRYDDLFPHLPTNKDVEEEEILGEVLDFIPEDDMLTVEKTGCEPEDVMTMKPETAESAKHETAAIEFGCALLQRNLKK >KVI00245 pep supercontig:CcrdV1:scaffold_165:158689:161318:-1 gene:Ccrd_021502 transcript:KVI00245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, cysteine-rich domain-containing protein MPRPRRHILINKRCCFAANVHTELCPEGEKWRFTFLLPHPPQSQVSLLNQTFHMVYALVSYRVRSSLESESSSADAPDTSLEPITTKTQNPSTSRRLCLACLASSFALISNSTSSHIEAKAIASDAKERPGCRNCGGSGAIICDMCGGTGKWKALNRKRAKDTYEFTECPNCYGRGRLVCPVCLGTGLPNNKGLLRRPDARQLLDKMGHLALGLLLNLGYRRAEATLYLRYVYRIRLRPKIKSFLQDASLTRAAVEMLNTRSGLLHL >KVI00264 pep supercontig:CcrdV1:scaffold_165:68967:72782:-1 gene:Ccrd_021514 transcript:KVI00264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MAEVLLCLFFLTTFLGFVAGQQTNCSVPVGASLTATPGVTPWLSSSSDFAFGFQQLQGNDNFLLSIWYDKIPDKTIIWYPETSATVSRGSKVELIDQRGIVLTDPQGREVWSTSGSFSDLACGFMNDTGNFEILGNNSGKIWESFSYPADTLLPTQVMEIRGQINSKKNKTNFSRGRFQLRLLPNGNLVLNIRDIFSNFPLNPYYVSGTFDADNSTNSGYQLIFDATGYMYILRRNGQKYDLTPRDALPSGDYYHRATLDPDGLFTQYYHPKNPTGNASWAVIWSEPKEVCFNGRIGSGACGLNNVCSLDGNRPKCECPRGFSLLDSNDPNGDCNRDFSPRCDEGYAGDLFDFIELNNTNWPGTDYAHIIPTNEQSCKNSCTEDCFCAVAVFANDQCWKKALPLTNGKKTSSENVKTFLKYQKDERPLQRPPPFLKEKDQRSLVLVGSVLLGYVAPEWFRNTPVTVKVDVFSYGVLLLEIISCQKSVVFDSDKEYVEVLTDWAWDCYHEGRVDALVENDMEGLDDLERVTTFVKIGLWCVQENPSLRPTMRKVVQMLEDFEVTDPPSAQRTNGASLTATSDVKPWLSSSGEFPTTSRERYFLVIHKVTLGLFVTILARFGRVSNFQQILY >KVI00258 pep supercontig:CcrdV1:scaffold_165:192712:194471:1 gene:Ccrd_021499 transcript:KVI00258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MASFNHSLLIALISIYLSATATAIATISGSDIHDILPEFGLPKGILPDAVESYTLSPSDGAFTVQLTRPCYVQFDDQTVYYSKNIQGKLTYGSVSDVSGIQAKQFFLWLSVTGMDLDTSSNMIEFHVGVLSKKLPADMFLVVPDCKSKACQHKV >KVI00234 pep supercontig:CcrdV1:scaffold_165:412354:414685:1 gene:Ccrd_021484 transcript:KVI00234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MDKLQWGNRKRLRCVKVKESTVMNGKSDGNGIVVKKKITSQRVDRRVVNEQDSHHPLPPLHASSPQRLNRVTNRKIMSALSSPEKEDRYYSTRGSGAGFDDSSSSKKVLNVDAKKESKRAVWPKLFTTLSSKEKEEDFMAMKGCKLPQRPKKRAKMIQRTLLLVSPGAWLSDLCQERYEVREKKTSKKVRPRGLKAMGSMESDSE >KVI00231 pep supercontig:CcrdV1:scaffold_165:355162:359288:-1 gene:Ccrd_021489 transcript:KVI00231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTPGVADDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLAFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHALLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISVEKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVEDEGEDEEY >KVI00259 pep supercontig:CcrdV1:scaffold_165:26507:27327:-1 gene:Ccrd_021519 transcript:KVI00259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MVSRSGICTREVVVDARHMLGRLSSILAKELLNGQRVTIVRCEEICFSGGLQIPSCSDLLVVSHAGKNRKSFVTHVSMMKVTMMRGIIADLWPWVVGLLARNDDADFDNKSTTGAQWFLMDKGGVQKESTTGAQ >KVI00242 pep supercontig:CcrdV1:scaffold_165:132679:136740:-1 gene:Ccrd_021507 transcript:KVI00242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMRLQVISPFPTDIDIANSVQPHHISVIAKKLNLNPNHYDLYGKYKAKVLLPVIDELKERKDGFYVVVGGITPTPLGEGKSTTTVGLCQALGAVLDKKVVTTLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLASAIDTRMFHESTQSDKALFSRLCAPDKKGNRIFSDIMYRRLKKLGINKTKPEDLTAEEVMRFARLDIDPETITWRRVMDVNDRFLRKITVGQGPDEKGMVRETGFDISVASEIMAVLALTNSLSDMRERLGKMVIGNSRAGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLIHAGPFANIAHGSSSIVADKIALKLVGPDGFVVTEAGFGCDIGIEKFMNIKCRYSGLRPQCAIIVATIRALKMHGGGPPVTAGKPLDPAYITENVALVEAGCTNLARHIKNTKAYGVNVVVAVNRFSTDTDAEIAAVRTAALAAGAYDAVLCTHHAHGGKGAACESATKPLKFLYPLDASIKDKIEAIARSYGASGVEYSEQAEKQIEMYTKQGFSGLPICMAKTQYSFSDNASAKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTTTGTVKGLS >KVI00241 pep supercontig:CcrdV1:scaffold_165:137271:137546:-1 gene:Ccrd_021506 transcript:KVI00241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFSLEDLLIKGAIFVIVQALVYLILSNSSDVFSKSKMMRSFSFKPARSVSIRRMFAAISDMPPGGEQSPSSSQRGFPFSSSEDEFNKFD >KVI00257 pep supercontig:CcrdV1:scaffold_165:198671:203606:1 gene:Ccrd_021498 transcript:KVI00257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTAVSSGHGSIDEYISQLMQCKPLSEQEVRVLCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNASVWKIFTDLFDYLPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQVSPLPSFYLDISEQFNHTNSLKLIARAHQLVMEGFNWGHEQKVVTIFSAPNYCYRCGNMASVLEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >KVI00266 pep supercontig:CcrdV1:scaffold_165:105543:119054:1 gene:Ccrd_021511 transcript:KVI00266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSQNAHEEHESMHTPGRLISDQHNNNYLSPLANAQYVLPNIKKLQGNNNAGIGHRITQILLLYESSPINYSGFIKSSPNCKLKTYLFIAKCRKFTSREMISVRGSLGNSRTNEWSQFRSSSFNLTLTFSLELWFSNPSVTESSDTAVATAGGGDPNDILVEINRVVVALWVSVRCHLYAWINPVMKSSQVYGCSWIALVGLIFLFKICTFSQKILVNFQLIFHFVQGVTFMLALAGITDVVVYTGLIVTDICVAWNPVLKRVGLWKSVRSLGLLYDADLHLYCRMFVVPIHLNVPNMPNVQPSVQPRHHELEKSKPALSGTVAVTEA >KVI00256 pep supercontig:CcrdV1:scaffold_165:288125:290512:1 gene:Ccrd_021495 transcript:KVI00256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISCPFAAWTDLEASFTSISCLEDDEPKSLFRSISFKNQDSEPSVMKSVDSQKIVHESLSLKIPSPEKNALICAKKKELCTQSPRFDHSSMIESLQLSTSDSGSPKHEAATKLQKVYKSFRTRRKLADCAVLIEQSWWKVLDSAELKRSSISFFDIDKHETAISRWARARTRAAKVGKGLSKNCKAQKLALQHWLEAIDPRHRYGHNLHFYYLKWLHSESKEPFFYWLDIGEGKEVNLVEKCPRSKLQQQCIKYLGPMERKAYEVAIEDGKLFYKQTGEFIDTTRQTKGAKWIFVLSATKTLYVGVKKKGSFQHSSFLAGGATLAAGRIVAENGVLKAVWPHSGHYRPTQENFQDFISFLRENGVNTSYIKMDSNDDDDTNSHVRTISSESEKERLETEDADLEDHIPERTVEEQDSKLASAKKPRLFGSFARNLNPLEIPKNDDFLLESKTENQGFTSEIMLDGFSDDEHDPEVEAAVPKESILQRINSHKETKSFQLGRKLSCKWSTGAGPRIGCLRDYPTQLQSHALEQANLSPRSLRYFDRRNSQRYRTQSSLLIA >KVI00261 pep supercontig:CcrdV1:scaffold_165:34324:34795:1 gene:Ccrd_021517 transcript:KVI00261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MSPRRYAIGTANEATHRDFACTTLSEYLSASVFVFVVEGSVLALKKAYGGDVLGAMTNVLVVIATTLALFVLVSSNLNISSGHIKPAITFGTLVTGRVSLVRELYHWVAQLIIFLFPRVSLLRPTTVGM >KVI00254 pep supercontig:CcrdV1:scaffold_165:247835:250390:-1 gene:Ccrd_021497 transcript:KVI00254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MNIGADLSNLPSPEQVVAILRAHQITHVRLFNTDARLLSVLSDTGIEVMIGVSNGEVLAIGKSASAAALWINQHVAAFLPATNITAIAVGDEVLSSNPAAAPLLVSAMNYLYKALVASNLNDKIKVSTPLSMEMIPKPFPPSTATFNASWNSTVHEILQLLKNTNSFYMLNAYPYRGYVQSNGIFPIQYALFQPLPVVKQIVDPNTLFHYESMLEAMVDATYYAIAAYNITVIPIIVTETGWPWSGGANETDATVENAETFNNNLIRRVLSGSGPPSQPAFLMNSYIYELFNGGTGSELDSDRSYGLFFGNGSSVYNLDLDFSTGNSSGGFCVARKGADPASLQNGLNWACGQGQANCSAIQSGKPCYLPNTVQNHASYAYNDYYQRKRGVGGTCDFGGTGYGSCIFTGSSNSSAGRVGPPAFGPAGPTGSTSRPHQVPEIGYLILAALLVRII >KVI00235 pep supercontig:CcrdV1:scaffold_165:380185:387420:1 gene:Ccrd_021486 transcript:KVI00235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein MVVSMKFFVLLMVITLPLLSFASSSLMCPSEIKPFLHDLHLQCPTTIMYSWPIECVLFLQMNEESLDKVLSFRQMNGYVAILFYASWCPFSRNFQPKFAALSSMYPQIKHVMIEQSSVPPIVFSRHGIHGVPSILIVNRTSRVRQNGPKNLPSLVHFYQKATGLEPVMDLTEDQINSSENKSRLLESSTKSQVKNIIRREPYLIFSLLFLFSKALLYLYPNMVSNLIALWLAYIPHLNLAIFGESRQLLARVLHLVDIKRAFSKLTLSKSRNFQNGARSARAWASSLASFSLGKTSSAKATQGGKL >KVI00244 pep supercontig:CcrdV1:scaffold_165:161838:168635:-1 gene:Ccrd_021501 transcript:KVI00244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MPELRSGVRRARAPVVEKKRGGRPKKRSEHLVGNYVKTRAAAAREAATAVVEIKQEKKLRRQTNKRKEGLATKAVVVISEAEEDKQDAQEKVKEVAMADASGGLSANKVTGQEEEGNTAPFPDRVQVGGSPQYKVERKLGKGGFGQVFVGRRVSGGTERISGPGAMEVALKFEHRNSKGCSYGPPYEWQVYNTLGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQSHTWALAASFCFAAAAYPIKLGWMSSEMVACIAVESLSILEKMHSRGYVHGDVKPENFLLGQPSTSQEKKLFLVDLGLATKWRESANGQHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPAPLKQFLEIVVNMKFDEEPNYSKLISLFEGLLGPNPAIRPINTDGAQKIIFQVGQKRGRLNLDEEDDGQPKKKVRMGVPATQWISVYNARQPMKQRCMIFHHYALLSFFLCQAGQHFAFAYAFHLWCCIIRYHYNVADGRLAQHVERGNADGLLISSVASCSNLWALIMDAGTGFSSQVYELSPFFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKVSESFPFKWINKKWREGFHVTSMATAGTRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQAALILSVPRREVGKEFISCLCLLWKNSLLSHLDDGGEGGRSHFYLVFFNCAGDFCNGKRIDVRFFFLSRSRCLTVVKALYLILKLYSCLLPLLTKL >KVI00249 pep supercontig:CcrdV1:scaffold_165:309477:312589:-1 gene:Ccrd_021492 transcript:KVI00249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGLCGGKPANVAHASSTQFLDDMLNNKRKSNSKPSKNQTKTVSFNKVSKAHKDDHLPVPINLKAFSLSDLKAATKNFRPDGLLGEGGFGQVFKGWIDETTLAPVKPGTGLVVAVKILKAESRQGHREWLTELDYMGRLRHKNLVKLIGYCEEYENRLLVYEFMPKGCLDNHLFRKSVQPMPWATRMRIAIDVAEGLSFLHSEEPSIIYRDLKASNILLDTELNAKLSDFGLARNGHLTPKNDVYSFGVVLLELLSGRRAIADERAGGVEETLVEWVKPFLGDGKRVLRIMDTRLGGQYSKKGAQAVAALASKCLHNDHRFRPTMAEVLASLQEIQSAPKEVPRISTDREQHRRVGNLKDAKNSK >KVI00246 pep supercontig:CcrdV1:scaffold_165:156125:158547:1 gene:Ccrd_021503 transcript:KVI00246 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MIFRKLRPSKLKNLLPLSHFTTYTYKKPVSNSNFTTIINPHKISSLLNPTKTLATQNPLFRSFSSNHTLVPPLPITRDGNYDESTSETLRICPGCGIPMQDSDPKQPGFFITPSIKGSNYKLPIDKNPLAEETHIPESLKKGFLVESDEDPKNPSPKSPKRPVVCARCHSLRNYGRVRDQTVENLLPDFDFDHTVGKRLNQVSGTRTVVIVVVDAVDFDGSFPKKVAESISNTIDVHSRAWKEGKSGNLPRLVLVVTKIDLLPTSLSPTGFEHWVRTRAREGGANKLTKVHLVSAVKDWGLKDLADDMVSLAGPRGHVWVIGAQNAGKSTLINAMGKSVGGKMSVLTEAPVPGTTLGIVRVEGVLTGQAKLFDTPGLLHPHQITTRLTAEERKLVQISKELKPRTYRIKRCVLDQAGHSVHIGGLMRLDIEESSVDSLYVTVWASPHLPLHMGKTEKASTMVEDHFGHQLQPPIGKHRVEELGRWVRKEFRVTGNWWDSSCVDIAAAGLGWFAIGLKGEAVVGVWTYDGIDVTLRKALIPHRSHNFEVTGFTVSKIVSKADKSLNKQRHNEKKKVDVPETEATTAAL >KVI00232 pep supercontig:CcrdV1:scaffold_165:361061:366190:1 gene:Ccrd_021488 transcript:KVI00232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MKPWKSFCDFEKYYYYHRLNIACLSLPLKPTCNCSSFFSFSVFFYRNCLKMAEEKPKLVAGVWPTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAFAVTKTMLKNEGVGAFYKGLSAGLLRQATYTTARLAGAIGACVGSPADLALIRMQADATVPAAQRRNYTNAFHALFRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDNIGLGETSTVIGASTVSGFFAAACSLPFDYVKTQIQKMQPDAEGKYPYTGSLDCVMKTLKSGGPFKFYTGFPVYSVRIAPHVMMTWIFLNQIQKLEKAAGL >KVI00247 pep supercontig:CcrdV1:scaffold_165:7195:8118:1 gene:Ccrd_021520 transcript:KVI00247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MLFILHSQLERWAESNVDYEVLRRELPNNNDIAESLFHAQVALKKSLGEEVYNMKFGGEVELIAGLDQFKAAVASTGASVVLFKTTSDLQCKQISPFLNTLCTRYPSINFLKEEELRRKFVKKKQQHYFVLIRAAKESASQMIKGQGGKGEGAGGSFGSSTSSAAASHRYHFKRYV >KVI00239 pep supercontig:CcrdV1:scaffold_165:152071:155496:1 gene:Ccrd_021504 transcript:KVI00239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGNIYQDVTESRGDYGVKSSNMEGRSCLRLCVSGGGRQGMASNSAVHELLECPVCLSIMHPPIHQCPNGHTICVVCKSKVQNSCPICRQELGNIRCLALEKVAESIELPCKYHYLGCQDIFPYHTRIRHEENCKYRGYNCPYAGTECYVKGDIPFLVAHLKADHNVDMHDGSTFNHRYVKPNPHEIENATWMLTIFNCFGYQFCLHFEAFHLGMAPVYMAFLRFMGDENEASKFSYSLEVGGNGRKLKWQGVPRSIRDGHKTVRDSLDGLIITRNIALFFSGSDRQELKLKVAGRIWREQS >KVI00252 pep supercontig:CcrdV1:scaffold_165:334070:335377:1 gene:Ccrd_021491 transcript:KVI00252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAHLIRDRDPRARNKSNTNQSNTSARLGFKIFLASIPLFKLTAAFYVDFIADSMDENVRPHNSTAAHHWLLRITQNDEGGWRWCCTDVETQRHVVCSIDAFLDCISSDTSQHPLLKILLLLSINSVHGTLGEVHVDEPGLRRANPAST >KVI00243 pep supercontig:CcrdV1:scaffold_165:181380:181958:-1 gene:Ccrd_021500 transcript:KVI00243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MTKIFLSLLLPLLIAFPQTFPAAGANPTFLKSVKLNPLKLRTEKLSHFKFYWHDIISGSNPTVVNIVRPPPNNKTSASGFGMVNMIDDPLTEKPEIGSKLLGRAQGFYGVASQEEVGLLMAMNFVFSTGKYNGSTLTVLGNNPVFHKVREMPVIGGSGLFRFARGYVQASTHDFDLKTGDACVEYNVYVLHY >KVI00260 pep supercontig:CcrdV1:scaffold_165:29180:31561:-1 gene:Ccrd_021518 transcript:KVI00260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVWMYHLDQRTDFMDRTLYLTSVDFNFELQ >KVI00253 pep supercontig:CcrdV1:scaffold_165:255059:257671:1 gene:Ccrd_021496 transcript:KVI00253 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII55 protein, conserved region MEEQFILRVPPSVAQHIDRLLSESASSSEDKSLDLSFSDDGRSGTFVIGDEHFPASLLDLPCIVESYKTYDDSVLIKTADVGQMIMVRDKGDPAPDVVEHRHGLTPPMRDARRRIFRREPDLNPELVRRVEKDLLNIMNGGTAENVDIHPFYFQQIYLIFCRQEEVGDEIGRNAGKKAVAAPVAKPDVSEAGTNAGGPERSDTDESDDSM >KVI00263 pep supercontig:CcrdV1:scaffold_165:61140:64901:-1 gene:Ccrd_021515 transcript:KVI00263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKFTLTNNDDNGSGEEERIPAQKRRGRPQKPLVDEIEEDVEKIEDEENTNVNDVVSNKDVKVMENGKKRKRNKQLKEEGDLVKEESGIGTRSNANGLAQVNGFRHTGSRRKSKPRRAAEAGVECK >KVI00265 pep supercontig:CcrdV1:scaffold_165:101286:110521:-1 gene:Ccrd_021512 transcript:KVI00265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase MSLGSPPPAVATAVSDDSVTDGLENQSSSENVRVKLKLEDLNWDHSFVRELPSDPRTDIISREVLHACYSKVSPSAEVENPQLVVWSESVADILDLDSKEFERPDFPFLFSGASSLKGGLSYAQCYGGHQFGMWAGQLGDGRAITLGELLNSKSQRWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHSLGIPTSRALSLVTTGKFVSRDMFYDGNPKDEPGAIVCRVAPSFLRFGSFQIHALRGKEDLGIVRTLADYTIKHHFPRIENMSKSDGLSFSTGEGNNAVVDLTSNKYAAWLVEVAERTASLIARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSFTPNTTDIPGRRYCFANQPDIGSWNIGQFASALSTAQLINKEEFNYAMERYGTKLMDDYQAIMTKKVGLLKYNKELIGELLNNMAVDKVDYTNFFRLLSNIRTDSNVPDEELLVPLKAALLDIGRERREAWTSWVKRYRAESAIDMAEQGDFREVRRLLKVMERPYDEQPGMERYARLPPAWAYRPGDFFFSFVIVINEGSNMSGAFVESLFGIVKRIEELAVKMVHSCGFLDFSSVKRMRIPRKLEVWRLGRVSYLEALKLQEKLASDRKMSKIPDTLLSLQHPSTYTLGKRRTDHNLLVPESELKNMGAELYYTQRGGDITFHGPHQAILYPILSLRDIGLGARKYVENLESTMIELAALYGVKADGLRKLETGVWVGERKIGAIGVRISSGITSHGLAFNIDPDLRYYKHIVPCGISDKEVTSLRRETDMVLPDEQVIQDQLVSCFARLFGYSDIIWRNGSSIL >KVH91453 pep supercontig:CcrdV1:scaffold_1652:86460:92692:1 gene:Ccrd_006523 transcript:KVH91453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MALDSTTGNDGAVFTEVDMGSSDSSASTVRATIVQASTIFYDTPATLDKAERLLAEAVSFGSQLVVFPEAFVGGYPRGSNFGVSIGNRTLKGPEVDRLAAMAGKYKVFLVMGVIERDGYTLYCTVLFFDNLGCYLGKHRKLMPTGLERIIWGFGDGSTVPVFDTPIGKIGAAICWENKMPLLRTAMYAKGIEIYCAPTADSREVWQASMTHIALEGGCFVLSANQFCRRKDYPAPPEYVFSGTEEDLTPESVVCAGGSVIISPSGTVLAGPNYDGEALITADLDLGEIARAKFDFDVVGHYARPEVLSLMVRDHPATPVSFTSEKTESSHK >KVH91455 pep supercontig:CcrdV1:scaffold_1652:94885:104568:-1 gene:Ccrd_006524 transcript:KVH91455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRNSEMPCKIRKRRFPTASSSSFANNNISQFLVEKTRSDSPRNEKCINMLDRSKCKEEESATTMTVKKSDTDNRVNKVIDKSITNVDRSRNKSRTSSGRVATPEVRLQARSRSDEVMKKKRRTEEVRSAGCLVRSAVAPSKTRSGTLAGVYAVAALPEKFGPFGEVETETKNQKRSMLKPTNELNEIKQSLTISRELLKLLTHVWTVDTNRGCNSMTISLSSTLNHELNKARSQVNKLIQEQRSHNTTSSESYEQDKVRFAIRTVARELEIEKKLRRQTERMNKKLGRELANTKSSLSKAIEKSETEKRATEILEQLCEKMALEIEEDRIELEELKKESERVREEMEEEREMLRVADILREERVHMKLSDAKYEYEDRHAQVNMIARDLEELLEVDNNTNHLKKKIPRVLSWYESTANNNGEDENNTRDETTSLVWYENNSHKVVNDENALGEKLLNKGEVVNDENTLLTRDVSWYENENENDNDKGEVEIYGNIIREETTSTVHEAMERYNSDCIELEFGLDRKNESEEEVLGISHCSYNMKEYEDEMERYKMIKDLRDRIVSGSDLSLGSYT >KVH91454 pep supercontig:CcrdV1:scaffold_1652:23371:26210:-1 gene:Ccrd_006522 transcript:KVH91454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGNDPDAKRFRAHKPASVVSLPSSSFSSDLSSTPPYYSVGLTRKSNMRRCDVHQKVWRRLL >KVH89675 pep supercontig:CcrdV1:scaffold_1653:21497:41507:1 gene:Ccrd_008331 transcript:KVH89675 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MATTMAINGSLVESKEEEGKDVDRVMDAEVATDDAERCRVTHTETEQGVGVVAPSPSTLPLPLHHQSPPSTSETQKLERSNNISSVTHNADELLVEEKEHQEAVLTKEEQSGFNGGQQQDCVSESNVEVKVGVPKKKGSKPGHYKKNKPRGHPKQDLSTEEEKTLMMGVVKSTDDDTKCAEETEVVMVDGGNGLDVEVEKDKENERSTRRNRSNVSYVDIYKFLEDYEDDERKKKRMRKRTKTDEEEQKNPSTKRVTKKTKKTGEEEHETSSTKRCREGSNSGRKFKYYARHSVLDENGNEVQVESNMCHQCQRNDKGRVIRCQKCTTKRYCVPCMTTWYPNMTEEMFAERCPVCCDNCNCKSCLRDVRPKVKGMIDFRPDDDQKVRYSIYILHVLLPFLKRLKEEHIKEKEVEAKIQGLTLSEVHLKKAQCKLDERMYCDCCKTSIFDLHRSCPHCHYDLCLQCCWELRDGNPQGNKEEVITEFIDRGSDYLHGHEKGRYVVKRAADPAPCKKITHDWKCLDDGRIPCPPQSMGGCGLGILELMRIRPFDKVSALLKDAQELLEVHKMEEDMREMPEKWCTCSDFVRESDGDKQLRKAASRESSNDNYLYCPRAIDIQPGDLKHFQWHWSKGEPVIVSNVLETTLGLSWEPMVMWRAFRQISNTKHDQLLDVAALNCLDWCEVDINVHQFFKWYTDGQYDSEGWPRILKLKDWPPSSLFEERLPRHGVEFITSLPFKEYTHPRDGYLNLAVKFPKDSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTATVTPKLKNQKEIDELKQDHEAQDQRELFGLVKEAKFNADSMKCATGKQVVGLKKKKAALCNNGVGSNSGSNSRDFDVKETSDSSAVCCSNTGSNQSDEHELKAERCEGAEHKTYEDQENNGNKKGSLRRVKKQNVNAGKKARCMEPDNTVTICTEKDDCPHIEEVTNDEQTEAIEEVDNQDDSGICVDSDLQEGGALWDIFRREDTPRLEEYLKKHFREFRHIFCCPLQQVIHPIHDQTFYLTMEHKRKLKEEFGIEPWTFVQKLGDAVFIPAGCAHQVRNLKSCIKVALDFVSPENVGECIRLTEDFRVLPQSHRAKEDKLEVKKMALYAVEAAIEDLKHLTLKKKTKSKQ >KVH89674 pep supercontig:CcrdV1:scaffold_1653:89547:90257:1 gene:Ccrd_008332 transcript:KVH89674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHSNQVRLTSSSSIVLPSSFLQSSSSSIVLPSSSSISQVLEYLVYTVFSNLFTCPSTCNPSSRQSVFVTEQLTVFEAQGLQFRNTPAVLTYAVAQ >KVH99445 pep supercontig:CcrdV1:scaffold_1654:65759:69687:1 gene:Ccrd_022321 transcript:KVH99445 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MPEPLVSSSPSSNEDNDNTFATKKKKSEEETEPKPLVAVGRSRSQAAARRVAPTTTVAVAVSVPEAVAMTSGSPTTEKHLPNGDLYIGSFSGNVPHGSGKYLWSDGCMYEGDWKRGKASGKGKFSWPSGATYEGEFKSGRMEGSGTFTGSDGDTYRGSWSSDRKHGYGQKRYSNGDYYEGTWRRNLQDGQGRYVWKNGNEYVGDWRNGVINGRGILIWANGNRYDGNWENGVPKGHGVFTWPDGGCYVGCWSKENVNFKNPFQPHQISNGTFYPGNNSGGKEDKLGFTPKLSAPLLEDSFVVSTAKKRSSVDGSRGSLTERNFPRICIWESDGEAGDITCDIIDNAEASMLYRDAMGLGRDEIRQFRRNPCCFNGGEAKKPGQMISKGHKNYDLMLNLQLGIRYSVGKHASILRDLKSSDFDPKEKFWTRFPPEGSKLTPPHQSGEFRWKDYCPILDGWLNDFRHLRELFQVDPADYMLAICGDDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIKMLPSYYQHVCRYENSLVTKFFGTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTDKPEDEIDETTTLKDLDLNYVFRLQQSRFNELIKQINRDCEFLEAERIMDYSLLVGMHFRDDNTGDKMGLSPFLLRNGKSDSYQNEKFMRGCRFLEAELQDMDRVLAGRRSDFDQYTPGGFNNLTPSRSGETYEVVLYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKLYSKRFRDFVGRIFVEDR >KVI04391 pep supercontig:CcrdV1:scaffold_1655:93138:107057:1 gene:Ccrd_017296 transcript:KVI04391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANLYPKVGKSKHTPTERSREGIGEDDYELDKMWTSWIYGESELKGHLHSPSYEDQGHHLLGLSHHTTWLLLQLQQRNFQLHSGAWQCDGPPSKAGFLSWYRHGRRREHSLDFGCEFEIIHRDRGTRRRWLCRGGKNKKIQLGVIRPKLSERLKDYGGKMNNNDVRLMDVETSSFMPSSQPMVSKRILRPRILKPLPVEEAVQKRKSTHPTKNEKNLKLARVANEVEDERKYVTAMRLKSILHFSIEYIPSRLGFFVVDNFDDERMVLKLPVGELEITKDSV >KVI04390 pep supercontig:CcrdV1:scaffold_1655:28143:29177:1 gene:Ccrd_017295 transcript:KVI04390 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MRQLQLEDVVIGQYKGHSKGEKTHLGYTEDPTMPNDILTPTFAVAAFFIDNVWWDEVPFPMKAGKALDTRWDI >KVH88088 pep supercontig:CcrdV1:scaffold_1656:15227:26750:-1 gene:Ccrd_024524 transcript:KVH88088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MTEFLDLETQDAVRMPWNVLPGTKQEAAQCIIPVSAIYTPLKPLPNTTPLLPYTPLRCRNCRSILNPFSIVDFSTKIWICSFCLHRNHFPHHYQSITEDNLPAELFPQYTTIEYELQNEKPTSLITSQVFLFVVDTCIIEEEIGFLRSGLSRAIGEIPGNSLVGLITFGRYVCVHELGFFGKMPKVYVFNGSKEISKDQVLEQIGFFLKKARSSMTGVPGTRDGHLQDNVSRFLLPAAECEFALNSVLDELQKDSWPVPGDQRAPRCTGTALTVAAHLLGACVPGSGARIMAFLGGPSTEGPGCIVSKSLTEPIRSHKDLDKDAAPFFHKAVKLYEGLSKQLVHQGHVLDVFACALDQVGVAELKIAVERTGGLVVLAESFGHSVFKDSLLCVFQSGDNELGLSSNGMFEITCSKDVKVQGVIGPCASLEKKGPLCSDTAIGQGGTTAWKMCGLDKSTSLCLIFDISKKEILDIICSSYQSSSGQMRLRATTLSRRWDLTAGFDQEAAAVVMARQVSFKMETEAEFDPIRWLDKSLIHLCSRFGDYQKDNPSSFVLSPRLSIIPQFIFNLRRSQFVQVFNNSPDETAYFRMVMNRENVANSVVMIQPSLISYTFNSGGEPALLDVASIAPDRILLLDSYFTVVVFHGSTIAQWRKAGYQNEPEHEAFAQLLQAPLHDAEAIVKERFPVPPTYNSDAPLVPGGDVLFTDDVSFEVFLDHLQRLAVQ >KVH88089 pep supercontig:CcrdV1:scaffold_1656:61006:62255:1 gene:Ccrd_024527 transcript:KVH88089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 MTGDEFEFWILELREIKNSYYFLDSWTQFNSVGSFITFFPSRFIKLFDSQIWIDKQGSVFFCNVRNVSSNIQYDSIRSSFVQVRDSIQLKGSYDQSRDHFDSISNENSEYHTLINQREI >KVH88090 pep supercontig:CcrdV1:scaffold_1656:35892:49491:1 gene:Ccrd_024526 transcript:KVH88090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3550/UPF0682 MSQSNPSNGNVPVSEVYWSLVAKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRHKLVEAGLKRWEIGDIASRIGQLYFGQYMRTSEASYLSESYIFYEAVLTREYFKDGLLQDLNLANKQLRFFARFLTVCLVLNRREMVYQLVNQLKLLVADCKRTFQDTDFKEWKLVIQEITKFLKADTAFMNIRPLRYSLTLDLHPDSLPPVANVKRKLKLGDAILCSYHPNEVKFSELTLDNFRMLQCLEWEPSGSFYKSSAIAPTVTGTSGQNGGLSGSSRINYSQEISDPTLPPNPRKAVLYRPFITHFLAVLATVCEELPPDGVLLLYISAPEYVGNSVQPNTMHSNAASTSPSSSACDDITTSSEENIPNCPGYHSGGVNLGVKGNGGLNLIYPCDFLPFTRKPLFLVIDSGNSHTFEALNREVKGEPVAMLLSPSSSNGAVDPRQPSGSLFTNFLTTPLQAFLALLGFSGSDIQMDKLNEAEKNICLSLNLWGETLASSDKLHPVWAQVLGDPFIFCRAVLALYAPTFNKKEYLPKCSPALPDCVLPMTPSSYAAVGQIANLFGAATMFNLSEGLMSPDE >KVH88091 pep supercontig:CcrdV1:scaffold_1656:69328:93344:1 gene:Ccrd_024528 transcript:KVH88091 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MVNFDINIFCQCVKIADKGCSSGTNTLLIARTIIDIVDELCNENNRKAPQFQVCLSDLYGRRRDSISALLLFKLFQVPSMVDFFQTKHCTLFTPLIVFIGFLRYLKASTTRRQKYIHGQNKYAKCIRKQFCTDFSKFLELRPKKIFLTFVGRSIADPTSDDGCRHLELLAQSLVDMLKELKDCIEEGKEQNWVKKGNKEHEKDNERASGKENVVEEKDYNKIIAMGCLSNSSFWNHFGVTNMSKTGDMDIKSLIEIEELQDKELDEAQGHRRKINSDSTNFVR >KVH88086 pep supercontig:CcrdV1:scaffold_1656:8150:13780:1 gene:Ccrd_024523 transcript:KVH88086 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase III, clamp loader complex, gamma/delta/delta subunit, C-terminal MNSPHVSPEPPNFLSEDISNLPEKSPSLKPGWTEKVGHFIYHKKKPSPFTEENLEELTSNVEAKRYYSSPYYKGLLNTEKVLGTTPEAEILQNFNLNAPKPPTPGPISPDRESQATTYASSSSRSSFSVKMQEWSASCFSFKIKDEKVPTMIKVYSTEPLNEESLRERGSESPSSPLLAPPSPPVAAPVPPISLPPLPPSPTNRVVINAKDTSEPKPEKKYFWADRYRPEVLKDFICNKDKANELQKTVNEDDCHHFIFEGQAGVGKRTMIWALLRDAFGTDKVQGFEKHVIVELIKETNKLTNKMARCGNDNCRAIILHEADKLSTDALLYIRWVIERYRGCHKIFFCCQDSLKLQPLKTVCKLVQLLSPSNKEVIIGYFLNFVRASSNYLLTTISIIQSFFFVSTFQIIEVLEFIAKKEEIELPKQLAERIAINSKNNLRQAIRSFEATWQYSSPLEEDQVILTGWEDDIADIAESIVVKQSPKQLYDIRRKLQNLIDHSVPPEFIFKTLAVELKKNVEELMHNQIDKMYKEYS >KVH88087 pep supercontig:CcrdV1:scaffold_1656:16851:19991:1 gene:Ccrd_024525 transcript:KVH88087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISILEEEIDSNDSHDVLLLLLKLGFKFDLSLTLCKFITLCFTFEVDFSAIKIHNFLTITDSSFI >KVI08196 pep supercontig:CcrdV1:scaffold_1657:37434:39216:1 gene:Ccrd_013436 transcript:KVI08196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase domain-containing protein MADQQQEHQRKGPPLQHSSSSPSPSTDHINVFWDDGMLDHETGKGVFDTGMDPGFLDALEKHPENADRIRNMLSILKRGPISPFISWHPSRPALLSELRSFHTPEYIDSLLEADKKGGKTFCSGTFLNPGSWNAALLAAGTTLSAIKYILDGHAKMCFALVRPPGHHAQPTQADGYCFLNNAGLAVQYALDSGCKKVAVIDIDVHYGNGTAEGFYHSDKVLTISLHMNHGSWGTSHPQSGTINELGEGAGFGYNLNLPLPNGTGDKGYKYAMTKVVAPAVRKFDPDMMVFVVGQDSSAVSRYFN >KVI08195 pep supercontig:CcrdV1:scaffold_1657:41763:51405:-1 gene:Ccrd_013437 transcript:KVI08195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKSYITLKSVDWTQVQRFYTLVEHRRNQRGNKFYVVSLRSGGKIKYQNTSEDLEYVESETLGTLWIYIQGYADNDVDDGYDDQITRRHVEDNLSKGLEFWSWQKFI >KVI08193 pep supercontig:CcrdV1:scaffold_1657:47390:50380:1 gene:Ccrd_013438 transcript:KVI08193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase domain-containing protein MLPLDKIDANVYTLVENKRNQSKNKFYVVSLRSGGKVKYQNTSQRGTIIFANLMINDKGMSKGYGFVCFSTPEESNNDFDPNGRQCLTMEGYRDIGQIVRNLVDDHCNGRILIVQEGGYHITYSAYCLHATLEGVLNLPCPLLSDPLAYYPEDDAIAVKAIESMKHYQTQAVSFLRET >KVI08192 pep supercontig:CcrdV1:scaffold_1657:53475:60685:-1 gene:Ccrd_013439 transcript:KVI08192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYC/MYB N-terminal MGYLLKEALKTLCGVNQWSYAIFWKIGCQNPKLLIWEECYYEPVIYANAGNGVQARNKVHTLVTKMMKDSYINLLGEGCVSKVSNEVYTQFSADIHQTIAVIPVHPHGVVQLGSSSTIMENMGFVNDVKSLISQLGCVPGALLSENYMQNEGSLDPSPNHREPICLRDSVSTHSSGKSKLTYCTLFTSDGCNQQGHSPQASGTSGHTSFSLSRHIGHSQVASGSMLASPPIIFQSSTKSCEVQEIGASGEVVPQANLYPKNDQQTSFGSFLANCDTLRSMEQHILSDAFLGDLENDTMMSQIARKSNDVRGLAASSNPPPLSDAHFTCTHVDEPKPTFDKEKLEHELFQALTMSSEEACPKAPSRDDLFDIVGMDFRNKLFGGNHNNFVNNGVEPNPQNLTRNDSSVLELQSAAGSDLFSVYEGESDSGIYSSTASDHLLDAVVSKVHTSLAKNNGSSVPVAYSSPIWANQGVHLMQGELVGVPKSLKKTGVTSSYSYKSGISKEDVGNFSDSSSIISSQISSWKEQRHNVRKNSSGVASEYAKRADEISKSNRKRLKPGENPRPRPKDRQMIQDRVKELREIIPNGAKIVSKDGGLLLRDNFEGGATWAYEVDSQSMVCPIIVEDLNSPRQMLVEMLCEERGSFLEIADVIKGLGLTILKGVMESRNDKIWAHFTVEANRDVTRMEIFISLVSLLDCGGNANVSVHEQAATGPTGSA >KVI08194 pep supercontig:CcrdV1:scaffold_1657:28564:33113:1 gene:Ccrd_013435 transcript:KVI08194 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSGAGKTVCVTGASGYIASWLVKFLLQRGYTVKASVRDPNNPKKRDHLLKLDGAKERLHLFKAELLEDGSFDAAIDGCEGVFHTASPFYHAVTDPQKFFCIDGQALLSWFQAELIDPAVKGTLNVLGSCAKTSSVKRVVLTSSIAAVAYNGKPRTPEVVVDESWFSDPDFCKEAKMWYVVSKTLAEDAAWKFAKEKGFDMVTINPAMVIGPLLQPVLNTSAEAIANLLKGSQTYANASFGWVNVKDVAYAHIQAFEIPSATGRYCLVERVAHYSQVVEILRKLYPSSQLPDKCADDKPFVPIYQVSKEKAKSLGIDYIPIEQSIQETVESLKEKNFIGATSSNM >KVI01540 pep supercontig:CcrdV1:scaffold_1658:65072:70671:1 gene:Ccrd_020187 transcript:KVI01540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSATGHRKDSEPSTSSSLQGSEPCRHFEFSEIQQATNDFDESLVIGHGGFGKVYRGNIINGSSLVIAAVKRLDSMSSQGAAEFWAEVEMLSKLQHCNLVSLIGYCNYGKEMILVYEYMPNGTLEDHLHNLGTPLSWLERLNICIGASRGLHYLHTGTGIQVGVIHRDVKSSNILLHENWAAKISDFGLSKISQTNRPSTYVKTVVKGTFGYLDPNYYATGKLTRKSDVYAFGVVLLEVLCRKRAVDTTLDEEQWLNLARWAQESIKEGNLKHIIDSDIRDQISPKCLKEFVRIAEKCLHNNPKQRSTMAEVVVSLESVLTSQEKFNNSMQTPRKTIFGRMVNMLPFPFKGENYGLIPAGRAEQSLAVGRSAGSAVGADHKMFPDKHYDYSGLETFKFADLKWATKNFSKDLHLGSGGSGKVFLGWIDKSTLAPSTCGNGNSVAVRRFNKGRRHVEQWMTEMSLLGGLAHPNIIRLLGYCNDEKHEYFLVYEYMENRSFDHILFTTAKPLSWGIRLIVMIGVARGLTYLHSSKNQVIWRGVKTSNILLDQDFNAKLWDFGLAKFGPKIGKTHFSTVFMEDYVYVAPEEYLQTGYLTVKSDIYGFGVVLLETLTGLRMLDGHRPNEQHSLVDWASQILAKRETLEEIMDPRLQQNYPLVAALKYAELTLRCLAHKPKDRPSSEEVLQDLEQIYDINK >KVI01541 pep supercontig:CcrdV1:scaffold_1658:15445:58295:-1 gene:Ccrd_020186 transcript:KVI01541 gene_biotype:protein_coding transcript_biotype:protein_coding description:MULE transposase domain-containing protein MNLGGPPIGTSFLDLLRNFETNPSNVPLPLPNDNTFEADNDFGFDEPNSQYSEDTDITLWSIAQNGEFKVYESKSSLWLAKCKTLGDGGESSTTMHYTPRCVWYVCAIKKKNHHMWRITRWVDEHNCFGSYIGNNNRNLNSATIASYILHSIQKDVEYPVKQIQADIKNSLNVDVSYWKAWHGRRKAIETIYGTWESNFAELPKYITALKSSNPNTVMNWFHNPNGSSDVVTFKGKMLVAVTKDANNNILPIAYAIVDEESAHSWCWFFYQFRHFVAQDRQLCVISDRHQGIIHAMTNLEEWKEPLAYHRFCLRHIRSNLMKRSQWCLLYDKSRRWGFLTTNISESMNNALRGARQLLIKACIDLTFNRTVQLFRKHSDIAMNCNTPLPSRMWRLFVKRETHAQSHNLTEFDYNEASSDNNTPGYWHPRTQGHPDCITLGQRLSHFDLLSPTQPVQIATILGHRLDRIHPSSGFIILRHWPVQAQAFLVHQAIRVQDLV >KVH88080 pep supercontig:CcrdV1:scaffold_1659:12669:22826:-1 gene:Ccrd_024529 transcript:KVH88080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MADFRFRISKTEPSACVPFTISGVRASVSAIDNAVIIKTIKALMISPKLEFLCWINIDAYQRPSAYEQNARSCEKPYPKPDIWPRSRACFPGRLSSAENWLETRSSAPNAATVRMLAMASRAMDVAREYAPPAKAMSGTMANVRSVSCHTEKEKYQSMMKRKQSVQDKPGTENSAEGNGMTNRVVHRSVPISSIAEDPENITQWGHVVIKRMECSVIISKGLAKFKSEIVVLTKVRHRNLVALLRYYLDGNEKLLVYEYML >KVH88084 pep supercontig:CcrdV1:scaffold_1659:17521:23625:1 gene:Ccrd_024530 transcript:KVH88084 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPTPKVDLQSDSSSAEKNASLSGLFYAADKWDFFLMFFGSVGACVHGAALPVFFVLFGRMIDSLGHLSSHPHRLSSQVSKVNMCILLSSLPHFPTEVKYLPFHFLLQNSLYLVYLGLIVFVSSWIGVACWMQTGERQTARLRFKYLQSVLRKDIKFFDTIAKDRNILFHISSDAILVQDAIGDKVQQSEAGHGLRYLAQFFVGFVVGFTSVWQLTLLTLAIVPLIAFAGGAYTMIMTTLSEKSEAAYAEAGKNLSQVRTVYSFVGENKAVDLYSKSLQNALKLGKKSGFAKGVGIGFTYALLFCAWALLLWYAGILVQHHDTNGGKAFTTIINNLCFCSALGQAAPNLAAIAKGKAAAANIVSMLEEDVDASSKLNTGMAFSNVDGNIEFREVCFAYPSRPNMVFEDLSFSVDAGKKLAVVGPSGEILLDGHDIKNLQLNWLRGLMGLVSQEPALFATTIAENILYGKPNAAMNQIIEASKAANAHSFIQSLPDGYHTQVGEGGTQLSGGQKQRIAIARATLRNPKILLLDEATSALDSESELIVQQSLNTAMSNRTTIIVAHRLSTVREVDTIIVLKNGQVVEKGTHLGLISKGGEYSTLSSLQASEPAKDSTTKGQTSSNFQNSPDNNKKAYYQEVDSVTTMMLHPNDQNQPSISSKNTPSIWDLVKLNQPEWPYAVMGSVGAILAGMQAPLFALGITYILTSFYSRDNSKIKHDVECASFIFVAAGLLAADATLVRSALADRLSTIVQNIALTGFGGDYTITYSRATSMAREAIANIRTVAAFGAEDRVSSQFSAELNRPGKQARLRGHISGFGYGFSQLLAFCSYALGLWYASILIQHKNSNFGEIIKAFMVLIITALSIAETLALTPDIVKGTQALGSVFEILNRKSAINPDDPNSVPVSHIKGDVEFRNVSFRYPMRPDISVLNDLNLKITAGNSMAIVGPSGSGKSTIVGLLMRFYDPDFGSVFIDDLDIKKLNLKSLRQRIGLVQQEPSLFSTTIYENIKYGNEEASEIEITKAAKLANAHEFISRMPNGYETQVGNNGIQLSGGQKQRVAIARAVLKDPSILLLDEATSALDTASERLVQEALDKLMVGRTTILVAHRLSTIRNADSITVLQNGKVVERGAHDTLVRMPESVYAHLVSLQQEKSIQVEGE >KVH88083 pep supercontig:CcrdV1:scaffold_1659:64578:66100:1 gene:Ccrd_024533 transcript:KVH88083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MGIKEFMPAYLDPSLDDKELLTGVSFASGGSGFDPQTPKLLGVLSFTDQLEQFKEYIEKLKGMVGEERTQYILANSIFLVVAGSDDLANTYFTIGIRRLQYDIASYSNLMVSSASNFIKDIYKLGARRIGVFNAAPIGCLPSQRTLSGNVHGXCAEKYNKAAQLYNSKLQAELKYLHSTLDGSRVVNIDIYKPLLAIIENPQQYGLEVVDRGCCGTGNIEVAILCNRLLPTCLDDSKYLFWDSYHPTEKGYNILXNEVVKRHAHEFF >KVH88082 pep supercontig:CcrdV1:scaffold_1659:39360:50526:1 gene:Ccrd_024532 transcript:KVH88082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MQQGDYTSTTPYYHNYPQNPNPNPIPDIHNPPLTYASAPPFTSTTTYHAPPSDYYPSYPQHNPDPLPPTAPSYSPAPPNPNPQSSPFPQFEQSHAPYQSQQPFHPPYDQHQAAPSYPPQPPASIPPNPIPLNHSSSFQYSSSLYNNPNPSSVPVQGPGPVPPVYDGSYDTGYGGAYGRSVSDLAVGGADYYGKRPDVGMSRFESSGGYGGDGYGDGVYAYQGGKVEPYGSRGTAPKSSTWKEQVIFDDFGRPIGLPGKDSPSVSSPKIVKAVPKAETREDVKGGVQKFRVKLLAESGGQSTMDVLCQIGLDGIRMLDPSTSRTLRIYPLDTITRCEVYDSSTFAFWSKSSVDIEPRRIRLQSNSYTTNTLLDTVTAATIQVKEMGGRSKPADAIKVTEQSTEKKKGLGDWMNIIKPPNEEKDHWVPDEAVTKCTGCGSDFSAFNRKHHCRNCGDIFCDKCTHGRIALTSEENAPQVRVCDRCMLEVTHRLSSAKESAASRSSGLQSHEDLAKKLQEELERNHKSSSGSKSEGGSSRRMKEVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAR >KVH88085 pep supercontig:CcrdV1:scaffold_1659:29059:34685:1 gene:Ccrd_024531 transcript:KVH88085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MATTMSKISRLAATGRALAASSTSRRHLSLQTALFCSRNSTYSYAQPSHWFSSLDKVTGGQNCDGWKVCYSSVASAARELHEKDLPQSNRNYVPNDVVLYQYQACPFCNKVKAFLEYYDIPYKIVEVNPINKKEIKWSDYRKVPILMVDGEQMVNSSDIIDKMFQRIHPDSISEDDEAQKWRGWVDNHLVHVLSPNIYRSPSEALESFDYITGHGNFSFTERLVAKYAGAAAMYFVSKKLKKRHNITDERGALYAAAETWVDALNGRQYLGGSEPNLGDLVVFGVLRPIRYLKSGKDMVENTRIGEWFTRMENQMKPHRLQEA >KVH88081 pep supercontig:CcrdV1:scaffold_1659:76646:116326:1 gene:Ccrd_024534 transcript:KVH88081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MMQPLISPYFSSSLLTVFLVSLLFVGDHAAARIILPANVTVPAIIAFGDSIMDQGANNNLNTVVKANFAPYGKDFLAGKPSGRFSNNKTPADMLDQFKEYIGKLKDIVGEERTQYILANSLYLVVAGTDDLANTYFGVGIRRLQYDISSYSNLLVSLASNFIQDIYKLGARRIGVFSAPPIGCLPSQRTLSGNGHKHTCAKKYNKAAQLYNKKLQLELKRLNSTLVQSRIVNVDIYNPLLDIIENPQQYGLEVVDRGCCGTGTIEVAVLCNQLLPTCLDTSKYLFWDSYHPTEKGYNILINQLINSHFCFFIFFFLIIYLTYMMQPLIFSYPSPSSLTIFLVSLLLVGDHGSARITLPENVTVPAVIAFGDSIVDQGANNNLNTLVKANFVPYGKDFVGGKPTGRFSNNKTPADMIVEQLGIKELMPAYLDPSLDDKGLLTGVSFASGGSGYDPETPKIAAVLSLADQLDQFKDYIEKLRRIVGDERTQYILANSLFLVVAGSDDLANTYFTIGIRRLQYDIPSYTNLMVSSASNFIKDIYKLGARRIGVFNAPPIGCLPSQRTLGGNGLRMCAEEYNKAAQLYNSKLQPELKHLNSTLAQSRIVNIDIYRPLLAIIENPLQYGLEVVDRGCCGTGNIEVAILCNQLLPTCLDDSKYLFWDSYHPTEKGYNILINQVVGEYLSDFF >KVI02023 pep supercontig:CcrdV1:scaffold_166:105147:106673:-1 gene:Ccrd_019695 transcript:KVI02023 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MKSNTSGFVGFPDNDPDSDIPVDDENLLKNRPILDRIIVISAGVIANIVFAYIIIFAQIVFVGLPVQEAFPGVIVPEVRPFSAASRDGLLAGDVILSINEIELPKTVPNSVSKVVDIIKKNPKSVVSFKVNRGGKDFLINVTPDQNMDGSGRIGVQLSPNVRILKEKPKDVLQAFSFTGREFWGLTFNVVDSLKQTFLNFSQSASKVSGPVAIIAVGAEVAKSNVDGLYQFAAILNINLAVINLLPLPALDGGSLALILVEAIRGGRKLPLEVEQGIMSSGFTLVFVLGLFLIIRDTLNLDFIKDL >KVI02028 pep supercontig:CcrdV1:scaffold_166:179013:182430:-1 gene:Ccrd_019690 transcript:KVI02028 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MIYVFFMVLFAEMAIILLLMFKTPLRKLLILALDRMKRGRAPLIVKSVVATIFTIMLYQIYNVSHMRRRPGDAIGPTDQIILAYQMLEASLIGFSIFLSLMIDRLHHYIRELRMLRKKQNQTPEGGKNNAVDEINALNEEISRLTAKIRRLESENANKEKKIKSAEMDSGGLKNQSEGFRVEYERLQSENRSLTDGLRTIEGTLSNSGGKKDK >KVI02029 pep supercontig:CcrdV1:scaffold_166:204545:291125:-1 gene:Ccrd_019688 transcript:KVI02029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MGTPIKASLLALFLFFLLSPTAFSVSNGGLLRVGLKKRKVDRLDQLRAHGVHMLGNARKDFGFRRTLRVSGSGIVALTNDRDTAYYGEIGIGTPPQNFAVIFDTGSSDLWVPSSKCYTSTTEEDDTVFLARDFDGILGLGFQEISAGKAVPVWYNMVNQGLVEEAVFSFWLNRNVDEEEGGELVFGGVDPNHFRGNHTYVPVTRKGYWQAIITQINQAIGAKGVLNQQCKTLVSQYGKKMIQMLTSEVQPDKICSHMKLCTFDGARHVRSMIESVVDKNNDKSSGDEICTFCEMALVWMQNEIKRNETEDNIINYVNELCDHLPTSSAESIVDCNGISSMPNIAFTIGSKLFELTPEQYIFKAGEGEAAICISGFTALDIMSPQGPIWILGDMFMGPYHTVFDYGKLRVGFAEARKVDRIDQLRGRRAYMEGNARKDFGFRGTVRDSGSAVVALTNDRDTSYXGEIGIGTPPQKFTVIFDTGSSVLWVPSSKCYNSDFIEATDEADNVFLHRLFDGILGLSFQTISVPVWFSFWLNRNVDEEEGGELDFIEATDETDNVFLHRLFDGILGLSFQTISVPVWILRPWLSSICRLWNLFVGGSNANVLALFLFYLLSPTVFSVSHDGLIRIGLKKRKVDRIDQLRGRRALMEGNARKDFGFRGTVRDSGSTVVALTNDRDTSYFGEIGIGTPPQKFTVIFDTGSSYLWVPSSKCINSDFIEATEEADNVFLNRYNMLNQGLVKERRFSFWLNRNVDEEEGGELVLESVTFLLEIKVPAIVTQINHAIGANGVMNQQCKTVSVVDKNNDKSSGGIHDEMCTFCEMAVYILKVGKGEATQCISGFTAMDATLLGPLWILGDVFMRPYHTVFDYGNLLVVVLFSFRSSHIALSLRLLHCFHQITASVLALFLFFLLSPTAFSVSNGGLLRVGLKKRKVDQINQLSGHGVSMEAKARKDFGFDGALRDSGSDIIALKNYMDAQYYGEIGIGSPPQKFTVIFDTGSSNLWVPSAKCYFSVACLFHSKYKSSHSSTYKKNGTSAAIRYGTGSISGFVSQDSVKLGDLVVKEQDFIEATKEPGITFLAAKFDGILGLGFQEISVGKSISAYPVRYNMVNQGLVQEPVFSFWFNRNADEEEGGELVFGGVDPNHFKGKHTYVPVTEKGYWQFDMGDVLIEDKTTGFLISGFPFQDFALMVVQQLPTLEPLCWQGVMSQQCKTLVSQYGKTMIEMLLSEAQPDKICSQMKLCTFDGARDASSIIESVVDENNGKSSSGVHDEMCTFCEMAVVWMQNQIKRNETEDNIINYVNEVKILGDVFMGRYHTVFDYGKLRVGFAEAA >KVI02034 pep supercontig:CcrdV1:scaffold_166:306148:313760:-1 gene:Ccrd_019687 transcript:KVI02034 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDAGDDHMHRFNLYSSPSHHDHKPLNEMDFFANNDLHHQSNFNDDDNSDHLHHNINTGLHLLTRNTNNDDQSVPDDGMSMNCEDKQTKNKLADVQVELEKMNTENQRLKQVLDQVTSNYNSLQMHMTTMMKQKQEEKPNDDRKPSKDGGGDMVMVPRPLTDLGLAAPATVDTDENSRSSSEGRSHDEIPRSPNTNIDGRDRREHSPEQVLGCSNKVPRLNDSKNDDNIDQATEATIRKARVSVRVRSEAPMITDGCQWRKYGQKMAKGSPFPRAYYRCTMAVGCPVRKQVQRCAEDKTILITTYEGNHNHPLPPAAMAISSTTSSAARMLLSGSMPSSDGIINSNFLATTLLPCSSGMATISASAPFPTVTLDLTQQQMFSGQNAALLPQIFGQGLYNQSKFSGLQSSQDTVAGTLSEMNQGPSRAALADTVTALTNNPNFTAALAVAISSILGGGGGGGDDGSHPNSAVNNTIYGNNNNGSVATRNDKDKKSCFRGN >KVI02021 pep supercontig:CcrdV1:scaffold_166:59846:60409:1 gene:Ccrd_019697 transcript:KVI02021 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MISSSSDHPASCSDMSLREIPGDYGWRFFEPIKDRYDYFLQPRQGPFISSNPRVVAVLDSKSFTVLFDNTKVEKKNILDGTYMPSTAFFSGYRVCAFLDTAESNHHALKSFFLSFLASSHMKFIPYLRTSLSELFVNLENDISDKKSADFNDNSDNMAFDFVFRLVTGVNPSDTKLQSKGPGTRARI >KVI02030 pep supercontig:CcrdV1:scaffold_166:193192:194223:-1 gene:Ccrd_019689 transcript:KVI02030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGWTRGGVLGRGSSATVSTATSTTGEVFAVKSAALSQSETLQREQQFLSILRSPYVVSYKGCEITKEDNRLMYNLLMKYMPEGTIVDAIGRRKRGRLSESEISNYMGQILRGLEYIHSNGIVHCDIKGANLLVGKGGGVKIADLGCAKWVGEDVAVRGTPMFMAPEVARGEEQGFAADIWAVGCVVIEMATGGSPWTNVDDPVSVLYKIAFSGESPEIPSGFSRQANDFIRKCLIQDPKERWGATELLKHPFLRRFNRKTQQIVDQDFSKGSPTSVLDQDVWSSMEVSASVGIDSYQPPCSSNSLRQRIKQLAGNPHKEQPNCEEEINWMMIRSNQNSWELEG >KVI02033 pep supercontig:CcrdV1:scaffold_166:325465:330526:-1 gene:Ccrd_019686 transcript:KVI02033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MALLYGSRVFFNLNFKASKLSRTLTHSYPRFQVRSMATSEMKKIQIQRDDTTFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHALKISKLEPGYKALIPDLYRGKVGLDAAEAQHLMDGLDWQGAVKDIQASVNWLKANGSQKVGVTGYCMGGALAIASSVLVSEVDAVVAFYGVPPPELADPTNTKVPIQAHFGELDNIVGFSDVKAAKGLEEKLKESGKPFEVHLYPGATHAFMNTSPEGIERRKGMGATDENEATAELAWSRFQSWMSCYLAA >KVI02031 pep supercontig:CcrdV1:scaffold_166:345770:348259:-1 gene:Ccrd_019684 transcript:KVI02031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEVPSDLIIQSQIDFREDAGLSSCYQTLKSLPSLQESISSLHPSSPRLRCTRCKGKLLRGSNSIICIYCGLCQANHIPPDSILFKSTTAYRWLLQSLELNGTERIGSFDEEYRKTNGATPVEDEKTISEILDLQISWRDECEKPEIGFVNKTFSHISSSLNFSKVELDNFTGSQRDIGFDVSEEQPVTSKGERNDEIVDEQNLSLFQNFPSSETAATSSNSRGESSSGSEVEIQSVNYRIQNEDSKTASESNELHGDSSSGDDAEVRSVNSRTQNEDSKTGRISSDLSNNASYGHDAEIQSVNSGIQNEDLKTAALSSDLRDDSSSGDDAEIESVNFRIQDEDSKTAAIPSDLSGDASPGRFAENQSLNSSTQIEDSKTDATSSDSSGESSSEDEIEIPTVESWTQYEDSKTVGSSDLSDDASSGEDAEISVDSGTQNEDSETADLSGEYSAGDDEETQSVDSMAQNEDSTIAAISPDFRGDSSSGDDAETQSADSRTQNKDSTIAAVSPDFRGDSSSGDDAETQSSDSRTQNEDPTTAAVSNDLRGDSSGDDTEIQSVDSRTQNEDSTAAAVSHDLHGDSSSGDDAEIQSVNSRSQNEDSNIAGVSPDLHGDPSSGDDAEIQSPNSRTQNADSKSAAISSDLSGGSVSGDDAEIQPVDSRMQDEDKDSKSVFVGSEADLSAPMDSDSGYMEDLNDENQNNNLGPLPPTGDDRIQDDPIHED >KVI02038 pep supercontig:CcrdV1:scaffold_166:969:14746:-1 gene:Ccrd_019700 transcript:KVI02038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MAASSSRGRSSSPFHSRKPSSPFSSSSSSSSFKQTVPQSSSSATTFYGGSGNGHGSRSGTLGRTTSDSMYSRGGYGGGSPVGYPSADEMIDEPIDDISRAAGGDSISVTIRFRPLSEREYQRGDEIAWYADGDKLVRNEYNPATSYAFDRVFGSFAETHEVYDVAAGPVIKAAMEGINGTVFAYGVTSSGKTHTMHGDHTSPGIIPLAIKDVFSIIQDTPGREFLLRVSYIEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHIGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGRASHIPYRDSKLTRILQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRIEIYASRNKYTENKMLISLSHALKTCILQIIDEKSLIKKYQKEISALKLELDQLRRGMLVGVNPEEIMTLKQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTIPGLTDVSAHLGSPSANDGDNGTLLLHSDIQNELISSNFSAADSSITESTQMGEVISGSARGAKLLTGGLSIDEMDLLVERVKMLAGEIAFSTSTMKRLVEQSANDPESSKTQVDQLEREIEEKRRQMRALEKQIVESNEASISNTSLADMQQTMMKLMTQCDEKGFELEIVTADNRILQEEWQNKCAENKELQERIMLLEQQLAMADNEKSSTSLEQHGSKEYIDELRTKIKIQEVENEKLKLEHVQILEENSGLCVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLENAKLEKELMAACELANTKPGNGGSRKYDAIKPGRKGRSGRVKDAYDDVDRSNSVIKERHVSDVPQTAHGSNARDEGEGGELRWEWRCKFSYIRQQQQCFFLAGILVCVNLVHLPALNVQFAGPRLQIEFLLSLLDICSTSLTMKDD >KVI02019 pep supercontig:CcrdV1:scaffold_166:35165:37875:-1 gene:Ccrd_019699 transcript:KVI02019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho-like protein MAHLCRKLLRLKLKRTIIWRVAVLRRCAGSLWDQVLACWIGKPIQYRQILESGPTATVCHHFPSGEMGSSCGDGIVSGEMRTVSAVGDRNKDASDLVALKITLLGDNLIGKTSFLAKYIGKEKKHDDFPATEVKQMDKTLSVRGARISYSIWEVGGDAFSADDCGSTAAIRVACKDSVAILFMFDLTSRCTLNSVIGWYQQARKYNQTAIPVMVGSKFDDFIELPIDLQWTISSEARAYAKAINATLFFSSASYNINVNKIFKFVTAKLFNLPWNLERNLKVGEPIIDF >KVI02022 pep supercontig:CcrdV1:scaffold_166:91637:100199:1 gene:Ccrd_019696 transcript:KVI02022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MGGGGGVAAATTVVESREWYLAAIAPENLPTSDHLKLRTVTVSLDYESIPDEQMALQLLLISVDPYLRSRITGRDNGIRDREGRVPGFTAWVGIKVIGNPDPGSNVFISAAAGGVGMFAGQLAKLKGCRVVGSTGSDEKNPAEREGLKNLLNIVGKEVKMEGFLCGSFLNRFGEFSQQMEKYMKEGKIKPKHKIDQGIESFFENFVSLFSSSNVGKVIIQVAS >KVI02036 pep supercontig:CcrdV1:scaffold_166:356981:364373:-1 gene:Ccrd_019682 transcript:KVI02036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2/barren MTEALSPNPTVVQKQRTTVLSPVSTFFLGSNNDKLERAQARAARAALIRRKPVTLNNAADANPTDPFLGQEQIMEMFQNCIKLASENKINQKNTWELNLIDHLCDIIKVEEENDVETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGINHSVEDGNTDADQGKQNSTKEQERKLSPLSTLESSFDTLNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYGGCRVLFDSLEVPGKCMSCSVQSDKAETIDISHAKECMEEMMINISKNNEISPSLKDIINMFDENNRRPPDTFTFAQNSIESGYEAYDNDDFDGDLDDNSKTWDFIDDNKMNDRFVGVDDFLFLRLGVTARQNAWAGPDHWKYQKSKGPGDAAKENGSPLLPKKPRNRKQVELDIEFVKELDKDVADPFVPPKNLKSLLLPANKEPCNTTLPEDCHYQPEDLVKLFLLPNLLCLGKRGKRHSDELHQEEVNGAFPSWDDDCGIGGQFDDGNAYSDVEDSSTLVSQPRQVNKIEVQYDKKSKQVDVHILKETLWSSMQEIHRSAEEETLSFKNILASFPAEKKRPAAASIDDISSHLCFICILHLANEHGLSIHGSPNLDELTIHLPSHPDIL >KVI02024 pep supercontig:CcrdV1:scaffold_166:109039:124742:1 gene:Ccrd_019694 transcript:KVI02024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQLILFSLLALTFGCLALAYEPKPLQDFCVADPNSSVKVNGVTCKNPMQVQAQDFFFSGLHLRGNTSNPQGSRVTPVFATQLPGLNTLGISMVRIDYAPWGLNPPHTHPRATEILTVLEGSLQVGFVTSNPENRFITKVLQKGDVFVFPVGLVHFQRNIGNGYAVVIAALSSQNPGAITIANSVFGANPPIPREILAKAFQVEASMVDQLQAKGGSIXGAITIANSVFGANPPIPSDILAKAFQVDTSSLQVGFVTSNPDNRLVTKVLQKGDVFVFPVGLVHFQRNVGNGYAVVIAALSSQNPGAITIANSVFGANPPIPSDILAKAFQVDTSMVDQLQAKVTPVFATQLPGLNTLGISMVRIDYAPWGLNPPHTHPRATEILTVLEGSLQVGFVTSNPENRFITKVLQKGDVFVFPVGLVHFQRNIGNGYAVVIAALSSQNPGAITMANSVFGANPPIPSDILAKAFQVDMSVPNKDCGSMAATFIFFILLAAFSLSILGVFSFEPAPMQDFCVADPTNLVRVNGMACKNPMFVQADDFYYSGLHINGDTRNSYGYRVTPVTVYQIPGLNTLGLSMIRIDYAIWGANPPHTHPRATEILTVLEGTLQVGFITSDPQNRLITKVLQRGDVFVFPVGLVHFQRNLGHSNATAIAALSSQNPGVISITNTIFGSNPPIATEILAQAFRVDNKTFLSSDLKMAKQISHFTLVALALCYLAFAHEPKPLQDFCIADPNSSVNVNGMTCKNPMQVQANDFYFSGLHLMGNTSNPLGSSVTPVTVAQLTGLNTLGISMVRIDFAPWGINPPHTHPRATEIITVIEGSLQVGFVTSNPDNRLISKVLNKGDVFVFPVGLLHFQRNIGNKYAVVIGALSSQNPGAIIIGNAVFGSNPPISSDILAKTFQVDKKLVDQLKAKF >KVI02026 pep supercontig:CcrdV1:scaffold_166:147625:155769:1 gene:Ccrd_019692 transcript:KVI02026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSRSSDPSDKGKSVVEISDYEDDGHSSSDSDYSEYSQEGLDNDEDLVEEDSEVDEPKRRKIGSRREKIKNQEREEQLDEEMVVEVDHVEPVGEDVAVVKKITNKKGKKTRPTLMWEVWEEETEKWLDQHMTTDINLDNLNEVVVETVDASPDLIMPLLRYQKEWLAWALKQEESASRGGILADEMGMGKTVQAIALVLAKRALCREIGEPVGLSTGSSTGLPCVKATLVICPLIAVMQWVNEIDRFTAKGSNKVLVYHGANRAKTLCEFSQYDFIITTYSIVEAEYRKNVMPPKDRCQWCGKMFYKKKLQIHLRYFCGPDAVKTDKQSKQSKKRGKHGVKLSMSKEDPSAEGDDKKKASDKNTKRQRKKEQLEAGGSSESPSGSSYKSSQKSILHSVKWTRIILDEAHYIKDRRCNTTRAVFALESSYKWALSGTPLQNRVGELYSLVRFLQVTPFSYYMCKDCDCKVLDSPNSVCQDCPHKSVRHFCWWNRYIANPIANGGRTDQGKRAMILLKDKVLKSILLRRTKKGRAADLALPPRIISLRRDYLDITEKDYYTSLYSESQAQFNTQVFFLSLYIEAGTLMNNYAHIFDLLTHLRQAVDHPYLVVYSKSAVERRAGEAGSTDEQVCGLCHDVAEDPVVTSCGHLFCKPCLIEFSASYGQPACPSCSKPLTVDFSSNKDQEDQKPKMSVKGFKSTSIINRIRLEDFQTSTKIDALKEEIRFMVERDGSAKGIVFSQFTSFLDLISYSLQKCGVKCVQLDGSMSMAAREAAITRFTEDGEVVRFIIENTIEERILNLQEKKKLVFEG >KVI02032 pep supercontig:CcrdV1:scaffold_166:338566:341323:1 gene:Ccrd_019685 transcript:KVI02032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rcd1 MQSPYSRSNRSFPRITLLKVDRNHVIELQTIRVLVLQAMASHPDTKMAIVKAKIPVYIYPFLNTTEQRHKHFDYLRLTSLGVIGALVKVAAFVVSKILMYEEGQRYCGSFPERFYAVVQVLAKIVDQFPGKPSLQLLKHILTCFMRLSEVSRKTLLFEGLLIKCCSM >KVI02035 pep supercontig:CcrdV1:scaffold_166:364398:410674:-1 gene:Ccrd_019681 transcript:KVI02035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLELNLGFRLVIFVFLSSIGFCSLVQSRCNTGCDLALGSYYVESGNDLTSIAQYTIKDIATIVRYNRDTIRSQDSLPSFIRINIPFSCDCINGEFLGHVFNYDVRSQDTYAVIAEQRYANLTTADWIGRFNNYDPNRIPDTGSINVTVNCSCGDDSVSSDYGLFVTYPLRQGETLNSVSSAANLSSDLIRRYNPDANFSAGRGLVYIPGRGLSGGAIGGIAVGVVAGVLLVAGCLYCGFYRKKHSEKSLSLLKNAQVQLMQSAQTPNGTSVGGSDSRGLPAGASPGLTGITVDKSVEFSYEELAKATDDFSLANKIGQGGFGAVFYAELRGEKAAIKKMDMQASREFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLHGSGRDPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFHGKVADFGLTKLTEVGSTSLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKANGDVAESKGLVALMAQLAKACTHENPQLRPSMRSIVVALMTLSSTTEDWDVGSFYENQTLVNLINPNHLLRNLSHNLSTLVNPRKPSSQLPSKQTKIKERSQIVDYFKRARTYEEMIKAFESMDASFDHHELDLASCKSDSNSMKKVQESVPYLEDATERLKENFGSKHFGVGYVQVMLELNLGFRFSAFLLLLTSIDFCSLVQSRCNRGCDLALGSYYVESGNNLSSVSQYTINDIDTIARYNRDIIHIRDSLPSFNRLNIPFSCDCIAGEFLGHVFNYEIQSGDTYDVIAELRYANLTTADWIGRFNTYDPNVIPVNAPLNVTVNCSCGDDSVSREYGLFVTYPLRQGETLNSVSSAANLSSDLVRRYNPDVNFGAGRGLVYIPGPDANGNYPPLRTRWSHRWNNCSSSSWDAVTCRMLILWIIKESTDSTYAGCSKFVSFYNLFPNGSSVGGSDSRGLPAGVSPLFTGVTVDKSMEFSYEELSKATNDFSLANKIGQGGFGAVFYAELRGEKAAIKKMDMQASREFLAEMKVLTHVHHLNLVRLIGYCVEGSLFLVYGYIENGNLSQHLHGSGMDPLPWSARLQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDENFRGKVADFGLTKLIELGSSSLRTRLVGTFGYMPPEYARNGTVSPKVDVYAFGVVLYELISAKEAVIRANGSDAEAKGLVALPDPEADMVKLIDPRLGDSYPLDSVRKMAQLAKACTRDNPRLRPSMRSIVVALMTLSSTTENWDAGSFSGNQTLLNLGFRFAALVLLLSSIGFGALVQSKCHRGCDLALGSYNVEEGNDLISISTYINNDVNSIIKYNPTTIPESDNHEIQSPVRINVPFSCGCINGEFLGHVFNYEVQSQDIYEVIAEQKYANLTTAAWIQMFNSYDPNRIPDTARINVTINCSCGDSSVSREYGLFVTYPLQEGETLDSVSSSANLSSDLIRRYNPDVNFSAGSGLVYIPARGLSGGTIGGITVAVVAGVLLLAGCLCYGIYRKKDSENSSVLLKNAQVQLMQAAQNGGSDSRGLPAGASPGVTGVAVDKSVEFSYEELSKATDDFSLANKIGQGGFGVVFYAELRGEKAAIKKMDMQASREFLAELKVLTHVHHLNLVRLIGYCVEDSLFLVYEYIENGNLSQRLHGSGMDPLPWSARLQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFHGKIADFGLAKLTEVGGASLPTRLVGTFGYMPAEYARYGTVSPKVDVYAFGVVLYELISAKEAIVKANDSVTEPIGLIALMAELAKACTHENPQLRPNMRSIVVALMTLSSTTEDWDIGSFYENQTLEREKFITFLLHNFQKDPNSSTLPILDTHFSATSSRNRKDTDDLKPKEIRILVQN >KVI02025 pep supercontig:CcrdV1:scaffold_166:138874:146014:1 gene:Ccrd_019693 transcript:KVI02025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGEQRCITSSFLALVLLLLLQLINGEDPYRFFTWNVSYGDIYPLGVKQQGILINGQFPGPLIESVTNDNLVINVFNSLDEPFLLSWNGVMQRRNSWQDGVFGTNCPIPPGQNFTYNLQVKDQIGSFFYFPSLAFHKAAGGYGGIKIASRSVIPVPFAPPAGDYTILAGDWFKQNHTDLKAILDNGNDLPFPDGILINGRGSDGFTFTVDQGKTYRFRVSNVGLTTSINFRIQGHKLILVEVEGTHSLQNTYDSIDIHLGQSYSVLVTADQPPKDYYIVVSTRRNLTASGPRPNPQGSYHYGLINTTRTIRLVNSAPVINGKQRYAVNSVSFIPPDTPLKLADYFKISGVFSLGSIQDSPTGGDHLQTAVMPADFRGYVEVVFENPEDTVQSWHVDGHHFFVVGMDGGQWSTASRTGYNLRDTISRVTVQVYPKSWTAVYMPLDNVGMWNVRSENWARQYLGQQFYHRVYSPANSWRDEAPIPNNVLLCGRAVGHHQP >KVI02027 pep supercontig:CcrdV1:scaffold_166:157986:164324:-1 gene:Ccrd_019691 transcript:KVI02027 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MLNKQPPPPPPQIELSVDSTIVQSDTNHNTILIIIKSLVFPVISRCHAGYFRITLSLCCQTLLWKTLKDPPENAHAYRRMLGVLPSTAFLLLWSLSLLILATLSILYILRCALFSDMVKSEYLNHIGVNYLFAPSISWLLLLQSAPFFTPKNIYYLLLWWVFVVPIFVLDVKIYGQWFTKGKRILSTVANPASQLSVVGNFVGARAAAMMGWKESAMVLFALGMIHYVVVFVTLYQRLSGNSCLPTMLRPVMFLFIAAPSMASLAWDSISGTFDFSSKMLFYLSLFLFVSLVTRPNLFKKSMKKFNVVWWAYSYPLTVLALASTEYAQEMKSSIAHLLMLLLSALSVMVSIVLMVYTALNTNTLLPPDETYNPTIVTLSPITISS >KVI02037 pep supercontig:CcrdV1:scaffold_166:351038:355491:1 gene:Ccrd_019683 transcript:KVI02037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1068 MAVNRAGSCNPAVVKIGIVFLGLSLVGYLLGPPLYWHLLEGLAAVRRSSSAASCPPCNCDCDSDSRPVLSIPQGLGNGSFTEKNFAELLSEELKLREAEALESQQRADMALLEAKKLTSQYQKEADKCNSGMETCEEAREKAEAALASQKQESALWEQRARQRGWKEGGVRARVQSQGGIETF >KVI02020 pep supercontig:CcrdV1:scaffold_166:49711:58718:-1 gene:Ccrd_019698 transcript:KVI02020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase large subunit, alpha/beta/alpha MYVTGPHSAALLRRASRSSSRLHSSISRTQTSPTPSSDPSPIASQYRSYSGASSAFRSVRSSVPRWSHGVDWKSPATLTSQIRIAASPVLDRFHRSLATMASQNVFNGILTGLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWEKTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSKAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFHGDGVGKISLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDTVAMIEAYLRANNMFVDYNEPQQERVYSSYLELDLTDVEPCISGPKRPHDRVPLKDMKADWHSCLDNKVGFKGFAVPKEAQDKVAKFSFHGQPAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLKVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGYGCTTCIGNSGDLDESVGSAITENDIIASAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKDVYFRDIWPSTQEVADAVQSSVLPAMFKSTYEAITKGNPMWNDLSVEESKLYSWDTKSTYIHEPPYFKNMTMDPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLEHGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKLLNGEVGPKTVHIPTGEKLSVFDAASRYKAAGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADTLQLTGHERYNIDLPSNISEIRPGQDVTVTTDSGKSFTCTVRFDTEVELAYFNHGGILPYVIRQLTTQK >KVI11137 pep supercontig:CcrdV1:scaffold_1661:33786:34037:-1 gene:Ccrd_010452 transcript:KVI11137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTELTTINEEEMLRTKLTTIDEEPDTYNQKNNNGAKPPRKRGKKEQDLGRVFTTQFSLKNSYALFLNRMTSSRSLGGRLAY >KVI11141 pep supercontig:CcrdV1:scaffold_1661:459:1085:-1 gene:Ccrd_010455 transcript:KVI11141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIIGIVLLLQIQRKPVLLFQNEIADYSFFYVLLMYVNMNLASSFSVTNLLTYNQHLLEPFLNEYISMEKWSILQKSLSGLFKLIYGCSMILSCIMLGRIYINQLSNHSLDFMGYRSSVRLKRSMVRNQMLENAFLIDNAIKKFDTIVPIMPLIGSLAKSKFCNALGAFYQ >KVI11138 pep supercontig:CcrdV1:scaffold_1661:9749:26308:-1 gene:Ccrd_010453 transcript:KVI11138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTELTTINEEEMLKTKLTTIDEEPDTYNKKNNNGAKPQRKRVKKEQDLGRVFTTQFSLKNSYALFLNRMTSSRSLEVKAWVIQRFYSVGNVFLPLSLELNHKLSNAEQIWRSDEDLCSHILALQGLYLGSVGAANNKSLLKSLNVTHILTAANSLPPAYPNDFTYKIVDGKKVSEELDLL >KVI11142 pep supercontig:CcrdV1:scaffold_1661:68407:73356:1 gene:Ccrd_010451 transcript:KVI11142 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAF domain-containing protein MASSRPNESSSNSARSKHSARIIQQTTVDAKLHANFEESGESFDYSSSVHVSSTVGGGEQPRSDKVTTAYLHHIQKGKLIQPFGCLLALDEKSFKVIAYSENAPEMLTMVSHAVPSVGENPLLGIGTDVRTIFAGPSATALFKALGFGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVMPYEVPMTAAGALQSYKHAAKAIARLQSLPSGSIERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVAEITKPGLDPYLGLHYPATDIPQAARFLFMKNKVRMICDCRAKNVKVLQDKKLAFDLTLCGSTLRAPHSCHLQYMENMTSIASLVMAVVINDMDEDGENSDPAMNPQKRKKLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHINKELELENQILEKNILRTQTLLCDLLMRDAPLGIVSQSPNIMDLVKCDGAALLYKNKVYRMGVSPTESQIYDIVSWLYEYHMDSTGLSTDSLYDAGYPGALALGDVVCGMASVRLSEKDILFWFRSNTASEIRWGGAKHEKGETDDGKRMHPRSSFKAFLEVVKNRSFPWKDFEMDAIHSLQIIMRNALKDNDSVELKTDVIQTTFNDLKLDGMQELEAVTSEMVRLIETASVPILAVDVDGLINGWNTKIAELTGLPVEKAIGSHLLKLVEDSSVDTVQKMLNLALEGKEEMGIQFEIKTHGSRREYGPITLVVNACASRDLHESVVGVCCIAQDITHQKTIMDKFTRIEGDYKAIIHNPNPLIPPIFGTDEFGWCSEWNQAMSKLTGLPKGEVIDKMLLGEVFGTQTACCRLSNKEAFVNLSIVLNKAMTSQEPQKISFGFYAKNGRFVDCMLCASKRVDSEGTVTGIFCFLQLASKDLQQAIHFQRMSEKIAAKRLKALAYIRRQIKNPLSGIIFSREMMEGTELGDKQKELLCTSALCQRQLNKVLDDIDLDSIVDGFLDLEMTEFTLQQILGASMSQIMTKSNIMGIETVNNVSDDMLSQKLYGDSVRLQQVLADFLITHTGGGVPEELLRQMFGSSADATEEGISLVISRNLLKLMSGDVQYLRESTRSTFIISVELAYAGANIP >KVI11140 pep supercontig:CcrdV1:scaffold_1661:20269:20968:1 gene:Ccrd_010454 transcript:KVI11140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTKNYPFWAGIFFCLSSSSVSLLYYHKTNSFPLLLILFLRPQNKKTFLVRNRALSSSQNITMVVWMYHLDQRTDFIYQSDLMYHNLIDTYRSHLPVDLISQILQNPRIWSW >KVI11139 pep supercontig:CcrdV1:scaffold_1661:95187:105661:1 gene:Ccrd_010450 transcript:KVI11139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MVTTLVPKVVMVVVDEGRRQWKENEQRGGDGQVVVDMRWLSTVIPTCFKMFVLQIFLQMISSLLGWRCLPSPTNTFGATVTSASVQQITGLNTLGVSMARITWRSEPTTHPPKSHRDRGELDVGFITTENKLFSKTIKTGEIFTFPRGLIHFQINNGKVPAAVIAGFNSQLPGTQRVGGKRFVCFVSNDGRFCVESRIPNWYRK >KVI08197 pep supercontig:CcrdV1:scaffold_1662:34203:41743:1 gene:Ccrd_013433 transcript:KVI08197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-NADP+ reductase MAAAHLGVAYQGSVAAPLNSDSLLHKPFLKTQKISFRDKPWMREPKSKIVPSRYQSVVSMIVPQAVNKAKVLVSPLDLEDAKEPPLNLHKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVVPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNAPYRGYLRRMFMESVPKYKFNGLAWLFLGVANSDSLLYDDEFSKYLVDYPDNFRYDRALSREQKNKNGGKMYVQDKIEEYSDEIFRMLDGGAHIYFCGLKGMMPGIQETLKRVAVERGESWDAKLSQLKRNKQWHVEYMIFTAESKQVLMLGWVKWLSGWDNYQTWCAT >KVI08198 pep supercontig:CcrdV1:scaffold_1662:40857:51021:-1 gene:Ccrd_013434 transcript:KVI08198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MKSNNSHSTEEDLKQETSPTNSPLTTLDSRFNQTLRSVQGLLKGRSFPGKVLITRRSDPPDGTVLGSPGVNRSPSEIDRSPSKHMDDPDEDKIHRNKPNTSTTVNKLKPSMSNPEDKSKEAPRFVMGARATDTARLMKFTKVLGGTTVILDKLRELAWSGVPPYLRPNVWRLLLGYAPPNSDRREGVLRRKRIEYFDCVAQYYDISDIERTDEEINMLRQIAVDCPRTVPDVTFFQQAEVQKSLERILYTWAIRHPASGYVQGINDLATPFLVVFLSEHLEGSVDNWSVADLDPEKISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVAKHMDEHGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSDKLLKLDFQEMVMFLQHLPTNNWSHQELEMVLSRAFMWHTMFDSCPNHLAT >KVH98160 pep supercontig:CcrdV1:scaffold_1663:13543:19891:1 gene:Ccrd_023619 transcript:KVH98160 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEKLDKEMNKSFSEPDSSENEKTPPGLLWMLFQHADWKDVVLMALGTSGCFIDGLSVSAMMLVFSRLMNGYASVSSLTSADVNQIPNFITNIWMFIAAEITGMYICWRLAIVAVPVMFLLILPGSFYGKQLSSNEEKLQEAYAVAGGIAEQALSSIKTVHSYVGEEKMVKRFSTALGPTLILGIKQGLLKGMVFGSMGIIYAIWALLSWYGSILVIDKGIKGGDILSAGVCVVYGGFGLGSSFMNIKYFAEASISAAVISEMIDRIPAIDSADQQGTTISAVKGELEFKDVDFAYPSRPESLVLRKFNLKVKACQTVGLVGRSGSGKSTVINLLERFYDPLEGAVLLDGISIKSLQLNWLRRQLGLVSQEPILFATSIRENILFGKEDATIEEIEEAAKRANAHDFITQLPKGQKQRISIARALLREPKILLLDEATSSLDSHSEMAVQEALTQASVGRTTIIIAHRLSTLRDADLIVVIQSGEVTESGSHDQLIRNTGGPYSVMVQLQKTLVVDGKTLSPSQGINSKTLVSPAIDDEATTTENTGLIVTSFGLKEATNQQIDEEDSHPSWRHLIQMNAPERNSALMGCIGALLNGLIQPILAFFQGAMLSMFFLSDHDEIRSQTRILCYAFLAIAAFAFIFSVIQHYYFGIMGENLTKRVREVIFAKMMTFEIEWFDQENNSTGALCSRLATDTIMVRNLVADRLAFFAQAISASVSAVILSMILSWKLAFVAISLQLVIIVSFYMKTLITTNPQNKSSEIASEAVNNHRIISAYHSQEQVMRLFADTQKGPKMKSDKQHWYAGMGLFARPFLTNINIAILYWYGGKLLYQGNITYKHLFQTFYIVVSAGMIIAETGSMTGDLTLGKNALKSLFMILKRQSHMGTLKQHVVYPLKIEGHIQLKEVDFFYLARPTKMALKALSLKIDAGEVVALVGTSGSGKSTIIGLIQRFYDPSKGSVEVDGVDISCYDLRALRSCIAWVSQEPTLFAETIKENIAYGKENATEAEIIQAASLANIHEFISSMKDGYDTYCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDLKSEALVQDALENTMVGRTCVIVAHRLSTIQRSNRISVIDNGRVIEEGSHDDLLAKGEEGAYYSLFSLQQQASISRD >KVH98161 pep supercontig:CcrdV1:scaffold_1663:37649:45888:-1 gene:Ccrd_023616 transcript:KVH98161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine MGGKSSKRAVSGRYSSYGSSSNSWAYSQSQSPYPQSPSPYPQSPYPQPPMQQPYVSPSPSQSYPDRVPTVKRKLERKYSRIDDNYNNLEQVTDALVRAGLESSNLIVGVDFTKSNEWTGARSFHRRSLHHIGNDINPYEEAISIIGRTLASFDEDNLIPCYGFGDASTHDQEVFSFYPDEKFCDGFEDVLRRYRELVPQLRLAGPTSFAPIIEMAVTIVEQSGGQYHVLLIIADGQVTRSVDTDRGQLSPQERRTVEAIVKARKEAEFALSALMEIPSQYKATLELNILGARRGKVIDRVSLPPPCYGSASLGGPKPSRSATFQPIAPTFQRKEPSANTNYHGTSTSDSNLCPICITNRKDMAFGCGHQFLSDSPMERRRVSCRLLERLMLVLLLLNRVVGRTVGVDSHWNWKLLLNNTGVVAMHMAVTHHNNVVIFDQTEAGPSKYRLRHQHKGKKCRTGDDASCYAHSVEYNVLKNRVRALHLATDTWCSSGSFLSNGSLLQTGGNGAGSRKIRYYKPCTNSRCDWTEQKKQLSVRRWYASSLYLPQENGVIVVGGQSVFTYEFVPKSSGPRGPYNLPLLHRTYERNSKGNNLYPILHLSSDGHLFIFANRDSILFDYKRHKVVKKFPTIPGNGGRSYPSTGSSVILPLDHNDNFTKVEVMVCGGAAHGSYVAAQQHKYLMGLRSCGRMVITGNHHRWRMENMPGPRLMSDMLILPTGDVLIINGAKRGSAGWNSASDPALEPYLYRPSQLVGRRFQVLKQTKISRMYHSSAVLLPDGRILVAGGNPNNRYILRGVAHPTELRLQALVPDYMSNRFDHLRPKNVTVVYPQHSTGVKNGETFNVSFRLASEPQNLSVVAYAPPFTTHSLSMNQRMLRLECLSLERGSRGLVEAKAVAPPSIYASPPGFYMLTVVNGGIPSRSSWVKFI >KVH98169 pep supercontig:CcrdV1:scaffold_1663:1183:6472:-1 gene:Ccrd_023621 transcript:KVH98169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein METTRLVLFSLVLVLALGFVSAGSFEYTEDDLASDEAKWALYERWRBHHKVPEQSDDEKQKRFIIFMDTVKRVDNHNKAKKPYLMELNKLXDLTXXEIVRTYTGAKLDEHHRMLSSRGNSSRLKYADRHDLPAEVDWRQFNNVVVAPKNQAQCGSCWAFAAMGALESAHGLKTGNLISLSEQQIIDCDTEKNGGCNGGVPAYALNYVAKHGGMTTSECYPYNDPAGQTVCCGAKLQNRVVHCQGFEDIPIDDEPAMMERVAEQPVSACLFVYEGFYGYKEGIYTGDDCVGEQNPHAINIVGYGTTPEGCKYWIIKNSWGEDWGEKGYMRLAREVGNPRGACSITMQTCFPSFDYHEEELETEESLWELYERWRSHHRMAAASRQEKHRRFNVFKSNLQHVHNTNRMNKPYKLKLNRFADMTNHEFTSAYAGSKVSHHRMLHGDRISNKGFMYANHDNVPASIDWRKENAVTPVKDQGHCGSCWAFSTVVAVEGLNQIKTKKLVSLSEQELVDCDTGKNEGCDGGLMDLAFDFIKKNGGLTTEDNYPYTAAAGSCKTVKEGVPTVSIDGHEDVPVNDEDALMKAVANQPVSVAIDAGGSDFQFYSQGVFTGKCGTQLNHGVAVVGYDMSDDGTKYWIVKNSWGADWGENGYIRMQRGVPEKTGLCGIAMEASYPIKNSDTNPKASFIRDEL >KVH98163 pep supercontig:CcrdV1:scaffold_1663:50710:52677:-1 gene:Ccrd_023614 transcript:KVH98163 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MLTFLLNPFLLPFVILLFIKWQFFPPKTTGNPPPSPLKLPIIGNFHQLGTLPHQSLRSLSIRYGPLMLIHLGNVPTVVASSADAACEIMKTHDIVFASRPESKLAKKLLYDCKTVSAAPYGDHWRQLKSIMVLQLLSTKRVRFFRSVRAKETALLLEIIDDLDNKPVNLSDLFVTYTNNVTCEVVLGRKYGEGPNGKKFKQLLREFLVTLGSVNIGDFIPCLAWVDRVNGSDREVERIAREIDEFVEGVVEERKKKHRDTAGNVVDYDNFVDIVLKIQQDETDGILLDKTNIKALLLDAYTAGTDTTATVLEWVMAELLRHPNIMKKARDEVRGVSGSKTGLAEEDIEKMTYLKAVIKETLRLHPPLPLLVPNIASKDVRVMGYDIPKGTTVMTNVWAIGRDPKLWDEPQEFRPERFLGSSIDFKGHDFELIPFGAGRRICPGIVFAMATNENLIANLLYKYDWKLANGEIGEDLDMTECPGVAVRKRLLDLEDNERLVEPR >KVH98166 pep supercontig:CcrdV1:scaffold_1663:62984:65016:1 gene:Ccrd_023613 transcript:KVH98166 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVSLYHLLYSFLLPLFILVVAIFSKWLFSPSKTLKNLPPSPGKLPIIGNLHQLGSSPHRSLQALSKKHGPLMLLHFGRVPVVVASSADASREIMKTHDLIFANRAKSSVPSILSYNARDIAFADYGEYWRQIKSIAVLQLLSQKRVQSFRRMREEETDLLVERIIEIGCSSSPVVNMSELLISLTNGVICRSALGRKHGGENFKELFVHFVELLGVFSVGDYIPWLSWVDRLNGYDVRAVKVAAEFDAFLEGVIEEHVERKKRTSGDCSNDDEKDFVDILLDIQNQKDASFVLDRDTVKALILDVFTGGTDTTYSTLEWELSELLRNPHAMKKLQEEVREVAKGKPKITEDDLDQMLYLKAVVKETFRMHTPLPLLVPRESTKDVKVMGYDIAAGTQVLINAWAVGRDPCSWDEPEQFRPERFLDSPIDYKGFHFELTPFGAGRRKCPGIQFAMNVNELVLASLVHRFDFELPDAQKWEDLDMSETTGITIHKKLPLLVVATPYV >KVH98165 pep supercontig:CcrdV1:scaffold_1663:66406:86276:-1 gene:Ccrd_023612 transcript:KVH98165 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MLLHFGTLPTLVASSAEVAREIMKTHDLSFSSRPSLTIPNIVLYGSNDISFSPYGEYWGQLKSIVVLNLLSNLRVKSFLQVREEEMAHMIGILVESYGSLVDLSESSLSLTNNIICRVALGMTYRGSKFTELLRKLMDVLGIFSVGSYISWLSWVDRLIGVEGKAKKIAKEFDEFLEGDVFGGGIDTTSTTIEWAISELVKHPRVMKKLQQEVTEIAXGRSMIPEEDLEKMEYLKAVIKETLRLHVPLPLLLPRESTQDVKLMGYDIPAGTQVIINAWAIGRDSTSWEEAMEFRPERFLNNSIDYKGFRSEWLPFGAGRRGCPGIQFGVVIVELALANIXYKFDLALPNGAKNEDLDMNXAGTQVIINAWAIGRDSTSWEEAMEFRPERFLNNSIDYKGFRSEWLPFGAGRRGCPGIQFGVVIVELALANIXYKFDLALPNGAKNEDLDMSSNPHRSLHALSQKHGPIMFLHFGSLPTLVASSAEVAREIMKTHDLSFSSRPSLTIPNIVLYGGNDIAFSPYGEYWRQLKSIVVLHLLSNSRVKSFRQVREEEMAHMISILVESCGSLVNLSESIISLTNNVICRAALGRTYRGSKFTELLRKLMDLLGVISVGNYISWLSWVDRLIGVEGKAKKTAKEFDEFLKGVLEEHINKEKMVDGKSDESKDLVDILLEIQREKTTGFTLQRDNLKAVILDVFGGGVDTTSTTIEWAISELVKHPRVMKKLQQEVTEIAQGRSMIPEEDLEKMEYLKAVIKETLRLHVPVPLLVPRESTQDVKLMGYDIPAGTQVIINAWAIGRDSTSWEEAIEFRPERFLKNSIDYKGFHYEWLPFGAGRRGCPGIQFGVVIVELALANIVYKFDLALPNGAKNEDLDMSETYGIVLHRKSSLLVNLHARISFYSRNKTCNLPPSPPKLPIVGNLHQLGSSPHRSLQALSQKYGPLMLLQLGNVATLVASSAEAAQEIMKTHDLLFSNRPNLTIPSILLYGCNDMAFARYGEYWRQLKAIVVIHLLSNTRVKSFRKVREEEMVLTISVLGESRGSLVDLSASLVSLAKNVICRVALGRKHDHGSKFTELFRNLTEVIGVFSVGNYISWLSWVDRLSGLEGKARKIAKEFDEFLEGVLEEHENKGKRADAKSDEGKDLVDILLDVQRDNTTGFTLQRDNLKGVILRAIRHPTAMRKLQQEVTELAQGRSMISEEDLEKMDYLRAVIKETLRLHVPLPLLLPRESTQDVKLMGYDIPAGTQVIINAWAIGRDSTSWEESMKFMPERFLNDSIDYKGLRFEWLPFGAGRRGCPGIQFGIVVIELALANIVYKFDLELPNGARYEDLDMSETNGLTVYRKSSLLVKLSAR >KVH98170 pep supercontig:CcrdV1:scaffold_1663:17748:19701:-1 gene:Ccrd_023620 transcript:KVH98170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1602 MVFSRASWTRASDLRSNALVASSRSKMAGFFRIARAMAILCFCPPDNCTPLSPHIDLQAQSFKGHLCWSGQVFVCDIALVKKLSSVPVQDGDINKLTMMTSCNDIATKASFQDNIILKITAETEAEIAWAKNANLSATSCTMTEYGPPVFRISWSCDPDSVTSPD >KVH98159 pep supercontig:CcrdV1:scaffold_1663:20439:24381:-1 gene:Ccrd_023618 transcript:KVH98159 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MDENKELRSQYEEMRARAINAEAENKTLVDRWMLQKMQDAERLNEANALYEDMLGKLKASGLEQLARQQVDGVVRQSEEGAEYYVESTIPKTCNHRITAHEGGCASIVFENSSGKLVSGGQDQTVKMWDTTTGQLTRTYNGCLGSILDLSITHDNRCVIAASSSNNLYVWDANSGRVRHTLTGHVDKVCAVXVSRFSSRHVASSAYDRTIKVWDLNKGYCINTIIFPSNCNALSFSPDGQTICSGHVDGHLRLWDIQTGKLLSEVAAHSSAVTSISLSRNGNTILTSGRDXLHNLFDTRTLEVWGTLXASGNRVASNWSRSCISADDNYVAAGSVNGSVHVWSISSANIVSTLKEHTASVLCCSWSGLGKPLATSDKNGIICMWT >KVH98168 pep supercontig:CcrdV1:scaffold_1663:99311:102147:-1 gene:Ccrd_023611 transcript:KVH98168 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MPDDQTGCERTKTGRRGCPGIQFGVVIIELALANIVYKFDLALPNGAKIEDLDMSETYGIVLHKKSSLLVNLSARL >KVH98167 pep supercontig:CcrdV1:scaffold_1663:107935:109975:-1 gene:Ccrd_023610 transcript:KVH98167 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSSYLQFYLLSFLAFFFLILFIFKWVSFHFHAKKSFPPSPRKLPIIGNLHQLGSSPHRSLQALSQKHGPLMLMHFGSVPVLVASSAEAAREIMKTHDLKFASRPKLNIPDIVVYGSNDITFSRYGEYWRQVKSIAVVHLLNNTRVQSFQHVRAKEVAVLIEMIKKDGGSVTDMSELVFWLVNNIVCKVALGRTYRGLKFRNLXERFVQVLGAISVGSYIPWLSWIDRLSGLEDKAHQVAKEXDAFFEGXXEEHINKRKGXDNVQSDEDQDFVDILLDVQRDNTTGFTLFNDTIKALILDVFVAGTDTTFATLIWSISELLRHPRVMKKLQLEVXEIAQGKPLILEKDLEKMEYLKATIKETLRMYPPLPLLIPRESRQDVELMGYDIPKGTQTIINAWAIGRDPTSWEEPDEFRPERFLNSSTDYKGVHFELIPFGAGRRGCPGIQYGVVIVQLALANIVYKFDLTLPNGVEDGDLDMSETCAITLHKSSSLMVKASPRFDM >KVH98164 pep supercontig:CcrdV1:scaffold_1663:47217:51573:1 gene:Ccrd_023615 transcript:KVH98164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MEVLGLPVSPLISTPFSYNHNSHCNNHRLGKTQCFTSSSLIYKPFHQIQYKSGYRGIIRAQAVDEDYELKQVKDMAAARKRWESLVREGKVSVLTPREAGYAIQLSGKTLLDAWVKGSTWVPIFDVDTTIDAGTLSRKPIHTESYREIPERYRPYRCMPKGITDLERGGPQPFKFAGIGGLSEFLGWTDQQRAQAAKEEKVCYQILVSSHCKHNSRANPPSGSEWYQLEVMPFEIDTRTQEPLWAKLLRFVPKFRVPTDCPYIRHNRRSLGDIVPHDSDVFRGDVWNE >KVH98162 pep supercontig:CcrdV1:scaffold_1663:32688:34616:-1 gene:Ccrd_023617 transcript:KVH98162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MATINHLSLLLFFTLTLSLHANPNLHTADHRALLTILQDLGVSPELRRPDNLCNTAGIFCERRISINSHVLKVTGIVFKAQGLRGVLSPAIGELSELKELSFPNNYLVDRIPSQIIECRKLEVINLRNNRFSGAVSPELSSLLRLRILDLSSNKFSGDLSFLKYFPNLEKLSLADNMFTGKVPASLRSFRNLRFFNISGNSFLEGPLPALNQLESPLPELKTKRNTNSKRVPKRYIFAESTNSSSNQNTSSNPNDFQSPAPAPAPAEPPIGSPPKHKPNHKATKKLMGWILGFFAGLLAGILSVMIFTVFFKLVFIMLKGGVNQVGPAIFSQLIKAEELAFLENDDGIASLQVIGRGGCGEVYRTDIPKGRIKTIAIKKVTQPPKDAAELTEEDTKFLNKKMRQIRSEIQTVGQIRHRNLLPLLAHVSRPNCHYLVYEFMKNGSLQDILEEANNGTRELDWLARHKIAIGIASGLEYLHIHHTPRIVHRDLKPGNVLLDDDMEARIADFGLAKSIPEADTHMTSSNVAGTLGYIAPEYHQTMKFTDKCDIYSFGVLLGVLVMGKLPSDEFFQRTSEISLVKWMKKAITSEDPKQAIDPNLFENGYEKQMLLVLKIACFCTLDNPKERPSSKECRSMLDQIQH >KVH88078 pep supercontig:CcrdV1:scaffold_1664:59736:80789:-1 gene:Ccrd_024536 transcript:KVH88078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALPLANNTKNSKENLDSTPSDWQHACIQRHHMKSMLIEQQDSQSMVIMQMIVVRLIKNKYWSADRYNKSTKIKWCHKIAYGPSNVIGANQPSSLIPSISVLSHTFMVNGYSHFYRRALLETSNYLMDLIEDYLKIHCVVRVVVFATYFPKMHSIRISKPEIRSNSGMLLEDMVGSDINFKMTEDQFHAHKSEQDGGDHEIVIAMKTNVFKVFLSSRIRVQTDNLRKPFFPDPNKFVVLIDSMILAPKLEQMDRQDSDGGNEPATSRREGERRRSNESETWAVHCGNCC >KVH88079 pep supercontig:CcrdV1:scaffold_1664:55471:80798:1 gene:Ccrd_024535 transcript:KVH88079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein Cenp-O MDRIILKGKKNTKPEFLYKRGALFAAIASTTITAVDGPSLTLVAPSPFSFSSARRRLVATVAVLPLFLQGLVTKHKSRPGASNSFNGHLKRENIVIGITTNFRPNARINLILVPVSELIRDEVIEDELAVSTSSCESYVSDALLDKLLFDAHEYDLLLWMCESYLWCIEFGTQGLKLDQLLICCDMVVPFLVCSNFQLRIHLRNGRSGATVLELNITRAMSVNATYIVVSLHLSIHDNLPYSVMALIEWRRKEGKVGNGEEKNGGWRCILGGEERRRRKSEKKVGGEDESRIRKWKVSSVLKRHAELTERLSRDSDKMIFERLQREFEAARASQTQEVCLDREQWNDGLLATIRERVHMEAERKAMQSPLDASMLPITLFHDKITYKVGTKVICCLEGARIGIQYETSFAGEVIYIYFDGFVGEPREQYHCVLESKSFLEKMTVLEHTVPFFLPIREAENDLLSSNAMKFIDHIGDLLQAYVDRREQVRLIKDLYGNQIGELYHSLPYHMIEFIVDDFDCVVAWPMNQSKKSPSGGRKGNVAFGSNTSPMRLSYAEDALRTLSLPEAYAEIVLNLPEALEQNVQPKDPGLASA >KVH88074 pep supercontig:CcrdV1:scaffold_1665:91669:93495:-1 gene:Ccrd_024540 transcript:KVH88074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLYRFRFPTRVPCINASRLDSSFYSSESASDCRSYDQLDIHTTSHLIRNQQWRALKFLINSTNPSPFLQQLYDWDASYDVVLGYFKWSQQEFQVSHSLQHYSRLFYVLANANKYGKIRALLDNRFVRNAISEHSCCSILRKLEEVCDNVCANSIIVDLLILAYVKNLKADLGFETFDRAGDYGLKLSVWSCNQLLNLLVKEEKFGRVEFVYREMIKRRIEVNLATFNMVINGLCKAGSLYKAGDIFEDMQVRGILPSVVTYNTLIDGYCKKGEAGKMYKADALMKEMVKKKIFPNVITYNALIDGFCRDKNVSAAMKLFKKMQDSGLKPDVVTYNSLINGLCCLGKLDEALALRDKMADSGVKPTLVTYNAVMNGFSMKKMVKNCEEVFDDIPKQGLVPNAYTYNILINAYFRAGNMEKAAKLHGSMLQSNVSPNVSTYNSLIAGYSKEGDMEAANRLLKDMKDRELKADLVTYNIRIDALCKKGESREAIKLLDEMSQMGLIPIQLTYNTLMAGYCGEGNLRAALNVMTRMEKEGRRPNVPTYNVLIKAFCEKGKLEEANAFLNKMLEKGLVPNHITYDIIREEMMERGFLPDIDGHLYNNSVTS >KVH88077 pep supercontig:CcrdV1:scaffold_1665:79887:88096:-1 gene:Ccrd_024539 transcript:KVH88077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLCTSYTQTLPRWELLGLCGLVLECKILMDLQDWNKAVTYCRLTIPVYGRVYPRFHPLRGLQYYACGKLEWLLGYTKEAIKSLTQAVDVLCILHGTTSPFMKDLIIKWKTHEQKLRSNSHLRMIRLIMPEGLTSSNIILLFKKLQDSELKPDVVTYNSLINGLCCLGTLDEALALRDKMVGSGVKPTLVTYNGVMNGFSMKKMVKNCEEVFDDIPKQGLVALFRAGNLEKAAKLHGQSQ >KVH88076 pep supercontig:CcrdV1:scaffold_1665:79408:89338:1 gene:Ccrd_024538 transcript:KVH88076 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL-like protein MDWNRNSSTIVKNTTASTRTSTAPFSTDLLQSRESNCPSLPAFYLVASHTIDYQNPTLLLNITAVFVLVIAALPHMHKVSIFGINVEE >KVH88075 pep supercontig:CcrdV1:scaffold_1665:66743:74404:1 gene:Ccrd_024537 transcript:KVH88075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staygreen protein MLLSMATTNLSSTSPQTSLYACFSCSLNPSSRRYSPHSVLLPHTPPSYNTLVFQAAKLLGPPATFDASKLQVLFKGEETDQYTRIVPRTYKLSHCDFTANLTLTISNIIDHHQVSIFFPSFSYLYTLKLTHTHTSFVVRWQLKGWYNKDDVVAQWAEVKGHMCLDVHCYVSGPNSLLDLAAEFRYYIFSKELPLVLEAVLYGDRVLFSEHKELMDAFVRVFFHSSSKKYNRVECWGPLKDAAKGQVGYQIQDQLAGKMERSVPPKNEGIPKSVFQALCTFLL >KVH88073 pep supercontig:CcrdV1:scaffold_1665:98548:106217:-1 gene:Ccrd_024541 transcript:KVH88073 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDQFGVLVESIGFKAHGKSSAPLADLKGTNKTNDNTNGLNVEFSSFSRSKSPYNSNPPLNVDDLDGIFRSDSSKDRKPPNSGFDDVFGGAGSGVNGIDLDSVFKVSHSNNYDDDDDVFGLNKRTSGSTSVDYYHTLNKTNPIDDLLGNFGGMGMASNGSRNNFGGKQANASQYDDLLGNSNGNGMKSNGLKKNSVRKQEAASESADLLSGFGIKPPSSNGRKSVTNPSRQSSGHSANLFSNLGEDPFVMMERAYGSSKANNGASSVDYLDSFFSSSTRPSQSSTSEDSVYDALFNNNGGPKAEKKSFSESSYGTQKASSKANGGDDFSYLFGMGDAPTAGEFQEMKGESEERRRARLNHHMTTRERMAKALNEKNKRDLQAQREQDEKLRVAATLDGDIKRWAVGKEGNLRALLSSLQQVLWSGSGWQPISLTDLITSTAVKKAYYKATLCIHPDKVQQKGATVQQKKLGTNSMLKNSRNNKELFLATKKVMVEVYKEVPGELFLDN >KVI06825 pep supercontig:CcrdV1:scaffold_1666:100197:101806:1 gene:Ccrd_014820 transcript:KVI06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRKDDKDFCYSLTLPLSSPSSSPSSSSSSISLSQILKMGFIQSSSFSPNSKTFFIVFLILTSSHAQGESNWKLIHKDIQMERRNLLIGSRPPRCEKGYCRNCGHCEAVQVPISPRFIRTTHQALGTTTRSIVDSRGDAISNYKPMCWKCKCGDFMFNP >KVI00928 pep supercontig:CcrdV1:scaffold_1667:31058:61520:1 gene:Ccrd_020810 transcript:KVI00928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MATKSQASIFMVFTATLLVAINGDPQVPCYFIFGDSLMDNGNNNHLHTNAKVNYEPYAQLLGFESFIQPFATARSDDIVKGVNYASGGAGIREESGQHLGERISFDNQIKNHRITILRLIFLVGRGSLVATKKYLHKCMYTVGMGNNDYINNYFAPEYYNTSSLYSPEEFALLLVEQYSQQLQALYAYGARKFGIFAAGYSGCTPGMMAIYGMNSCVDSVNSAIILFNTHLNTILNELNTKYPDAKFIYIDAPLQYPTDLNVTDKPCCEVESIDGKGNCSPDHIPCSNRQNYVFWDSFHPTERVSVIDGTQAYTTLSPLYASEAISSLVDNEEGHFSNA >KVI00927 pep supercontig:CcrdV1:scaffold_1667:115875:121609:1 gene:Ccrd_020811 transcript:KVI00927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MPSSLISDSRNMPSSYREMPLQTDNRPYSQSLFWIHQKRGEMGFGVEALVSMLMVATLSSFAAAVPQVPCYFVFGDSLMDNGNNNDLVTNAKSNVPPYGIDFPDGPTGRFSNGRNTADAIAQLLGFESYIPPFATAKPEEISRGGGRISMNQQLINHATTISRLNGSSSQVQKHLNKCIYTVTMGNNDFINNYFLPQYYQTNTLYTPQEYANILVQQYTQQLSKLHQQGARMFGIAGAGYSGCAPAIMTRYKTNVCVDEVNLAVLEFNTRLVTALKGLESRLFGSKFIFIEPSLGYSSDFSVTDKPCCIVSTTIEGEGQCIPNEVPCSGREKYVFWDAFHPTEGVSLVEGARIYKALSPFYGLKTNAASMAASIALSEVAEVDEI >KVI00929 pep supercontig:CcrdV1:scaffold_1667:22746:25900:-1 gene:Ccrd_020809 transcript:KVI00929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYWDPSSNRRLQHHKKDFALLVETRPAISVWNKDYLCRREYLELNDGGFGAWPFIRHEGMHEDRSWPDVFAERSVNPKVSSKSKLQRKSGYNGIETLDAWKLNPASE >KVI04489 pep supercontig:CcrdV1:scaffold_1668:2753:3446:1 gene:Ccrd_017193 transcript:KVI04489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMLGMNTVEKADADLSVKSHQGNVVVAKDNNSSGKNDILPESIKTVKIGSGCEDDEKIPQVLLQVDGDVDAAIEFIIVDQGTEEYLVENDRVTFPVDTSHGNDNDQLIHFFSYAGYIYRVL >KVI04490 pep supercontig:CcrdV1:scaffold_1668:76749:93639:-1 gene:Ccrd_017194 transcript:KVI04490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF167 MGDLMEVFTEDVWEKEFALRSPQLELSRWTSGPVKQLFLYLINIGSCPTLRIRMAPAKKGKAKAKSMEVTSSAPAATKFPACMRFIPPSSVAVTIHAKPGSKVATITGFDDDALGVQIDAPAKDGEANAALLDYISSVIGVKKRQVSLGAGSKSRDKVLIVEGVSLETVYDALNRGLDNS >KVI11275 pep supercontig:CcrdV1:scaffold_1669:104585:105616:1 gene:Ccrd_010317 transcript:KVI11275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLNSSSNIFLNEFYIPDYILDSLLSFAPVCPTIIFVNSKSGGQMGGELLVTYRSVLNENQVFDFGEEDPDKVLRRLYVFDFGEEDPDKVLRRLYVTLENLKLNRDALTTKIEKHLRIIVLNLLIYEHMH >KVI11276 pep supercontig:CcrdV1:scaffold_1669:88778:89143:-1 gene:Ccrd_010316 transcript:KVI11276 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, DEAD-box type, Q motif-containing protein MLFAAATDCQPTSLHDMMSFDAMGLEENLLRGIYAYGFEKPSAVQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYN >KVI11274 pep supercontig:CcrdV1:scaffold_1669:48545:49234:-1 gene:Ccrd_010315 transcript:KVI11274 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MASSDNLATIEPWMLRSTIGGDSWYSDAFSKETETALTKALQQSFFNRNQHPDIVSAANHPISSSFMVKQSDYCSTTASASTITASGSGSEPETPGSKRRGPNLCVSVGKTAKRKSRASKRSMTTFIQADPSNFRQMVQQVTGVKLEGNGHMPVSTVVKPEALRQPFINKLQGLLPTLDTSRYLLDHHHNNNTQRIAVSGTGFSRPPAMADGGIDFDSFSSFPTLESWN >KVI01269 pep supercontig:CcrdV1:scaffold_167:366252:379173:-1 gene:Ccrd_020454 transcript:KVI01269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MASRLKEDERNERAIRNLLKLPENRRCINCNSLGPQYVCTSFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVSALQGGGNASAKEIYFKEWDAQRQSFPDSSNVERLRDFIKHVYVDRRYSGERTSEKPPRAKMGEAEDTYQGGSRSPPYENERRYSDRPSPGGRSDGSSRNSYDERRSPGYDQDFRKSPARTDIVNDWRREDRFGNGRRSEDGKISDGGSKVGGRSPDSQRDPEMSSPPIVRPVRDILGESVSPLRVIEPPRASGSRPADGSLQTQRTVSSSSLASSNGNPTELRTESSLIDFDAADPEPPSTAPLPQTQQNAPSPASFMAQPTISSNTNWANFDSAPVVKASQPPSSANLLDVLSELSVPSSLPGGLGAPVTAPGTSLLPSGNNPLIASVQMSPFGTPAPAPWNSSLTGNIQVPSSAPSADSQVTSSIEAKPASKQELPAQPMPPAFVQPSKSSNPFDANESPAVQASTFPSMAALQGALPNMGPPSGHIQQQATPSPMWMPPQSSHPLAMPSQGLPSYPSSMPSGPFMGQVPPNIPPQPSFGYDATSFGSLNTSSNQQQSGLFGAPNTFSSSNPFG >KVI01249 pep supercontig:CcrdV1:scaffold_167:230899:240674:1 gene:Ccrd_020468 transcript:KVI01249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKSPVSRDRELLIPVANTVDDDDGASSKPSPSSNSSSHHAGRETFSKVVRSWASKKFMTGCVILLPIAITFYITWWFIHFVDGFFSPIYVQLGIDIFEKMIADLLSIADLLLLLVAVDYSVRSSSRKDEKEREWKQTVGVKRNCGIRGHVNAANTIDTGLECKRGQTEKQLNLSDEIT >KVI01257 pep supercontig:CcrdV1:scaffold_167:290652:290966:1 gene:Ccrd_020462 transcript:KVI01257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKMWRSSTVKPDRARERFGSVTHEPSGSASSKLVWKVIWKKLRREGKKLLVRLPSKHVQAPYDEYSYAQNFEQESEWRNELDILSRSFSVRYTNRPSTVSFR >KVI01256 pep supercontig:CcrdV1:scaffold_167:298619:299296:-1 gene:Ccrd_020461 transcript:KVI01256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10e/L16 MAMAMAAKIARSFLTLRSSSSITKPSPLSFPSESSLSHSLSAANLNRSAGPYSPINSRFNSIPPLFRGLDVSFRFYSTAVQIQRMRFPKFRKGRIEGIRDGGNEICFGKYALQALEPARITSKQLEAGRRALQLNVRRGGKGGKVWVRIFPYKSVTAKPAEVRMGRGKGSISHWVAPVQSGQILYEMGGVTESLAKRAIQIAGSKLPIRTRSIIRDAKTREIGIR >KVI01267 pep supercontig:CcrdV1:scaffold_167:411090:411685:1 gene:Ccrd_020453 transcript:KVI01267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSYLFRSSTDYRRQIRRKATFQKRCLTMAKQERTRFYILRRRLRKIMIVYLRLHKPEDDSSSQISSSNDEMNLFSIQQSSRRCCLCNNQR >KVI01261 pep supercontig:CcrdV1:scaffold_167:62316:74747:-1 gene:Ccrd_020476 transcript:KVI01261 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MQVQVHPHGSASMYKRVPSKDSTNSVDLEDDSALLQDGMVQESMDPPSRRSLPHVLVASIVSFLFGYHLGVVNEPLESIANDLGFSGNSLAEGLVVSTCLGGAFLGSLFSGYIADGVGRRRSFQFCALPMIIGACCSATSNNLTGMLLGRFLVGIGLGIGPPVASLYITEVSPPSVRGTYGSFIQISTCLGLMGALLIGIPVKSIPGWWRMCFWLSTIPSTVLALAMVFCAESPHWLYKKGRNTEAEVEFQKLLGATNVRPAMAELLKSDRGDENETVGISELLYGRHFRVVFIGSTLFALQQLSGINAVFYFSSTVFRSAGVSSNLANAFVGIVNLLGSIIALLLMDKLGRKVLLLWSFFGMAISTVFQVVAAGLFASTSGALYLSVSGMLMFVFCFAVGVGPVPGLLLSEIFPSRIRAKAMAFCVQFHGRIIVPTVAGGNGAAAAVLDAGYVLFGGGGFCKTKRDGNQRKNASRNRDSSSSTTIEQM >KVI01266 pep supercontig:CcrdV1:scaffold_167:212684:218940:-1 gene:Ccrd_020470 transcript:KVI01266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MQRVKDQQHSRSTKPATIHASAQSGDLPAVQRRLRDQPSLINDRNPVMANTPLHVAAGHNRVEVVKFLLNFSGPETVELEAKNMNGMTPLHLSVWHSLRAEDSSTVVTLLEHNADCSAKDNEGMTPLNHLSQGSGNEKLQALLKSYLEQQRKVRAIEACSGTKAKMDELEKELSNLVGLHELKLQLRKWAKGMLLDERRRALGLRVGTRRPPHMAFLGNPGTARILGKLLFMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRVIKEAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDNGKVVVIFAGYSEPMKRVISSNEGFCRRVTKFFTFDDFTTEDLANILHLKMNNQTEGSLLYGFKLHPLCTVKAMATLIETETTKKQRKEMNGGMVDPLLVNAREYLDLRLSFDCMDTDELLTITLEDLQGGIRLLSQ >KVI01275 pep supercontig:CcrdV1:scaffold_167:159:5297:-1 gene:Ccrd_020483 transcript:KVI01275 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI-GlcNAc transferase complex, PIG-H component, conserved domain-containing protein MAEPLTISNAKFTYVHDDGKFLPSHAVDTHHIVARRSVVKPIAIYLFVVLLVAYAAFLHFKKGKPVTTVIWSVFFGAVLIKLLIWSSIVKESVIIMPAFGVQLETRYGSGRINRCFIPVSKILKPVLNECVTPEFRPPLKMLVPIWKALCAATDHQESSEPFREDG >KVI01250 pep supercontig:CcrdV1:scaffold_167:224118:230091:-1 gene:Ccrd_020469 transcript:KVI01250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MADEEQTLETHEEEEETMDVEQSTTDVEKSADVKQEDSSTSDSDKSTEQEKSETVKEKLKTDLEQMKEKFSKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQRKLEPMPEDRKMRWRKEVRWLLSVTDHIVEFVPYQHTARDGSNMEDQKEFWYVSKDANESEKGVQRSDKWWLPTVKVPPGGLSEESRKWMQKQKDCANQVLKASMAINAEVLAEMEIPENYIDDLPKNGRDSLGDAIYKSITVEFFDPGQFLATIDLSSEHKILDLKNKMEASIVIWKRKMHQKESRSWGSSMKMEKRELFEERAETVLLLLKQRFPGLPQSSLDICKIESNKDVGHAVLESYSRVLESLANTVMNRIEDVLYADELAQNEANKSPTSDHTPGQTPRHTPTGTPTSTTISDFMGWGSDQGES >KVI01264 pep supercontig:CcrdV1:scaffold_167:172779:174161:1 gene:Ccrd_020472 transcript:KVI01264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MESNEVIAPFVMKTYQMVNDSSLDNLIRWGTSNNSFIVVDSLDFSQRLLPAYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEWFLRGQVHLLKNIERKRQVNSSRGKYTANSRGDDEGEEEMVMEIARLKQEQKALEEELIGMNKRLEATERRPEQMMALLCKVAEDPEILPRMMLEKVQRSKRLVDKKRQRRLITPPPPFPSSSSSSFEISNSIKREEDECYQMAKGSIVLSPEGYCSNEPLWRSSPSPVTPSTTVWPWNKDTCGRLGVDDDGGGFSMELEPINGINRYAYNTGGGGGGGGNTLFFGDGSGDPDVRPPPPYPFSLLGGGL >KVI01277 pep supercontig:CcrdV1:scaffold_167:15787:21971:-1 gene:Ccrd_020481 transcript:KVI01277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHEDCDMNRIEKASVIVDSTVLEEIVTEEGKTEGVLGGRDEGEDMMVDVVGADAVDLDGKADSVRLVEECMQKETESHDLNMDVKEEVAGSGEEDVCHDSIGSSVSTVEKVEVRDCEMGFESRGESQIGGGDIAGIDGKELTERGLIVEQCVESVEDCLQKETEIRDLNMDTKQVAGSGEEDVCHDSVGSGVLAFEKVEVTVNEEGLKTSIEVRECEMGFESKGEPQFGGGDITGKEQMERGSTVEQHVESVEECMQKETETHDLNVDMEEAAASGEDVCRDSAGSGVAAFEKVEVTVIEEGLNTSLEVSKDVLQGNDATACGSLVQDSKESSIGGGNIVVIDNKDLTDRGSTEEVVEQHVESVEEVGDGDRVMDGKTKKEAETVDSSKIEESLATTTNLCSQEVDMIVVEDGFASKDEAKDGVSVDPSLVSANNQDSSTELVNVGTDEVDGRSKEDCMHPINEIITDDSQGNASRETRFVGGENDLIDREVELDRGLAKEIMEQPIECDQQVGNEDCVVDEKIGKESEDLDSTKIPEPSTTTNPGSEDVDVVVVEEGLASKDEAQDGVSVDPSLVCADNRSLPTKVVNSATSEGDVIAQAENVDQQAEVISHGARNLENGTGVGDSKSAVDSSSGEPQFGGETAVIDIEETEKGLTAEEKEIVEQHPESVQKVIHGDGVMDEKTRKEDEVLDSSKIPESLIATNLGPEEVLTMKNVAQDSDSVGQRLVYPDNQSLSTENSDPRAEIDTTSKDESVHPTVEITACTGVDQGGNIVNNVVSFKKRKFLDPISDGSEKKPNLNLEKVATALISTPKPSFKVGECIQRVATQLTGPPLVLKSDNDPNGQRVDQLVGPENSQMERMIVESKQSSVDEMLSQLQLVAQDPMKGHTFLNTIKPFFYDHRAVGRPVSVRKRKTSNENEAEEFEFDDVNDSYWTDRIIQNHSEEQLLQDNQNGGQEHHVVVSEPDKPAKANRRSNKKRFLFSNHEMGLKEQSELVERRRQNLATEVVLKFPEGIYFPSEIHLNKMFRRFGALMESETEVDRQNGRARVVFKKCCDAEVAHSSAGKFNIFGPINVNYELNYNPLVSYKPLPLSLLQEDSMDAS >KVI01255 pep supercontig:CcrdV1:scaffold_167:302334:302723:-1 gene:Ccrd_020460 transcript:KVI01255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix type 3 MPTRPTGSVSQDWEPVVLHKSRPKSQVLRDPKAVNQALRSGAQVQTVKKFDGGSNKKAPATAVYARKLDEAAEPAALDRVAPEVRQLIQKARIDKKISQXDLAKQINERPQVVQEYENGKAVPNQAVLA >KVI01258 pep supercontig:CcrdV1:scaffold_167:45940:51458:1 gene:Ccrd_020479 transcript:KVI01258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSVSKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKNVKAVFDTAIKVVLQPPRRKEAVRQKRRKSSGCSIRGVMCGGCDA >KVI01276 pep supercontig:CcrdV1:scaffold_167:20672:21996:1 gene:Ccrd_020480 transcript:KVI01276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSCEHRLVVVASDSSIFDESTVSASFLVFPSITRSPSPTSSTDSTCCSTTSSVEPLSNLVPRIHKQLHHCLEERLCLPLNLCSTLPQSPSPQLSQKLQPHFQLNHDKHLHLKPQLLPYPHSDHESQFLSAYTLQQILHILHTARQSNLFPLAPSHQFQQYPLHQFETLPLIQNPFHNLEPQLSQQLKHYFQLNHDKHLLHLSLQLLLSHPYSDHETLFLSAYTLPPIEPNPLYHPNQQHQHPQHPPSYPPLHLSHPKPPQFSPPHRINNHTSFLNPIHITILMFLHTSQTRSAT >KVI01274 pep supercontig:CcrdV1:scaffold_167:9875:12510:1 gene:Ccrd_020482 transcript:KVI01274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDTIPDATLAYFDEQDQMQNVSIHSLASGKKVVIFGVPGAFTPTCSMQHVPSFIEKSEELKSKGVEELLLISVNDPFVMKAWRKSYPDSKNVKFLADGSAAYTHALGLQLDLSEKGLGVRSRRFAMLVDNLKVVAANIEQGGEFTVSGADEILKAL >KVI01259 pep supercontig:CcrdV1:scaffold_167:52948:53451:1 gene:Ccrd_020478 transcript:KVI01259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cross-linking MELFEQAKKIRLRSIKDKYLVAEKDEESVSQDRDGSTENAEWEVYPTGEKFLRFKSYYGKYLMASNSMLLEGVKGKKVMQTELKPDIDGSVEWEPLRDGFQVRLKTVTGSFLRPIGGVPPWRNSVTHDICNRQKTKEKVLWNVEIVETLPSYQNNGSEKKLYCKLNI >KVI01253 pep supercontig:CcrdV1:scaffold_167:254080:258371:-1 gene:Ccrd_020466 transcript:KVI01253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C MVSSLHLLPQLSSLHNPKSTFNSSQTLAFNNTHHKPILKTPKFLGFSNFPTPKTRSGNLICHGIKDPAKETKVALDLEGGGGGGGGDGGGDGGDGNGEKKGGFLPDWVDFTSDDAKTVFAALAVSYYFRKPCPNDIVIFKSPPVLQEVGYTDDDVFIKRIVAKAGDLVEVHDGKLIVNGVVRNEDFTFEAPKYEMNPVRVPENSVFVMGDNRNNSYDSHVWGPLPSKNIIGRSVLRYWPPARIGGTILPAGCAIDKAENISSGLESQQDSSSSLASQ >KVI01252 pep supercontig:CcrdV1:scaffold_167:260368:266287:1 gene:Ccrd_020465 transcript:KVI01252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAICTSVHSFSPSLISKSPPPPSLVVVTTRLSSSSSSSSSRSSVSRFLTKSPARRMRAASAARLVCMAPDEEKLTRRNPLDFPVEWERPRPGRRPDIFPQFSPMKTPLPPPLPYDPPAEDEEEEDEENKEEEEDDPDKEQPENRFRAQS >KVI01251 pep supercontig:CcrdV1:scaffold_167:268292:268981:1 gene:Ccrd_020464 transcript:KVI01251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGASNLMMGLTLVLVVCLAVVLGLVLVLLAELYCSILLRRRQPPPTTTEDHITTTDNNTFLPPPIAPPSLNNFYAHGVLAAPRNILYPAISGAGDIEKQQELPPPPENQENGYLRKGCSGNYSGRTEEDLVYISNPMYDDENGRRSRAAGNTPFETPDTSPSRLETEGSSGEDEREDVTSLKTVLTPPLTPMKKLPAEVKDGGGGGGGGDLNEASSSSSSETLSLSW >KVI01272 pep supercontig:CcrdV1:scaffold_167:305074:307467:-1 gene:Ccrd_020459 transcript:KVI01272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSIHPCNIIVRRNSIFSGKLKRLETTPKPQFFSTIPQQSHHNILPLCSSTESLAQTKQSHAIALLSGYLPHSISISASLILQYATFGDPSTSRLLFYQSLSYSRSAFLWNTMTRAYSSAGVYDGFLIYNLMIRSGVRPDDHTFPFVLKVCSDYHEVWKGQEVHGLIFKSGFDSDVFVGNTLLRFYGECSGTSDAQKVFDEMSERDIVSYNTLISVCSILFCYDKAIGLFRDMRSRSNCFGVNPNAVTIVSLLPVCAAINDYMFTSELHSYVVKMGFGSHITINNAFIDAYGKCADFKACKQVFDEMVDRNDVSWNSIITSFASMNRQQEAAEFFRFMIDKGIVPNSVAISSMLPILVDLEYLQMGLELHGFSIRTGIESDIFVANSLLDMYAKSGYPIKALNVFNKIDPKNIVSWNALIASYAQNGFESLALRVVIEMQDHGQVPGPITLTNVLPACARIGYFLSGKQVHARSIRCGFAFQLFISNALIDMYAKCGRLDLARNVFEISCKDQISYNTLISAYSHTTTSFESLVLFQELDSKEGLEPDTISFSGALSACANMAEIKKGKEIHGVCVRNLYSTHLIVSNSILDLYTKSGNLVLAKKVFDSIPVKDVASWNTMIMGYGIFGEIDAAIDLFEAMRADNRVKYDSITYIAVLSICSHGGLVETGRKYFKEMKEQKIEPSQTHYACMVDLFGRAGLMDEAVEMINGLPVEPGANVWGSLLGACRIHGNIELGHWAADHLFKLKPYHSGYYTLLSNMYAEVGKWYEVDKIRELMKVRGVKKNPGFSWAQAAQTG >KVI01260 pep supercontig:CcrdV1:scaffold_167:55824:61093:1 gene:Ccrd_020477 transcript:KVI01260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDPIPPSTTKSWSIHTRREITSKYEIQTRVGSGAYSDVYKARRLSDDLPVALKEVHDYQSAFREIEALQTLQHSPNVVVLHEYFWSEDEDAVLVLEYLPTDLSSVIRMAKKEREGLSVGEIKRWIIQILLAVDACHRSSIIHRDLKPSNLLISADGVLKLADFGQARIRLAPGFVSINDHTQPQGHESSVYQQVKPENVGQESRVNLMEGPLGTIEEYGTSDEFKTKDTFDEVDKETNIPDVDTSCLATCTTSDVEEDFFKSNYSYEANEAGGNDESALLTSCVGTRWFRAPELLFGSTNYGAEIDLWSLGCIIAELFTLEPVFPGSSDIDQLSRIFTVLGNLSEEVWPGCVQLPDFRIISFSKVENPSGLDSCLPNRSQDEILLAKKLLCFDPAGRATAMELLHDKYLNEEPLPVPVSELRVPSSNHGEDNGSSGSGEWGDHREMDSDSDFDDFGGFDITRRTGGDFSIQFS >KVI01265 pep supercontig:CcrdV1:scaffold_167:197640:198395:-1 gene:Ccrd_020471 transcript:KVI01265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MENRFKLQISKLLQSTLNSCRPKNNSDVSDHHLFFLKNPHHRRLIDLFSPKPQPPSSSQPKLHLNRLGTTPFPAYTDRRRPQSISPPFHEKPTKRDRKKKPHYRKRRNNPSFSSITDNYYYNWWSSDEDNQSDGKTTLFSRRSVSSDSFESIRKNRTRRIAAAAGGGGGCRDTEVVAMDGFAVAKESKDPHEDFRSSMVDMIVEKGIFGVEELENLVECFLSLNSEEHHKVIFEVFAEIWETLISDLGKKE >KVI01273 pep supercontig:CcrdV1:scaffold_167:311869:328415:1 gene:Ccrd_020458 transcript:KVI01273 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, connector domain-containing protein MKRQKSILSFLQRPSTMPENEKPVGCGAPKGHSVVVSEEKLQHRNVAASNQPVVLSSTTVGISDEVRGTDTPPEKEQRPFFQSKSIARGDNGEHNRSGHSMFSSIKHKFVKPNNVKKPCDRNLSGGSHDIIYPLSNKYSYSNGRDKESSVSSSPVMKSVLDVEKTAFPGDKRHPLLVESDGDITGPETPGTRXLVPRLKRVQEDGCNFASTTTTTTTTQSFMDNSKRVKFSHDLLAEKKKDEVASESANANGRRPDNPLYDKRTLYIPPDVLRNMSASQKQYWSVKSQYMDVLIFFKVGKFYELYELDAEIGHKELDWKMTMSGVGKCRQVGITENAIDDAIEKLLARRYKVGRVEQLETAAQAKSRGATSVIQRKLVHVLTPSTLMHGNIGPQAVHLLALKEGIRGTDDGSIAYGFAFVDCAALQFWVGSISDDASYAALGALLMQVSPSEVIFESQGLSKEAQKALNKYSLMGSVASQMTPAQSATDFVDSSEVRHVIQMKGYFKGSSNAWDCALDGVVHQDAALCALGGLTSHLSRLKLDDALRNGRTLYKYLDNCITSSGKRLLRKWLCHPLKDAEEINHRLNVVEELMAHAEIMALITQYLRKLPDLERFLGQIRATFKSSALILLPLIGSKILKQRVKVFGSLVKGLRAGMDLLMLLQKDNPVFSLLLKIFTIPMLSGSDGLDKFLNQFEAAIDSDFPNYQAPELKDSDAEILSILIELFMEKANEWFQVILALNCIDVLRSFAVTANFSSMAMSRPFIVPRSDSSGFNQGSKGPTLHIRGLCHPYALGESGGMPVPNDLCLGDGECGYNPRTLLLTGPNMGGKSTLLRATCTFLVECTETASVLQNASQDSLEFAAHPHVTLQHMACTFEPVSDNSSSTTQKLVFLYRLTTGACPESYGMQVALMAGIPKRVVEAASKAAEVMKTKIGVSFRSSERRSEFSTLHEEWLRTVLTVAKVEDDKVEEDGYEDDVFDTLFCLWHELKCSNQKVR >KVI01271 pep supercontig:CcrdV1:scaffold_167:339880:341010:1 gene:Ccrd_020456 transcript:KVI01271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGVKSGVFVGFLFALFVGNCVEGRKLVAVEKGGGGGDDVKKPEWFVDDQPGFGGGGGGGWGGGGGGGGSFSFGIGNGVGFTIGGDDKKVVKPDCVGKEKGGGNKGKKHNKKEKSHHGHDSGSSGGGIGKGGGGGGGIGKGNGGGGGIGKGKGKGKGGGIGGGGGAGGGIGKGDGGGGGAGGGIGKGDGGGAGGGIGGGSGGGVGKGGGDSGGGGGGIGGGSGGGVGGGIGGGRGTGGGIGGGGGTGGGIGGGGGVGGGGMGGGGGGGMGGGGGSGGGVGGGFGGGIGGGGGGMGGGGGGGGGIGGGGVGGGNGSGGGFGGGIGKGSGGGFGGGAGGGFGGSSGGGFGGGAGGGFGGGIGGGGGGFGGGGGGGK >KVI01254 pep supercontig:CcrdV1:scaffold_167:248528:253078:1 gene:Ccrd_020467 transcript:KVI01254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MIHTTSESDVTSLGGSTSSSSASPKQHPATTSYYYVQSPSRDSHDDVDNKSSINSQTTPTYTSPMESPSHPSQSRPSSAASRISGPYRAISLGGKHHNHHIFRKKHHHHHKGWPPLFSVIDEEDGGYSDEDFHGDELFMRRCRITLSWRVNNFYYGEGLDVTGVPTKLLTVNCTVKMNIQNPATFFGIHVSSTSLNLFYSQVMVGTGQFMKYYQPKKSQRTVWVNLEGRRVPLYGAGAALVMSDSNGNVPLKLEFEIHSHANLVGKLVKTKHRRKVLCVMEVDPQKNDVIDFTSSSCSYN >KVI01268 pep supercontig:CcrdV1:scaffold_167:356137:365171:1 gene:Ccrd_020455 transcript:KVI01268 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane protein/tumor differentially expressed protein MILSKLEDGMGDTTNSISNRYAKLLEGSWLTQFCHGSNPWMARYVYSVMFLLSNLLAWAVRDYGPRLKSCQGGKDCLGTEGVLRIFYFTMFLSTAGTSKLHGRKELWHSGWWSAKVFLMIALLVLPFFLPTEMILIYGDVAHFGAGHIHSMLLATSAYIVSILGIILMYIWYTPQPSCLLNIFFITWTLSFVVAVLAIVIATFSTGIDSKCFQFKKEETQDENDVPYGFGFFHLVFATGAIAFHMES >KVI01270 pep supercontig:CcrdV1:scaffold_167:330466:334234:1 gene:Ccrd_020457 transcript:KVI01270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNPSVRSRRPVRNNNNYHGNSTYNEYENDEFDEDNEGINQRYGYQEDEEEEDDEDEYQHGYYRVSGGGIDTGESSRRHQKKRRLDNLVSNYEFAPRASVNASKEVYGGVPDRSGDEWSENATYVLLEVWGDRYLQLGRKSLRVDDWVEVAEKVSEMCKMEINETQCRNRLETLKKKYKKEKAKMEDMGMGGRGGEGYHGKWAFFKKMDMLLSPKKQHNGLPCGVDSGEYVFMNTKVYLNQSNALDEMRDSPGESESDEDDSDDRNDDDGFRLLAESVQNFGEIYEKIEGRKRQQMLELEKMRMDFQRELELQKKQILERAQAEIAKIREGDDEDINSVENLSG >KVI01262 pep supercontig:CcrdV1:scaffold_167:81538:92613:1 gene:Ccrd_020475 transcript:KVI01262 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRC domain-containing protein SAGGSDSGGFTGTPSAASCGADSSAPAAGPAIANTVAAATTTTTTTINSGDAAFSWQITESLTILTLRMVTMGKMKPESPKSRPRPNAEVKDGTPKKQKQCNYIVNVSLREYIAMVATVSIVITTLKTSLLEEMQSKVLWNWIIHKLMQEEAGEVVVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDSANNIAYLQQAANAAITGAIGSSGYGSPPVSRKRKTNHVKVSAPSSSWPSVPGAHDMKELCAVLVAYTSEAARVLSDERNVKEKMTNNGESTLTSSSIDRLQSPKEPNAQKAVADESLSGIQAENPGLDESGSDGSRGRPMSPGTLALMCDEQDTVFINSGSNLLVNNHGSATYGHLPNGQVITESYAEQEKMVLTAFRDCLNKLITLGELKGNDSGGQSQMEAVENPTTKARWDPFVNGFPRSAALPTTNPSQNNDNYTHLKIPFHAENGDLKLKPEKD >KVI01263 pep supercontig:CcrdV1:scaffold_167:147227:149312:1 gene:Ccrd_020473 transcript:KVI01263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELKDLCSQLHAAAEYCESTFLKANHKHLSTILPFRTFNPLMLLLVPFTCRVVENTKKYVCSAVVAVVDHLGSVSANLDYHISEIDTTVQTAVRIDFLKQKLFTCQEYSDRLALAKLNWRVDTPRYNSRYLKPSVPDVLSRNISLRDSGGDIIANTYNIKQGFKLDEEIPLLFYTCIYKSSPSRFGRSVSEKDEETSDSSSFSGLPISGRLSVSTKPQALSVQLQDKHKHKRGTLFRKSMTSGEILSFIRRRK >KVH88072 pep supercontig:CcrdV1:scaffold_1672:3938:7063:1 gene:Ccrd_024542 transcript:KVH88072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase, N-terminal MVSRSCRMIAAVIVTVTFLLDFGVAELPPNYTFVHEATXAPRISFYDYIVIGGGTTGIPVATTLSANATVLLLERGGSPYTNINVTXEANFGTYFFDTSPDSPSQRFVVEGVINARPRVLGGGTSINAGFYSRGEEQYHIEAGLTDADLIEESYQFAESVMVFPPXLGGWQTALRASLVEAGVTPDNGYTFEHLVGTKTGAGESTPVAFGVAFEDKFGNMHXAFLNGGESDEIILSAGALGSPQLLMLSGIGPREQLEALKIKVVLDQPLVGKNMSDNPLNGFFVPALVDVEASLVQNVGITDFGSYIEESGGXNXL >KVH88071 pep supercontig:CcrdV1:scaffold_1673:98564:102548:1 gene:Ccrd_024543 transcript:KVH88071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MPFHRSPPPRRRFIQVVPPNTTIQAFLSLSKMTIPLKASFHHSIVQSNKVEKGFCTEWGNHTVANDGTTILEQMDVDNQIGKLMVELSEVKATRLVMGWWHRYTDVNSGDKRGVVA >KVH88070 pep supercontig:CcrdV1:scaffold_1674:71788:77346:1 gene:Ccrd_024544 transcript:KVH88070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MARGKVQMRRIENPVHRQVTFCKRRSGLLKKAKELSVLCDAEIGLFIFSAHGKLYELATNGSMQGLLEKYMKSTRCYVEEQADEKQVLESKMEVNMLKNEIEVLQKGLRYMFEGGAGKMTLDELRILENNLEEGILKAANKCLQEQMEMQYDAANTDPIMTNIPYPLTVQNEIYQY >KVH88069 pep supercontig:CcrdV1:scaffold_1677:11832:17983:-1 gene:Ccrd_024545 transcript:KVH88069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MFVTTDVGQTLHRRLTLDINGNVRVYTLNKRSWTVSWQAISTPCEIHGICGQNSLCTYSFESGRRCICMHGYKAKNHTDMSFGCEPVFHHTGHHETYGFVKLPHVEFYGFDSIYIGESTLEKCKQACLNDSNCKAFQFTFDIGMGTFICYVKTLLFNGYYLGTHFVTYLKLPKSYVLSYDRNVANRNPQAEVEQRKPTLQLLLDSEAIKQLHEASQGEAEFLSKMSIIGKINHMNLIETYGYYAEGKHRILVYEYMENGSLAKNLSANQLDWXKRFEIATGVAKGLAYLHEECLEWVLHCDVKPHNILWILITIQKWQILACPSYSTEVQQKIPYFPKYEGLEDEYDQGQIQILLNVALKCVEEDKDARPTMSEVVKMLLHPEMNI >KVH88068 pep supercontig:CcrdV1:scaffold_1677:51272:53671:-1 gene:Ccrd_024546 transcript:KVH88068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MFNIMHSLSLFLLPLIILSSSPSYATSPNHVLTQGSSLSVESNDXLVSPNKLFTAGFHQIGINAYYFAVWFSEPMSDGNHTLVWMANRDEPVNGKRSKFSLHTTGNLVLTDAGRRIWTTDTKSTSALQLQLIDSGNLVLNQSDEQPYLWQSFSFPTDTILPNQPFTKDTVLISSRSSSNLSSGFYKLYFDNDNVIRLLYNSDEITSVYWPSPWLRTWEAGRTTYNNSRFALLDTTGRFKSTDDFMFVTTDVGQKLHRRLTLDIDGNVRVYTLNKRSWTVSWQAISTPCQIHGICGQNSLCTYSFESGRRCICMHGYKAKNHTDMSFGCEPVFRHTGHQETYGFVKLPHVEFYGFDSIYIRDSTLEKCKQACLNDSNCKAFQFTFDKGMGTFICYVKTLLFNGYYLGTHFVTYLKLPRSYVLSYDQNVANRSSFNCSNSTIKLERTYEIKHANGSLKFMLWFSVILGGIEFTCFIFFFYITRKPSGRSRTTQAYLAVATGFRRFTYDEIMKVSQKFRAEIGRGGGGIVYKGVLADSRMVAIKQLHEASQGEAEFLSEMSIIGKINHMNLIETYGYCAEGKHRILVYEYMENGSLAKNLSANQLDWRKRFEIATGVAKGLAYLHEECLEWVLHCDVKPXNILLDTDYNPKVADFGLEKVHEAAGSLTETQIVEILDPVMRDEYDQGQIQILLNVALKCVEEDKDARPTMSEVVKMLLHPEMNI >KVI04651 pep supercontig:CcrdV1:scaffold_1678:83441:95763:1 gene:Ccrd_017030 transcript:KVI04651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MWDLTPDTELLMELPEEYTFETALADLIDNSLQAVWSNGENEKRLISVEVADDKISIVDTGPGMDSMGKMGASLHRASKIQAVGGKPPYLKVNAMEYAYIVILNLTTHDDCDYLLPAFGMFGYGGFVASMHLGRHTEVSSKTKNCKKVYILRLERDALVGGSGSKRTWRVTKFLVALLLYLLVEAYTYGSLRDPMEDELELSPGGSFTKVDIFEPKMRSIDIRRLQCKLKDIYFPYIQVCWLIHDAGMCDELSNKGRTTMPIEFQVSQLSCTLLFLEAFVNGDDLAEIPGGEVAITNLNSCNSPEFVLQLRFHLNHKNATMTSSQDEANARLRCVYLPVKEGKESIQSILETLKEDGYEHTEEYESFSHVSCRRLGRLLPDARWVSGFKRLAWLPFMDFRQNKGDRSQVLKRSCMRVKCFIALIVVIPETDAGFNPTSSKTNFAHQNPYTNALRNLGSRDSLEKETGVHIEIRRDGKPLTLSQLNKQYQQWLLDMHDKYDKEADCGTDEPVYIVNPIKTKELHTSRNVVRVHKALRWKGKSWKSGQRIKILKGACAGFHKTNVYATMEYILLEGFQGDAGGESIDTLEEDGCLLETIKGNPILDLRKSVSIHINAIDSGKCLAVDDAEWNQQLQKQHQKSPSSIEILTRRQCRELGIEVSLPDEREVCAGHVSPCEIVAVVRPATFNSGTASKHLDQKYVMKDKFEMSLAIAYSGNEKLQDESNIYSALVTPSSRRDVHGLYVFQPNCKSHPLFHKAGIYTFSLSIKCVVKVQVKASREAHRWAPSKEIPYHLLTVGRYCEPISVVKFDKYDNQIPFLEVSEVEVKVNCTEGTRVQVLKYNPSTSSDKSALILKSSTLDNIRPSYDATLMLSLPDGSHLLDILVKVVPGPVKHVTVRPEICEKQLIPGHLFDAHRNHLQEKQKVLLGVDGCCFPDGSYCLQKKVDARGCIDLGGLLRVTAGYGRKVFLSVSSDGGVIHKEWQIEKRQLRTTSVIPESCFAGSKLENLEFEVVNPKGEVDVNFHDEDKIGQSHTLVITSQFIEIDESVKYVFRDGRCIVRAVPIPSEEGDFSFVVSHSRHVELQLTIKVYVEIHPEMKPFNIIHELPPEMTPLNIKHLSTDENMALVDSNAPMDAQTDYRSVQCHTPETKLSPIQDVYYDFQSDLRSCLNFEKDLMNEICDLGLRIGQHEDKIKQLECQKSAIDSELLELEVIRYQTYSTERNGIKYGSPGKMEIKAQVELKTDSAASVVMELLREESSQSFKRIIGVVALLGTTPTLELSRIFAEYLGDQMLAVVCKNYKDVRFLETYKKNGRLDHNRALHMLAAGLGKSVIGRYHLLCIEDISACKVDKDLEGKLMLPNPSLPDGATPAGFVGYAVNMIDIDVDHLDTRTDSGSYLRETLFYRLFGETQVYKTRQDMKNAISCIKDGAVSLDGGIFRGNGAMSLGFW >KVI04650 pep supercontig:CcrdV1:scaffold_1678:107480:108530:-1 gene:Ccrd_017031 transcript:KVI04650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDKQIIQLVTAGSSDREKDPLSIDHVEQIENLQRPISMEAGSIVLMDLLSDPTENDSVEGLRPNARGPGLVTFVEISSDSSQNVRSALASVIMGMAQVLEK >KVI04652 pep supercontig:CcrdV1:scaffold_1678:11363:15080:-1 gene:Ccrd_017026 transcript:KVI04652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MVGSNHVNLPRFRGRIWRLWESLNTKRNGELISLDMVLIDENNLVNKFNHLLKERNVSVLKNFKVVESSGTFKVIDSNLKIVFTLLTKVEKVDAHVVPSIPMHGFQLASEKTLNDRLNDDNILTCMSKRNIIGCLTVVGDVEIVRGGFRKRDLEIIPELHPKLCNFKCKQSVLISTPTMCWPSLTDLLMFVLDYTWGCLLSVRDDTDVVNCVVLHKLAERMVDSSPLKLLNKYDPDKDNLPSEITSLSGQKFVLCLQLSNYNIKHDNDIFTVYKETVLSDMKNLDAIGGIQPYNNATPTTTRKKKFIVNDDDAND >KVI04656 pep supercontig:CcrdV1:scaffold_1678:76901:77623:-1 gene:Ccrd_017029 transcript:KVI04656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MSRNPDLFFYVCIAKHTTILAEFNSKDADLGDLAKKCLDRTPSYHSIFSHTVHGQTYMFFILDPFVYFGIFDELLEKPECLSFLMSIKDEFTSMIDECSSGTMKQRLHSPNSHCFQGELSPVFQHLMGSYSEFNITFSPIGLKGDHRESLEYVRRNNSSLEGLKMKKRFFGEANKDELEEEKLDALSDDDITVSSGRRLQKAKYIWKKQVMVVLSIDFIVCVVFFLIWLWVCRGFQCIAS >KVI04654 pep supercontig:CcrdV1:scaffold_1678:97:534:-1 gene:Ccrd_017025 transcript:KVI04654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQFGVDGLDLQITQDLSTMLEEHNMLVHSFRMARDIYMCEPNIVFRLRIINSRTTDGRQYNLPSANEVAGLIVGELSEKNFECDVIVEHRTTELQRITDLHPSFMSMTYPLIHPYGEDGFKQNILLQNMDGSSSKRQFVTMRQ >KVI04653 pep supercontig:CcrdV1:scaffold_1678:30334:32263:1 gene:Ccrd_017027 transcript:KVI04653 gene_biotype:protein_coding transcript_biotype:protein_coding description:EKC/KEOPS complex, subunit Pcc1 MESIASAIETDAQKTIPSPWEFSCDLEVDYKSEEKAGIVYSALAVDKELQPDKVRRLMSISDGKLSVHFEAVEARFLRASFSAFVDVLTLATKTIEQFGEGIEL >KVI04655 pep supercontig:CcrdV1:scaffold_1678:33881:36568:-1 gene:Ccrd_017028 transcript:KVI04655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLTSSAALPIPKEPANYDEIAMQQSLLFADSLKAISSSRDLKNLRKQLYAAAEYFELSYTNDDQKQLVVDTLKDYAIKALVNTVDHLGAVSYKVNDILGEKVNEVSATELRVSCIQQFSAFCDPFCMDMEGDNYCLNIELDVQLERPCKVINAIKKCRKGRSPSPSPQPAQQPGSFAFTGAVTRKDLEKRSVSPRRFSLLRTGSLSSRPTTPNSRSNSRSTTPNPSRPTTPTSIGRQRLSEPRKSASMRMHADRETYRETDQIPSKSKRLLKALLSRRKSKKDDMLYTYLDEY >KVH88067 pep supercontig:CcrdV1:scaffold_1679:54165:57059:1 gene:Ccrd_024547 transcript:KVH88067 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MIQRPLKTIAKRSGDVYIPCGVSVPALDKDILWEFQPKKLGXGDLVTGGDLYATVFENSLVEHHIALPPDAMTVFVMILASLIYNRLADPXCTLVVQIPGWNGLSNARVTIFGDVFPLPEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISSDIYFIGGFGTVAWVNVKEYEALRPGKIDELNAIFSKPLKELLSLEKEVDDAALISIDSKGTDVRVRQGAQFNIQRLAFEEGHGVETLEEAKTALWNFIHRGRGLDKFXGQLLLFTNSRSK >KVI00679 pep supercontig:CcrdV1:scaffold_168:212544:236723:1 gene:Ccrd_021076 transcript:KVI00679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFNISQNHLFSYAQTTRFRSPCINGRQPRRLRISNLKKGCLRCNTSYQDKDNSPNACSFHGHANGDKGLFALAPPHQGIDGEWSDGSGVIVYKWNDKTDRPNTGRANWKKRWSCCGEYDENAPPCQRGWHVSYDDGFTLY >KVI00677 pep supercontig:CcrdV1:scaffold_168:197777:203096:1 gene:Ccrd_021074 transcript:KVI00677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSSLSSSSSPPLKEAVPLLSKLSLKKDLELQHHDPSSNSAMEEDKNNCRFSTSTTTKLLTSTTVNVTLNIGLPTSPIYPSYPQEKVTSNGDEMLLEFPFCPEKKGGNGDEMLLGFHWGPNGFEEKNVNGDEMLQGFPINRLKKTNGLQYWIPNPSQILTGPNQFSCPICSKNFTRYNNLQPTSMPKLPCYCCVLGCKHHIDHPRSRPLKDFKTLQTHYKRKHGTKTFVCRKCGKAIAVKGDWRTHEKNCGRVWYCNCGSDFKHKRSLKDHVKVFGHGHGHGGAYGIDFIQQQEDDEDEGMLSEIEHM >KVI00664 pep supercontig:CcrdV1:scaffold_168:276502:285671:1 gene:Ccrd_021078 transcript:KVI00664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPGNRTRVLCKFFAHGACLKGEHCEFSHDWKDPANNVCTFYQKGACAYGSRCRYDHVKVSQRQTSASSSAYPYQFADSESVNASSASATAVPGVIGELSASSRPYISPAKPAWGEGSGQDDLLNDVIGQSRSTNPADIVMCSFAAAGSCPRGENCPHVHGDLCPTCRKQCLHPFRPDEREEHKKSCEKKHKHLEALKHSQEIECSVCLERVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPTSGMDVNSALRSCPICRKLSYFVIPSVIWYATKDEKQEIVDSYKARLRSIDCRHFDFGNGACPFGTSCFYKHAYRDGRLEEVVLRHLGDEDGQTVIAKDIRVSLPLSWHSGRGLLAKGSLPYYFPLGMPHHKTLSSLGVSVALQIFFRWLIDEVGDMGHA >KVI00663 pep supercontig:CcrdV1:scaffold_168:123819:131019:-1 gene:Ccrd_021072 transcript:KVI00663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNGNRQMEVHYVNTGFPYTAAESFMDFFDGISHQPTHYSHSGPTHDQENAYWSMNMSSYKYGLPAHGSIPYYDPYEVQNYVPRMDLNRSAWEYPVMMNVTEPASADVQSAESSVPSMQAIPEECSPNDDSASSSQVVWQDDIDPDNMTYEELLDLGEAIGSESRGLSQELIDSLPTTRYKAGGFFLRKKSGERLDMSNLQCGSGWGGVAKLKELM >KVI00675 pep supercontig:CcrdV1:scaffold_168:409441:415865:1 gene:Ccrd_021086 transcript:KVI00675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, A20-type CIYINYIKNTIFFHIPHLWLSGDFLLSPRGVGIWKKRCSNLVSFEEQMEKNETGCQAAPEAPILCVNNCGFFGTPATMNMCSKCHKDMILKQEHAKLATSAIKNIMDGGNDKESARVPSLFGAPSGSAASEMAQPSCGSPTQAESNSKSKEGPTRCMTCRKRVGLTGFNCRCGHIFCSVHRYSDKHDCPFDYRATAKDAIAKANPVVKAEKLEKI >KVI00669 pep supercontig:CcrdV1:scaffold_168:238376:239152:-1 gene:Ccrd_021077 transcript:KVI00669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MATIFSPSALFTTTTGAAASSSSSSTTSSSTTPSKTSSLTKPHLPIRSTTKPQLPNLTTTALTATALAAATIIATTTTIIPPALSAESYATYYGTAASAANYGGYGGNSDKKRSAEYIYDIPEGWKERLVSKVEKGTNGTDSEFYNPKKKTEKEYLTFLGGLRQLAPKEAVLNNLALSDVNLQDLIASADSVSSEETKDENGQVYYVYEIDSASAHSLISVTCARNKLYAHFVMAPAAEWKKDQETLKHIHESFKTVG >KVI00665 pep supercontig:CcrdV1:scaffold_168:293737:294054:-1 gene:Ccrd_021079 transcript:KVI00665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPFLSSIYHHLLVTASTIDLYLLRFSVSPISVSMAATTSFKAISMIFVIAAVLSASAVSAQDFEMAPAAAPTGMDSGSGYSVPVSGLILCASVIVSALALIKQ >KVI00674 pep supercontig:CcrdV1:scaffold_168:376832:391150:-1 gene:Ccrd_021085 transcript:KVI00674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDSTDDLTFRIIFSGDGAGRLRDRVKEKLKEFMGDYTDDTLVEYVIVLLKNGRRKEEARNELNVFLGDDSDSFVSWLWDHLRSNLHLYAQSRESRPDEVAKQKPHSEKEDGRSDLPHLDSEPEGVKSEKLPKSRRNKDWRGVIRDPDEPPPLRSAVIANIHAEDKSHQSGSHMKRSPSPQPLIQRKRGRSGERGRTDERGQSDERAPVKREAAPKSTLGASRRLLQFAVRDAVATSRPTGLTSEPSLKRLRSVVSTPTEDSPLEERRPKIRSVARVPNAMAVAIKAVADAAKDVVKVKPSGNVFDRLGRCMDISDGTDQFTEDREVADEDDGNHKGFTQITEATVPTYREQSDYSGQYVGDILEHDAGINSVVAYDTDGYNNRNALYRRVKVDPSQIGTSGGQLGNDSLMLHYDATSIVDGTANKPHRDQNTPVALANSAPKIVNISSNVNTWKPSHHPEQRGGSHMDSRKLAQDNELGAGNYGVRVIKDDSNPVTVSNGNGVPTIHAQREMQKTLSSTGVYPTGRPTEDVDSRTIFVSNVHFAATTDSLSRHFNKFGDVLKVVIVTEAATGQPKGSAYVEFMRKEAAENALSLDGTSFMSRILKVVRKSSAHQEAAAVVTTTWPRVTRGSPFGASRFGRVPFPRGGGVYRSRLPIKTGARSLQWKRDSQNSSSSDSIPSPAPTTTRSLTYVRTEAKTEGNSNNA >KVI00681 pep supercontig:CcrdV1:scaffold_168:60139:68122:-1 gene:Ccrd_021069 transcript:KVI00681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGRFRSSVPRLAKALMSDSLSTQRSTVERALLCPNFTDSQVQSRNFASAPAPKEPKIKVPVAMFGGSGNYASALYIAAAKAKTLDKVESELLDLVAASEKSPTFSQFMKDLAVPADTRVKAITEICDQAKFSEVTKNFLVVLADNGRLRHVDTIAKRFSDLTMAHRGEVKAVVTTVIPLPAEEEKELKSTLQEILGKGKTVKLEQKIDPSILGGIVVEFGQKVFDMSIKTRAKQMERFLRDPINFDA >KVI00672 pep supercontig:CcrdV1:scaffold_168:347317:353710:-1 gene:Ccrd_021083 transcript:KVI00672 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MSIPSDLYPSQDDLLYEEELLRNPFSLKLWWRYLIARTESPFKKRAVIYERALKALPGSYKLWHAYLRERLELVRNLPISHTQYQTLNNTFERALVTMHKMPRIWIMYLTSLTEQKLVTLTRRTFDRALCALPVTQHDRIWEPYLVFVSQRGVPIETSLRVYRRYLKYDPGHIEDLIEFLLNSELWQEAAERLAGVLNDDRFYSIKGKTKHRLWLELCDLLTQHATEISGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRKLLEKARDVFEEGMTTVVTVRDFSVIFDAYSQFEESVLALKMEGMSESEDEEEDGEKKSVVEDEEDEEDDRLNVAKLEEKLKRFWLCDEKDVDLRIARLEHLMDRRPELANSVLLRQNPHNVEQWHRRVKIFEGNPTKQILTYTEAVRTIDPMKAVGKPHTLWVAFAKLYESHKDIANARVIFDKAVQVNYKAVDNLASIWCEWAEMELRHKNFKGALELMRRATAEPSAEVKRRVAADGNEPVQIKLHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAMLLEDNKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKSKLERARELFEHAVEMAPAEVVKPLYLQYAKLEEDYGLAKRAMRVYDQATKAVPPNEKWSMYEIYIARASEIFGVPKTREIYEQAIVSDGLPERDAMKMCIKYAELEKNLGEIDRARKIYVYASWLADPRTDGDFWNKWHEFEVQHGNEDTFRDMLRIKRSVSARHSQTHVMLPEYLMQKPTVDEAMDQLKKAGVPEDEMAALERQLAPAAPKDLSTKDTGARKLGFVSGGLQSSETASGILNQEEIELPEGSGSDEEDDDGDGKVEIAQKDVPEAVFGGLVRKRDEEAEKDKENGDGGSRLGALERIKRMRQGN >KVI00670 pep supercontig:CcrdV1:scaffold_168:328696:331680:-1 gene:Ccrd_021081 transcript:KVI00670 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MAAFSTLELMLHEVQNQEHIGHHETMPVLPQRPLSKARIPSKRARRAALSFHSQHFRSEIEEFVDGKSRRDGFAFLDGHGGRVLSKDDVKNKEEKAEKGIIVIQKFVRGENARRDFRKIAKMLIEENVNREFVWKPLRDRETTIVYMQSEVLRSEAALQDKKRENAILELRIQHIDKKWELHKAKMNLKEKSWQDELTSIQMSLVSARERTTDEITDHLPRNPTRQQEIGKMDLTIREILELQENDMETDCGFRLKSRKCQEHEFKKLKCRFKAWQKDFKARLHVRRRVDGFDDDCRTQRVHKRCWVN >KVI00680 pep supercontig:CcrdV1:scaffold_168:1121:23041:-1 gene:Ccrd_021068 transcript:KVI00680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MWPSVICRKLLTDCPYPLRGPAALARLKVYEGVPTPYNRKKRMVIPDVLKVLRLTVGHKYCLLGQLSSEVGWNHYETIMVNICAPLSLALLWHFLSVMGFSTLLTFQGGRTVPRFQELTPEKLGKMIAFIHSIMITTPPSPSSLPVSDQKIDDCA >KVI00671 pep supercontig:CcrdV1:scaffold_168:302005:303417:-1 gene:Ccrd_021080 transcript:KVI00671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPFHVNILEKCHVSPPPDSIIPPISLPLTFFDIPWLLHPSNQTLFFFPKPPAKSSTTTVISLLKQALSLTLHHFHPLAGNLSAPPPPAEPHIVYNKGDSVSLTIAESNANISHLSGNHPRSITMLYSLLPKLPFPSKSRDTHVVLVLPLLAIQITVFRDLGFSVGVTAQHAAADERTLDQFIKCWASVCKSLLKKDSFFAFKPQPFFDRTIILDPNSHKTTFLKQWWHRRLMNSPKDSHQEIIGHNIVQATFILSSSDINMIKHHILAKCKTIKEDPPVHLSPYVSACSFIWVCLLKSEEETYDSKGTTTPLYIGFNAGGITRLGYEIPSSYFGNCIAFGRCKALGSQLLGEDGVVFAAKSIGKEIKRLDKDVLEGAERWICEWDELNIRVLGSPKVDSYAMDFGWGKVDKVEKLSSDDHHGRVNHVISLTGSRDLKDGMEIGVVLSRATMNAFTRLFSGGLLELAIS >KVI00667 pep supercontig:CcrdV1:scaffold_168:90055:90258:1 gene:Ccrd_021070 transcript:KVI00667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLVLAVGFSAVPLTLFVPPVRNFNLFVASMEDLWRESSLYTNRMYPRLRYACSRVLDCMLCNSTP >KVI00668 pep supercontig:CcrdV1:scaffold_168:113124:115492:-1 gene:Ccrd_021071 transcript:KVI00668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATIDWKDSKFEKDEVYEHIDAPQWIDLSANHPPLHHDDDAWFCRPDCDHPKTADDFFIKTFPNPSKLQRSANVSENPPVDDQNQSNTPMKKRGTPMNINSRSAKHVQDNENQNPNCLSPADHPVKLSEEKKAENSSSVSEETPRKLKSTFSARNLFAGNNILNQITEFCNELKRLATRTKQSGDEHGEKQIEQKKPGAGVLKEREVQRKPLLEATKVKHEDIEKSSSNGKDKLTRKIRNTGLENSPIAETTKSTRRKAEKRVFQILTTKATPPKAFWTRPQQQQQEEARIWEGGNTDQGNSAASIS >KVI00666 pep supercontig:CcrdV1:scaffold_168:374264:375070:1 gene:Ccrd_021084 transcript:KVI00666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMASTISRRFASKIFNPSSSALFSLYPTSHHLNPKDSHRSFFSNTTPNFTSFNHHRSSFHTAPFTPNYNSIRSNTSCNSSFKIQSLSPNLYQTPRFLSTATPPSDPEETQKPNENPSQTHEEFKNQEITGPTVERDVSALANETRQVLDVMMKTIYNLSKSLALLGLFQLGLGAWISYVTKSTPIPEVSVQSCLAFGLPFSLSFMLRRSLKPMSFFRKMEEQGRLQILTLTLQVAKNLNVFFARLNVVSYLCIGVASLGLLVIALY >KVI00673 pep supercontig:CcrdV1:scaffold_168:338711:347866:1 gene:Ccrd_021082 transcript:KVI00673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MPLFQSTVSHNLRHFNISIFVLHLAAFSFTFNVVIFMLTTNTSSTSDSPHCYDFDALRNSSENITKLHLYSFIVTAHAIIALYSFFEIGASIWEISRGSTIFPEFSQLWLSFGHNQLNLTAFSTGVCQLVATGRIGGDVACQTSERDSYVIPEAVSDDCKPPLTNPSFLAPVSLVERSFGAAGANCLSKAAISSSGTPAFFS >KVI00678 pep supercontig:CcrdV1:scaffold_168:204771:207717:1 gene:Ccrd_021075 transcript:KVI00678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALLYFVEGMHVNIRWRGSGIGDLVYIQFRPEISSSQGTSIYRRKQRLNSKDCNKAFALRLGHYLASITHLKHVESDKEAILLKRENVEWKL >KVI00676 pep supercontig:CcrdV1:scaffold_168:148767:150388:-1 gene:Ccrd_021073 transcript:KVI00676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide translocator 1 MAIDHSQHPSVSQKLAGSLLGSSMTQLRARESGFQQPQAYQRHFQYGNYSNAAFQYPTQPSADLSMIVPKVSPICVQAPAEKGLSGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLAEPYKGIGDCFGRTIKDEGFVALWRGNTANALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLASDGIAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVVLTGKMQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDKLQLIVFGKKYGSGGG >KVI00563 pep supercontig:CcrdV1:scaffold_1681:78645:79679:1 gene:Ccrd_021191 transcript:KVI00563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHYTDLSSIVGVCASCLREHLLSLIAAQEQAQSQAQAHAQAQNLDQKHRNLEKNLVFPRSVSPYINYRKSDHSAGAAAWSHNNRRKDHHPHPPTAPRHHHSLSDQLFYRTPQVGPRPTTGCHNTGGHSHHKRSFMRWLSFPKIFRSRNRNSVDTVSVPGASDSTFREQRGGGNATSSPSWFSNIIHGGRYRKKRPVYVDESSVPTAGGVVRRLHCPDRGMSPVRNSDGTVGDEDELYDATSGYESTESMKQTPRRTPAHLPVRRGGGGGHARTLSGLTFCLSPMVRASPNRQWNQKSMPVDGGLSGEIRAPVKPHLSNTKSFCANRSRKLADFGRPNPNR >KVI00559 pep supercontig:CcrdV1:scaffold_1681:12432:16007:-1 gene:Ccrd_021186 transcript:KVI00559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWYMRMNTKISAIRFSVQFVRTIIIIFHILFDTSCASDEFPRKISPNIGFNDPPVLSFDIQGPPPVYPAGSIDLGGLEVYGTLYFLKIWDTLGGNPKDGATFYEPYAFPPDFKLLGHYCRPNDVPFPAAVLVAKDTTGDPNHGALKRPIDYTLMWTSKGVNFGQYDDGYIWLPIPPNGYKAVGHIVTTTPEKPSLDKIMCVRLDFTDLVEVDKWIWGVKMGRRSIDVSTTKPVTRGLSVPIGTFLARAKGSETHNLACLKMVQKDPYLAMPNSLQISRMINLYSPWVYLHPDEEFFPSTVLWFFENGAELHEKNIIPQPVINNGENLPTNGTQDDAFLDLPPNQPQKDKVKKGFLNDAIAYIHVKPELGGTFTDLAIWLYYPFNGGGKLQLGPLAINLGKIGEHVSDWEHITLRIDNYHGILKEIYLSQHAAGKWLTAPEFEFINGTRPVVYSSLHGHAHYATPNYHLHGRSYIDPMDIEEFNELLEMDLESPITGGEKFLGFGVRDDTAKSNYVMDIASSYNVVYVDYKKFDSLMVPWLNYTGRWGPKITYGFTKEVTKIANKLPAKMRNVAMRMLHKLPPELLGEEGPEGPKMKDSWTGDEKVKL >KVI00560 pep supercontig:CcrdV1:scaffold_1681:24842:27053:1 gene:Ccrd_021187 transcript:KVI00560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MAAAQLSSNSVLPSFEGLRSSTSKASSVVVSLPFTSLTLPGLVVKSAPKRFVVQAAWTRRSRSEAEKRPNKKSWKQRTDMYMRPFQLNVFFSKRFVHAKVMHRGTSKVISVATTNSKDLRNTLPSLIDNEACRVIGRLIAERSKEADVFAMAFEAKKNERIEGRLAIVLDTIKDNGIIFV >KVI00564 pep supercontig:CcrdV1:scaffold_1681:54278:68368:-1 gene:Ccrd_021190 transcript:KVI00564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RASLWLLPRSLLPQLYRAYSRSLNSLHPTCFFLIRAKKMSNFSRQIRFSSSVFRGLQYRISRSFTTIEGHRPTIVHKQGLDILHDPWFNKGTAFSMTERDRLELRGLLPPNVMSNEQQIERFMADLKRLEVNARDGPSDTNSLAKWRILNRLHDRNETMYYKVLIAKIEEYAPIVYTPTVGLVCQKYSGLFRRPRGMYFSAADRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPIMIDVGTNNEALLKDPLYLGLQQHRLDGEEYIAVIDEFMEAVFTRWPHVIVQSKWAFKLLQRYRNSYRMFNDDVQGTAGVAIAGLLGAVRAQGKPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNELAYESAGSQFWVVDAKGLVTEEREDIDNDAKPFARKTKEIGRQGLREGASLAEVVREVKPDVLLGLSAVGGLFSKEVLEALRGSTSTRPAIFAMSNPTTNAECTPEEAFSIVGENIIFASGSPFTDVDLGNGHIGHCNQGNNIIGLGTLLSGSRIVSDGMLQAAAECLAAYMTEEEVLKGIIYPSISSIRDITKQVAAAVISEAIEEDLAEGYREMDARELCKLNKDEILCYVEDNMWNPDYPTLIYKKD >KVI00561 pep supercontig:CcrdV1:scaffold_1681:27573:34000:-1 gene:Ccrd_021188 transcript:KVI00561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MGTVVEAANKEGTKSALSEKKPKVVFVLGGPGSGKGTQCANIVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMISEGKIVPSEVTIKLLEKAIVENENDKFLIDGFPRNEENRAAFESVGRVDDNIDTIRKRFKVFVESSLSVIDYYNSKGKVRKIDAAKPVGEVFEAVKAVFAPAHDKVAA >KVI00562 pep supercontig:CcrdV1:scaffold_1681:37289:44718:-1 gene:Ccrd_021189 transcript:KVI00562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin RHGHCLTDIQALSEFIFSYPPILVGKETKRFLVMATMGSLIGLVNRIQRACTALGDYGGGDSALASLWDALPSVVVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKIEDKEEYAEFGHIPRRRFTDFSLVRQEIQDETDRITGKSKQISPIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVEDIEKMVRAYVDKPNSIILAISPANQDIATSDAIKLAKEVDPSGMLTSLLLKCERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADIMKNTDMIYARRREQEYFATSSDYGHLASKMGSEYLAKLLSRHLESVIKAKIPGIISLINKGIDEMEAELDRLGRPIAVDAGAKLYTILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDKHLSLPNVRKIVSEADGYQPHLIAPEQGYRRLIDGSLSYFRGPAEASVDAVHFVLKELVRKSIGETEQSKKTVVRLVDMESSYLTVDFFRRLPQEVEKGGLAPSPADRRAASADRKNPSSDHGIPTPERGNPSDPLGDRYADAHFRRIGSNVSSYISMVSDTLRITIPKAVVYCQVKEARQNLLHYFYTQIGRKEGEQLGELLDEDPSLMSKRQELAKRLELYKAARDEIDSVAWVR >KVH88065 pep supercontig:CcrdV1:scaffold_1682:28164:40581:1 gene:Ccrd_024549 transcript:KVH88065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl hydroperoxide reductase subunit C/ Thiol specific antioxidant MASAISGAIANALLLPPSTVGKLGLTLATTPRRKCCSLLSPSTELVYRCVRRRKNVPAARKLVVRAARTESVGVSLGSRAPNFELQEPLTGNIWKLEDFESYPALLVMFICNHCPFVKHLKKDIVKLTNFYMKKGLGVVAISSNSIVTHPQDGPVFMAEDARLFNYPFPYLYDHSQEVARDYGAVCTPEFYLFKKDGRRFFELVYHGQFDDSRPSNNVRVTGRDLSLAIDYVLSGQPVAKNQKPSVGCSIKWHPDGSV >KVH88063 pep supercontig:CcrdV1:scaffold_1682:47173:52077:1 gene:Ccrd_024550 transcript:KVH88063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MSNAGQGESRQPAAPKQELQPHPVTEQLAGVEYCVNSPPPWRFGTLMQTMFGTRLPSVVGGSHAFLIPIFSIIHAKRYNTFSEPHEYLPPYLTSKKPMFDRFAVLLSVSIAWTCTGILTWSGAYAKSTDTLNTCRTDRSGLIYGAPWIYVPYPFQWGTPTFDVGEVLMMMVASFISSIEGVGTFLGGMCGTVTGFAASTENCGALALTRVGSRRVIQISAAFMIFFSVFGKFGAVFASIPLPIAAALYCICFGCVCLGHLQFCNLNSFRTKFILGLSFSLGLSLPQFFREHWVGFDHGPVHTHARWFDNMVSVVLMSHASVAVMIAMVLDCTLCKGNDEYRKDWWEKFAVYGKDIRSDEFYKLPWQLNKLFPAL >KVH88064 pep supercontig:CcrdV1:scaffold_1682:100861:102870:-1 gene:Ccrd_024551 transcript:KVH88064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MIESLFGYNLHNSNEESKSKSPSPSKHVLEPKRLQNLTILLKALNATTEQVCRALVQGNGLNLQQLEVLIKMEPTKEEEAKLTGFKGDLGSAETFVASILTIPYAFPRIEALLYRETFEDEVTHLRKTFLMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLADVKGTDGKTTLLHFVVQEIIRSEGIRVSESIIGKINQKSKRRNPEDREEDYRNMGLELVSGLGTELCNVKKTATIDFEVIASSVSNISQGMGRLRRLVNEDLLIKEKGSSFVESMKTFLNYAEKHLKELEEDEYKVLELVKEITEYFHGNMSKDDANPLRIFVIVRDFLAMLDLVCRELRRSKVLAPFR >KVH88066 pep supercontig:CcrdV1:scaffold_1682:12338:13321:-1 gene:Ccrd_024548 transcript:KVH88066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPQEEMQEVGFFGIYKESVKTILSWKKLFTQITLTFILPLSFIFLLHIVISDVIDWRIENDEDRLDYRGGNDHLYRRLSSEWIGYWIFRLVYLSFLLLFSLLSTAAIVYTIAVKYSGDEVTFKKVLKIVPKVWKRLALTFLWTYFGFFIYNVVAGVVVYIWSTTTTDSTFSVVLFYILVAIYCVGFIYITAVWQLASVVTVLESSYGLQAMMKGSALIKGKRWLSWFVFFGLYCIFVGILIVFYLFVWYGFMGLIIGILCIFLLMDLFLLGYVVQTMLYLVCKSYHREPIDKLGLSSQLGGYLGEFEPAFKVNKDIQLGQPQVEV >KVH88062 pep supercontig:CcrdV1:scaffold_1683:75376:79744:1 gene:Ccrd_024553 transcript:KVH88062 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD52-1B.2 [Source:Projected from Arabidopsis thaliana (AT1G71310) UniProtKB/TrEMBL;Acc:A0A178W7H6] MKILQSIGVVLDIPAEILSLIPHKFLGKPSKGLLVPETMAFCLMKSLSQKSTNSLSAALRLTSSELVGAKSALSAHGLLYSTKRAKKEEIIAAYEIPAPPPPLDDDIPTSGISRPISEILKELNKKVPDSLIRARTEANGFSIKYIPWHIVNRIMNLHAPEWSGEVRRIDYSADGKSVSVTYRVTIYGTDAEVGYGDPVQKAEAMAFRRACARFGLGLHLYHEEL >KVH88061 pep supercontig:CcrdV1:scaffold_1683:59315:61953:1 gene:Ccrd_024552 transcript:KVH88061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MIEMGRQRWWFTVVVVVAWWWEGVIGAGQAPAIWVMGDSLVDSGNNNFMRSIARADYFPYGCDYYRGASGRFCNARTFSDILGDWLGIPAPPPFSDPGTAGNRLLGGVNYASASGGILDESGQHYQVVNFETTLGQLRTMLSPEDLTQLLSNSIVVMVFGSNDYLNNYLMPTVYTSSRTYTPEAFATLLLNHYARQIHALYSLGLRKFFLPGLGPLGCIPNQLATGQAAPGRCVDSVNQLIGPFNEGLKNLVDQFNGFTVRDRACCGIGRNQGQITCLPMTTPCFNRDQYVFWDAFHPTQAANAVLAQRAYSGSTNDCSPINVQQLAQIKF >KVI03250 pep supercontig:CcrdV1:scaffold_1686:52096:56109:1 gene:Ccrd_018453 transcript:KVI03250 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MNDMFLILQICIDYPNEYLVSINGTVGSYEGFDVVMSLCFVTNKNCYGPYGSDTGTRLSCNGKGGINVGFHRGVGKYLDAVGIYVMPKSFALGRNSAFENYSTHEVHPMVNLDGVDEYLTGISGFYGPVQGYNGLEAIMSITFHTNKTIHEPYGEKSGAGYTYFTSTVSPGEVVGFHGRNDGFGQFRVQFRHVSGNLYKQNFGTDLDKATNELVLHVQPDEVNYLKINNKIPGCGMRLDRSDLNLLYNTRLFIRSDELDASWSIFMPLSKELEAKKIAPDLYLYVSRESVRAHYLAANYNNRLLAPARDLVVKKILEIEKEE >KVI03251 pep supercontig:CcrdV1:scaffold_1686:81203:86851:1 gene:Ccrd_018454 transcript:KVI03251 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MFQLHIADCVLCKTSTKEAIQNMHGSYPDWSTCSPPFLGHTTRKMDFREGSSREGFGSVSKGLEDSSSYGRRLRFRDCGFIELRSYYRCTGVKCNVRKHVERASDDPSVFITTYEGLKNDLFPETLRDKATARRYELEKEWIKEMTVNVETIDPKHLVEIGLEGFYGPSTPNKVQYNPNTYAQQVGTDFIRNHQILGVEFASVHIDADS >KVH88059 pep supercontig:CcrdV1:scaffold_1687:31540:76087:-1 gene:Ccrd_024554 transcript:KVH88059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase like protein [Source:Projected from Arabidopsis thaliana (AT5G57850) UniProtKB/TrEMBL;Acc:Q0WW83] MAVLLYLGKPIPETPSFPLIVADQQPNPLKYSRNLQTRVRGKCLRRSHVVKSSLKESTREYDVPLLSASEAIESLRRSRESYKSTQRYLAMYSSVFGGITTDQAAMVIPMDDHMVHRGHGVFDTAAIVDGCIYELEQHLDRFLGSAKMAKINPPFDKETTKRTLVQTVSASTCKNGSLRYWLSSGPGDFQLSPSGCYHSALYAIVIQDQSPPNYKGIKVTTSSIPIKPPQFAIMKSVNYLPNVLSKMEAEENGAYAAIWLDEEGFVAEGPNMNVAFVTQENELLMPRFDKILSGCTAKRVLELVSNLVTEGKLRGVKVREVTLEEGKQAEEMMLIGSGVLVRPVLQWDDQVIGKEGVVTESLRKLILEDMKSGPSTVRTIVPY >KVH88060 pep supercontig:CcrdV1:scaffold_1687:105502:108075:1 gene:Ccrd_024555 transcript:KVH88060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHGFSSNLDYEIPRFLFNDFTISIRIRRKLAQRSERVKIRGSSSNKTMLGLGRGGGLEGTAEYNSKLLESPNYITRRQAIK >KVH88057 pep supercontig:CcrdV1:scaffold_1688:64021:64314:1 gene:Ccrd_024557 transcript:KVH88057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MLPLRLLIKSNHDLLKIIYAGVNASYAKFSSGSYLSGSKQEISSRLPFAFGIAGVGLIAAVGDRIENIKIGSSETIMTFGAYAEFTLAHSSRGKIRP >KVH88058 pep supercontig:CcrdV1:scaffold_1688:104761:107800:-1 gene:Ccrd_024558 transcript:KVH88058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae LICLVLFLNLVLNRCVSVGKGGAAVSCRGGREWDGGCRSREGVGRLFSSTIQAIFQNDPVEVFQRKIRLRVEDVQGKSVLTNFWGMDFTMNKLRSLVSKWQSLIEAHVDVSTTDSYTLRCSALALQRSIRRKMREIMVSQAQSCDLKVLVHKFISESIGREIEKATSSIYPRSSQVRPRQIDGGKRVHGDYSEDVGVKMERPADELIVEET >KVH88056 pep supercontig:CcrdV1:scaffold_1688:60182:96719:-1 gene:Ccrd_024556 transcript:KVH88056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 METKLHSDAHEDTEHYPNEGSNVKIDENGRRPGAISYIYRQDVVRSKSEGKTGIVTEVAGDSDSDSSSDDEDEENEDTELTSVNEDGTKDFHGNVTENTDNDDDESSPLPADHVRVLWMDESETTQSLNDVTVIDRGFLHGDYVASASDATGQVGVVVDVNIYVDLSTIDGSVVNNISSKDLRRVRDFQVGDYVVLGPWLGRIDDVLDNVTVMFDDGSVCRVMKADPLRLKPVGKNPLEDAHYPYYPGQRVKASSSSVFKNSRWLSGLWKASRLEGTVTNVTAGSAFVYWIASAGYGPDSSITPSEEQNPKNLKLLACFAHANWQLGDWCLLPSPKPSSMIPHGKTSSELELQDSIKAGLRTQEKSESDSEVVPGEESTRKSDPMDVYPNIAPAVDIGKNDHNPSIESSSCTSSLPALKGPAHENWPLHRKKVRKVLVKRDKRSRRKEEHFEKALQIINTKTRVDVAWQDGTIGRGLDSISLIPIENPGDHEFVSEQYVVEKPTDGNDDTSDISRVGVVKSVNAKERTACVRWLKSVAKAEDPKEFDKEEVVSVYELEGHQDYDYCYGDVVVRLPSTSVAPQTAADASNTENVAELTDGNKVKEDSTKHAGCGKSGDASSVEACTNFSDLSWVGNITGLRNGDIEVAWADGMISMVGPQAIYVVGRDDDDESVAGGSEVSDDAASWETVEDTEMENLHKALEDLRIQNGSDISLDAAEHTVENIQTNGALSIPLAALGFMTRLASGIFSRGQRNTDNSSINSGGDNEVLPRSDSWSENKDSIDVSSSRKSSCTDTLERPASDRGEQHAVEVPSLLDAPEELCNVNSGKVDDSPRFKVGNSSFKGFDIVKDPLDHYFLGGDRQNSTGRRWLKKVQQDWNILQNNLPDGIYVRVYENRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPDFEDLVKEHFKERGYYILKACDAYMKGYLIGSLAEDASICETSIANANSVGFKLMLAKIVPKLLSALNQVGANCHEFMHFEDS >KVI04076 pep supercontig:CcrdV1:scaffold_1689:58100:62365:1 gene:Ccrd_017617 transcript:KVI04076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVLGALWLLCGVVFGIFLVATTCCKINRKLKKHKKKPQSHKQYYLWHVIFAALFTLLAIVGTGLVLGGNAKFHSRADTIIDIIIDTADEASETIYNTTGAMKMTRTNLQGTNVDASTTRFIVLCWLITVLCWVFYGAYFFLSRFAGDTCTALQGFQQDPYNNSLSSILPCDQLLSAESVLNDVSAGVYDLVNEVTAEKLLHVLTKRWSEKPQVNANISRIQQDSSFGVCNPFTGPPEYNYQPDNCTENQIRIGDIPELLRLITCVDPVNGVCNGGIPISGIDFKTVVAYTSSIQILLNSYPGMESLLNCQTVKDAFSEILEDHCEPVKRDVKIVWAGLVFLSIVMVFLVLTWTVEAYRDRNRQFSDGSVKPHHAEDRIESGRMEETAKDSVNGNDR >KVI04077 pep supercontig:CcrdV1:scaffold_1689:35409:48531:1 gene:Ccrd_017616 transcript:KVI04077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MANPSGNGTNNNDAAHPSHHGSNSVPEPALQHNPGASTDWTPDEQSILEDGLAQYATESNIVRYAKIAVQLQNKTVRDVALRCRWMFKRDINKRRKEDYILTRKSKDRKEKMNDHLASTSHLATQTKFSSYVQGAVANGKSNALYNVILPAVLASPAGQLLEENALAFERVSANLEIEQAHENISLLCQVRKNILDIMNNLCETPEKLKQMPPLPVKLNDDLYNSLLPRSTFHQLQ >KVI04080 pep supercontig:CcrdV1:scaffold_1689:105334:107039:1 gene:Ccrd_017620 transcript:KVI04080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQITPNLNVFAYNWMISALTFNGYFEEAIDYFSLLQESRNLVPNGFTFSFLLKCCVGLTDSNKGKEVHCVINKFGFQVDSYVVNALIEMYCKCGCLRYARQLFDKMSKPDVVSWTNMISAYSSAGRLQESQFLFDRMKLGGLEPNEFTWNALIAGYARIGDCDGAFTSFSRMCETGLVPDVVTWNAMISGFVQSQQTVKAVELFRSMLVAGVKPNSITITGLLSAFGSTGLVDHGKEIHGLIYRTNTYINIFVSSALIDMYSKCGCVKNARNVFDALPSKNVASWNAMIGCYGKHGMVDSAIQLLNTMQEQGIQPNEVTLTCVLASCSHSGLVKKGLAIFRSIRESYGIEIKDEHYGCVIDILCRSGKMETAYDLVREMGTDSMVGAFFNGCVVYNRPDLAKQMFEDVLKMELKRPGGFVTMANIYAKEGEWAKVDKLSKSLMNVGPFV >KVI04075 pep supercontig:CcrdV1:scaffold_1689:8564:17751:1 gene:Ccrd_017615 transcript:KVI04075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MRSRSQGSRLSDQRRTPKIFRKKWGLCQDGCCPSVATSNAEPNDRKVGKLCEYACKNPLRMPKITDYLEQKFYKELRHKHFVSVKAVVLVYGKLPSSCKEQMPLFASSLLGTARTLLEQTQHDEMQILGCQTLVNFINNQVDSTYMFNLEGLIPKLCELAQEVGDDERALRLRSAGLQVLASMVNRCLSLSYWLCIKLLITNFILFEFYFVRFMGEQSHISMDFDNIVSVTLENMEKSNNQENGKYGRQVSQAQENTQGIVKGDEHGSSFLDVNKMITNVVNFKTDVPMGDAHKSPSYWSSVCLHNMAHLAKEGTTVRRVLEPLFHSFDTEKHWFPDQGLAFAILKYLQLVLEESDDKAHLLLSILIKHLDHRDVVKQPVMQMHIVNVATQLSQYVKQQASNTIVGTINDLIKHLRKCLQNLSEPSSPREGSDNCYMDLQCALENCISNLSHKVADVGPILDMMAVVLENISVSATISRPTMSALYRTAQVISSIPNITYYKKAFPDALFHHLLLAMSHPDHETRVIAHRVFSTVLMPSLSQPSSGHKAPFLSWQKEKSETIDEGTVEKRIHVFEHHGKYATESLSRGGINHSLPDGKPISSSLRLSRHQVSLVLSSIWIQATLAGNAPANFEAMAQTYSLALLFTLSKNSNHVALIRCFQLAFSLGNICLDQQGGLHPSQRRSLFTLASYMVIVSAKACHLLELIPIVRSTLTEETVTKPGENNCYGSQKDEAAAVDALSAMELKDQQLKETLLTHLISKLENLSEEEQLNMKAQVSQGFSPDDEFPLGGPLFMETPLPCSPVAQTEFQAFDEVMPHADSTDEDMFQDQYGSHSGRKDSLSINSLDILSVNQLLESVLETARHVASLPVSSTPVTYDQVKDQCEALVTGKQQKMSVLQSFKKQQEGMTIILSGEREKQTPITSNTTTEEVKALTNGEQPVMHDQLVSCTKECSQQQSFRLPPSSPYDKFLKAAGC >KVI04078 pep supercontig:CcrdV1:scaffold_1689:96314:96625:1 gene:Ccrd_017619 transcript:KVI04078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MVLKAVSKLSGINEVSVNLNKQELVVIGDVDPVCVVRRVRDTGKIAEIISVGPIKKPDVPKPKPEPKRDHCECPKVYPRCNDACSTIVIGYQESYTDSGCMIM >KVI04079 pep supercontig:CcrdV1:scaffold_1689:72954:93346:1 gene:Ccrd_017618 transcript:KVI04079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MASAMRIVIGLLTLVTIGMIVGSLLQLAFIRNLEDSYGTGLPSFRRTLGSQNVGGSQLSTVISGWANDKEAALLRIGYVKPEIVSWSPRIIVFHNFLSTEECDYLRAIAKPRLQISTVVDAKTGKGIKSNVRTSSGMFLNHAERKYPMIQAIEKRISTYSQVPIENGELIQVLRYEPNQFYRPHHDYFSDTFNLKRGGQRVATMLMYLTDNVVGGETFFPMAGSDECSCGGKMVKGLCVKPNKGDAVLFWSMGLDGKSDPDSIHGGCEVLSGEKWSATKWMRQKPTS >KVH88960 pep supercontig:CcrdV1:scaffold_169:19617:23763:1 gene:Ccrd_024559 transcript:KVH88960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Twin-arginine translocation protein TatA/B/E MAISYAAPTLTMPYTPSISKPTSLSAKTLSFSSSSSSFFTNTLKPSTIAYGNRSSLLNTKKRGLSCNCLFGLGMPELVVIAGVAALVFGPKKLPEVGRSIGKTVKSFQQLKKEPESLGESTVATEQEPEDLKVPTTKESL >KVH88961 pep supercontig:CcrdV1:scaffold_169:403828:409648:-1 gene:Ccrd_024560 transcript:KVH88961 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MECNKDEAIRAKEIAESKMMNNDFEGARKIALKARRLFPELENISQLLTVCDVHCSAQKKMYDAEMDLYGILQVESLADEVTIRKQYRKLALVLHPDKNKFPGAEAAFKLVGQANMVLSDKGKRYLHDVKCRQPAIPSISKQQTYQNSHIGAQRKYHNVSSSQFAGVNHHQQSHSDSNGRPSFCTYCSSCKNKYEYYRDMVNKRLRCPQCSKLFTAYDMSAHWSATKPGSGNAGTQNVNPDGRPASFQQENPKFDAQKPGQTFSNFAYQPCSSTGVQFTRSTTTKDAKNDSGLRTKMEGNDNINTESVANAKKKPREARISKDTNRNKNGSDVENASKGTGGNPSAVPDSNGRRSRKRVQVSDSEKAGDDFSPRKRSRLRKLSSDVEDKQKEQVPKDINVPKPSADAEGSMPKDKTEDVMPNGDSKSNLDSEGISEPIVIHCRDLEFGNFDKDKESFAVDQIWACYDSVDGMPRFYAQIRKVYSAGGFRLRITWLEADPENHLEVIWANEGLPVACGKFVRGDTEETRDHLMFSHQIAYEKGDGRYTYVIYPKKGEVWALFRDWDINWSSDPKKHMKYQFEIVEVLSEFDNDNGVLVAYMVKVEGFVSLFQKTSRARLAEHRIPSSELFRFSHCIPSVKLTGTEREDVPVGSCELDTASLPDDLDNYYISNKTKVNSETKASCPQSPEAKVKPTSAGTPKKSVNPRECSELDREMLNPRRSPRGVKGGDKNHDQVIIHERNVDQSNKIPIPQLSSDSLGKEPKLVIHDFNVEKQDWKFQEGQIWALCRSNDRHPGRYAQIKKIESSPLRLHVDLLESCTQFNANGPDACGLFKASIGRREVFSQDAFLYLVKAELNGKNRFNIYPKEKEIWVLYDKPDFKCTSDFDAGECNIVEVVENNGHIIKVLPLSRVPGYKSVFRGLEMQNSKATVLEIQQDEFSRFSSRVGHLIRGGEAYVRFKVLKGDGRAATGGRRREEEDGRAATGGRRRKGGDGRAATGGRRREGGDGREATGGRRREGTGGRRREGGDGREATEGRRREGGNGGRRRWLVWRWERK >KVH88055 pep supercontig:CcrdV1:scaffold_1691:84405:95217:1 gene:Ccrd_024561 transcript:KVH88055 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane protein/tumor differentially expressed protein MSCLVGCCASLTCGLCTSAASTITKKSARLGYCGLFGLSLIVSWVLREVGTPLLKKIPWINSSDTLSDEWFQTEAVLRVSLGNCLFFTILALLMIGIKDQNDRRDAWHHGGWIFKIVMWALLIILMFFLPNPVSSVYGFISKLGAGFFLLVQVIILLDATHSWNDAWVAKDEQKWLVISLPFVALLAVSITCYIAAFTISGLLFIWFNPSGNDCGLNVFFLVMTMILALSFAIIALHPKVNGSLLPAAVISVYCAYVCYTGLSAEPRDYACNGLPNKSKAVTTSTLVLGMLTTVLSVLYSALRAGDRASLLDSEELEAGKGKKEAEAKPVSYSYTFFHLIFALASMYSAMLLSGWSSSESSDLIDVGWTSVWVRICTEWVTAGLYIWSLVAPLLFPDREFY >KVI08229 pep supercontig:CcrdV1:scaffold_1693:65153:69756:1 gene:Ccrd_013400 transcript:KVI08229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTRLVWFTFGFASTAAVMSQFVYRDLLLDRHSLSCQLKQQFDSLESRLSNLESELPKDLKFKASPSLHHMSNNQILDPALGGQSWFILLVYPLSCM >KVI08230 pep supercontig:CcrdV1:scaffold_1693:70685:79789:-1 gene:Ccrd_013401 transcript:KVI08230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3-like domain-containing protein MEDWEDEPAPLVIKKDPKSLWDDEDVDENEIKDSWEDEDEPAPPPVVAPPPEKAPKKPTAKPIQEKGKAVEVAKEEPLDPVAEKLRQQRLVEEADYKNTAELFATKKGDGKNIDSFIPKSESDFMEYAELISNKLRPYEKSFHYIGLLKSVMRLSMTSLKASDAKEVASSVTAIANEKLKAEKEAAAGKKKTGGKKKQLQVDKPDDEAVVNAGYDGYDDYDFM >KVH88054 pep supercontig:CcrdV1:scaffold_1694:12820:16182:-1 gene:Ccrd_024562 transcript:KVH88054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIFRFISKKILFKINYVQTAAVQTAAAAKQQHVKKLSSSKRRRQQSHSTSLPVASDPQVVMFSSKKRSISIGILLIDYMDEMEDDSCKMSFYVNGFNTYWLMVLAADESTRGKVTE >KVH88052 pep supercontig:CcrdV1:scaffold_1696:87181:99175:-1 gene:Ccrd_024564 transcript:KVH88052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MLVRGDLGFSSLVFLVVVVVVLPVIVFIVRRKWRHLVARREEVKWLLASASEESARVEFEFEARETYFYTANGTPSARASVSPSASAPLGSPLKPQYKCAVCFCPTTTRCAKCKAVRYCSGNCQIIHWRQGHKYECRPYVSVTPISDVGGSSYRQGDCKDRSDDFGTEVKQTAIPAERFPENSHHKDDVGVESLAHRQGTNSTSESNPPLSYEGHSLFSPPTKVSAGVTFENSETVKPAFRTLVSSARKSTVLGKNNKKISTLSDEDAQLGTSSSSFWTDDGSNQSSLSEPSTNSSVGTIHKRKSNIDEHDDCAPGSSCEAANRYMASHRTKGGYNSNIMNGFSASKVIDGSGLTGLGSRKSNDDSNSSKDKVNDGSKSRRSMTWDAGVGSDLPPSKFSESSHPPSGASSHRASAGYSTLKDDKTVGGLPSSGPERSNDLFSNKNVTSHTSKSRELGSSSSRASDTYLTFTSRHASYSIKPVKVNNDKHRVTTCSSQLTENYPSVRSASNTSKLKVVDQVKPSKLSSQGSLEGGSETTHRYSFKGLFPYEMFVKLYNWKKFELQPFGLKNCGNSCYANAVLQCLIYTPPLTAYLLEGIHSKACDKRGWCLTCEFEGLVMKAKNRNSPLSPIRILTHIENIGSNLGHGREEDAHEFLRYVIDALQSVCIKEAGKNALNSLEEETTIIGLTFGGYLRSKISCMKCGGKSERHERMMDLTVEIEGNIRTLEEALDKFTCTEILDGENKYKCSRCKSYEKAKKKLTLLEAPNVLTIALKRFESGKFGKLNKSIRFPDILDMAPYVSGTSDKSPIYRLYGLVVHIDMMNAAVSGHYVCYVKNVQNRWFKFDDSMVKEVDLQHVLTKGAYMLLYVRCSPRAPRSIRNSLIHDHHDPRKHRTPPSSAARSLSTEPWDIHTYHPTSEPPVHHRSLEEESSSSDNSGFFSESCSCSTETSGIEDHISGDDYSRNMNLNSSDSDTSSTSSFPSPLYSRLSQLYASRTNVENIIVASAFNQSLSLD >KVH88053 pep supercontig:CcrdV1:scaffold_1696:84940:86775:1 gene:Ccrd_024563 transcript:KVH88053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRGYDGIHRTLGNHEDQEKNKLSNNEAYSGDSYSSTVQGLTTTTKLRTMLELAAQKHAFSEQTVRRLKELKNMVVDVSQSHDDGGRCSGNGSQRSFFIPDENDEMTVRYCGSGNNKTVRVIICCEDRPGLIQDMTETIQSVHGKAVKAEMATVGGRTKAEVVVEWPECGGGGEDDVESLKRALKAVVENRVLGQSRLMGNGCTEPELIGLGRGPKVYDQRENRLADGLIIRS >KVI09062 pep supercontig:CcrdV1:scaffold_1697:13350:33620:-1 gene:Ccrd_012559 transcript:KVI09062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MHYCFKSLSRFSISTIVLAILLSSFSLTSTEGYKTLDPNGNITIKWDIMSWTPDGYVAVVTMYNFQQYRQISXPGWTLGWTWAKKEVIWXMMGSQXTXQGDCSXXKXAXPHCCKKTPXVVDLLPGTPYNQQIANCCKGGVVDSWDASAFQVTVGTAGTTNRTVKLPKNFTLLAPGPGYACGPAIVAKPTKFVTPDGRRVTQAMNQLAKLGGXQWEECMXLEEPPELLIPYLRAAMHYCFKSLSRFSISTIVLAILLSSFSLTSTEGYKTLDPNGNITIKWDIMSWTPDGYVAVVTMYNFQQYRQISXPGWTLGWTWAKKEVIWXMMGSQXTXQGDCSXXKXAXPHCCKKTPXVVDLLPGTPYNQQIANCCKGGVVDSWDASAFQVTVGTAGTTNRTVKLPXNFTLLAPGPGYXCGPAIVAKPTKFVTPDGRRVTQAMKGLVEIIDLYPFGVEGRREIEERASRLSLTRFSISTIVLAILLSSFSFTSTEAYDALDPNGNITIKWDIISWTPDGYVAVVTMYNFQQYRHISPPGWTLGWTWAKKEVIWSMMGSQTTEQGDCSKYKSAPPHCCKKTPTVVDLLPGTPYNQQIANCCKGGVINSWAQDPNNYASSFQVSVGAAGTTNKTVKPPKNFTLLAPGPGYTCGPAVVGKPTKFITADGRRVTQAMMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPTCTCGCQNNITHPGSCVNPNSPYLASVVNGPGKSTLTPLVQCTKHMCPIRVHWHVKLNYKDYWRVKVTITNFNYRMNYSQWNLVVQHPNFDNLTQIFSFNYKPLTPYSTINDTAMLWGVKFYNDFLNQAGPLGNVQSELLFRKDKSTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPYLPNASARLSLSLLTLLASLAFFFVFV >KVI09061 pep supercontig:CcrdV1:scaffold_1697:78202:84422:1 gene:Ccrd_012556 transcript:KVI09061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEYQRQVSYGFYKRITYIERYSNEAYMIRIYHRQIFGEDLGPLSLKELEQLERQLDSTLRQIRSIRTQSMLDRLSELQVQERMWLEANKALQNKLEEVYAENQAGPSWAGAGAGPAPGEHCCSYEQHHQHPQSQDFFQPLDCNSNLQM >KVI09063 pep supercontig:CcrdV1:scaffold_1697:54418:65850:-1 gene:Ccrd_012558 transcript:KVI09063 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase MHPFLSQITAGVCIRIFELAQHHHFATSYPLFRRHPQHQGEYKKHPNNMGGVDETKTGEEEKQKSTTPLDYIVIKEGEAEILMHTKNEVFYNKTQVNLLLIITRFWQIPRLIAVLSRVNNRDMSIAVLRTFISKRKDEHEALLSKRKKKMLKVSEDNDSRLKAPSESTMTDGKCNGECEEMPQDESYGTCEEPEKTPEGKEQGELMPPRVLEALSASGLRALRYAREIEGIGQVVALDNDKASVEACRRNIKFNGSVASEKVESNLADARVYMLTHPKEFDVIDLDPYGSPSVFLDSAVQSIADGGMLMCTATDMAVLCGGNGEVCYSKISYCLPQIQSHANRYKRFIVPVLSVQMDFYVRVFVRIYTSASAMKNTPLKLSYVYQCIGCDSFHLQPLARTVSKTTSVRYLPGFGPVVPQKCSDCGKKYNMGGPIWSAPIHDQDWVTSILADVKSMKERYPAFNRISAVLTTISEELPDVPLFLSLHNLCGTLKCTSPSAVVFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKAQPPEQAGSVILAKEPQIQVNFAQSVASLSKARAKKVARTNTTGVVGYVPRLEFPECGEETKTGEEEKQKSTPPSDLNDYIVIKEGEAEILMHTKNEVFYNKTQVNNRDMSIAVLRTFISKRKEEHEAVLSKRKKKVLKVSEDNDSGLEAPIESTMPNGKSNGECEEMPQDESNGTCQEPVKTPDDKGRGALKPPRVLEALSASGLRALRYAREIEGIGQVVALDNDKASVEACRRNIKFNGSVASEKVESNLADARVYMLTHPKEFEVVDLDPYGSPSVFLDSAVQSIADGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRVLLACIESHANRYKRYIVPVLSVQMDFYVRVFVRIYTSASAMKNTPLKLSYVYQCIGCDSFHLQPLARTVSKNTSIRYLPGFGPAVTQECSDCGKKYNMGGPIWSAPIHDQDWVTSILADIKSMKERYPAFNRISAVLTTISEELPDVPLFLSLHNLCGTLKCTSPSAVVFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKAQPPEQAGSVILAKEPQIQVNFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRTITSKHASLLGPETVNEILNNDEEQGEEPEAKRKKTQDSTSVI >KVI09064 pep supercontig:CcrdV1:scaffold_1697:10319:15592:1 gene:Ccrd_012560 transcript:KVI09064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSFTNVSFARLDKLSWGIIIWNVEPQAMRNRGGDTLAKAERVATDEISTIGERVIKGIKEIGCGWVKKKTKPHVKQNIKQLEDTMFTSRNGTTYKAVIINGEDILLLGNHVTKATTSGILERDARSLRTQNLVNVVTIVEIVTETTGDLDGFGRIAILNDDQMIGLEEGPPHFKKIEVSDGGYDDIEVIFERRIGGRHERKKNRGKRSIMGREITTVAEDVTDEIGSKRKSECPLERDQQANWTRRAQACDPSTVGSEELSQPCKAKISTKSSLGHQFIDEMDQENDGYGSGLTYSRIRSQWFVVKAENLSEIVEVGMLDDQIPLGVIHPKAICIAQTPKNNDRIIEGNEISNHFAYIKRISSIQCTNLTQT >KVI09060 pep supercontig:CcrdV1:scaffold_1697:65903:67603:1 gene:Ccrd_012557 transcript:KVI09060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHITASEVAGYGVGALLLCATVSAPKIDSFISASQRSSLGMCKRCGDLKLIACSNCKGSGSLKQGGMFSFSLPDDVSFVGESKTISLSCNKCRARGHFPCPDCSKPPYA >KVI09065 pep supercontig:CcrdV1:scaffold_1697:10252:11614:-1 gene:Ccrd_012561 transcript:KVI09065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MATSNPPLKDDLDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPSGFSYDLYNRNDINKILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKDIDALSQHIRNLLHPATPYFFNTLYDPFSDGADFVRGYPFSLREGIPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVMTIPKGTLFPMCGMNLAFDRNMIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGIKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQALSLPKESTTVQACYIEMAKQVKDKLGKIDPYFVKLGDAMVTWIEAWDELNLKDSKKPNGK >KVH90831 pep supercontig:CcrdV1:scaffold_1698:16169:16963:-1 gene:Ccrd_007156 transcript:KVH90831 gene_biotype:protein_coding transcript_biotype:protein_coding description:CcmE/CycJ protein MASRLSCRFASRLLRNGNSNFHLSNLRTIFTAIPNSSSPAMVSSQISPSADFRLLSTASRFFSTARRQPTRPTKIDIGARARQMQNRRLWTYAITFSCIAGFIVIVLNQFEDQLVFYVTPTDALSKYSENPSKNKFRLGGLVLEGSVAHPASSHEIEFVITDLITDMLVRFEGQVPDLFREGHSVVVEGFVKPIPEQIKKEVTEKSVSGKARSIDCYFSASEVLAKHDEKYMPAEVGNAIEKNKKQLAKEAANQIEGQEAVRST >KVH90829 pep supercontig:CcrdV1:scaffold_1698:24818:27350:-1 gene:Ccrd_007158 transcript:KVH90829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLLSAAIISELEKKKQNKKEEQKRLDEEGAAIAEAVALQVLIGGGTGSKRPYIYRRSGNGNGWILKNGGWGEDGCLEYVDEISAQAVSGLQIADDEDTNGYVYNRMAGVTVAGWPSTAEARVLPPTPPASTLVAAQPALSPSRVSPTPSLPSAVYAGQCRHAVVTLLDSSSARPHTGCSRSVARLSGLKGEAG >KVH90827 pep supercontig:CcrdV1:scaffold_1698:102515:106105:-1 gene:Ccrd_007165 transcript:KVH90827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQ >KVH90823 pep supercontig:CcrdV1:scaffold_1698:91745:94817:1 gene:Ccrd_007163 transcript:KVH90823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVADDEINSGAPPFVPPSWVSLSPFPLQVHPSPRRLSSHFTPPTRPVRAARQLAWVSLQGRIVGAEEATSAKAIGGGLTPEEAVTWEFFSPMHRILIVAILAAAAANSKKNKLIVQLKNSIEIRVSQFSYLYVVSIQLIDSICYSICDQVLLGMQQKLDSLCEEVNYFKDKPDTTSYDFDVSGCGCRHCDHHQLPAKYIEGDSTTQAVDEDDMMKFKMANDVEQEERRFSDLSDWAPSVSSSIDVQWNTSVEQDIGKLQKECEDKDAIIKELSAFLNSAESLNSKRIAELEDIIRRKNTMITKLRKDMLVLEQKVIQLTRLRRPSSSKSSSSSKKLPAMADNLIYDMDSTTSPSDDSDSSANRKHRTPSMNYINKNEALPSVKNNKKEHPAAILNRQKPSSARCYDVTAKSNEQTMSPLKERSVNQQVGSVGLSKSKGSRSGSGDCKSRYGGTGSSLNKSRVSGSGAHKRWS >KVH90830 pep supercontig:CcrdV1:scaffold_1698:20791:21255:-1 gene:Ccrd_007157 transcript:KVH90830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MAQKREKEETELKVPETLSLCTPSIPPATSKIPASDEHRSSPDRSDPKSVVVVDSRSSTAISPKRPDLHQKPRLAAVESPEEESNRFKRREVNRCSGCRRKVGLMGFRCRCGEMFCSDHRYSDRHDCSYDYKAAGREAIARENPVVRAAKILKV >KVH90825 pep supercontig:CcrdV1:scaffold_1698:65997:72715:-1 gene:Ccrd_007161 transcript:KVH90825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MISSSLLLVSGHVTVNACPPADRAALLAFKAALHEPYLGIFNSWTGYDCCNKWYGVTCDPSTKRVADINLRGESEDPIFQKARRTGYMTGSISPAICKLERLSTVIIADWKGISGVIPPCISSLPFLRILDLIGNQISGEIPYDIGKLSHLMVLNVADNKIIGRIPRSLADLSSLKHLDLRNNMISGTIPRNIGKLRMLSRALLSGNRIYGPIPETISYIYRLSDLDLSLNRLSGPIPESLGKMSVLGTLNLDANMISGKLPATLLSSRISILNLSRNAIEGSIPDFFGPRSYFMMLDLSYNKLKGAIPKSISSASFIGHLDLSHNHLCGKIPAASWLEHLEASSFTYNDCLCGKPLKAC >KVH90826 pep supercontig:CcrdV1:scaffold_1698:99963:102016:1 gene:Ccrd_007164 transcript:KVH90826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDRSSSWGSSHSGSEGISRGRLVVLSVECLKGSSKAEEWTGDMLETGDIVEEIKIGNMSMTAPFKNGNAGIQKILHNSFKSKETSIRVRIRRGSDEFAELLACIVPNTGSTGGRKQYMLRSIVDPNYTVGFVDRTETECYALQASRGSRMVSALAAAQLHDGYVAYPWQKKMQELLSIPNSSCFLSILFLPKASERVLHRYNDLEDTLSRANAWHTAAQASGVPILFMNIQTESLLTKISGEMASSTVNAGSLSDLMNLASVSLYGFEDYHGVDIGVVRAVRLWFAPLGGEIAVEVKIKEGDTKLGFAIGRTEEGFIHVTSVTGDDQDSPSSRSGLSSLYTEAKRARKHLVISRISNQKVLPWMVSPEGAIRCYDTVSLSQKLSLHRHAKVPISLHVFAWDQEVAMLGNANLRTQTNPPIALALPPETQTANVAATNQVMPIGTDTQQSVPELRQDRDTAGETSFRFHDFSLPNNWV >KVH90828 pep supercontig:CcrdV1:scaffold_1698:28551:31308:-1 gene:Ccrd_007159 transcript:KVH90828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNWLSFPLSPTHSSLPPHLNTSQSHNFSLGLVHDNMDTPFPNQEWNLIGEQGNNDHVPKVADFLGVSKSENSSDLVAYNDIQGNDTDYLFTSSSLLPQVQNTLSATPTSYELPENASTLQSLTLSMGSGKRSTCETSTGENSSNDNNNSTSVVEANPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKXARAYDMAALKYWGTSTTTNFPITNYEKELEDMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLSAVTNFDMSRYDVKSILESNTLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFPFGTSATAGGVLQAYPLLQQPFETQSPQPLLTLQNPEISHYSTQDPHFHQNYLQTHHHHPYNMHSPHQSTSQTPQFYNSYLQNNPVLLHGLMNMGVGGSSSSVMDATNNNGGSSSGSYSNGGYLGNFLGQSGSTAEELAMVKVDYDNLPTENYAGWSGDSSVQESNPSVFTMWND >KVH90824 pep supercontig:CcrdV1:scaffold_1698:51289:52509:1 gene:Ccrd_007160 transcript:KVH90824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAAPPSPELHLHHLCAIQVLGNGAMGTVFLVYNHLTDPSANCPFALKVVEKLCPQAKLDADRRARWEVSVLEGLNQKPHPFLPSLIGAFETEEILAWAIPFCPGGDLNVLRHRQMDRVFSPAVIRFYLAEIVCALQHLHSMGIVYRDLKPENILIQHSGHVTLTDFDLSRTLAPKSLKSLILSSSELPEIQPEVNKHHRKLTRLFPMMGREKTHVKLKKAKSARVSPMTRKNASFSNGERSNSFVGTEEYVAPEVVRGDGHEFAVDWWALGILSYEMLYGTTPFRGKNRKDTFGRILMLPPKFTGKPTPLTDLITKLLAKEPTQRLGYTGGACEIQEHPFFSGLQWELLTEVLRPPFLPSRDDLELTPNPDGINITEYFQKLRQLPSPLDSPSRDDCGYNVSLTEF >KVH90822 pep supercontig:CcrdV1:scaffold_1698:83845:87299:1 gene:Ccrd_007162 transcript:KVH90822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDLHHHHQQQQQLHQPQQIMNSGLTRYRSAPSSYFSNLINSGIYGDDSDPFFNPRVSNAETDQILSRFTSPGAAADSTSHQIMNQIAGYEPQFMDSVKQEQEGIYSEPQQQQQQTMLYQNQFQSQTDHDNPPVATCSSILDDSLPSMNLPTNLLRQSSSPAGFFAHLDIDNGYSVMRSMDDFGAGRASVPDSLLSSTKRLKSELGFSSGSHSSSGILPRIPENDSKIMGVKSSRNDGGFGETHSQDEGYVARFQSGSWDDSDILSDSFLKELGENDHKLSNLNSSRNQNHGGRTRAPSTLVHHMSLPTSTAELDKLLQFQDSVPLKSRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKEAETLSDHHAKCTCPHKQKV >KVH90832 pep supercontig:CcrdV1:scaffold_1698:3025:9179:-1 gene:Ccrd_007155 transcript:KVH90832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MDSEVLVALSLSMVGGLSTSLGALFVILSKAPNLKMLGLLQGFAAGLMLSISFFDLAHNAINSIGFLKGNLWFFGGVIFFAIIANFIPEPSLGPTADTKNKKVKHPTFPMKIYAFELLLAGKHGNEGGKDVMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSLKGLRVGLNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATVSGLAEPLGVIIVAYLFPSSLDPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMAFMSASLYFLEISLPADMGL >KVI09215 pep supercontig:CcrdV1:scaffold_17:247976:257825:1 gene:Ccrd_012401 transcript:KVI09215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase MPEKTTSIDYVMEAASGPHFSGLHLNARFLPSSRSPSSSLLADSASALKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESERAHEYNFDHPVTADECLLILLNKKMPLFVDAFDTEQMLECVEKLKQGNPVHLPIYDFKNHRRCSESFRQVNASDVIILEGILVFHDQRVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQVSSSTFLKSLRYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNIYVIQTTFQIRGMHTLIRDREISKHDFVFYSDRLIRLVVEHGLGHLPFTEMQVVTPTGSVYSGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGQQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGAPEGIHCVSKRFPSVKIVTSEIDVALNEEYRVIPGLGEFGDRYFGTDD >KVI09279 pep supercontig:CcrdV1:scaffold_17:38668:40842:1 gene:Ccrd_012419 transcript:KVI09279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQTLSIWPSKTECFLNLESPPHFLRRKSRNPNVFRGFRSGFRFRETSDDLGYGFLCRCSRVNLYVIWGPKKHSFGSSVVPLALAFEEQVVVGKTDSNSADELIDEGNCEVLDDVHQDGVKKPNDLALESSKNDSENKGKRINTRALALSLRAAKTIDDIELVLGDKGDLPLQVYSTMIRGLGKDKRVNSAIVLVEWLKLKKHGSEPFAGPNLFIYNSLLGAVKQAEEFDHVEKIMNDMAMEGIAPNVVTYNTLMGIYLAQERETKALELFEEIEKKGLSPSPASYSTALLAYRRMEDGFGALDFYIDFRNKHRNGEIGKDDKKEYWDLEAAKLEDFVVRICYQIMRRWLVRTENSSTNVLKLLTCMDQTGLQIDRSGHERLIWACTRDDHYTVAKELYKRIRESDSEISLSVCNHVIWLLGKAKKWWAALEIYEDLLDKGPKPNNMSYELIVSHFNFLLTAARKKGIWKWGVGLLNKMQEKGLKPGTKEWNSVLIACSKASEPLVAIQIFTRMVENGEKPTIISYGALLSALEKGKLYDEALQVWKHMIKMGVKPNSYAYTIMASVYAAQGKFNIVESIILEMPVSGVELNVVTFNAIISACARNNMGGPAYEWFQRMEVEKIEPNEVSYEMLIEALAKDGKPKVAYDMYLRARLEGLSLSSKAYDTVVESGVGGGASINLSLLGPRPRPPEKKKRVEIGKELADLGDVSSRDANQENMGTVDD >KVI09285 pep supercontig:CcrdV1:scaffold_17:96362:99158:-1 gene:Ccrd_012412 transcript:KVI09285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MGEARGVLLGFGGGVYFQALARSHLDFIFGVLDADFVMKPTCDGFQEHVKHSTPRAISFGLG >KVI09254 pep supercontig:CcrdV1:scaffold_17:605050:608693:-1 gene:Ccrd_012362 transcript:KVI09254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESVPVAEDEDEEVEEEEEERDNHGGNDTRRLLGEDDSLMKKVVEQEPELLPCHASASPLSPQPSSFGTPRLAPSIKVWDPCNVLSPPPQFTRSFSDAADDDRTMTEVYLICQGECHVNLRPDLVGGRCPEAALTPNGKRQARALAVFLKSQGIRFNAVYTSPLDRARSTALPICQEMNVSEHLIQASDALQEMSHGLWEGCLRSDVYTPEVLSLMERFQPDFCAPSGESLRQVEFRMLQFLNGTVVCLPEKLRSGFSPTGEEGLTNSIQYRDGPPSPRWDLLTKPKQVLARRKSGKSRLQMVTTTGDHEADDEMSPRDPPNLNHVGRNNNSSSSSSSHCFIASSCVGVFSHSTPIKCLLTGVLGCSPVMSNKICVEDSSVTVLQHSWKTGWQIKRLNDTSHLRLM >KVI09276 pep supercontig:CcrdV1:scaffold_17:729123:739202:-1 gene:Ccrd_012350 transcript:KVI09276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSRDRKRFPESTLILNGEETKISELALGLILLLPSWGSPLKWQQLFLYTSLQLIYGERANHVTLQVAANKKLWCNISRERSTGYSKERLSYLELSTTYKRMSKFT >KVI09257 pep supercontig:CcrdV1:scaffold_17:619905:621986:1 gene:Ccrd_012361 transcript:KVI09257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKRREIVLDLFRSCSNCSSVIQLHSLTIKTGFLQDSFIAAKLSNCYAKYATLETTCKLFDETLQPTVYLWNSILKCYCKEMLYVETLQLFRDMNTVEKPDQATISIALKACSGLLELRYGRMLHGFVKKSYEMDSDLFVGSSLIEMYSKGGQMDEALRVFEEYPEPDIVMWTHIVTGYQHNDGSDLALEFFSRMLMEGNVNPDSVTLVSVLSASAQLVNVMAGSCVHGFVIKKGFDKGLSVCNALLNFYVKCGCVSAAAMLFREMKQRDIISWASMISCYAHNGAADEALNLFNEMMRKGVEANSVSVISALQACEATGNLEEGKKIHELAARKGFELDAFVSTSLIDMYMNCSSPDEAIELFKRMAKKDAVSWMTLINGCVQNGMAFKSIELFVNMLSNEIQPDVILMVKILTACSNLGVLQQALCLHSYVIKCGFEYNPFVGASLIESYSKCGSLCNAIKVFKGIDHKDVVIWSSMIAGYGIHGKGREALELFDYMVKISTIKPNNVTFLSILSACSHAGLIKEGVELFNTMLNEYRLTPESNHYAIMVDLFGRMGELDKAIGVINDMGVPAGPQIWGALLGACRIHHNTKLGDVAATNLFLSDSSQAGYLILLSNMHAANNDWDIVAKLRTMIKRNKLLKVHGQSAIEVKSEVNSFKANDRIHPQAEAIHGLLWSLEMVMREDGYVRDMG >KVI09260 pep supercontig:CcrdV1:scaffold_17:331124:332542:1 gene:Ccrd_012393 transcript:KVI09260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLRRPLIGKHRPQSSCSTVRPAHIQPYPPNLSLLADKCTSMRQLQQIHAQMLVSATIHDKFAASRLLSFSALSLHGDLNYASKLLNTVQQPNMFMWNTLIRGLASGPDPREAVFLYIKMRQIGVTPGKHTFPFLLKACSNLQSIVSCKQVHTQVVKVGLDLDLHVVNNLIRGYSVSCSMKHARQLFDELPDKNVNIWTTMVCGYAQNDCPQDALGLFNEMVARKFEPNGPSLSSVLSACAQSGCLEMGEKIHRYIQDKGFETGVVLGTALVNMYAKNGSLLMARNCFNDMPQKNIVTWNAMISGLAVNGHAKEALEFFQDLEKHQVIPNDRTFVGVLSACCHAGMLDFGRKIFNSMRSVYGIEPKIQHYGCMVDLLGRCGQVSEAEELIKRMPWKADLKILGALLSACGSHGNLEVAERVVKEMLALEQHNHGAYVVLSNMYADIGRWEDVSRLREIMKDESLKKTPGSSLF >KVI09205 pep supercontig:CcrdV1:scaffold_17:489149:492217:1 gene:Ccrd_012376 transcript:KVI09205 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, beta subunit MKLPVFALVKDICTTACIVITPFGSSPKGVLHWPTVLIVASTSCLTDRKRDGRLKCLNQVDVAFPPGKMHDIYNALVVEDGLTRGMDIIDTKALLGVWAVERLRRIFNVLGEPVDNLGPADTSISLPIHRSASACIHLHTKLSINETGIKVVDPLSP >KVI09267 pep supercontig:CcrdV1:scaffold_17:631969:641522:1 gene:Ccrd_012359 transcript:KVI09267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MATTTHLCGIKTLYCFSKRAVHSQSLCSQQRLNFDPLTELCGALRVPAPSRGRGLRVVCDGRNNDVLVQTEPRSPGSEGSSNQLSCVMKFGGSSVASADRMKEVAELILSFPKENPVIVLSAMGKTTNKLIVAGEKATNCVSNVSEIDELSFVKELHYRTVDELGLDRSLIKDHLDKLERLLNGIAVLKELTPRARDYIVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLHGDWISDPAIPIVTGFLGKGWRTSAVTTLGRGGSDLTATTIGKGLGLREIQVWKDVDGVLTCDPNIYSGAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITKSRDMSKAILTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSTFEDLGISVDVVATSEELDNVVEELEKIAKVNLLQNRSIISLIGNVQRSSLILEKVNISLIVNDNESEKCVRALHSAFFESDLYLADLVYTNGSPPNGS >KVI09233 pep supercontig:CcrdV1:scaffold_17:393638:399338:-1 gene:Ccrd_012384 transcript:KVI09233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDQDDQTRVLNQLGSMIINILSSPPLPIPFPSAFIRSTVASSSTSTSPHPSQLSPTAFAAIFIGISFVLMLFGSAIFVIGFMLMPCVIALVMLFYLAGIVSNLCYLAGVILCPSTRIVSGYLPTFKIHQQSKANRIWHLIELKRGKDAYRLGASGCSGGYVYTVVPGAAISTTG >KVI09284 pep supercontig:CcrdV1:scaffold_17:101447:108505:-1 gene:Ccrd_012411 transcript:KVI09284 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUG6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/TrEMBL;Acc:A0A178UQ56] MYTNCLLLGLDPSIIGVGANNGTPRDFDKVWPIFDSTQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTYAADVVSNPLPASLTDVAFSHAATLLPARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEYRGLCAEEAYLQQELEKLHDLRNKVKMEGEPWDELVSSSSQNSHLVQRATRLWDSLLSRKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDESNQVSSADLEKEQADRSQVKVNRENVNENLSSSQPQASDEKTSRVDDRSGRGQPTVDIAEVLRRWTHALQRIHKQSLHLVFYIRCIYAKANDGEGPDLLRSANDGGTNGHAESLATTLAEHRQHLASIQAFFPVQVLVNQLKGVAPAIQNSISELSEEVNSISSNLPQVTNHCGRSTSPVQAQSTGRTMESSSDEVADVTSRLSTTHIDKVSSSSTALKLPPLFSLTPNSTAKSGNFYRRQTQSHTNHLENVSENLPLEQTVSNSQVNTPQTDDENDYVLNLKKSVREAALSTRSSNTGHLQDSHSDDGSEHFFVPLSGTGFSRVGQEKKSVPSRSKQLFVPEMNTSLLGNRVSDVNKYNATLDTSNNLDMLNDYDGVNGFISAAASNYAESEGRLSFYDLDETQDQVFSPPFLMDASLSGDSFEDLLGMFIWEKSIC >KVI09246 pep supercontig:CcrdV1:scaffold_17:902969:909426:1 gene:Ccrd_012332 transcript:KVI09246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAQSSDPTKRPKPGPWPPGQDSNDAPAMPPSSWAKRTGFRPRFSGETNASDSGQFARTNNPSPASTPSPAPLDLEAGRVRPISAVNGTAPTPQPANAQVQLQSNKDQSVEKRTEAEGSPAPVPPKHGVNGHARIAAATETPAPAPPQPSRRAVRNGDVENVLPQVVDDELVSRHSHMKYELRDTPGLVPIGFYGFQHYLSMLGSLVLIPLVIVPAMGGDHEDTSMVVSTVLLVSGMTTLLQANFGSRLPLIQGPSFVFLAPALAIIKSPEFLGLNGNNFKHIMKELQGAIIIASAFQALLGYSGLMTLLLRLINPVVVSPTIAAVGLSFYSYGFPQMGACLEIGMYLRKVSVLGHRVFLIYAVPLGLVITWAMAFLLTEAGAYKYDGCDVNIPTSNMISDHCRKHVARMKSCRVDTSHALKSSPWFRFPYPLQWGTPVFNWKMALVMCMVSVISSVDSVGSYHASSLLVASRPPTPGVVSRGIGLEGLSSILAGLWGTGTGSTSLTENVHTIAVTKMGSRRAVELGACVLIILSLVGLLCIMWAMLAALGLSNLRYSEAGSSRNIIIIGLSLFFSLSVPAYFQQYGLSPNSNSPVPAYFQPYIVASHGPFRSQYRGATFLVAVVLDNTVPGSRQERGVYVWSEPEAARREPAVAKDYGLPFRVGKMFRWVRWVGV >KVI09219 pep supercontig:CcrdV1:scaffold_17:81154:83842:-1 gene:Ccrd_012413 transcript:KVI09219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MPSVAVKLYSVFFKLILKRRLQNRIQNPNLNDENSSFGVTSRPEESIAAANPSFTDGVATKDIHIETSTSLALRIFLPETCLVSTDPHSKARVTAKRPGSQRDSTPTNSSCGIPRRNSYGSAEAAAAALSPINRRNSYGNGLSTDDISLKLENGVYGGYSPFTRRCRKLPVMVQFHGGGFVAGSCDSVANDFFCRQIAKVCDVIVLAVGYRLAPENKYPAAFEDGMKALHWLAKQVNLAECSKSSGRTPESKKSDSQGQIMDAFGASLVEPWLAAHGDPSRCVLLGVSCGANIADYVARKSVEAGKLLDPVKVVAQVLMYPFFIGNTPTHSEIKLSNSYFFDRAMCILAWRLFLPEEEFSLDHPAANPLIPNRGGPPLKNMPPTLTIVAEHDWMRDRAIAYSQELKKVNVDAPVLDYKDCVHEFATLDMLLRTPEAQACADDISIWVKKYISLRGHEFSY >KVI09218 pep supercontig:CcrdV1:scaffold_17:62214:63387:1 gene:Ccrd_012415 transcript:KVI09218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MTSKPQPLPKFGEWDVKNPSSADGFTVIFAKARDEKKTNETVTTAAPQKNNKGPPPPSQQQQHNRKTEMESTITVDAVWFLIDSSKLQMDGGWFGLTTMMMRRRLGPLPNRPKVTPALAGSWLHAMQLRLSG >KVI09209 pep supercontig:CcrdV1:scaffold_17:147347:150354:1 gene:Ccrd_012408 transcript:KVI09209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate kinase MLMQFLLNKTFFCCRNCGTVAAQRFSFNCSSRKVHMEINHHSEARGALVVLEGLDRSGKTSQSGRLLSYLTGLGYPVESWRFPDRDTAVGKMISSYLSNQSQLDDHTIHLLFSANRWEKRSLMEAKLKSGTSLIVDRYSYSGVAFSAAKGLDIEWCKAPEVGLLAPDLKAAERGGYGGERYEQLEFQQNVALHYKMLSDASWKMIDACLPMEDIEKQLKEMALDCLTSCRKGKSISHLWLS >KVI09206 pep supercontig:CcrdV1:scaffold_17:441402:446202:-1 gene:Ccrd_012379 transcript:KVI09206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MDESKTTAKLVNTTDPAFFFKKRANSSVISVDPTIRSFQSDNPVQGFGRYSTHFQTDFHPSMAAKKVLLTVNGDEVSRNIAYHLAKRGCRLVLVGNEKVLKGVTDWIINDLKGVTIDIVGLDMEEEREAVFDAAVEKTWNILGKVDALVNCYTYEGKMQDPLQLSEVEFKKTVRINFMAAWYLMKAVAKRMRDNKTGGSIVFMTSIIGAERGIYPGAAAYGACLGGVHQLVRTSAMEIGKHQIRVNAIARGIHIDDEFPLSVGKDRAQSLVKDAAPLNRWLDPKNDLASTVIYLVSDDSRYMTGTTIFVDGAQSVVRPRMRAYM >KVI09199 pep supercontig:CcrdV1:scaffold_17:533192:535963:1 gene:Ccrd_012370 transcript:KVI09199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGMSKSNLMDEAFNKRTAAPKLLIKNTPQPSSSSSIVDKPPELQKINTTANNGGGGKNSDVDSSWFGSPSFREYIKSSPRATTGNEQGEKETVCELRHSRGDTEHEDKKETIAGRFRKAFQVQQSTFWHNRPWHIGHPKATKKTT >KVI09226 pep supercontig:CcrdV1:scaffold_17:845869:849022:1 gene:Ccrd_012340 transcript:KVI09226 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOP5, N-terminal MLVLFETPAGFALFKVLDEGKLSKVDDLWKEFSSSDTARQVVKLKAFSKFENTSEALSAATLLIDSKPSKGLRKFLRAHCDGETLAVADSKLGNVIKEKLQIECVHNQTVMELMRGVRSQLTELITGLGAQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKAVKLMGYRTNAAKLDFSEILTEEIEAELKESAVVSMGTEVSDLDLVNIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTAIVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKASLAIRYDALGESQDNSMGMENRLKLEARLRNLEGRELGRSAGSTKGKPKIEVYNKDLKKGDGAMITPAKTYNIAADSVLGRIEAEAVEDKEMVSEGVDEGKKDKKKKKKKGVGEEEVGVTEDGAADGEVGKKKEKKKKKRAAEEAAEVAKEEEDGMKKKKKRKHAEAEGEGEKGSKKKDKKKKKREE >KVI09256 pep supercontig:CcrdV1:scaffold_17:622995:630888:1 gene:Ccrd_012360 transcript:KVI09256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKAKTTEIDEHGVKNQKTIMVPKNDTHATSTSNIGNSEKGSSVIMVARLRFSCPLSFPPSPALAAAQAFRLCLPSVASSDRRSIPAGVAFFQQVPTPFIRSKIVMEDANQSGFKLPPEFQEDARAPLIELNSTDSTELWLIQWPKDQIPDFDGQQLLLDLHQHDGHLGTVEGSSGKSYDVVSLATQEPKATVFLSAAADSKVVGKITRRVSFVHYMEPGEVPKDDTKKLKQLYEMSSFATSLTNSANHFATPTKSTRSRHLSHSSGHKSSLSEEKVRSTDSRPSSRSSLDSGSRGHEPVDLVSEHSQEKKAKKAKKRKKHVA >KVI09281 pep supercontig:CcrdV1:scaffold_17:58318:58923:-1 gene:Ccrd_012416 transcript:KVI09281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQHGVVRSYAILPTPLSQRRYVKTVDSATVAGVFTKVLRKPTNQSKFTGKCAGKTRCLGCHLHPACKSKDKAKGTMKFRSIGSDPGLIACGTSATGVLAYLASEGSYDDDDDDDDDDGDEYENAIDDYEYSYDYDDNTNCNVEIGIGLASIVTEEHIVVEEDEDDFMSYCDVGLCLGDTDADEHEDDGWYMVGQIQ >KVI09265 pep supercontig:CcrdV1:scaffold_17:302731:305104:1 gene:Ccrd_012396 transcript:KVI09265 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB domain-containing protein MSVNNSNLQKNSGVSSPFGNIIPPNPNPHLQSQSQPQQPSGSPFTSQFQLSHFAAAHAHAQAIAQAQSKAQAKAAAQAQANHAQFQAQLQAQGLAINQSHGPLATNSPSFPGSGNVSTKPMPQKLLGRPPGVSNSNAYSPMRMMELTPAGRKKKQKLPDKQLQDRVAAILPQSALYTQLLEFESRVDAALVRKRIDIQEATKNPPCIQKTLRIYIFNTFANQVLTIPKKPNPEPPTWTMKIIGRILEEGMDPDQAGMISKPNPMYPKFSSFFKRVTISLDQRLYPDNHMIVWDSSRTPTPQEGFEVKRKGDKEFSVNIRLEMNYMPEKYKLSSALIEVLGVEVDTRARIISAIWQYIKARKLQDTNDPSYFHCDPPLLKVFGEEKMKFSMVSQRISSHLSPPQPIHLEHRIKLVGNSPTGNACYDVLVDVPFPVQKELNALLANTEKTKEIETCDEAISGVFTVSLVIPLG >KVI09251 pep supercontig:CcrdV1:scaffold_17:571150:572978:-1 gene:Ccrd_012366 transcript:KVI09251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNSNEEPHVLPSKRKQAAQDADADSTKKHRLEKCADNETLPEVEQKIIDENGDKVEEEEEEEEEEDYEGEEGVDSDDDEEEEDDDDEDEVEHSNGGGEIDRKGKGIMKDDKGKGKLIEESEEDDSDDDGASESDGDDDLSDDPLAEVDLDNILPSRTRRRTVPPGVRISSDKLTNDKDNDA >KVI09261 pep supercontig:CcrdV1:scaffold_17:336280:340665:1 gene:Ccrd_012392 transcript:KVI09261 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain-containing protein MIFLHFSWEKTPLFGIVAMVLGICLFRRNFEFSFSEGFLPIFGNIRLGSLLINTSSCVPTMGFATALQMKPYGCAAAASISFRRSVSPFSTRLPFQPSPKVSRLKVSAVVKKSPKRLKYASPRLPKEDGLLYVEVDPNGEDTWKLDSIVELLKEGAVGVIPTDTVYAMVCDMNNHDAIQRLRRLKDPFSILCRSLHDIDTYTTGFPRGNSDGLSDIFRAVKHCLPGAYTFILTASKALPKQCIKYGTTSSKYATRKSLGVRIPDDIVCQAVLEKMGAPLFSTSVRSPQENRWIIDPVLIADTYRQEGLNFVIDAGVRVANPSTGPKQPWMAEDDDAAAAEERESI >KVI09227 pep supercontig:CcrdV1:scaffold_17:832865:836849:1 gene:Ccrd_012342 transcript:KVI09227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase FVEKILRVQPNVKKLYLLVRATDAKSALQRFNTEAVAKELFKILKEKHGTNLQSFLSEKVTPVAGDITNENLGVTDSNLVEEMWRNVDVVVNVAATTNFDERYDVALALNTFGAKNVLNFAAKCVKIKLLLHVSTAVKLRLYLLSAYVSGEKPGLILETPYKLGEALNGAKGLDINVEKKIIEEKLKELSSDETATAKSITLSMKDLGIQRANKFGWPNTYVFTKALGEMIIGHLKGDIPLVILRPTIITSTYKEPFPGWIEGIRTIDSLAIGYGKGRLSCFLGDPESVIDAIPADMVVNAMITTIAAHASQPCEIIYHVGSSVSNPLKYKGIQKSGYHYFSKHPWINKDGKAVIVSEVKVLNSMESFRRYFSLRYLLPLQALHFVNSALCHAFNGTYMDLKRKINFVLRVVELYKPYLFSKSFYDDMNTEKLRQVVRENGVEANMFYFDPKLIDWDYYFVKIHIPGAVKHVFK >KVI09258 pep supercontig:CcrdV1:scaffold_17:557271:560243:1 gene:Ccrd_012368 transcript:KVI09258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase MKIIQTASSFLKPFHFSSPITTRNGITSLSPTSINTTPRRLLAASCSLLDDGVSGSAVKALEIDPMLAVKKKAIDISPGLKGTSIYLVGMNSTMKTRLGELLADALRYCYFDSDHLVVQAAGGEAAAKELLDVDEVGFRESETEVLRQLSSMGRLVVSAGDGAVRNSTNLSLLRHGISIWVDVPLDMVAKEIVEDGARFIGSEISSSASHSEVRSRLAMLYEELQGGYAVADATISLQKVAGQLGYDDIGTVSTGDMGLEVLSELEKLMRVKKMMEEAARPF >KVI09259 pep supercontig:CcrdV1:scaffold_17:543433:552947:1 gene:Ccrd_012369 transcript:KVI09259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLEKFGRKLTMHKNDGKEKRDHQPSALLDDVVQASKDMQDIRNCYDGLISAAAAMTNSIFESLHEMGTCLLDKTTSDADGESGRVLSTLGNIQSELQKISDIYRSYVIVTITNPSESLLSELRKVEEMKLQCDEKREAYEYMVSQNKEKGKLRSGKAEIAHKLEEAQDEYNTLARLCAFRVKSLKEGQCRSLLTQATRHHAAQLDFFRKGFKVLEAVDPVIRIVAEKHRIEYQLSKLDNGNAGQSEGINIYDSIDEAGLNPLDIPDSEVPKLEDREINHYKHQREQVFGRQTQNPTSYSAPLYPDFVDSSERPRETRRKVYSYVLPPPVDTKSPVSRTPTSVTQTNPFHNIQPPLPVDHEKQERDFGNNNTSTPTSTSKPRSSIKNSNGINPSIQLPSPSGERFRRDTHSAFDSKVDKRQAYSGPLPPTKPFSGKIAPTNGPVSSTELPLMRRSVSPQPLSSPKINELHELPRPPSSLSFSKPGVSPGGASAPSFFKNQDINKTPSAAAAAASPLPPPPLVVPRSFSIHSSNPSAMVPKRIESPQITVGKREEVSSPPLSPILLSNRKSTGHI >KVI09236 pep supercontig:CcrdV1:scaffold_17:18041:19706:-1 gene:Ccrd_012421 transcript:KVI09236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFPPLMILFLISSLSLLTINATSSPSHHQNPDSIVDEVNDSINNSSRRNLGYLSCGTGNPIDDCWRCDSNWASNRQRLADCAIGFGKNAIGGRNGQIYVVTDPGNDNPVNPVPGTLRHAVIQDVPLWIIFKRDMVIQLRQELVMNSFKTIDGRGVNVHIGNGPCITIHYATNIIIHGIHIHDCKQAGNGNIRNSPHHSGWWTQSDGDGISIFASQHVWVDHCSLCRHGYFHVVNNDYTHWEMYAIGGKSEWKNWNWRSEGDLMLNGAYFRESGRGSASTYARASSLSGRPSTLVAGMTRAAGALICRKGSHC >KVI09270 pep supercontig:CcrdV1:scaffold_17:663833:684998:1 gene:Ccrd_012356 transcript:KVI09270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3754 MGSATDSKKEVIRLERESVIPILKPRLVMTLANLIEHSSDRSEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGARKLDQQNLSSQETDVLEQNFLTYMFKVMEKSNFKICTNEEIEVAHSGQYLLNLPITVDESKIDKDLLKRYFAEHHHENLPDFADKVLQSLGSDCLRKGGWRLGKLFSRKSSARVKNDPKKDDEITNEEYPDDLYVERVRIENMDIRGAGTKGEKERGIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVVGLVAVVGSIETPQADFWVMFAILSAVVGYCAKIYFTFQANMVAYQSLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISFFILMEQGKATLEDLDLQCEELIKEEFDASCNFDVDDAVHKLEQMGIVTRRANEIIGTTTEELVLKARQEGTLQSS >KVI09202 pep supercontig:CcrdV1:scaffold_17:492296:493190:1 gene:Ccrd_012375 transcript:KVI09202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKLTEAYPYLVEPVNALMKGNDLYMEMKESGVINEQNIAESNIKNYQIEACETKIRLTFGPFSNNSLLEHGDGGSRRVSKRTNVGSIKQSKLEL >KVI09203 pep supercontig:CcrdV1:scaffold_17:491908:493693:-1 gene:Ccrd_012374 transcript:KVI09203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLLELKFRLLDGSDIGPFRYSPASTVAMLKERIVAEWPKDADLWIGNDMLVSAGPKLSTGSPSTLKIRLSRSTAQTPSRAFVSIMSIPLVRPSVIATTLTQLFPNDCCASQVTVIG >KVI09255 pep supercontig:CcrdV1:scaffold_17:599554:602331:-1 gene:Ccrd_012363 transcript:KVI09255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKISRAAAVAASSSELTINHFSRSNTNLTTFFNKYVDATNVWSWNSVIADLARAGDSVESLLAFSAMRKLSLTPNRSTFPCTIKSCSALFDLQSGKQTHLQALIFGFHHDLFVSSALIDMYSKCGHLDDAHNLFDESPLRNVFSWTSMISGYVQNGRPHEALSLFKDFLTEESLTENEVCVDSVAMVSVLAACSSTSCKSITEGVHGVVVKKGFAENTSIGNTLVDAYAKCGQVGVSRKAFDEINDKDVTTWNSMIAVSAQNGLSTEAIELFYSMVRDAGVNYNAVTLSAVLLACAHSGALQFGKCVIKMGLEEDVVVGTSVVDMYCKCGRVATARRTFDRIKKKNVRSWTAMVAGYGMHGYAKEALDVFYEMIRVGMVPNYITFVSVLSACSHAGMVDEGWHWFQTMEHKYSVEPGVEHYSCMVDLFGRAGFLNRAYNLIKKMKVRPDFVVWGSLLAACRMHKNVELGEISARKLFELDPRNCGYYVLLSNIYADAGRWEDVEKMRIYMKKHGLVKTPGFSLVELKGRVHLFLVGDKEHPDHEEIYLYLEEISLKIQEAGYIPNMASVLHDIDKEEKEMTLRIHSEKLAVAYGIMNSVPGSTIQVIKNLRICGDCHETIKLISKIVNREIVVRDSKRFHHFSEGLCSCGDYW >KVI09247 pep supercontig:CcrdV1:scaffold_17:851801:853137:1 gene:Ccrd_012339 transcript:KVI09247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLALLGGNTSPSANDLKNILGSVGADCDEDRIELLLSEVKGKDITELIASGREKLASVPSGGGGVAVAAAAGGGAAPAAAAAAEPKKEEKVEEKEESDDDMGFSLFD >KVI09250 pep supercontig:CcrdV1:scaffold_17:259390:265793:1 gene:Ccrd_012400 transcript:KVI09250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFFLSAPPSICVSPLTHRPATTITSCFSVQTLSPLSQSLPSPPSSSSSSSSSSSRPPSSNSLLPNPNVTPISSSGCSLNCPHFESCSGCTHEDNLHRPVILGEATTFFKKLGVSDFTFDTCRLWGWRCRAKLAIRGSSTDPLIGLYQEGTHNVVDIPDCKAHHPSINAAVELLRKGIKILNIDPYDEDERTGYLRYVQMAVTTYNTSLPASERYRSGRVQVSLVWNSRSETSASSEKLSDLATFLWRNGGPNSKVHLIHSVWANFQTSTNNVIFGNRWRHLLGERDFWEHVGGIDVYLAPSSFGQANTRAFDSLLQKLHRYVPLGSSVTDLYAGAGVIGLSLASTRKCRKELSVRCVEINKESKLAFEKTIERLPSSIDSNISWHLADTSIEPLSWLVGSEVLVVDPPRKGLDPSLVSALQAIKSAERKAMTLESPTFKAKDEKRPWILRAREDSIEIPSRTTQEESRSLPQTLIYISCGWESFKENCTALLSSKAWHLSKAHGFNFFPGTQSIEILAIFKRGPGASVKKKKSSKKKKKKNKTF >KVI09231 pep supercontig:CcrdV1:scaffold_17:423640:424996:-1 gene:Ccrd_012382 transcript:KVI09231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGKVELKRIEDKSSRQVSFSKRRNGLMKKTHELAVLCDVDVALFIFSGRGRLYEFSTGESLVHVACMHNGIGMFSGMQLSSPAPLYMVFSSLTKSASAACCILHMQSFDGLAMKMKGGCTRKCN >KVI09234 pep supercontig:CcrdV1:scaffold_17:389637:390020:1 gene:Ccrd_012385 transcript:KVI09234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3339 MADWGPILIGVVLFVLLQPGLLFQLPGNSKQLEFGSMKTNGKAIAVHTLIFFAIYAILILAVHIHIYTG >KVI09248 pep supercontig:CcrdV1:scaffold_17:854930:857207:-1 gene:Ccrd_012338 transcript:KVI09248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEPPWIDDLADDLQSISFNSTTTTATDINRSTSSGSETTWTTATYSARHNLSLPHTNKRSAPSGDPCWDAIRQAGSESGANLSLTDLRFIHRLGAGDIGAVYLSEIKRPSCSSSPALFAAKVMSKRELASRNKEGRARAEKEILEMLDHPFLPTLYASLESPKWSCLLTEFCPGGDLHVLRQRQPCKRFPESAVRFYASEVVVALEYLHMLDIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDLSASTPAQVFSDHNRPNLPSSTDHYAFDPPKVTSSSCILPNCIVPSVSCFNPKRRRKKKLGNHGGPQFVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGITPFRGMDNELTLANIVARALEFPKEPVIPVGAKDLISQLLVKDPVRRLGSTMGASAIKHHSFFQGVNWALLRCTTPPFVPPPFNNREVVSDDSCSDTPVEYY >KVI09204 pep supercontig:CcrdV1:scaffold_17:477601:484215:1 gene:Ccrd_012377 transcript:KVI09204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MDSKKVIVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEETLLEQELKDIVVGETCLNLRHQLDISYPVNNGIVQRWDDMGHVWDHAFYNELKVDPSECNILLTDPPLNPSRNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDAGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLLRRGYAMNKSADFETVRDIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGIADMVFHCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEREILDRYLEVVLKGNKVGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWISRQDYLEEGVGCLSKCG >KVI09244 pep supercontig:CcrdV1:scaffold_17:921569:922864:1 gene:Ccrd_012331 transcript:KVI09244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MHCVAPSSGAIGFKSITFPTVSHRLAQPTNLTVQCVASRFDAAAANPTNPPLSAPTHTTTNFASGGVGKSRTDWQSSCAILASKVESQQQNTDKSSGTDNNITLVNGHSSLDLVPIHNLPKPLTIADLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQTVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLNRVISHPQALAQCELTLTKLGLNVTREAVDDTAGAAEFVAANNLRDTAAIASARAAELYGMNILADGIQDDSSNVTRFVMLAREPIIPRIDIPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVGDENVGTAKHFEYLFYVDFEASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSQ >KVI09228 pep supercontig:CcrdV1:scaffold_17:787925:791901:1 gene:Ccrd_012343 transcript:KVI09228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding, conserved site-containing protein MARITSDPLVIGRVVGDVVDNFTPTVEMSVTYNLSKQVYNGHELFPSSVTAKPKVDVRGGDMRSFFTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTDSSFGKEVVSYETPRPNIGIHRFVFLLYKQRGRQTVTCPSSRRSFNTRNFAQENQLGSPVAAVFFNCQRETAARRR >KVI09223 pep supercontig:CcrdV1:scaffold_17:764561:765024:1 gene:Ccrd_012345 transcript:KVI09223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRSSLLDGPYRILGRRNCVNVTFVILGAFFGERAVDYGVRKLWERNNVGKRFEDITVLGQRKPE >KVI09229 pep supercontig:CcrdV1:scaffold_17:437649:437887:1 gene:Ccrd_012380 transcript:KVI09229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFKPKCLLSNSSFNYEKRKFITPIIIIKGKV >KVI09201 pep supercontig:CcrdV1:scaffold_17:514475:518284:1 gene:Ccrd_012372 transcript:KVI09201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLFRTGGLKAIIHDRWEKMGGLSCLCFPRHRKVNPDDDGVSEEHNNLKPPDATSAPTVQKVHDELPKQSKEKSRTKTTEQESRTKPLKVDNPPDTIVRKQRKFSYHELVKATRNFREDAFLGEGGFGQVYKGKLENPNQVVAVKKLSHDGIQGNKEFQAEITMLSMVCHPNIVTLIGYCSESDKQLLVYEFMPLGSLEDHLHDPRMKGEFMQRPVRKVVEVALMCMDDDQEKRPDMNEVVDALGFVASLSDPNASKGQRRQSRLIANEDSGDDEDDMFKEEDEDERAKAIAEAKMWGERYRH >KVI09220 pep supercontig:CcrdV1:scaffold_17:744552:751112:-1 gene:Ccrd_012348 transcript:KVI09220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MAIAACRVFGYHPSYIGDPPVVIRGSKLKFQQNERNGTNGRYRASLIMNPESFEVGRLIGSYGFMNVTSYSGLQSRPDFGSSSQSEDVGGLKFQDVREGDVQIRLYEGRVTQGPRRGTPIIFKVYPGKQVGGLEADMMASNELKSHAFLQSCSETICQNIQILLGGFETKTGEQWLAFRDDGKYCAADYAKSSCERLSKNRALGEDKFWNPFEEEQYIKRKRSFIIKLLQGALCGLAYMHDNERLHQSLGPASVVLNTLADKDVNYLVPRLRDLAFSVDIRFSSLEESSSGLSEGLWRRARSAGAFTPMEKRAFGIADDMYCAADDRFLEAVKFLDMGNGAGWELLQAMLNPDFRNRPIAEAVANHRFLTGALL >KVI09275 pep supercontig:CcrdV1:scaffold_17:726586:727978:-1 gene:Ccrd_012351 transcript:KVI09275 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MKSLLNNNRNSEFCGPVTSFDWNEAEPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPKYMATIIMDSAKVVVLDIRHPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMASSRYNLSLEQPVLLSRLMLHHSFCKTSNYD >KVI09243 pep supercontig:CcrdV1:scaffold_17:873315:874940:1 gene:Ccrd_012336 transcript:KVI09243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin, RAB6-interacting MSSKPTLLDQEQQQAQSMVRMKSCGLSHGSPVIDCDDELSRSALFAFRAKEEEITQKKMAVKQKVEAQLGRVEQETKKLVEIRNEKEYREAVEAFNDKNKEKGQLVTRLMELVTESERMRTKKLEDISKQIESFVKI >KVI09213 pep supercontig:CcrdV1:scaffold_17:217225:218496:-1 gene:Ccrd_012403 transcript:KVI09213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MWKPRVYIAVTVTAFIICVAIAASAIYIILSRKKKKKLHHDSLCTKSLDLEHQHLSLSIRTVSETKISFEESSSKKLQLVVETFTAEELTTATDHFSSTNLIDGTVYHGRHNGKSLAIKCTDHRTISKIKFELFHDATHFHPNLIRLLGTCTIGSHGSTSADDHNAFLVFEYSKNGSLKDWIHGGLAVKSHFIASCYCFLTWNQRLRICLDVAIALQYMHQIMNPSYVHRNLRSRNIFLDEEFNAKVGNFGMEECGEHDHFSKGEPSSENLYPMRWDRGYIAPELKNSDAITASIDIYAFGVVLLEILSGKPPVSIRSKLEKEEEEVLLSAEIKIILQSSIADEKLREWMDNVLGENYSFDAAITIANLARACVEDGPLLRPNAGEVVQKLSKLVAELPEGEEKQFVVNESSCKPLVVKPVCD >KVI09252 pep supercontig:CcrdV1:scaffold_17:584032:591152:-1 gene:Ccrd_012364 transcript:KVI09252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR4 [Source:Projected from Arabidopsis thaliana (AT3G04380) UniProtKB/Swiss-Prot;Acc:Q8W595] MATRQRASKTSDLRAPNANDSKVSKAVSAMQGLGVSPEVVKPVLRRLLKLYGSWEHIEEDNYRALADDIFQSFEDKESQSKQKSKAAMVHNEQDPPPKSSHSGKSEDQALSTKENGQRNQKMEADTDSNQTKHKSGAEGSMPSNMKYVDARLASQRASSSSEREPATGGTHVTATQKKKRQNREPAEDIKPTAKLIRPVVQHGHSNSHGPTTVQQQQNATHKKSIHNIKDITRGTEKMKISLIDEVGIELPKFVYIPQNTPYQDAYVHFSLARIADDGCCKRCIGDCLSSRVPCACSRDTGGEFAYTPQGLLKDEFLGACISMNCEPQNHHLFECQDCPLERAKNVQNPEPCKGHLVRKFIKECWRKCGCTMECGNRVVQRGPTCKLQVFSTEGKGWGLRTLEYLPKGSFVCEYVGEILTNMELYERNKQSRKNERHTYPVYLDADWGSEQVLKDEDALCLDATNFGNVGRFINHRCFDSNLIEIPVEVETPDHHYYHDYGIDFEDEDHPIKAFECQCASSYCRDVRREAAAGTKEKELKMKRSASGNV >KVI09263 pep supercontig:CcrdV1:scaffold_17:349629:352810:1 gene:Ccrd_012390 transcript:KVI09263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27 YITLTTTASSNIVRLFSILSFIEGKHSSLLVEVMATATALSFNLVGAFKGLSFGSSSSSSFIKGDFGSVPTARRISTSLPMRMPLTIQNAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTKVHPGKNVGIGKDHTIFSLIDGLVKFEKFGPDRKKVSVYPREVQPENPNSYRNRKRESFRLQRERRKARKELREGTVAQPLLVMASATGTAAADDNADC >KVI09253 pep supercontig:CcrdV1:scaffold_17:575666:580201:-1 gene:Ccrd_012365 transcript:KVI09253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MAFSDNSRQSLIPNYLYTSSVSPSSLLRGNASNPNTLLPSTSPAKQQHSNFMIPAPSEPFGKIEMYSPRFYAACTAGGILSCGLTHMTVTPLDLVKCNMQIDPVKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLGDGLPKFVKSDGVLGLYKGIVPLWGRQIPYTMMKFASFETIVEMMYKYAIPTPKNECSKSLQLGVSFAGGYVAGVLCAIVSHPADNLVSFLNNAKGASVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPAIEPAK >KVI09264 pep supercontig:CcrdV1:scaffold_17:294325:296847:1 gene:Ccrd_012397 transcript:KVI09264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MSKGKERVTEESDEQDKEDDGEDVTSHQKGIAVYDCSKNGYWVDFGSPQSTKVNSQKSKKRSILLCTDHQDWLELGSSGSSSGESEKPQDADYSTYIPSLSYELEGLILARFPRSEFWKISFVNKRFAGVVKSGEIFKIRREIGFKEPLVFMLASGESCWWAFDQGFSSRRRLPVLPSDMCFTSGDKESLCAGTHLLVSGREIEGIMIWRYELSMNKWYKGPSMINPRCLFASATCGTSAFVAGGIAVVGGGMSGTQVVHNTVERYDPETRSWTLLPRMKKPRKLCSGCYMDNRFYVIGGRNDDGELTCGEFFDPERNQWTVITGMLKDNPVLSCHSPPLIAVVNNELYSIEASSNQLKVYLKNSNSWKQLGSVPVRADYNRGWGVAFKSFGDELLVIGASTVSSSMAIYTCRPEPQSDGLPEWKLLDNGKIQLSHFIMNCSVMVA >KVI09225 pep supercontig:CcrdV1:scaffold_17:752558:756572:1 gene:Ccrd_012347 transcript:KVI09225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MSSSMVVYSRLASSSRIPSFEFVSGPTAINRSSVRYHCRSHTFGSLRFGCDAIKIGASSRRIRSTGCFAALNPELKTTLDKVVTSHKVILFMKGTKDFPQCGFSNTVVQILRTVNVPFETINILDNEMLRQGLKEYSQWPTFPQLYIDGEFFGGCDIAVGKFIPSCYQNISTLCIPLLECIQERRVAGIIGEDIMLVIDSSL >KVI09221 pep supercontig:CcrdV1:scaffold_17:738969:743646:1 gene:Ccrd_012349 transcript:KVI09221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYACFNYDYINCNDVYRKSCCHFKGDPQLGRRRIRPNANSDILVSSPFKIRVDSGNLFRSRLPVMVNNLTAAHHHLESTLPYKLPKLKAVTLGEALASADDDLVLPGQDFSKQAHVSSFEKYLEMYKRSIEDPAGFWSDIASEFYWKQKWGQQVYSDNLDITKGKINIEWFKDGITNICYNCLDKNINSGNGEKIAIHWEGNEPGLDGSLTYNQLLDRVCQLANYMKENGVRKGDSVIIYLPMLMELPIAMLACARIGAVHSVVFAGFSAESLVQRIMDCKPKIVITCNAVRRGTKVINLKDIVDAALSESSENGISVGLCLTYGNESAMKKEATKWQKERDVWWQDVVPECSTKCDVEWVDAEDPLFLLYTSGSTGKPKVFMSTISEGVLHTTGGYMIYTATTFKYAFDFKPSDIYWCTADCGWITGHSYVAYGPLLNGATVVVYEGAPNYPDPGRCWDIVDKYKVTLFYTAPTLVRSLMRDGNEARILFDLYVTRYSRKSLRVLGSVGEPINPSAWRFFSQLTFDCCAWPQKPGSATFPFFGVQPVIVDENGKELEGECSGYLCIKNSWPGAFRTLYGDHERYETTYFSAFPGYYFSGDGCSSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQCIYAFVTPIEGVAYSEDLRKSLVIAVRTHIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPNVVDQLIALADK >KVI09278 pep supercontig:CcrdV1:scaffold_17:46255:49601:1 gene:Ccrd_012418 transcript:KVI09278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METARGVLVHLRNFICFVPYFISLLLVGVLKGVIVAPIVCVVMTIGNSAIVLGLWPAHAVWAYFCILSAKRLGPVMKLVVCILVTPPLAFWPVCVVVGSIIGGIAYGFLGPVFGTFKAVGEGKTNKFRHCLIDGIWDTIKWSLTFVRDLNDVCLYSYFAMMDDLRKQDPPGGRIEIRLVYVPVALLVGLLGFAVDFPVITVIAALKSPYMLVKGWHRLFHDCIGREGPFLESICVPFAGLAILLWPFAVAGALLGSMLASIFLGFYAAVIAYQESSFYLGLCYIVASLSIYDEYSNDILDMPEGSCFPKPMYRKNAGSQPGSRTSSFSRPVSFKNPPSRSRLITIKEIDDAKSGKASGRVISIEDNVTEITSANRPKDTFYDWFLNPLLVIKDQIKAHNLTELEEDYLGKLVLLGGDAEKTKNSNIVPPPESELRLAELGALARRLQGITKSISRYPTYRRRFESSIKAISEELERRNNGRGSETTFGRMFSNQRSFRTKTSNREDDQEAERDDVV >KVI09211 pep supercontig:CcrdV1:scaffold_17:196803:203991:-1 gene:Ccrd_012405 transcript:KVI09211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain-containing protein MNLNVNSVDSLVAEIQGLSGSTQDVNHLNNLLKQSEDVIRSQAATFASCLTRLDASLHSLGYLYVLEACTAGPIPEAQANELVLSVVRFIDMCSVEQIRLVPDKFISVCKRLHEQVMVLGAPMRAVAPLLTAIRKIQLSSEHLTPLHPDFLQVCLSAKCYKIGYSILEDDILEVDQPRDFFLYCYYGGMICIGQKRLAKALELFHNVVTAPMSSMNAIAVEAYKKYILVSLIHLRQFSASFPKYTSSVAQRNLKNFSQPYIELANSYSTGNISELEAFVQANQEKFENGNNLGLVKQVVSSMYKRNIQRLTQTYLTLSLQDIANTAQINTPKEAEMHVLEMIEDGEIFATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRYDIRIAKNHETVKEADNNGRIYVM >KVI09207 pep supercontig:CcrdV1:scaffold_17:470534:471855:1 gene:Ccrd_012378 transcript:KVI09207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MDPKTSDHHHHHHHRPQQQPHQISSFLTLPQPTTTMADNPKSSSSSEIKDFQIVIADKEDPAGGGGGNKKQQQLSVKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASAMGAAGAASSHGVSISAGLQHKIDESRTNWPLVGGNLGVGRPTNHMATPPGIWPAIPTTGFGFQSPSPSSGPSANNLGTESSNYLQKIAFSGFDLPGSNLGPMYFSSILGNHNHQQQQQLPGLILQGQRIKTFLV >KVI09286 pep supercontig:CcrdV1:scaffold_17:357864:364862:-1 gene:Ccrd_012388 transcript:KVI09286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MMMVDNHLQTQTQLQSEFQYWLPVLRRFGPDDPFFASGNIERELLAKQVALDLTEEEKQQLRNLEDEHREVFCPIVGCVARLRSLAEFEDHYNARHTACCSVCSRVYPTSRLLSIHVSEAHDSFFQAKAARGYPMYECLVEGCGVKLKSYKSRHQHLMDKHNFPSSFEFFKKSRPSKKERVKKTQRKKQQHATEDGEGSSAMQVEEETLDGLVSALSKLTPSDSSPSAISFGRRHTRGLAFVPRVVHRETKK >KVI09280 pep supercontig:CcrdV1:scaffold_17:74915:77758:1 gene:Ccrd_012414 transcript:KVI09280 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF23 MPIREGADQRRSVGAGARREEEDEDERRTGVWRLTADQGRLDLDRGVSDHLEAFIAMKDRRKRASLLLISRCALALLFFSGFTLSTLRLLFSESYHPSLISSWRTSPAEAIYSDSPASRGLSVGETVLFPDQVLILLKYPPSSRLLTKDEIECVYYSPNTSRPHLRSSPLSIGGQYLDHQIVRCGIPPRGTIVSVALKAQGDLPPGPTHEWKSLAYEAMIDRDNTTIVFVKGLNLRPGRASNHSRFQCVYGSDFTNRKSRLKSEVVSIAQEIVRCRTPSSLLGSPHSRGSIKVSVNVIGKGILSSIARPEFLASPDPPVFIQHRVCICTMLRNQARFLREWVMYHARIGVERWFIYDNNSDDEIEDVIESLANQGFEITRHLWPWIKTQEAGFAHCGLRARDLCEWVAFIDVDEFLHLRTGVHLGSIISNQTSRPDVAELRISCHNFGPSGLKESPREGVMVGYTCRLRPPERHKSIVQPEKLNPTLINMVHHFDLRDGLNYVNIDRNLMVINHYKFQVWDEFKEKFYRRVATYVSDWQKEENVGSRDRAPGLGTRAIEPADWASRFCEINDTGLRDWVVKTFSDPNTGLLPWQQERVL >KVI09217 pep supercontig:CcrdV1:scaffold_17:274309:277173:-1 gene:Ccrd_012398 transcript:KVI09217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 MASSVLANFLSIFTPLKAPPQPSKAPPSNISLSTESSSKHKDAFAPMVASSNSSSSSSCSGDLMSVVCPSLAYANTLYFRSAYNVQVIVEDNEPEEKLLGRFRREVMKAGVIQECKRRRYFENKQEEKKRKHREAAKRNRRRRTPFRNPNDEKLEGVSKKREDDDDNWDMPSDSPLN >KVI09224 pep supercontig:CcrdV1:scaffold_17:757901:760374:-1 gene:Ccrd_012346 transcript:KVI09224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MIACVSCATISALSNPTRRVLNREVKVSERKISEHEVCKLQSEALREAITQITTDAKEKKRNFTETIELQIGLKNYDPQKDKRFSGTVKLPHIPRPKLKVCMLGDAQHVEEAQKIGLEYMDVEGLKKLNKNKKLVKKLAKKHQAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLEAKVNETKATVKFQLKKVLCMGVAVGNCSMEDKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKTTMGKPVRIF >KVI09208 pep supercontig:CcrdV1:scaffold_17:130420:136436:1 gene:Ccrd_012409 transcript:KVI09208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVTGGKSLKKFHDLVCKDCKDIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWSASVKKGVQPDVQYGYKASTVTGTVFNFFNALGEIAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYIVVALCYFPVALIGYWMYGNAVSDNILISLEKPTWLIAMANLFVVVHVIGSYQIYAMPVFDMIETVLVKKLHFTPSFTLRFITRNTYVALTMFIGICFPFFGGLLGFFGGFAFAPTTYFLPCVIWLSIYKPKKWSLSWIINWICIVFGVVLMVLSPIGGLRQLILDAKTYEFFN >KVI09232 pep supercontig:CcrdV1:scaffold_17:408496:413362:-1 gene:Ccrd_012383 transcript:KVI09232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MIKLLTRYQSYKKTEELTRISVHKQKLDSENVCSADELTQILKWHLEENNIQLLDTTGLNQLEQQLDSLLQQVRIRKTQQMMEVVKALQEEEMQLKKERNFMMEEIMAARSDGIDDDSGDPSQPQR >KVI09245 pep supercontig:CcrdV1:scaffold_17:885685:899776:1 gene:Ccrd_012333 transcript:KVI09245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3067 MSHHIRVLEDRFYVELVDNQLFSQIRKLLGSNKNLEIENVLKAALYLIGDRDKEAWTPGWSGWNHHHVASTDPSITEILAQIEIQCDTSKKKGSTGYCRPGMTLHVSSRRSLKKMYALTFERQFRTAGKEPNKSKDIGKSAQHCMLACTNTQKGKNWSLGGRKVARGSQVTGVGAAKSLDGLMSFKEFMMMHRYLQNGRVGGYLFHAFSYKTVGLEELTTRSKFVMNGYWVDHHDFYCQFLLVVYIDIDSFNLDAGGKGYVLGSFLTDDDGLLYKFMGKNLLALNVLWKYMEQRSFPLTEEEYLLMLDDVANTLKCWGVVSHIRNSLEKLKERPRVGKIY >KVI09282 pep supercontig:CcrdV1:scaffold_17:51523:55885:1 gene:Ccrd_012417 transcript:KVI09282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MAGFLAVVVVFSFIIVGSTQEPPYRIHTLFSVECQNYFDWQTVGLVHSFRKARQPGPITRLLSCTDEEKKSYRGMDLAPTFEVPSMSRHPRTGDWYPAINKPAGVVHWLKHSKDAQNVDWVVILDADMIIRGPILPWDIGAEKGRPVAAYYGYLVGCDNILAKLHTKHPELCDKVGGLLAMHIDDLRALAPMWLSKTEEVREDTAHWATNITGDIYGKGWISEMYGYSFGAAEVGLRHKINDNLMIYPGYIPRAGIEPILMHYGLPFSVGNWSFSKLGHHEDDIVYDCGRLFPEPPYPREVIAMEPDENKLRGLFLNLECINTLNQGLVLQHAAFGCPKPKWSKYLSFLKSKNFSKMTQPKGLTPQSLQMMESHVEEHKADEPEHVEEHKADEPENLHTKIHTLFSTECTPYFDWQTVGLMHSFRLSGQPGNITRLLSCTEEDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEFKAARGRPVSTPYDKSDAIFLNNLSYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFALLWLHKTEEVRADTAHYGKNITGDIYESGWISEMYGYSFGAAELKLHHVISHKIMIYPGYVPEPGVKYRVFHYGLDFRVGNWSFDKADWRNVDLVNKCWAKFPDPPEPSEADQTSDDAQQRDLLSIECGKTLNEALRLHHERRKCGDPTSEIPPDLETVDEGTTARKFDQLEESNLVTTNNSASVVDLPEESSQTFLHFRFWMIFLWASSVLGFGVVMTVIVRGRKGQKKRGKGVKSRKRSSQSGFRDVNDQDRTIREAS >KVI09272 pep supercontig:CcrdV1:scaffold_17:698283:707547:1 gene:Ccrd_012354 transcript:KVI09272 gene_biotype:protein_coding transcript_biotype:protein_coding description:CarD-like/TRCF domain-containing protein MAATLIVKPIACVSSPPPPLPSPYASSFRLWTPFLRGGRGIFSSTLGNHYFLHSRSYSSSPFSSLTGVSSIATDPNRTARFDSQESENDPISIFNERILREQSTKRASGRPNHISDSNSSANVTNEEVDKYIQLVKQQQQRGLRELKKGHLITMGASTDAEAGYSYKVDPFTLEEGDYVVHKKVGIGRFISIRPSIPEGSTAPIDYVYIQYADGVAKLPVKQASRFLYRYNLPNENRAPRGLGRLKDTSGWEKRRIKGKVAVQKMVVDLMEVYLNRLRRKRPPYPKNPGMAEFVSQFPYDPTPDQQQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAQRAIFCVVSAGKQAMLLAPTIVLAKQHFGVISERFSKYPDIKVGIMSRYQTRAEREEYFHMIEHGHLDIIVGTHSLLGSRVVYSVFXLQRFGVKQKEMIASFKTSVDVLALSATPIPRTLYLALTGFRDCSLISTPPPQRVPVKTHLSEYSEEKVISAIKYELDRGGQVFYVLPRIQGLEEVLSFLEKSFPDVEIAIAHGQLYSKRLEKIMTRFVDGEIKILISTNIVESGLDIQNANTIVIQDFQQFGLAQLYQLRGRVGRTDKEAHAHLFYPNKLLLSPEAKKRLTALEECSDLGQGLQLAERDMAIRGFGDIFGVQQTGDIGNVGIDLFFEMLFESLSMLELDINPRLSSEYINYLDNPIEVVNDAEKAAEKDTWSLVQFTVDLRRQYGKEPYAMEVLLKKLYVRRMAADIGITTIYIMGKTVGMKTNMGEQIKPEPI >KVI09268 pep supercontig:CcrdV1:scaffold_17:645945:647455:1 gene:Ccrd_012358 transcript:KVI09268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MACTIDFRCLDEGFGGKTYKRKRSEQQQEKDALMDVDDDQEIQTSKRQAVASSENPNKPIFGKPTYDGVIAGKVSGRKWKKAKTQRSSAVRVSLKKSTFEERAQQKEIKKAYKERMTELKEEIRKNKVEKRKKKEEKDKKKEENILKSGTKLQKITNPKTLKKLAKSKKRKLLKGTRRWTPFAAQTAVGNVICTLVEQGMQQAEVMSPGLGIPFSKVRSHGARRLFDEPLP >KVI09230 pep supercontig:CcrdV1:scaffold_17:436445:437112:1 gene:Ccrd_012381 transcript:KVI09230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRGLYLFTCAN >KVI09242 pep supercontig:CcrdV1:scaffold_17:868078:870456:1 gene:Ccrd_012337 transcript:KVI09242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGTFHGTGEIVELEEGSDFESSKRGKKFYVEDDINRLFESIRISTKNKGFPQVLRDEQKKSMKRPMRVALYQASGIGISEPVSLKQALRGLSISQASEMAAMKRLSKPTGFSGGSEAGPVKRSQIAAGEEVNYNIGHRDMVEVSLVPEISASNFPAKIPISLHKRDNPTTSSIAPSEVGSRTSVTELGQTRNLKLKLSALSTRSRKKLPVVDEIIPASVESGESVGQENNQKSKLDSSSFLPSSRSAAKLMQPILRNKNLVSKKNKLASVSGTSNSHYQFNKGAGTKDTDLKKTSPTSGSTSVGIEDGSSSMDSSASKPALITKVKRRPNTVFNKADDKLRPREKGELSQSSKSSLGECSSSTSFSCDSNLSGVNRSGCRPHMSKDLRWEAVYSAQKQHGSLHIRHFKLIKKLGGGDIGAVYLAELIGSNCFFALKIIDNELLALRKKATRAQTEREILQLLDHPFLPTLFFQFTTDKYSCLVIEYCPGGDLHVLRQKQPNKCFSEEATRFYVAEILLALEYLHMLGIVYRDLKPENILVREDGHIMLTDFDLSLRCDPNPTLLKPSPSSAVVENTESSCIDPFCLQPSWQVPCFTPRLPSVAPKLKSDIVIQVPQLVVEPINARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTYGILMYELLYGRTPFKGMGDDDTLANVVSEGLKFPDNPIVSSSARDLVRSLLRKHPENRLGSLKGAAEIKQHPFFEGLNWALIRCATPPEIPKIYDFRSMAPENLVFDMF >KVI09200 pep supercontig:CcrdV1:scaffold_17:497417:506099:-1 gene:Ccrd_012373 transcript:KVI09200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFDASLPILVDSVLLTVLSGILSLNSWTDLYLETMGSTFNPQILVEKLAKLNNSQQSIETLSHWCIFHMNKAKQVVETWARQFHSSPRDQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIDNGDEFGRNSALRLVWWFNLHVNILDERKVFGSRGQVLKEELVGRHLETSNKNGKHSGLKLVLHLVLFLHVPVWSCACKRPAGDALDKIVSGYQVIYAGQVNEDAVMSKCRNAISCVEKVDKEIAKVNGPGVADELKGQHATLRDCIEQLTTVESSRTNLVSCLREALQEQAAHTQSEKADNFCRQLIKNPNGIQSPSEQGRKETHPSKVQSYVPGTGEQSAPVMYTRQIPFGEQSEEDTKSAAAAVAAKLTASTSSAEMLTYVLSSLASEGVIGNSRKESPSNDYPLQKRTKINNDQPPPYIPSSQNAQPPVPPFPHPDSLQHGNNSRGGGILKEPSHDQPPPPPPPSSPPPLPPMPPMQPYPVPPFMQSAGTVPYGHNTNQPPPPPPMPLPGFPSVGPPVNGVSSYTPALPPDGYQNYQIEGGFYSQQSAMPMAPMSRQ >KVI09235 pep supercontig:CcrdV1:scaffold_17:389126:389509:1 gene:Ccrd_012386 transcript:KVI09235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENWWRWGMLMALYLPLIAIPVLRPSEGKELRPSDHGLSNQGDEQAKAPQMSSFFGSGVSSTTQPLPEARNLSDPSWSSGGSGGGSADHVRKVLIVSSLVCGIAGIFLLVAAGILFLHRFKNRRSTR >KVI09240 pep supercontig:CcrdV1:scaffold_17:880976:881893:-1 gene:Ccrd_012335 transcript:KVI09240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MMDLISCYSQYAVQVSESSSCSSYASAPSCIVSPNLISSTQTAVSCLYKTTLSTGENHFITVTWYRNATIQGLQINTGEDSTTAFRLNTHSRLFRKKKGSKSFEINGSKFEVYYDLSSAQYAAGAEPVEGYYVLIMVDSELGLLIGDMTEEAAVKKLKTHKQIGKFSLLSRKEHFSGNTLYATKAQFSDTGSWHDILIRCTGENDGLKNPVLLVYIDKRVVIRVKRLQWNFRGNQTIFLDGLLVDLMWDVHDWFFNPESGSGSGHAVFMFRTRSGLDSRLWLEEKIVKSDDQKKGFSLLVYATKS >KVI09249 pep supercontig:CcrdV1:scaffold_17:183903:195660:1 gene:Ccrd_012406 transcript:KVI09249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDISVIAKSAVEEIVASPASAVSKKLAFDLIRSTRLTTDLWEVVCTGIHNDLEFPDPDVTAAAVSILAAIPSYRLGKLITDSNKEISSCFDSNSDNLRFSITETLGCILARDDLVTLCENNINLLDKVSNWWTRIGQNMLDKADNVSKVAFESVGRLFQEFESKRMSRLAGDKLVDSENSVAIRSNWVSSMVEFVWRRRNALMARSLILPVESFRASVYPLVYAVKSVASGTFEAFQKLSRSSKKTNGSTLDSSKTERFVGVSDVVTHLAPFLASSLDPALIFEVGINMLYLADVPGGKPEWASTSIIAVLTLWDRQEFSSARESIVRAVVTNLHLLDLSMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLPGTDIASLFEDVRIRDDLNTVTSKSLFREELVATLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVRWDCEGRTYAVDCYLKLLVRLCHIFDTRGGVKRVKDGASQDQILNETRLQNLQRQLVHDLLEVNTPRIAARLIWAISEHIDLEGLDPLLADDPDDALNIIISKIHKILFNADASATETNKLQDIQAVLLCAQRLGSRNGRAGQLLTKELEEFRADPLTDSVNKHQARMILQRIKYVSSHSDDKWAGVSEARGDYPFSHHKLTVQFYEASAAQDRKLEGLVHKAILELWRPDPSELTLLLTKRADPSSLKVPPSAHTLTGSSDPCYVEAYHLSDPSDGRITLHLKLLNLTEMELNRVDIRVGLSGASYFMDGSPQAVRQLRDLNSQEPVLCSVTLGISHFERCALWVQVLYYPFCGTDAPGLEGDYAEEDQQIIRQKRSLKPELGEPVIMRCQPYKIPLTELLMPHKISPVEYFRLWPSLPAMVEFTGTYTYEGSGFKATAAQQYGSSPFLSGLKSLSAKPFHRVCSHIIRTLCFAAKTWHGGFLGMMIFGASEVSRNVDLGDETTAMICKFVVRASDAAIIKEVGSDLQSWCDDLTDGGVEYMPEDEVKVAAAERLRISMERIALLKAAQPPPKAPKIDQDPKDEETDDDESEDNKKKTENGEEGKKKHKGPTTLFELTPEEVEHRALQTAVLQEWHALCKDRNTKAK >KVI09283 pep supercontig:CcrdV1:scaffold_17:115739:116581:-1 gene:Ccrd_012410 transcript:KVI09283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGSNQAAVSFLTNIARAAFGLGTAATVLNSSLFTVDGGQRAVLFDRFRGVMDDTVGEGTHFLVPWLQKPFIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPEVNRLPAIFKTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPRVSALVRDSLIRRAKDFNIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAERSKFVVAKAEQERRAAIIRAEGESESAKLISDATSAAGMGLIELRRIEASREISATLARSNGVMYLPNSGSQMLLGLNPSR >KVI09210 pep supercontig:CcrdV1:scaffold_17:172278:179149:-1 gene:Ccrd_012407 transcript:KVI09210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRGIYHLLRGRLHSHSTAPPALSSLILKKDQDGAGSAGMKSLRAVALLGAGLSGLLSFTTVASADEAEHGLECPSYPWPHQGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAGYYRRMRWSVLKSRKLVLDVVN >KVI09214 pep supercontig:CcrdV1:scaffold_17:239314:241648:1 gene:Ccrd_012402 transcript:KVI09214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACASTHRKASAMKVHVSSGFNRKPDDHPFIPPSPTKEKPPIINGNVAVKPQWPPLHSTESSRDFASKEETFFDSQAWLESDCEDDFMKFTPSRGNTPVHHSFSAGILRTKGGAATIDDQKPSITPSNPTKKKMRLSDLFNESLREKHDVDEENDEAANENGVVGRHGAVAVDGGGGGFKPKRERWAESVQVHGCLPRLLSSCRPVTPQQTEGQTK >KVI09266 pep supercontig:CcrdV1:scaffold_17:319664:326370:-1 gene:Ccrd_012394 transcript:KVI09266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite oxidase [Source:Projected from Arabidopsis thaliana (AT3G01910) UniProtKB/TrEMBL;Acc:Q0WWA1] MPGIRAPSNYSEEPSRHPSLKINAKEPFNAEPPRQALVSSYVTPTEFFYKRNHGPIPVVDDIGRYCVSVKGLIEKPKELFMKDILNLPKYNVTATLQCAGNRRTAMSKTRTVRGVGWDISALGNDPFGTNILREELMLLSHNISEEEPVSLPFLSSPGVMVTAIWGGAKLSDVLELVGIPKSTSTTPAGGKHVEFVSIDRCKEEKGGPYKASIPLSQATNPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIDSKECQGFFMQKDYKTFPPSVNWDNIDWSTRRPQMDFPVQSAICSLEDMNIGTNGKIAIKGYAVSGGGRGIERVDISVDGGKTWIEASRYQKPGIKYIADEERSDKWAWVLFEAEADIHSNTEIVAKAVDTAANVQPEKVEDIWNLRGILNTSWHRVHVQVRHSSL >KVI09198 pep supercontig:CcrdV1:scaffold_17:523870:526131:1 gene:Ccrd_012371 transcript:KVI09198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MATKAVHVSDVPNLDHVPENAASLSLYATRRPTGVDVSKNGKSFPKFTVIGHRGHGMNILQSTDRRMKAFKENSILSFNNAAKHPIDYIEFDVQGTVFEKRATDLTINEFFSYGPQREAGKEGKSLVRKVNGKIVGWDVESDDHLCTLQEAFQKVNPCIGFNIELKFDDNVVYEQDHLIHVLQVIMKVVSENAKDRPIIFSSFQPDAALLMKKLQHRYPVYFLTNGGNEVYDDVRRNSLEEAKKLALEGRLDGIVSEVKAIFRNPSVVREIKGSNLSLLTYGKLNNFSETVHVQQLMGVDGVIVDLVEEITRAIGETKTRKGSDDEKREKTDEEDGRGIELSFLLNLISQVIQH >KVI09237 pep supercontig:CcrdV1:scaffold_17:32187:33180:-1 gene:Ccrd_012420 transcript:KVI09237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MMKLRSKRFHRSLSKLRRGGGGGGGGGGGGGDGGGNKECGTTIVGEIKWELRPGGMLVQKRDIEGLKAETDGVIMVRVVTGSQWHDISIQATSTFGEMKMMLSMVTGMEPKEQRVLFKGKEREDVEHLHMVGVRDKDKVVVLEDPAIKESKKKLLHGSSLATTDDVINGASNQPISV >KVI09271 pep supercontig:CcrdV1:scaffold_17:693763:697177:1 gene:Ccrd_012355 transcript:KVI09271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVARTGRHLQRYDNNLRLVSGCIPYRLAKTEDQRINVKNRIEVLMGNSLGEWEFRSKSKQEACSEEGGCKGYLFALEWMRSALGEFVRVMEDGKNKREHEMLVEMKEEAPMTQEGEECQLMSTNCH >KVI09212 pep supercontig:CcrdV1:scaffold_17:211650:215616:1 gene:Ccrd_012404 transcript:KVI09212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGFYCSNLVLFLLFISWGFFVSNTNQLQATQTQLLIQLRKHLEYPLQLSNWENFNGDFCNLDSDPQVTIKCEGNSVTEIKIMGDKLSKVSDFHGYAVKNQTLSETFSVDSLVVTLARLNTLRVLSLVSLGIWGPLPDKIHRLSSLELLDMSSNFMFGSIPNEISRLVKLHTLTLDGNFFNESIPNWLDSLSNLTILSLKNNRLKGQFPSSICKTTTLTDIALSHNNLTGELPDLSALSVLHLLDLRENGFDSELPLLPRGVTTVLLSKNSLKGQIPEEFGELDRLQHLDLSFNSLTGSPPSALFSLPNISYLNLASNMLNGPLHDISKCGDNLGFVDISSNRLTGRLPSCLDTNSSNKRVVKFNGNCLFGDNQQQNLDSICKEASLKKNRSWGSAASSKRDHHATTHGAKGVISEASKLGTQVAPSSRVFSIEELAGATDNFSATMFLGEGSIGKLYRGRLENGSYIVIRSLSLFKKYSIRNLKVRLDLLSKLRHPHLVGFLGYCINEEGLEDYTSSRVFLVHEYISNGNFRTHLSDRLAILIGIAKAVHFLHTGVIPASSSNRLRTNNILLDEHRIAKLSDYGMSIITEELEQFEKSFGSQDGRQRIVDPIVLTTCSQESLSIVISITNKCISPEPANRPSFEDMLWNLQYAAQVQATADSEQKSDGAF >KVI09222 pep supercontig:CcrdV1:scaffold_17:765330:767817:-1 gene:Ccrd_012344 transcript:KVI09222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEIAVAVVGGFLGWIYMQIQPPPPRVCGSPGGPPITSPRVQLTDGRHLSYKESGVSKDKAKYKIIVIHGFDSSKDMKLPISQELIEELQIYILSFDRAGYGESDPHPKRSVKSEAFDVQELADKLQVGAKFYVIGLSMGAYAVWRVSLVVPFVNYWWPCLPANLAKECFELLLVQDRWTFRVAHYAPWLFQWWMNQKLFPSLSIMAGNMDIFCQSDLEFLKKLPPNPDDTQEKIRQQGVYESLYRDIMAGYGKWEFDPFDVSNPWPENDGAAHIWQGYEDKIIPYKLNRFLSQKLPFVQYHEVPGKGHFLAFESTLCDEIFRTLLVG >KVI09262 pep supercontig:CcrdV1:scaffold_17:344722:345711:1 gene:Ccrd_012391 transcript:KVI09262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin/ribonucleotide reductase-like protein MPSIPEEPLLAPNPDRFCMFPIKYPQIWEMYKKAEASFWTAEEVDLSQDQRQWDNLTDGERHFVTHVLAFFASSDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLESYIKDSNEKNRLFHAVETIPCIQKKAKWALRWIDGSESFAERIIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSMLKMKLSEERVKAIVADAVEIEREFVCDALPCGLVGMNGDLMSTYIEFVADRLLVALGCGKMYKVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSNLNGNGDSHVFKMDDDF >KVI09239 pep supercontig:CcrdV1:scaffold_17:310258:315389:1 gene:Ccrd_012395 transcript:KVI09239 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein METEPKPSSSEASVCWRKELDTNLKRLQSLLFGADIAIQKRDYSYAQLLSLRLIGFLDSRSINDVDEAFIRPIRREALSKLDSATQSLIPESDRQAFEQAGRDPGFVFQKQGDIKIEKIKQSKYFKALLQQAKGNTLNEDDEPEKLEDISAKTSKVLLHGKSTTLNGSISRANNNMHNNSVNSRGNGSEDCILVQGHGSFTCINVDEERTHGSSLRSKRAHMEISSPRNETVLSPSSKSEANAGISGNSFVTARTKLEMDVRQKRGLDGSPNSSVSPQSDGTNRGYGVRSYGSRRGIRGFVPPIRSNSGNNGNVTSRAAKGEDALDDSTKRCMDMLCGPDGELPEKLRNLEPRLIEHISNEIMDRDPNVRWDDIAGLHHAKKCVTEMVIWPLLRPDIFKGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGSEQILLIEARAWIVRNLLEKDGLFKLSTEDIDSICKLTEGYSGSDMKNLVKDASMGPLREALRQGTEITKLKKEDMRPVTLQDFENALQEVRPSVSVNELGTYEDWNNQFGSLSVSTDLIDTYIPPH >KVI09241 pep supercontig:CcrdV1:scaffold_17:887558:894107:-1 gene:Ccrd_012334 transcript:KVI09241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sel1-like protein MLKSLVLKASSKHNVLYLIQFKQQRGLHNRNKMAMEYIAKGWNALKEVDRVIDYCEPRDKRLIPHLKTAKDNFEMALEVDNSNTHARYWLSRLHLKYHVPGQCKAIGAALLVEAADMGDADAQYELGRNLRIENEGVDTDQQAFYYLEKAADQLQPDALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHAGAAVACGSLLLRGYEPPASITKFNLKNGLPSRKPRKKTSEPKPNPIELAREQFENAAKLGNDLGFRWLKRIEEEEKRILAA >KVI09287 pep supercontig:CcrdV1:scaffold_17:354117:356002:-1 gene:Ccrd_012389 transcript:KVI09287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALDSVFEPLREFSKDSYRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSV >KVI09269 pep supercontig:CcrdV1:scaffold_17:648382:657903:-1 gene:Ccrd_012357 transcript:KVI09269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 29 MTRPLKPSSRRPAFVHLICAATIFSVLVFAIQSFFFTGSQTKYLNTEDVQILSDFQSDVQQCVYRNMTTVLTREYLDARPDGWMDYAAKRIAQLGAKNCNNRTLCEEHLNPILPAKPPFHPRQFQKCAVVGNSGDLLKTEFGKEIDSHDAVIRDNEAPVNEKYAKHVGLKRDFRLGVRGTARNMVTILNGSDDEVLVIKSVTHRDFNKMIDTIPNPVYLFQGIVIRIHSPMRAKRVQDWSDVPTREKIGRAHAAAMRLKRSQEGGDGAVGQFSNCKVWGNAGPYGSGPVSGSRDMSSKRKNSNYSKWEVMPFKSLRQEAQEHYVQMEGVSVYKMDGNKLDDLVCVKHPLESDA >KVI09277 pep supercontig:CcrdV1:scaffold_17:838795:843887:1 gene:Ccrd_012341 transcript:KVI09277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MWQTSGGWGKIDREDAVDAMIRYADAGFSTFDMADIYGPAEDLYGIFINRVRRERPPEFLENVKGLTKWVPPPVKMTSSYVQKNIDISRKRMDVASLDMLQFHWWDYANPGYLDALKHLTDLKEEGKIKTIALTNFDTERLQIILENGIPIVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLSIPFAGPPLNTPSLQKYKRVASKHGVSISSVAVKYILDQPCVAGSMVGVRLGLSEHIKDNTAIFSLTLDEEDVNSIKEVTSKGKDLLRVIGDCGDEYRRA >KVI09216 pep supercontig:CcrdV1:scaffold_17:265977:270843:-1 gene:Ccrd_012399 transcript:KVI09216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel ClC-plant MRTAFDRMEEESDQLAETTTYNMEEQTEPQESEPKSNSIHQPFLEKNQTLSSIPLAMIGAKVSHIESLDYENKITSGFSSRKQRNSTVELHSRYCVRRAGPGVPEIKAYLNGIDTPGMYDATTLFVKIIGSIGAVSAGLDLGKEGPLIHIGACIASLVGQGGPDNYQIKWRWIRYFNNDRDRRDIITYGASSGVCAAFHAPVGGVLFSLEEVATWWRSALLWRTFFTTAIVVVVLRASMEYCEAGGCGLFGEGGLIMFDVSGVPVTYHFVDLIPITLIGIIGGVLGSLYNYLLHKVLRVYSLINEKGRLAKILLSLTVALFTSACQYGLPFLVSCKPCDPSLYSKCPNTGRVGNFKQFNCPKGHYNDLATLLLTTNDDAVNNIFSINTSTEYQTISLVIFFMLYCILGLITFGIAVPSGLFLPILLMGSSYGRMVGIAMEPYSKMDQGLYAVLGAASLMAVYNTVVPHVGQVTEVHGLVLRAHLLLVLKKKWLLQERRRTEEWEIRERISCVDAAERWGNIKEVTLTKEETEMYVDLHPLTNTTPHTVVETMSVAKAMVQFREVGLRHMLVLPKYSGPAVPPLVGILTRQDLRAHNILSAFPNLEKSHAGRKRH >KVI09238 pep supercontig:CcrdV1:scaffold_17:376106:380143:1 gene:Ccrd_012387 transcript:KVI09238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MDPDALSKAFVEHYYTTFDTNRTGLANLYQESSMLTFEGQKIQGSQNIVNKLTSLPFQQCKHSITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSFYVYNDIFRLNYA >KVI09273 pep supercontig:CcrdV1:scaffold_17:709687:717709:1 gene:Ccrd_012353 transcript:KVI09273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MSPTAASLGSHWVIGAFINLFGSIAINFGTNLLKLGHDEREKHAVLGNGGINGKTLLKPIISFQSWRIGILFFAFGNALNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLQKTVTVKVLVATTFIVLGNTFLVAFGNHQSPVYTQEQLAEKYSNTTFLLYCVLLILVVVMHHYVYRRGELLLAIPGKDLMPYWKLLLPFSFAVVSGAIGSCSVLFAKSLSNLLRLSMSSSYRLDSWFTYSILLLFLCTAGFWVFDALRTAMFILGMISVFIGISLLAPDDSKGGEVKDNILSSVASSNVTSDVERLVKPCEDSPIKDLKSLARAMATKASAAAVKAKSTCSLLLGMGDDTMHASSVLAMPMVSSKMTGFRGGDRSKLISLRSPTGWSRIPVEETVNMLDTTSSEED >KVI09274 pep supercontig:CcrdV1:scaffold_17:720051:726921:1 gene:Ccrd_012352 transcript:KVI09274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MMRNGTPPFESHSSDHPPSVGECSSSTSLSSQQDVEDDGMIAAVLSEEYAKLDGSVGRRLTNLEPVPHIPRINSFIPNISDASLDHQRLSQRLQVYGLYEVKVSGDGNCQFRALSDQLYRSPEYHKHVRKEVVKQLKDCRALYEGYVPMKYKHYYKKISKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFIEITPQHETPTRELWLSFWSEVHYNSLYEIREAMVQHKPRKKHWLF >KVI03101 pep supercontig:CcrdV1:scaffold_170:204541:207946:-1 gene:Ccrd_018603 transcript:KVI03101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 6 MLTASDVLSFAERATLELKYQKLYEPLYSKVVCKMSGSQDEWLNIRIWKERVETMEKMREEKQAKRRGNIEKRASHKKMRRIAKREKKLMRPGFEGRKEGYIGXKGD >KVI03107 pep supercontig:CcrdV1:scaffold_170:40041:41947:1 gene:Ccrd_018597 transcript:KVI03107 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase, conserved site-containing protein MELKNMLIVARSGRQLQRYNKGRRQIVGCIPYRIKSNKASGKNLEDALEVLLISAQRKGKGMLFPKGGWESDESIKDAALRESVEEAGVHGTVERILGTWLFKNKGNDKYYEGYMFPLLVKEQFDLWPEKDIRQRVWVSVPKAKEVCQQSWMKEALDLLVTRLESSLTKIEDDNIIDQKRPL >KVI03103 pep supercontig:CcrdV1:scaffold_170:197005:200436:-1 gene:Ccrd_018601 transcript:KVI03103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MELSLVGLQDAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMYVVDAADRDSIPITRTELHDLLTKPSLNGIPLLVLGNKIDKSQALTKQALMDQLGLDTITDREVCCYMISCKESINIDMVIDWLIKHSKTAT >KVI03105 pep supercontig:CcrdV1:scaffold_170:264016:265950:1 gene:Ccrd_018605 transcript:KVI03105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPLTHKPLPLPTSSTEQQSPLLPSALVNEPSQTRENSPSKPMEYQNSESIEVVNETISIQSSSITEVAKDEQEDGEESTMIAKESQVGDFCTDEVPLIEPHEIMLPNDVNILPPLSSSSSSSSTSSNYNITICNQNYAHEEQHQFLPSFDWCSSSFDSTTYSNFEAGFWDDDDEFINSLSMLINDCDEIDTTTRNDATFTQDLTQCSRMLIDDDTWKFEQLL >KVI03109 pep supercontig:CcrdV1:scaffold_170:41268:43396:-1 gene:Ccrd_018598 transcript:KVI03109 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c assembly protein MSEKSSLLLRKRIQSNEKKEITTSLLYWIIDANTVVSNMDQEPIRILILTCRWFLTVGILPGSWWAHHELGRGGWWFRDPVENASFMPRKPIDNNSTLNKKLRITLVFWNEINETFIDVEPSCTTKILEQSSIHECGNHDEEEEPCTQYAL >KVI03106 pep supercontig:CcrdV1:scaffold_170:208093:238638:1 gene:Ccrd_018604 transcript:KVI03106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRCRSRILVAENPTLLRTFCTVVEEANDVAEVVSPPSKESSNEKRLYRRLSALGATGGSTAQTLNQYIREGNFIKKIELERCVRDLRRYGKYHHALEGSKP >KVI03104 pep supercontig:CcrdV1:scaffold_170:138207:141076:1 gene:Ccrd_018600 transcript:KVI03104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNPFFFSLIFFFSLFIAGKPDLAADRSAILAIRSAVGGRSILWNISQPSTPCTWPGVFCDNKTNRVVELHFPGMGLSGQLPENTLGNLTQLTTLSLRYNALTGELPADIFTLGNLRNLYLQNNLFSGPIPDSFSPLTNLVRVSFANNNFSGNIPNSINNLTRLATLYLENNALTGSIPDLTRTNIAQFNVSNNHLTGEIPSKFSVFPDSSFSGNSLCGGPLIACNGSGSEPGSGSGSGSGSGSSNKLSGGAIAGIVIGSVLALLLILLILFFLCCKKRKQKEDPRAKDLGVAKQVEVEIPHEKASENVDSSRSGYPSLTAAVGGGAGGAKGKSGEVSKKLVFFKKNKDLAKFDLDDLLRASAEVLGKGTFGTAYKALLEMGFPVAVKRLKDVTMADKEFREKIEGVGAMDHENLVPLRAYYCNGEEKLLVYDYMPMGSLSALLHGNRGASRTPLNWETRSVIALGAARGITYLHAQGSTVSHGNIKSSNILLTTSYESRVSDFGLAQLVGPSSTPTRVDGYRAPEVTDIRKVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELXRXQNVEDDMVQLLQLAIECCAQYPDKRPGMADVTNHIEEICRSSGSQQQDQEQNALNDIVSDS >KVI03102 pep supercontig:CcrdV1:scaffold_170:201676:203533:-1 gene:Ccrd_018602 transcript:KVI03102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCPNKHNRLYMEARTMEEGLAEAIDEGRIGPRDDSKVHAVDDAYGTAFNFIFRSGGISEVVAMNHTGRENPNGKQVFFATTTRRDVGGDGGGGGVLATTLLDHQSINHILFNNFLGGNCSTNQRKSGIEYMMNQFMSNHHQQHSISSQSSGFASLLSEYLSNLIHQQRDEIEHYLQVQEEELRRKLAEKRRQHYFVLIILC >KVI03108 pep supercontig:CcrdV1:scaffold_170:70421:71321:1 gene:Ccrd_018599 transcript:KVI03108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein YLTNFQVPKIDIGEGSRYSRAFLHLHKSNPCPTTILQSMSTMALFGDPFRRFFVNPPIYHATALMDWYETSQAHFIKINVPGYSKDDVKVQVEEGNRLVVSGEGGKERSKEKADEIVWHVAERGGGGVDGGGFCREMELPEDVKVDQIKAQVENGLLMLVLPKDLSPKPSKVRNIHVSSKL >KVH94908 pep supercontig:CcrdV1:scaffold_1701:43013:46165:1 gene:Ccrd_003024 transcript:KVH94908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MVGMMMMKALCIIIACNVMVVPYAEAITCREVVSKVLPCLGYLKSGGAVPLACCSGVKGMNDIVKSSRDRKIACSCLKIAYTSYSGLKANNALGLPGKCDVNVSYKISPDTDCSKYVAVKGLSPTHGKIKKI >KVH94909 pep supercontig:CcrdV1:scaffold_1701:17717:18722:1 gene:Ccrd_003023 transcript:KVH94909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAGKMMMQVLCVVVACMVVATPYAEAAISCGQVVGKLAPCLNYLRVGGAVSSACCNGVKGLNGAAQSTPDRKTACGCLKNAYASNSGINPSYASGLPGKCGVSIPYKISPNTDCAKVQ >KVH91119 pep supercontig:CcrdV1:scaffold_1702:63768:65165:-1 gene:Ccrd_006870 transcript:KVH91119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQITHLLVFLSIAIAVVSAFSPADHYLIDCGTAESTTTVDLDHRSFTGDGSSSILSATRSVASRNSNANPNLSPIYHTARVFMKPSDYKFKIKEKGTHLVRIHFQRFNFQNIGSVCHDQFHVSANGYVLLHNFSAPKRNPSIKDFLISIDDDELVIRFIPVDKSSFAFVNAIEVISAPKDLIPDNQSDGVNMKHAFETVYRINVGGVKVTPFNDSLWRNWVPDDEFLKSGDDSVKSYSKIHFDGRIQYHLGGASREVGPDNVYNSARIVSSLNDSIPKLNITWLFPVKNGFSYLVRLHFCDIASISLGMIYFNVYLNGNLAYENLDLSTLANYKLASPFYADFVVDSEKFSGVIRVDIGPSSLSMPHAVDGILNGLEIWKMDNSMKSLDGEVFADQLESKNRSNGQMGRLLSLTAAVCLLAIAFLVMRRKTEAKHSTGWSQLPVDMPEIDLKSIHQKSTGVNV >KVH91114 pep supercontig:CcrdV1:scaffold_1702:37807:39978:1 gene:Ccrd_006868 transcript:KVH91114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIDLITRVDAICKKYDKYDIDKQKEANKNIYGNDAFAGLYTAIESDLDQALEKSEVAAAEKNRATAVKGLSKDEFEARNELVLALKERIEVVPDGATSKAQPTEGLESSSSNRRIRFDSTFDGTFDDAYYQHTDDTSNFRQEYEMRRLRQ >KVH91111 pep supercontig:CcrdV1:scaffold_1702:3156:5716:-1 gene:Ccrd_006865 transcript:KVH91111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MKDYKVELNNDEMQNFVVHFNGPADKDYPYKSPSIGFVNKIYHPNIDELTGAVCLDVINQAWSPMFGKILKNAKFPFLENLLNVFELFLPQLLTDPNPADPMNDEAAALMISDRATYEARVKEYCERYAKPEDVADAEEEKSTDKEASEDASNSGDEASGSGPAET >KVH91118 pep supercontig:CcrdV1:scaffold_1702:70972:80186:-1 gene:Ccrd_006871 transcript:KVH91118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential protein Yae1, N-terminal MVDVMFSIMCTVISLKFLESMFLRSGLSVEELMVFAATNVETREEVAIKKIGNAFDNRIDAKRTLREIMLLRHMDHENPPQKENFNDVYIVYELMDTDLHQIIRSNQPLADAHCRYFLYQILRGLKYVHSAHVLHRDLKPSNLLLNSNCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGRDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQYPRQQFSTRFPNTSPGALDLLEKMLFFDPNRRITADTMDPLDDIFGSSLNLEDAHLNEGFQEGYDAGLASGKDDGREVGLRTGFVTGEELGFYRGCIDVWNSVIRVEPTCFSTRVQKNIKEMDELVTKYPILEPENESVTEMMGSLRLKFRAICATLNVKLEYNGYPKASGSKDQF >KVH91117 pep supercontig:CcrdV1:scaffold_1702:83350:86702:-1 gene:Ccrd_006872 transcript:KVH91117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2358 MAVPLSPTSLTLHHSSNHRPVQVFRSNRHLNFTKLRCSGERDNSTTTDSSTSSQGSDPGNLMLKTAWYGSELLGIAASFFRSPEKIVEGGIKLAGDGDGVIDRSVIVETIKGDFQRSYFVTGAVTLDAYEDDCEFADPAEVYVDSKEIDKGIGHWRFSCTMSFPWKPILSATGYTEYYLSKDSGKVCRHVEHWNVPKMALFKQLLKPSRGLWGKKELG >KVH91113 pep supercontig:CcrdV1:scaffold_1702:30577:37585:-1 gene:Ccrd_006867 transcript:KVH91113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGRKTTERTKDSGFPPRLLIDVNLDTSIPDTFRSPPRPIPFDVVLGISQSTNASPDGQAFGDLDYQKPTRVDLKELDREIERDTPFIKSPKKVEVGLQESKCVDDSTMEEEEVCPTCFEEYDAENPRIVTKCNHRFHLSCILEWMERSDTCPICSQEMDFEAR >KVH91115 pep supercontig:CcrdV1:scaffold_1702:46902:51740:-1 gene:Ccrd_006869 transcript:KVH91115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGVPVEQFHQFISTPSSRIPSNSSSLIQTPPISTSTNLNFLSFDPLLFPPPLPTHQSLFQAQHFLRTPTRDQFNGTHDDATGKLDQEIDLGIDINDSWSNDEVIQLLRIKSSSENWFHDFTWDHVSRSFSSTIGYNKNSSRYLISEELDEHLYNPHHDHQNTHHVPIDQTPHEETVDDHQDQPPQVALDGQKIGDDDDQNHEAQGQKGHFEKDPDEIMVQTADEDDTVVGVNKSKKRKRKHKKFKMVKGLCVDMVQKMMAQQEEMHKRLLEDMANREKEKIEREEAWRKEEIERVKVEIHIREHEQEMARDRQSTITEFLNKITSFDQKIQLPLDINLQDLQTNVTHIDKPIPFYEITEIPSSEKITEDHNQDKPTTKDDIGKRWPRDEVLALINIRSNVNNGIGGNSEEHQGLIGYNKSGGGGGGGGSLWERISQGMLELGYIRSAKRCKEKWENINKYFRKTKDANKKRSLDSRTCPYYHQLSKLYNQEKQVSSSHSAGLSSDEPEKCPTTEIMPEN >KVH91112 pep supercontig:CcrdV1:scaffold_1702:13212:19927:-1 gene:Ccrd_006866 transcript:KVH91112 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein MAGWLKVTDGSALDCFRIIEEVLKNGFSLKCEDIDGKLTKLFNQIVSRFLKEICDSGCFRPLPPMLGDGKPIDLLRLYLSVREKGGYESVSRNGYWDLVAEDIGCDSNASASLKVVYVKYLDLLDEWFAKNVEDNNSGNGSSCFNDLMLDSSRSTKLFLDVEMKDYKEFVGTCVERGLDVKDLQSCVGFVGGKDCSEGAKKSDSSGRDSVVIESVVGTNEEENESSRKRKRERYLPLLDWVKRVSKDPCDPAIGSMPERSKWKVYGGEHVWKQVLSAREAMLLKSNVDSKKIIIWQKNLRMVPAMYDDQREKSTSRCSQRLISARETQSTVPSRKPQPQDCSESSSNSPSDREDEDYFWGCNFKRKRTPLGRCFQAKVPEWTEQTYEPDTKWLGTPVWPLEKTETRSSLIELERVGKGRQDSCGCQFSGSLECIRFHVSEKRNRAKLELGSAFAKWKFDDMGENVALKWAPSEEKKFEDIIKSTPASSGTSFWDELSSHFKNKTMAVMVSYYFNVYLLRRRAHQNRSDPNNIDSDDDELEKVGNEASNNNATGSILCSPKKVQRNAR >KVH91116 pep supercontig:CcrdV1:scaffold_1702:88175:97842:-1 gene:Ccrd_006873 transcript:KVH91116 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MVSLGIAVVSMAKPSFLRAEQHLPSDLSAATSPGFVSSRRRDLVFIVNPRGANGRTGREWKKLLPYLRSRLGSDCNICESLTSGPSHAIDITREVVNGFFWGGKLVSKHDSELIPLGTGSDFARTFGWTNDPVDAIERIVKGRSRSRIDVGVITKEGGDLHYFINVADIHLSAKAGYHASKYKRFGNLCYVNDGEWELNPQVVILQDFKWYDFVLKLHKLYNGTHLSVNNVLSRRALSIEVEEVVGTNSIYVQSDGEFLGFLPRKFSILPGVISMIC >KVH88051 pep supercontig:CcrdV1:scaffold_1703:38938:40236:-1 gene:Ccrd_024566 transcript:KVH88051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNDQAWIVSRNRISQEYRLGLNNFLATARRHLGLDGRTLCPCNRCENTWLQRLPMIRAHILRYGMLATYQRWIHHGESLSDEEEHEHFEDSSNSDEDDHTLRDAIMDEEGRISDIEPTNCDEDEFMNDDDEDNESEDVKPMYESEDKEASKEDEHDGVD >KVH88049 pep supercontig:CcrdV1:scaffold_1703:9609:10919:1 gene:Ccrd_024565 transcript:KVH88049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MFLLLQLIVIVVAFIFDEHEVAAQYVPPYTSIVVPVTKHIDAAKPLYSVQIKTTYVNMDYLHANFLIDVDAPFIWHDCVLQWNIYPGSCPANTLCTSPVSCEEYQCTDVRTTYSYENPSCPPVTNSSTLPGWGYCTCPVNIVNPVTGSCSQALLNYNEFTVDVSNGRNVLNGVYGAYPNAACVPSSSFASFPANVTGVMAFSSSPYAFPAYLYQPLKKTLALCLPSSSSAPGVLFFGAGPYYLLPHLNVDVRSLLSYTPLLKHPDSFGYFIGVKAIVVKQRSIDLTGITTTKLSTIEPYTTLRNDIYTRMVQMFSIATIGIPRARPVAPFGLCFRNFTISNRVSFKVPDIDFVLPDGKKWTISTANSMKQITKDVTCLAFVDGGATPEHAIVIGTFQMEDNFLEFDLEKSILGFSSSLLLEQTSCSNFNFTVVNIS >KVH88050 pep supercontig:CcrdV1:scaffold_1703:49655:105725:1 gene:Ccrd_024567 transcript:KVH88050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQPRLLFGAVRLRNAGGQEQALGQRQCGWESGNSLEWGTERPCAEARFTATACRSTKQPLCSLREKPVQMVRKQPLGGLEEAASVRYAIVEELAGFGATVHTYSRNQTEIKERTEEWKSKGYQYTVACLFFSFPSLEGEFNVVTSIDILTGKSTKLCTCCSSNRCREKKRRSIRFDDRRGMVTITIEENAVTDLGLLVIKQREENADTHIMGNEQQQRMEIPQHDRSGDISSKSKWVRGLTECIKLHKDFEDSKGVKHVIEVDKMVTYEKDNHDGSLKLDHGVDALTLAFGKEHYRRRRPATTKTGDDQRRPRPAVNLHDGLIAVAIGVAAQLSIEKGRSVSIKKVTTSDDQDL >KVI11353 pep supercontig:CcrdV1:scaffold_1704:80315:80595:-1 gene:Ccrd_010238 transcript:KVI11353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNKYASNVVKKDTARGLAYLHEGMDFQIIFRDFKSSNILQDDQWNAKLSDFGLALLGPTEGLTHVTIC >KVH88048 pep supercontig:CcrdV1:scaffold_1705:96202:97461:-1 gene:Ccrd_024568 transcript:KVH88048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRFSPYTNHRKIQTTSKGKSSYTSFEYSDDGDKWAYRLLQECAHAISQKDSAKIHHLLWMLNELASSYGDFDQKLASYFMKALFCKVMESGQGCYKKLVSVADKSNCCFDSARNLVLKFQEVSPWTTFGRVACNGAILEAFDGETKLHIIDVSNTLCTQWPTLLEALATRSNQTPSLKLTVVVTNIMARSLMKEIAQRMDKFAHLMGVPFEFKVICTKSNQTLGQLTKEELGVEVNETIAVNCIGGLTRVEVEERGDVIKLFSSLKPRVVTIVEEEADFTSTRSDFINCFAECLRFYTLYFEMLEESFTPTSNERLILERGCSRSIVRVLSCDDKEIMNLGECERREKGSQWCERLKTRFSPLGFSDDVVDDLNSLLRRYRTGWSLAVDQTSSNIDSGIYLAWKEEPVVWASVWKP >KVH96977 pep supercontig:CcrdV1:scaffold_1706:88516:97894:-1 gene:Ccrd_000929 transcript:KVH96977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MRSLNFYERFSRTFRENPSLSRFLVVFAVSGGGLVAYSEANVQTVGKIDELPEAGNEKKRVVVLGTGWAGTSFLKNLKNPSYDIQVISPRNYFAFTPLLPSVTVGTVEARSVVEPIRNIVKKKNVNVDYWEAECYRIDAKNKKIYCRSSQDDKEEFVVDYDYLVVAMGARVNTFNTPGVEENCHYLKEVEDAQKIRRTVIDCFEKASLPNLSEDEKKRVLQFVVVGGGPTGVEFAAELHDFVSEDLVKLYPSVKNLVKITLLEATDHILNMFDKRITTFAEEKFHRDGIDLKTGEMVVKVSEKEISTKVIKTGEVSTIPYGMAVWSTGIATRPVIMDFMKQIGQANRRVLATDEWLRVEGTNSIYALGDCATINQRKVMEDISAIFQKADKDNSGTLTVKEFQEALDDICDRYPQVQLYLKNKQMSSLVDLLTESKGDVAKESIELNVEEFKSALSQVDSQMKNLPATAQVAAQQGSYLADCFNRMEECTKNPEGPLRFRESGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRSLVVSDWMRRFIFGRDSSQI >KVH89316 pep supercontig:CcrdV1:scaffold_1707:3922:4472:1 gene:Ccrd_008695 transcript:KVH89316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MAHLYKSRLQNYAQKKNIAFPTYACEMQGPYSRLFKARVTIDGVMYEGPEFCTTLKDAEHAGAKVPFTSTIVCNKSLLQGLVQKKGLVLPVYATNRVGPPHMPRFASTVQIAGKCFVRQEARTKKQAEMNATCCKSCLYKSYRR >KVH89318 pep supercontig:CcrdV1:scaffold_1707:50739:51323:-1 gene:Ccrd_008696 transcript:KVH89318 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MFTGGTDTSAMTIEWAMSEMMKNPNVMEKAQKEVRETFKGKKTIVEADLEDLVYLKLIIKETLRLHPPLPLLLPRECIEQCQIDGYDIPLKTKVIVNAFACAVDPEYWDDAESFKPERFDKSSVDFMGTNFEFVPFGAGRRMCPGITFGLISIEFALAQMLYYFNWQLPSGLSPKDVDMTENDELLQQKKFLCR >KVH89317 pep supercontig:CcrdV1:scaffold_1707:71826:76721:1 gene:Ccrd_008697 transcript:KVH89317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTSPFTTSLTLSSLSVTMAIDFTFLFIVFSLILSFFFILNKKTNGSNTPNLPPGPPKLPIIGNIHQITGALPHHSFRHLAKKYGPIMHLQLGQISTIVVSSPRLAKDVFKTNDLALASRPSSVFGDIVLYGSTDVALGPYGDYWRQLKKIITVELLSNKKVRSFSSFRQQEVDSFTQFIRSACGKPVTLREKVTEMINNIVCKSSFGEHCKQQDVLIELVDELGILVSGFYVADLFPEFGFLSVISGMKSKLNTIHKSLDKIFDEIFEDRKSRKSLKGESDNDLLDVLFTIKESGGLQFPITDDNIKAVFVNMFTGGTDTSAMTIEWAMSEMMKNPNVMEKAQKEVRETFKGKKTIVEADLEDLVYLKLIIKETLRLHPPLPLLLPRECIEQCQIDGYDIPLKTKVIVNAFACAVDPEYWDDAESFKPERFDKSSVDFMGTNFEFVPFGAGRRMCPGITFGLISIEFALAQMLYYFNWQLPSGLSPKDVDMTENDGATATKKVPLSVMPTLNSSI >KVI04596 pep supercontig:CcrdV1:scaffold_1709:29581:29968:-1 gene:Ccrd_017084 transcript:KVI04596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSSILSYEKLEGIANWVGNNVASAFFASLERFSCVNLNTSDSDDENEEEAKDCPLMSTNLQFPPSDSSQHTPTSVEDLPV >KVI04595 pep supercontig:CcrdV1:scaffold_1709:75142:78915:1 gene:Ccrd_017086 transcript:KVI04595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVRKIYDVIHGLKPVLLMVVVQATLAGLNVFYKLAVNDGMSLPEEKAEIDMDGTLASGALAQNFYIKALSLTSATFVASTTNLMPAITFVLAVCFRMERLGWKTTPGAAKILGTMLGVGGAMLLTFYKGADLHLWKTNVDLLHGQHHGSGGQNSSNNMVLGSLLAIGSCISYSIWLIIQTNMVKEYPSPYSVTALTSTMGAVQAVVFGLCTERHWSAWKLGWNVRLLTVAYAGMLASGLMFTLIAWCVQMRGPLFVSAFNPLSLVLVAIAGSLVLNESLHVGSVLGAILIIFGLYFVIWGKGKEVKKVAKLCPMKESSIGTDSCAIAGESECSNIFGVVGDSESKPSSEQIGNGESKRIQVVVTSSE >KVI04597 pep supercontig:CcrdV1:scaffold_1709:49757:67172:1 gene:Ccrd_017085 transcript:KVI04597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MATLFLPFSHNLSSPGLSSTVKRNRLPLPFHLHSSIRVNCSANTNNSISTPKENSSQFKELRNIACGFLAVWALTTTSPVIAANQRLPPLSTDPDRCARAFVGNTIGQANGVYDKAIDLRFCDYTNEKTNLKGKSLAAALMSGAIFDGADMTEVVMSKAYAVGASFKGTDFTNAVLDRVNFGKANLQGASFKNTVLSGSTFEEAQLQDVVFEDTIIGYIDLQKLCLYDTNQEILQAFAHTGIAITVAVPNNLIPNLANLNFSQQWVKTNIVPHIPATNIVRIVVGNEVLSTTDNSVVSSLVPAMQTLHAALIGEDLDRRIKVSTPHSLAILSSSSPPSSGTFKQGNVALVIKQLLGFLRATGSPFMINPYPFFGYSGNTLDYAMFRPNSGVLDEMTDRKYTNMLDAQLDATYSAMKQLGFGDLEIIIAETGWPSKGDPGQTGVDTESAQEFNAKLIKHVMSGIGTPLMPNKTFETYIFALFNENQKPGPISERNFGLFQPNMTPVYKVGILRHTEGSASPSYRNPVRGKPVRSPVPRTDGGKTWCIPKTGANEDVLQMNIDYVCGLGFDCQPIREVMSDEATSGRRQAGRRQQHRCSDAGGELSTTQLAVLIRLLLDQQQLLAVSPPSAARSCCFFTVCCLTKQQLACFGKQNPNSNFTTLFSRFSFVMTVADWKQYTQSVFFGLICSFLLAKLFSAMFSFGDENLRITRDNPKEGITSESGWKSAEEVKRTDRKDIGSSSEKELLVDEEKRVAATSSDSSDDDWEGVETTELDEAFSAATAFVAATAADRSSQKVSNDLQLQLYGLYKIATEGPCGVPQPSAIKMTARAKWNAWHKLGAMSPEEAMQKYIEIITELYPTWADGSTSKRRGVNYSNDAPPMGPVFSTFMHEESGNELKLDAIHAFAREGDTENLLKCVHGGVSVDTKDCHHHPVKTADSEGRTALHWAVDRGHIDVAEFLLDMNADVDLKDNEGKTALHYAAVCEREAIGELLVKRNAGRGIKDDDGNYPCDLSESNWPWIQPHLTT >KVI04598 pep supercontig:CcrdV1:scaffold_1709:25331:28909:1 gene:Ccrd_017083 transcript:KVI04598 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MVSLSEDEECRFFDAQDTIIGSLDSLPDPISETDEPSIHGVHNHDFQYRIWANAPISVHQRKNSFLRFMGLSSDAKILEQNTIDDDLLKKETHDRMMQISGTVLRIPLEEDSLSSAVSRIQDSNGSTNCKEEEVDGHNILTRLEVVSSPSVQKLVDRHIKVADTMAKTMNRVKGQFLCRLRSMACIVHKEGRSDGFGSQEVGSRHWPKVQRVKVRHSGKRLKELSAVFIGQDIQAHKGSILTMKFSLDGQYLASAGEDAVVRVWQVVEDERSNDIDIPDVDPSCLYFTVNNLSELAPLTVAKQKISMLKSLRKTKNSACIIFPPKVFRILEKPVHEFHGHKGEVLDLSWSRDNLLLSSSVDQTVRLWRVGSDHCVKVFPHSNYDEDYFVSGSIDGKVRTWSVSGCQVVDWIDVREIVTAVAYSPNGKVTFLFFFVAFALHKKKSPFKRIIGFQFCPQDPAKIMVTCGDSHVRILHGTNIIAKYRGQRNAGNPLSAAFTADGKRIVSASEDSNVYVWNCNDQKGPSVYQQKTVRSYECFSAGASVALPWSGLKVHKSTGDGRELEDGLLKPLPFSSPSYFSLDQEYFPESMPKVSATWPEEKLPSSSSSPGILSPLCKSRYKFFRSCQSSYSCHAWGLVIVTAGWDGRIRSFLNYGLPVTV >KVH95299 pep supercontig:CcrdV1:scaffold_171:128933:133125:-1 gene:Ccrd_002632 transcript:KVH95299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKDTSSSSPSNLHPPSNYDEIFMQRSLLFADSLKDLRTIRTQLYSAAEFFEDSYHGNDHDQLLFESLKDYVSKALISTIDHLGSVTSKVDSFLNENVDEVFETNLGVLCMEQSIISDLSPTANITTKMFILVQPRLSHPSPEGHRTPLIFSARSSDASSTNHQQLTQAPIQ >KVH95329 pep supercontig:CcrdV1:scaffold_171:234464:235217:-1 gene:Ccrd_002618 transcript:KVH95329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQYKKRKRKIKSLLLIRTSRYSFSPLCLNPQFPRPPEMAATALFSAPISIQHNSSFASKTFSSTKLPLTLLRASTSSTSTTVEDDEEEDTTTQSKSIPFGGCKACGKPEIERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPDFVATGGRYRRQGQSMDEVAGFGTVPRTTTTTTTAAAAAAAAAETQSSNKEGPRKFKR >KVH95324 pep supercontig:CcrdV1:scaffold_171:238225:244662:-1 gene:Ccrd_002617 transcript:KVH95324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYVFSGDGNFPFISLFLALVVTLVCCFFSLLPFRLLKFSQSGKTKACDCACSNCKRFAGGSDSMAVTATARMMNGGGGGGGQVVVVERERERQQMIGASMMEQLVPEITTHALSYLDYRSLCRLSMTNSSMRRAANDDNAWKALYHKDFSMEQDSVTPANGWKAYYAATRAIVNINQRFFDIIRERSLPEMGRLWLNADYVKCIHSSGELFTGYSGVLGSWQLSFNWDPAVDFQVGDVRSRVLTGVAWVTMKAYVGVEHNPLNVTNVFEVQNGQWYMVHHHSSVVLANGEMADQPPMLG >KVH95302 pep supercontig:CcrdV1:scaffold_171:375186:382934:1 gene:Ccrd_002600 transcript:KVH95302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertase OXA1/ALB3/YidC MAYRRSLATKTRLFSQQRFAPSFSYVHHEDDRKNQYSDEKIWSALQSSRSFSCVGNTTKTSLGFGGGGGSGSFRDPRWSQFLHVPMTTSGFLLSRNMSTTIGDGSGAEKIEYMADVADILADKTMEVVSTQAPAVSEVAVAAADSWLPVAALQYAIDGIHNFTGMNWCFCQIVISEQDDQARWGILRPELEQIKQEMQDKGMSPSAVAEGQAKMKRVFSEHGVSTFTPLKGLFIQGPVFVSFFLAIQNMVEKVPSFKTGVIKRPNVKKLLNIPIIVPPPPSATSQSQPAFSFFEGLKKYAAAQAMKQHEPKPTTTSQSTTSTPLLSHNKPSSEENKYTNGKVSSSSVLSHRIKNLEKEVKGRKKNKRR >KVH95297 pep supercontig:CcrdV1:scaffold_171:89581:93383:-1 gene:Ccrd_002637 transcript:KVH95297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MGRSGIALAIILIVKLKDGETKVFSPEEVSAMILIKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFVFLTFSWICEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKRQIDEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAFGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTVVSIQVFEGERSLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKAEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKEKIDARNALETYVYNMKNQISDKDKLADKLESDEKDKIETATKEALEWLDENQSAEKEDYDEKLKEVEAVCNPIITAVYQRTGGAPGGGADSESTEDDEHDEL >KVH95294 pep supercontig:CcrdV1:scaffold_171:126381:129995:1 gene:Ccrd_002633 transcript:KVH95294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase polypeptide Vc (EC 1.9.3.1) (Cytochrome c oxidase subunit 5c) [Source: Projected from Oryza sativa (Os12g0561000)] MVGNCIFKKVHVQITNKTTVRNEWKLNSADCRIKMVGGRVAHPVLKGPSVVKELIIGSVLGLAAGGLWKMHHWNEQRKSRAFYDLLEKGEISVVVQE >KVH95326 pep supercontig:CcrdV1:scaffold_171:250756:253371:1 gene:Ccrd_002615 transcript:KVH95326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLSPPLKLLPGLVIHLSKHFPLTIDRERDLEEFLSVGLGKLNL >KVH95291 pep supercontig:CcrdV1:scaffold_171:15166:16383:1 gene:Ccrd_002642 transcript:KVH95291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein RHLKGQEWAWSPLHHTDHISLWFSLPLKHTLERVVCAKMGRAPCCSKVGLHRGAWSDEEDKLLTDYIQTHGEGQWRSLPSKAEIDDGGVEKEADASKNNKKPRKKKRKTDHKSTKVKSAEKSGEEPVPDQPSNSSLSSSQSASLNWGKESSDNGIMSGASSSNCTIDEGEGDLLASDFCWPNWTPLFDMEVAGSIEGENDIPLELEVCDLLISNNDDSQLLEKLYCEYLNLLEDGDSL >KVH95296 pep supercontig:CcrdV1:scaffold_171:83245:88742:1 gene:Ccrd_002638 transcript:KVH95296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MRLLKVATCNLNQWAMDFDCNLKNIKESIIRAKEAGAVIRLGPELEITGYGCEDHFLELDTVAHAWECLKDLLLGDWTDGILCSFGMPVIKGSERYNCQVICLNRKIIMIRAKMWLANDGNYRELRWFTAWKQKDQLQEFQLPSDISEALQQKKVPFGYGFMQFLDTAVAAEVCEELFSPIPPHTELALNGVEVFMNASGSHHQLRKLDIRMRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCACVVANGDVVAQGSQFSLKDVEVVVAQIDLDAVASLRGSISSFQEQASCKQLVSSVDIFYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAGIVGCMCQLVVKEIANGDEQVKSDAIRIGHYTNGQFPIDSKEFAKRVFYTVFMGSENSSDETRRRAKVLADEIGSWHLDVSIDTVVDGGSNIENLGLQNIQARIRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRIFLRWAATHLGFSSLAEIEAAPPTAELEPILYGRLRKIFRCGPVSMFKNLCFGWGSKLSPSEVADKVKHFFKYYSFNRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKIDELVEELSVGEDVSTANGVGIVAAGSADPKAGL >KVH95312 pep supercontig:CcrdV1:scaffold_171:141833:146370:-1 gene:Ccrd_002629 transcript:KVH95312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MKNQNHPPPFHRRLVLRRRWFNRIFAVIYTCTVFTFLLHQTTLLLFHTTNITISLIMLLADIILAFMWFTHQGFRMNPVHRKTFPENLPKDETKYPAMDVFICTADPDKEPPMVAVNTALSIMGFDYPTEKLSVYLSDDGGSRLTLLAFMEAAKFARHWIPYCKENQIMDRSPEAYFTSNYTCFPRTHEIKVMYDSMKDKVEKGVATGSDDVLLDGTKETDVLGHAMPNLIYVSREKRKATPHHFKAGALNVLLRVSETITNAPIVLTVDCDMYSNDPKTPLKALCYFLNPSIDSDNIAFLQFPQIFQGINQDDIYGAEFKFIFQINMTGFDGLLGPSHVGTGCFFRRRAFYGNPSSQTLEQTRIQSIRSDMLSRCHEVAKSNFEAQTKWGYEVSDPWFLLYAFLFIGAYGQDFLEFKLGGGTARGWYNNQRAWIIRSLSSYTYAVIEYMLTKLCASSAVFNVTSKVVDEEASTRYKHGMVEFGVESLFFYPISVAALLNLIALIFGVMGVFKHGGLQDLFLQFLLVGFGVVNSWPVYQAMVLRNDPGKMPLKITLTSFAIASMLYLVAPKP >KVH95322 pep supercontig:CcrdV1:scaffold_171:266947:271981:-1 gene:Ccrd_002612 transcript:KVH95322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MASEKDIFELSGPLHLTTVDWTDVDHRRMIAASMVQGVYIMQRDRQENRQVSEALAPPWWNFFDFELHTKLIDDADSSIFGAIYQFKPKALPNNTLSTHSTPSHIIAFRGTVTKGDAFSRDLQLDLHFVKNILHQSSRFEIAMQAVRNLVASGSPNIWLTGHSLGSAMAMLVGKNMVKNGVFLESHLFNPPFVSPPMENIKNRKVKHGIRIASSFVTAGLAVAMKVKKNHEQRKSGFLDLAGWVPCLYVNPGDHICSEYIGYFGHRRKMEKIGAGEVERLATQHSITGLFMDAIGMESHEPLHLLPSANLTVNLNRARDLKEAHGIHQWWRYDQQLESRTYRS >KVH95300 pep supercontig:CcrdV1:scaffold_171:318249:322455:-1 gene:Ccrd_002606 transcript:KVH95300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology domain-containing protein RSTLASRLVLLFCVSTNYLIKSITEIYHLHRFDYLHQNLWNQAMGDDHGHGPRGVEIFPDHFPASTSGVETPQTRSSSTSRAKNEFEHSSSSRLWARRRLKSAASMLNLLSLQKRLSWGNGTDRQEKIVLSAKEVKSLQSELADLEEREAHLRAQLEHIDEILRSAHLSGYLHMRTRWAALPGEPLPLDDTEVDDWLPRFVVLHGPCIYLYFLSIDLSPQDSTLLSDVVEVGPMPCVTQEEGETRHYFYIVTRHGLRYECSSTSKVQVDNWLIALQEKCKLGSDSVTNHNHNHNI >KVH95325 pep supercontig:CcrdV1:scaffold_171:245269:248587:-1 gene:Ccrd_002616 transcript:KVH95325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NVDTYNSNFTNTTAYPYIKEQDQDHTDRVLLEFNVSGVAQDHSFLDSEKNPNQETSPVEEVVSKVLGYSALVCEREIQDSYVEKKQEDVQSGRTHLTYLNLDEFRNITKQDNGSSGAPTGLANITHRLEPDGTEYNYASASKGAKVVAHNKEANGASNILGEDHDKYLRNPCSVPEKYVIIELAEETLVDAVKIANFEHHSSNFKQFSLSGSLVFPTETWYPLGNFVAENVKHHQYFKLPEPKWTRYLKLTLVSHYGSEFYCTLNVIKVYGVDAIERMLEDLIVTSEESANHNSTASPASPHSSGSKNGKVDGEFRNVADATSRKMERVDDGKRVDDDVAKKPVSVTKIPELVAKGNGRIHGDAVLKILMQKVRLHEKNLSLLEDYIKELNKRQGDVLPQIDVELAKQAIEKVAVDQENLDKAELTVLTVSVSFAIAAILKIISDRIFNTSSHSPFSKAHRDDRNWKLLLVTCVITAIIALNFY >KVH95289 pep supercontig:CcrdV1:scaffold_171:123624:126879:-1 gene:Ccrd_002634 transcript:KVH95289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1242 MRLSGTDFFSVRLPYERAFCNKAPAFLGSYLVKENCNHVLHDLSALFNFHSFLTVVLLVICTCTFLKMQFPTILEQKTGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >KVH95306 pep supercontig:CcrdV1:scaffold_171:342878:343615:1 gene:Ccrd_002604 transcript:KVH95306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3252 MATSFNLPTLQGHRLLHKSHFLGHTQFTNLNLNHKSSSSFPTSKHQIINPSAKFNLFEILGGRGLCNGEEGLQQELKKPPPERSPPPTSTTAGEENPPSPLENVPENAFEKELLGLTGGFPGGEKGLKDFIEKNPPPKKPPPPPPSTTAAGFDQSLVSRKAKAPELPLLMPGMIAIVKNPNSPYHMYCGIVQRITDGKAGVLFEGGNWDRLITFRLNELKRREKGPPMVSPRSVVLEELIEKSSS >KVH95305 pep supercontig:CcrdV1:scaffold_171:349130:352101:1 gene:Ccrd_002603 transcript:KVH95305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MADFWGKWATKTAQYLISATNLSVFLFFDLLDFIMCIFFRFVDQILEGKPTPCYCRSQNRTKDCEDRRNARISDTLFGRKNGFRELGFLGITSWKVADDSKEIGSEDSRKTRWSDCGCESCVSWLNNEGDQKLHVVVQEPSSKGNQDISTTPTENVIFLHGFLSSSFLWTETVFPQLAGSKYRLFAVDLLGFGSSPKPRDCLYTMNDHLEMVETSVIREFNLTSFHLVAHSMGCMIALALAAKHPNNLKSITLTAPPYFLSSEEEDAGSIALKRLAYKRLWPPLLFGAAFMTWYEHLGRCVCFFLCRNHRTWERILKLVTRKSQDDGPMSRNLETSWSTSDRGPGVSRPSGASRMQP >KVH95309 pep supercontig:CcrdV1:scaffold_171:288576:295181:-1 gene:Ccrd_002609 transcript:KVH95309 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGPPQCILVTGGAGYIGSHTVLQLLLEGHKTVVVDNFDNSSQVAINRVQKLAGDHGRNLFDAVIHFSGLKAVGESVKNPLIYYDNNIIGTLILLEVMNAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKNEPLQLMIEEICQDIYASDSKWKIILLRYFNPVGAHPSGQIGEDPRGVPNNLMPFVQQVAVGRLPTLKVFGTDYSTKDGTGVRDYIHVVDLADGHTAALRKLSDPKIGCEVYNLGTGKGTSVLEMVAAFEKASGKRIPLVMAGRRPGDAEVVYASTGKAERDLNWKAKYGIDDMCRDQWNWASKNPYGYLTKDEAK >KVH95293 pep supercontig:CcrdV1:scaffold_171:140477:142810:1 gene:Ccrd_002630 transcript:KVH95293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6 MMATCSSVLASRPLLQTLVPFPNNKFPSFVRNHQIRRNPFQGFVIKAQTLDFSGSFFEGGFGGEDEPNTPSITAVEEQQEPQCPPGLRQYETMAVLRPDMSEDERLSLTQKYEELLVAGGGMYVEVFNRGVIPLAYSIKKKNKAGETNTYLDGIYLLFAYFTKPDSLEVLESTLKMDDDVIRSSTFKIRKRKY >KVH95298 pep supercontig:CcrdV1:scaffold_171:104039:105232:1 gene:Ccrd_002636 transcript:KVH95298 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MASHDFARSVLGVIASVLVSVSVTVVFFPLGNVISIILFLSTVPTFYKIWKKKSVEQYSPVPYLVTFVNCGLWIFYGLPFVHPHSLLVTTTNGAGIVIESVYLLLFIIYSDSKKRIRVILVLIMEIIFLGVLFALTLTLAHGTKLRSAIIGSICIAGNIMMYASPLSVMKMVIKTKSVEYMPFLLSLFCFANGVCWFSYGLIRFDPFVVAPNGIGALFALAQLVLYAIFYKSTQRIMAERQAKGELNLGNVTPSSRSNNSQLEFV >KVH95317 pep supercontig:CcrdV1:scaffold_171:177764:178177:-1 gene:Ccrd_002626 transcript:KVH95317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MWLVFGCSSYPFAVADWVLKSLGMSTTEFNVASKVLIDDLRKRYQAGLFEFGVESPLFLTIPIAAVVNWLALVTGIIQVFKTGRFEELFAQLFIAGFAVINSWPIYEAMVLRSDKGKMPVKAIGVSLVIYSLFSSAF >KVH95319 pep supercontig:CcrdV1:scaffold_171:182547:186596:-1 gene:Ccrd_002624 transcript:KVH95319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MENRGSTFLSPEDLLYKELWKACAGPLVDVPRDGESVFYFPQGHMEQLEASTNQELNQRIPLFSLKSKILCRVVHTQLLAEQDTDEVYAQITLLPEQDQSDPTSPDECLAEPVRPTVHSFCKVLTASDTSTHGGFSVLRKHANECLPGLDMTQATPTQELVAKDLHGVEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELRVGVRRLARQHSSMPSSVISSQSMHLGVLATASHAVSTQTRFAVYYKPRTSQFIIGLNKYIEAVNNGFTVGMRFKMRFEGEDSPERRFTGTIVGVEDMSPQWECSKWRSLKVQWDEPASIMRPERVSPWEIETFVAPIPTSLVQPVAPKSKRPRPHMEIPNIEPACSSVSAVWNPSHDSVQLSCTPEGQRGDDRNSWHPKQTDNSNNGCLLRTQMEAGWLSSSPIKASRNMYGDETEDRKGLSAWSVHSTYSPQESIKHTKDSIQSSIDKKKSENVSSCRIFGFDLKIPPKGDITPEKATLTQSDVLFHSSMEGQVPSTLSDQRSDLSKDCKEQGQLQVSPKEVQSKQSTCARSRTKVQMQGIAVGRAVDLTVLKGYDELIDELEEMFEIKGELRPRNEWEIVFTDDEGDMMLMGDDPWQEFCNMVKRILICSSQDVKKMRAGSKTSIDNDVSGFSLEAGEK >KVH95310 pep supercontig:CcrdV1:scaffold_171:28977:29862:1 gene:Ccrd_002641 transcript:KVH95310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRAPCCSKVGLHRGAWSDEEDKLLTNYIQTHGEGQWRSMPSKAERAVEIVPDQPASSTSSLSLRKSTSFDNGASSGESSSCTFEADPVTTDFRWPELFDMEGASSVDGLNMDGFDLLMLKDDESEMLEKLYDECWLLLQDGDGDGDAIM >KVH95327 pep supercontig:CcrdV1:scaffold_171:250756:253529:-1 gene:Ccrd_002614 transcript:KVH95327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger MDSFSSPSSSSPSSQMSAEDFMDQLKVQLAQAYAEEFLETVRGKCFDKCITKPGSSLSGGESSCISRCVDRYIEATGIISRALFNSQR >KVH95311 pep supercontig:CcrdV1:scaffold_171:153996:155014:1 gene:Ccrd_002628 transcript:KVH95311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRDRVQYVTTTRKHRVIGRGFSGSVHDINRDTGDTKQITIAVAIDAKVTLNPIVDMPTDLSDHSSNANGQLDRPQINHILW >KVH95288 pep supercontig:CcrdV1:scaffold_171:108979:122933:1 gene:Ccrd_002635 transcript:KVH95288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP19 subunit MDGGIPNFKKWNILYPVYINSKKTIAEGRRISAAKACENPTALEIGDCCGHLKIPFAIELDKAYPRDFMQVGRVRVLLRRPDGSLHNPLVASKKQLMIRVAELVPRHPGRTKKQEPAATSNAVPTKSGKAMPGLVSVKTPPRITIPEDNNSHPIRPTPDRSDSINTKTTSPAQRRPPSPSPSSSRAKPSPDRGSGKKKSPEKQSLLDESSLDNPDLGPFLLKLARDTIASGDGPSKALDYALRASKSFERCAVEGEPSLDLAMCLHVVAAIYCSLGRFEEAIPVLDRAIKVPEVSRGADHALAAFSGYMQLGDTHSMLGQLDRSIECYKEGLKIQMEAYLAEAHVQAMQFDEADTLCKRTLEIHRVHSPPASLEEAADRRLMALICEAKGDFEAALEHLVLASMAMIANGQDNEVAAIDLGIGNIYLSLSRFDEAVFSYQKALTVFKASKGDNHPSVASVFVRLADLYYKTGKLRESRSYCENALRIYAKPVPGTTPEDIASGMTEISAIYESFNEPEEALRLLQKSMKLLEDKPGQQSTIAGVEARMGVMFYMVGRYEEARGSFQNAVAKLRASGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEAREILEHEVEDAIEILEYVLKLREEKLGTANPDFDDEKKRLAELLKEAGRSRNKKAKSLENLIDPNSKRTKKETSSKKWSAFGIMDGGIPNFKKWNILYPVYINSKKTIAEGRRISATKACENPTALEIGDCCGHLKIPFAIELDKAYPRDFMQVGRVRVLLKRPDGSLHNPVVTSKKQLMVRVAELVPRHPGRTKKQEPAATSNAVPTKSGKGGKKKR >KVH95328 pep supercontig:CcrdV1:scaffold_171:228138:230836:-1 gene:Ccrd_002619 transcript:KVH95328 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK, C-terminal MDPELAKKIEETVLEVLKNSDMDSTTEFQVRKSASEKLGVDLSKSERKKLVRNVVQTYLEEQQSKAEADEKAAEEGEEEEEEEDSEDERKKGKKGAKEYDDDGDLIICRLSNKRRVTITEFRGKGLVSIREYYNKDGKELPSSKGISLTVEQWSTFSKNVPAIEKAIKKMEDRLNY >KVH95308 pep supercontig:CcrdV1:scaffold_171:299366:307410:-1 gene:Ccrd_002608 transcript:KVH95308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNLTSPWPNRLYLHHHRFLSTSNEATQSLSKSQVFCNLRNYKNIKASLSESYHEGAVAAGDDDAGNGDFELIINRVSAAKDASEALEVFGEMTKRSGGVVSTSDCSLIISAALDRNNADLALSIFKAMRSSFNAVGVGDKDVGDRWRWSRPDVNTYTLLVRGLAASLRVSDALRIIANVCRVGVSPGEEVPFGKIVRCPSCMIAAAVAQPQNGCPPAERSMDVPAWKKGLQLLQLVKESTPAAVHSIVVQTPSGMARTHRFATKTVDLPAQEGERVTIAVAAPSSVYREVGPLKFSPKTPNYYPGEPMCLTNHQDGRESPLLRAPTKNGGLSLFSPAVFFPLLTVLATGDMASGIIDPSLPQFITVAAVSSLAVGATLNGLVFPQFNRLPQRLVETVAIRQQLLSQYDTLQSRIKDLKDAADNEVWMLARMCQLENKIVAVGEPSYRARRSRVKRVREGLESSLKSRIELIESYARISSMIEIEVEMDSDVLAAEAASNVETIAEQIEQIMELENLEEKWRIQAEANDEVEKLLSSETVVPSQQVPDI >KVH95318 pep supercontig:CcrdV1:scaffold_171:154579:175009:-1 gene:Ccrd_002627 transcript:KVH95318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MLHGYRSTKPQKNLTNRSNSMQKEFETENNPNLNLQHNSNLISVQCQPYTPISNSPSSTNLSFTMLKPSLHLCKPSPARFLNRAFIFIYGIAILALFYRHFLNLTYSPSLTTISLFISDVVLAFLWVTWQAFFLNPLHRQVFPENLPQVAKETEYPGLDVFVLTADPFKEPPVAVVNTALSVLAFDYPTEKLSVYVSDDGGSQLTLFAFMEAAKFAKYWLPYCRKYKIMDRSPQVYFGKNPSLFPETKDIQRMFEKMKDTIQNVVDRGTIDEDEINDDPAIKAFAKWTREFTRHQHPTIIEVLLKNDVDKDIRVSTILTNAPIFLTVDCDMYSNNPKTPLHVLCFFLDPNVDPKLAFVQFPQRYHSINKNDTYGAEFLLETQVCPLGMDSWGGTLFMGTGGFFRRQALLEDATEPLRLWNEPIDESGDVLQLAHRVASCNYEKNTKWGHEDIFTGFRLHCSGWKSVTCNPTRAAFLGNTPRSLHDFLSQMNRWYMGMLQIGLSKFSPITFGMKFLNPLHALCYSHYLFRAFWSIPVSDPWFSLYVFLFVGAYGKELIDYVMAGSGFKRWWNHQRQWLIMGCSSYPFSIFDWLLTSLGMSICDFNVTNKASNNEISKRYEQGFFEFGVESSLLLAVSLVAVVNIIAFVMGIKQVLMNTHRFEELFMQLFIAGFGVVNSLPVYEGLVLRSDNGKIPMKIGLKSVGFSCMRFSFLGAYGKDCLDFVVFGGSTMQRWWSYQRMWLIWVLSGSITLYTRLNKKPAAMAAPLLHSSKPLSNTWLNRIFILIFAGAVSIHLLRRCRNLIYSPTPISTALFVADFVLAFMWLTCQSFHWKPIRRRVFPENLQQVVAEREYPALDVFICTADPKKEPPIGVVNTVMSVLAFEYPPEKMSVYISDDGGSEVALYAFMEGAGFAKQWIPYCKKHKIVDRSPEVYFGSDPAWFPDTDEIKAMYESMKSRVERVVQNGCIHMESEFREAFHNNVDKDSAGGAMPNLIYLSRQKSNNKPHNFKAGALNVLLRVSGVMTNSPIVLLLDCDMYSNDPQTPLRALCYFMDPNADPKLGFVQFPQRFDGINKNDIYASEFKHETQILSLGMDGLRGAPFMGTGGFFKRHVISMRIDSKPVKISEDVLAAAYQVASSNYEDNTKWGSKIGFRYGTVTEDTYTSFRLHCEGWKSILCNPKRAAFLGGSPSSLNDNLTQMKRWYMGFLDIFFNKYCPITYGIRSMNPLQALCYTHYSLPYGKDFLDFVVFAGGTMQRWWSYQRMWLIWGLSSCPFALLEWSLKSVGISTIGFNVTCKMIDEEQNSRYEQGLFEFGVESVLFFLISVASLTNLLSLVKGVTEVFMNGRLEELFVQILICGFGVVNSWPIYEGMFLRRDGGRMPLKITLASMATAMVICLVSPLVF >KVH95290 pep supercontig:CcrdV1:scaffold_171:55876:60070:-1 gene:Ccrd_002640 transcript:KVH95290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MLETVKYLIGSAGPSGYGSKSTAEQVTDNSVDLHSSTAIITGATSGIGAETARVLAKRGARLVIPARNLKVAEESKARIASEFPAAQILVMPLDLSSLKSVRSFVSDFEALNLPLNLLINNAGKFSYDHGISEDGIEMTFATNYLGHFLLTKLLLKKMIATAKTTGIQGRIVNVSSGIHTWFSGDLIRSLGQITRDKSHYDATRAYAISKLANVLHTKELARRLQQMEANVTVNCVHPGVVRTGLTRDREGFITDFVFFLTSKFLKTIPQAAATTCYVATHPELRNVSGKYFADCNEASNSKIGCNPKEGVRLWSFSEIMVSTDWKSVFGVFT >KVH95321 pep supercontig:CcrdV1:scaffold_171:259932:265568:1 gene:Ccrd_002613 transcript:KVH95321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSIKECCCFLKKVRNPRKFIHHARLANETSFSVNEIEALYDLFEGLSHAIEEFRLALFSNSSMQNLFADRLFDLFDIKKNGVIEFEEFVRSLSVFHPDAPESDKIEFMFRLYDLRCTGYIEREELKDMVLAVLSEMTNSSTXAFXSXPPVLPAKIGDGVLGSSSGGVNPTGASLVGVGVGVGAGAGAGAGASWLAAALIVKVRVVSLRCLMAVKEDCFHGETEFERVGVKGEAWVAMAAIGDG >KVH95307 pep supercontig:CcrdV1:scaffold_171:327949:333816:-1 gene:Ccrd_002605 transcript:KVH95307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRSKSHKQSKHSSKETAAAARDYSGSDEDVKMKERSSNDGKEDGVSVRISKDSSAAGERRKHGKDLSSSHGNGDVTEEYTTSSKRREEKSHGGSDRWNGGSSEEKGVVVNIENKELLKSKDFSKGVGESKSKSSRRHDAESANAVVTEKDESKSGSGNRGEKRKSEKESARKENSKENKESKDKDRGSDRGRKVHPDKLEPEASSKHVENQTSKRGKEITEWPIEEELRNPELEKELEKRMRRRGEGSSDKDKYQDDVKEGDDRRLSTRSDRSKDERHKDEGYGDMYREEGVRETRQREDKHREDGERDRKNRDVKHRGESERHKHRDDKYREDGERDRHREDKYYEDGNRDNKYKDDKYHEDGDRDGKHRDEKYVEIGERDQRSREGKRKQDDDRDHRHKEEKHREDVDRDHRHRDVRHRDDADREKRARDSKYRDLHTSRDHPIEPEAKRLRDDSIPADLYHRKTNNRDGSPIYDDRVTRYKDDKDRRRGNDKDEPSDYRSRSLKEQRSESEKRSSAKVDSGSERGRPASRYGDMEITASHSRRRSSPSGSSYPARDHHRVPKQEEAKYRDHVYEERARHNVHTSRDITSGQSDKLSSRSLEKVTLKDDSYTGDLSVDRRLRPDSRSSPQVDKSPSSTSNDRRNLSRSDVKRSLDLEEPGQRSGGSKDAKESMVKDVKGSRELVMETHTDNEFSQVDGDNLSVSSPYTRSSHFSGNSKSLLPPPSPFRTGSDSPFFGSTEDDRSKSNNRHRRMGDPNMGRAQGNWKNVPSWPSPLASGGYIPFQHVPPMFHPLMQQFPPPIFGRPPMKLNPGLPYHVPDHGRPLSWRNQVDESVPPPLHGWDANNAVFGDESHLYGRLDWDRRTQLSNRGWDPSADMWKGQNPGASMDAQLGPQKDGYSAHRPTDEIWPGQMGQHVENEQNQPDIQPLTMNGSQSSNALEGIAPEVQKVTESPQMFEVVKEDNTLISQVYLSRIDVSQDLTQPE >KVH95313 pep supercontig:CcrdV1:scaffold_171:217310:220246:1 gene:Ccrd_002622 transcript:KVH95313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNQSSFWQFSDQLRVQSNNLSNLSLNDSIWSSSYVSKRPEKDRRNFDIRVGRDVINPSTGSNNISGPSKSDFNGFNFDWKIGSSGVIGSTNQNPLGDFGINGGLNKGIYSKPSLNLNQNFNQNSNGRVVNGKSGGGDDKQYSYGSKHGKNKKNHGNNNNNNNNNNNVNNSDKDGGKNSVDKRFKTLPPSESLPRNETVGGYIFVCNNDTMQENLKRQLFGCKLWGYKYRSICLGRHEKPRRISVPGSGASDDKERL >KVH95303 pep supercontig:CcrdV1:scaffold_171:370886:373316:1 gene:Ccrd_002601 transcript:KVH95303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKITLPFFFYLLLSKSISGADDCRPASCSSTGPQVRFPFRIRGRQPSRCGFPGFDISCNKRNRTILHLTSSRSYIVNKISYTAQIIYIDPEFCRPKRIRDFSLTGTPFDFSSVRSYTFYNCSLQKLDYMFPTVLLPCLGSVNFSVIAVRTGLVGETPENCKDMAMISVPIRWYGDVREELELMWFTPFCRSCETEGRMCGQKSDGETTCLGSSHGVQRSARYGLSIGIGVSMLICIIGIVCYTATKARDFNRSRHQNIDIFSITISPRPCSSGGLDLPTIESYTKTVLGESRRLPKDDDTCPICLSDYEPRDTLRTILECNHYFHVDCIDEWLRLNSTCPICRNSPRKFVFGYTLSSSPDFWILHRPFHYTLRGVWFIGFRWILMELEWSIPLTKFHYDVWLLTNGMKLNSKQFLHIFTSLLSFSLIESTSICN >KVH95330 pep supercontig:CcrdV1:scaffold_171:398120:406381:1 gene:Ccrd_002599 transcript:KVH95330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 1 MQFSHVCLLASSASSLHSSLSILPFFSTLSSRSIVEYHRCNITWKPATHHLLKSHRRTVGNLAMTPGSNLPSIQQGEIGRRSGGDNVLEREGYAGFSLGLVAFGRGLDAIGFMDTLLERLFQYAGGKEIHNLTAV >KVH95315 pep supercontig:CcrdV1:scaffold_171:225617:226255:1 gene:Ccrd_002620 transcript:KVH95315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNCSFKGFDPSLDPIRVITCSGQALEFNGPKLVSEVIKDFPKHGIFKKDQLSSPLDHREQLIGGQFYHLFPLFPEEIEKRDQTFEEKALSELGAIEAEPVRMSTSTVALQLVTKNLTDGSGFEVLPPPRKGVWKVKLMINTKQLEEILSEEVNTEALIEQMRVAAASSRKAVPRRNKLKWGVKLKPIFCNVLNKMVVDDNSSPKSVTPILQ >KVH95323 pep supercontig:CcrdV1:scaffold_171:280505:286695:-1 gene:Ccrd_002610 transcript:KVH95323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MHSIGRSWRSNFIAYSLGLFTLHCSCWWPGIVTRRPLVLQLHKIDEGKEHGEFLHLQSRKFYDFDGQSESIVQDIENMVRSYIQKILEGKSYRLKFPWVGVVNRSQQDINKRVDMTSARRREREYFFNSREYKHLASRMGSEYLAKMLSKHLEGVIKSRIPGIQSLISKTVADLEAELSHLGKPISTDAGLPAALKKLQFDKQLSMENIKKLITEADGYQPHLIAPEQGYRHIIESSLVTIKGPAEASVNAQYPSLRKEVENAANESLERMKKERTTVLQYVNMVLAGLVNSVPKSVVHCQLSKLLDEDPAIMERRSSIAKRLELYKSAEMEINGVSW >KVH95320 pep supercontig:CcrdV1:scaffold_171:180711:182159:1 gene:Ccrd_002625 transcript:KVH95320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDVSGWILEFLLRQTSVDDHTLDELIRVLPLPDHNLSLKKSLLLRKIESDILKGTVSEPVLELLETIQELDQKEGVVEVPETMKTAYCAVAVHCALRLLRGNITKKEKYSDVVKMIWKDRALQMEKFFDMPVAASSGMEWISEELKNWMCNMKAGVWDPTSVCKNVSVMKYIKCKDVVEMVRLYVVEAKEKMGPSFLELAAEKLSGDVIKEVTDGVGKVQNEQQEGERNESSLIKGLLKRRPKRAWGFEEENALWIGVKKYGKGNWKLILTMYPDIFVGRSVVDLKDKWRNMSRYESYLESKNVG >KVH95304 pep supercontig:CcrdV1:scaffold_171:354751:355116:-1 gene:Ccrd_002602 transcript:KVH95304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYMETCIQRKEEYPQQQQQQQHQQQQEEEQKQVQQEKQESSDGFSKESSRMRVKLVLTKDELQWLLGQLKKDEGRKLEEVLGEIEKSRLRGESVAKWKPCLESIMESPDVHHQIMDRSS >KVH95295 pep supercontig:CcrdV1:scaffold_171:72255:76999:-1 gene:Ccrd_002639 transcript:KVH95295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 37 MQTLPFFNLKMDSFHPIELINFTLFVSLSAAMVVNAESAQLCKPTDHGPVVPTTPLVVFLESIQETALNTFGPIKFDPKLYVDLSLKFDLPITKQAFNNLPRTENGSVLASDLNGFLKKFMNGAEEDLVYVEPIDYVAEPDGFLPKVVHQGVRDWALEVHSLWKTLSRKVSNQVLEHPELHTLLPLKHPVIIPGSRFEEVYYWDSYWVIRGLLASKMYETAKGIVINLIDLVDTYGYVLNGARAYYTNRSQPPLLSSMVIEVYKQTSDTELVKIALPALIKEHNFWNSGIHNVTIEDAHGTAHNLSRYYAMWNQPRPESCTIDKETADKLSTDCEKIQLYRELASTAETGWDFSTRWMKNTSDLTTLSTTTILPVDLNAYILKMELDIAFLANVIGESSHALHFTRASKARKKAMDAVFWNEEKGQWMFINGMCWTRIKIYLPLTSSQYGDFPNGWAPVQHMIVEGLVRSGSEEAGSLAKDIAIRWIRTNYATYKSSKAMHEKYDVSKCGEFGGGGEYVPQTGFGWSNGVVLAFLEEFGWAQDLNPHCQ >KVH95316 pep supercontig:CcrdV1:scaffold_171:220640:221770:-1 gene:Ccrd_002621 transcript:KVH95316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCASSKQVEVGTVDVYRPASTSFAVFDINAIKEPWLAAEEQEEDEKPPPEKPVTHLPSPILEKLDAGEDAPRSWDEVSKELEDLKPTLNSPKPPQPPPNPAVTPPEKPAPEPAKKVKRKSFSFHTLEELENNVTKAANKAASGLKKTESFNELNKFGSRLKRTDPGTKSGTHTGSVQPPVTDGYIPLKENPFLLRDRLEREREGKPPPFFKRDPLEDYPEICPPGGSEKVVIYTTSLGGIRRTYEDCNRVRAIMELHGFVYEERDISLHGEFRTQLKELLGELVSVPRMFVKGRYLGGVDEIVGLNEMSRLRRILSRVGIEKVVGRQACEGCGGARFVPCLDCGGSCKVVVDDKKEKERCPECNENGLVYCPICS >KVH95292 pep supercontig:CcrdV1:scaffold_171:134380:139551:-1 gene:Ccrd_002631 transcript:KVH95292 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit Rpn12 MVPAFILQNSFLGEKGSRRRSKHQREKGRDISFEEGMDPKLTEVSQLFERFKAALVRNDFDTCSRLLSQLKILLIEFKSLPPLFEETSNAIQELTLASKYSVSCISLHLSHSDCTVILYMLWLMHTYDSCTHPDSRYTRPVSAHKLLRDSENCVIFSFPVIFAGDDPWDIYEHAVVLSVKMEDQDAFERDFFQLKPYYTDAGGRLPQSPQEYPILGLNLLRLLVQNRIAEFHTELELLSTSALENPCIKHAVELEQSFMEGAYNRVLSARQTVPHETYVYFMDLLARTVSHIKRIHVEHPEWEVKNGSVVFQKAKESAPCKEIPSLQLINQTLSYARELERIV >KVH95301 pep supercontig:CcrdV1:scaffold_171:309229:313204:-1 gene:Ccrd_002607 transcript:KVH95301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MSSQIFRSVSRATRSIISSASKQKSSLLSEGRSASAAAAISYKGVLPALASFGRDSSGNTSSTWIAGAFMLPAAAYMVQDQEAHAAEMERTFIAIKPDGVQRGLISEIISRFERKGFKLVAIKLVIPSKSFAQQHYHDLKERPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVGVGRNIIHGSDGPETAKDEISLWFKPEELTSYISNQEKWVYGVN >KVH95314 pep supercontig:CcrdV1:scaffold_171:209521:214216:-1 gene:Ccrd_002623 transcript:KVH95314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl synthase, active site-containing protein MTSITATCSFGLIFRRKDSENNGVSMHQYNGLRAIETTFKPSGSISTSASKSKAIKAISAPKRETDPKKRVVITGMGVVSVFGNDVDTFYDKLLEGESGITLIDKFDASTFTVRFAGIGGMTSFSNGVEALIQKGFKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVVGGTEAAVNPTGVGGFIACRALSQRNHEPHKASRPWDQDRDGFVIGEGAGVLIMESLEHASKRGANIIAEYLGGAITCDAHHMTDPRKDGLGSMIGHGLGAAGGLEAIVCIKAITTGWLHPTINQDNLEPQVDIDTVPNVKKRHEVNVAISNSFGFGGHNSVVAFTPFNP >KVI04537 pep supercontig:CcrdV1:scaffold_1711:79521:92616:-1 gene:Ccrd_017145 transcript:KVI04537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTFNPASIVVFFNLQSCLHRHLLQPSRVILVAVSLYGFTVDRATLELIYQKLYEPLYSKIMLKPKLSNHADNGSEDGNHTQMMYAEDRKVDVGWLFMA >KVI04538 pep supercontig:CcrdV1:scaffold_1711:42976:55575:-1 gene:Ccrd_017144 transcript:KVI04538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertase OXA1/ALB3/YidC MDGEALRLHFHCWSLFAVPPPLPPPFSGKSWIEQYKRFRDKRXEIXCPSFLWMLAYPSVQIPCLILGTASVRRMALDHHPGFECGGTFWFQNLTEMSQGASGYIFPLLLASLHLVNVQVSFEKSSLTTLPNLFGVLAKYYKSYLQCLTIPILFAGFYLPQGSLLYWAANSSLTLVQQLAFKHPLVREKLGLPDKSAPVDPRNSREMDGLEATFTVPLVKKPIQGLSVLELFNLSIKHLSKGEQDRAVRLLRLALEKDPEYARVLIVMGQLLMKDEKLAEATEYLERAISKLLLTGHPTEVEEIDHLILASLWAGVALAKQGKVAEGIVHLERIANMKEPEDTKSKTHYCDASIGRDAEAAKYLQILTTYDPAYATYLKDLESKDNNFAEDLANSRRKDY >KVI04196 pep supercontig:CcrdV1:scaffold_1712:63709:64582:1 gene:Ccrd_017496 transcript:KVI04196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MAEGEETQTEVVQSGLPAGRVKRIMKLDKEINKINSEALFVISSATELFVKFLAEKSSEVAIEKKRKTIKLEHLRIAVKRHQPTADFLLDSLPMPPPAQIPTKTDRSRRSSDNDSVPAGTRRIDSFFQKDFMIEKRITEKCNLGSFMGSKLTDSSSALPLRSHITNKRNSLNFGGQAYCSFDSK >KVI04200 pep supercontig:CcrdV1:scaffold_1712:104175:104476:-1 gene:Ccrd_017498 transcript:KVI04200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MLQTDDSERRSIDLIHLEHSREDNIEGTGVPFFEFESILAATDNFSDANKLGEGGFGPVYKVMIPNLKQVLLISMVSFLKEYKWL >KVI04192 pep supercontig:CcrdV1:scaffold_1712:44037:45077:1 gene:Ccrd_017492 transcript:KVI04192 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MGKGVFFTSASMVLAVIITATLRSHHHHHYVSHPNNSSSFTDLASNASQLLRSNGFNFIATFLHITPELFLSTPQSTVFAIPDAAITNLSIPPYMTKQLLAYHISPSKLTIQDLFKKPTKTCLPTLISQQRIMITKNDYKNRVLEINNMLITHPDLFLQGTVAIHGVAGSFASFDHHQENIKLPVCESDHSGGPSSTGSLIKNKDEWGKVVKFLSSSGFMPFAIGLNSVTDGILKDFPDLKSVTIFTPPNIALMAMPSPLLDKFMRFHIVPQRHSFRQLAGFPAGSSLGTLVKGKHVDITETSKLSQIVSINGVAITAPDVFVSKNFIVHGIARPLSMDELSSMSR >KVI04198 pep supercontig:CcrdV1:scaffold_1712:47205:48522:-1 gene:Ccrd_017494 transcript:KVI04198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHIQIFLRKVSYLAITIATLTLLLVFLFQTPPETCIDPKTNPHHKPHPKSSCDAAHRPITTIDKKNHRLWSTNAWRKSVDSFSAIFHYLQTLKHLSNHTQALVLSAGGGQAVMSLKEIGLHEVTGVELVDSPPLVSRADPHNLPFFDGVFNLGFSAHLDQALFPSRYARELERTVSVGGTCVVCVEECGNDEVKEVMKLFRKSEFSQARNITLMGSKMTMIITRRIKTHCFYGDVIVKETSNGLDLDGVIIDGASVKLKRSSD >KVI04197 pep supercontig:CcrdV1:scaffold_1712:61724:62139:1 gene:Ccrd_017495 transcript:KVI04197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTFGPFICSGDNFLIEIVSTPFSSFAFTESRSRLSGNRNCLQNFPYDRSRRCQDFHRDLMTVACLLPIHPRRRHDQFVVPLDSERNLLQFSKRIGKQIR >KVI04199 pep supercontig:CcrdV1:scaffold_1712:104542:107376:-1 gene:Ccrd_017499 transcript:KVI04199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MISSTSKAITCFCFFCTFSLCFYPHCCCSAKHNIKTGERILDGADYLESPKGTFQMGFFQGKEGRYIGIWYAMDNTTVVWVANRDNPVLDSTGALIVAEEGVRILDRNGNSYFSTDAGQVGSGGASNKTLALLDNGNAVLIDINNTFIWQSFDNPTDTFLHGMKMDMNSKLISWKSPDDPTPGSFVFQEEKTMQYVIMNGTTPHWKSGIGSKNYKLELNQMFPKYARFLMSHTGNIQYFTWVESAKAWSLVWEEPKDICSNFQVCGRYGLCSPYNQPICSCLPGFEANEPGVYSEGYRHEPKICSIGEADEFLNVTMIEVSNPTSIESRIESDCRNKCLENCLCMAYSFSRGNTGTQQGSSRRPNSCRMWESELYNLQMDGTENIYIRINRGVGGYNNSAQGSPSPTPRGDSSPFLSKTPLAIHITGDWSPMNKVSSNPWLQIQQNEFINFHISFQSKRKTRINLCESFWIFT >KVI04193 pep supercontig:CcrdV1:scaffold_1712:35866:36222:1 gene:Ccrd_017491 transcript:KVI04193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIKSLFFCFLFAGILFFRPTTARFLHFSLSDVKSYVSDPPLSAAVDLSALPPAPSPREEENDESGGVQKVHTENHRHSSDKSVAGGGVIIGGLATAVLATLYCYIRVTRRKDGGNH >KVI04191 pep supercontig:CcrdV1:scaffold_1712:45095:52098:1 gene:Ccrd_017493 transcript:KVI04191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGVGGPETVVFLVNGGDWAMSGVTGGFGMGFVMRVSGGVWKRKTSSRVSVAMLATEYWCALASFAVNCAAIAVVSWLVVVRSFFVSATDSGCDGSPPPMLTTFGPFICSGDNFLIEIVSTPFSSLAFTESRSRFSGNLNCLQNFPYDRSRRCQDFHRDLMTVACLLPIHPRRRHDQFVVPLDSERNLLQFSKRIGKQIR >KVI04194 pep supercontig:CcrdV1:scaffold_1712:11665:15654:1 gene:Ccrd_017490 transcript:KVI04194 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein NPFAFVSFSFQNHLLISSSLSSHLLSRLIHPFGVLDSHSIGSSSFFLGFSDFCFIWASMDAAACDLQLDVNGQETFFIHEKIISSYCGRIRRLFGKSKGATTNLKVIFHDFPGGPESFELVSRFCYNNGRIDINPYTAPRLFCAAHYLEMNETITGSHNLLEQSEKSLEEIKFWSWPELLSALRSCQHLVPISSSSGILQKCLDSLVGRLVFTSETSPSCPSSSSPESSVLRLSTDSKSTESLKSGFLRSTWWFEDLVTVLTPNLVKLLVKSMVLHKFDLGVTSRFLFYYQKSRFIVVNFDEKREITETVIDSLSLLDQRSVPCKSLFGILRVSLNLNVKKICKNSLEHMIGSQLDQATLDNLLVPPPHGSNHLYDVKLVLQFVKHFLAGKGGREVPTSQMKKVARLIDSYIAEVAPDPRLKASRFLALVTALPESARDLYDEIYHAIDIFLRVHTGLSDGEKTSICESINYDKLSTETCNHLTQNDKFPSRFATTALKAQQRKLENLFRDMDLKRRLVAPGREAVETEPKDGKSEQVVLYAEQVVHQVDIVHENEKMRAHLEGMQWRVVELEKVCRKMQTQMSKLLKSKVSTQTTSKSLPR >KVI04195 pep supercontig:CcrdV1:scaffold_1712:63744:73927:-1 gene:Ccrd_017497 transcript:KVI04195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MMTSVKFSIFIFSTFILFTSRQRCQASQDNLTKNESVKDEDHETLVSPGKVFQMGFFKPESNQNDDRRYLGIWYYTDPKTVVWVANRDNPLSSGSSVLAIENDGNLVVKDKYREYFTTGLPPSSSVRTLKLLDTGNAILEDKSRNVVWSSFSFPTDTFLPGMYMEKAMKLTSWKSQHDPGTGMFVFQKDQVFGYNNYTIFADKKLHWKSGFGLESNTNPTKMPMAAIHLLSNTSRIPTFSRLVMQSSGEIQFYNWDSSFRKWVLNWSEPKDYCNRYNACGPNSSCNISRNVEKDSICRCLPGFELIPDVTTSEQTCKRTSKICSGNDSNFLSMMIMKIDVTFQTFLESRNEQECKEKCLGLDCCQAYSYNAVGNPELVLAGVPGGKQGCWIWSSGSQLVDIQIGDDTSGHIVAIRNPVSVANITAKRTKPISPEVIILSAIVIPGLLLLCCIGFICYRRRINIKKGQGSAESDSIHQFNESVRQVQDLLDPFHSNENDSQTIGIPFFVFERISASTDGFSEANKLGEGGFGPVYKGKFPGGLEIAVKRLSIHSGQGLEEFKNEVTLIAKLQHRNLVRLLGYCMKGNEKIGLIYLHQDSRLRIIHRDLKTSNILLDEDLNPKISDFGLAKIVNGKEVESNTKRAWHLWKEGRPLDLMERVLTESYDSEEVLKCIIVGLLCVQEDPDDRPSMSNVVTMLTSDIATLPEPKQPAFLVRKLTSSSNTSSYKPETNTDVQNNKLMEISMKTIEKSETANFTKDCEGSSSTGTPEKEQGERWKELEMHKQARTQDSFIIRFTLPAGNPD >KVI04501 pep supercontig:CcrdV1:scaffold_1714:80612:90157:-1 gene:Ccrd_017182 transcript:KVI04501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1666 MQCSKETIVRLFYNASSSFQLLFLFFYLTSIFLSKFLFFISAIPFLPRNDEEYEYSTVTDEEEEEEEEVEVEVEDDDEGFYYDEGPEKDHLVADIIEDGENLLFLQTSATSSCQNSVIFDEEIVNDPLERAAVSDDSPFYSAPESDSEEHNNQEDRPEEEDDEDDDEDRDTISLTAGSKSASTSFRYDMNTPAITTRAKSACTSFRYVGDEPSLRVTKKIAFVLDDDKIEGIVCVMCMFFAFYFIEKYRETGKKLESNLTQVDKFLNLASLNPVTKRFELEEKDKEEIFGDTNTVGSTSKSSSEWRSSINCRDPSYDPFSSSSRRSCPKWESYTVFQKYDEEMLFLDRISAQKLQETESFRSSMTCHSSISEKIIHKLASRNRKTSYIYQNSYHELEAAYVAQICLTWEALNWNYKYFHQLRVSRRDSDLGCPAYVAQQFQQFQVLLQRYIENEPYENGRRPVVYARMRSLAPKLLQVPEYRDSDDEKKDEYLGSRISSDSFLVIMEEAIKTFMNFLRVDKENHFHIFTDLFRIKNPRTSVDPTLLQISQEEVYKLGFRDIQKTRITESNERKNDTL >KVH88044 pep supercontig:CcrdV1:scaffold_1715:93491:99696:-1 gene:Ccrd_024573 transcript:KVH88044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly A polymerase, head domain-containing protein LKHLGPKTFIFIVFRFFSSLYSFWNPSINHCALIVQLHNWYAEKLVLYMAISVSQGFACRSQLSFHLPLINRLHKARRSCPVAAIGSLVESVSVRNEDFQISTARNVKGGNDDCKPHQWKKSCSKELGIKTSKITKPAKFVLNVLRKKGYEVYLVGGCVRDLVLERTPKDFDILTSAELKEVPVMGAFPRCEIVGRRFPICHVHVDDAIVEVSSFSTTGRKFGRKSKPVLRKPSGCNECDYIRWRNCMQRDFTINGLMFDPFSKIVYDYIGGMEDIQRAKVRCIIPANTSFVEDCARILRGIRIAARLGFRLSRETSHFVKELSNSLLRLDKGRIHMEMNYMLAYGSAEASLRLLWKFGLLEILLPVQASYLVSHGFRRRDKRSNMLLSLFASLDKLLAPDRPCHSCLWVSILAFHEALVDQPRDVLVIAAFSIAVHSGGSLSEAVDIAREISQPHDMSFHEISEPICYSKDALVDEVIKLAASVKAALRRLTDEHYVSQALIKYPQAPQSDLVFISWSLSLKVCSMFECVKRVKNRRLIPKEGSEIDYDSLAIGRLQEVRNVFGRVVFDTVYPLKLGS >KVH88047 pep supercontig:CcrdV1:scaffold_1715:59933:66247:1 gene:Ccrd_024569 transcript:KVH88047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase MLLEIMIVGDRAGVASWKTTVCDMIIEQLHDQHVVLVNQDSFYHNLTVEELTRVHEYNFDHPGKFYAFDNEKLLSAMKMLKHGEAVDIPKYNFRSYKNNVSRRGTRTRFYTSCYFSDCRRGWKRLCILQPLESKTPGKKRHIFQL >KVH88046 pep supercontig:CcrdV1:scaffold_1715:76593:82309:1 gene:Ccrd_024571 transcript:KVH88046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQLEPSMSLWLLQELSGTHQHLMLGQLGFMSTVMRHEGMEERNSDFQVKLPRFQSXVHQGEEVMLMLVTWIFVLLRSMVLWQLASMISLVKLVAMLGFIQPKKTEKNVFVVASGHTEHKPQLLKYSCQIECRVKPTSPARVLWLLDRKHSSESQNEESSTKRDVGTAVMLSKPILALEFNCLKMQVEPPVVVSGKHG >KVH88043 pep supercontig:CcrdV1:scaffold_1715:85841:92964:-1 gene:Ccrd_024572 transcript:KVH88043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRRTASPVYPRKWSSESSTIAPASSSPARYHHSPRNSVEESQPPLSTPLTGRSSKSNSMLPLVPPSKTSLRTPMTIPPIDPPTKKQKDKRFQLEIGEINLKSTGDGREASALHDELDMLQEENENVLEKLRFAEESCQDAETRVKELEKQAALKEXKKAKDGVNEEVVSLRSEVKKAKDEATVVMDQLQGIESEVKALHSMTQRMVLTQSEMEEVVLKRCWLARYWGLAVFALILQCLSMSTGHLWHPFPSKLWFQPGRRQRKNAGNKASNCSLALTENSQYWVLTLL >KVH88045 pep supercontig:CcrdV1:scaffold_1715:75996:85805:-1 gene:Ccrd_024570 transcript:KVH88045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHAFVLSHYPTGDGNLERRSKLVQDLNDLTSEGNIESMLSVEMGLKELACLKVEDGIVLALAQLRRLSSARQTMSDVKSSGDPKFMEAFELSPEESEDVLFKENDQILEELKEVSRKD >KVH91062 pep supercontig:CcrdV1:scaffold_1716:40494:41930:1 gene:Ccrd_006921 transcript:KVH91062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MVAPPSSSSSSSSFFFLLLLLLLLSSATSLQFQTLIPHSLPNPTRFSPDSQTLTSSTFQDQDQNENAISLDLHHLDTLSLSFNATPETLFHHRLRRDSIRVKTLTSLANSTATGRRTKADFSSSVISGLAQGSGEYFTRIGIGTPPRYAYMVLDTGSDVVWIQCSPCRRCYTQSDPIFDPTKSKTFGGVACGTPLCNRLDSPGCNKRKKCMYQVSYGDGSFTVGEFSTETLTFRKTKVNNVAFGCGHDNEGLFVGAAGLLGLGRGKLSFPNQAGRQFGSKFSYCLVDRSMSAKPSSLVFGNAAVSRVARFTPLLSNPKLDTFYYVGLMGVSVGGVRVPGISSSLFELDNAGNGGVIIDSGTSVTRLTQPAYVALRNAFLSGASHLKRGPNFSLFDACFDLSGKTEVKVPTVVMHFRGADVSLPASNYLIPVDSGGSFCFAFAGTASGLSIIGNIQQQGFRVVYDLAGSRVGFAARGCA >KVH91061 pep supercontig:CcrdV1:scaffold_1716:68781:72288:1 gene:Ccrd_006926 transcript:KVH91061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGFLVGLFEVVKGKENLDMDVVKWKEGVAKQRIREARLQVVDFLLLLIAGVSLRTLAKVSDKNFWVVRYTCTIIAFLAGAASNGVDSYWKPERRQGFSCNPSQEDVCLSWDLEAFLLANAKSLT >KVH91063 pep supercontig:CcrdV1:scaffold_1716:31232:33434:1 gene:Ccrd_006920 transcript:KVH91063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MGDDNWNDEEKAIVASVLGTRAFDYLISSSLGNECSLTSLENHDNLQSKLSDLVDNPNSDSFSWNYAIFWQISRSKSGDLVLVWGDGSCREPREGEEFEIARILSIRLEDENQQRMRKRVLQKLHVLFGGLDEDNYAFGLDRVTDTEMFFLISMYFSFPQGEGGPGKCFLSGKHLWYSDALKSTYDYCFRSNLSKSAGIQTVVLVPTDVGVVELGSIRSIPESMELLHSIRSSFSSKPRDALVMGSDRGVTAQLTSSLVGEKKKEDARGAHFLDLGLVDHPVKASKNLRPDMSLSLRQPQYREKLAVRKAEDQRPPWEGFPVGNPRLLISNSRNRITGSNWAQLSSPQEEFQLNSFRSQKPSTEMQIDFTGAVSRPSVLSRPVSGDSEISDVEASGRDERAAVTAVADDKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMESGRERGGSSCMEKSESGLEKIEIESVEDEVTVRVSCPLDRHPVCKVIQALKEGEMRVIDSKMAAGNDKVFHIFVIKSQGAEQQVTKEKLMAVFSKESNSCLHPLA >KVH91068 pep supercontig:CcrdV1:scaffold_1716:3343:5949:1 gene:Ccrd_006916 transcript:KVH91068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MRPIFCGNFEYETRQSDLERLFGKYGRVDRVDMKSVHLARLLHPCVHQIFTSLQVSYFLSSFCEGKETSFGCVLPVWSSVSTFSFLFAGFLTVFSITGFAFVYYEDERDAEDAIHALDNSPFGYDRRRLSVEWARGERGRHRDGSKSVANQRPTKTLFVINFDPIRTRVRDIEKHFEPYGKVLHVRIRRNFAFVQFETQEDATKALECTHMSKILDRVVSVEYALRDDSERGDRSMSPRRDYGRRGDSPPLYRRSPSPYKRGRPSPDYGRARSPVYDRYNGPSYDRPRSPGYGRYRSRSPVRRSRT >KVH91065 pep supercontig:CcrdV1:scaffold_1716:50561:55503:1 gene:Ccrd_006922 transcript:KVH91065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MEYDSIQCISTSDGLAEEEIQHHHHQFSSSKIHNVNVVPSTTTSVHDLLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLEFPCKYCPLGCPEIFPYYSKLKHELICNFRPYSCPYAGSECSVVGDIPYLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVFNCFGQYFCLHFEAFQLSMAPVYMAFVRFMGDEEDARNYSYSLEVGGNGRKLIWEGTPRSIRDGHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEPPNPDAAVGPCIPNLSL >KVH91058 pep supercontig:CcrdV1:scaffold_1716:67082:69028:-1 gene:Ccrd_006925 transcript:KVH91058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein MGPVITYPSFHFTTSISRFSFPFTTSNKPTRNPSCISLSSNPQNPTSSWQKYTSPMKKFPILRSVLHGLRTLDDKTSMDQWVSDPDGLSCNYLQNGGIGMVLLSVTSTAKVRFSPFVATLAANPTFASGFFAWLMAQSLKVFLHFCFEKKLDLRIMCASGGMPSSHSALCTALTTSVAICHGVLNVIVEDLFQGHPISKRKLKELLGHTPSQVVAGAMLGILVACFCCQGCFGVI >KVH91069 pep supercontig:CcrdV1:scaffold_1716:6935:9087:-1 gene:Ccrd_006917 transcript:KVH91069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MLLSMHDDIISKAFQASHGRAFLFSHVMNVVSSIKQDRHLMTGLHNVADVHCSDCGEITLS >KVH91064 pep supercontig:CcrdV1:scaffold_1716:56188:61468:1 gene:Ccrd_006923 transcript:KVH91064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILKPVSTRNPQTLLHSITNSITIYRTKNPLNFRTPFFKFFLKPSPILSNFRLHSSRNLIVSAHFDRPTKRRKNHLRRKLIEQQVRQNRTINHDLASIPENPLPKIDDIDNSLSNLRILNDDIDYSESTLDYGKVSDGIDASKSKNVLWNQLESWADQYKADTQFWGIGSNPIFTVFQDSNGKVEKVDVNEDEILRRIQINPSMYNLKEVDHVKQVQDKISHAKFLAKEMEIGKNVLPPNSSVAKFVNSFGKSGFRDTIRSFTGKPGILPKLSKVGISVFCGFLVFLALKNFFRKEKGDKEEYSSLEKEMLRRKIKARMAKEKSTKGSVEVIQDSKEPGMVFTERPQLDKEELMDSIVKVKALNNNSIDFDGKIQEIRAMARRAREIEKTDGKRINGEGYESKKDEPNKKEVNSVEPTYFDVPQGDFSRIVSASSSVEKGHKENSDVSKLEVPNNDEGVAQGLEDKRSKLLDTEKLLEKKSVGAKSRIISSVKEAREYLHKKKIDKKEKNQEAEVSDFLEVPMVPKMATNGEKFDFSGSREPSRSKSSEDTNLGEKGNAFTKNADSKDEKEECVTGSDAKAGELGRANREKWMEDNFQEFEPIVEKIRGGFRKNYMVAREKVKEDINIVSELKMLELDENESEFEWMKDEKLREIVFQVRENELMGRDPFHLMDAEDKALFFKGLKEKVEKENEKLHALHEYLHSNIENLDYGADGISLYDPPEKIIPRWKGPTPATTMSQEFLDDYLDQRKALFAETLGNSNLIKTDPQKLPQETDEKVESGNLKSSRTVIEGSDGSTKPGKKSGKEFWQHTKKWSRGFIESYNAENDPETKAVMKDIGKDLDRWITEKEIQEAANIMDKVPDKGKIFIAEKINKLKREMELFGPQAVVSKYSEYGDEEEIDYYWWLDLPFLLCIELYIDGDGDQRIGFYSLEMASDLELDPKPHHIIAFEDAGDCKNLCYIIQAHLEMLGNGTAFVVPQSPKDTYQEARANGFNVTVIRKGEVKLDVDQTLEEVEEKIVEMGSKMYHDKIMKGRNVDIESIMKGVFGMKKPSKRRRSRKKLKKPSK >KVH91057 pep supercontig:CcrdV1:scaffold_1716:87271:87807:1 gene:Ccrd_006928 transcript:KVH91057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTNTFILSSLLVIFLASYKTNASVQEDNEFLDAHNKARRRAGMPPFTYDQTLAKYARKYALSHANDCALRHSNGPYGENLFWGSADGSRLKWTPKDAVYAWIREHKYYDKKTKSCIPGKKCGHYTQIMWRDTKKVGCALSYCNNKSTYVACEYDPPGNFEGLSPFERHDPFIYGKT >KVH91067 pep supercontig:CcrdV1:scaffold_1716:14234:17825:-1 gene:Ccrd_006919 transcript:KVH91067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 100 protein MSSSLSDSENNIPSTSTDELQFHMDDDQIMIETSAAEKSNASVQQDDTTDEIWRNIENSRVRFPMTRDDHRQGLKRSTTLKSPNLTGPEGEVVIPTTDHDSSKQSASASPMGTDGVGGGRDGESSDKDKSGRGSLDNKTILKPSPSVGTSLLNLVTEATSAKSNGTESSSSSMMDEAWERLRSSFVYFQKKPVGTLAALDPSAEALNYNQAILQVFVRDFVPTALACLMRVPDPEPEIVKNFLLKTLHLQGWKKTIDNFTLGEGVMPASFKVMYDSYQEKDVLKADFGGSAIGRVAPVDSGFWWIILLRSYTKCTGDYTLSERPEVQKGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFFALRCAQQMLKQDSDSNRLIERIERRITALSYHIRNYYWLDFTQLNNIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPLRGGYFIGNVSPARMDFRWFLIGNCIAILSSLATLAQATAIMDLVEERWEDLIGEMPLKIAYPALEGYQWRIVTGCDPKNIRWSYHNGGSWPVLLWLLTAACIKAGRPQIAKRAIELVEQRLSKDGWPEYYDGKKGRYIGKQARKYQTWSISGYLVAKLMIENPSNLAMISLEEDKKIEKPRLTRSASWSCSR >KVH91060 pep supercontig:CcrdV1:scaffold_1716:73645:86354:1 gene:Ccrd_006927 transcript:KVH91060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin KLKKLSILARCPLSQSRSDQIRSPSLSPTSVCCVYLLRSNRYLRSPSPQKMENLINLVNKLQRACTALGDFGEGSSLPTLWDALPTIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDEGREYAEFAHQPRKRFTDFAAVRKEIADETDRETGRSKQISSVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQSDNIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKIAREVDPQGERTFGVLTKIDLMDKGTDAVDMLEGKSYKLKFPWIGVVNRSQADINKSVDMIAARRREREYFANTPEYKHLASKMGSEHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELSRLGKPIATDAGGKLYMIMEICRAFDQTFKEHLDGIRPGGDKVYSIFDNQLPAALKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESTLITIKGPAEAAVDAVHGILKDLVHKSMNETAELKQYPSLRAEVLNAACESLDKMRNESKRATIQLVDMECSYLTVDFFRKLPQDIEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVHMVVGTLRHSIPKSVGKQLAKLLDEDPAIMQRRMDLAKRLELYRSAQAEVDAVAWAK >KVH91066 pep supercontig:CcrdV1:scaffold_1716:10295:11716:1 gene:Ccrd_006918 transcript:KVH91066 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRAT-like domain-containing protein MGFLSNKLQRTQIKPGHHIYTYRAVFAYSHHGIFVGGNKVVHFTRDPHTSSSSNTSLDVMSETPTSCSTYPDCGFRQPKSGVVLSCLDCFLRDGDLYSFEYGVTPTVFLGRVRGGTCTTAVSDGVDTVIHRAMRLLQNGFGKYDVFQNNCEDFALYCKTGLLIMDKVGCGRSGQAASVLGAPLAALLSSSLKLLIPSSAGVATVTAGMYCMSRYASDIGVRRDVVKVGVEDLGVKLRWMDDLKEDESI >KVH91059 pep supercontig:CcrdV1:scaffold_1716:62329:66007:-1 gene:Ccrd_006924 transcript:KVH91059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-/4-kinase, catalytic domain-containing protein MSSAGVLAINPLCENMILPPMPVHTQDFIVIHLAMSGSVVPIRVLESDSIASVKLRIQSYKGFVVKNQKLVCEGRELARRDSSVKDYGVADGNVIHLVVKLSDLQMINVKTSSGKEFTFHVDRNRDVGYVKKQLAKSKKGLIDTDEQDILCEGEELEDETLIHDIRKHNNDGVIHLFVRKSAKIRVTPMEKNFELAIVAPQVNDSREYDSADRHHTKGDDGTGYCGLVARDPMNREFWLEPIIVNPKAELPSVLFDLINSTYQGLGKGNYPKRSSEGTGGAYLMMDALGNKYVSVFKPIDEEPMAVNNPRGLPLSLNGEGLKKGTTVGEGALREVAAYLLDHPKSGRRSFSGEYKGFAGVPPTILATCMHKGFNHPESLKEKIGSLQMFMENSGSCEDMGPSAFPVDEVHKISVLDIRMANADRHAGNILVSKGEDGRFVLIPIDHGYCLPHSFEDCTFDWLYWPQARQRFSPETVDYINSLDAEEDIALLNFYGWNLPLECARTLRISTMLLKKGVEKGLSPFAIGSIMCRENLNKQSVIEEIVEEADDCVLPGSSEAAFMETVSEIMDRRLDSIAEL >KVI03361 pep supercontig:CcrdV1:scaffold_1718:63231:64166:-1 gene:Ccrd_018340 transcript:KVI03361 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase, subunit D ILGAQTPFFYIFRERELIYDLIEASIERVEGVGIIGGEEAINWGLSGPMLRASGRQWNLRKVDHYECYDEFDWEVQWQKEGDSLARYLIRISEMTESIKIIQQALEGIPGGPYENLEIRRFDRVKDNVWNEFDYRFISKKPSPTFELSKQELYARVEAPKGELRIFLIGDKGVFPCRYKIHPLRFINL >KVI03359 pep supercontig:CcrdV1:scaffold_1718:84073:94800:-1 gene:Ccrd_018342 transcript:KVI03359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Engulfment/cell motility, ELMO MTSRTMRRRLLHGDVDGKKREHLITADLDDLNEPLLGNDEEYNPKQIEKLEAIIYDERRKERLHWTLLFSQLISEWAQWLANIVLGSGSFIARLWTLPSTAGSGQIKKFLPPILSPLQAYEYATQLSLLVKPPLQLSFSDPGSIRKLAARLRNLQKRLAVPFDGSCVEHQDALKQLWRLAYPNRELPELKSELWKEMGWQGSDPSTDFRGGGFISLENLIFFAQRYPCLRAGIYRKQSLLALASGMIRFQSKWNLSSKAMRCVEAFQNLLLKNEGNRADWEYPFAVAGINISFMLVQMLNIQSGTPTTSLGVRFLRFLSHDESAFDELFCVAFRLMDAHWLTKRGSEVHKNATGKGTCTRRCDMRERFTIIQSIKTMKKTV >KVI03360 pep supercontig:CcrdV1:scaffold_1718:96703:102377:-1 gene:Ccrd_018343 transcript:KVI03360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, cysteine-rich domain-containing protein MECALKISSSMSLPASFSSSKHLFRKSICFGDKRTRRSFLKINASSENGAARPSGSQLSSSSAVVHTHRRLSSLESLFCYDKAVPEEIIEKPVGLSLGEKNIGNKTRCPGCEAKGAILCATCSGSGLYVDSIMESQGIIVKVRCLGCGGTGNIMCSECGGRGHNGVA >KVI03363 pep supercontig:CcrdV1:scaffold_1718:37619:39895:1 gene:Ccrd_018337 transcript:KVI03363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDPRIRRFASSANGNGIKFGNQSITAKALDNPPRFENACSVYDPVNGNLDSVSVYDHNFRGIQFDRNFRGIQFDPPTSSSLVVSADELHEDCDLSDAILGYISQVLMEEDMEDKSCMLYESLDLQAAEKSFYDVLGKKYPPSPSYDEGLLSVDRYIESPSDYSSLDQNTYVGEFTNGSGYLHHESNLQSLGANDVSCRSVSSSNSGNNVIDGFIDSPARTFQAPEICDENQMMLKFNKGVEEANKFLPSDNKLLASFNGGESWPKVENSKGGELMVMVDGRNLQTWTRVRKSPCGEDDIGVEERSIKQAAIYQDSTLRSKEIDLILLCSQGQGDVALRSLRYTLQKETSKDKLKDKEPKCLTKGKGKGKGRSRKQKNTKEVIDLRTLLITCAQAIAADDRRNANELLKKIRQHASPFGDGSQRLAHCFADGLEARLAGTGSQIYRALVSKKTSATDYIKAYHLYIASSPFRKISNFASNRTIRDMAVAENATRIHIIDFGIHYGFQWPTFIQRILEKEGGPPRIRLTGIEFPQPGFRPAERIEETGLRLKEYASHFNVPFEYNPIAKRWEDVSVEDLKIDEGEFLVVNCMYRSKNLLDETVGVDSARNVVLDLIKKISPDIFIHGILNGSYNAPFFVTRFREALFHFSALFDMLETNVPRERSERMLLERELFGREALNVIACEGSERVERPETYKQWHARNLRAGLVSFPFSREIIKMAREKVGLYHKDFMIDVDNQWLLLGWKGRIIYAISCWKVE >KVI03364 pep supercontig:CcrdV1:scaffold_1718:30038:37496:-1 gene:Ccrd_018336 transcript:KVI03364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVVFDEKKESRREVSSVCPSTPLWNIDTPFLTGQFHQEVESTHGLAESKGNSVDLGIDYLITFCLYSLESEKPIGCYSASVLVK >KVI03366 pep supercontig:CcrdV1:scaffold_1718:59753:61733:-1 gene:Ccrd_018338 transcript:KVI03366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLESLNLSRLSPPFQFPTKLNPTSTPKLFFKSPLFTPISRKFQACLSSAIQHEVTEEEEEEENLETTQKEDNGKKLLFVLNLPWSFSVADVKNLLGECGPVADVEIINCEEHGKRGFAFVTVSSGEEALAAIKKLDSHDLFKVEYAKKATPPNELFADSHSRVVFSPSSGYGLLSFASKEEAESAISAFNHSKVKLSEYIANNYVILFFDLTPTAHHQDFGVSVATKPITPPSSSLISLPVPPKPPSTPPPISATNTHLQEKLLYLDSFGIDLIPLLTTHPPLISTSLSHIKSTISFLSSTIPLAPPALHRLISLCPEVLTLPLPSIISTITFLLREANVRTHHLRRVIHRRPRLLASDVKTRLRPTLYFLQGTIGISEINKHAHLLSSSVEDKLLPRIQYFNEKIGISYDDTILIFRRFPSLFCYSIKDNLEPKFNYFVVEMGRDLKELVEFPQYFSFSLENRIKPRHRRCVEKSVCLPLPGMLRLSEKRFLERLEECCGSSMPVRNSPFWYYTHHSDY >KVI03365 pep supercontig:CcrdV1:scaffold_1718:11848:18845:1 gene:Ccrd_018335 transcript:KVI03365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTPLRRFLQSLCDNSCWNYAVFWKLQQQSQMLLMWEDGYFGTSKGQDSMGNMFAETNFSGLEETTSFNTYNGTLGGDAVELAVAYMSTFQYALGDGVIGDVAYTGNCRWVFADSRSSEEFNTASIPEQTGNLPSYPSVWQHADEWLFQFAAGVKLPEDAQMANYIREECIAHQDATGYSDAFDTNQQFPHQSPSSLMHTMMRSLDEFPSSIANGISDKVNWSTHKPLTKSLVTPSDTWYSSHLTGKDFPEPSSGAHMGAIELPEPLHQSTNLVNSSMGMLGEYADGPLRSEILMKQPLVSDVSLFLSFPEESELHKALGPAFMGNTDDSFQHLSIGEDIYSSSNQLCNKDLINSSSKKTDGMLFDDEITRVHLGENCSIQTSGESSLSNLFGQFASLAKRKNVDGKSAFEEESSLFSNHVPPAVFSKVKNHTDSSSPSAISYEGVVDEFTEEEEQKNSYDMLHQGKGSKPSVASKRRGKPGAKQKPRPRDRQLIQDRLKDLRELCSIDGLLDRTVKHMLFLKSVGDRAIKLRQCVQPAASDCCLLLNFITHLSMDVIVYMLYLSFLLENESKRMSFQRIFRSKKLRNSRCSLWGRGSKNNTTPEEKGSQNGASWAYELGGDLKTCPIVVEDLQYPGHMIIEMICDESSRFFEIAEVIHGLELTILNGVMERRCGNTWASYIIEA >KVI03362 pep supercontig:CcrdV1:scaffold_1718:75926:81591:1 gene:Ccrd_018341 transcript:KVI03362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLEVPDIQLGIAVVVVTVAAYYLFNKKPKGCLDPENFKEFKLVKRTQLSHNVAKFRFALPKPTAVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDADVGYFELVIKMYPQGRMSHHFREMREGDYMAVKGPKGRFRYEPGQVRALGMIAGGSGITPMFQVARSILEDQTDRTKVHLIYANEELDALATNYPSRFKIYYVLNQPPEVWTGGVGFVSQEMIKEHLPGPAYDIKILRCGPPPMNKAMAAHLEALGYDSEMQFQF >KVI06718 pep supercontig:CcrdV1:scaffold_1719:10202:11427:-1 gene:Ccrd_014927 transcript:KVI06718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein of unknown function DUF303, acetylesterase MLPLLFFYACLFIFSATATATATATHLAIADAGKTIFLLAGQSNMAGRGGVVDDKWDGYVPPQSSPNPAILRLSADLNWQTATEPLHRDIDYLRACGVGPGMAFANSLLRHDSSIRVVGLVPCAVGGTNISEWARGGPLYSQLIRRAEAALEGGGTIKGLLWYQGESDTVFREDAELYKRRLERFFGHVALASGAGPYIERVREAQLGTWLVNLRTVDAKGLGLEPDGLHLTTPSQIKLGEMLAKAFPHGLHSPITSKASRMSSNYVKQFLNYLFLVFFIKILLDLNFFRFVF >KVI10625 pep supercontig:CcrdV1:scaffold_172:180256:187245:1 gene:Ccrd_010990 transcript:KVI10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco LS methyltransferase, substrate-binding domain-containing protein MAEASRIFQTTLMPSLSQSLSLRKPCCSQTISLRRTSPALIRCTISTSSSDTTKAAATTPQPIPWGCDVDSLDNAAALQKWLTDSGLPPQKMDIQKVDIGERGLVATNNIRKGEKLLFVPPSLVISANSEWSFPEAGEVLKRYDVPDWPLIATYLISEASLMKSSRWSNYISALPRQPYSLLYWTRAELDRYLEASQIRERAIERINNVTGTYNDLKARIFSKHPNLFPEEVFNMETFRWSFGILFSRMVRLPSLDGRVALVPWADMLNHSCDVETFLDYDKSTKGVVFTTDRPYQPGEQVFISYGRKSNGELLLSYGFVPRQGTNPRDSVELPLSQCYPLQVTGWPLELMAYAYLVVSPPSMIGKFEEMAAAASNKSTTNKDIRIPELEEQAFQFILDSCESSISKYTKFLQASGSMDLDITSPKQLNRKVFLKQLAVDLCNSEQRILFRAQYILRRRLRDMKRGELKALRLFDSVTKFFNK >KVI10620 pep supercontig:CcrdV1:scaffold_172:119683:122493:1 gene:Ccrd_010997 transcript:KVI10620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MGCQCDHCGEARSMVYCRSDAAYLCLSCDRIVHSANALSKRHSRTLVCDRCNSQPAVVRCIEEKVSLCQNCDWVGHNGSSLGSTTHSRQTLNCYSGCPSAVELSSIWSFMDSACEQGIGSMSIADNSQGPSRNNNNQDLREANGIESGNNSNISQSGTEDPEPFVDDGFYADFNMDEVDLSIENYEELFGVGHNDPEHLFAKDGIDSLFGGADSNCQGPYAAKESSTGHGNAVQPACSNAASADSLMSCKTEPNPCYARQHSNISFSSLTGESSAGEYQDCGASSMLLMGEPP >KVI10627 pep supercontig:CcrdV1:scaffold_172:93879:105610:1 gene:Ccrd_010998 transcript:KVI10627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLPIDDNSNTKSEEGTIAFHKKRARRVSFAENTSVHIFDRDEDSGTPLDRKPPNSPASAERNNEPNQLFWNVEEDDNDNNNEDDDMDEPGSRSPFLRLVGSPSSGGSTIGSANSNDEDNFFGPVSASFIRRDILDSAGSDGNHDQTMDSTAFSMHFRSLARSDSEAELKTSTGVHLSFEEKTPTQDFIPTNTGTPMRMTLAKKPNYQPSDSTSKSSTCSESNDMSIDGEYHYKYDYGELSPTSDALLAEGNKDLHVIAPSNDSILKSPRNTETTKEDGANFMDFSCDKDKEGNITHDMVNEIVSFEHNGLGVANDGSKFSPSKQIALGVYSHTSDAEISKSLLVGSSILATPIAMTKDLVKDAFQIKSSLDFSATSQGNHNPKNTIYLGDVIGKEEKSPFVRSVNSLTDKPSHIRLHGVSPSKSPSAVTSSYNRSSVFVRTELSKHGGSVASMQKSISKLRMLEASPFSAALNARLEDSTKKRSVVCPSKMTPLYTLLGKNDKPLLLNRMNDIMQSSSAAQKKRERVSLINMDDIGLETPKNGVSATHMRNFVNVASPMLIPSESTWFKEKLPTDLLSSADIIYGYKDNKSEVSLPQNLGYSPQKKLKIVNASEFKSSPLRDAKQFTKHNEPVKLVPSHVAGSGEKENVTNASLSTTAADRTDADRTDALYIEEIEKSSPIISIEKEMVDSREVFLNDVRFNSEMKENSTTLLKDVEREKFQRNFTGSEIARGEVKRPSLALHEGTDKQSCQKNLHDQFCQSPPSKTPYNVLHDDNVDSQMEENVPSPSPHRLSIGDVISDRQTEADAGMVEKTARTHRSPNLGRGYVGPELSSDNRISTIRHNLKHPTEINAILSKEMRELLSQSVDKFSLHSIDRLTGIVEQLLRSKTYQLFSEEIQSQKSVDASKYIRDNRAPEMKMLLCQFVHEKAKLQLLHVKRERLLSQSDSDNVTSMEQAIDHIDGRIATMTKSFHKSCKIKGEPSSTGTITFVNNYLMKQACCQMIRKDLQLWAVDNLENKNGCHSVVLNYLNLMTQRLTVNAVPVPSISILHTLNNVNISKTFKDMDAFTAFRFVFSTEIMQKHVSATSLAQETQVTGSCLGNLLDVVTEIQLARIELKNLISTRFCKPLAEQLELELCFFDSKHHRKATVTLDTSCLR >KVI10597 pep supercontig:CcrdV1:scaffold_172:252103:254870:1 gene:Ccrd_010986 transcript:KVI10597 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MMRFQTILTVIVVVSSMLITHVVGIRFVIDREECLSHNVEHDGDTVHFSFVVIKAETAWHFSEDGVDLVIKGPSKEVVYAVHDKTSEKHEFHAQKKGLYHFCFTNKSPYHETIDFDIQVVNEKMGRRAVHKALIESAALIGASVLQVYLLQRLFERKLRTSRV >KVI10612 pep supercontig:CcrdV1:scaffold_172:409908:413689:1 gene:Ccrd_010972 transcript:KVI10612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease, phosphorolytic domain 1 MFLVDVSILYFCNVSAIGYYVGMVGLSVGEKHFIQGGIAQDLRTDGRKRLTYRPIFVETGVIAQANGSARVKMGRTEVIVSVKAELGRPSSSAPDKGKVSIYIDCSPTAAPQFEGRGGEELSMELSAALRQCLLGGKSGAGAGINLSSLSIVDGKICWDLYIDGLVISMDGNILDALGAAIKAALSNTGIPKVNVASAAPSDEQPEVDVSDEEFLQFDTTAVPVIITLTKIGRHYIVDATSEEESQMSSAVSVSINRQGLICGLTKRGGTGLDPSVILDMISVAKHVSEQLMNKLDSEIAAAEAMEEES >KVI10600 pep supercontig:CcrdV1:scaffold_172:301304:301984:-1 gene:Ccrd_010981 transcript:KVI10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDNESGGHNAGGELSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLAKYREMEGEKTTMGRQGEKDGSSGGGGGAAAGGGGSGGGVSSGGYNEGGGGMYGGMQNNVMMGRHHHQQMYNSGSYHQMGLGLGSPKGSLGRSGSIGGGSGGAATVKPR >KVI10609 pep supercontig:CcrdV1:scaffold_172:415493:418374:1 gene:Ccrd_010971 transcript:KVI10609 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MESNIEEALRAKESAEKLFAIKDFTGAKQYALKAQAVCPQLEGISQMVATFEIYAATETKINGEIDLYSVLGLGPSADKSVLKKQYKKMAVLLHPDKNKTKGADEAFKLVSEAWSVLSDSTKRNSYDIRRNKHLSATVAQSNLSSVYGTTGVPGFDSSSKNPASVSRLDTFWTVCTSCRVQYEYLRKYVNKRLSCKNCRGVFVAVETGAAPVTYCPWSYTAENGYANHSYAPATYIPNSSVCFTGNGSSAFHSAHGSEYASNVSFQWNTSPGASTGVSDPNLVHKSNGNTSRAKAYAKHQIRNSSANTGTSEIPAVRLGRPPKKRKIEGAEGPQNPNGEMLFRTDPEMVVTNGNIISETTSRWYPVAPAFDARKLLIDKARSVIRFKLEEMKLASKNGKTLKKSNSMPITVPDPDFHDFDMDRSEEVFKPKQIWAIYDEEDGMPRLYCLIRQVISVKPFQLYISYLNSKTDTEFGAVKWIESGFTKSCGSFRVFHSDIVDSVNIFSHLLGREKAGRGGCVKIYPKRGDVWAVYRNWSVNWNRKTPKEVRHQYEMVEVLDDYSEELGVCVTSLMKLQGYKTVYQRDSNKNATRWIPRREMLRFSHQVPSCVLRGQALNLPDGCWDLDPAATPEELLRAAMEAEDNIAGVAMED >KVI10619 pep supercontig:CcrdV1:scaffold_172:43:957:-1 gene:Ccrd_011004 transcript:KVI10619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCFQHFNFLQKLFLSMLLIVTCTESLSSHDEECLALYLFKQTIPHAYFETHGFRKLDSWKIASNASDDGSSDCCLWDGVLCSNEEEDGHVIALDLSESFLYGHINSSSTLFNLVHLQRLNLAIIDFTGSQIPSQISRLKQLRTLNLSRSRFNGQVPTGISQLIHLSSLDLSGNPLQLRSPSLEKLVQNLDGLEELDLSGVDISSSVPHFMANFSSLSSIVLYNCSLQDEFPWAVLQLPKLKFFDVASNPSLSGSFPEFLNNSLLEHLDVLDTGFFGIVPESLSNLHHLTFLRLTSCFFSGNIP >KVI10602 pep supercontig:CcrdV1:scaffold_172:278645:283654:1 gene:Ccrd_010983 transcript:KVI10602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 6-like protein MYRFVFKKIRTNCIDGGRKILQSSICTTNFNPICGDFRPGAAEGLRDKARSAFQTRYRSSTNMVTNMEHSSKVSENEVDHVDMLNASYDAYEGVTVNIKNNMDENVFTTLLQTSISQWRQQGKKGVWLKLSLELVNLVKPAVKEGFWYHHAEPTYLMLVYWIPETNCTLPYNASHRVGVAAFALNSKGEVLVVQEKTGRFKGKGIWKLPTGVVEEGEDICTAAIREVKEETGIDTEFVEVLAFRQCHKSFFSKSDLMFVCMLKPTSHDIEKQDSEIEAAQWMPVEEYANQPFVKKHESFDRIAKICMGKKDDKFVGFTALPTSTATSAKKSYLYSNYQEHLSDS >KVI10598 pep supercontig:CcrdV1:scaffold_172:244953:250505:1 gene:Ccrd_010987 transcript:KVI10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGDADADVSNYLEYRSKKDEAWYTSAVVLEDGKQLRVKFRDFVHSYYDEVFSVADFSTKSELQEFLHRFRRLSEPIEDNECSRVIADMMVCATYKGDGSVRFFDAIVDSRAMTMRKAPWSGWGTNTFTSTAKCSTGWKKTVVSPSASDGAFLVHYKEHTPEKCLCTYLLVWQHGPEEGNITATSIEDICLIMPGAVDPKVTDFAKIVKEKLGLVYKRPFLSRKTSSNETLDELQARRGGFYPPLSDHDRDLGGVKETGCHHYIILENLEKDLSPSSMMEFLHVQTSITPQAFLFPSLSAETYARGAVVVDSRPKLKRIYEFLSNPNHFIVSSSGRPWVIAEAVLRTGTFDTNLQSCQPLCCKGAKDVLYFGEIDGIKHNFQWRGPLDIT >KVI10616 pep supercontig:CcrdV1:scaffold_172:7390:13141:-1 gene:Ccrd_011003 transcript:KVI10616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 VPIKLFLSPYELTPTHHNINNKFSLFFILIQIQTSSGAVAAVACGGRRGEARPTPTADYRLRGEAATQRLRACSSSSVSLCVLSTLQSPLSSSPLFSVNFVVIDKWLGLRSCSGFPDRSNEDNKVVDREVKRLKMNKVEEAAARVDMATKMPFAQFKSDHITKGKKNIKHQFRQGREPNRSPKEEKSWYNPGGARYSDSGEIVGQDARNGPAPIKP >KVI10605 pep supercontig:CcrdV1:scaffold_172:333318:352596:1 gene:Ccrd_010976 transcript:KVI10605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLYTEKGLMHNALEMFVEMFKSGRTKPDRLTYPFVVKACSALSMSQFGLSVHGLIISSGVDSNTFVGNSLLAMYMSFGENDSARQVFDEMDDKSVVSWNTLISGYLKNGFANESLRVFKEMVDAGVEIDNATVVSVLPSCAYLKALEVGIEVHGMVKENGLDENLFVRNALVDMYVKCGQMEDARAIFDETNGRDVVTWTSIINGYIVNGDPRSAVSLCPLMLLEGVRPNALTLASLLSACADLKYLKQGKSFHGWAMKNDLDSDVNVETGLVDMYAKCVLLAYSFRVFNRTSKMGTAPWNAILSGCTENGLGREAIMLFKEMRLSEGVAPNEATLKSLLPAYAITTDLRQVTGFHGYLIRSGFLSKSDLATGLIDIYSKCGALEQAHMSFNEVPSKERDIILWSVIIAGYGKHGNGEAALSLFNQMVRSGVEPNEVTFTSVLHGCSHSGLVDEGLSLFRFMVKDHKVDPRPYHYTCIIDLLGRAGRLEEAYDLITTMPTAPNHTVWGALLGACVVHEKVELGEVAAKWLFELEPENTAFVILLWEMATKPLNNIWIRRQQCPCGDWKCYIKYEGDDQTNGGSELVKSDGATPSLSDVIFTPYVGQIFKSDDEAFEYYCNFARKNGFSVRKARSTESQNLGVYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVDGVTQWYISQFSNIHNHELLEDDQVRLLPAYRKIQESDQERILLLTKAGFPVNRIVKVLELEKGVQPGQLPFLEKDVRNFVRTCKKTVQENDALLTEKREIDMLALLESCRTTAQRDDGFVYNYTTDENGKVENVSWAYGVSIRAFSVFGDVVTFETTYRSITYNMLLGVWFGIDNQGKAFLLGCVLLQDETSQSFSWALQAFIQFLRGNHPQTIVTDIDSGLRDAIATELPNTKHVVCIWHVLSKLSSWFSLPLGLQYSEFKSEFELLCHLENIEDFENQWNHLVARFGIGSDKHVVLLFSYRASWPVAYIRGYFLARTMTVDYMKSVDKFMKNILSPHSSFKNFFEQVSVAANVVFQNKERLSYLPIKTCLPLEEHARSILSPYAFNALQQELVLCMQYATTDMANGSYLVRHYNKLEGECLVIWIPDNEQVHCSCKEYEHSGILCRHSLRVLVLKNYFQIPEKYYPLRWRLDSSLVLSDDHITQDSSDEFSETFHSLTSILYSESLISKERFSYVHRELTQILDIVRNMHVFDETALEVAPNNVSEL >KVI10618 pep supercontig:CcrdV1:scaffold_172:56433:69204:1 gene:Ccrd_011001 transcript:KVI10618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSGQIPREISQLIQLSLLDLSRNKLELQSPSLGNLMQNLTPLEELDLSGVDISSSNEFPTTILQLPKLKVLDLGKNMDLTGRVPSEVANMTQLVRLTIGFNELTGTIPSLVSLSKLALLEFSNNKFENWSLPNWIGKLTELQELYGSRGNIFGEIPSFLSNLTKLRIFDMSHNSLSGHIPSSSFSSCTNHDLQVLEKWGKSNDQEAVFEKTLWFSFRYIFSPILVFVVYLEDHLPKHLRIEEVRAEVKNFTPADPPGK >KVI10622 pep supercontig:CcrdV1:scaffold_172:133602:137405:-1 gene:Ccrd_010995 transcript:KVI10622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGEEDGAFNHKKQTLIDEISAKIIHGDLLTKIHAAREIRSMIRNRNSSVNIRAKFAAAGVIQPLILMLYSRNYDARQVSLLALLNLASRNERNKEQIVTCGAIPPLVELLKFQNCSALRELATAAILTLSAAPPNKQTIANSGVIPLLVQILSCGSVQGRVDAVTALYNLLASKEEPTIVSDAKAVPPLINLLKECKKSSKFAEKTTALIEILSNSEEGRFAITNSEDGILTLVETIEDGSLVSTEHAVSALLALCLSCRSKYRELILNEGAIPGLLRLTVYGTNEAQDKARTLLDLLRDSPPEKRLSSSVLEKIVYDIAARVDGSEKTTETAKGLLQDMVQRSMDLSTNEIQIKASSSST >KVI10617 pep supercontig:CcrdV1:scaffold_172:68865:85055:-1 gene:Ccrd_011000 transcript:KVI10617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Got1/SFT2-like protein MYKTAQSWFTGGPSQTSSDLTTQPPSLLADWNAYAASSQSSQDLDSSSLGFDLEAAVRTANDKVSGTFNVVSKGVRDIPGNLQSATSNVPSGKSLMTFGILLAVGVFLIFTAVTVFLPVMVLVPQKFAICFTIGCALIIASFFALKGYRTQFSHMTSKERLPFTLGFIGSMMGTIYVSMVLHSYVLSVVFSLLQVISLSYYAISYFPGGSAGVKFFTSALTSSILRCFGSSTSTDKKITMHKTAQSWFSGGPSQTNSDLTTKPPSLLADWNAYAASSQSSQDLDSSGLGFDLEAAVRTANDKVSGTFNVVSKGVRDIPGNLQSATSNVPTGKSFMTFGILLAVGVFLVFIAVTVFLPVMVLVPQKFAICFTIGCALIIASFFALKGYRTQFAHMTSKERLPITLGFIGSMLGTIYVSMVLHSYILSVFFSLLQVISLSYYAISYFPGGSAGVKFFTSALTSSILRCFGR >KVI10608 pep supercontig:CcrdV1:scaffold_172:323248:329854:1 gene:Ccrd_010977 transcript:KVI10608 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAF domain-containing protein MSSKSTSRTHCSRSSSSRLKRDARVVSQTPIDAKLHVDFEESERQFDYSTSIDVNASTSTSNVPSSTVSAYLQKMQRGSLIQPFGCMIAIDDQTLNVLAYSENTLEMLDLSPHAVPSIEQQEALTFGTDVRTLFRSSSASALQKAANFAEVNLLNPILVHCRSSGKPFYAILHRNDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLQSGNISRMCDVLVREVSELTGYDRVMVYKFHEDEHGEVIAECRKPELEPYLGLHYPATDIPQASRFLFMKNKVRMISDCLAAPVKVIQSKNLAQPLSLSGSALRAPHGCHSQYMANMGSIASLVMSVTINDGDDDDDDDNESESGIDQQQKQKGKRLWGLVVCHHSTARFVPFPLRYACEFLIQVFAVQINKEVELTAQLREKHILKTQTVLCDMLLRDAPIGIFTQSPNVMDLVMCDGAALYYKNKFSLLGITPTETQIRDIVNWLLEEHLGSTGLSTDSLMDAGYPNASVLGRAVCGMAAVKITSKDFLFWFRSHTAKEVKWGGAKHDPEDKDDGRKMHPRSSFKAFLEVVKNRSLPWEDVEMDAIHSLQLILRGSLQDDEETGDNDKKIVSVPAVDTSIQRVDELRVVANEMVRLIETASVPIFAVDGNGNISGWNDKIAELTGLPLEQAVGVPLIEMVTDDSIDEVKVMVSSALEETNDHITLVTNACCSRDLNETIVGVCFVGQDLTAKKLITDKYTKVKGDYTSIVRSPCALIPPIFMMDEYGKCLEWNDAMQKLTGLKREEAKNRMLLGEVFTVGNLGCRVKGEDTLTRLRILLNEVLSGLDADKMMFGFFDQNGKYVEALLSANKRVDGDGNITGVLCFLHVTSPELQHAMMVQRMSEQAAFNSLTKVYMEMKCAEFKLGESLEVVLNQVTSLSRERKVEVVYDAPDQVLSLYVFGDNLRLQQVLSDFLSSALNFTPAFEGSSVLFRVTHRMEHIGAKIQVAHLEFRITHPAPGIPEKLIQDMFHHNRSASREGLGLYISQKLVKNMNGTVQYLREAERASFIVLVELPVAPSLTSDSKRSKRI >KVI10607 pep supercontig:CcrdV1:scaffold_172:394711:402697:1 gene:Ccrd_010974 transcript:KVI10607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator STERILE APETALA [Source:Projected from Arabidopsis thaliana (AT5G35770) UniProtKB/Swiss-Prot;Acc:Q9FKH1] MSTTSSSSSRDGGDGGNGGAGEFEGPSQSRQRFNSAVWPEPFLEALATQVAIDAALSFGRLAAAPALFNLFQVCRTWRTVSQSDLLWQTLTRRIWHRNHLLHPSWHDEYIYRHRTARNFRVRRCLYTTLHFPPNDDNTNDSLSCRCLALSDYHLAAGFSDGSVRLFHLLTRLHLSTFHPHQRNHLGPFSRAVCGIFFTDNRVVFASLDGDIHVASVNVPGAPRRAHLGDVVTDGVLVDFTGVPNRAFHVRNGNTEELVFIGGTLTDPDAVTGWHLLTDLSEQVGRIRITSEDRAVAFTSSKVLVFDLRSQMILGEEEFVRRINVGAADAYDNALMFVNSRGVGNVREVGSLEEMCRFMARGGVIGCMNGGYCIICCSGGGVRVWELNHGQYLYSFRERVGDRTSALIADE >KVI10595 pep supercontig:CcrdV1:scaffold_172:268114:274518:-1 gene:Ccrd_010984 transcript:KVI10595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MAAILASNSCYCRDVQLVNQGRTTDNLSFSRSISTLKLDRHECKPKIERFHRFHVEMQQTESPMKFGSNGQPAKLDTNGQPVKLGANGKPVNLGANGQPVKLGKNGQAITMVPTSEVVKNKPLSKQTAGVVNGSTQVVNGTSLVKRTTTPAPVKSVKVREPTGFLPSEELKVLPSDESFSWANENYNSVQRSIDVWSFVLSLRVRVLFDNAKWAYPGGFTEDKQKSRRRKTAAWLRECVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPAKAKSFIEKELGAPVNVIFKEFEERPIAAASLGQVHRAILHNGEKVVIKVQRPGLKKLFDIDLRNLKLVAEYFQRSESLGGPTRDWIGIYDECAKILYEEIDYINEGKNADKFRRDFRNIKWVRVPQVFWDYTAQKVLTLEYVPGIKINNLDAIKERGFSRSQISSHAIESYLIQVENLPLYSPPLTFIECKSFFHADPHPGNLAIDVDESLIYYDFGMMGEIKSFTRERLMDLFYAVYEKDAKKVMNSLISLEALQPTGDMSAVRRSVQFFLDNLLSQSPDQETTLAAIGEVSRIGYLLVPDFSFVKIAAPYAQELLDLKQQRRSGTQLVQEIQKQANDSERAARKATILQMATLYTVIGGTLVNIGVTFSSQGSQLIANGSFVAAGVFIALLLRSMQRVKKLDKFEKMI >KVI10599 pep supercontig:CcrdV1:scaffold_172:302006:302272:-1 gene:Ccrd_010980 transcript:KVI10599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHTSKESHYHPAVHVSLPHLPIQRYLHIPRHLFLIIKQTAIVPPRVTIDALLLPRSNDRRTLSPQLNASSIPQLLGAPASLSRPEF >KVI10604 pep supercontig:CcrdV1:scaffold_172:192851:204378:1 gene:Ccrd_010989 transcript:KVI10604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSCRITTFTVSNPNCSKLWSKKTSIKAFCSFRSLRVSVSGYPRGIGNSLLHSSSNSRFRLAASLELHGMKNEILVATPPSDSVVVDELKNKEWEIGVLKDEIAAMQGIRIRRTPPTGPPAHYVGTFEFLQNEDNTPRNKLEEIVWTKYVEVKEMKEKNALSSLKKALDNAPPLRDFIGSLKESYLRTGSPGLIAEVKKASPSRGVLRENFHPVEVAKAYEKGGAACLSVLTDEKYFQGSFENLVAIRNAGVKCPLLCKEFIVDAWQLYYARTKGADAVLLIAAVLPDIDIKYMLKICRKIGLAALVEVHDENEMDRMLAIDGIELIGINNRNLETFEVDISNTKKLLEGERGEKLRQKNIIVVGESGLFTPADIAYVQEAGVKAILVGESIVKQEDPTKGIRDLFGKDISA >KVI10603 pep supercontig:CcrdV1:scaffold_172:223480:241931:1 gene:Ccrd_010988 transcript:KVI10603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MLTVSDGGRANFTCISAQQPGLKDEDDTPRNNLKKALDSAPPSRDFRGVLKEIMKENNSIFSLKKALDNAPPSRDFRGALKVSYIRTGSPGLIAEVKKASPSRGVLREDFHAVEIAKAYESGGAACLSVLTDEEYFQGSFENLEDIRNSGVKEPLTLVKMQCPLLCKDFIVDVVQLYYARIKGADAVLLIAAILPDIDIKRMLKICKKIGLAALVEVHDENEMDRMLGIDGIELLGINNRDLETFEVDISITKKLLEGERGEKIQQKGIISIVKPKDPIQGIRKLFGKDISA >KVI10601 pep supercontig:CcrdV1:scaffold_172:292201:293400:-1 gene:Ccrd_010982 transcript:KVI10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MKTTSAIPLCFLLLIFLSTTTNAHNITHILAKNPEFSTFNHYLTLTHLAGEINRRQTITVCAVDNAAMSALIAKGLSLVTIKNVLSLHVFADYFGSKKLHEVTKGSTSTATMYQATGEAPGTTGYVHITDVKGGKVRFTPEDNPTQTDVVYVKSILEMPYNISVIQISEILQSPEAEAPTSAPDLNLTSLLQRDGCKAFYNLLSTSGAIGTFLSTVDGGVTVFCPSATAVAAFAPKYKNLTAEEKTSVLLYHGVPTYNSMGMLRSSNGLMNTLATEGANKYDFTVKNDGEDVKLKTKVVTAAVTGTVIDEEPVALYKIDKVLLPRELFKGTVEADEPAPAPKGAKKKKKGAKEDDDADSPASDSSDDDDSDDVADQKASSGERLASSAVMAACLLLAFI >KVI10621 pep supercontig:CcrdV1:scaffold_172:130660:132304:1 gene:Ccrd_010996 transcript:KVI10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MECHHHQPLSTIPHHPAAPLPPLLLSPQPPRFFLAGNKFLKTHLISSFKFNNSNLNLLLSISELNNDMGLSPYSNPSDAGVLCVILVNTAMSISIMKEILCSILHVVGIRLASSNPAFTESLEPRGSPSEVYMEEFRNQTPSLRYISLCRATNQECSVCLTEFKPDAQINHLSCGHVFHKSCLEKWLKYWNITCPLCRSHMMIPKEVEENTCPM >KVI10596 pep supercontig:CcrdV1:scaffold_172:255481:261587:-1 gene:Ccrd_010985 transcript:KVI10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase MAPAAAVDGSDKIKPRDVCIVGVARTPLGGFLGSLSTLPATKLGSIAIECALKRANVDPSLVEEVYFGNVLGANLGQGPARQAALGAGIPNTVVSTSVNKVCASGMKATMLAAQSIQLGQNDIVVAGGMESMSNVPKYIAEARKGSKFGHDTLVDGILKDGLWDVFNDFKMGNCAEICADTHGITREQQDDYAIQSFERGLAARDSGAFAWEIAPVEVPGPRGRPSTIVDKDDDLAKFDAAKLRKLRPAFKENGGSVTAGNSSGINDGAAALVLVSGEKALELGLHVIAKVSGYADAEQAPELFTTTPALAIPKAISRAGLDASQIDFYEINEAFAVVALANQKLLGLDSLNVHGGGVSLGHPLGCSGARILVTLLGVLKQKGGKYGAAGVCNGGGGASAFVVELV >KVI10606 pep supercontig:CcrdV1:scaffold_172:360621:364868:1 gene:Ccrd_010975 transcript:KVI10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MATQISPCSSSSTTFPPSSLNQDTFSSAKFFNFSTKSSSSRWVFKIHSRINPKNQFELKSSNGYPLNAISLQDVGSNSNMNQSSIKPLRRLFLISCYHCLLAFRVGAAEMPLAKELVSREQAESNLSVTIVGASGDLAKKKIFPALFALFYEDCLPQNFTIFGYARTKMTDEELREMISRTLTCRIDKRENCGDKMEEFLGRCFYHSGQYNSEEHFAELDSKLKQKEGGKVSNRLFYLSIPPDIFVDVVRCASGKASSKNGWTRVIVEKPFGRDAESSGELTRSLKQYLAEDQIFRYVL >KVI10624 pep supercontig:CcrdV1:scaffold_172:174781:178557:-1 gene:Ccrd_010991 transcript:KVI10624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFARTTLISCNFSSFHSQNPKIKLPFLNTSTLPLRLRIITARSKYPQFIQRGRLQICRNSIETQKSQDPDLEQENSMEIDGGQIGGGGGDRGGGRTTSFLIFLLWGGLMYYVFNLAPNQTPSTDMYLLKKLCYLTGDDGFQPNQVIVSIWNIMGLWPLVYSMLLMPSGRSSKGNVPVSLFLILSWFLGAYALILYFVIWRPPPPATEESELKRWPLNFLESKLTAGIHATTIDFALLSSFAPFWVYNDMTARKWNDKGFWLLPVSLIPFLGPALYVLLRPSLPAFTKED >KVI10613 pep supercontig:CcrdV1:scaffold_172:306748:307334:-1 gene:Ccrd_010979 transcript:KVI10613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKISISSSSATSLATKLHIPPKPSSFRSICPPRTNPPNHHFKLRAKLGGEDSELGKDGKKKFITKEQEPEQYWQTAGEREGENPMKTPLPYIIIFGMSTPFIILAIAFLNGWIKVPIR >KVI10615 pep supercontig:CcrdV1:scaffold_172:26385:50288:1 gene:Ccrd_011002 transcript:KVI10615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAFYFSFRQTISFXYVLFMITIIVTCRDPLLTLDEEFINLSDCWLQNEFPTTILQLPKLKVLDLGKNMDLTGSLPEFRNNSLLEHLILWSTSFSGDIPESIGSLTHLITLDLSLCFFSGPLPSEVANMTQLVQLTIGFNEFTGTIPSLVSLSKLVVLEFSNNKFENWSQPNWIEKLTELEELYGSRGNIFGEIPSFISNLTKLRIFDMSRNSLSGHIPSSFMNLTQLRFVDLANNQLQGPIPSLFSNFKSLQILRLNDNIFSGKVYLDKFLGLHQLKSLFVGFNKISIVDTNNVNATLLELEDLGLASCNLKEFPTFLISQRKLTTLFLDHNKIDGLVPVWIWKSSLETLKTIDLSYNLIIGFHRHPRVLLWVRLETLILSHNKLRGWVPVPPQSVIIYDVSDNNLTGEVPSLICEVRSLRLLDMSSNSMTGRLPPCLGSLSNSLSVLDLKRNKFHGSIINTFSHRCRLKRLDLSENQFQGRVPRSLANCTHLVVLSLGDNTFDDVFPSWMGSLVELQVLILRSNKFHGAMEGPIDDSSQFPKMRIIDLSNNGFSGRLPHKYFQIWNAMKSVYAGKSSVMQIEIMGTVFVHKGEWPYSMTLVNKGVKTEYQRILDIFTAIDLSCNNFKGKIPESLHDLQGLESLNLSNNHLTGRVLPSLENLKNLESLDLSQNELSGELPQELLQLGFLAILNVSFNHLXGRIPEGKQFNTFEXXSYVGNPGLCGKPLSKVCRNSVATSDHNKSESLSFLRGRVDWMVTFLGLGSGMVVGIVIGNILYTRYVQSFIERFRKKNDGSIRPLVTCRDPLLTLNEECLVLFQFKQSILHQDSSFRVPQFWKFASWNDRVVCGDHGHHVIGLDLSKSFLRGPINSTTFLFRLVHLQTLNLAKNDFAESQIPSEIGLLTQLRSLNLSASGFSGQIPREISQLIQLSLLDLSRNTLELQSPSLENLVQNLTRLEELDLSGVDISSSVPDFLGNFSSLRFINLSDCWLQNEFPTTILQLPKLKVLDLGKNMDLTGSLPEFRNNSLLEHLILWSTSFSGDIPESIGSLTHLITLDLSLCFFSGPLPSEVANMTQLVQLTIGFNEFTGTIPSLVSLSKLVVLEFSNNKFENWSQPNWIEKLTELEELYGSRGNIFGEIPSFISNLTKLRIFDMSRNSLSGHIPSSFMNLTQLRFVDLANNQLQGPIPSLFSNFKSLQILRLNDNIFSGKVYLDKFLGLHQLKSLFVGFNKISIVDTNNVNATLLELEDLGLASCNLKEFPTFLISQRKLTTLFLDHNKIDGLVPVWIWKSSQETLKTIDLSYNLITGFHRHPRVLLWVRLETLILSHNKLRGWVPVPPQSVIVYDVSDNDLTGEVPSLICEVRSLRLLDMSSNSMTGRLPPCLGNLSNSLSVLDLKRNKFHGSIINTFSHRCRLKRLDLSENQFQGRVPRSLANCTHLVVLSLGDNSFDDVFRSWMGSLVKLQVLILRSNKFHGAMEGPIDDSSQFPKMRIIDLSNNGFSGRLPHKYFQIWNAIKSVYAGKSSVMQIEIAVTLFVHQNEWPYSMTLVNKCVKTEYQCILDIFTAIDLSCNNFKGKIPESLHDLQGLESLNLSNNHLTGRVLPSLENLKNLESLDLSQNELSGELPQELLQLGFLAILNVSFNHLNGRIPKGKQFNTFEDNSYVGNPELCGKPLSKVCRNSVATTSPSTSAHNKSESLSFLRGRVDWMVTFLGLGSGMVVGIVIGNILYTSFLRGPINSTTLLFRLVHLQTLNLAENDFLESQIPSEIGRLKQLRSLNLSASGFSGQIPREISQLIQLSLLDLSSNTLELQSPSLENLVQNLTRLEDLDLSGVDIRSSVPGFLGNFSSLRFINLSHCWLQNEFPTTILQLPKLKFLNLGKNMDLTGSLPEFHNNSLLEHLILWNTSFSGDIPESIGSLTHLITLDLSNCLFSGPLPSEVANMTQLVQLTIGFNEFTGTIPSLASISKLHLLEFSNNKFENWILPNWIGKLTELKQLYGSRGNIFGEIPSFLSNLTKLRIFDMPSNSLSGHIPSSFMNMTQLTFVDLSENQLQGPIPSLFSNFKSLQILRLNDNIFSGKVYLDKFLGLHQLKSLFVDNSYVGNPGLCGKPLSKVCRNSVATSSPSTSDHNKSESLSFQRGRVDWMVISLGLGSGMVVGIIIGNILYTRASLSDSGRRTTVR >KVI10614 pep supercontig:CcrdV1:scaffold_172:314264:315592:1 gene:Ccrd_010978 transcript:KVI10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyltransferase II MSRNYIDRAMASYKKPRIRDGAVRRFLFVVSLTLAGVLLLFYLVGTNSGSELLKENSIEFDSSSGVSSNVSGLFESIKLPKHNYLSKMLEKMNQLPPRNMDLYPNLAKDRIVIVLYVHNRPQYLKLVVDSLSRVSGINETLLIVSHDGYFESMNKIVEGIKFCQVKQVFAPYSPHIFSNSFPGVSPNDCKEKDDPIEKNCEGSTDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETIGHSGDVLFIEEDHFIFPNAYQNLKILTELKPTKCPDCYAANLAPSNVKSRGEGWDSLIAERMGNVGYTFNRTVWRKIHRKAKEFCFFDDYNWDITMWVTVYPSFGGSVYSMRGPRASAVHFGKCGLHQGQGENAACVDNGVAKIDTEEIDKVANIKPEWGVHVFRNQDGYQAGFRGWGGWGDKRDHQLCLDFAKMYHLRRKASAA >KVI10628 pep supercontig:CcrdV1:scaffold_172:140973:150667:-1 gene:Ccrd_010994 transcript:KVI10628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1296 MSGGRVSIPNNVRKTIQNIKEITGNHSEDEIYAMLKDCSMDPNETAQKLLLQDPFHEVKRKRDRKKENLNKESTEPKWRPGMQGRGNRGGRGNYSSRHSSNGGGRTSLSAKENGINHGAEDRTNPSMPQGQKNKETFAVSSSSRAVADGSSDIISENTSVVSAVHSSVGSDKKPSEVTQLPPIEASKSTTVALGSGSAHGPLISSSNYASASKTPSPSPGVYLSDSDPILMPSQDSRFPVGTIRREVGSQRTPVEQIHETPLEIKSIASGSEGGNSSMQGKAPNELQEVGKNLLLESPRPGTSSHVSFSIARPSSNYNNRLQQAIGQQKVGPGMEWKPKPTNPILAQGTVSSESIKVPAVSVKGHTPTPSASSNLDSKDATSELERKLEESHISDDQHVIIPNHLHVPEAEKLGFCFGSFDASFGLNASSTSNGPVTDKSSEASEEVDESIEQQSRTQNALATADERDRPDRPTSFGNVPENLPAEGDVSSNAAPEYRESKQETSLPTSGHQYPVVHTSPNFSFGFMPPMIGSQLTSFENNESQSRDGSHVPSFVVQQPFDPASYYAQFYRPGADNDGRLSPFHSTGVPTKYNGNVAVLSPQASQSSQEVGNSLMLSAAGPTPIATQTAGVMQNSIAVTQQPLPVFRQPTGVHLPHYPPNYIPYGPYFSPFYVPPPAIHQFLSNGAFPQQHQAGSMYPAPPVATPKYPLPQYKPGSNAGNSTHIGMAGNYGPYGSPPASYNPGSATTAGNSTSNEDLGGSQFKENNVYITGQQSEGSGVWIAAPGRDMASSFYNLPQGGQVAYTPTQAGHGAFASIYHPAQPVTTGAVHPLLQQSQTLAAAGGGGGVDMAGGGGVYQQPQPAQINWPNNY >KVI10626 pep supercontig:CcrdV1:scaffold_172:88019:89549:1 gene:Ccrd_010999 transcript:KVI10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLQFVLLSVDVLHLGIHSSMLPYHHNLLINLFPLRLAELTGASTARHRVFFLHLQLAELTGASTTRQ >KVI10610 pep supercontig:CcrdV1:scaffold_172:421238:421600:1 gene:Ccrd_010970 transcript:KVI10610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYILGARSVADEQVRIASTKIDGIVPKKAIMIRKRMKRMQWSLQRFLLILENESHYPKAYIEIERQAEVLKL >KVI10623 pep supercontig:CcrdV1:scaffold_172:166119:173376:1 gene:Ccrd_010992 transcript:KVI10623 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MGDSEGTPVSTPTTEEMAVGSFLSNFMEEKSYGAVVAVIIAIAVPLVISSMFMGKKKAKQRGVPVQVGGEAGFTMRNVRSSKLVDVPWEGATTMAALFEQSCKKHSQLRFLGTRKLIARDFVTGSDGRKFEKLHLGEYQWETYGQIFERVCDFASGLIQLGHDPDTRIAIFSDTRSEWLMAFEGCFRQNITVVTIYASLGDDALIHSLNETKVSTLICDSKLLQKVATVSSSLKTVKNVIYFENANIEASTNISDWKISSFTEVEKMGKKNPVPAKLPIKKDIAVIMYTSGSTGLPKGVMMTHGNVVATAAAVMTVIPGIGTSDVYLAYLPLAHIFELAAETVMITAGMPIGYGSALTLTDTSNKVKKGTQGDASALKPTLMASVPAILDRVRDGVVKKVEEKGGLTTKLFNIAFKRRLMGVQGSWLGAWGLEKILWDAIVFKKIQSLLGGKIRFMLCGGAPLAGDTQRFINVCMGAPIGQGYGLTETCAGAAFSEADDSSVGRLISWDEGGYLTSDKPMPRGEVVVGGFSVTAGYFNNEEKTNEVYKVDESGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGDYCVALVVPARQVLENWAQSAGISYKDFAELCGKKEAVSEVAKESKLDKFENPAKIKLMPDPWTPESGLVTAALKLKREQLKTKFKDDLQKLYD >KVI10611 pep supercontig:CcrdV1:scaffold_172:408145:413076:-1 gene:Ccrd_010973 transcript:KVI10611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTAVVSNCRNSSSLTSTSGCSSEGAAEATLTFGIPVNGTLLLLIDSIPAPLLPPKRHCRRAAESSIDNSSPPLPCAEELGLPSSACNQASYIALDKL >KVH96831 pep supercontig:CcrdV1:scaffold_1721:67946:68810:1 gene:Ccrd_001078 transcript:KVH96831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MAKNASIFVKLGAILSLFLMIVVLAESRATLVDLTVACDKVIGVKAGDDCTSISQSVKLSLASFLTINPNINCVSIFVGQWVCVDGSVSK >KVH96830 pep supercontig:CcrdV1:scaffold_1721:46972:49202:-1 gene:Ccrd_001077 transcript:KVH96830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MSKFPDDEKFKQYKKQLDDMFNEGACNTTHDTHSSGLKDHSTAKNDGQHSLDIVVSQPSGFNEKPLPKIWLNPGFIEAVDKVVDNIISTSKTKRPYTAITPPKFDLGISLIKQSEPLSMVLHEEAECIERCPSVERCNVSEDAKKYQVERATRRELKLGDHLRSPFVIRAVDLNMTPEERKIHEWAVAGLGGKYELLFSTPNDTKLHRHAIESLGSTTTIYVSVIDAWATLLNYEERYRNRDSLRRYFFNTKVMGDSMLRSKSVNHNTQYALFKKGLLSYAKNNWEVVQMCIVDLVFFPLLDKGHYYLVVFNLKNPSVVVIDNRYQEVSDDDQLLQMHLNAVEHPAGRELDEIGQERLRMDWRTQNNFDDCGVFAMRHMETYMGDVRTWKIGLTQEGKTQDIQIASLRMKNVAKLLVSNYNKKKEYVVKEVEKFQSMDEAIRKKLRKHADDTKTERLQI >KVI06593 pep supercontig:CcrdV1:scaffold_1722:19496:20492:1 gene:Ccrd_015058 transcript:KVI06593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPPPPLSLLCIISIVTLYLMICYAVIGFIAKSEVLRLDSHEVDRSLASGLSLEELKKLQSFSRRAHQGSEGPSKSVKS >KVI06590 pep supercontig:CcrdV1:scaffold_1722:69009:74908:-1 gene:Ccrd_015061 transcript:KVI06590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MGICISKTKNSSKTDVVLHSNQRSDDPNILYTKSPPPATQTPARKPPPLPSPKRVFKSNTILSKPFEDVKEHYTIGRELGRGQFGVTYLCTQISTNQKYACKSISKKKLITKGDKEDMRREIQIMQHLSGQANIVEFKGAYQDKLYVHLVMENKPPPQI >KVI06591 pep supercontig:CcrdV1:scaffold_1722:1915:18542:1 gene:Ccrd_015057 transcript:KVI06591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucose isomerase, conserved site-containing protein MAYYLSSSLVEWWFHLISRSVAALSSPILKVLYATLLSRLNGLIHGIFFQFLPPPPVSNFSSYLDTLSAQTHQIANIFSPFHHCSSQPFFNHLQMATLISDTAPWKDLKAHVAEIDKTHLRDLMTDTDRCKSMMLEFDGIFLDYSRQRTTVDTVSKLFTLAEAACLKEKINSMFNGEHINSTENRSVLHVALRASKDTVINSDGKNVVPDVWQVLDKIREFSDKVRNGSWVGATGKALTNVIAIGIGGSFLGPLFVHTALQTDPEASKSAGGRQLRFLANVDPVDVARNISGFDPETTLVVVVSKTFTTAETMLNARTLREWISSALGPQAVSKHMVAVSTNLKLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVIEKFLKGARSIDQHFQSTPFENNIPVLLGLLSIWNVSFLGYPARAILPYTQALEKLAPHIQQVSMESNGKGVSIDGVRLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLRDEVTPEQLQSENVASHLIPHKTFSGNRPSLSLLLPSLDAYRTGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLASHVRKQLHASRKKGESVEGFNFSTTKLLTRYLEASADVPSEPTTLLPKI >KVI06589 pep supercontig:CcrdV1:scaffold_1722:33879:44650:1 gene:Ccrd_015060 transcript:KVI06589 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF-like protein MAGKTDFDSSLHNSQISRDVQGSDGSIPLSPQWLLSKPGENKMGTITAEVPTSPSPTFGNRLEFMKSPGIDDHVHDTQKKKDVFRPSVLDSRERWRDEERDTNTFVRKDRWREGNKELEDSRKVDRWADNSSGRNYGESRRAPSERWADLGNKEANHDQRRESKWNTRWGPDDKETDGMRDKWTESGKDHEIPHDKGLSHPIHYAKDEREGDHPRPWRSNSALNRGRVEPPHYQSPSSNKQTPMFVHGRGRGENPNSTFSLGRGRGVPGGSPMNNSSTHLQSLGSFSDLGDRRQDETSPTRYSRTKLLDVYRTTDMKASGTMLDGSMLVSSLTQEEALEPLALISPTPEELFILKGIDKGDILSSGAPQITKDGSFGLNMVDVQSRRTKPGTENFHHRSREDLPLASDNYKDDTADSFKGNYSDYFEGLHHEKQVHLYETNFKADVVEDRQSFIDYKAKSEAIGDGGFHARNDDTGNTRESRVQGTSGHPGATWRSLSIGQHAQPNSLDLRDMSTDIRSRASEVGWSQPQKDTTNEWSSSLTSPSYVKDGLKWKVNENPVIKRQPPGIIDREQETRLLSQPSPEDLVLFYKDPQGAIQGPFTGSDIIGWFEAGYFGIDLLVRLANAPQDSPFATLGDVMPHLHAKARPPPGFSAAKQSEINDESSMPNFISSSKVQPGLGEKAMMKNDPRFQHGSTKEAENRFIESLMSSNISGGPLEKFGLSEGCLDVDLDFEICDNAFDKSSILLFCFMTEFVCFTGMQGYFGNSSSIPSVGTESGDNLYQLAKLMQLERQKSLSNPYSLWTGRDAAIGSKSDILQEPTIPQSNILSAVAENLRQQPLPPNAPNPEFMSILQGLSDRSTSAMSSGVSSWSNFPVQGLDPRQDKLEMLHGKHFPLQTAFAVQQRLQAQNLPSLSNLRTQGFDNLSGPLTAEKLLSSGLPQDQILSLLQQQHMSQIKPQAPIPTQQLSVLDEYLLLKQQQQKQEQLQQQQQQIMRQQLLSHVLTEQHSLQRFGEQSCGPMLSPGLPVGNSSVDHGFSSHEMLQMGLQNQVPNMQDVNTTNIAHMPAIVTPVDNPCAGSEDSIHLPHQLLGNTTQQKGEVATVPERGDEIGHQKEPDVQDQAVKIDLRTDENLKLATSNAALISEPADQSKKSLVIPSVGAVDNDVLGSARLDNLEVLPVGVIEVAKVQSKPSNETYGLKEAKDVEVREVKKSTEKKLKKHKSSKAQSSDVAKAVSKSQQTKQSEAEATKINEVEPKLVASSVEVGESKPSVVTEDHASMLGDVSQILVKQNEVGQAGSMPQDNTQVYTGQRAWKPAPGFKPKSLLEIQQEEQRRAQAQSQAQAEITVSDISTSLGSMNISTPWAGVVGNPDHKFVENKKDWTSSDLRVAESSQNQKSRSQLHDLLAGEVPVKSSEKNSATSDNIFHLPTPVSSQSDSIEEGNFIEAKETKKSRKKSAKAKAAGAKVSVSAAAEMPVNSSPNEKGKHSRQVLQEKDILPAVPSSPSLGDFVVWKGEAATPSPAPAWSTDPGKLARHTSLRDILKEQEKKVSSGQHQIPMPTQKSTSAQSNRGNGPSWSSSASSPAKAASPIQIISHGSSQSRNKVDDDLFWGPVDHTKQEAKRSDFPQLANQGSWAKSTMGKGISGGSISRQKSVGGRSAEAPLSSSPALKGKRDVLAKHSEAMDFRDWCKSECVRLLGTKDTSFLEFCLRQSRSEAEVLLKENLGSYDPDHEFIEKFLNYKDFLPTDVLEIAFLSGNDEKVVSGDVNDGFWDPESKRVAADGGSAKGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIDTVED >KVI06592 pep supercontig:CcrdV1:scaffold_1722:26061:33170:1 gene:Ccrd_015059 transcript:KVI06592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRNINVIMGLDLHTSLATYVFPETDHNATTRTRVGGLLSVSYHSCSDKDPQLSKRPPTTRIRKSTNATTESPSVNPSTFACFEDLPFPLSTRESTRECDRRVCRTMKALRDGGFGVASIGCTYALNICVLKFIFKN >KVH97118 pep supercontig:CcrdV1:scaffold_1724:15961:24517:1 gene:Ccrd_000776 transcript:KVH97118 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASKEDLVHVFXVTFPAQGHINPLLRLGKLIASKSNLLVTFSATKSIGNKMRKAGAAVSGDPTPVGNRGGMIRFEFFDDGCSEDDDKQVHDLDTYLPKLEAYGKRALTGIINHHAQNGRPVTCLIHNPFVPWVSELGEELXIPSAMLWVQSCACFSSYYHYENSLVPFPNEKQPDIDVQLPSMPVLKSDEIPXXLHPSTPYAFLRRXVLXQFKNLSKTFCVLMETFQELEGDLIKYMSQICPIRPVGPLFKNPLLESSSNISGDLIKADDCLEWLDXKQPSSXVYISFGSXVSLSQQQLTEMAYGVLNSGVSFLWVMRKGDTFNGVKXGRLPEGFLEAAGDRGMVVHWSPQAQVLTHPAVSXFVTHCGWNSTMEALSSGVPVVAFPQWGDQVTDAKYLVDEWNVGVRMCRGEAENRVIEREEVGECLKEATNGVKAVEMKKNALKWKKAAEEAVEDGGTSDRNIEEPATSAQLLLWTLVIVSFQNPKSLFFETPKSLSLFTLNGDRRQLSLSGLRLALSGSSFPRSGSPSLLFFLSGGQRISDGFLPLKTHH >KVH97125 pep supercontig:CcrdV1:scaffold_1724:105590:107974:-1 gene:Ccrd_000782 transcript:KVH97125 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MERLSITMYDFELISYVLISILPSQQYGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLTKYVGESELAVRTIFSRARTCSPCILFFDEVFLGSEN >KVH97120 pep supercontig:CcrdV1:scaffold_1724:555:956:1 gene:Ccrd_000774 transcript:KVH97120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSSEECERVCDGCGSKKFCSLYCFWVDQLFVFVRMSRETSVRELLLWFAVILDLNGNEMP >KVH97122 pep supercontig:CcrdV1:scaffold_1724:51713:72682:-1 gene:Ccrd_000778 transcript:KVH97122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGCFQSKTANVQSPDQVPESKPDPGDGEQGLSQQDQEQAVPAFKEFELAELRAATNGFSSELIVSESGEKAPNVVYRGKLRSNKVVAIKRFSKLSWPDPQQFVAEAAGVGKVRHNRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVAYHIAQALEHCNAENRKIYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNVLLLMDSSLEGQYADEDATAMVELASKCLQYEGRDRPDVKFLLTAVAPLQKQKEVASHVLMGLTKTPVVVPTLLSPLGKACARMDLTAVHDILLKTGYRDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFNGAVEYYSKLVSMMSVPSGTIFVRRALSYLMIGQPELALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGSSFEAKRQNSWRN >KVH97121 pep supercontig:CcrdV1:scaffold_1724:3146:7911:1 gene:Ccrd_000775 transcript:KVH97121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMASSSPTIYSSIHHKTKVNEIKTRTRWFISSSSKSNNSDDQQQVTRREIVLRSSELAVLGAIFHFSGNKPNYLGVQKNPPALALCPATNNCISTSENISDNVHYTPPWNYNPKEGRGSKKPVSKEVAMEELLEVFVDDVEFWFPPGKKPLALRVALEKKGWASEGDF >KVH97126 pep supercontig:CcrdV1:scaffold_1724:98434:98999:-1 gene:Ccrd_000781 transcript:KVH97126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAAMAAVEEKFMXCEAATAAALEGRSVSSPESSLEHTIKAIHFEQALRKISXSVSDKQKZYYHRLSESFKAT >KVH97124 pep supercontig:CcrdV1:scaffold_1724:108002:109312:-1 gene:Ccrd_000783 transcript:KVH97124 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, conserved site-containing protein MEVITGEDGGASDENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQRDMERCTVTQLMTCMDESHRIAKPDDTPKNAKTSECKLGYVLVIGATNRPDVVDPALRRPGRVPDENARVQILSVLTRNLKLEGAFDLVRISRATPGFVGADLAALVNKAGNLAMKRIIDGRKLELSRESTDTEPNED >KVH97127 pep supercontig:CcrdV1:scaffold_1724:88293:89807:1 gene:Ccrd_000780 transcript:KVH97127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MMFLLRRKQSFKSFLINPLHFTSNKSKGIIFPPKPSQEPQFRVLSFQPFSTRSSKFPEYEMPTVTWGVVQGRKEKLVSRVIICDYLKSIGIIPDELEDLELPSTVDVMRERVEFLQKIGLTIDDINEYPLMLGCSVRKNIIPVLGYLEKVGVQRSRMGEFVKKYPQVLHASVVVELVPVIRFLRGLDVERQDIGYVLMKYPELLGFKLEGTMSTSVAYLVSIGVNPRDIGPMVTQYPYFLGMXVGTMIKPLVEYLISLGLPKKVLARMFEKRAYVIGYDLEEMVRPNVDCLISFGIQREALASIIAQYPQILGLPLKAKLSSQQYFFNLKLKIDPEGFARVIERMPQLVSLSQKVIMKPVEFLVGRGISTEDVAKMIVKCPQLVALQVGIMKNSYYFFKSEMGRPLKELVEFPEFFTYGLESRIKPRYQRLQHKGIRSSLSWFLNCSDQRFEERLYADYIETEIEGPSFVMGGKLELPGDHNQMVSDEEEESDDEKLYRRTVSL >KVH97119 pep supercontig:CcrdV1:scaffold_1724:48875:50689:-1 gene:Ccrd_000777 transcript:KVH97119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSKVEEGPFHHSLDAIADSQPLILDHHHQEEEPPPPMEFCLPPLSEFDLFRDDDDEDSVSRTSSDADGLPPATNNTDVSSQKTNPRLHYVNPEPHISSQFYTFNMESHSLMIRCILDCRLANPDKIRAATPQAVLASWRSVWKDRNEDTAYLTAWKRIQDKLTVHRGQHGNEFLCFKNNSNNQFVSYTTQWQDIVMSFHGDADLKHLGLKETIERIKQVWTVGAKFYGIPESYIRACVAACPVCLDLNGSGGGRSKRRRFEYTESFDVPAKEVPNKLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPTSSSKKSRILKREPYASKRCRCGFRIRAIVPISNYNEKDKTFVYQEEGTAVFKLFAIHSGHEPGPLDGNARIMHRIVGHRGGVLMDQDLVYGMSEETDNENFMGKDDGDMQHLVFQQVKELKNEVGLLEGKIAKITKIQPELLSSVSQEMFDLVNKVRSVREYGSKSSGLLLENDLVDWSGHQRIYGDGKDTNLIEDDEDSFERTLGDVGSWDQISGDCRNEKDLVDDSCKPEKWLKSNGYDEKGILDCEDSKLSKPVRHDEPETDSLVGLHVENFYPENPKWYDSP >KVH97123 pep supercontig:CcrdV1:scaffold_1724:87934:88260:1 gene:Ccrd_000779 transcript:KVH97123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKTSRRQCMPFTXRHFSRVSPPPPDCSESVIRVSNNITHLGRPKAGYKPRQLLSLPPFPSHPLPGKNVSPDSHTTAISWMKYYFADVAGSVIQSHFNKGLVSTFPF >KVH88041 pep supercontig:CcrdV1:scaffold_1726:254:980:1 gene:Ccrd_024574 transcript:KVH88041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVLCEVAFCDTVELLIDITDSFPDLVFKFLEENPRPDETSFLRSYGVCGIAITLSYEMMNSNSAGFDAILRDIRGIFISAKATPNTSLPPIIADAIYDKRHDISEFGDIILNIRRLLGTHGKYSVHVIRRQANRATQLRGTLVIYLVLKFLI >KVH88039 pep supercontig:CcrdV1:scaffold_1726:20137:23011:-1 gene:Ccrd_024576 transcript:KVH88039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERKQSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQIGG >KVH88038 pep supercontig:CcrdV1:scaffold_1726:63809:70720:-1 gene:Ccrd_024578 transcript:KVH88038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MADDKEMSTQVVDGNGAETGHIISTTIGGKNGEPKQTVSYMAERVVGAGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRTMDHPNVVSLKHCFYSTTSKDELFLNLVMEYVPETIFRVLKHHSDARQRMPLIYVKLYTYQIFRGLAYMHMVTGVCHRDLKPQNVLVDPLTHQVKICDFGSAKMLVRGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCILAELLLGQPLFPGENAVDQLVEIIKVLGTPTREELRCMNPNYTDFRFPQVKAHPWHKVFHKRMPPEAIDLTSRLLQYSPSLRCNALEAIAHPFFDEIRQPNARLPNGRQLPPLFNFKQELSAASPELVNRLIPDHVKRQIGVQIIPQPAAVGT >KVH88040 pep supercontig:CcrdV1:scaffold_1726:28881:29474:1 gene:Ccrd_024577 transcript:KVH88040 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent FMN reductase MESAIVVKPPIINVAAICGSLRKASFNHSLIRSAIDLSKQSVEGMSIQYVDLSPLPMLNTDLEIDGKYPPAVEAFRQKILQSDCFLFASPEYNYSVTAPLKNAIDWASRPPNVWADKAAAIVSAGGGFGGGRSQYVLRQCGIYVDLHFVNQPEFFLNVFEAPSRFDDEGNLIDPQAKERLKSVLLALKVLTLRLKAK >KVH88042 pep supercontig:CcrdV1:scaffold_1726:2786:7651:1 gene:Ccrd_024575 transcript:KVH88042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVITYPCLHPLSAFTKPKLGRLTFSAKEIADGSFLFTHHSSLQLSISNIRADGNRRARPAQRNSESGRSINGDDNKTPQSSEGTMHNKDEILALFRRIQSSISGETASKGRTNNPSAESVLEVLRQSRKPIKGKTSNREGSIALGEPKKEPKKDEKIDFASAEAEVKTSRLPSNFVKRSPIPSTLVEKVQDEVKSATNGEELQTMKLVALKELARSRGIKGYSKLKKGELIELLKLT >KVI01633 pep supercontig:CcrdV1:scaffold_1727:49252:71965:-1 gene:Ccrd_020091 transcript:KVI01633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSGQEESKKLQLYSYYRSSCSFRVRIALNLKGLDYEYKAVNLLKGEQHSPEFVKVNPIGYVPALVDGDILVSDSFAIVMYLEEKYPQHPLLPRDLEKRAINYQAANIVSASIQPLQNLDVLKYIEEKVGPDAKIPWVQKHIGKGFAGRHSGSEVSSKVALEKLLQNHAGKYATGDDVFLVLRLLKFHWEFNAIEDFVLSLVRNGKSAGKKGSVFVVGNNMVRRTSFQKASSWCYYWGRMRMTKALAICVIWKSRTNRPNSGEEQILSGMCAALEFFGVSTRVTPDGNWTCFNSILYSPLLPSLNLSVGGFTGLRNGRWKVLNIEVKADVFLAPQIHGSIHSFIACIIFKKSWEALLNRKDDAPIQCLKV >KVI04222 pep supercontig:CcrdV1:scaffold_173:90524:91106:1 gene:Ccrd_017463 transcript:KVI04222 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MDGLGRLVKFLVHKKVFDEMPQPEKRNEKGEKAYSLNYCSKWWLYDTNATLAPMVMLRTNPITELHMHALNRSIKEGAISKETGKVVIVEIVQHSTKDDYFNDIRFTYDLMMYSLFSNGKERTESEWKKLLIVGGFCRYNIIQIPALLSIIEAFPQ >KVI04216 pep supercontig:CcrdV1:scaffold_173:268058:271110:1 gene:Ccrd_017469 transcript:KVI04216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MSIFGKNFKKHANIYSGRIYNSDRKWLIPFFVSLLVWITLISAALFGVYSSSSYNQDSSHLDDASFAKSDDSGGYFVEMNIEKPSEFPKEKAPRLAYLISGTKGDSKRMMRTLQAVYHPRNQYILHMDLEAPPRERMELTMAVKNDPTFSEVENVRVMAQSNLVTYKGPTMIATTLQAIAILLKESSNWDWFINLSASDYPLVTQDDLLHVFSNLSRTLNFIEHTKLTGWKLTILMYYTNFVSSPEGYFHTVICNTEEFTNTAISHDLHYIAWDYPPKQHPRYLTIKDFEKMVNSSAPFARKFAKDDVVLDKIDQELLGRTHRFARGAWCVGGSDHGSDPCSVRGDDSVFMPGPGATRLNDLFEQLLSEDFQSKRCPS >KVI04230 pep supercontig:CcrdV1:scaffold_173:332050:343755:-1 gene:Ccrd_017473 transcript:KVI04230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCLDLHMRICINSDLTFCMDTDFTYIWFYISIVFVVNVCDVFLSFRMTDTDAFPFFHRKGFCFQGAKLTAWVLLKCVTSGIESNIESKALSSFLPIERSSREQKRRFRGRRPTTDPQKEEIKVKRRQIVSLTLGGRHSCRMMKNRILDLVSINQFSCLSYQHREPDGVKQDDKKNDHEENGDDGKLPRALLQLSGFDQLFGPTVDERACMRDVALDIVQLLALGLHERRHVQKDLVQFYQILFDFLHGIMSFLNLGDGVHDLASTLILDRFLHEIFTFSIRDQVFNQFIVRFFTRDGEVSSRDSLLILLVHAGPQRLEVVHHRLELLSETTNDGRPRSVGRRSRPATGKLPVGDVRLIQRLDLSFDDTNPLQNL >KVI04229 pep supercontig:CcrdV1:scaffold_173:288869:290230:1 gene:Ccrd_017470 transcript:KVI04229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MASLPILTILEQSHVSPPPATVADASLPLAFFDILWLPFSPVHHIFFYELPAVSKTHFTETIIPNLKQSLSITLQHFFPFSGNLIVFSTPTLKPEIRYVNGDSVAFTVAESTLDFNDLTGNHPRDCGKFYHLIPLLPEATKESDHVKIPVFSIQVTFFPNSGISVGMTNHHSLGDASTRFCFLKAWTSIARTGSDESFLANGTLPFFGRVVNYPKIDELYLKRVEVETTFNKDYQPPRLSGPTDKVRATFILTRTVINGLKKWVSTQLPTLPYVSSLTVLCAYTWSCVAKSRNDELEIFSIAVDCRARIDPPIPAAYFGNCVVLCLSIAKTDVLTGNEGFLNAAKLLGENLHKMLTDKTGIVKDKWPFDSLLSQGIPTTIMGVTGTTKLKFYDMDYGWGKPTKYETISIDYNDFISLSTCKESNEDLELGVCLSATEMEAFVPIFSKGLEAYL >KVI04231 pep supercontig:CcrdV1:scaffold_173:325288:328914:1 gene:Ccrd_017472 transcript:KVI04231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MRRTRPEFRRPVRRRWFPNVFWLTLCGFVVLVLIVFLTRESAKPASRSVLSKRTYRQVMTMESLNVTDEMLNPDSITRQLTDQISLAKAYIVIAKESNNLQFAWELSTQIRNSQILLSNAALRRSPLTLGESNTAVREMAFLLFQAQQLHYDSATMIMRLKARIQELEEQTSSVTDKSSKYGQIAAEEVPKSLYCLGVRLTTEWFNLSKLQQTVFEKTQSGIKLKDNSLYHFCIFSDNILATSVVVNSTALNSQNPDRIVFHLVTDEVNFAAMKAWFTMNRFRQVTVDVQKFEDFSWLNASYVPVLKQLQDSDTKNYYFSGNNDGGKTPIKFRNPKYLSMLNHLRFYIPEVFPALNKLVFLDDDVVVQKDLAGLFTVDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRSHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLDPNWHVLGLGYTNVEEDVIENGAVLHFNGNLKPWLKIGMDKYKPFWEKYVDYSHPMLEQCNFR >KVI04218 pep supercontig:CcrdV1:scaffold_173:223822:233906:1 gene:Ccrd_017467 transcript:KVI04218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MGVKRSVIYLLVFLGFVLISVGPISLATNPADVTSMNKLYAALGSPALPGWTASGGDPCIEAWQGVACDITNTNILSIDLSNNLIGGSIPTALPVTIMNLSLNDNKLSGEIPDSFEGLTALVNLDLSSNNLSGELPSSLGSVSSLTTLNVENNMFNGPIPDKLLVIPNFRNSGNLFNASTAPLAPPGSPTPATPTGSGTPFFPSPRQTPGKQPPGKQVPQQADGPSSTQDSNSSTTRKFWTPKKKVWVSIGSIFGFIVLALVCLLFVPKCFQRKRLPALIPKRHEIAPYKNINRDNHIENGAFAQPTNQADKVPKVAAVMPKEEQQQTFSPPPPPPPRRTGLSLKSQNDQEMNVQRLAAIPKQESQEIDFSRFDIDSMRPPPPPPPPPPPPPLPSSQPLHLPFDKVIVEPIVPVEVARPSTKPLVPRTSVRSYTIASLQQYTNSFSQDNFIGGGMLGSVYRAQLPDGKLLAVKKLEKRVISQQKEADFIELVNNLDRIRHANVVELMGYCSEHGQRLLIYEYCSSGTLQDALHSDDDDKKKLPWNARIRMALGAARALDTRHRGEQSLVRWAVHQLHDIDALSRMVDPSLNGEYPVKSLSNFADIISRCVQAEPEFRPPMSEVVQDLIQMIRRESSNRSDGD >KVI04225 pep supercontig:CcrdV1:scaffold_173:15684:23850:1 gene:Ccrd_017459 transcript:KVI04225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIC, subunit 5 MGVIKDGTIAGVLPNNKLFAVNYPGYPSSMERALVTLGGAEGIAKVRQSPSNNLELHFRPEDPYSHPVIGERFPCNNFLLKISKHNTKGSQSETDSSAHLKEDEALSQGSEDKIYANIIGCVPEAYYFNGMVDYQHVLAVHADIVQRKKRNWADVEPQFEKRGLIDADQEDLMILLPPLFSVKNIPENVVLKPSIDSSTKKKQEGAMQYPEKVKWEMFIQEGTDDWNYQMAVCELFDERPIWIKQSLSEHLSDKGLKIGDNTMKMLLFVAAYYFSNGPFLKFYIRRGYDPRKDSESRMFLSVYPDPGAESLLKSASLRLGKLKRTKPVIKEQTVNEEEHQQLNRENVITEEKEMSSDEDDDGVDDDDDDDDSGGKRNMRAFSDFQVRMLLGNLRTRSFPSDGNQQIHNFQVKATVSCLV >KVI04223 pep supercontig:CcrdV1:scaffold_173:33254:34830:1 gene:Ccrd_017460 transcript:KVI04223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MMMMKKMKNTQNETDSDAILKGQTQILRYIYGVLDGMALRCCVELRIADIINNHGRPATLSEIASGINSPSINMDGLGRLMRFLVYKKVFDEMPKPEKGMGEGEKVYSLNYCSKWLLFDTNVTLAPMVMMQTDPSIVSPLHVLSRSIKEGGTTFKMTHGEEMFDFSLHNSEFNRIFNEGMACSAKITLDVIISKYKNGFLGLKGSIVDVGGGTGAAISTIVKTYPHLKGINFDLPHVISTAPTYDGVTHVPGDMFNTIPPTETIFMKSILHDWSDEDCIKILTNCRKAISKESGKVIIVEIVQNSTEDGFFDDTRLTYDLVMFSLVSGGRERTESEWKKLLEEGGFCRYNIIKIPAIESIIEAFS >KVI04217 pep supercontig:CcrdV1:scaffold_173:180396:182375:1 gene:Ccrd_017466 transcript:KVI04217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMRKTLLKSFYPVTIYRPDSSSINGGTKIHYPFHHSPKPDPNPLLISNPRWAFTATSPPPPEWVQPINDTSNLITSHPKPSPWVDQILNLLNDDSSQMEPKLDAFCHRWLIRLPPSFVSYILKSDWIKTQPDLAFRFFKWAGKQKGGGGYTYTHNLECYAFLIDVLSVSKDLDKVKFVFIELKRKGFLMNIISANLLIRSFGNLGMVEELLWVWREMKENGIEPSLFTFNFLINGLVNSMFIESAEQVFEVMETGLIKPDTVTYNTMIKGYCKSGRTQKAVEKFRRMEEMNIERDKITYLTLLQAYYSDSDYDSCLKLYNEMEEKELEILPHAYSLVIGGLCRDGKPMEAYILFENMIQKGRKANVAMYTALMDAYAKSGHTDEVIRLFERMNSDGFKPDEVTYGVIVNVLCKTGRLEEAMMYFELCRNNKVAVNAMFYSSLIDGLGKSGRLDEAEKLFEEMVNDGCSRDSYCYNALLDAFVKNEKIDEALVLFKRMETEGCDQTVYSYTIIMNGLFNKHRNEEALKIWDLMIDKGITPTAASVRVLATGLCLSGKVSRACKILDELAPMGVVIETACEDMINVLVKAGRVDQACKLADGIVERGREIPGRVRTILINSLRKSGNAEMAMKLMHSKIGIGYDRMGTIKRRVKFKVLVEK >KVI04221 pep supercontig:CcrdV1:scaffold_173:71554:73233:1 gene:Ccrd_017462 transcript:KVI04221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MMMMMMKNTLDETDGDAILKGQAQILRYIYGVLDGMALRCCVELRIADIISNHGRPATLSEIASGINSPSINMDGLGRLMRFLVYKKVFDEMPEPEKGMGEGEKVYSLNYCSKWLLLDTNVTLAPTVMMRTDPSMVLPLHVLSRSIKEGGTTFKMTHGEEMFDFSHHNSEFNRIFNEGMSCSAKITLDVIISKYKNGFLGLKGSVVDVGGGTGVAISEIVKTYPHLKGINFDLPHVISTAPTYDRVTHVPGDMFNTIPPTETIFMKWILHDWSDEDCIKILTNCRKAISKECGKVIIVEVVQNSTEDDFFDDTRLTYDLVMFSHFSGGRERTESEWKKLLEEGGFCRYNIIKIPAIQSIIEAFP >KVI04215 pep supercontig:CcrdV1:scaffold_173:245141:255654:1 gene:Ccrd_017468 transcript:KVI04215 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP-like protein MASRYDPNPFEEEELNPFANQGTQGNGFAQSNYGGGAFYMPTPGSVPPATSSLSPLPPEPAGYGRGATVDIPLDSAQEVKRKEDAITRSGIVLEEKNWPPFFPIIHHDITNEIPIHLQKVQYVAFTTFLGIVLCLTWNLVAVTIAWIKGEGPTIWFLAVIYLISGVPGAYVLWYRPLYRATRTDSAIKFGWFFLSYMFHIAFCVFAAVAPPIIFKGKSLTGILPALDVVTSNAFVGIFYFIGFAFFAIESVMSIWVIQQVYMYFRGSGKAAEMKREAAKSTMMAAF >KVI04228 pep supercontig:CcrdV1:scaffold_173:318263:319627:1 gene:Ccrd_017471 transcript:KVI04228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MASLPILTILEQSHVSPPPATVTDASLPLAFFDILWLPFSPVHHVFFYELPAASKTHFTETIVPSLKQSLSITLQHFFPFSGNLIVFSTPTLKPEIRYVNGDSVAFTVAESTLDFNDLTGNHPRDCGKFYHLIPLLPEATKESDHVKIPVFSIQVTFFPNSGISIGVTNHHTLGDASTRFCFMKAWTSIARTGSDESFLANGTLPFFDRVVNYPKLDELYLKNVGVETIFSKGYQPPRLSGPTDKVRATFILTRTVINGLKKWVSTQLPTLPYVSSLTVLCAYTWSCIAKSRNDELEIFGIAVDCRARMDPPIPAAYFGNCVVLCLSIAKIDVLTGNEGFLNAAKLLGENLHKMLTDETGIVKDKWPFADSSSSQGIPTTIMGVAGTTKLKFYDMDYGWGKPTKYETISTDYSDSISLSTCKESNEDLELGVCLSATEMEAFVPIFSKGLEAYL >KVI04226 pep supercontig:CcrdV1:scaffold_173:350680:358105:1 gene:Ccrd_017475 transcript:KVI04226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHVQSEGREPTAMEMFAMTHKTKGSDSGHFVTPRDEKYVADYLQEMNEKYRPDTSTIHTPIHLKILKYGSIVREEGKGVGCLELEKLKKMEEEPAVERERKMPERQWPLLSNCPATLMASACYAKLSHQKWKRSCVSHALLLGTGEVELLNHSTGSLTSVLTVLPSTSSEL >KVI04220 pep supercontig:CcrdV1:scaffold_173:175605:179713:1 gene:Ccrd_017465 transcript:KVI04220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGCCVSTSSRGGGGKKRTKRTFSDHVSAFQHTSSIPNRIFANGKSRSSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPHGHLVARKVRDTLPLKLFSFLDSYESKKNQSSTSICCNGDPGLEEADREEEKPELLWREAFLKSYKAMDKELKSHPNLDCFCSGSTAVTIVKQIWDVLSNEQVIETVSSAPTRSSAARILVDLAAREWKTKYPTSKMDDCAVVCLFLDGKMDAESDNEDHGFSSATLQSNAGESDDGQNPEPYLQRNFTVRSSEETNDARKREQNDVVLEGKEETVIAVTEDQNWSGLEGVTRVNSLVQLPRFSEEQPRT >KVI04219 pep supercontig:CcrdV1:scaffold_173:108987:112369:-1 gene:Ccrd_017464 transcript:KVI04219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF789 MQSSNLESFLNSTTPVVPSQFLSKSEVSKLNRLWHPWEREKVEFFTLGDLWNSFDEWSAYGAGVPINVDAGEDETIIQYFVPYLSAIQIFTSNSSLNYLREETDSETRDSFSDSFSDESESEKLSRWDGCSSEEGVFDRETPLHFNDRLGYLYFQYFERSTPYERVPLMDKICMLSQKHPGLMSLRSVDLSPASWMAVAWYPIYHIPLGRTIKDLSTCFLTYHTLSSSFQDTDNEDQNGFSVKKNDKGEEGIRLPPFGLATYKMQGDVWISGKSGKDQEKLVSLLNVAGCWLKQLNVQHHDFNYFMGYRYG >KVI04224 pep supercontig:CcrdV1:scaffold_173:55099:56540:1 gene:Ccrd_017461 transcript:KVI04224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MMMMKNTQNETDSYAILKGQTQILRYIYGVFDGMALRCCVELRIADIISNHGRPATLSEIASGINSPSINMDGLGRLMRFLVHKKVFDEMPKPEKGMGEGEKVYSLNYCSKWLLFDTNVTLAPMVMMRTDPSMVLPLHVLSRSIKEGGTTFKMTHGEEMFDFSQHNSEFNRIFNEGMACNAEITLDVIISKYKNGFLGLKGRVVDVGGGTGVAISTIVKTYPHLKGSTLICLMLFPLHQHTMGLPIGFCMIGVMKTVSRFLQTVEKRYLKNNSTEDDFFDDTRLTYDLVMFSHFSGGRERTESEWKKLLEEGGFCRYNIIKIPAMQSIIEAFP >KVI04227 pep supercontig:CcrdV1:scaffold_173:334217:341167:1 gene:Ccrd_017474 transcript:KVI04227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLAARQAATMLRLSSPRSASQAASFIQRRGLAGAADHHGTPKVDFWKDPMSPSKWKEEHFVIISLSGWGLLIYSGYKLFSGGKKKDEKPVEA >KVH88037 pep supercontig:CcrdV1:scaffold_1730:49779:51518:1 gene:Ccrd_024579 transcript:KVH88037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MMAEPWLLRIGSHVSSNLKHALLLEKSTKNKQEIRNQTSGTIGILSFEVANVMSKTVRLHKSLTNSEISKLKSEILSFDGVKKLVSSDETDLLDLALSEKLEELSFIAGVVSRLGKKSTIPQLQGFQHVFGDIITGAIDVRELGFLVKDMDAMVRKMERFVNSTATLYGEMAVLNELEIATKKFQQNQHEESRKVFEQKLIWQIQDVRHLKDVSLWNQTYNKITEMLARTVCTLYARICSVFIDSISRRHMFSSSSVSVESSRLKSCTSLAQRSDQIDVGSVKSCGGSSNSSLVEKKMATFRPQIHPRKGEMNLFKAQDFNFSCGYGPGRLFMECLSINTSKLDDCDDASVPVSDNDQSSHISGHCSVSSSGKKEIMNHTRVPTSVDHKMFKGNFIKSCTTAQKSRSMARAPPNTVGGSALALHYANVVIVIEKLLHYPHLVGEEARDDLYQMLPTSLRLGLKKSLKSYAKDLAIYDAPLAHDWKDRLDGILAWLAPLAHNMIRWQNERNFEQQHIVSRTNVLLLQTLHFADRAKTEAAICDLLVGLNYICRYEHQQNALLDCASSFDFDDCTDWQLQY >KVH89758 pep supercontig:CcrdV1:scaffold_1731:74110:76396:1 gene:Ccrd_008249 transcript:KVH89758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MGLCLTLCHASLLLCVILILLPSPCFTQDSYISSRATFYGSPDCLGTPTGACGYKAYGSTINGGEVSGVSRLFRNGTGCGACYQVRCKSPKCCSEDGVKVVVTDHGEGDNTDFILSVRAYAKLALPGLAAELISYGVVDVEYKRIPCQYPGYNLMFKEEWQEWRCMRRAYGAVWDIPNPPEGALNLRFQVSGSKWVQLKSLIPSDWKAGATYDTAVQLD >KVH89760 pep supercontig:CcrdV1:scaffold_1731:37099:37329:1 gene:Ccrd_008246 transcript:KVH89760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVFGIEWKNHGNYAKFVPRDFVLGPFIQGLNDPVHHKEFGTLSIILYQPSIAFDFQAKMEYTYDTLGPWALDSM >KVH89762 pep supercontig:CcrdV1:scaffold_1731:26760:31095:1 gene:Ccrd_008244 transcript:KVH89762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MDSATSLMSSFRRIPPAAIPATLDCILASTTSPPSSLFFLLLDALPILSQEVTHGSEEILDDEHQNFIASYVGALCHLLKKPGFNAEALQNFIWSVLIPLMRLTQGYDCEIVDKAIASFLDVVMETNAWGVVEGTMVPYFIKVVGLTMGMLQNEQFAFYKWNGQAQSPEGSTLISFESFPLLMACHILASILDASVKGKPVSESTSGSILANGCSVNIFTGNLLWDICNVTLQMLSHSPEHRSCAITVFLPHLLKALVSENVFEISVHGQKFLLSRKMFFAKIWKCCKNLFSMGPSERGDAYTVLSLYISNSSKSDGCEDDSVNGDGTFDLRAEKEFWDEMKKGLVDKESIVRKQSLHVLKSTVTISQGKQHTSVLESISNDTTSNSHGLTKRERWADKEAKSLGIESLCNSVGSDSSSRLKWGAFFLLYEMLEEYGTHLVEAAWNYQVRTVFV >KVH89757 pep supercontig:CcrdV1:scaffold_1731:58666:60067:-1 gene:Ccrd_008248 transcript:KVH89757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a/b binding protein domain-containing protein KLLCTIYFCVQLKLHPLFRPFLQIHLLPLSPSRFRPFSSELSSMSSMALYSSTPHFPSLSPYSKTHLPIHSFLSLNPKRDLLFVSVRAIENGSGFAIVENEKKDSEPEEDPLGNGGPTAASLEDAAVKFEDPKWVSGTWDLKQFQNGGATDWDAVIDAVFDTSIIPWWAWMKRFHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQTGNFLCKTLLFVAVSGVLLIRKNEDLDNLKKLVEETTYYDKQWQATWQEQKKE >KVH89756 pep supercontig:CcrdV1:scaffold_1731:86819:92198:-1 gene:Ccrd_008250 transcript:KVH89756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MGKQGPCYHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGTLVNYTPLHARSEPDEFEDRRVSRVKAISIKNREAKLLKRKENYENVVGGSGGGMLSGGGGFASGHHNQSLHKGLDEDTSNRSSSGSAISNSDSCMQLGSADISDLTGPSQSSVVWDTMVPSRKRTCVNRPKQSSVEKLTRDLYTILHEQQSYFSGSSEEDLIFESDTPMVSVEIGHGSVLIRHPNSAAREEESEASSLSVNNKNEAYSWLTTLPVYDAKQSGKISSWGIDSSKMPMDQCNRDHDEKLPIPANHNSPLCHINLKDIINFKEFACHMTNDEQQQLLKYLPPIDTIQLPDSLKSMFESPQFMENLFSFQKLLAEGNFDLSVSATESEGCRKLKKLALCNVTKCKWVEEYNLLQDAKCKKNSRGSVVAGVLNTIAPG >KVH89759 pep supercontig:CcrdV1:scaffold_1731:394:4590:1 gene:Ccrd_008243 transcript:KVH89759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MCSPLPPRTKWNKVSFYKSTHRSPPYAYADASIIVSFPVAPPYADAPSRRRRKFYLHLLHLDEFYRYTQSAFISTSSIHTVCLHLDKLHTYSLPLSRRALSPSSDRRNWSIQEEDVLISILQEIVAAGGRSDNGCFRTGTYEQIVLKMREKILGLNITSKHIQNKMKRLKDKYSAAYGMLNTSGFDRNDTHQCVTVDAQVLEEYLNKHPSKNFIANKPFPQYERLKTIFGKDRATGSMAESVADAFEHINHESEVGADTEELNVPFTTPNGASASSIPQDVEASSKRRNRKAGVSEDVIKLIEKGLNSLSEEMGKLVSVVGTPGLQTMPDELTNMGFDDDQVIAISMYFADNSIQLRQWNSINATLKPKFVTTILKKLDM >KVH89763 pep supercontig:CcrdV1:scaffold_1731:36770:36976:1 gene:Ccrd_008245 transcript:KVH89763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLELKLKTLTFMQMNLLLRIAHPSDNSIKPVGERHYLDPMETLEDMFDWLAVLWQRGLCHDNPQGK >KVH89755 pep supercontig:CcrdV1:scaffold_1731:99573:104471:-1 gene:Ccrd_008251 transcript:KVH89755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MDSFSSFFDSRSQSASRNSWTYDSLKNFRQISPVVQTHLKQVYLSLCCALLASAVGAYLHVLWNVGGLLTTFATLGCMSWLLATPPHKEQMRVSLLMASSVLQGASIGPLIELAIEFDPSILVSAFVGTAIAFACFSGAAMLARRREYLYLGGLLSSGVSILFWLHFASSLFGGSLALFKFELYFGLLVFVGYMVVDTQEIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEREERRKKRRD >KVH89761 pep supercontig:CcrdV1:scaffold_1731:40082:55606:1 gene:Ccrd_008247 transcript:KVH89761 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU MTLLSNLASLVKIYSFGRAGLMSFAECVAAAAAAGVRTHSKNEVEQCDEASSEMKSAVDSTYNDKATLLDVFRFIIESSKQHFNPNYRLKVCGKILDASASVMSSSDVLLEPLLHFISSFPPDFLNYGGPLREKVQEWLRGYEKQASTSCIIDTKLMKKLNEFPRSFIYHNHSGNDIVNYDDEDLEMWELKAKRWARALFLIVKEEHHLDSLLQFIRTHGNELCKKIGYWEWLPVKYMILILGIAQELHEMKNRTVDSHAKRRTKGLLEVVDHAESMGGSVIIKKFTRSFTFILEELVSYTNQSCSVFWSEEAGDTTLPSSIKGRLGGLSQRRLSSSNTTAVLQAITSVKTLASISSYCSQFQEEEYLSSTSTLDVLWQLSWKIISCTPCTSETKAEIYLGAYEALHHVLKSLVSMPSPSALALLTRPYNLSAPEAEVKPHMDYFVQIYIENINNLIEAGYLARARRAILIDWKWMCLESLLLIPKYALQRGVYIQNCDVFFSDAVIRRIFSDLVDSLENAGEGSVLPMLRSVRLVLDFFALGHKGSAVSSCDGIDVQMMWHLVRCSWLLHVSCNKRRVAPIAALLSSVLHDSVFSDMDMHESDDTPGPLKWFVEKILEEGTRSPRTIRLAALHLSGLWLSHPSAIKYYMKELKLLTLYGSVAFDEDFEAEVTENHDARTEVSILSTSPDPELTEEFINTELYARVSVAVMFNKLADLADMVGSKDEAESSHASLQSGKLFLLELFHSVVNDTDLAKELYKKYSATHRRKVRAWQMICILSRFVDQEIVSERNNMPAVRQYLETFAIYVYLKFPSLVGEQLVPMALSSYVFIAANVILHATKNVQSRHLDELLPPIVPLLTSHHHSLRGFTQLLVYQVLSKLLPALNSGSYGTVSLEKRCFMELKSFLEDNSDCARLRVSMEVHLDAFDPYISVTPAGIFSNRVDDIEFECAHVSLLEHVIDFLNDVRKDLRCSMAKDATQLKNEQIHMQDSESIDQSKEETTMKMQKDMSLDFQKKVIVSKHEMQDSHSSSLNSKDTYNSLLDVEKEDELLDQTLRARSIAMEKLKAGRQQIILVASLIDRVPNLAGLARTCEVFKAASLVVDNANILQDKQFQLISVTAEKWVPIIEVPVSNVKGFLEKKKHEGYSIMGLEQTANSVALDQYVFPRKTVLVLGREKEGIPVELIHMLDACIEIPQLGVVRSLNVHVSGAIALWEYTRQQRSS >KVH88036 pep supercontig:CcrdV1:scaffold_1732:65803:71414:1 gene:Ccrd_024580 transcript:KVH88036 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSMMQADELNISFNNLMVFGGGRRVITEWKDIPMELLMRIISLLDDRTVIIASGVCSGWRDAICWGLTHFSLSWCKNNMNNMVLSLAPKFTKLRVLILRQDKPQLDDNAVETIAQNCHDLEDLDLSKSFKLGDRSLYALARGCPDLIKLNISGCSAFSDAALEYLSGYCRKLKILNLCGCAIGYNCRQLQSINLGWCEGVGDTGVMSLAYGCPDLRALDLCGCVLITDESVIALANNCLHLRSLGLYYCQNITDRAMYALAHSRVKNKREVWESVKSRYEEEGLINLNISQCTALTPPAVQALCDSCPALHTCPGRHSLIISGCLNLTSVHCACAFQAHRAVAPLSHRAH >KVI06612 pep supercontig:CcrdV1:scaffold_1733:11032:27223:1 gene:Ccrd_015037 transcript:KVI06612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLGIHEDHGGAHPGAVGYRHSGAAQGGFSQTDTHEGGVGRGPHDCQSPRGEICSLGESSPSVAWIHSHHCTKMTARDDFPISQEKAFYEENSYKTESWFKSVIVVAPSLPQMAFSMPMFLRFCFSLSASVSFEQRSLMTWSMESID >KVI08148 pep supercontig:CcrdV1:scaffold_1734:64506:104079:-1 gene:Ccrd_013483 transcript:KVI08148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial surface antigen (D15) MLDQQERKEERRGKSAGNPNRISNQNRYKQPISYVFHWQPISYPFRRTSIYPSLLSLPTELVGVPLPRFSSLSKPSEIWDFEWWKKLSDGSSEERPCWQRNSDRGSPLSLIVGSLCIKHPNLFGKSEKLDVLWDKGLSDSNILIAYRKPRPEWLAQHSFVIQHSVSPEIGIHGVPVDNFSRTGSGGVNLCRFSAGLDLDEPGSSNWSSKTSIKFEHVRPVNDDGHSITRDIHGFTVTSSGSCHDSMVVLKQESRFAKANDHSFTRFSLQIEQGIPLMSKWLIFNRFKFAASKGVRAGPGFLLASITGGSIVGDIAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTELTFPMNQMLDGALFCDVGSDLGSGRYVPENPNRVEEKPSLISFFIRKP >KVH97530 pep supercontig:CcrdV1:scaffold_1738:4421:23555:1 gene:Ccrd_000353 transcript:KVH97530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mandelate racemase/muconate lactonizing enzyme, C-terminal MNLNDLRSSPSSILQSQTITLLSCKTIVTMPSSHRRFTFLGRRHYGPNFKIVRNSIQEKEIKFLEADKAELLMATCITRTLPPALTLDLGLENIKDAVEELKLKPRCSKAGIYRFQIAVSPGSRALSWFCSQDPSLGVFPQFFVSTGVEKPTTKYLSFSRTRGTFGIGAAVYIKSPFCSASTEQIPFRSGNFTLSRYQSIDSAHLMAYGLFGHTSDKFENRSFDMFIPLIELVESDGLSILTATLAWDDSSFCLYEDAFDVIIHLTTALTRPIAEECLNKCVSAVLKRFNMVGDKHAKMVSTDALLLRDGCLEHMELLDQFRDISCSLEESSNINTLWASLIVEECYRLGLTYFCIAPGSRSSPLAIAASCHPMTSCIACFDERSLAFHAIGYARGSRKPAVVITSSGTAVSNLLPAVVEAYQDFLPLLLLTADRPPELLDAGANQAINQVNHFGSFVRHFFNLPAPMDNISARMVLTTFDSAVHHATSSPCGPVHINCPFREPLESTPKSWSQSCLKGLEYWVSSGEPFTNYIKAHNSFAHNNHHGDVADVLGIIQGAKCGLLLIGAIFTEDDIWAALFLAKHLRWPVVADILSGLRLRKYTSSLSKLNEAILFVDHLDHSLLCAEVRQWIKPDVIVQIGSRITSKRVSQMLEDCFPCSYILVDNHPSRHDPSHIITHRIQSPITEFTDCVLRFCTTHINPKWTSFLCSINMMVEWEMSFLIHSENSLSEPYVAYITSDALDYGSTMFIGNSMPIRDADMYGSSLGARAGGDTSLTSGFPFRWIQVAGNRGASGIDGLLSTAIGFAVGHKKRVVCVIGDVSLLHDTNGLALLKQRILRKKMTILVNNNHGGAIFSLLPIANRAEEEILNQYFYTSHNVGIQNLCVAHGVKHVQVHTKVELQNALIRSEQEEEDCVIEVESSIDANANFHRLQQPRFCCFDDVRYTHLYLLLTPSLSLPSNLKQFACQAAGHALSTISQHSVLNSSSDGSMPIKVRKLEYFQYRIQLCAPPTTTSVSNDHSTHCREGFVLTLYLEDGSTGIGEVAPIGIHKENLLDAEEQLQFLTHVMGGAVLNSSLPLLRGSFSSWIWTNLGIPPGSLLPSVRCGLEMAILNAIAAAEGSTMLNMLHPLAAKEEYAGRSSHIKICALIDSDGTPAEVAYLADTLVEEGFTAIKLKLSSQVARRANPVEDAAVVQEIRKKVGFHIQLRVDANRKWSFDEALRFGSHVKDCALQYIEFCEESGLPVALDETIDNLQENPLEILARFSHNGVVAVVIKPSFVGGFEKAAFIARWAQQQGKMAVVSAAFETGLALSAYIQFSCYLEMQNADICKTMNKEPAAAVAHGLGTYRWLKEDIITESLRISRNACNGFVEASVFYAGQTLHNCNINHNSVIRSFKAEEVRNYQLRVDVEGVAFSINVQELGEERNNNVVVFLHGFLGTSEDWIPIMKAMSASSRCIAFDLPGHGGSKMHAGNDVAHRVDLSVKVVAEMLHKLILLLTTRKVTVVGYSMGARITLFMALRCSMMVKGVVIISGSPGLDDEVKRKVRSVKDDFLACALFSYGLDLFIKTCRLKHNDVQALAKSLSDLSIGRQPSLWEELKHNKSPLLLIVGEKDKKFKTIGESMWSKLGTREGGSAKHPYTMVEIPNCGHAVHIENPLPVIHSIRQFLTRVNVLDP >KVH97533 pep supercontig:CcrdV1:scaffold_1738:35289:35921:1 gene:Ccrd_000356 transcript:KVH97533 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MAFRNTNPTITYDSTSSKYHVNYDAKTIETTVTTKAAIXDEWVDELLSLHADHPTVVVGLDVEWRPHQIRSMSNKSATLQLCIDTKCLILQLFYMDEIPESLKMFLLNPKFTFVGIEVDEDILKLKNEYGLDCSKSTDIREVAKTMWPGRFRRPGLKDLAMEVVGLYMKKPKHVCMSNWEERVLNENQVEYACIDAYASYKIGHKLLLQG >KVH97529 pep supercontig:CcrdV1:scaffold_1738:43696:51670:-1 gene:Ccrd_000358 transcript:KVH97529 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MDEIPESLKMFLLNPKFTFVGIEVDEDILKLKNEYGYSGGGKDHVAGKGLKDLATEVVGLYMKKPKHVCMSNWEARVLNENQVEYACIDAYASYKIDHVNYDGKTIETTVTNKAAITDEWMDELLTLHADHPTVVVGLDVEWRPHLIRSMSNKSATLQLCIDTKCLILQLFYMDEIPES >KVH97535 pep supercontig:CcrdV1:scaffold_1738:58402:63880:-1 gene:Ccrd_000360 transcript:KVH97535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 PRGFLHSPSILHNLPSNNRLTQRNSFWVFSIMAPVLSRSLTHASVASLPYSPLLSSKTNTQNRLSLRSAFLHQNGLKTNSFSCSGLRWKVDKRGSSVVVRCEASAVAEKEAPEASGEKHEYQAEVSRLMNLIVHSLYSHKEIFLRELVSNASDALDKLRFLSVTDPSLLGDAGELEIRIKPDPEKGTITISDTGIGMTKEELIDCLGTIAQSGTSKFLNALKENKDLGNDNSLIGQFGVGFYSAFLVAEKVIVSTRSPKSDKQYIWEAAADSSSYVIREETDPEKQLRRGTEITLYLKDDDKYEFTEPSRVQGLVKNYSQFVSFPIFTWQEKSRTVEVEEEEEPKEGEETPPEGENKKKKTKTEKYWDWELANETKPIWMRNPKEVEKDQYNEFYKKTFNEFLDPIACTHFTTEGEVEFRSVIYIPGMAPMNNEDVVNPKTKNIRLYVKRVFISDDFDGELVRIMRKRLVRKTFDMIQELADSENKEDYRKFWENFGKFVKLGCIEDTGNHKRITPLLRFFSSKSEDELISLDEYIDNMDEKQNAIYYIATDSLKSAKSAPFLEKLVQKDIEVCIPVKLDSDFGVFFLLNCILTHLWTSNPQVLYLVEPIDEVAIQNLQTYKEKKFVDVSKEDLELGDTDEVKERENKQEYILLCDWMKQQLGDKVSKVQVSNRLSSSPCVLVSGKFGWSANMERLMRAQTLGDTSTLEFMRGRRIMEVNPDHPIIKDLSAACKNEPENNEARRAVELLYETALISSGFTPDSPAELGGKIYEMMAVALGGRWGRVEEDEAAAAADSTTESTSDSTSEGTETEVVEPSEVRAETDPWST >KVH97532 pep supercontig:CcrdV1:scaffold_1738:30667:32613:1 gene:Ccrd_000355 transcript:KVH97532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAIGSSLNKAEVKGNQELKLLIVILLVLLHELIPVGLQNVIAGGFQVPHPQRHGVRVHLQRRPQQWYLKLTIFIQQLFLIACQEVKCLQLIGGIQDFLDHQFQVGSFHLSGDEPFQTIVFVLFGHHISAGGLNSKSSLWKFSLQHQPEFKRILILILHA >KVH97537 pep supercontig:CcrdV1:scaffold_1738:85136:87260:1 gene:Ccrd_000362 transcript:KVH97537 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MSKENAIRRNVIEKKNSSSSSSSPARGNKEKLSTQSLLSKHLKKVYPVGIQKTSSLLSLSSLSLTLSHNSSGSFTDSSSTLEQTISSALHLIAPTPARREPPVAKTSAVHAPVPQPSLDPTNCEEGLRRCNWITKSSDKVYVQFHDECWGVPVYDDNQLFELLSLCGMLMDYNWTEILKRKDLFREAFAGFEPNIVAKMGENDIMEIASNKDIMLAESRVRSIVENAKCILKIAKAHGSFSGYMWGSVNYKPTINRCRHPRNVPLRTPKAEAISKDLLKHGFRLVGPVIVYSFMQAAGMSIDHLVDCFRFSECVNLAERPWRHV >KVH97534 pep supercontig:CcrdV1:scaffold_1738:80261:81567:1 gene:Ccrd_000361 transcript:KVH97534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMMGEKRAGFVGASDEEVRPKNVYAVAAAAAAREKTLRGRKMVVDKTIMVDMKAKMNAMARGKQTGFGRIRLSESRNVNFVAFTEDYHSPRHHPPKNN >KVH97538 pep supercontig:CcrdV1:scaffold_1738:51729:52007:-1 gene:Ccrd_000359 transcript:KVH97538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLLHSIQSAKLPSFPMAFRNTNPTITYDSTSSKYHVNYDAKTIETTVTTKAAIADEWVDELLSLHADQPMVVVGLDVELMAAPPNPIHEQ >KVH97536 pep supercontig:CcrdV1:scaffold_1738:88455:91537:-1 gene:Ccrd_000363 transcript:KVH97536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVFRSPLGREYHENNRMEGVKPTGRRRVFVQTESGCVLGMELDRTDNAHMVKRRLQIALNFPIEESSLSFGDMILKNDLSAIRNDSPLLLTRNVLHRSSSTPCLSPTGRDIHQRDQSGPVEILGHSSSFVGTKQIVDEVVIAIKIGIDPIPVHSGLGGAYYFRNSRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGYREVAAYLLDYDHFANVPPTALVKITHSIFNVNDSVSGNNNNKPERKKKLYSKIASFQQFMPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLNNDEVGRFSEVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELEYIEKLDPYRDLEMLRRELPMIREACLRVLFLCTIFLKEAAAYGLCLAEIGEMMSREFRRGEEEPSELEVLCMDARRLVAEKVPEVLVLSPKTEADEDAFQFEIDYDDSAFDSTPKLLANNSLPFQFGFGRNPLSKLEESTEDEEESEGNEEEMADIVTMIPAVSKLPISVKNKCTFGDDTGSGSSKSKSRGEKGSSYVTLNSSSGHRSANELLPGSASFVKLADMKEEEWGLFLEKFEDLVYAAFAKRKSSAMGQRQRQRLGTSCQF >KVH97531 pep supercontig:CcrdV1:scaffold_1738:28603:30651:1 gene:Ccrd_000354 transcript:KVH97531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGANVKALTNKIFAHLKLNSVSKAVSILFESPVPFDFSLYASLFNLCASRRALVETRKVESHLLTFNLTPPIFLLNRAIEAYGKCGCMDDARELFDEMPQRDGGSWNALISAYAHNGFAEEALGVFLDMKKEGLSWNEISFASVMGCCGSLLEIYFAKGIHGLIVKYGFCGNVIIGSSIVDVYGKGGFLSDARRMFDELCNPNEVSWNVIIRRHYDMDEERMAVLLFFEMIRRNDVMPLSFTVANCLRACSKVSAINEGMQVHGFAVKTNLVDSLVVSGSLIDMYAKHGDLESAQSVFNLPKAQDLINWTSIVTAYAANGRTEEARKLFNEMPERNVVSWNAMLAGYTHYYKWEDALEFVHLTCKNNIDIDHVTVMLILGTCAGLLDVELGKQVHAFVYRRHFNSNLFVGNALLNMYGKCGDLRSCRAWFYQMSHLRDAVSWNSILTTHARHKRSEEVMMVIWKMLEETPPHKHTLATVLAACANIFALKSSKQIHGFLVRHDYDMDIVINGALIDAYSKCRCLNYALVVFKATASRDLILYNSMILGCCHNQRGDIALEIFEMLKREGLKPDNITFQGVLLAAICEGRVELGRQYFDAMISKYCVIPLLEHYESMIELYCRYGFMGELEKFVKEMPFEPTAAMLIRVFDACHDYRCQLLGKWTADRLNELNPSVPFRFELM >KVH93625 pep supercontig:CcrdV1:scaffold_1739:71209:74939:1 gene:Ccrd_004323 transcript:KVH93625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TRAF-type MEPPVAAEVETKSQETDETKVSPKSYECGLCDTEVVYKIAQELLPGLASACVDNTTGGIFKSAGSIAADMRKEMADYLTQRSESYVAEFLLNENASGIEISEHPYDIIISLIDDFAASKRNMFSRVSEWVLSDRREDRIDDFVQEMEINGFWLLPRRECVSSMLLKNVDYKNAFHCNMVCSSEEEVAAHRSECKFRPLDCMNEGCTTRYCAAQKEHHEAVCPFMILPCEQKCSDFVMRREMDRHCVTICPMKLVNCAFYTVGCQSSIPRCNVQQHNADDLSSHLRYIIRAAHKEATDEDLKHRVEQILNLSNTEKLARARDARALTYLIKDAEAKLGPLEVEGKPDSKEKNTSKEDSNKTESPTKTEPSVKDGSELEPPPNKNEMTEKVVESPAKMVESKESYSSAGEEDEKKKGSREEESKEIAAKEEDPIKQEPSHSDQELETTKTPPKKEEERSSNKQSFSSDEQESKKPPKEDESSNKQSFSSGAQDTKKLPKNETSSRGGEKEEEAKRKEKVKKSSAQREKTTGSDEEESMKSPLPSDAESWHSDG >KVH93624 pep supercontig:CcrdV1:scaffold_1739:75008:76904:1 gene:Ccrd_004324 transcript:KVH93624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRTLVIIVFPRSTNKIIYTQIEYRKPIAPISLKGDESALIKNSFVCDDYERPKVSYNKLCNEIQVISLKGISNMDGGGGVGSCKAEICEKIVNTCEN >KVH91783 pep supercontig:CcrdV1:scaffold_174:48394:53934:-1 gene:Ccrd_006193 transcript:KVH91783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP19 subunit MNGETPNFKKWNILYPVYINSKKTIAEGRRICAAKACENPTAVEIGDCCSHLKVPFAIELDKAYPRDFMQVGRVRFMLKKSDGSLYNPAISSKKQLMLYVAELVPRHPGRVKKQEPVLSTSNAGPSKSGKGGRKKR >KVH91786 pep supercontig:CcrdV1:scaffold_174:92658:97624:-1 gene:Ccrd_006196 transcript:KVH91786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MGRFGIVLAIVLTGCLFAISIAKEEANKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKLKDGETKVFSPEEISAMILVKMKETAEAFLGKTIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGKGFVCNFPPSLRIVEDCEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKRQIDEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAFDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTVVSIKVKEVLQRIVGFLEHLISLEFLQLQVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKEKIDARNALETYVYNMKNQINDKDKLADKLESDEKEKIETATKEALEWLDENQAAEKEEYDEKLKEVEAVCNPIITAVYQRSGGAPGGSGGESPEDEDEHDEL >KVH91782 pep supercontig:CcrdV1:scaffold_174:43432:45399:-1 gene:Ccrd_006192 transcript:KVH91782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGFVSTRIHRDKRKFQQSNYLFQVKPYLDFLPCLLNNGTAQSIMVGGRVAHPVLKGPSVVKELVIGAVLGLAAGGLWKMHHWNEQRKTRAFYDLLEKGEISVVVEEE >KVH91772 pep supercontig:CcrdV1:scaffold_174:332833:342610:-1 gene:Ccrd_006212 transcript:KVH91772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific mitochondrial import receptor subunit TOM20 MSTNDERSELQNGDTKPEPDADLHRPEGTAIQLVDNDEGDSSKLHQPIQSNDNDVDVSPKSVDPKPEEEKSDKGPSIQPVDNVQLQKDEGSRTFTMRELLNELKNGDGDEGPETAATAAAAPRHSQENTQQHTEKNSAAMELINSITGSDEEGRSRQRILTFAAKRYASAIERNPDDYDALYNWALVLQESADNVNSDTSSPSKDALLEEACKKYDEATRLNPTLHDAYYNWAIAISDRAKMRGRTKEAEELWKQATNNYEKAVKLNWNSPQALNNWGLALQELSAIVPAREKQTIVKSAISKFRAAIQLQFDFHRAIYNLGTVLVYTSALKLVRSMLPLPYLKVGYLTAPPVGNPIAPHGDWKRTQFVLNHEGLQQIHNDEQRHTSISGDKSSNGRPAIKVDIPDIVSVSACADLTLPPGPSICIDTIHGPTFLIADSWEYLDTWLDAIRLVYTIFARGKTDVLAGIITG >KVH91778 pep supercontig:CcrdV1:scaffold_174:179308:183046:-1 gene:Ccrd_006198 transcript:KVH91778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCSETEDEFLDAHEVFVSSSDSGSECDDCSTSGFGYDSWVGNLDSVDERRNRFMRSMGLSSKRLVRDEENKHENDGFYQDLDETEKNPEPVSQQNLDLEDGFLIRQPSLSCWSNMAIQLGSSGSLKENLGGNTRNPNESSEDRSIKKSFDFSTDMLTEFMAKFRSSSQRSSSRRESENSSLLDRRKKGKKGWLQKLHIMARTTDHQAESSNSNDVACTRAVHVHANKKKSKELSSVYTTQDFPAHNGSISVLKFSHDGRYLASAGDDGIVRIWEISEQDHDPRNCEIQENDPSKRYFSTNHLSELAPIKVDKEKTRKIMRKSSEVACVILPPKVFRILEKPVHEFHGHGGEILSLAWSTKGYLLSSSVDKTVRMWKVGHDECLKVFTHNNYVRIWEVRGCQVVDWIDIREIVTAVCYYTNGKFCPTDSRKVIVSSADSQVRVLCGVNVVGKFKGNRSSGSQLSATFTADGKHIVSTGDDSNIYIWNHISSDKLYTKAKSNLSCESFFSENTSVAVTWRGIKTIAAAFPSPRSTNINTTLPRSTIKSPRMPSLNVNRSFLLRSPPTHPAETLPDATQVCVSPSMRKSEYKFLRSAYRSTFVAPHTWGLVIIAAGLDGRIRTYVNYGLPVRL >KVH91764 pep supercontig:CcrdV1:scaffold_174:233521:234645:1 gene:Ccrd_006203 transcript:KVH91764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGCVVLLSLLAGVVGFVTMVTGMNNVAQWDASNLYAASASSLISWSLTRALETVLIIVTGTQLFSMIAIQSGIEEVSRYSGGRV >KVH91761 pep supercontig:CcrdV1:scaffold_174:206851:209021:-1 gene:Ccrd_006200 transcript:KVH91761 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO domain-containing protein MHRRYLSSTIIVPFNYLKKLQINPTHRLGPSRTLTVETTKEPDANGDHLYADIPKPAKSKSERKPYLTPMKILVRRAKQEREARKAQPCKLLEEPPGNGLLVPELVGVAHQVYRARESVLLGLSKLVKAIPVLRCRHCFEVHIGPVGHEIRTCTGPKSGLRNATHVWRRGRVHDVIYFPKCFHLYDRVGKPRIIHNESRAVKQLPAIVELCIQAGLNLENYPTKRRTRPVYSIEGRIVDFDSTPSELATMTLDSWFEMISGAKKMMERYKVHTCGYCPEVQVGPKGHKVRMCKASKHQSRNGMHAWQEATIFDLVGPNYVWHVADPSGSLLSNGLKRYYGKAPAVVELCVQAGA >KVH91776 pep supercontig:CcrdV1:scaffold_174:383286:384442:1 gene:Ccrd_006215 transcript:KVH91776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSLSSSICFCLYYLLVYRIRFMLSAFHVE >KVH91767 pep supercontig:CcrdV1:scaffold_174:274456:275342:-1 gene:Ccrd_006206 transcript:KVH91767 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRAT-like domain-containing protein MMDCETQLVQISELKLGDHLYACSDMQTMGDAGIVIGEDKIIHYVNPVKTGGWGCLGQWCTPFMSSASGNDSGGGDGHGKERKTRCSISYCGQEKVAGSRVRLSCIECYMKEHGYLYRLIYESDPVEEVIERATFLYENWHSKYKRNGISNNQDFCNLLQDGSD >KVH91773 pep supercontig:CcrdV1:scaffold_174:325178:330980:-1 gene:Ccrd_006211 transcript:KVH91773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter RGSYLYLYSSPSTLQCLCAFGVFFILRRDRGQESIIMELFYLLVFGGLGLIVATLELSKNNKDRINTSSAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYTTYGFEKGDIGQLFIAGFGSSMLFGTIVGSLADKHGRKRASMTYCITYILSCITKHSPQYKILMRGFEQQWLSLTFSEAIFLGNGLVAILAGLFGNLLVDTLALGPVAPFDASSCLLAIGMAIILSSWTENYGSMYTFVFLWTPALSPNGEDIPHGFVFATFMLSSMLGSSFASRLLGRASIRVEGYMQTVFLISSASLLLPFITSFMVAPTEKGGSISFAGCIQMLGFCVFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNISVCIVLYNVDAFPISIMFGMCAIFLFIASLLQRRLLVVAESSWPLEKVRELETEPLNNI >KVH91766 pep supercontig:CcrdV1:scaffold_174:261189:269361:1 gene:Ccrd_006205 transcript:KVH91766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MSDVADKLSYFQAITGLEDTDLCTEILSAHGWDLEQAISSFTSTSTSNSDDNNPPTTSSVATVTDRADDGTEGFEQSRVINIGGGGPPGLAWKIITLPISIISGSLGLVSGAIGLGLWAAGGVLSYSLGMIGLTNSGRNGESSSAPIVSATAAASEARRFVSMFESEYGDRHPNFVSDGFMEALQQSRHEFKLMFVYLHSPDHPDTPSFCEGTLCSEVLSAFINENFVAWGGSIRASEGFKMSNSLKASRFPFCAVVMAATNQRIALLQQVEGPKSPEEMLTVLQRVLEESSPVLVAARLDAEERRTNIRLREEQDAAYQAALEADQARERQRKEEQERLAKEAAEAEMKQKEEEVARERAAREAAEREAALVKLREEKALSLGPEPEKGPDITQVLVRLPNGERKGRRFHHTATVQSLYDFIDSSGCLEIGTYTLATNFPRVLYGQEKLSSTLKEAGLHPQVSLFVEMNS >KVH91779 pep supercontig:CcrdV1:scaffold_174:191412:202963:-1 gene:Ccrd_006199 transcript:KVH91779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVDDDSHGVGESQGKQDDEVSRREELKKSLEAKLALRQSNLNPERPDSSALRTLDSSIKRNTAVIKKLKQINEEQRESLMDELRSVNLSKFVSEAVAAICDAKLKSSDIQAAVQVCSLLHQRYKDFSPTLVQGLMKTFFPGKSVEDVDADRNLKAMKKRSALKLLLELFFDGVIEDTGIFITIIKDLASLEHLKDRDAAHTNLSLLASFARQARYFIGLPHPGEELVEEFFKGLNITADQKKVFKKAFHTYHDAAVELLRSEHSSLRQLEHENAKILNAKGELSEENASSYEKLRKSYDQLYRGISALAEALDMQPPVMPEDGHTRLTTGDDISSPGTGKEASVAEALWDDEDTKSFYEGLPDLRAFVPAVLLGEAEQKVSDQSSKAQDQQTDSAPEPDQGHVASHDTGETSVDAGVLPERKNEKRKDEDEQDKGSMKESDKDKGKEKEKDGETKGEIEKEKLRGPEGTNLDGLLQRLPGCVSRDLIDQLTVEFCYLNSKSSRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPAGLIFSCLKACLDDFTHHNIDVACNLLETCGRYLYRYPDTNVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEPYLLKCFLKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNEYGMQQRRIAHMRFLGELYNYEYVDSSVVFDTLYLILVFGHGTEEQDVLDPPEDCFRIRMIITLLETCGHYFGRGSSKRKLDRFLIHFQRYILSKGALPLDIEFDLQDLFADLRPNMTRYSTIEEVDAALVQLEEHEHTVLTDKANGEKHVEQEKPTTISASGSSSVNRQALANGIEENGGTHEEGNGESDSASQGSTMDPDGNDDEEELDDDACDSDDDYAERDGPVSDEDDEIHVRQKVMLVDPEEEAEFDREFRALMQESLDSRKLELRARPTLNMMIPMNIFEGSTRGDHHGRVSEGESGDEAIDYESGGRKEVQVKVLVKRGSKQQTKQMLIPSDCSLVQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNAMGNLPVGWSQSGGGRVVYRGHSWEGHGGRSGSRHRYPHHTGGGYYYSRRK >KVH91781 pep supercontig:CcrdV1:scaffold_174:33305:41189:-1 gene:Ccrd_006191 transcript:KVH91781 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2439 MADDVRRWSVTYTKHLKQKRKVYQDGFLEIQSSTHKVKLYDDCDKLLDCKIVKLDDDVRSGETLTFGAYLVDIGDPHGESKPIPNLILKRRDNTLADRDGIPHSKASKRSSGIGKASSINMSPSQKIIKEFKRREVKKYCSSPSCPDTAKADSTEWQVLYTTQLTQKAKKFHDGILRVAISGMRGKQYSFFQAMLYDETRRLLDSRFLRSEEIVKVGESLRFDGHIVDIVELGDHTPLKDTNVEGRNCYMQNTMQSKIHKWDVLYTTQVTQKAKKFHDGVLKLASCGSQGRQEATLLAEDGTILSHRYLKLCEDISSGSLFNMPNYLVEVGEPRKHSEGRFCSSYWECPERASTLENETPKIRSFDVDNIKLCKRIPATRPLSDGGLLKRASSLQETESKATNPVADKVISTTISKNKPLRDAHSILSILKKPGAQEVAIGKLHLQELHPSLDSAFVQSGIQCQVEGQQDSCSRTSKMEVCDEETRNIRDYSGPISNCNAVYTNISIETRISADCEIMVDKQQKDTGYGFSSGLETSDITRSVTDMEFSTINNRTSPTEEPHAMEDLSVYHNDLSSTVSETCCESTGAADVGGHPEISGATLSCNHHDVSNDKRKGFENTNSRNAEFPSFDLGID >KVH91768 pep supercontig:CcrdV1:scaffold_174:277807:301648:-1 gene:Ccrd_006207 transcript:KVH91768 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRAT-like domain-containing protein MDVKSQLVDRSELKLGDHLYVCPDMQTMGDAGIFIGEDKIIHYVNPIKTGGWGCLGHWCTPFMSSTSGGNSGGGGDGHGKERKKRCSISYCGQEKVAGSRVRLSCVDCYINEQSFLYQFTYESDPPEEVINRATYLYENWRSKYKRKGINNNKDFAIYCKTAPIDVLEGRRDPDVVNQLVGRSELKLGDHIYVSPDLMTTPHYGIVIGEDKIIHYVNPVETGGWGCLGDWCTPFMSSTSGSNSGGGDGHGKERKKRCPISYCGQEKVAGSRVRLSCIDCFINEQRFLSQLSYESDPPEEVIDRATYLYENWCSKYTLKGIDNDKDFAIYCKIAPFDIDGLPNFRSINSRGSKNNLRSFAPADVPLFGINRPKKRSGVKIWLSKYKSRLNSQ >KVH91763 pep supercontig:CcrdV1:scaffold_174:220860:222590:1 gene:Ccrd_006202 transcript:KVH91763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type IVHINHLKHSFLCFFQEQWKVKGGHHSLSLSFSLSLSVLLSAMDRPQWPQEIVVKPMEEIVVPNTTNSSNSNPSKPSSSSSSFERRVIRPQKAAAHVNCPRCDSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGTLRNIPVGGGSRKNKRSSSSSSTGLSHPSVSISKKLPDLFVPPASTSVLSQNPRIHHGHYGQDLNLGFPSTNNFKNVSEFLQVPNFDHGTRNMTSSSASTTSSTTTTASAQLSAMELLTGITTRGTTINSFMPIPIPDPNSVYSPSGQLMIPMPEFKIPSLGFSLDGMASGGRGYGNSLHESTTAGGTLLFPFEELKTNTQTTHEDPDHVGQTRDQNGDSNGFWNGMLGGGSW >KVH91775 pep supercontig:CcrdV1:scaffold_174:402757:404409:1 gene:Ccrd_006216 transcript:KVH91775 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MAFLIIILFLLTVPPSSSALMPTKQQPDDHLDLTKGKWKLLKRSIGVSAMHMALLPNDRIITFDRTDFGPSNITFSFEKCPLESDCYAHSVEFYPSKREVRPLTILSDTWCSSGALLPDGVLIQSGGNNDGERVVRTFNPCDDCDWVENRNGLVSPRWYASNQLLPDGKVIVVGGRRQFTYEFIPKSSTSGRQFKEYQLPFLKETLRTDPFPNNLYPFLHLNTDGNLFVFANDRAILLDYMHNKVVRRFPVIPGGVSRNYPSTGSSVLLPMNLSGTTKTVSPVAEVFICGGTVPEAIDKALADIFVVGTKSCGRLRLNDENPKWEMTEMPLARLMGDMLLLPTGDVLIINGATRGAAGWNLAREPVLNPVLYKPGSKTFHTMNPTTIPRMYHSSAHLLSDGRVIVGGSNPNSNYNFSALFPTELSVEAFSPPYLFGPKPRPNITAIKPGVNLVHEQRIEVNFRWKNHGRKLEPGKVYVTMVAPSFTTHSFSMNQRVLLVEMAEIRQRSAENYVAVCLAPATVEVALPGYYQLSVVYDGIPSRSNWVRLGN >KVH91770 pep supercontig:CcrdV1:scaffold_174:2622:5200:1 gene:Ccrd_006187 transcript:KVH91770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLENLEKVLKKKDFPVLDIFICTADPYKELAINVVNTSLFLMAYDYPPEKRSRCMFPTVVALSLLSLLSWRLPSLQQFGCCFVGIITSWIDAQRPYFNQMKLHFLTVPRSKVQVSVVMTNALIVLTQDRNMYSNDTKTPEWVLCFYADYSIRYIYDNYENDYSIHYILAHEVAGSNYENDLVWGSKIGFSYGSLSENSFTGLHQHSKGWKSLFFHPRRPVFLGDLLISLYDALNQNRRWCIGFLEVVFQNTTLLTFGSRFMGPLVGLAYAHNALLPIWSISIIIGHCTTSSSQTSRVCGFLCTLFSFLRPTCKIVLISCWLMGCSNNGGQSIDVAHTRSLIIPFRIHRILDQTPWDCIERVPRR >KVH91762 pep supercontig:CcrdV1:scaffold_174:209933:211948:-1 gene:Ccrd_006201 transcript:KVH91762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRSNFISPLISSSSLGFSLSWHTSFFQVLQLSRAILPNLGFLKAFSQGLHDDLALQTVIADCLCRCLGVVVADRVVAVCYSVKSYKEMEHVDDDSHGVCESQGTQDDEVSPSRRIEEVLRSKTDSSLE >KVH91771 pep supercontig:CcrdV1:scaffold_174:346516:346959:1 gene:Ccrd_006213 transcript:KVH91771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MADERAGAEIVYGADNCHRHSIELLKELGFPTGVLPLKDLIECGRVRETGFVWMKQKVPYEHFFEGTKTRVSYATEVTAYIEKCKMKKMTGVKSKQLLMWVPIVEMSMEDASSPSIYFKIPIGVGKSFPVMAFMNDEEKKTYLEQSK >KVH91774 pep supercontig:CcrdV1:scaffold_174:322858:323911:-1 gene:Ccrd_006210 transcript:KVH91774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MATVHHHHHHQWWFAILFFFFLIQSNVLAYQYQVGNLQAWNIPTSAEPKVYSNWPKKLSFKIGDSLLFLYPPSQDSVIQVTKESYNSCNLKDPILYMNNGNSLFNITSPGVFYFTSGVSGHCEKSQKIQIAVFSEDGSLPPASGPSGALADSAPSYQNAFGGIPSGPSSSSQTIEGSMFMSVAIGVVVCGLVGGKM >KVH91765 pep supercontig:CcrdV1:scaffold_174:253822:256212:1 gene:Ccrd_006204 transcript:KVH91765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEFVDQTRRMFQEFVNGGHEIASSSLNSQVCHQNGLISSEESCFLDAPPDFGIPNEFFQMEDFNLGSFTPKDDFLSFIPVNYDESVTISGSDVDPLGNMGDLGDILAPVIDGSHSSFDSYSSGCMFVPKPTRQFDCSALGQKPKERLFAGLGIEELLEGISGISNAASTSCVEGQVAKRRKTGNSMWEASSLQPVLYNNNLEPKKDAMAKSASGLRMVDGYSMSGSSTIVQAMKQVETSKPAKKKAKPGTRPRPKDRQQILDRMAELRQLIPNGEKMSIDCLLDRTIKHMLFLQSVTKQAVRIKEADEPKHNGVVSIDPSTNGVTWACELGNQTVVCPLIVGDLGSPGQMLVEINRHVTRHWLFSALVRLLQMIEVGGDGKKYGEIGNSLLDDLNRQSGIQNLVNLAEMQYCMNL >KVH91780 pep supercontig:CcrdV1:scaffold_174:27438:33129:1 gene:Ccrd_006190 transcript:KVH91780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNISSDVNDLRSPSNYNEFLLRHSLLFADGLKSLRTCQAYADHGGLIHQSLMMETPKYRKKYLLPEGCISEDVKAKQVKGRSSGLRNGYSGQASTELPSGLITFSFTKSASRKGVATIRGLEISFLVSTKREHKRYRSLL >KVH91784 pep supercontig:CcrdV1:scaffold_174:56962:59652:-1 gene:Ccrd_006194 transcript:KVH91784 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter PTFVKIVKKGEVEQFSPIPYLATFVNCGIWVLYGLPLVHPHSLLVITINGSGFVIETIYLLLFLIYSDRKQRVKVLLIALAEILFLVVLTALVLTVAHTTKVRSSIVGSIAIVGNIMMYASPLSVMIPNGLGSLLGITQLILYATFYKSTKRQLAERKASVEMGPNAGSIKKINVAHNEHP >KVH91787 pep supercontig:CcrdV1:scaffold_174:100157:117479:1 gene:Ccrd_006197 transcript:KVH91787 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase assembly protein CtaG/Cox11 MAGAISETVQRFTAETLKAASKQSIGCYVVPLRLRRAIKKYIRDQEDQHMKRKVSRLSESFNDIKDVNLQLAASTARELVDDPLKYVGCSKRWKIKSCYGDIGLKYRDDQTIAYVASRMPAVFSACHRVLTEVKRRLPGFSPARVLDFGAGTGSAFWALREVWPNSLERVNLIEPSQSMQRAGLSLIKDLKNLPLIQSYGSIQALSQNISKSDRKHDLVIASYVLGEIPSLKDRITIKSRKLAKASNQVSKNLMTHKCGVHIVAPCPHDGACPLDNTGKYCHFVQRLQRTTSQLAYKRSNGVPLRGFEDEKFCYVVFRRGPRPRQFDTLKEQNAKMNPEDLDSSYEPEDILYDKKMIKYESDINEPYATTESDAEEEAEDETTRADLGSGWGRIIYSPIKRGKRIEMDVCRATNHDGSEGRFERVTVTKSKNP >KVH91769 pep supercontig:CcrdV1:scaffold_174:9368:17487:1 gene:Ccrd_006188 transcript:KVH91769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MGGGHLHTYKHVPCTHFNRVFAIIYTCAILSLLHHHFLTLLRHSTTVVSATITASFLISDLILAFMWATTTSFRLRPILRETYPENLEEVVDRTDFPAMDIFICTADPYKEPPMNVTNTALSLMAYDYPPEKISVYVIYEGMKIRVENVVERGEVSPEYITTELQRQAFNKYRTPGFSQSVKEKDKMGNSMPNLVYVSREKNKNSPHHFKAGALNSLLRVSGVMTNAPIVLTQDCDMYSNDPQTPKRALCYICDPLVRPELGYVQFPQRYHGLNKDDIYGGEFLRLFVANPVGTDGLQGPSYVGSGCFFLRRAFFGGPTSKVSPEIQELRPDHVVETSFTMQPIMELAHYVAGCNYENNTKWGSQLGFQYGSLVEDYFTGYRLQCEGWESVFCHPSRPAFLGDIPISLIDAISQTRRWAIGLLEVGLSKYVPVIYGSRSMGPIMGFCYAHYAFWAILSIPITLYSFIPQIALLNGLYIFPKHTCKIVMTSSYSVPLLKDGGTIKGYG >KVH91777 pep supercontig:CcrdV1:scaffold_174:355071:356312:-1 gene:Ccrd_006214 transcript:KVH91777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MMSAVKTRKNKDEGRSRFSNEQIQFLEYMFETQSRPELRTKQQLANKLGLHPRQLQMLRDLAKTQQGNITMRPDFANKSSNENFDDKITLSMADNSKVLSENDNQEIHVPFYGDTSYVGEDGKIIETEEHKSRSDSDRPSWWEF >KVH91759 pep supercontig:CcrdV1:scaffold_174:306067:307022:1 gene:Ccrd_006208 transcript:KVH91759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVMKHETVSKSQLKAGDHVFAPCLTSEGDNGIFIGGDRVIYVVERKEGGGCFGARWCAPFMSTTSSGGGDSEKKKKTHCEKSYCGEEKVARSGVRLCCVDCFINEEGFLHRFICESDAPKQVVERATYLYENGCSEHKGTNVGTNYGFVEYCKTGYAAPDSATIKKNRESARKMFK >KVH91785 pep supercontig:CcrdV1:scaffold_174:74303:77865:-1 gene:Ccrd_006195 transcript:KVH91785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTEAIRTILGVAGNITAVTLFLSTVPTFLVIWKKRSVEQYSPVPYLASFFNCGLWVLYGMPFVHPHSLLVVTTNGAGVAIELVYLLIFLMCSDKRKRLKVALIMLLEILFFGVLVILMLIVVHTPQQRSTIVGSISVAANILMYAAPLSVMVPNGIGALLGILQLVLYATFYKSTKRMLAARKEKTEMGLAVIDTSKNQNGNVAHS >KVH91760 pep supercontig:CcrdV1:scaffold_174:318648:321261:-1 gene:Ccrd_006209 transcript:KVH91760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVGPRLYSCCNCRNHVALHDDVISKAFQMNVSIGPKENRHLMTGLHVVADAYCSDCREVLGWKYLRAYDRTQKYKEGKFVLEKSRIVKENW >KVH90519 pep supercontig:CcrdV1:scaffold_1740:56908:58899:1 gene:Ccrd_007474 transcript:KVH90519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKFTKFLQIQIPPAAEQIIHHHIPNGLNYAAYGRLIQHCTNHRLIRQAKLLHAKLILSSVTLDNFLASKLITCYSKSNNLFEAHKVFDEIPNKNTFSWNALLMGYSMNNRHTHTLKLFCCFLSASTMSVKPDNYTVTCVLKALSLLDHASWGKRFHCFIIRNGLDWDIFVVNALITFYCRCDDIVIARRLFDWAPGKDLVTWNSMMAGYSQGGFYEKCKELYLTMLSLEDTRPNEVTVISVLQACAQSNDLDLGMKVHRFVMDDEIKMDLPLCNAFITMYAKCGSLNYAEQLFEEMSEKDEISYGSIISGYMLHGFVDKAMNLFREMERPGLSTWNAVISGEFQNKQYEMVVDLFHEMQVCGFRPNTVTLSNILPTLSHLMNLKGGKEIHAYAIRNSYDRNIYIATAIVDTYGKLGFLDGARIAFGQSEKRTVTLWTSLISAYSSHGEVKVALDLFSEMTNEGTQPDPVTFTSVLSGCAHSGLVDEAWRIFDSMLPRYGIHPSMEHYACMVSVLSRALKLSEAIEFIKKMPFEPSAKVWGALLNGASLSGDVEVGRFACNHLFEIEPENTGNYIIMANLYSRSGRWEEAEDVRVKLDSIGLKKIAGTSWIETPGGMQSFVAKDLSNEKTEEIYETLEGLFNLMREERYTVSEDCLEKNHSVLL >KVH90514 pep supercontig:CcrdV1:scaffold_1740:88279:98925:1 gene:Ccrd_007478 transcript:KVH90514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSVKEVLPSPLDSTSQQPPLFDGTTRLYTNYQCPFAQRVWITRNYKVPSLEHDNKIIGESLDLVKYVDAHFEGPSLLPDDPAKREFAEDLLTYTNTFNGTVFSSFKGDTVKEAGVAFDYLESALQKFEGPFFLGEVSLVFLKEVFKYDITSGRPKLATWIEELNKIDAYVETKCDPDYVVQMLYLNYQCPVAQRAWITRNYKGLQEKIKLVPIDLANKPIWYKEKVCPENKVPSLEDDNKIIVDSIEMVKYIDAHFEGPALLPQEAAKREFAEDLLAYTNTFIATAYASFKGDPAKEAGAAFDYLESALEKFEGPFLLGEVMSLVDIVYIPFVERVDIFLKEVYKYDISSGRPKVAKWIEELNKIDAYLDTKVDPDFVVQMYKKRYLGQSSN >KVH90520 pep supercontig:CcrdV1:scaffold_1740:61419:61979:1 gene:Ccrd_007475 transcript:KVH90520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPPYATNILYGRDLDSVLLLLLLCELHQHDPESLKTLSPMDWRASLSNYVSDTMLTLSGVSFTNSETLIKYIEVVTKCRKFVDVMDCGDRKEGKEVAASVAAVVALPSVEVQQGGKECVICKEEMRKGRDVCELPCEHQFHWMCILPWLVKRNTCPCCRHRLPTDDLYGEIERQWAFLVKIGAGYF >KVH90517 pep supercontig:CcrdV1:scaffold_1740:12992:44429:-1 gene:Ccrd_007472 transcript:KVH90517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MDFFNERSKSSCHYESCDVIGDEYGCRRGLSPSACHRRRGPVAVSLSPSPWACRREPVACRRRRGPVTIAVACRERAVQHRAWSGGGPGGKSSNVVRGLEVLEEKWRIGRYQSRLGFRRKLCGSKSMAVTEDKSLNRRSANYAPPLWSFDHVQSLSSKYTGEDYEARVDSLKKSVKTMIQEVVGNPLKTLELVDNLQRLGISYHFEEEISQVLEMIYNDYYKTQEQWNGMDMNLKALGFRLLRQHGHHVPQEMFLNFKVKAENDKPQSDEDNNHVVGMLNLYEASYHSFEDESILDDARDFTTKYLKESVDRIDGSSSLGSLINHALELPLHWRIARLEAKWFIEAYREIVSPTLMELAILDFNMVQAINLQDLKESSRWWRNTCWDKKLSFSRDRLVEGYMWTIGVWSLPNFSLGRRTLTKVNAIITAIDDIYDIYGTLDELQQFTDICFLGSFNVINEMAYNTLANSNSGVFILPYLKKAWVDLCNAYLLEAQWYQSGYIPTLEEYLHNASVSISVPVGLMHCNFLTSNYTSTQEIQQCIQRSANIVHYSALILRLADDLGTSSDEMARGDNPKSIQCYMHETGATEDEARNYMKSLINKTWKKLTKERAGAAATCEFLMEFECATNLARMAPFMYSQGDGYGRPQLAKSNILSLFQRLQAIMFIKVYVNDRLNLWRNPSEEDYEARASSLKKAVKTMIQKVTGNPLNSLALVDNLQRLGISYHFEEEINQVLELIYDDHFKTQEQWNGMDMNLRALGFRLLRQHGYHVPQEIFHDFKHKTEHVKGDIDVVGMINLYEASYHSFEDESILDDVRDFTTEYLKQIRDTIDGSSSLWSLVSHALEFPLHWRVPRVEAKWFIEECKKTSGMIDLTLMELAILDFNMVQAIHLQDLKYSSSYLPKFSLGRKTLTKVNAMITTIDDVYDVYGTLDELQKFTDVITRWDINAIEELPDYMKICFLGFYNTINEITYDNLTNTGLLILPYLKKAWADLCKSYLVEAKWYQSGHTPTLQEYLDNAYISISGSTVLMHCYFLTSMTSTQEILQCLERTNNIVRYSSLILRLADDLGTFSICFLGFYNTINEITYDNLTNTGLLILPYLKKASYLVEAKWYQSGHTPTFQEYLDNAYTSISGPTILMHCYFLTSMNSTQEILQCMERTDNIVRYSSLICRLVNDLATFSNEMARGIIQKQSKVTCMRVVLLKTKLKPTSYINTLICKTWKKLTKK >KVH90521 pep supercontig:CcrdV1:scaffold_1740:82515:86188:1 gene:Ccrd_007477 transcript:KVH90521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl transferase type-2 subunit beta MGDLAAEKHAKYILLVEKRKDDVESVLMEHLRINGAYWGLTTLDILGKLEAVEQEKVVPWVMSCQHQSGGFGGNEGHDPHLLYTLSAIQILALFDKIEVLDIDKVSSYIASLQNEDGSFSGDIWGEIDTRFSYVAICSLALLHRLDRINVEKAVKYIISCKNLDGGFGCTPGAESHAGQIFCCIGALAIMGSLHYVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDIEKGGISDRPDDAVDVFHTYFGVAGLSLLEYPGLKAIDPAYALPVDVVNRIFLR >KVH90515 pep supercontig:CcrdV1:scaffold_1740:103073:106523:-1 gene:Ccrd_007479 transcript:KVH90515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase ICDGCSITQNTLFYELGISNFPFNAKGPSPLLLHASSSSSSFLCLQFSRQIHPMAAIARLLKPSSPSSSISSFLKRSVSTTTAVASEIESQHHQRPNLSDPKGSRNFQWVFLGCPGVGKGTYASRLSTLLGVPHIATGDLVRAELSSSGPLSRQLAEIVNQGKLVSDEIIFNLLSKRLEAGEAKGESGFILDGFPRTIRQAEILDEVTEIDLVVNLKLREDVLVEKCLGRRICSQCGKGFNVASINAKAENGRPGMSMAPLLPPSHCASKLITRADDTEEVVKARLHVYQETSKPVEDFYRDQGKLMEFDLPGGIPESWPKLLEALNLDDHEDERSAVA >KVH90516 pep supercontig:CcrdV1:scaffold_1740:2146:5041:-1 gene:Ccrd_007471 transcript:KVH90516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMFFFSCSILHYKNHLATTRGFDVCKPLCSSSQSKSMVVTEDKSLNRRSANYAPSLWSFEHVQSLSSKYTEEDYEARAYSSKKAVKTMIQKVTGNT >KVH90518 pep supercontig:CcrdV1:scaffold_1740:50620:56211:-1 gene:Ccrd_007473 transcript:KVH90518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID, 23-30kDa subunit MNHNQQLNEVKHEDESALTDFLASLIDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVSEVATEALQQCKARQATVVRDKKDKQQRDKRLIMNMDDLSKALQEYGVNAKHQEYFADSPSAGMESAPRDE >KVI11354 pep supercontig:CcrdV1:scaffold_1742:2677:30810:1 gene:Ccrd_010236 transcript:KVI11354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHQKRKINETRVTILYLSIFVVTGQYSHGHEAASLREHEELVHQKYNDSPNLYFCEFCMNFMKRKKQLQRHMTMVPPPIDPVAQKVDSVEEKVASVRIALNAATLSVEEEQMALFTQRFTELAAENSINQGGPPPTGILSATKPTPNSTYGKFCSDGFGRIQYDEQTSRGSERPLRQGITDQAGEGLMLLM >KVI11355 pep supercontig:CcrdV1:scaffold_1742:78185:84350:-1 gene:Ccrd_010237 transcript:KVI11355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLQWMEAMLPLGIIAGMLCIAGNVQYTIHKAAHGRPKHIGNDMWDVAMERRDKKIVDEKLSPAAN >KVI06727 pep supercontig:CcrdV1:scaffold_1743:16982:97463:1 gene:Ccrd_014918 transcript:KVI06727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSFKPPTTSCATTMLFGGSKDHVNHRDRPQCSTYVRISISSYALRRPSLHSTPVTPALRILQATGDAAPLQAANAAVLHLAIIVKNIRTTCRVSSLVDKSRKQLQTTAAIRVDPTPKKLEIQPPKEHKGFNLKKLTYDKRKQKLIERLNALNAAAGGADHE >KVI06728 pep supercontig:CcrdV1:scaffold_1743:10224:11880:1 gene:Ccrd_014917 transcript:KVI06728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MESYGNVFDEEWVNLSSIFSCDQDSNHFAGHKLFSTEHDHGLNLEAPSILWPSAKESNSSNSSVNDDQILAYTSDQDLKPNFCNYFSQESINATNSLPYPCHDDVFQFCHSNTPTDDVCDQSKDLSLREGDSNNFSLLAQVFSDEAMEEILCLRQEVVKCKRENSVGLPVFIADLGKETPLKRNNEDDGEESNQDNENIINNNNGRGNAQSSSSCSSEDDSNVFQDTNGGAINLTVKTRAGRGAATDPQSIYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDKWMYAPIAYNGMDMGLYQNISPNL >KVI06726 pep supercontig:CcrdV1:scaffold_1743:99790:101355:-1 gene:Ccrd_014919 transcript:KVI06726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNLTTICKWSFQNSTRRAAHTEAESRAIASLLEEKLNAELPQTNNGASIYRVPHRLRSVEPKAYEPSIVSIGPYHHGAAHLQAMENTKLIFFHRLFNPNQPNQPNLRALVSELKEMEHKARGCYSEDLKLSSKQFIDMLLIDSCFVIQLLRETREVDYSNKSILIKRWMLPVLQRDLIMLENQLPLFVLNKLYDLTTTCRATKDLGLKDLMLQFFEPMIYKDLGTPRNSALREGDGRNHFLELFRASICPTEVLEKEICGKEPHMFRSITELRKSGIKLKKAEKCQPLDVSFEIRRGVLKIAPLSMDDHKFTLFRNMVAFEQCHFACKPHVTAYIFFLDRLINSAEDIELLHHSGIMQHSLGGNKHAARLVNMLCKEVAGAVDDSYLHNVLWKINCYCNNGWHQKKAKLKHDYFYNIWVSFSTIAAIVLVYLTILQTIWGLGDEDARDHMFGNGFWRSFGEAFLIPFRGVGPSKKSSLQIQIDEEQAIDEKGNQIDEYLQWFFHSNISDDIKPFFFMSC >KVI10740 pep supercontig:CcrdV1:scaffold_1744:36607:37568:1 gene:Ccrd_010858 transcript:KVI10740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 MADSKQPPHLLFKQRSWSPDIHRDKEWQKLKFKQLRRDRHRRCKSIDADIDVTDDDVKELKACFDLGFGFDPSHDLDPKLTQAFPALELYAAVNRQYNNRLLSRSSSSLSSDSSSSSSSLTTAIIDPNDDPQKVKTKLKQWAQVVSCSILDASPQPITKIAE >KVI10737 pep supercontig:CcrdV1:scaffold_1744:69040:71851:1 gene:Ccrd_010861 transcript:KVI10737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--nitrite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15620) UniProtKB/Swiss-Prot;Acc:Q39161] MSAFSVKFLPPNSFLTPKTNITATRLYANPSQTAVPAPAQAPEDVTAERREPRVEQKDGFFVLKEKFRQGINPQEKVKIAAEPMKLFMENGIEDLAKIPFEEIDKSKDTKDDVDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSAQTRYLASVIREYGEHGCADVTTRQNWQIRGVTLPDVPAILKGLDEVGLTSLQSGMDNVRNPVGNPLAGIDPHEIVDTRSYTNLLSQFITANSRGNPAFTNLPRKWNVCVIGSHDLYEHPHINDLAYMPATKNGRFGFNLLVGGFFSPKRCAEAIPLDAWVPAEDVVPGVEGFRSEVVKRMPEQMLERSSSEDLVEQKWERRDYFGVHPQKQEGLSFVGIHIPVGRVQADDMDELARLADEYGSGELRLTVEQNIIIPNIDNSKVKALLDEPLLKDKFLPEPPILMKGLVACTGNQFCGQAIIETKARALKVTEEVGRLVSVTRPVRMHWTGCPNTCGQVQVADIGFMGCMTRDENGKVVEGADVFLGGRIGSDSHLGEIYKKGVPCKDLVPVVVDILVNQFGAVPREREEGEE >KVI10735 pep supercontig:CcrdV1:scaffold_1744:78112:80676:1 gene:Ccrd_010863 transcript:KVI10735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MSIPFFLLWLLSSPLFSLAAPSPRGYFINCGSKTDIQQDGITFLRDEGYALAGNLITLNRTDILSLLTTLRYFPHGPGKYCYEFPVTKGRKLLIRTTYFYGGFDGGIDPPIFDQIIDGTNWSVVNTTEDYKNGLSSYYEIIVIAIGKTISVCLASNEHTKAPASPFISSLELMNLDPSLYNSTDFGKYGLITVARSNFASDGDFLRFPDDPFNRYWQPFIDGNPTVEAHANVTTSGFWNQPPAKIFLSGSTTSRGKNLTLQWPPFSLPSNRYYVALYFQDSRTTSPYSWRVFTILIDGETFYQDLNVTTKGVTVYGTEWPLSGDIEVTLTPRSDMPVGPLINAGEIYQILPLSGTTLARDAMALQIFKQSLRNPPDDWNGDPCLPIAHPWSGIRCSQVNPVRVISLNLTGLSLRGILPKEIARLTALKELHLDKNEFEGPFPDLQDTFPKLRELRRDSADGV >KVI10739 pep supercontig:CcrdV1:scaffold_1744:17443:20525:-1 gene:Ccrd_010857 transcript:KVI10739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGSFINFKNFGDTSQPELNGSRQMVSNSPLARQSSVYSLTFDELQNTLGGGGKDFGSMNMDELLKNIWSVEETQAVASTSNFGMDGSVQRQGSLTLLPRTLSQKTVDEVWRELLKSSSNGGFKDGELILEANLQPPQREMTLGEMTLEDFLMKARVVTENNEVQTNGRSGRSQNGAYFGDVSQQNVENGSFIFGFQNPNQNNGFQQQSAQNNRPTLNQITESKNGLNLQPKPQPQPQPPAQPLFPKQAALDFSAPLNGKLTSPGSKLTIPGTRVGKSEHPIKTSVVQASGIESGVMNIKSLGGLGTGSPRNLIPKSNLDTTPSPPYYAFGEGGLRGRKSSGTLEKVVERRRRRMIKNRESAARSRARKQASFLKQLTSIFI >KVI10738 pep supercontig:CcrdV1:scaffold_1744:56385:64577:1 gene:Ccrd_010860 transcript:KVI10738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 10 LRRWPHLLGQQRFKAWTLFSSYPKFPSSIFAFYFSPTSADCRFISRFLIINSNMGLLNPNQRSSRGTQLDPHLTSSSSSSASNSPSSINMHNSRKKWSNLLPLFLVLVFIAEISFLGRLDLIKNTDLLNSWTESFYQFTTTSFSSSSLDDADEVSMLGLSGAGLDVVGSDDGGESCEEWLEREDSVVYSRDFEKHPVYVTGAEQEWKSCDVECKFGFDNKKADAAFGLPNQAGTAGVLRSMESAQYYAENNIAMARRWKRNKDISDDLSSFRKGYNIIMTTSLSSDVPVGYFSWAEYDMMAPVQPKTEKALAAAFISNCAARNFRLQALEGLEKSNIKIDSYGGCHRNRDGNVNKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGSVPVVVGAPNIQDFAPAPGSILHIKELKDIDSVAKTMKHLAENPVAYNESLRQVFFLWKYDGPSDSFMALVDMAAVHSSCRLCIFLATRIHEKEEKSSVFPKRPCKCRRGSETVYHIYVRERGRFNMESVFLRSGNLTMDALETAVLLKFKSLKHEPIWRNERPESIRGDEKVLKVYRIYPVGMTQRQALYTFSFKSSTAFTSHIKSNPCAKFEVILV >KVI10734 pep supercontig:CcrdV1:scaffold_1744:91189:93303:1 gene:Ccrd_010864 transcript:KVI10734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLNLQLNNEQQQGGFLGPRISFSNDFGETAAANMKHSTTTYTEAPVSSDFEFSVPCFASNSADELFFRSSSKILPLKEKVVTLRDELLAAAANDDDDDGIFLPKSSGWWRFGRSQTQSQSQNHSVCLAAKKGDHKNHGGLETIDEANHYHRQKEGLHCINQHS >KVI10736 pep supercontig:CcrdV1:scaffold_1744:75314:77775:1 gene:Ccrd_010862 transcript:KVI10736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinoprotein amine dehydrogenase, beta chain-like protein MGGSHSREGLDLSDSDDYKDDDEQSSEGEDEYEDAEAEHKTAPVKSVDDIDAKLKALKLKYSSLQNPNLKNAVKLYLHVGGNTPKAKWIVSEKITSYKFVKVSKIEDADDEFDDDESSGGFWILKVGSKIRARVSTDLQLKMFGDQRRVDFVDSGVWALKFMRDEDYREFVTRFQSCLFENVYGLKASDENKVKVYGKDFIGWVKPDVADDSMWEKDDDELWRSPSKMTPVRGSNDLLEEFEEAASDGGIQSVALGALDNSFLVNDSGVQVVKNFSHGIHGKGVYVKFDNGGKRVGGSSSGGYSNLTPQKALLMRGETNMLLMSPAKAGKPHSTGVNQLDIETGKIVTEWKFEKDGTDITMRDITNDTKGSQLDPSESTFLGLDDNRLSQWDMRDRRGMVQNIAHSDSPVLHWTQGHQFSRGTNFQSFATTGDGSIVVGSLDGKIRLYSTTSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTLFTDKDGKTKTGFSGRMGNKIPAPRLLKLTPVDSHTAGVNNKFHGGRFSWVTESGKQERHLVATVGKFSVIWDFQRVKNSGHECYRNQEGLKSCYCYKLMTKDESIIESLFMNDKYAAVGDSPEAPLVMATPKKITSFSMSDGKAKGKRR >KVI10741 pep supercontig:CcrdV1:scaffold_1744:48145:50950:1 gene:Ccrd_010859 transcript:KVI10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase PKSRFKAQKPKKGGSLFVGLIQSHAEDGKRPKPHPKIAFLTGIDNIQRLRRLSWRLKPPDNSPILTNLGSLKDFTTFIYFGAGKMAPIGVLVVGFLSMVSMVQGYNGGWVDAHATFYGGGDASGTMVITRLKLYCACGACGYGNLYSQGTKEFVFRARTCSESIQLKPKSMDLKEELNKNLYEPLEPYATGFFP >KVI02994 pep supercontig:CcrdV1:scaffold_1745:76354:96552:-1 gene:Ccrd_018714 transcript:KVI02994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRRIQAWVSINDFLISLAISVGWVSLNLKTFSITDSSVAVVSDPQNAVQSFTIKPPAMTSLPRLTVPATNGTWRRVESSSKSSIDELCLERLRAESSDCHTDFEGQSRAQFHESNLEKDQLKKRKKARGKPNGHVIILIAKAHEIFTNAKPSNNPRTTNRGFNNRNNIGQLGLKNAANPHPSSCNVGMIDQVPAKIRIRGALISRIISAVFVVRHSLLFFNLFLALFDLHFSTQEHEEEGFDSEISKSQYVLKLIVKEGYAAREFAKQGVQPGELAVISKEGVLIGEGSYGRVHFANLNKAPKGNRRVLAYEFATMGSVHEGLSITITNAAKEFEVVDVIA >KVI02996 pep supercontig:CcrdV1:scaffold_1745:24354:26727:1 gene:Ccrd_018712 transcript:KVI02996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDSSAKSADEVVEEIMRIHRSLPPRPVIDDVEAAVILIRNADNEEQSRIESIARQKKRKHISEELFNVLVEMQKHLVHFQSKEQKREAVKLLDLENYHQLFDEMIQRASKCCAPSNNNSTQSVASSSSSSSPLTNPPSSSVSVSTTSTSSLSFDKEPVKSPQFFTRDDSYVEKSKSSFHGGAIGGGLRSSEFFRPQIVDSTLKPAITSGPDGEKLSLIKLASLIEVSSKKGLKDLNLHNKLMDQIEWLPDSIGKLSSLVTLNLSENQLLALPSSIGSLLSLTKLNLYSNKIVELPESIGNLVNLVHLDLRGNLLTSLPATFGRLTRLQDLDLSSNNLPVLPDSLGSLVSLQKLNIETNGIEEIPHAIGHCTSLTVLLADYNKLKALPEAVGRIESLEKLSVRYNNISRLPTTMSSLKNIKQLDLSFNELDSVPESLCFATTLIKINISNNFADLRSLPRSIGNLENLEELDMSNNQIRILPDSFRMLSKLRVLKVEGNPLEVPAHNVVEQGAQAVVRYISEAHEKKDLKSPLVKHKKSWTRFFFFSRSNKRKRRGLDYVAA >KVI02995 pep supercontig:CcrdV1:scaffold_1745:33718:60103:1 gene:Ccrd_018713 transcript:KVI02995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brf1-like TBP-binding MYLNSSSEADVDGKGYFHEPNRKKEVLMKWNETRKHCCYMTMFPNWIIQDLVSLEMIELVLQAMLSIFPLFLVLCGLRRSLLLLCSVESVVCRWPLPRFLAFGGSSATELSTFNLCSSIDSSLLSSLTLLFSDRDNSQAKRWFGVLIVQKIARHIAIIRQAVCKCCVDCGKVVSQDIYTEDATFVKDSAGGQAHLAGNLVRMENACAESHRRTLEKGNVVIYELIDKYGISNVSHATKYYQIAVERGFTKGRRTGQVAAACLYVACREKEKPFLLIEFSSELGVSVYELGTVYLQLCKLLSLQDHPFVQKPVDPSLFMHRYTSGLIKGDGNKKVLNTALHLAVSMKRDWMQTGRKPSGICAAAIYVSSVLHGYNFSRADVVKAVHICEATLTKRLIEFENTKAGSLTIEEFTENALEFEKEMRSCKQSVKDVKMPGLTEVVCQHKSNAVQSGFGLCRKCYMDFCGGLDGSEPPSFQRAEMERLAKESVQMSTKSTHGLEAHQKTNDIENGTRRNIQPIENLKVTEVQCTSSTPEKLDKISDTVDTSERLEDVPDTIDETDTLSDIDDSEVNCYINSAKESHYKKILWEVLNKEYVQEQAAKEAAAAAARKLYTGTPEEIREAQAMAAAAAKLVASKKENDKRKRALEAKNAKPAQTAAEAASQLFTKKKLSSKINYDVLNNLFDDEPSPKKNRIDDSDTKETESGKKLDETNDVGEEEDLDYDDGETEGQEWNYDDEQGMNDYRYDDYDGGDAYDDEY >KVI02993 pep supercontig:CcrdV1:scaffold_1745:90998:96846:1 gene:Ccrd_018715 transcript:KVI02993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 MATRLRFENSCEIGVFTKLTNGYCLVADEGSESFYSIFESELADVIPVVKTSIGGTRIIGRLCIGEYFMSFCNQYYDMPIRLLKTDFRYCFVGNKKGLLLPHTTTDQELQHLKNSLPDEVVVQRIDEKLSALGNCMACNDHVALTHTDLDKETEDMIADVLGVEVFRQTIAGNVLVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAGGLIVNDWTAFCGSDTTATELSVIENVFKLRETQPTDIANEMRKSLIDTHA >KVI04432 pep supercontig:CcrdV1:scaffold_1746:13021:14937:-1 gene:Ccrd_017253 transcript:KVI04432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDPPPSTTALHHHYQDSLETSSVSSPTCRQWDDTLPPPKLRMMCSYGGHIIPRPHDKSLCYMGGETRIVVADRHTSLADLCSRLSGSLLHGRQFSLKYQLPSEDLDSLVSVTTDEDLDNMVEEYDRLNSSNPSSRPLRLRLFLFLSRPETAASMGSLLDDAKSETWFVDALNGAGLLPRGLSDSAVIDNLLEPKDGEIQEDRENYTESKMVKGLVHDVLQSSLPDSPMMESTSSFGSSSSSPSMANLPPIKVRVDHMNQMAGLDEQLSQLNVNHVPPPQLPVNNVGGWAASDDERSDQGAPTNGLRKPPLPLQPVQRKFGGHDAYSLPSPDSKHGGAYALHSPDSIASDSSIASANCHSKPTFAQDPNPSSNRDTATPPAATQMYTTTTAMNYQIPTQQIPAFGDIRPPPPQLNQDQQQQFYHIQPHYIHQPPTNQPSPVSSYYPVYATPPPPQMDHQQYPLYYLPVSQNHPYSMQMQSNPPDTTANPTTVTSSATTPPLYPTKATIPLNKSDVVTNSLYGTTNPGMIQVPNNQFQQQYVNLSQIPQSHHPPTPMPITSNGGNYGYEYAHPTQDQVYYSPSQYQTMTPASAMLLAQASSAQQPAPESGK >KVI04431 pep supercontig:CcrdV1:scaffold_1746:96999:100028:1 gene:Ccrd_017254 transcript:KVI04431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVIGVYWWYQNDDLCLPLFKVPPKAIPPFWHAIFTIIVNGGVSILNINLFSDAASRNVKSFLIHKEQQINGIIKSMKQMQESEKAGAL >KVH91495 pep supercontig:CcrdV1:scaffold_1748:21992:23608:-1 gene:Ccrd_006482 transcript:KVH91495 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MANDNLKVLNALDIAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHDYGDDKPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICSIASGLSFSSEPTAVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGMVACIVSAAFKSKFPAPAYEVDQLGSTVPEADYVWRIILMFGSIPALMTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVELETDQEKPSETRKNEFGLFSKQFLQRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIQEVFKISRAQTLIALCSTVPGYWFTVFFIDRIGRFAIQLMGFFFMTVFMFALAIPYHHWTQKENRIGFVIIYALTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQSQDSSKTDKGYPPGIGVKNSLIVLGVVNCLGMLFTFLVPESNGKSLEEMSRENEGEDEEVVEMDHVRAHENRTVPV >KVH91500 pep supercontig:CcrdV1:scaffold_1749:67539:69161:-1 gene:Ccrd_006477 transcript:KVH91500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MAIISSFNLQYFSIFALLFLLLSAQSFATSTSSTTTDRFIQCLNHRSDPSFPITGEVYTPVNTSFPSVLQNYIRNLRFNETTTPKPILIITALHPSHIQAAVVCAKKHRLLMRTRSGGHDYEGLSYVSNSNQPFFVVDMFNLRSVNVSIEQETAWVQAGATLGEVYYRIAEKSNTHGFPAGVCPTVGVGGHFSGGGYGNLMRKYGLSVDNIVDAQLIDVNGRILNRKSMGEDLFWAITGGGGVSFGVVLAYKIKLVRVPAVVTVFMIERTYEQNLTTIAERWLEVADKLDNDLFLRMTFSVINNTNGEKTIRAIFPTLYLGNSTTLVTLLNKDFPELGLQRSDCIEMSWIESVLFYTGFPTGTPTTALLSRIPQRLNPFKIKSDYVQNPISKQGFEFIFERMKELENQMLAFNPYGGRMSEISEFAKPFPHRSGNIAKIQYEVNWEELGVEAANRYLNFTRMMYDYMTPFVSKNPREAFLNYRDLDIGVNSHGRNAYAEGMVYGHKYFKETNYKRLTMVKSRVDPDNFFRNEQSIPTLSS >KVH91499 pep supercontig:CcrdV1:scaffold_1749:82693:104541:1 gene:Ccrd_006478 transcript:KVH91499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLNVVGKEVCSRSSYSNDNSVGFSQDCFLEDNFFESSSDNDEEATTAFDTQNVDGIDLALVTGVVIMFFIVFFTKSKMDRSNWMYQIPQATKEYLGSLDQFIEVAENNRLNNGENEIWCCRYNDHNLIQEHLIVRGFMKRYTCWIRHGEDFDNCNYTVDHDCNDTNDDHESNNDSHDKLQHVDLSGKIQF >KVI02340 pep supercontig:CcrdV1:scaffold_175:32572:39175:1 gene:Ccrd_019322 transcript:KVI02340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase MASSAASSASFSLLPTTPSSTTSRTLRSPFLRSPLPSLAFTAADPLLSSHVASKLRSIKTPAKPITPIVSMAKKSVGDLSPAQLKGKKVFVRADLNVPLDDNQNITDDTRIRAAIPTIKYLITNGAKVILSSHLGRPKGVTPKYSLAPLVSRLSELIGIQVVKADDCVGPEVEKLVASLAEGGVLLLENVRFYKEEEKNDPAFAEKLASIADLYVNDAFGTAHRAHASTEGVTKFLRPSVAGFLLQKELDYLDGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATTLLAKAKEKGVSLLLPTDVVVADKFAPDANSKIVPASAIPDGWMGLDIGPDSIKTFNDALETTKTVIWNGPMGVFEFDKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKTLPGVDALDEAVVAVSAKILLFCFGFGVGLHELTNKCEGCSKLRSIKTSAKPITGVVSMAKKSVGDLSPAELKGKKVFVRADLNVPLDDSQNITDDTRIRAAIPTIKHLISNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSHLIGVQVVKADDCVGPEVEKLVASLPEGGVLLLENVRFYKEEEKNDPAFAEKLASIADLYVNDAFGTAHRAHASTEGVTKFLRPSVAGFLLQKELDYLDGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATTLLAKAKEKGVSLLLPTDVVVADKFAPDANSKIVPASAIPDGWMGLDIGPDSVKTFNDALETTKTVIWNGPMGVFEFDKFAVGTEAVAKKLAELGGKGVTTIIGGGDSVAAVEKVGVAEAMSHISTGGGASLELLEGKTLPGVDALDAAVAVPV >KVI02356 pep supercontig:CcrdV1:scaffold_175:248952:260510:1 gene:Ccrd_019354 transcript:KVI02356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MATLDDDTDSLSFMPAERFSEWLDEFLCRLFSLLQHLEPSSVLNEDVHSPATSGTFLVEDGPQYFCMLEILFGRLSRSLYNQAIKKVSKFLKTNILPGAIAEVGLLCCACIHSNPELAVNHLVEPLLSSVISSLKGIPLTGFGGSGNFTTPSLNKARATLSPALETSIDYQLKVLSIAISYGGPSLLHYKDQFKEAIHSAFESPSWKVNIAGDQVLRSLLGSLVLYYPVDQYKSVSRHSSLTPLEEWISIKDFSNDEAFTGPKWHIPSDEEIQFANDLLNLHLNSALDELLKICQDNIHSDPGNEKDHLKVTLLRIDSSLQGVLSCLPDFIPSFRNGKVDPPFLIAGATGASVGSTRLREKAANIIHIASKYLLEKKSDDSILLLLLIRIIEALGNYGSSEYEEWSNHRQAWKLESVAIIEPPVNFIVPSHSKGKKRPRWALIDKAYMHNTWRTSQASYHLFRTSKNASPSDHVNVLMDDLLNLSVHNYDTVRTLAGRSLVKMIKRWPSLIAKCVLTFTENLRNPGSPEYAVLGSCAVLGTQTVLKHLTLEPKSFSSFLLGILLSSHHESLKTQKAINSLFVKYNIYFAGISRNIFQKSADHSGTDFASLVSEIGSMSFESTNLHWRYNLMANRVLLLLAMSSRNEPNLSSKILSEAAGHFLKNLKSQLPQTRILAISALNMLLKESPYKLSAEQQVVAARDLQENTKSSLEGTLSNIFQEEGFFSETFDSLSNVHIIGDTENSSSRGHENSSFQSLADKSITRFYFDFSSSWPRTPTWISFFGSDTFYSSFARIFKRLVQECGAPVLLSLKSALEEFVNAKERSKQCVAAEAFAGLLHADVIGLVEAWDSWMMVQLQNILLAPSVESIPEWAACIRYAVTGKGKYGMKVPLLRQRILDCLIEPLPQTVTTTVVAKRYSFLSAALIEVSPPRMPLSEIQLHHKLLQDMINGKHGKSWDQLLQERASELVVAIQNANPSDNLENLAEMNALSGSDSNSPDDVQWMETLFHFVISLMKSGRSAFLLDVLVGFLHPVISLQETSNKDLSILAKAAFELLKWRIFTNGHLRKAVSILLSSAEDPNWRTRSATLTFLRSFMYRHTFILSNDEKQKIWKTVEKLLTDNQVREHAAAVLAGLMKGEDGELSKDFRERAYSEALKLQKRRKQSWLPEHATLLARFVGEPSPVKTTVTKAVAEFRRTHADTWSVQKDSFTEEQLEVLADTSSSSSYFA >KVI02349 pep supercontig:CcrdV1:scaffold_175:229130:230358:-1 gene:Ccrd_019351 transcript:KVI02349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAIRCFLLLLLLSSCCFSSPISLSDNVVFTSQPSIRRNLLQAAKPCPVNLESMNYTIITSSCKGPKYSANICCQALKDFACPFTSELDDLSNDCSTVMFSYINRYGNYPPGLFSSLCHGDNVGLPCDAVPPGVGRGGVTDDSNHTPTICNPSSLLILTTGFLILFF >KVI02389 pep supercontig:CcrdV1:scaffold_175:193244:195484:1 gene:Ccrd_019346 transcript:KVI02389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSPYQTVMSSKEDVIKLQELKKWRRKPRELVKKTGSNLDGRKNGDFSESDDSIPSINTMVNVNGNSPVSNVLYDDSGLGGLPDSDTKEDSENSEDHSSRSSTAASAPRKKHEIISLNGRNSGISSVPGDQQRNGLIGTSKKARGFKMEMENSYSSMGSDSRSSNFVFVQGTNSIASKGKQRGWFTNDKHLNEEAGFINYAEFVDVSQEEVEAENSWEVKEENKHDGSVDLDDAVAKSLTGLDSVQEKLIREVQKLKEVGKDDSKISELEFTLGSEEVNMKLEDLLKKIIQVDVERVVLITTSERNWHEIKLILRQKDIEASAKKEALNSKMETREDVKKLRNRVCKFTSCVMFQFILLLVTLYLQFSSHNMEIVPT >KVI02341 pep supercontig:CcrdV1:scaffold_175:91438:91863:-1 gene:Ccrd_019329 transcript:KVI02341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MNKINLMLRRCKTISSQLVKTSSYSTLIRSKSNTDHGSESSPPTFMWNTTVVSVYTTGEIEPHQIVFVGSTRKRYVIASKYLTHPLVNALIQKSTSNDNNNVVSVINCEVVLFDHLLWMLQNSDFNLTSDSLDELADLYLS >KVI02378 pep supercontig:CcrdV1:scaffold_175:92306:96715:-1 gene:Ccrd_019330 transcript:KVI02378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-grasp domain-containing protein MARHIVALPFKSSFFTRTSSIPFPQTSLSVRRFSSSNSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLQVLSNLSKSQRSVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGQVDPKSDIDVINLELAFSDLDQIEKRIEKLKKSKANNSQTKVKEEAEKSALERIQQALMDGKPARSVSLTEFEKESIHHLCLLTMKPVIYVANVAESDLAKPESNPHVENVMKLASELQSGLVAISAQVESELTELPSEERTEFLTSLGVDESGLGNLIRATYNLLGLRTYFTSGEKETKAWTIHAGMTAPQAAGVIHSDFEKGFIRAETVAYNDFVAAGSLSAAREKGLLRSEGKEYVVQEGDVMLFRFNV >KVI02377 pep supercontig:CcrdV1:scaffold_175:128244:129443:1 gene:Ccrd_019337 transcript:KVI02377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACINTNLMECSQTYSSHAWFNPRRSLGRRDDDHHTSNTPLNQPSDLPQESDPKDFDGFQFRLEDPAMMLPADELFSDGKLVPLQLSLIRHQVATSTTSILSRVSSPDTPGSHRTTDDVDVFAADPYLLSPRAPRCSSRWKELLGFGKTYQSTNGIVKQDTNKRTSFTASSHIGTGASRSIRQFLHRSSKSANDASMNLPLLKDADNESVSVSVSSRPSLSSSSSGHDLDDLPRLSLDSDKPGKVNLSTNPNNRLKSRMVKTRTQSTESRSSTPVGRGTMRRPTDPTVRGVSVDSPRMNSSGKIVFHSLERSSSSPSSLNGGPRLKHRGMERSYSANVRVTPVLNVPVCSLRGSSKSGGMFGFPMFSSTQSQAGQKREGGGTSRSQGYNGKGRTESC >KVI02390 pep supercontig:CcrdV1:scaffold_175:158892:160298:1 gene:Ccrd_019341 transcript:KVI02390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MLGSSSCSQHHHQEQQQQQEVHLQTDPSPPPPPPPPGTAGQLHHHQIQQHQHQEVEMVSRLSSYTPPSIYLRQLLSSCAQSISNSDFAAAHRITTILSSNSSPYGDSSERLIHSFTKALSLRIRLHLNLPTPFPIKVNNFSNNSSSNYHDQNDDAILQSSYLSLNQITPFIRFSQLTANQAILEAIDQPQPQNHSFTSSPQPHDIHILDFDIMHGVQWPPLMQAIADRHHPPTLRITATGTNLDILRRTGDRLSKFAHSLGLRFRFFPLLLPDQTNNHHTVDDVINHLSAVLLLPNEILAVNCVLYLHRLLIDRDKLCLLLRKIKAMNPKVVTLAEREANHNHPLFLSRFEEALSYYTAIFDSLEATLPPNSRERMEVEQVWFGREIADIVTAEGEKRRERHERFLSWEMMMRIAGFRNVALSPFALSQAKLLLRLHYPSEGYNLEVVNDSFFLGWQNKPLFSVSSWY >KVI02393 pep supercontig:CcrdV1:scaffold_175:167967:176502:1 gene:Ccrd_019342 transcript:KVI02393 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 ADINQIKVGLGLVKHPPPPDGKKIIPSVSIPPSEKTLAALKDSTTTQMASSSSGLTFKLHPLVIVNISDHHTRVKSQSQPPTANGFASDATSASSNSPPLSSPRVFGCVIGVQRGRTVEIFNSFELLYDPSTHSLDRSFLEKKQELYKKVFPNFYILGWYSTSADAEESDMHIHKALMDINESPVYVLLNPSINHAQKDLPVTIYESVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLNAMQKGEIPYENSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLISYLAMFTDCSSTMNDLVDKINVAFDRHSRRGGRTAFI >KVI02395 pep supercontig:CcrdV1:scaffold_175:12494:18926:1 gene:Ccrd_019319 transcript:KVI02395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MEPATGRNHVHYHAIQSENSESASSSQGFLNANMRPTEMNPVLNYSIQTGEEFALEFMRDRVNPRMPFIPYSAGDPNLTTGYLELKGVLGISHTGSKSGSDVSMLNVVERGSKDLERKTSFYEGTSNYGSVSSLPQTNSNRGGIRDYASSSASDISSLKIKILCSFGGKILPRPSDGKLRYVGGDTRIIRIRRDISWQELWQKTIALYNETCSIKYQLPGEDLDALVSVSSDEDLLNMMEECNVLGEGEGSKKLRMFLFSLSDLDDTHFGLANSGGDSEIQFVVAVNGMDMGSRRGSSLHGLGSSLANNLNELDGQNAEMNTSRTTTDFVGVNVTPSASINVSSSVLVSSQAMLPSSYNAYETHIQMHQGQPKHHGEAKAKTQQHVPVSQSLSDKPPVESSVQLNSDEHVSQQGGCNEGQMSNTMDIQNQQSQVRNPMPKGDSSVKQEVDHGNIRSLGNEGSSGALPGAEQKFLPKPKMSKERHQDEEQGSSPLDAASMERASKCNDKDDDSYASNEALLSSGAGSDLIDLSYLEPPVPPPRVYHSERIPRGQAELNRLTKSDDSLGSQFLFTHSRSDVGPQDFILESVEKFHTEDVPSQSELPPISTRILSCTKPQSTEDALGNGKSKQVTCEPINDNKGINESQILKSACETNTAVVNDNNVQFDKPAETRSQFRLHADPATNHPEYSRGERGASDSTANNAQVYAQSAAPNVSRTEQGDIIIDVNDRFPRDFLSDIFTRAMMSEDLPGIGGLPQDGAVLSLNIANHEPQHWSFFQKLARDEFPQDVSLIDQDQLAFSSRLPKVEEASMVHDIARFQDGVSGSELDSKNTFVEDKEKDTPLVTGSSSISLQSHYDPSQVNVSESMQFVDMVEEMRMPDSEYEVETRNVGLPSIGPSLEDLDISSLQIIRNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVDGSLRHVLLRKDRHLDHRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVMVLFTFVYESTIAVPVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPPIPRDCDGEWRRLMEQCWAPNPMVRPSFTEITSQLRVMYHTKGHKGS >KVI02350 pep supercontig:CcrdV1:scaffold_175:259280:266413:-1 gene:Ccrd_019355 transcript:KVI02350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MAVEDDHELIHGDPTTDKDDDKTIRTGTLWSTIAHIITAVIGSGVLSLAWSTAQLGWIAGPVALFCFAFVTYLSSSLLSDCYRSPDPVTGTRNRSYTDAVRVILGEKQAWICGLLQYVSFYGTGIAYVVTTATCMRAIRKSNCYHKEGHAASCEYDGKIYMLLFGVVQIVMSQIPDFHSMVLVSVVAAIMSFCYATIGFGLGLAKAVENGKIAGSIRGVPAASLPQKLWLSFQALGDIAFAYPYALILLEIQDTVKSPPAENKVTKRASVIAIVVTTFFYLGCACFGYAAFGNDTPGNLLTGFGFYEPYWLVDFANVCIIIHLIGGYQLISKVKLFLLLAHMNVKRITNTNPFQKLFSQPVFAFAERWLTEKFPTSQFLNRFHDLKLPLLPVLRLNLFRLLFRSAYVASTTGIALVFPYFNEILGVLGALNLWPLAIYFPVEMYIVQKKMETWSRKWVILEIFSGVLMVVSVVALVGSVAGLIEAKMN >KVI02343 pep supercontig:CcrdV1:scaffold_175:362929:366453:-1 gene:Ccrd_019371 transcript:KVI02343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MVSVWSRKTVKTKQTLRKWRLERSTLEHRSNPSRMLSIFSAKVPFRRVLVKETKLHLAQKELDKLKERLKIAETTKTGALTELERAKRTVEDLTNKLQVINAAKEMAIKATEAAKDQAKQLDGGQTNTNGFLTQDLENTKEQYAAVFTELDAAKQELRRIRHDREAAMEEKSAAIGLVTEAEVTSKASLDRAGEISKEIMSVQEAIEQVKRATVEAQKEQEKIYAEKNVQKLAHKAALEESAKKLLALRKEIDPEMSKDLETQFAETTSEIKRLQTEMENARASDLDSLKNVTSELDGAKESLQKVVEEEGSLKSLLESLKIELENVKKEHEELKAKEAETETVAGDLNVKLQKSKVELEAACLEEAKVSGASEEMMATLRQLTLESENAKRESEEMKSEAEELKKEAAAMGAALEEAENKLRIALQEADEAKSAESKALEEIKLISERTDAARASTSESGSKITISREEFESLSRKVEESEKLAGMKVEAAMAQAEAVKASEKEAVKKLEATQKEIDEMKAATEAALKRAEMADAARKAVEGELRRWREREQKKAEEAASLILQETQMQTPLSLPSTPIHKQQIPAQKPKPKTKKVLVSNLSGMFQRKKSQVDGGGSSPSYLPGEKPM >KVI02381 pep supercontig:CcrdV1:scaffold_175:111431:117860:1 gene:Ccrd_019333 transcript:KVI02381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGGKSFVSSPPAFSNDAKKLLVCTGDTVSIFSTATGLQISELEGHTALVTSVIVVPAPTPASRILCYCWTTSLDGTIKYWDFSVPELMKTINIQLPIYSMVIPSLLSQRSENDVKSPDIYAYVSVEDTKNEQNKQRKELSGQILKCNLSKSRLVRGVILTESKKPENITISSTGKYFGIHEKCQLRIWEVPAKDLKNITHRKLKLSHTKNLSCLAFHPTERIVAAGDVTGRILIWRGFGGKTFAGDNSANGRLLMDEDDKPGVRGDGDADSCTTWHWHSAEVKVLFFSSDGAYLYSGGKEGVLVVWQLDTGKKKFLPRIGTRLLHFLNSPDPSLSSISCADNRIHILKTPSMEILKSISGIKLPSPVPETFRDLCNDFVFDHTAGLVAVRTENYCIQFYSLFDDREISEVQVCERNHQPSDDVTIILNLVALSFEGSVMCTVETRMAEEGIGGFITLKFWECGSQNSDFSLSTVIYEPHREAAVSAIAFHPTRGMAVSASYGGDFKVWVGNCESQQNDKLIQRTRWACHAVGSYRKKPMTAAAFSSDGSVLAVAAETVITLWDPEKNVLVAVIGSALEVGLLVCDGIFNEYWDMMFLVPLQLISIVNLSFIGESNFLVSASHGSRPQLAVWSMSKLSISWSYKLQTEAVACSQKDSLLAVLAVLPESYKGQEHDKTTLHNANGVILLFNAGDPIPIAS >KVI02384 pep supercontig:CcrdV1:scaffold_175:216752:217204:-1 gene:Ccrd_019349 transcript:KVI02384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSKPNGNEQGQNDKIRCPGHPHLEGGAEVFGPVALLVPPSSGGPCVGGAPPEIGLEALTLPTSRQLMAVGHDYYKKAPMKMNTNPRNQLFVMLLYPSGIQTNSPKSHNKDSTCKVTQSI >KVI02365 pep supercontig:CcrdV1:scaffold_175:341035:344850:1 gene:Ccrd_019368 transcript:KVI02365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPFLGRFSQLLQRPQQLTLLVGIGFRFYSSDLEFEIKRITKIINDHPFPDQPIRPTFAQVIPSAIISTSFVENVLGRLFATHSNGLKAFEFFKFSLQCSEFCPSSDAFEKTLHILTRMRYFSKAWELIEEIQKTHPSLISLKSMSIMLSRIAKFQSFEETLQAFEKLEGKLSDGKQFGTDEFNLLLRAFCTQRQMKEAKSVFNKLYSRFSPTTKTMNILLLGFKESGDVTSVELFYHEMIRRGFKPDTITFNIIIDSYCKRGRFADGLRLLEEMEQVNCFPTLQTITTLIHGAGIAQNTTNAQQLFDEIPKRNLVPDSGAYNALMNAFIRSGNMKSATRLMDEMEENNLLHDNVTFHTMFLGSMKSSGIDGVLELYHKMISKKFVPKMPTVVMLMQHFCKNHKVDEALGFWRYLVESGYCPHSHAMDVLLRSLCSHGRVDEAFECSLQILERGRHLSKTCFRVLEKYLIEMGDEDKLKKLNQMIKKLQIVLPPSTGHAVGFSSFSQDCVASI >KVI02358 pep supercontig:CcrdV1:scaffold_175:288801:291997:-1 gene:Ccrd_019359 transcript:KVI02358 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MTTDSAYRVDTTSRLAQWRIDNLASCTYRKSDPFKIGNWNWLNSISISLAFSFPDYYVIAEIRDKPLKSSEDFVWALEVPLAGKFIIDLEFLDLKTASPNGGESCSVWTEVFTQKESRATALSSLGRMLSESIHTDIIINASDGSIGAHRAVLSARSPVFRSMFSHDLKEKEMSVINISDMSSESCQAFLSYIYGNIVDQDFLTHRLDLLRAADKYDIMDLKEACHESLLEDIDTNNVLERLQNASLYCLPKLKICCMQYLVRFGKIFDILEEFDAFIESADRELIGEVFNEVLSVWKGF >KVI02347 pep supercontig:CcrdV1:scaffold_175:34454:38072:-1 gene:Ccrd_019323 transcript:KVI02347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLNHPRWQQMASLGLKLPHQDNPIPKAKTNRTSHSQEEEHRPLEVKPLTFQPPDQHSHQPSQPLIRWLSLNITSPKRKTEKNHDLVTQCVSPALSFKKINSPDTVDDNRGCSTYGNTIKIVIEEEKATYQVTGKDNFSTIADEVLDCRNGCSDSCIVCDILTVVQRHIQISPNEHLLSLEFSRREVSNALLSHRDNACNWFSRGFDGSELGAYMCRQKGISGGKAEGRKRRAQKTRRETKDRVVAAGETATTASSRASTPGSVLPSNNSKLAPPPVEIWLITSATPTFSTAATESPPPMIVVTPFPLSSANFFAIACKHTYIQLYHLYYTSVYQRKPFLSSQPHPMCLRIFVVSNASLNVLIESGPISNPIQPSGIADAGTICIVKEGVQTCLRPPHRLATRGILPSLWPWRGV >KVI02355 pep supercontig:CcrdV1:scaffold_175:269424:270976:1 gene:Ccrd_019357 transcript:KVI02355 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MIGTLSTSLGGTSLGLLNDTRPSPLNSTGKVSFRRHKACNALIHAVTDSVESSLYEVLRVNRNASPIEIKTAYRNLAKLYHPDASDLKQHHEPNFIEIHNAYATLYDPAERAMYDRKLNIGLGRGSTAGGMRRGVNTTRRWETDQCWNRQQPSSFSSSSSVTSLAWSQTAPIAVFGPVFQQIFGHCVWPCFLHSNSSQSKGFIHDR >KVI02386 pep supercontig:CcrdV1:scaffold_175:186081:193197:1 gene:Ccrd_019345 transcript:KVI02386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSSVSLSSTALHPITTMVEVALVQSLFNEFLPITGSIQDSTFLFPSPSLSSSASPSEVPGVPPLMTTRELSFSPKFPHPKNTLSISASSFSLPTKNLFLGNGISSNLNSLDFINPSIASLQSEVRATRLVISSRSLLSLALEAGETDPFAKEIRGTGNEKEIRGAVVLIVVCGIGKIVSFRWGSVGSARNVVGVRPRIGYRSGRLSKCTGCGGGGGGGGGWMSDSWTDSADMMSMKKKASFVGLHESEFFHSINSASFPPDYASLMETKNLGSECSSLHLMDDNV >KVI02354 pep supercontig:CcrdV1:scaffold_175:268003:268554:-1 gene:Ccrd_019356 transcript:KVI02354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MALRHRHRQVSTKTILLATVAGITVGGPLLALMAFSFLTTMALFVVTSPLLIIFSPLLMAASFVFLAALVGFGAAGLMAIAGLSALSWVLRSVKDDGLKGLNLTQKLVESGEKLKEKVVESGENVMDTGKDWVNHLKQPVENSPENKTANKASENVKDTGKDWANHLKQTAENSAEIRTADTA >KVI02373 pep supercontig:CcrdV1:scaffold_175:322168:322674:1 gene:Ccrd_019364 transcript:KVI02373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQLRADQMEEKYEQPKFEPSKPSMEETGKQMLGSHSAQSPRYGLGCIKTSPQNVQSSRPYVGSIKTPHQGVQSPRLGVGCTKTPHQGAKSPRHGVGCIKIAHPGVQDSDGMRRVPSEGKINCLCSPTTHVGSFRCRFHRSNSNLSNNSRSAGRSFNSLSNLADAHD >KVI02353 pep supercontig:CcrdV1:scaffold_175:223091:230191:1 gene:Ccrd_019350 transcript:KVI02353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MAQLLKKVWESISNDWYSSHSSLNSLYNLDYRALVHGSLSDQIIICSSSIGAFDRLPLDIFMQILKLLGPKEAARLTSVCKSWKFMVSDNTLWIYFLQNQQDPWDSIFFAETNLRSGFPLRTYRRQMQSFMHIYGERAEVPGAVIIDGGAGYCKFGWSKYDSPSGRSATFVEFGNIESPLYSRLRHFFATIYSRMQLKSSTQPIIVSIPISHYEDNGAAKAFRSELEEAVYSALFGMKVPAVCAVSQATLALFSARRTSGILVNIGFHQTSVVPILHGKVMHKVGVVTVGVGAVKLTEYLREQLRQRNLHISSLYTVRSLKENLCYVSLDYEAELQKDTEASYEVASEGWFTLKQERFQTGEILFQPHIAGMHAMGLHQAVAHCVDRCRAAKVTTDHTWFNTIVLAGGTACLPGLAERLNKELHDLLPPSLSSGLRVISSPYGADSAWYGAKLVGNYQDPGVTPIFLNICPLVLYHQQIKKVMALECGKTLIDEPEREIGDEKQQEDRSSSSRKQRIAIFIADGG >KVI02367 pep supercontig:CcrdV1:scaffold_175:302547:311367:-1 gene:Ccrd_019362 transcript:KVI02367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase MGSKPVEYLIEASSGAHFSGFHMDGMQARNSQAGQATTSVTENVHKQPFVIGVAGGAASGKTTVCDMIIEQLHDQRVVLVNQDSFYHNLTAEELTRVHEYNFDHPGKFYAFDNEKLLSAMEMLKHGEAVDIPKYNFRSYKNNVSRRVNPSDVIILEGILIFHDPRVRDMMNMKIFVDTDADVRLARRIRRDTGEKGRDIGMVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQTTKHDFVFYADRLIRLVVEHGLGHLPFTEMQVITPTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISDRHVLLLDPILGTGNSAVQAISLLLKKGVPEGNIIFLNLISIVTSEIETGLNEEFRVLPGMGEFGDRYFGTDDE >KVI02370 pep supercontig:CcrdV1:scaffold_175:334876:339067:1 gene:Ccrd_019367 transcript:KVI02370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDDEGDNRDGNLGKLTVMVLCGHSHAWCGDDTEGFQIQTMGSKSKSDNPHSGDGASLGKIFIGGLPKDTQYNAFNNHFAKYGDITDSVIMKDRHTGQPRGFGFITYADPSVVDKVIEDTHVFNGKEVEIKRTIPRGSAHSKDFKTKKIFVGGIPTTLTEDELKNFFSKHGKVAEHQIIRDHGTDRSRGFGFVIFDSEEVVDELLSNGNMIDMDGTQVSLVTWFSVKILINTNTKKVEIKKAEPKKAPNHPPPSFGSRSRARSFSDEFGGFGRSYDGFDGGYGPPSYRTPGGLGRPGGYGGYGSGGRDFGGYGDFGDSTLGGYRGEPSLGYGSRFGSYGGGYGGGYGGSGLGGYGRGEGYGYGGPGYGGGYDSGPGSSYGGASSLYGRGGGYSGSSRYHPYAR >KVI02339 pep supercontig:CcrdV1:scaffold_175:45667:60184:1 gene:Ccrd_019324 transcript:KVI02339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MMMSEQGRSSGFLELKGYCLQLFQLLRNPNNKGSTSTISQLLHFIRRSPLPALQPFFDYTLLPLLLLLEAAVDCRSPPKDEFKERSVFTSATEKPPKMVVILKKLTNAALLSPSEAAEEFREGVIRCFKALLLGLCLCSNTSCTCKQINSLSMVLDKRYAGSLLRELPAHELNPEECLLAFLRSQSATITVGHWLSLLLKAADVEASRGHIGSSKLRIEAFMTLRVLVAKVGTADQLAFFLPGVVSQIGKVLHVSKTMISGAAGSMEAMDQALRGLAEFLMIVLQDEANTSSLDDNDIDLNTNKSPLSFLEELRHLPGIKQDQGEIIATKSIQKVIGNTSSQSGFIGNEPTKSDVPKSLHVDRTKDWIATASSHVNKLLSATFPDLCVHPAKRVRQGTMAAIQGLLSTCSHTLKGSRLMLLWIDLKISVQRLKYSNDNSTFFLGLLAAEECVCALVSDDDEEVSAAAQMLLGNLLSSSGKHHVERDLADIFNRLFEKLPEVVVGGEKAVAHSQKLLVLIYYSGPQLVKDHLLQSPVTAARFFDNLSLCLSQNSVFSGSLDKLLLERPSSVGYLRSITEMKATAFFANKKDLQNFILPGSQSEGSLSIIKDIPLSYLRKLIGDIRNKEYTKENWQSWYNKTNSGKLVRQASTAVCILNEMIFGLSDQAVDDLKRRFHKSSSSREGTEDDDPGPRNKIQDDVTNVSGWNISLEDLRSQLIDCVGSILHEYLSSEVWNLPLEQSSAGAGDVTVIIDGIGIYNLCLKSDFLSSGFLHSSLYVLLENLICSNFQIRRASDAVLHVIAATSGYLTVGHLVLANSDYVIDSICRQLRHLDLNPHVPSVLAAILSYIGVAHKILPLMEEPMRSISQELEILGRHQHPELTISFLRAVAEIAKASKLEACSLPSQAELYHKHVKSELSDVERETMNRSGCSVSCFDDGILSSPEECEVAAPPGHVDKHREQWERIFFRLKESKSYRQTVGSISSSCIVAATPLLGSMKQTACLVALQIVEDGIMALAEVEESYRHEMKTREILTQALQSYSLDDLADTVEAESDGTEENRLLPAMNKIWPFLIACIRNGNPLATRRCAGVMSRAVQICGGDFFSRRFHTDGSHLWKLLSASPFQKKKERRVLQLPYRSGSEEDGRAEISDLKVQVAVLDMIAEIAGNKKSASALESVFKKVCGVVVGIACSGVGGVGDASVNALRGLATVDPDLIWLLLADIYYSKKREGIEEAAAMADLPQLVPPALSSPRWSYLYVEYGGQRYGFDMDLSAVESVFKKLYDI >KVI02362 pep supercontig:CcrdV1:scaffold_175:19598:22191:1 gene:Ccrd_019320 transcript:KVI02362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MVRMELLKKDLTDEIKSRFFHLQISLEMESPFKPEILKGKVALLTGGASGIGLEISTQFGKHGASIAIMGRRKTIVDSAVSSLQSLGIPAVGFAGDVRNQKDAKRVVESTIERYGKLDILVNAAAGNFLVSPEDLSPNGFRTVMDIDSVGTFTMCHEALNYLKKGAAGRSSDSGGIILNISATLHYTAAWYQIHVAAAKAAVDAITRNLALEWGTDYDIRVNGIAPGPISDTPGMRKLGPDEIKSKSREVMPLFKLGEKWDIAMAAIYLASDTGKYVNGTTLIVDGGLWLSRPRHLPKGEVKLLSRVVEKRARATPTGVPPSSKL >KVI02368 pep supercontig:CcrdV1:scaffold_175:300060:301178:-1 gene:Ccrd_019361 transcript:KVI02368 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA protein MLLPLFYKALGHSSPPSSSAVDMSLSLSFCHISTNFRPPSPSIYKTLPLPPSYFPILRFPLISKSFTVQSTPIRPSPTDPSLPTSSDPQTFEQLPRKFQEIVKLFQSVQDPKAKYEQLLFYGKNLKSLDPQFKSDKNKVKGCVSQVWLRAYFDDSDKKTVVFEADSDALITKGLAALLVQGLSGSTVEEILRISPDFIVLLGLQQSLSPSRNNGFLNMFELMQKKALMLYVEAEKGIKSGTGIVENPTKDVDFDPKLEKGIDSSESRSNTDDSEPNGSILGNRGHRITEILKRELQPFELKVEDVSYEHAGHAGVRGSNGETHFNLKVVSKEFEGKSLVKRHRLIYSLLDNELQSGLHALSIEAKTPSEVGI >KVI02392 pep supercontig:CcrdV1:scaffold_175:177580:182569:1 gene:Ccrd_019343 transcript:KVI02392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIDDHPPPDPSSSDHQNHHQQKEVSHELKINNGEKAPASVSNIDLLKPKDSILDDTKNPLPNFSIRDYVFGSRCKDIASNWPFSQEILQLCLQYGVKNLLPPFQPLDLLISNPNQSGSRCAVENRLPDEEILSSSEGKFSIEPQCHLEKDLAHTKSKKSHPASSEKTSNTTNQTAVKKYKFFMKLNSGVERVDQEVTPNNFIVSETMLAAKVCPVCKTFSSSSNTTLNAHIDRCLSEESSMKWTTNPKIIVKHRIKPRKTRLMVDIYKTAPCCTVEELDRRNGTSWATNSNFPDQELEFQAEEKKKESRFVPEATGHDGTVYIDTNGTKVRILSMPKVGTIDDHEARKLQKGGKGSKITAEKKKKKAYRQKNRDKMFKLSPNSKKFCSLKPHHPVSEAIGGQEEDVAIEERCDKPMKARENKQMEDLAITRPPLACSKRTHLTKKRRSTMLTKPKEGFPLQDSYEDPLGIRSKGGKFSSLQDNPSSTSQECNAGSKFNPKRKFSHCLSKENAVMEPSQDSSKQKSRIEEDTSENLSFEIVNVLEAKRKKSVTSKITANGYSSQELEIAFVDPLLDVESATADEIPSHSDVQERSFMGLRNSFDDQFSKMVSRVDNKFDIDKIMIEHNAEDCMDDIEKEGNYFHEIDPIPIPGPPGSFLPPSPGGDMVSEELQGNSSLTTTSRFQSSEEQYHHDHMLDSPISTVSSSSLARSDDKLSVGFASLRDTNLSFTNVVIDVVDQKSSSVNASNSRSVDAIFKEKGLTPSGFQNHEQPCVCSRKEGVLSKNVPSYHQESAILTEGAFESNKRPELFSLIINHPELPSPLPPETVKPLADPSVKLPFYRDFESVVRPTTPVLRLMGKNLTVVKTDDDHQLRTPFCSNPFGHQQLHNIQNNDHTSFFHGYLSDQKPVIFNHNQNDSKSRHFNVHPPRNLADLRLITAPTTDCNRLDVDNNEEKSPWKATKEIIVIDDSSENEADARIMLQERMRRNHHLFDGGVSSAFHHGSFGASNAPYPR >KVI02352 pep supercontig:CcrdV1:scaffold_175:243367:248933:1 gene:Ccrd_019353 transcript:KVI02352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MHLYNAWLPPPVADATKKEKESFAGVLSSVKESYLVDDPESVYSTLKWISVINLFIKAKSEVSLEDVASLVEFGLQVFHASQDKLYAQVRWGNVLVSLLNKFRKKLTLKVDWRPFYDNLIQTHFTRNTGPEGWRVRQRHFETVTSLVRSCRRFFPPGSAHEIWSEFRSLLENPWHNSVFEGSGFVRLFLPTNLNNEDFYSREWINTCILQWDSIPNCQFWNSQWAAIMARVIKNYKSKDWEDLLPEIFSRFLNMFEVPVASGGGSYPFSIDVPRNTRFLFSNRSHTPSKAIAKSIVYLLKPGSLAQQHFEKLVNLLEQYYHPSNGGRWTYSLERFLFHLVYTFQKRLQQEKEKPDKQIELCLGQSERESFVSTVLKLIDRGQYSKNENLSETVAAATSILSYVEPSLVLPFLVSRFHMALETMTATHQLKTAVTSVAFSGRSLFFMSLSASPMETDDINDADMFRDLLMISLTNALLGMDANDPPKTLATMQLIGSIFSNVTKNNMNDQIVPLLKKCSI >KVI02345 pep supercontig:CcrdV1:scaffold_175:394036:396948:-1 gene:Ccrd_019373 transcript:KVI02345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MSEEKGRPLPKFGDWDVNDPASAEGFTVIFNKARDEKKTGGKTESPTNVDPGFKQASAPVKKPPAQPPKMGSQFGVAALDSLSFLRA >KVI02376 pep supercontig:CcrdV1:scaffold_175:121941:126424:1 gene:Ccrd_019336 transcript:KVI02376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQRRLCESGEENLPNSPKDEASTTANDHQEEATTAVSADSSMSSSMAKGLSYTISTIIRDFDCQAQHTSRSQDQLSSAIDRLTDELDQLLADAPSPFIMQHAAKISGVRKRVLSLNLVLKSIQQRVDNIDRLVSAGLPNGISKEQFFSCSFGHGFSYKKWPVKVLQNTDGDCIKDLEVVITLPSISLAMPSTAYICIFVLAVALLTSNDMCDASRTLLQASPTLPQPQIPTIPTLPQPQMPTFPILPQPQMPTIPTIPTNPTLPNMPKVSLPPSPSMPSIPTMPTNPTLPNMPKVSLPPLPSILTMPNLPTFPKIPFVGGPPPSKKVQTLQFQQVRYLYEHRILCFPMKFVSI >KVI02374 pep supercontig:CcrdV1:scaffold_175:116161:122190:-1 gene:Ccrd_019334 transcript:KVI02374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILDDTEPCDDRIVSGSDGFELSLGHFIEHLKGIISSTMKSKRTDQDIRFEGEMRELQKVVVRDMDSFDILQTASCGLEPWDADTRKLDSPIKERFTIDCKRLVGKIEYA >KVI02364 pep supercontig:CcrdV1:scaffold_175:350774:356092:1 gene:Ccrd_019369 transcript:KVI02364 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MVIITQDMIKQFQNLAETIDEPLKITFELVDCLNWRIENEIDKILWKPIIPAEFYRSVRDSQLIGMSGYTKDFFKDTNLILTDASRGRPVIAIGVGLSTYDKASIHCYVQSHIQINEYRDRVIMLLTVISSIDDLNYPEKTDTYYIVNAPYVFSACWKIMDYESLPHFCRRQGSGSSRHAKNTIGDDCCFSLDHGFHQQLYNYTNQQAAGIKPRAPVKQGSFHVGFPEPDLTNYKIARTIETEFHKLENQNGFTDEQDDLKSNGDQHLKETNAEKLVHI >KVI02359 pep supercontig:CcrdV1:scaffold_175:78461:82533:1 gene:Ccrd_019327 transcript:KVI02359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, III-V domain-containing protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDAALKSFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVMVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPKTKKWTTKNSGSATCKRGFVQFCYEPIKQIINTCMNDQKDLLWPMLTKLGVTMKSDEKELMGKALMKRVMQTWLPAATALLEMMIFHLPSPHTAQRYRVENLYEGPLDDAYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIVVSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPMEEGLAEAIDEGRVGPRDDPKVRGKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQRRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSGALRASTSGQAFPQCVFDHWDMMSADPLEAGSQASTLVSQIRKRKGLKEQMTPLSEFEDKL >KVI02375 pep supercontig:CcrdV1:scaffold_175:118756:120435:1 gene:Ccrd_019335 transcript:KVI02375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAVLLNSVPPMTSLSTEHLRKSQFHSFSPKTRFSRVLASTQVALASKDAVFTLPNWRSGRTDPKNKDYRLNDAFLYLEYMVGKGHRPDVYHATQLLYDLSKSKKLRKATRVMEMIVDSGGVPDAASYNFLVSQLCKRGNVGHAMQLVEKMEDHGYPTHTVTYNSLVRGLCMLGNLNQTLQFIDKLIQKGLVPNAFTYSILLEAAYKERGVDEAMKLLNDIISKGGEPNLVSYNVLLTGLCKENRINEALSFFRELPSKGFPPNVVTYNILLRSLCREGRWDEANELLAELVDEGLSPSLVTYNILISSLAFHGRTDNALKVLNEMSKGEFKPIAATYNPIIARLCEEKKVQDVMKCLDLMTYRDCSPNEGTYNAIAGLCKEGMVQEAFSIINSLSSKQNSSNHDFYKNVISGLCRKGNTFPAFQLLYEMTRYGFAPDSYTYSSLIRGLCMEGMLNEAMEVFSIMEESSYRADIDNFNALILGLCKSQRTDLSLEIYEMMIKKGYMPNETTYTIIVEGIAHEDEKELAALVLRELHSRQVMGRNTVDRLLMQYDFEDFAG >KVI02379 pep supercontig:CcrdV1:scaffold_175:102458:103023:-1 gene:Ccrd_019331 transcript:KVI02379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYSDGSEGFYCRQRSEAYGPTSLTEALL >KVI02382 pep supercontig:CcrdV1:scaffold_175:132106:137591:-1 gene:Ccrd_019338 transcript:KVI02382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPITTKVAVIGAGISGAVCAFNLAKSGISVTIFDSARGPGGRMSRRREIAEDGRELYFDHGAPCFTTTNTDVEEMIRVWEARGLVAEWKQNYGSFDFITKKFVNFEKEGLSKKYVGIPGMNSICRALCQEPGIESKFGVMVGRLEWLENKDSWSLIGLDGQDLGQFNGVIAADKSTFSHRSTQVTMKPPPLDVDAIPGIANMVKEVPVRPCFALMLAFETPLSSIPIKGFSFENSEVLKWAICDSKKYAEGIIARDGLQKPSNAALAEIAEELFGEFQRTGLDVSVPFFKKAHRWGSAFPATSIARDEKCIWDRTKKLAICGDFCVSPNVEGAILSGLAAASKFSESFSCL >KVI02357 pep supercontig:CcrdV1:scaffold_175:271714:282106:-1 gene:Ccrd_019358 transcript:KVI02357 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MASPGNQNQQPGGGPFDVQRFFNPSSSPPPISSNPNTTFQNPNLPYPPPSSAASYPPPTVSGAPYSYPPQTNPLYHPQFHIPPPPFHPQQQPDNPMPSSNLQHQRSVPYPTPPLQPPSPNSNPNPNHGARLMALLSSPPPTTLDNSSQSSMPLAPPTNPMLPSSLVPALHSGSGPLRMPSSKLPKGRHLTGDRVVYDIDVRFPGEVQPQLEVTPITKYGSDPGLVVGRQIAVNKTYICYGLKLGAIRVLNINTALRSLLKGLVDYDIFIFIAIRVGVDRVTDMVFFAEDVHLLARYQFSRCLSLVLFYLWVLSCNEVILCSASIDGRVYVWKITEGTDEDDKPQITGKIVIAIQIVGEGEPVHPRVCWHCHKQVHLFPNVCYLISILRSFCLRASTSGIILVFSVEFVLLKTLVDGFFHPLQEVLVVGIGKRVLRIDTTKVGRGEVYSAEEPLKCPVDKLINGVQFVGNHDGEVTDLSMCQWMTTRLVSASVDGTIKIWEDRKSSPIAVLRPHDGLPVNSVTFLTAPHRPDHIILITGGPLNREVKIWASDSEEGWLLPSDADSWHCLQTLELKSSSEARVEDAFFNQVVALSQAGLLLLANAKKNAIYVVHLEYGPNPEATHMDYIAEFTVTMPILSFTGTSDLLPHGEQIVQVYCVQTQAIQQYALDLSQCLPPPIDSVTYEKFDTTVLRDAPSTEGFEPSGSKTEMPLVVSVPSSDGAILRQLSNSPLVETSMPKEFSAPSIESKSNPSPITIDTGISGVASSSVASSPTLSRKLSDLKSPAGGLESSAQLSNHGEQKIIEYSVDTAHSNTSTMPSLANDSITDENKPLKEDVSPVTGHGTKFKHPTHLVTPAELMATSSSEMSHVSEQKNDVDPVVQDVIVNSDAQNVEVEVKVVGEAGKSQNVEPISQGELHGFSSQASDFGLEMGRDRRVLPGETYIVNESKHIDGPGESKTVGQASGGQDEVQDVKIDLSGQVETVIPATGHSPATATSAKGKKHKGKNTQGSSPSSPSPSVFNSTDSSNEPGVSSSSPSAEAILSQIQSMQEAISQVLINQKEIQKQIPALVAVPVTKEGRRIEAAIGKSMEKTYKTNSDALWARTQEEFAKQEKSNRDRNQQISVLVTNGYKDLLAAWEKMLKKETSALVSAVARAVSPVIEKTVSTAISEAFQRGVADKSVNQLEKSINSKLEASVARQIQTQFQTSGKQALQEALKLSMEASVVPAFEMSCKAMFDQVDATFQKGMVEHTSAAQQQIESAHSPLAIALRDAINSASSVTQTLSGELADGQRKLVALALAGANSEAGNPLMTQISNGPIGSFHEKIEAPLDPTKELSRLVYEHKYEEAFTAALQRSDVWIVSWLCSQVDLQGLLTSNPLPLSQGVLLSLLQQLACDIGNEPSKKLGWMMDVVVAIKPTDGMIAMHVRPIFEQVQSILNHQVSIPTTKVSELSIIRVIMKLINSTLRTL >KVI02388 pep supercontig:CcrdV1:scaffold_175:205271:212253:-1 gene:Ccrd_019347 transcript:KVI02388 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein MSAPHLSYGPKTAVFTNGYGTPTTSYPSPEASYEEITQNPQFFLEKLQIFHSVFGTKFKIPIIGGKSLDLHRLFIEVTSRGGIEKIVSDRRWKEVMAVFKFGPTITNASFVLRKYYLSLLYHFEQVYYFRKKTPTIVPADATNRLSGGSGSVKNLFSGRRMVEVGGQVTGTIDKRFDHGYVVTVDMGSEKLSGFLYHLPSDSPAPSHGTHNMYQLALREPSPEPDTSGYDVFFTEHYIRFIRKRDQEARKDRSRQRLLTRIELVLGRLRAIFFFSSLL >KVI02366 pep supercontig:CcrdV1:scaffold_175:316755:320815:-1 gene:Ccrd_019363 transcript:KVI02366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLNASLIPSSTTQLGRPEIQRGGQIKPIGHSPLALGPHTIQPATFGRQMHISQMKSAAFICASALNARCGAEQTQTVTRQSSTITIAPVQGKEKSPDLDDGGTGFPPRDDDGGGGGGGGGGGWSGGFFFFGFLAFLGFLKDQEKEGPYRDDRR >KVI02344 pep supercontig:CcrdV1:scaffold_175:373763:388647:-1 gene:Ccrd_019372 transcript:KVI02344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MKLRRRRASEVPPKIGSFINGVLAAPLESIEDPLKSFFWDFDKGDFHHWVDLFNHFDTFFEKYIKPRKDLQVEDDFLELDPPFPREAVLQILRVHHLSSLLASTDADVVEACLQALSSFLRKSIGKHIIRDTSLSPKLFAFAQGWGGKDEGLGLVACAVEDVSDPVTQELGSTLHFEFYAVNDSLKENLAAEQATEGLQIIHLPKVNTCENSDNDLWHKLVEEYKVPRGLRFSLLTKLRFARAFSSFAGRQQYTCIRLHAFIVLVQACGDTDDLVSFFNTEPEFINELVTLLSYEDAVPEKIRILSLFSLVALCQDRSRQPTVLSAVTSGGHRGILSSLMQKAIDAVVSKSSKFSVLFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKNTDPQHLHLVSTCVHVLEAFMDYSNPAAALFRDLGGLDDTIFRLKVEVSHVENGSKQEGTSVEMDSSECSNSQVVAGTSSELDSMQPLYSEALVSYHRRSLMKALLRAISLGTYAPGTTARVYGSEESLLPHCLYAIFRKAKDFGGGMFSLAAIVMSDLIHKDPTCYSILEEAGLPSAFLDAIMESVLCSAEAITCIPQCLDALCLSNSGLQAVKDRNALRCFVKIFTSRMYVRVLMGDTPSSLSSGLDELMRHASSLRGHGVDMLIEILKTIEKIGSGPEAASSTTETPSYSSVPMDTDVLDKLGDIPDSGQCSKIQNPEKHPEPSSDTSLFNVESFLPDCVNNVAXLLETILQNSDTCRIFVEKKGIEAVXQLFTLPLMPXSVSXGQSISVAFKSFSPHHSASLARALCXXXKEXLKSTNELLASLGGMQLXLVDEIKRTKVLRCLSSLEGFSRRSRHGLARIRGGRTGRHLDALHIDPEASVSNNETSSSQDVKTKSPEVLVMENLNKLXSTIRSFFTTLVKGFPSSNRRRAEAASLSTASKNIGTALAKVFLEALSFPGYSVGSGLGTSLTVKCQYLGKVVDHMAALTFDSRRRXCYTVMXNNFYVQGTFKELLNTFEATSQLLWTLPYSASTXGXBHEKXERSKLSHSTWLLDTLQSYCRLLEFFVNSTYLLSPTSTLQAQLLVQPVAVGLSIGLFPVPRDPEXFVRMLQSQVLDVILPVWNHSMFPGCNPXFXTTIVTLVTHIYCGVGDAKRSXXXGSGGANQRFMPPPDEATISTIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGNSSETSKVDSTEKLADVQTEVAEAKTPPIDDILAATMKLFQSSDSMAFPLTDLLVTFCSRNKGEDRPKVISYLIQQLKLCPLEASKETSTLCMISHTLALLLAEDVTAREIAVKNGIVSIAIDILMKFLVEIESQNELLVPKCISALLLILDNLLHTRPNFSCDSKEGTQAGSLPDPRQEQASLSVPQEGIEEKPTPVSVDKEKGSAFEKIFGKSTGYLTVEEGNRVLTVACDLIKRHVPAMVMQAVLLLCARLTKTHALALQFLENGCMIDLFSIPKTCFFPGYDTVASAIIRHLIEDPQTLQTAMELEVRQALSGSRHAGRVPPRVFLTSMAPLISRDPEVFMKAATVVCQVETTGGRTVVLSKEKEKEKEKSKAPGVEIGASSTECARVPENKSQDGPTKCGKGQKKIPANLTQVVDHLLEIVLKYSSSNPEEDCTRSANAMDVDESAANKGKTKVDDTRIELDSLSERSAGLAKVTFVLKLLSDILLMYVHAVGIILKRDLEMCQHRGHNQLEYPGHGGIVHHVMHQLLHPCMDKSSGNGSDEWRGKLSEKASWFLVVLCGRSSEGRRRVINVLVKALSSFANSANNSSKHSLLPDKKVTAFVDLVYSILSKNSSSGNVPGSGCSPDIAKGMIDGGMIPCLSSILQVLDLDHPDAPKVVNINLKSLEALTRAANAVEQLTLSDLANKKKSVGLSTRSDNQMINTPVDQIPVGNRSSSQHEITGTDDAEQHHEETTQVEGGHQANINQPAEQELRNEMDETENANHSVELGMEDDDYHENRVIEVRWREALDGVLGQPGADSGLIDIAAEPFEGVNVDDLFGLRRPLGFDRRRQQSRTSFERSGTEANGLQHPLLLRPSQSGDLGSVWSSGGNSSRDLESLSGGNFDVTHFYMFDSPVLPFDHVQSTVFGDRVGGAAPPPLADFSVGLESLRASGRRGPGDGRWTDDGQPQAGGQAAAIAQAVEEQFMSQLRGTAPSSSSAERPNFNSGSLGRQSDSPLGNDNHLAAEGVDSDALQNEGRHGENDQNTLHQQLNEMVENVASQEQVQPNMVVEQMGEQQAQGPCPDVVNNAHDGHDNMELGEGNGSVNELQELSATEDVASNHLVIATDDVPNSGDHHAIVTGNDDVDMNADSEANQGGDPLPSIAVIEESSSGQNTRIAQVNGQTGQSDETDATIVAPNANGIDPTFLEALPADLRAEVLASQQAQSAPAPVSAPATAEDIDPEFLAALPPDIQAEVLAQQRAQRVAHQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRIDNRRNGLGFDRQTVIDRGVGVTIGRRTSSALLESLKMKEVEGEPLLDPGALKALIRLLRLAQPLGKGLLQRLFLNLSAHSRTRAILVFLLLDMIKMEAEGPVSGLTVVNSQRLYGCLSNVVYGRSQLLDGLPPLVLRRVLEILTYLATNHSSVANLLFYFDSSLVPESLNLKYHDRRNDKGKEKVVEGRDISHPVGLEGNIPVLLFVKLLNQSLFLRSIAHLEQVMGLLQVVVYTAASKLDCQSHAEQAVTSSQGLPGNEAADHPQGDSFSAGAEPSQNDKSVSDGLSTSDDQKSVNMYDIFMKLPQTDLHNLCSLLGHEGLSDKVYLLTGEVLKKLASVAASHRKFFIVELSDLAHSLSSSAIRELITLKNTQMLGLSAGSMAGAAVLRILQTLSSLTVPDIDGSKGVKSGDNQEHVTMWKLNVSLEPLWQELSECISVTETQLTQGSFSLVISNANAAEHVQGSSSPPLPPGTQRLLPFIEAFLVLCEKLQANNSLLQQDDATYATATEVKEISGNSSPSGVRIDGAVTFARFAEKHRRLLNAFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRHQHDQHLTGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVHFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTGGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWLLENDVSDILDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTNAIRPQINSFLEGFNELIPRDLISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVGSIVVVWFWEVVKAFNKEDRARLLQFVTGTSKVPLEGFKALQGISGPQRFQVHKAYGAPERLPSAHTCFNQLDLPEYPSKEHLQERLLLAIHEASEGFGFG >KVI02391 pep supercontig:CcrdV1:scaffold_175:151229:155005:1 gene:Ccrd_019340 transcript:KVI02391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGACYSTTAHSRTDKQHQDRRWRTSNRPTKLNRTPIDFNFTFATRADDNLLHQIPGRLVANASSTIATLHTQQGKKGINQDAMIVWEKFSSNSDAVFCGVFDGHGPYGHMVARRVRDSLPVLLSTQWMNSTNSDQSCDNENMNADGSIPEEELLEEYWCEQSDNEEKETIPEKYLPLKKSLLKSFKLIDKELKNHPSIDCFCSGTTAVGLIKQDQDLIIGNVGDSRAVMATRDEDNSLVAVQLTVDLKPNLPREAARIQQFKGRVFALQDEPEVSRVWLPNSDSPGLAMARAFGDFCLKDFGLISVPDVFYHRVTDRDEFVILATDGVWDVLSNKEAVDIVATAPGRSTAARALVDCATRAWKLKYPTSKTDDCAAVCLFLDQKPKGVEKEAKKQDIDVVNEEVKVMNTRKEEDNIDEIEKHSDSSGDCNEIELAKEKVAEKRVGGSKRSLAECISTSEDEEWSALEGVARVNSLLSIPRLLSMDSSWRKSNSKI >KVI02380 pep supercontig:CcrdV1:scaffold_175:104511:109369:-1 gene:Ccrd_019332 transcript:KVI02380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MRSSSTKQLAENNRGLNGRFFTLHSRLYNALGLGLRNDEGGRRWCCTDVETQRHVVRSIDAFLDCISSDTSQHPLIKESVADIVPALGTTLLQKNEAVMKLASKVFVKMVNVIPNSIIESCVLDVVHPVSSSLSSCHVPVVISCSTALNLILSYLSSKRDREVWKVLEETETIDNIIHHIRCGGSKPAEFFLETISLLSKILWRWPSSRFCVWNDDGLLEVLGSLSLEPILSVRAAVLQLYSTIALCSNGAKKLLDSGKPPLRLMVESMDSLNPNFLQLAGFTLAECFAANEEGRLKMIESYCEPLVKAIIHGLSNWSLHSGKLSKDQMSLIKGACCVSKIICWPGKHHSYFWKLGIESVLLKLFIDDFHIKLLSHQFLSSEELEAIARDCLSANFLPVLKPHVWDILGGLAANCAEDFNVQMLKSERHLNFLITCACLGFVDSFRSTRQSCQNAVNEPVSRAVLLMIYSPSKYIASEAKSVLSGLLKLNAKEDIKYILNTLNATSSGIYYPVSNNLQVINLISLACYSGLPQYRRYVLKNQGIKILFSFVQNLSRNHVHTERMNTSLHFCDHNMKMCCYECEEDWQGEEMFLLFGLLGLAELVHHLGSMKGHVDWFVKQFEFTEAQIITKVQEICINSCASGPRWYGSYLLSYFGFYGFPSKLGNMIGNVFSEDEHTNLTLILANQEHVNAHGVIVRVRCPSLLPSRELPLEAKTSTGHFGGREVRLSAHVNHQALLKLLEYVYSGHLRAGEDLVKRLRTLAKHCNLQPLLQMLCRNRPKWRTPVPSFDLTSALGPNGFHFSDIILEAKGDKFEDWMCEACSLLQPHLHAHKVILCASCDHMRALLCSGMQESQSESIKVDLGWEALVRLVNWFYSGKLLPKPKYGCLWHNLNEKEKFDEVIPYVELYCLSDSWLLEDLHKECSRVIAACLDSVNMAIKIIQIAAVCFQWDLVDLAAKFIAPHYHRLRNSGDLLELHEELVDVIRVASVRLSQRSDHI >KVI02372 pep supercontig:CcrdV1:scaffold_175:325505:331328:1 gene:Ccrd_019365 transcript:KVI02372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGARIFGPSQKYSSRDIAAHTTLKPRKEGQDTQDEVQRRDLKEELEERERRHFSSKDKGYGEDRDRRKGGHHLLEGLGRDLEDRIVPRSADADDADVDINSDEERWDDDVVFKNQARGENKPQKRFINDTIRSDFHRKFLQKYMK >KVI02369 pep supercontig:CcrdV1:scaffold_175:295744:296505:1 gene:Ccrd_019360 transcript:KVI02369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MHPQPPESPSLYCTPSSQSRAATPSPATRFFMTKQQPPMMTPTPEYLYQHFPGKTRDRLLLRNHHRTDPAIWCTAIICLIFSILIILFGITTLIVFLVIKPRNPVFDTNHASLNVIYFDSPGNFNGDFTFLANFSNPNRKLNVRFEYAVLELYFANNLIANQSIKPFSQRQRETGVVKIHFISSLVYLPLNHAMELQRQVLSNKVMYSVRGTFRVRVSMGLIHFSYWLHGRCELQMSSPPSGFLMARTCITNR >KVI02346 pep supercontig:CcrdV1:scaffold_175:399611:406364:-1 gene:Ccrd_019374 transcript:KVI02346 gene_biotype:protein_coding transcript_biotype:protein_coding description:HECT-like protein MDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRINNRRNGLGFDRQTVIDRGVGVTIGRRTSSALLERLKMKEVEGEPLLDPDALKALIRLLRLAQPLGKGLLQRLFLNLCAHSCTRAILVFLLLDMIKMETEGPVGGLTTVNSQRLYGCLSNVVYARSQLLDGLPPLVLRRVLEILTYLATNHSSVANLLFYFDSSLVPESLNLKYHDRKNDKGKEKVIEGGDISRPVGLEGDIPMLLFVKLLNQPLFLRSIAHLEQVMGLLQVVVYTAASKLDCQSQAEQAVTNSQGLPGNEAADHPQGDSSSAGAEPSQDDKSVGDGLSTSDDQKSVNMHDIFMKLPQTDLHNLCSLLGHEGLSDKVYLLTGEVLKKLASVAPSHRKFFIVELSNLAHSLSSSAIRELITLRNTHMLGLSAGSMAGAAVLRILQTLSSLTVSGVDGSKDVKNDDNQEHVTMWKLNVSLEPLWQELSECISVTETQLTHSSFSSVMSNANAGEHAQGSSSLSPPLPPGTQRLLPFIEAFLVLCEKLQANNTLLQQDDAYATATEVKEFSGNSSPNGVDSQKWIDGAVTFVRFAEKHRRLLNAFVRQNPGLLEKSLSMMLKAPRLIDFDNKRSYFRSRIRQQHDQHLTGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTGGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDAYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWLLDVSMLEDMNDVSDILDLTFSMDADEEKHILYEKTEVSDYELKPGGRNIRVTEETKHEYVDLVAEHILTNAIRPQINSFLEGFNELIPRDLISIFNDKELELLISGLPEIDLDDLKAHTEYTGYTVGSNVVVWFWEVPLEGFKALQGISGPQRFQIHKAYGGPERLPSAHTCFNQLDLPEYPSKEQLQERLLLAIHEANEGFGFG >KVI02348 pep supercontig:CcrdV1:scaffold_175:70558:72093:-1 gene:Ccrd_019326 transcript:KVI02348 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MGSLHWVCSSDIFVLFLVLLISIVMFLYRRNKCPPSPLINWPFFGMTPYLLLNSHRLHDFATDILKFSHGTFLFKGPCFANMDLLLTSDPANIHHILSKNFPNYPKGPDFRKIFDILGDGIFNSDDELWEIHRKTTMSIFKHPDFYTLLEVTIRNKVDKALLPLLHHFVSHDRQPMDLQEIFQRFTFDSICLLLLDYDPESLSPHLPFIPCEKAFTEAEEALLWRHVWPEYFWKLQHRFGRGKEKKMKEASKAFDEFIYKCLSEKERLQQVGRGGLLTSLMRGFQGQGGSSGDSRKFLKDTILNLMLAGRDTTSTGLSWFMYLVAKNPRVEDKIREEIEKQLGGRKWKTSLGAKELEGLVYLHGGLCEALRLYPPVGFEHKAPLEKDILPSGEEVDERTKIILSFYSMGRMEGIWGDECMEFRPERWFSTSPEGIRGNNMIKHEASYKFTAFHAGPRRCLGKEMGLIQMKMVAAALIYHYHVELVEGHQVCPSDSIILQMKYGLKVKLLPI >KVI02360 pep supercontig:CcrdV1:scaffold_175:61990:63914:-1 gene:Ccrd_019325 transcript:KVI02360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MNASTNEEQRQKDLNDWLPITASRKAKWWYSAFHNVTAVVGKRFDRYPELGQHAFGEKLGYWLVMPQQMMVQIATDIVYMVTGGKSLKKSADLLHPWFHDIRQTYYIIFFAVVNLFLAQVPNFNSLKSISLTAAIMSFGYSMIAFVASTIKGIDHHTQVNHGIRSETKAGTTFGIFNGLGTIAFAFAAHSIALEIQATIPSTPEKPSKKPMWLGCVVAYIIVAACYLSVAISGFWAFGNTVEDDVLISLDHPRWLISLANFMVFLHVLGGYQVFAMPVFDLIESYLVQRRNFSPGTSLRVISRSTYVCITAFIGICVPFFGGLLGFFGGLAFSSTSFFTCILVGGVITILAPIGGARTIILSAKTYKLFS >KVI02371 pep supercontig:CcrdV1:scaffold_175:332363:334799:-1 gene:Ccrd_019366 transcript:KVI02371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0118 MFRPTTATIKTDPPPEIHTKLRPPHETDMETSSLTADPQVRLALYIAMAHAGVAFAIFVLYGLSKVLDIYLRPLLWAVICSIPLRGIQQTLVSFWSEPLKSGLTDTILAVPTCTFRAFISTIVEITELFLKIIGHPRRRFPQRRRRSGFSMVLRRLVSLWIFVMAYEQFGGLGATSLLILGFMFTSSNMGCTMSVVETFRSYSFKRSPYSSFLTRGILKRLETIVAIGLIMGMIVGTLLISLFFSYKIGVEGRDAVYSIKLRVEESNYAEKMGVKQWMAENNVSEMVANYTNQFYGTVYEHIDSLAVQYNMTEIVEEMKHIMAERSTNSSAPATELEAPNPFAEKILSLRRRVTNQEWSEIYPEVNALFKEVLISREDITEKAKAMAFQGKDVIHRVLESGHSILGGSTRLILVILESILTGAAGLFSFLSQSMVFIWVLYALITSHSSGVTEQVMCMIPISKYARTRCVEVLDKAISGILLATVEISFFQGCLTCLLLRLFNIHFLYMSTSMAMVSPFFPLLLYYFATIPAVLQLVLEGRYLVAICLPIIHVLLIDYGGAIMGPLILTVVIALKDLYVEFVLDEPKEIKTA >KVI02342 pep supercontig:CcrdV1:scaffold_175:356980:361222:-1 gene:Ccrd_019370 transcript:KVI02342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucraseferredoxin-like protein MASNRDEPLTFLNVSTSSSVVVSEGDSSQIGSASGSFQNEGFLGGFDGGDEDFGFSRSEFRQSLLVGTVKYYERHVFLCYKKPQVWPPRIEAAEFDRLPRLLSAALTSRKEVLVKDGEWLPGSPEALRGSYIFVCAHGARDKRCGICGPALVSRFKDEIELRGHQSKVSVRPCSHIGGHKYAGNVIIFGSNNHGKVTGHWYGYVMPDDVPTLLEQHIEKGEIVDWLWRGQMGLSEDDQKKAQEQRVIANGGGNMDQSLKDSAPHTDEIYSHPDGSQVEDAGCCQANESSTCCRSPKLPENPANFNLDTKEKRKGSKNQISINVGNGGSAPHKVCTMPTWLETWESEDVYAALALIGAAVSVAVAYNCYRQLG >KVI02363 pep supercontig:CcrdV1:scaffold_175:83329:88925:-1 gene:Ccrd_019328 transcript:KVI02363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S64, Ssy5 MDPARPNMRVRSSGSTPSEESALDLEKTCYSQSNLPAFNPPTLQPYASAGQHSESNAAYFSWPTSSRLSDAAEERTNYFVNLQKGVLPESIGRLPKGHQATTLLELMTIRASHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVSRKVHKQWLSPIQCLPTILEGPGSVWCDVDVVEFSYFGAPEPTSKEQLYTDIVDDLRGSGSCIGSGSQVASQETYGTLGAIVRSQTGNRQVGFLTNRHVAVNLDYPNQKMFHPLPPTLGPGIYLGAVERATSFITDDLWYGIFAGINPDGAFIPFSDDFDMSTVTTCVKDVGEIGDVKIVDLQSPINSLIGKQVMKVGRSSGLTKGTILAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIVLKGEKEGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLNLLELDLITTADALKGAVQEQRAASATVVGSTGGDSSPPPEIVLPKDKAEPLGLHIQQHIPCSEEGGGPDINLSPAANLENNSIEHQFIPSFNGRSPLHRNERRFRVQYENLSALKSGTDEDLGFALHLGDNEPKRRRSDPLDEGS >KVI02351 pep supercontig:CcrdV1:scaffold_175:231920:241714:-1 gene:Ccrd_019352 transcript:KVI02351 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MSGLEGNEAFDETGERKSDLENSEDEIRLSRMRSLKKKALNASNRFTHSLKRRRKRKVNSKVPSVPIVDVRDANEERAVCELRKKLLDNNLLPTRHDDYHTLLRRSFISKKISPVFFREVSSEIVLLLLSVAFGLRWTFIALGVKLFLKARDFDIGRTTLMWEEMLNWRKEFGADTMLEDYEFTELEEVLQQYPQGYHGVDREGRPVYIERLGMAHPSKLMQTTSVERYLKYHVQEFERAFNEKFPACSIAAKRQICSTTTILDVQGLNFTPTAASILGAVTKVDSNYYPEVVNNAEATFERQITRVYNDQQKIDSSVQIQPGRSDASIFESGSDADDPCLTSPNNSRIPEFASVSDEAVASDSPVYHSCDDDFSPREEAIAADRGLEISRSRSPTPDSEGITSGTALQVSEGTVCIQLLNTIQEKVVKRSFNYIAKPVVSLATKLKTLVCSLPIEYWRKLNIICPSNAVAPSDSASRTEGIREDQILPCLERLQKLERMLEELKKKPAQIPAEKEQMLEHSLDRINGERCVESWCRVEKLERGESYVRDSEHPFFLFSVMLIVSEKV >KVI02387 pep supercontig:CcrdV1:scaffold_175:184990:187884:-1 gene:Ccrd_019344 transcript:KVI02387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGESSSSSSSSNKISKGISAIDATVNLTIKIPNLAYNSRKDFLTTIVPATSSSSYNSPSSSAFVSALQSPYISPRATGDDSNHPQENPRITHPSTATATTTTTPSTFTQPSTPVSYSGSHSDDIPSTSYTPPSERYDFSDATNLKISDNTTINTTAPRISFSFPVPRISLAKGSVSPASSAKLRSCDVYIGYHGQNPNLTRFCKWVKSELELQGIACFVADRAKYSDSQSHEIADRVICSVTFGVVVVTRFSLLNYLTSEEIRFFAQKKNLIPIFFDTDLDEITNLVARTSDCKEAIDGLMKSNEFKLEANEGNWRSCVARSAWILRGKLGRKSYVDKEIENLDEMPFPKNRFFVGREKELAEIESVFFGCGNFGEKESSLVVINGGTPGTSEGLADEESEGEGNRNVESWIEPVIGRNSLKRPKYKKSKSGKYKRFGSSLVCINGGSGMGKTELALEFAHRYSQRYKMVIWVGGESQHLRQNLLNLSVSLGLDVSADAEKERGRIRSFDEQETEAFRRVKRELFRDMPYLLIIDNLETEKEWWEGKDLSDLIPRNTGASHVIITTRLPKVMNFEPMQLQPLSLSDAMLLMRGRRRKEYPSQEVEILGKFHQKLGRLSFGLWVIGCLLSELTISPSALFQSINEILLDEASSHLDEPFWGNNRFMLKVLIFCITILHEANGTKNHLAFKMLLAGSWFAPSPISANLLAAAATHMNPSRNRIKKWAKCMNSSFFCCSSFINSQKWKSEEDSAIVLVKLGLAKRSNRSPGCWILIHPITQNFAKRKGGLVAAKATIQAIRKIGNPMLNSDHLWASVFLVFGFKSTPPFVQLKAVEMVQFIKKTALPLAIGAFTTFSRCNSALELLKVCANVLEEVEKSFVSQIEDWKKKQQQRMDEYVWQDVTLLKAILLETRARLLMRGGHFDYAEELCRRCISIRTVMLGHNHAQTLAAQETLSKLVRMRSKI >KVI02385 pep supercontig:CcrdV1:scaffold_175:214699:222418:1 gene:Ccrd_019348 transcript:KVI02385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MKILVVGIPGQRLQSPGAIYGYCKIGWSKYGSPSRLATYVNLCYVTLDYLSEFRKDTEASQRVGSEGLYSSSLELFCSSRDQQPSAVGSLGLHRVVAHCIDHCQYVKPKTGRNWYKTIVLAGGTASLPVELLSKVLVLIWGQPSTSNDLWRVV >KVI02361 pep supercontig:CcrdV1:scaffold_175:21778:32036:-1 gene:Ccrd_019321 transcript:KVI02361 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPMPMAIAMAINLQQVSCSFSVENKGDQKMDLKIRCSPNKFYFSPCVRRGVIPLNQTHPNLFFLPLPRYNGIKRRKSSFRVSASVNPDGSEDGSAWTRFSRSVRRTSDVLLENFGDSLKKETGVDLQDANAKLSEVVGGIQDSVLKARTQFWTWNEWQRWKDVNNWEPTRIGALAIYLLVMVISCRRIYMAVRAPFLDRQIKQVSEAYMEALIPEPSPTNIRKYIPSWYKKGLWRKSIPKGLKMKKFIEGPDGTLIHDSSYVGEDAWEEDATASKDKVKEIINHDVSLNTEDKRDLEKELGLSDVNQESRGTWQERLQIWKEILRKEQLAEQLDSSRSRYTIEFDMEEVEKSFREDARVKAEGTEGARALWISKRWWRYRPKLPYTYFLEKLDCSEVNYLISSSSLSSIATSHKLVAAVVFTEDLKRLYVTMKEGFPLEYVVDMPLDPYLFEKITNSGAEVDLLQKRQSHYLLKLVVALLPGILVLWFLRESLMLLRITSRRFLYKKYNQLFDMAYAENFIMPVREVDETKTMYKDVVLGGDVWDLLDELMIYMRNPMQYYEKEVKFVRGVLLSGPPGTGKTLFARTLAKESGMPFVFASGAEFTDSEKSGAARINQMFSVARRNAPAFVFVDEIDAIAGRHARKDPRRRSTFDALITQLDGEKEKTGVDRFSLRQSVIFICATNRPDELDLEFVQAGRIDRRLYVGLPDAKQRVQIFGVHSTGKQLSEDVEFEKAIFGYFMLLLVFRTVGYSGADIRNLVNEAGIMAVRKGHTKIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEERVSMEKKRLLAVHEAGHILLAHLFPRFDWHAFSQMLPGGKETAISVFYPREEMVDQTYTTFGYMMMQMVVAHGGRCAERVVFGNDVTDGGMDDLEKITKVMVCLLLFSPNRLEFGLCFFALNYWDQNPIAREMVISPRNSRLGFATLTNRVGLANRADSTDGELIKYRWDDPNVVPADMTVEVSELFTRELTRYIEETEELAMKGLRANKHILDLISTELLEKSRITGLEVKEKIGKLSPEMFEDFVKPYQINAEEEGPLPHNDRLRYQPLDVYPAPLHRC >KVI02394 pep supercontig:CcrdV1:scaffold_175:3918:10692:1 gene:Ccrd_019318 transcript:KVI02394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysozyme-like domain-containing protein MHSKILKAHDLDTTRALPTRMGVSFRYWDDCVDPQDMQEMWMDPDVSAEWITAGETVGSKVHLSRDTDGQPYLTQNEMKDMLCAIGQLESDRHLLTTSYNKKSKASAIGIMQILPKTAEWLISELGYNEYDLHGDSDLLYKPFINLYLGAAYLKWLSYYGNKERSEEFMVRSYHGGVKKATHKSTLAYWKRYLSVKESLPSRQIFKVDTLLMPNDSASGTASSNTQVPINVTWESRASPEDMEYMWNNPVVNKEWTKSGEKRGRVRISHDSERRPYLSRIELKGVAETVVSKHFSTNGVKPTILCAIAEIISKRYVNGIGQRTGLMGIDYPTACWIYRDLGYRAYKVESFDDLTKPFVSMYFGAAYLMWLSEYEGRWLFVIDDDDDDDDDDMKRSIQFMVQAYISGPKNLKLEKANPMWLKFEEALGRYEENKEAAPYYKYKPSHG >KVI02383 pep supercontig:CcrdV1:scaffold_175:140313:145369:1 gene:Ccrd_019339 transcript:KVI02383 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MEDILLLKQAWKWLLSESHCYSVAAMNAARSFRDKIGISIARHWPSVCCGCSRLVKLFCYFLIQWKDCFVRGSRSLFGLGTAALLLIIWSCFLSLTSMSCLLYVLLSMSYSSSQGAAACAVHYLGHTPGLFIVGLFAILVLWMYGNFWITGTLFIVGGYLFSRKHARLVVLIATLYALYCVKVQGGWSGFLVSINLAFLSNDALNCILQWCDNLSEKTHFEEQNFSESFVEDEFRPESEFFNPTNEAEKVHPTDETEKVRPTDEAEKVQSCECSSEPTSTTIILNKPKESSSFAVVKDDLKAVNEMKRILSCVDHYEALGLSRYKRIDGILLKKEYRKKAMLVHPDKNMGSPLASESFKKIQCAYEVLSDSLKKRDYDEQLRKKESRTLSHKSPRNHDAYSAQNVVIHIYGYVQIGRNCRQDGVRPNTHRPTFRVNMVGLEKPARSNSSRYPWDLDAKMASDEEEEFEIWLKEALASGLFSETSKRRKSWGPFFKLPQKKGKKEWNRMSQ >KVH88035 pep supercontig:CcrdV1:scaffold_1750:1621:2254:1 gene:Ccrd_024581 transcript:KVH88035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEFITNIMQRLMIKHLNVVGYPAGRELDEIGQERLRMDWQTRNNFDDCGVFAVRHMETYMGDVRTWNTGLSKEGKTQEIQIASLRMKYVAKLLVSNYNKKKEYVVKEVEKFQSMDEGIQKKLRKHADDTKTERLRI >KVH88034 pep supercontig:CcrdV1:scaffold_1750:3450:4394:-1 gene:Ccrd_024582 transcript:KVH88034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MQLKANGQHMVVKSKIENSYDCSCNCFVRNGILCCHALKVMLNDEVDRIPDKYILRRWRRDLVPVECEMRNIFLSKFVDQLQEWDTKIDSELPIQSHAQETTTSIKEFLGVSQLENIDVLPPTGIRNKGYGTGKRLISTAQKAITNGKRQKRKCRLCRQMATHDSRNCPKRDST >KVH88033 pep supercontig:CcrdV1:scaffold_1750:10653:20630:-1 gene:Ccrd_024583 transcript:KVH88033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase SnoaL-like domain-containing protein MAAMMISLPTTTTNSFVQFSLSSPSSNFVYLITESRTSRRIGYIDRFPLRSTPNPVLSSKFSEDDESSPPSVVSYPLDSSSDVVRRFYDGINSRNLSTVVDLIADDCVYEDLVFPKPFVGRKAILEFFEKFIYTINQDLQFAIDDISGEDTSAVGVTWHLEWKGKPFPFSKGCSFYRLEVVDGRKKISYGRDIVEPAVKPGDLALVAIRGVTWLLQQFPQLADRF >KVI03248 pep supercontig:CcrdV1:scaffold_1751:94520:95608:1 gene:Ccrd_018457 transcript:KVI03248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTNEAGGFWGVLARKAKSILDDRQSPTSSLKPIVTTFSTSNHHGIQYEAYSKKIDDSPTSGNGMHTSLTSFSLNQIGDTIGNALEEAAKKSEDRIQETSAAGNSKRSALNDEEKDYAEPIQESTQLKASRHVAIATADKAKQLARELKSVKADLAVAKERCCQLEEENKILREKGPTDDDMIRDQLETLLAEKGVLAHENSVYARENRYLREIVEFHHLSMQDHMLMYLDQDDDNLLSSFHQIHPVVSD >KVI03249 pep supercontig:CcrdV1:scaffold_1751:9177:10787:-1 gene:Ccrd_018455 transcript:KVI03249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MVLERLVLVYDRLYNLSVPKHRSEPIISSSIERDVTMLDLLCLPIQIRDFPAAKFYALNSYAFADVRLLSFNFPSKLSSSFNLPSQLFKPVGRWLGTVGGVGVVIGGGVAAASTPSGGAAATEARAVKEKKEEKEESDDDMGFSLFD >KVI03246 pep supercontig:CcrdV1:scaffold_1751:96342:100491:-1 gene:Ccrd_018458 transcript:KVI03246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDAFRGSWASTSTSFSTRNPHHQSRRYNNGNNHNQFSASNFFHSPLSTFFEYTGISRTGASRNSESSARRFTQNNFSGDGDNNGEISIRIIGGGEQEHRDGVDQVREGMTTQNETSIQPISRPDSAVVLDAQVDSRSDSGVNVEGNYGSGDGSVDVEASDGGAGVNNTRNSSDQRNGVDQATTWIEQVLPFILLLLAVFIRQHLQGLLVTISIAAFLFKSNDILRKQTALKVQRVSINILVRQAAMVVKCLLLMYYKNSKGLNYRKQGQMLRLVEYLLLLYRALLPVPVWYRFFLNEEYGSLFSALITGLYLTFKLTSLVEKVQSLSCALKALSHEEIHYGTYATTEQAGLMHPHVDEGGEICAICQDKMRAPVMLCCKHIFCEDCVSEWLIN >KVI03247 pep supercontig:CcrdV1:scaffold_1751:93634:94235:1 gene:Ccrd_018456 transcript:KVI03247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKQGGLSRSSTFKEEIRHQDHDIITTSASSSTAPSSPLARRTNANSPSQSQAARLDSFLSSGAFHSSLKDRSKKIKEEKPIT >KVH88032 pep supercontig:CcrdV1:scaffold_1752:23941:35679:1 gene:Ccrd_024584 transcript:KVH88032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVNKSGGGVAGGGDGSGAGGRLLVDESSWDVQSDRKRFQIDLKQSETTIVSWTKLLRDAGIPVDHSPPSSPEPPMEYRFVPRDVPNKRKTRSQGGLTTEVINLEPSQAVSSRRLVKKRAPPSFLRRRRMSKKVYHKSRGLLCQKSWKGSMLFKPPKTQKMGHGRVKSTTEQNYDNRKQTLLSASVTVSKKDLSDTGIKSGSPSGTILDRAAICAMQSITLTRNIDEEHSLNKGRVELPDLNVPSTVQAASTSPMHTKEESGGKVNGSILESTILVMETMVAKSRQLHGDVQDVDDSEATKCRLPQELEQKLETVARLAKRVVSYE >KVH95524 pep supercontig:CcrdV1:scaffold_1753:70760:81919:1 gene:Ccrd_002408 transcript:KVH95524 gene_biotype:protein_coding transcript_biotype:protein_coding description:COPII coat assembly protein, Sec16 MASNPPFQVEDTDEDFFDKLVDDVDDYKVTPLFSQRTNLADGSDSDDAKVFASLASWNHGPGGAPGVKVVQWTAFTEPVESGSNGFGSYNDFFSEFGDNSVDQVGKGGNLVSGAQNFILGSSSVVDDSLHTENVNDYSHQFHEVQANAAAGEQSTDGQDLNSSQYWENLYPGWKYDANTGQWYQVNEGYDAAANVQGEYNLNTASECTVSNEKPEVSYLQQTVQSAVGAVAEKGTNESVTSWNPVSQGSHTNESLSNWDHSSQENNRYPSHMIFDPRYPGWYYDMTAQEWCSLDAYNSQQIVQAQDQVNQNGFSATNTYFGNDKTYGGKDQLDKQWKGSFSNVEQQGSSMWQPAQDQVNQNGFSATNTFYGNDQKAYGGKDQLNKQSGSEVFSSQGQGYQWKESFNNVERQGSTMWQPDTAANRAPMSDFGGNKLVQNHHGPSFPLDNHVNQQQAFDFRKNIMSNQSGQQASYASSAGRPSAGRPPHALVTFGFGGKLIVMKDSTTIINSSYGNQDSNGRSISVLNLAEVSTGGIDVSSSGASVCDYFHTLGRQSFPGPLAGGNVGGKELNKWIDERITHSESSNMDYRREALKLLLSLLKIASQQYGKLRSPFGADATLKENDAPEVAVARLFASVRTNSAEYSDYGHFTNCLQPLPSEGEIRATAAEVQTLLVSGRKIEALQCAEEGQLWGPALVLAAQLGDQFYVDTIRKMALCQLVAGSPLRTLCLLIAGQPADAFSTDPKTDGGIPGVVNMSQQPVQAQPDANCMLEDWIENLAVITSNRTKDDELVLIHLGDCLWKERSNIIAAHICYLVAEANFEPYSDSARLCLIGADNWKHPRTYVSPEAIQDMHNASTPWKLSLLKCPRTEVFEYAKLLGNSQFTLLPFQPYKLIYAHMLAEVGRLSDSLKYCQSISKSLKTGRAPEVETWRQLVSSLEDRIKTHQQGGFSTNLAPGKLVGKLLNLFDSTAQRVVGGLPPPIPSTSSSGVQNGHHHQPPGPRVSTSQSTMAMSSLMPSASMEPMNQWLDEGNNRKTIHNRSVSEPDFGRSPRQVDPSKENSADSQTKASGDTSRLSRFSFGSQLLQKTVGLVLKSRGDKQAKLGDSNKFHYDEKLKRWVEEGVDPPVEEAALPPPPTMTTFQNGTSDYNLKNALKSEGPQSNGNSEFTGPMSMAHSPGIPAIPATSNQFSARGRIGVRARYVDTFNQGGGNPTNLLQSPAAPITKAATNPNPKFFVPTASPLAEQPVDTSDNTQLPTTDVKEDHSFSGVNSSFQFPHPSSAMSRQKFASMNNVSEARTATRRTASWAGNGQQGFSFSNRSELKPVGVGLGLPPSSLMPIEPALGMNDELQEVDL >KVH95525 pep supercontig:CcrdV1:scaffold_1753:83684:86470:1 gene:Ccrd_002409 transcript:KVH95525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHSSRGDGGNRAEGSCLPSGIQGLGMSLPDLRSKKKGKQKVASKESHVSETLNKLRQQTRETVKGMESIAGPKPGGIDMFGDDAMMEDWVKQFEELAESQVTRNA >KVH95519 pep supercontig:CcrdV1:scaffold_1753:11291:16998:1 gene:Ccrd_002403 transcript:KVH95519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyltetrahydrofolate deformylase MNILRRASSSSPIVIASMKRPFNTLRISAELPGNPSPTLAHGIHVFHCPFIDFFFVSMSLYGTFIPLLEAMKIESIWEINSGDEVGNEFVFDPIKWQRKQMNNDFLDLSKMFNAVKSLVRVPSVDPKYKIAVLASKQDHCLVDLLHAWQDGKLPVHIRSVISNHDRVPNTHVIRFLERHDIPYHYLSKSKEKNIEDEILDLVQDTDFLVLARYMQVLSGTFLKRYGKDVINIHHGLLPSFKGGNPSRQAFEAGVKLIGATSHFVTEELDGGPIIEQMVERVSHKDNLLSFIQKSENLEKQCLLKAIKSYCELRVLPYEENRTVVF >KVH95520 pep supercontig:CcrdV1:scaffold_1753:40052:56624:-1 gene:Ccrd_002406 transcript:KVH95520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MVQHKAQLKKQQKRGVDFKKIKRKIGRKLPPPKNTTNTEVKSKAIILPEQSLVSEKAGLAVSKKGLTLKELLQQTSHHNAKVRRGIRDIFLKHPTELKMHRLSVIEKLRERMSDEDKLVRETLYQLLKAVIFPGCKEDNQGTFISLMMAYVFNAMTHLTIDVRLMAFKFFDLVVQHYPMSFSMYAEKIIQHYKEILQKNQFNLHDKGKLKSVLAGFSVTAKELKDLLPILVGGLQDFIPSVQTMPILEPQSFDCVLSILKSIDLVVKFFVYGNSKSGQQLKVSQPSDRRDQDVLQKLLKKLFDVFPLNPPHQSSEKDDNRYFVLNVLVSEIFFCSSDWSAPPSAFLEKFLVFIVDALSEKVCSTVLSGKAFFQKHSLPLIPFIPKLIMQVDDTWKSHILQAFTEGFKKCDPESSMKLVCLSAVEEMLFPKDGWLSPSTSDSGIIDFQITWIREIPLLLILLGDKNPSLSKAVLRLQLQLGQCAIMNSPLLQELDSMQYSLREFYSTCHDGNISYGPFMKLARDIQELSVCCLYYFSFLDPSLLQSLAACCLCQDLEPFLLFRFLEVLNSAYKAGHIQVVDYISFLITLLARYEVCPESSSVMEKCGKSSSGLFRSITSAVCSCLSQIGDDHLVFKMLEGAVIDQISNKLPLENTCSLLRTIIALDSKLTKISEQSVSKLGNVIPGYLIEIVSSLGAEDRGSSKTIRTSRSSYYVWPCFIWFYRSEKLLNDVLNVMTSFISGNDSPTFDGQNGHAMMDLSSRITAVVRVLLLMQEDVRIWQILSCYKQEIDSLLQNILRVMSHEGSNLNIEEKHKIQCAYDRLKNITGMLVNLEDEELFLYETCY >KVH95517 pep supercontig:CcrdV1:scaffold_1753:90946:92663:-1 gene:Ccrd_002410 transcript:KVH95517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MASRKTAMWIVSILMAIGAGGVVGHRNAYATMMYMGTARDYEFYVAIRVMLRSLILKLRVNADLVVIASLDVPLHWIHALEEEDGAKVVRVENLKNPYNNHDRFKLTLNKVYAWSLVEYERVIMLDADNLFLQNTDELFQCGHFCAVFINPCIFHTGLFVLQPSSKVFKNMLHDLDIGRDNPDGADQGFIGGYFAHLLDQPMFHPPSNGTRLDGTFRLPLGYQMDASYYYLRLRWSVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGIQWHEQRRETLGYESEMPLVLIQTIVYLGIIAITRLGRPTTTLTKLCYRGADRNLTIIQTSLKAIAIFSILISYTLPFLLIPHTVHPIFGWTLYLLGSFALSCSAIIAFHLPMVAVVTPWVGIVGVLLVMAFPWYNDGVVRALSVFGYAFCFSPLLWISMGKIGSSLHSSLGREALNLLPSRVVTVSTQPDHGFNKLY >KVH95523 pep supercontig:CcrdV1:scaffold_1753:27989:39852:1 gene:Ccrd_002405 transcript:KVH95523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MPMDYDDNDFHIQNLHLAGEGSSTVSPALRPYALPKFDYDDSLRGNLRFDSLVENEVFLGITGQEDNQWIEEYSRGSSGIEFSSSAAESRRNNVWSEATSSESVEMLLKSVGQEEGVVGETITDELDASDGPGCLATIMDPNLKQDDGKDHVIHAQKAMVPNKPQDNFSGFSASFDCEKSHITFTSQPQEAQISGGELDSVVLGKNCNFSEERVDRICGDVNQEAEKSAFELFKKESGDNLSTSEVECGDTGFTQNFSASKTGDLTKKSLSSETRSIKNKETGVDDPIGSDIAVETLTYSAEKPSVLSNVESLDKPSVEASIPVTESSSMHEDSLATPPVAGFVNVQATEDDNTKSEILATRESNVSSPSKVSDVQSRSVEETENDDVRDSQQATSDAFEVSMGSDPSEMCEGSSINNLGTNMTNECASAEFHAGSIINKDLVACSKTPKAQSGDLNKDKSLIAELSGSLQVNDEIQSSEQGGICMDEDLTFNEGGEVRLPSDSLDQKNVESTLSAEGCKKPSVMAQGSEDDMSILAEPGTAVQSDSVSSPDTPDGVQLLSGSIATDYMATKSPIHGVSSVHHDNEKKEEAGFFGDGTSGDESPKVASMIDCAAVEPLPVVEKSASTDRDGNVVHQMAGHCARPVDNNHAIMSEQTQEANPDGLGCSTTSVMSSLPFNSSAKVGDIGEAGGLQDPKESISGYHRAAPLSLAAEQQISTEVVPPSECDASHKRDNEDSSSSVDKTQYVSPSNTNNTELLQSTKVTHEMAEGAIYENASLLEVTEDLKGKMQSVSSNIDIRRDKSSTFEVTTTAALEQTGKGQQSFPAIQACNMSMNMEGSSINSSSSILDPQKPEEVRHAIPQNPVSATIQVGSKGNSERKTRRKSVGKESAKNSNRLKETTPRQSGRIEKSSVRLTSSATGHAIQFEELKPQEKIECSIKKPSGILPIPTSNLPDLNNSTAIFKQPFTDNQQVQLRAQILVYGSLISGSPPEEAHMIAAFGQSDGGRETWEGAWHACVERVHGKKSQANNPSTLMQSRSDLKDVGHRTPDPGIKHSSFPSKVLSSPLGGASNKGTPSVISPLIPLSSPLWNISTPSSVGFPSSSMTRSALHDYRQSFSPLHPYQAPPVQNSSGHNPPWLSQGPFAGQWVASSPVSAFNAGARFSALPITEAVKLTPAKVSGGPGIKHTYSIPVVHSAGPSVFSGASSLSDAKKTTASSGQASSDSKSRKRKKNTATVADQTSVPRQALLASVTAPPVALLHQVTHSEGYNRAPLLAENQTVSITAPVVSSIFSTSVTVSTPASIKSKSSPGRFLSVLSTHEHPRFRDKKVENVGISEQTLNKVEKCKLQAEDAGVHAAAAAKTCQSSTDSKTLKKKKMTTSLDVNQASVPRQAPVASITGPVIGYLPHLFRTEDHSQILLLAPNQTDSVTAPVVCSPFPMSVAMSTPLPITSKSSPGRFTKVVYPASTLGYPKPGYQNMEKAVAAEETLSKVKESKLQAENAALLAAAEVSHCQGVWSRLEKQRRSGVVSDDEVNLMSSTVSVAAATIAKMAAAAAKIASDVAEQARLMADEVFLSRKAENLDQSSIISHPKDTPASSLKSMDKSNHPNSIISAAREAARRRIQAASAASKHAENLDAIVKAAELAAEAVSQAGKIVAMGDLSDLRKLVEAGPEGYLKTSQLAHDQQGEVSGHLNSITDRQKVEAAPNMCTKEIHTLKRGLVLQEPSVYLAENEMMVIDDLSGSITGNERDTRIPRAHKGPNLSKAAGVAPETDTGSLHADVYKDDDKYKGAWLMAKVLSLKDEKALLCYSEIQSSDEGSEKLKEWVPPEVEIEGNKVPRVRIARSMTTMGYEGTRKRRRTAVTDYSWSSGDRVDVWMQDCWREGVVMEPNKIDLTSIAVQFPALGETLVVRSWHIRPTLVWKDKKWIEWSSLKGGCASEGETPKEKRMKLASPVKDKGKDKSPKIVDLVESGRHEELGTLPLSAQESSFNVGKSTRDENNLRKMRSGLQKEGARVIFGVPKPGKKQKFMDVSKHYVADGSKKNNTANDPIKFARYLKPQAPGAHGWKLNSRNDARETQVAETKSRLKSRKPPVPSFRTLTQKDNNPKSTTRDSEMTDKSDSDVEKQKEFVSSSNTKGPALDVPKKDFSFTSIPKSEHLNKGKLVPAEGKTAKVEVKEKSIPEVIEPRRSNRRIQPTSRLLEGLQSSLTISKIPSVSHASQRSHNKGIPAMMGDRMEMILASSRQVGDVGLRLQEDCLTI >KVH95518 pep supercontig:CcrdV1:scaffold_1753:614:8845:1 gene:Ccrd_002402 transcript:KVH95518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MLTISFVLILTCSTIFTIFPAKAQELKTYIVHLNSPQAQEFSQTHYLEEWYNSFLSGIASTSNEKPTMVHAYHHVITGFAAKMSAEQAKAMGNMSGVVSVRPESVLQLHTTRSRHFLRLHDNSGLWKDSNHGKGIIIGILDTGITPGHPSFNDKGVPPPPTRWKGKCQVAGCNNKLIGMRTFVSSSSPVDQLGHGTHTSSIAAGNFVDNANIFGHANGTATGMAPLAHVAMYKVCGTRYCSDSDTIAGMDAAIGDGVDMLSISLGRRSVPFYRDSLAIGTFTAIQKGIFVSCSAGNCGPFKARLSNVGPWILTVGASNLDRKIRTTVNLGNDKLLNGESLYQPKNSHQKLMPLVYPGEKGDYRAATCRRGSLDGIDVKGKVVLCDLDGMIGRIEKGKVVKDAGGAAMILPNTIKCPETTSPEAHVLPASNVAPEVTCFSSRGPNLASPGILKPDIIGPGVDILAAWPKSVENKPGTKATFNLLSGTSMSCPHLTGIAALLKSAHPEWSPAAIKSAIMTTASQVSLNGKAILDERELPADVFAIGAGHVNPSKANDPGLVFDIQPDDYIPYLCGLGYTTKQIKTIVKKTFSCFKSIPEAELNYPSFAVTLKRGGSKTYSRTVTNVGMKNSTYTIGSLSLPEGVHMVIDSPSQELRFTALHQKLTYKITFTRDIMDKLKGPYGQGYMTWVSDKYSVRTPFSFNSNAHNLKTYIVHLTSPAQPLDSHHLRQWYNSLLSEIAFVSDDHKPTMVYMYHHVMTRFAAKMSLEQAKAMENMNQVVYVLPESVFHLHTTRSPYFMGLRQNSGFWKDSNYGKGIIIGLLDSGITPGHPSFNDKGVPPPPPRWKGKCDVAGCNNKLIGMRNFYSESSPIDELGHGTHTSSIDAGSPVDNANLFGQANDTATGIAPLAHLAMYKVCNELCSESSIVAGMDAAVGNGVDVISISLGGGTMSFYENTVMVAAFTAQHEGIFVSCSAGNEGLEGSSLSNEAPWVLTVGASTIDRRIRTTVLLGNSKSFYGESLSQQKDFDHKLRPLVHPGKSGDQFATWCTEDSLDPTDVKGKVVLRDVGSPIDGDGNIKVVKGAGGTAMILACDIDSGESVFAQASDLPALSVGYKEAVGIKKYLNSTTSPVATLLFRGTVLGIKSAPEVGFFSSRGPNYASPGILKPDIIGLGIDIIAAWSQSVDIKTGTKTTFNIISGTSMSCPHLTGTVALLKSAHPKWSPAVIKSAIMTTTDQVNLNGHTIVDERKLPADVFAIGSGQVNPSKANEPSLVYDIQPDNYIPYLCGLGYTPEQVAIIVKRNDSCAKTIPEAQLNYPSFAVTLRKGDIKTFSRTVTNVGIATQLTQ >KVH95521 pep supercontig:CcrdV1:scaffold_1753:64070:65017:1 gene:Ccrd_002407 transcript:KVH95521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEGRMCKICDKVFSNGRALGGHMRSHLVTLPLPWKKPIQLQLSRTSTESTTSAGVSSDEERESDQEKILMYGLRENPKKSFRMVDPDHGGSGFVVQEDRESETEVTRKTNPTRKRSKRARVTPLVKEVPSMSSELEPVMSSVSDQISAEEDVALCLMMLSRDVWSFINLDESSRGQKRSKYQCETCDKVFDSFQALGGHKTSHRKIENDFTGVGNKSKKNVVQDDGKSHECPFCYRVFGSGQALGGHKRSHVSGSSTTATDALVCKVDGSVNLVHSTKAQGNLVIIDLNLPAPTEDEENSSAVSDAEFYQSPLQL >KVH95522 pep supercontig:CcrdV1:scaffold_1753:17702:19889:-1 gene:Ccrd_002404 transcript:KVH95522 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF828 MEGGNIRKSNSYPSEYPGKSNIEFNIPGNIPSIPQPETPMEPMEFLSRSWSVSAAEISKAFAQKPKQSTLDKKNISPLPESFIAPQLVSSTFLKSENKKVPTQAHLMVFIDLLQQQKIMNLSNVQSLRPRGKWFNHRDAIMRSVWKKDKVRQEKAQVHAALCVAGLATAVASITAAESTRLDNSRMSTALMSATELLASHCFELAESAGADHDLVVSVVQSAINIQSPSDLVTLTAAAATALRGEAALKARFPKEAKKNATVIPYEKGMGGHILTGNHSESTKREHQCTKDVLQQVHKASWPFSKERENADAYFGVKTAKGLLEFRCKNKIHKQKWVDTIQYLLQRTSNIENIGHSMNMLTA >KVI08017 pep supercontig:CcrdV1:scaffold_1754:64595:67970:1 gene:Ccrd_013617 transcript:KVI08017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTKKELLASAPWRVGGDDQRDKFKDAKLKVTAQPGTTSTMHVPGKNKSKDLPADEDDSLTEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPYSMQYNVSRNLSFFTRIFTQFSDPQGIANAQKALGLGQENKVRNVR >KVI08018 pep supercontig:CcrdV1:scaffold_1754:8790:31201:1 gene:Ccrd_013616 transcript:KVI08018 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecF/RecN/SMC MYIKQVIIEGFKSYKEQVATEDFSPKVNCVVGANGSGKSNFFHAIRFVISDLFHNLRNEDRHAFLHEGAGHQVLSAFVEIVFDNSDNRIPVDKEEVHLRRTIGTKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERNKRNQIIQVVQYLDERLRELDEEKAELKKYQQLDKQRKSLEYTIYDKELNEARRELTEVDEERNAISEELVRKYNSSVDEEEEVKRLDKSFKDLTREVQGLSREKETIEKQRTEAIKKHTEVELDVTDLEEKISGSRRAKDEAGKQLDVLRREIQASTVELNKIRPLYENQVKEEEDITKGIMVREKQLSILYQKQGRATQFCSKAARDEWLQKDIDKYNKVLSSNEKQENLLKDEIGKLERDLAAENEHVKGRETEINALEARISGYRKGFNQHKSKRDELHDKRKSLWGTESELTAEIERLKAEVVKAEKSLDHATPGDIRRGISCVRRICREYKISGVFGSIIELLECDENLFTAVEVTAGNSLFHVVVETDEISTQVIRHLNAEKGGRVTFIPLNRVKAPHVTYPRSSDVIPLLKKLKYSPNYDQAFSQVFARTVICRDLDVATRVARTDGLDCITVEGDQVSKKGGMTGGFYDKRRSKLKFMNIIRQNAISISAKENELHDVRSELQNILCMTFFLLKLEINEIVAEQQKDDAELAHERSELEQLKQDIANANKHKQNISKALEKKGKLLANVQTQIEQVKANIAMKRDEMGTELVDHLSPEEKDLLSRLNPEIADLKEKLIACRANRVETETRKAELETNLSTNLVRRKQELEAVKQSPEMDTLNAEVEAKRQELQDAKMLVEEVKKQLKRVSENIDDRNKELKKINKKKSELKARLEEYEKSRQNDDKRAEQLISRKNTLLAKQEEYSKKIRELGPLSSDAFEMHKRKGMKELYKMLHKCNEQLKQFSHVNKKALDQYANFTDQREELQKRQQELDAGDEKIKELISVLDQRKDESIERTFKGVAKHFRDVFSELVQGGHGFLIMMKKKDGDPVDNDYDEDGPRLPDTEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALALIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMVRRLADMASTQFITTTFRPELVKVADKIYGVTHKNRVSHVNVVSMEDALDFIEHDQSHNAE >KVH88031 pep supercontig:CcrdV1:scaffold_1758:20622:28128:-1 gene:Ccrd_024585 transcript:KVH88031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein QHFATSSSPQNVLTKGSSLSVENNNHVLVSPNKLFTAGFHQIKMLIASQYGFLSPSQMAITHCFGWQTETKPVNGKRSKFTLQKTGNLVLTDAGQMIWTTDTKSAXSLQLQLIDXGNLVLKQSDEEPYLWQSFSFPXDTILPDQPFTKYTVLISSRSWTNLSTGFYKLYFDNDNIILLLYKSEERNNIYWPNPWLTPWEAGRSTYNDSRFALLDSKGCFKSTDNFKFVTIDFSQTRHRRMPLDIDGNVRVYTLNNTRSWTVSWQACSNDPNCKAIEHTFNQDMGSFKCYVKTLLFNGYNLVATGFRTPDEIMKVSHKFREEIGRGGGGIVYKGILPDTREVAIKRLNEVGQGEAEFLADMNIIGKINHMNLIETYGYYAEGKHRILVYEYMQSGSLAKNLSANQLDWRKRFEIAIGVAKGLAYLHEECLEWALHCDLKPHNVLLDADYNPKVADFGLSKLFNRGAIENSIFSKIRGRSPTCDQTSDDNQRVDQKRLEDTDARPTMSKVVKMLLHPVMDD >KVH88030 pep supercontig:CcrdV1:scaffold_1758:34689:38436:-1 gene:Ccrd_024586 transcript:KVH88030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae MIQAIPRSQDDDSGHSSLPKMTIHSNHRHLPSSSSSIVIFFNRFHRLLQSSSYSKSILTNFWGMDFTTDKLRSLVKERQSLIEAHVDVKTTHKNCTYYPRADIKKMDMQASREFLAYMKVLTHVHHLNLVHHETTAPKIWEEM >KVH88029 pep supercontig:CcrdV1:scaffold_1758:109824:112430:-1 gene:Ccrd_024587 transcript:KVH88029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I9 EEAAKGALLYTYKHAACGFSAKLTPSQVEEISSMMPSSLCISFSCIXFGGLDSNDQVFFRWSRAGPLSCMIHLQCCSTSEVDKRVTIKLVNGI >KVH93286 pep supercontig:CcrdV1:scaffold_1759:84928:88788:1 gene:Ccrd_004661 transcript:KVH93286 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MEVNNSLAPSRFFIFINNELKHALECLQRIRFSSDVLFATFFAATFLFTWSSALKGGNTCVNDKSCDYGSQCGTCTVNVSVSSRCIRVQPHNPIRKVKGLPFNRYSWLTTHNAFARMGHKSDTGSVLLAPVNQQDSVTSQLNVCFSSLSLSELSRKLDFKSRRLTDTLKQSCLLFVQNGVRGLMLDMYDFENDIWLCHSFHGKCFNYTAFQPAINVLKEVEVFLEANPTEIITIIIEDYVTTLSGLTKIFKAAGLGKFWFPVARMPSNGSNWPTLDSMIQQNQRLVVFTSKSSKEASEDGSDGMRSGSCPNRAESAAMNTKSRSLVLMNHFPDTPDYVDVCRHNSAPLISMMNTCHDAAGKRWPNFIAVDFYKRSDGGGAPAAVDLANGELVCGCNNIDLCKDRKRTCDSMECFNN >KVH93289 pep supercontig:CcrdV1:scaffold_1759:24380:27917:-1 gene:Ccrd_004665 transcript:KVH93289 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKLLVTIFYAIIFLSSLFSYYLFKKERRRHKQTARLPPGSMGWPCIGETLQMYSQDPSVFFASKQKRYGDIFKTRILGCPCVMLASPEAARFVLVTQPHLFKPTYPKSKEKLIGPSALFFHHGSYHARMRKLVQSSFSPEATRKLIPDIETIAISSLESWANGQVISTFLEMKKYAFEVGILSIFGQLNKTYTYQLKENYSILEKGYNCFPTKLPGTAYHKASMARRRLNQILEEIVRERKENKLVAGNLLGHLLNFRDQKGNTLSDQQIADNIIGVLFAAQDTTASILTWILKYLNDDPKLLDAVKAEQKAICESEYGGNPTLTWAKTRKMPLTYRVILESLRKASIISFTFREAAVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFTDPGNFDPFRFENPPKPNTYMPFGNGIHACPGNELAKLEMFVLLHHMLMKYRWELVGSASLIQYSPFPVPEHGLRVSAPFVLDKIRELAPSLRMVHSINALTWPFCLDSSERVVAINVSI >KVH93287 pep supercontig:CcrdV1:scaffold_1759:74388:80479:1 gene:Ccrd_004662 transcript:KVH93287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Limkain-b1 MIYQKLMEQPHTLMRKTNGPIAILWDMENCPVPSDIRPEDVAGNIRMALRVHPVLEGAVNMFSAYGDFNGFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFSSALHVLGQRGYTVILVIPSGVGVSSALSNAGSYVWDWPSVVRGEGFVPPSRSRAIASSDVAGLLMGPQIGDNSDSKNEEEAIVYRGISMVSEFNTSLVSTSKSYSLPSDLNDVMWVQPGDLIGLKGQLVKLLELSGGSLPLGRLPAEYQKFFGRPLYVSEYGTLKLVNLLKKMSDAISIEGKGQKKLVYLKKCMMEDTIKHERKGKATREENMGVGSSDEFSDDERVVVEERDDRSNENLIQFRYELQELLVSYSCRIFLGCFEAIYQQRYKRPLNYQRFGVDKLEELFEMVNEVVILHEEPLSKMKFLVAAANEPSSWMPSRYQSCMHVQLFESKSKSLASNLSTRLESTTFQSLFCVSMVGLEKADHVVFSHENVRSACLVHGICGPVL >KVH93288 pep supercontig:CcrdV1:scaffold_1759:66235:67336:1 gene:Ccrd_004663 transcript:KVH93288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin CRLHDHHHIAIKITRPLTSTLYSIRSAFFLIHDLILIHQKPRFSSVVCLIQHISMAASAFCAYYLVTRPSSSMLSSSSTSHQHLKRSSALSFRVPKTRSRLRVVSMRHESKVSEVTAESWNTSILESETPVMVEFYTSWCGPCQMVHRVTDEIATDYAGKLKCVAINVDQEPRVAEEYNIKAVPIVLLFKNGQKLESVVGTMPKEFFVAAIERVLAS >KVH93285 pep supercontig:CcrdV1:scaffold_1759:97099:98346:1 gene:Ccrd_004660 transcript:KVH93285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MPRSLQPLDVGIQIPYHFRCPVSLELMRDPVTVETGQTYDRSSIESWVATGNTTCPVTRLPLTDFTLIPNHTLRRLIQEWCVANRSYGVERIPTPKQPADPIMVQSLLKQTSSVSNTRRARLAALRRLRGLARDSENNRLVISTHKACEILLEVLFSETNRNSSELIHESLAVLSMLALSETECLVVSTDPDRVAYLISLLSHSSIDVRVNTAALLENVVAGTRSQELRAEISSHDEIFDGIIGILDYPFSYPRALKVGVKALFAFCMVKEHRHKAVEAGAVEALIDRLPDFEKCDAERALATVELLCRIPSGCLAFSSHALTVPLLVKVILKISDRATEYAAGALLSLCSASERLQGEAVAAGVLTQLLLLVQSDCTERAKRKAQMLLKLLRDSWPENSIMNSDDFACSDVVLF >KVH93290 pep supercontig:CcrdV1:scaffold_1759:3551:15035:-1 gene:Ccrd_004666 transcript:KVH93290 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MESFIHKLNEEATTAIHHQPPPWRRITDVEETSIMVAALKNVIGCTDYTTVDQRQNYDFRSFSPMDYQAAGSGGEIVDSLLAVPLPQICPVCGITGCLGCNYFTDDMKLNDGCGGGGGAGGPIKKKKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFVTAESAARAYDRAAIEFRGARAKLNFPLADYTTTPPSMAESQQPAPSSTRTKPERSNGGKKPSVEKGVMEATAERSSEEWMRMMTDFNGDFHDSTQIGNGEFPDWIWDEQATTILRPPSQPRRRLTNHEEASIMVKALKNVIIGSTGSSNVDQQQRYDFRSFYTSDYAAAGSEPVEYLPEVLLSQTCPFCRISGCLGCNYFMDDMEQNDGGSGGPIKKKKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFETAESAARAYDRAAIEFRGTTAKLNFPLADYATPPSNLLLEKGTLPVATFKQCQQTLNTATYYLKTQNQRTNTKVYQKYYQQQSLMPPPFQPSPRFTKDEETSIMVAALKNVIVGCTDSTTFDQRQNHVFRSSSPFDSAAAGGSGSERVITSSPVTFPQSCSVCGISGCLGCNYFMITDAMKMKDVRGGGGGPIKRKKKNYRGVRQRPWGKWASEIRDPRRAARVWLGTFETAELAARAYDRAAIEFRGARAKLNFPLSDYTTPPSSMPEIQARREGETSGGKKAIEENDGEEWMRIMTDFGGFTDDDHTA >KVH98896 pep supercontig:CcrdV1:scaffold_176:203342:206047:-1 gene:Ccrd_022883 transcript:KVH98896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MRTSKRTFRMFVEEELGGFPHFLVGAVLEWLLIASLFIDGFLAFLSNKFAMIFELDPPCVLCTRIDQSLASTNSSSYYNNSICESHKKDISSLAYCHVHRKLGDIRSMCEVCLLSFAMEKESEDDTKKPVEPKDVDASKDGERKMTLKPVKTGKENDNDKVIEMSKCSCCGDPFKTRYSSKVFVRTPSTIRALAPNMSPRAPFTPVGWRQDDGKNTDLSHVRYTELKFISDNEPYMHEDDYGLNTDSKNVREDMKSATAPLLQDHEEGNEESCKTPSGGKLNKFFGLPLADAIANSPRFAKKKIPDFVTDTTPDEPADGDSVIHHLKRQLRADKRLLMTLYQELNEERNASAVAANQSMAMITRLQAEKASIEMEALQYQRMMEEQAEYDQDAIQVLKDMLVQKEKDIKSMEAELEAYKEKYGEIKKEEMDEFRNKDDGGYQETRPRSSLLGGEKLEMESPRKQEGRRLMESKSSIAFDNERCQLFDMLKNLEYLVQLSDEDKEKDEEYKANLEREMSLMKEKLSAIEADRIGFLKQAATALQKGDERAELLTEIAQHLKKLRA >KVH98880 pep supercontig:CcrdV1:scaffold_176:98438:106153:-1 gene:Ccrd_022894 transcript:KVH98880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGEEKPEVLEAVLKETVDLESIPIEEVFENLRCSKEGLTNSAAEERLAIFGHNKLEEKRESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKILNLAHNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDRDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTLGFMLLALIWRFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGVILGSYLAMMTVIFFWAAYKTDFFPRTFGVPTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGWLLVIAFGIAQLIATLIAVYANWSFAAVEGIGWGWAGVIWLYNIIAFTRQKDFGKEQRELQWAHAQRTLHGLEVPDTKMFVDRTNVTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIETIQQAYTV >KVH98878 pep supercontig:CcrdV1:scaffold_176:334251:345608:-1 gene:Ccrd_022873 transcript:KVH98878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MAIPSRHLFVDGEWKEPVKKNRISVINPATEQIIGDIPAATAEDVAVAVEAARRALKRNGGKEWSSASGAHRAKYLRAIASKIIEKKTELSKLEAIDCGKPLEEAAWDMDDVAGCFEYNADLAEELDRKQNASVALPLDTFKCHIIREPIGVVGLITPWNYPLLMATWKVAPALAAGCAAILKPSELASVTCLELGEICREVGLPPGVLNILTGLGPEAGAPLASHPDIAFTGSSATGSKVMTAAAQNVKPITLELGGKSPIVVFDDVDIDKAAEWTLFGCFWTNGQICSATSRLLVHESIAEEFLDKLVMWAKNIKISDPLEEGCRLGAIVSGGQYEKVLKFISTAKSEGATILFGGQRPEHLEKGFYIKPTIISDVTTSMQIWKEEVFGPVLCLRIGCCCNIRRFGKAFQAGIVWVNCSQPCFSQAPWGGKKRSGFGRELGEREIQGISTWECK >KVH98888 pep supercontig:CcrdV1:scaffold_176:3084:9506:-1 gene:Ccrd_022900 transcript:KVH98888 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1/TIP-1-like protein MVEPLPLVSSLSPSVLSFLDSNLLTANDLQQAPRLVSELQNDCHLLEQSLTDLNQSLGTCLLAYSTYSDQVGVLFNDINAKLSSFQTSISDGEGGEQRERSEAFLWKELPALAREVARVEAVRVYAETALKLDTLVGDIEDAVSSSVNRNLRKQPSAENSEEVRFVAISALKLAEDVLCKVSRTRPQWSRLVSAVDHRVDRALAILRPQAIADYRSLLASLGWPPPLTTLNPSNLEAKKSAEATNPLFTMRGDLKRQYCDSFLALCKLQELQTQRKSRQLQGQNLEITLHQPLWAIEELVNPISIASQRHFAKWTEKPELIFALVYKVTQDYVDSMDELLQPLVDEAMLSGYSCREEWISSMVTSLSTYMAKEIFPMYIGQLDGENTSENRLQAKISWLHVIDLMISFDKKVRTLVAQSGIMLLLEEDGNMQNISSLSVFCDRPDWLDIWAEVELSDTIDKLSPEIADEKKWLMEVQESALVLGSEDYRSPAISSAFLRRLSSIIERCRSLPGVPLRARFIRLAGAPIIHRFLDSLLLRCQEAEGLTALTDDDALIKVTKSINASRYFESVLKEWCEEVFFLEMGLDHNKLGIPVGENSSGGIIDEEICNLEKFRTEWSEKLSTVVLRGFDACSRDYLKNRKQWMEKSEEGWAVSKSFIVALDYLQVKMSILEKNLNKMDFVGVWRNLATAIDCLLFSGIFMSNAKFYDGGVERLANDLIVLFGVFKAWCLRPESFFPKSSEGLKLLKMEDKQLRGSVGGGERWLRQNMIRHLTATEVERIVKSRVQLNPSRYGNEYSWLELEAGSNACNFETSPLSSSSLFLEGLVLRLDMTTLEVWLSFQF >KVH98895 pep supercontig:CcrdV1:scaffold_176:211216:211443:1 gene:Ccrd_022882 transcript:KVH98895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFPICVQCGTKSNPCRCKVVGPTVGFLAFAAAAVVEWPVGALVYCFRHMKGRRIMAHPATVVYPTVNRAIPI >KVH98884 pep supercontig:CcrdV1:scaffold_176:407493:407733:1 gene:Ccrd_022869 transcript:KVH98884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDDFESKLLTESEALQLHVFTLELRRLSFSGGVRVLRLQFRRQKFKSRSELVKR >KVH98901 pep supercontig:CcrdV1:scaffold_176:269864:271385:1 gene:Ccrd_022879 transcript:KVH98901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVYDSIANASSPTAASNPKEFGKKKRVNRTAKLKQCKLDVRREQWLSQVKNKGFKEENKGVIRAKMPAVDMHAQNEGERSMVKLVINPGVADALAADDIKNDHNPNLESPMDEHAPQMDSLNASEPEPVETIKEDQEDCHAHANRRAWRPDDAFRPQGLPNLLKQYSFPMNSERHYGGGTAWGFKNVGFAPSTCPICCEDLDLTDSSFLPCPCGYRLCLFCHKRILEDNGRCPGCRKQYEQPDQGNATSKLDRCYSMNPRH >KVH98899 pep supercontig:CcrdV1:scaffold_176:298809:304020:1 gene:Ccrd_022876 transcript:KVH98899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKAAAYYEELTRKGSGAARFKQGLGFSTSSSAGTTNSNHNDAVPARGSALPSSSSFLSSFVKASSPSKSSDIQKQVQIESIQNKLKKKPNSSSSSRVSDDHHSRGRESDKHSSRRRRSRSRSRSRSRNGDERSRNRRRHRSNSGDRDRYEDRDRYRDRERGRRRRSRSRSRSVSPRRRRSGKSVNDVDDDRKARKEKTGRIDHAKLIEGYDTMSPAERVKAKMKLQLSATAKLDEANGMGSGWERFKFDKDAPLDDEEIEAAEDDGDLARREEKIKATHDEAIFGTSSLAPPVDTDSEAEGNDHARESPETAPAASSNIATRLVA >KVH98902 pep supercontig:CcrdV1:scaffold_176:373525:374013:1 gene:Ccrd_022872 transcript:KVH98902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIISIFESHRYTHREMVVLMGGGHTIGFAHCKEFESRLFGPKPDPSVHPRLAERLKGMCANSSSDPAISAFLDPISAGNFDNMIFKNLLNGLGVLGTDQAMASDPRTRPFVEEYARDSVVFFRDFARAMEKTSVYRVKTGSQGEIRRRCDAFNNLPTGTK >KVH98873 pep supercontig:CcrdV1:scaffold_176:18368:23186:1 gene:Ccrd_022899 transcript:KVH98873 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MVGDFGLRLEDSSYHFLTDSLVEMSFHIPNSNIQFVGDENRSYGSYNHALAFKFKDVELFNCVLSLRYMGITKFKALASDCFRWRKYGQKVVKGNPYPRSYYRCTGVKCNVRKHVERASDDPSVFITRYEATVQTAAVAKQQLSPNSSCRQTAGVQTAAAAKQQPVKKLSSSKRARQQSCSTSLPTVLNRVNTVTNMTYKDDPTIFAWELMNEPRCPSDPSGDKLQIKREIVTVTDTLQLDEASSSVQSNKRGSTRTDYKKVRKEMTGDW >KVH98898 pep supercontig:CcrdV1:scaffold_176:281283:283579:-1 gene:Ccrd_022877 transcript:KVH98898 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MRQILQIFLLQFDMINDCKDLHVLAVDDSNIDRKVIQRLLQISSFKVTVVESGSRALQYLGLDEDKNSDRFDNSSTLREIPVVIMSSENSLTRIDRCLEEGAEDYLLKPVKLSDVTRLKNSILKSGSGEITGIQTNKRKHKRDQSPLPTSIDCHTPPPASPQHSLEKRARL >KVH98894 pep supercontig:CcrdV1:scaffold_176:222259:224328:-1 gene:Ccrd_022881 transcript:KVH98894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MLQSQSQSQSPSQSQSRCCSQCGTNGHNSRTCSSAADDSSSDGSGIGAGEIMLFGVRVKVDPMRKSVSMNNLSQYEQPATRESSNNNIDVAAVAAVAADTGYASADDAVRNQSNGSRERKRGVPWTEDEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDSVNISKSNIVMSNKEQQVPEDNKGQDLLQPSLQQISPRNGFPTTTFPVAVGSVLPPVQLANVDQDTSESMLLGRPNPMFPTPNPSTMVDLNLNKRYETDPLPLSLNLSLSFGHNQSSQRRSSPYLSGFTTGDGMVRVA >KVH98872 pep supercontig:CcrdV1:scaffold_176:149301:156619:-1 gene:Ccrd_022891 transcript:KVH98872 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein MSDEQPSSPPIPSDDEIKNNNNQTNGNNVVVRRERPSRACTARSAARLYEAAAAEAAAVAAVRKKKPRRRPSRREMEEEDEEEEEEPPPSPPNPYSKIVTPLVAEPPPSLLPRWNIRSMWELASILNFLNVFRDLLNIKAEFSVEELETALISPNNTLGEIHIPLLKAIPPVTRMALGQNTWVTVLCRKLRDWWHWVSEGELPIVASHGAEIDAYNTLDPGVRVQDDIRSYIDDSIKHGVPLSAFRKERTGGDSHGVSYWYEDDPNIGQRLYREIRKVEVKKGKGKNVQSVSSYQWETVSTNLDEFQDVSEKLFTSKNRTEASLGKKLKNDMLPEIEKVQKRKEKLLKKQHRQAILLDGMVVDGLGPGRSLRGRKQVSYTFDDYDRSINEAIKITKQDASVNGRWGASTPLHNSHNASFTPPSPDSLNDDVSDEDRKSEQLDRSNRRRQRPQRYSAREFVEAVSDNEADMDTDDDDDDDDDIVGEAVYDEEYLKRRKERRKMSSSSEGDEEYRWDGDNAEDEEDEDEGDESLSASEESDDSPRRIKKLPGRTRRETKLRSVAEFKSGPRRSRRATRNRIDYKQYEFSDTEPESTKAETSSSSDKHTEASEKSDKSGFSMGSSEDSEVPNNDNENDDDNDNYTEEMKIVEPVIQENPKIEEEEQKMKLDDSPVEEEFENGAQKRRFLDLNELAPGSGFEDGPMKDDDADNFGM >KVH98893 pep supercontig:CcrdV1:scaffold_176:239991:244191:-1 gene:Ccrd_022880 transcript:KVH98893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MALVKNAASNRDFKHRVLVCLNKLSDRDTHSAAATELESIAKTLNHESIPPFLSSISVTDSTDKSPVRKQCVRLISTLSEAHGDVLSPYLSKLLSAVVRRLRDPDTAVRSACVAATGSIASNITKHPFTSVAKPFVDALVTEQDLNSQIGAALCLASVINSAPDPDTAYLRRLLPRIEKLLKNDSFKAKAALLGVVESVISVGAASSPVIVRNLVKVMAEFVAKSEDWAVRKAAAEVLEKLAVVETDLLPEFKASCLKTFEAKKFDKVKGVRATMNQMIEAWNAIPDAPEEVSAPRQSQSSKEEVASDVRYPPRTPQIISKRTLPYGSSTSTATRRMSFENSSRKTSPPMFRKLDRKKPNDQKRDTAVVAPPATLVAEDEGHLKPRFMKQETKRALFGEISDEKMHESQFYEDRSNSRVAGSDANLNINSIQQDPEDLSLIRNQLVQIETQQSNLFDLLEKFIGSSQNGMRSLESRVHGLELTLDEISFDLAKSTGRVSNPEPTLCCKLPGADLLSSKLWRKPEIKNSNLRTSVAAIHHMNKDLESYNLQNTPFRFQEGGSGLIKNPLAEFIITFTETNIVASFLGKKYKKKGC >KVH98897 pep supercontig:CcrdV1:scaffold_176:168970:170984:1 gene:Ccrd_022888 transcript:KVH98897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVRPLCCDKLGMRKGSWNEEEDAQMLAFVTKQPTGNWQVGAPRKPDNNNNNNNKKINTFFISNQEIQQIPETLFPNFNNSVKMEPSEVIKPDSLDLLTQLQAITHVKDPSTNPEISLTQFHVSLASSSSSSSSTCSATLNEATPQQTFNWRDFLIENSQDGNVNDESFEAMGGIEVVKGNNTNTPLMKGSVDEEGCDGSFVEAMLDGENDMLLDFPGLLGEPVYY >KVH98877 pep supercontig:CcrdV1:scaffold_176:382720:385205:1 gene:Ccrd_022871 transcript:KVH98877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MDLEPGSLKLMFSTGVASPVFTGKKIRGEAGGGGDEYESIKVVLVESQTNQPFISRFPASIRVRIVLLPEEFGGSTTVDGGGVWTTSEFKNSVITNWENKKKILRGDPFVDLKNGSGSVGEIRIKHDKKHLSKCRFRLGAMVVVSSKRRPVSLNDNVSRLKNVGRKGVLRLESKNIMTCNVCGSVGSTSRHEDNPSFADHVDFTRLDAELYMPSPSSSSNDTITTLDPIPDIDDTENFFQYFMSKIFMEELLK >KVH98887 pep supercontig:CcrdV1:scaffold_176:3516:7494:1 gene:Ccrd_022901 transcript:KVH98887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSSRRTRPSKNRDDELNGLVSKLQALLPASSSSCNKTRVPASKILQETCKYIKSLRTEGDNLGQRLSQLLDSMESTDSKYREALIDFVTLIKASSSVRAVSPSASWHLRSKESKNLCIIGAPANLINLALNGTPGSDRQRSIMDDRRLRNALEIAGDLDLKWSEVVASQGRQEEIDNQQLPEVSKWLMLDPLCDQRLRQGGTIEGVS >KVH98883 pep supercontig:CcrdV1:scaffold_176:164306:165031:-1 gene:Ccrd_022889 transcript:KVH98883 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MTLKGATTSQACAACKYQRKRCTPECALAPHFRPEHPTVFRNVHKLFGVRKILRILEEIDHYQQPEAMRSIIYEANMRDQFPVHGCLGVICELWCQIRQAEDELRSVLTRLAFYKQDHEQQRTTALSPVVFRGSLQLGMAIAPPDIAPLTLFQDEATTTMPISSHNNTGMCINTLWLQETNNTNNHHHSPLMSQPSVIEEAVNNHDGIFPFFDHIEDRQLHIDSKEKNESRYATFIRRIDP >KVH98875 pep supercontig:CcrdV1:scaffold_176:313343:317920:-1 gene:Ccrd_022875 transcript:KVH98875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cdc23 MGVSKENCRNELRTAIRQLTDRCLYSASKWAAEQLVGIEQDPAKYPPSHTRLQRDCSSIRRRFRSTDEITSTPLAGTSYVSTPVPEEDNEAVDSDFYLLAKTYFNCREYRRAAHVLSDQTGKKALFLRCYALYLAGEKRKEEETIELEGPLGKSDAVNSELVSIERDLSAVRKNGTIDPFGLYLYGLVLKQKGNENLARTVLVESVNAYPWNWSAWSELQSLCTTIETLNSLKLNNHWMKDFFLASAYHELRMHNESLANYEYLQATFGFSNYIKAQIAKAQYSLREFEQVEAIFEELLRIDPYRVDDMDMYSNVLYAKECFSGLSYLAHQVFMTDKYRPESCCIIGNYYSLKGLHEKSVMYFRRALKLNKNYLSAWTLMGHEYVEMKNTPAAVDAYRRAVDINPCDYRAWYGLGQAYEMMGMPYYALHYFKKSVNLQPSDSRLWIAMAQCYETEQLRMLEEAIKCYRRAAHCNDREAIALHRLAKLHSELGQSKEAAFYYKKDLERMEEEEREGPNMVEALLFLANHCRAQKKFEEAEEKETAKSLLQAIKFAQSGFPSSDVEHFPS >KVH98889 pep supercontig:CcrdV1:scaffold_176:203300:204866:1 gene:Ccrd_022884 transcript:KVH98889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATDGSSTYRKADIFRCQKLSTICFPSVVYPPVFLGSPSPTSHHLTMKIAASSPGHEQPFVRSKLSFQVMDNGIAVG >KVH98891 pep supercontig:CcrdV1:scaffold_176:188136:191898:1 gene:Ccrd_022886 transcript:KVH98891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDPPIINETSFSAANPSSYSLTGIWTFSSFNGGRNESLGLKMGSLISRLGEPNNNRDEESTVTEHSGGARKRRDANSEDESSKLVSTSSGNDLIKLNGKRMKMSGSKEELGGFSKMDREGSSGSASKGGVGQTHKPSEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNTRMNSPVEGFPTKDLGPPPLDAAGFLFGSQTARQFGPGSQPEWLHMQLGNSFGRTT >KVH98886 pep supercontig:CcrdV1:scaffold_176:131939:147376:1 gene:Ccrd_022892 transcript:KVH98886 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF627, N-terminal MGRKKRNVTTRPKPANPSPSPLNDHGGDGDVSSLTMEQNLGVNSLVVQEELPNLESEVSLAIESDGYSAVKVECEKALNALRRGNHKKALRLMKEMCAKHDNTTYLSLIHRVQGTVCVKVASIIDDPNAKQRYVKNAIESAKKAVMLSPNSVEFAHFYANLLYEAANDGRDYEDSVHECERALAIKNPIDPGKESLQDESQQKISAADARIAHVQNELRALLQKANLGSISSWMKNLGNGEDKFRIIPIRRVPEDPMDIRVVQARRPNDIKKANKTEEERKKEIEVKVAAARLLQQKLESSLSQSDVDKGSEPSAGPGQRTSERKKSGKARKNASASERKDSILPYWKSMGRDLKKDLFKIRISDIKAHFRSLKDGLAYDVISEALSFGVDNNGWRFWMCCRCSEKFADPELHKQHVVREHMDSLLPKLQAMLPPNVDHEWTEMLLTFPWKALDVNAAVKMIELQSNSLVTNFVDRPYTKNPELANDHFGDSYCSDDAWDSSFGQKKHKDSCNAIIVGSRKHAKTLDCVPMECNQSEGCKGYFSPDSWPSSNDIERTKLLEKIKALFQLLIKHKCLAASHLTKVIQFAVEELHPQLLNCSVENSPICICFLGAQELKKVLIFLQELSHSCGVGRYSEKSNAMEELNSVIQVTKMAEKVVIDEDGSSLLLDESSLPFKLSVTTHQDNPAGNAIAANICSENRLAHDDDPLLSWIFSGHTSREQLTLWTCTREEKMHQGIVILEMLGKEFFHLRSLCDRKLEHINYGEALQLVEDLCLEEGKRREHATEFVRQSYESVLRKRRDELTEHDKELTCVNQFELEALTNVLKEAESLNVNQFGFEETYGGANSHVCDLEAGEDDWRMNDYLHQLDSCIEVAIQKQKEQLSIEVSLNKWRYVFYTEILCSVSPPYLTFSEMQLSKIDARIMRNVSGMQQLEAKLGPLCAHDFGVIVVPLVKSYLRAHIENLAEKDATEKSDAAREAFLAELALDSKKGFGGIPKHLNDKLKDKRKNKEFRKTKDSKLRIHILSSTALMIDGQPTESGAEVGVAFHQHVDEARRRKIELEAEERKLEETLEYQRRIEDEAKQKHLAEQQKNSSKVIPMSMVPVDISDVYMKHNIGNHVDDKRKPSRLEPMKQINGLLSPLEDASGKAEDGVLERIGLLNGGIVEDGALLSERRTGRRGRRQKNTTKLVEGRQQPASSGKETTEFGQVIYGDSFQGDSRYNETKIFGVLQAEDDDEKRFQADLSKAVRQSLDAFHSHKKYPSISLLTMPEDMLIDGEDSCVSSNEVVPNNVIGNDVYGTGLKNEVGEYNCFLNVIIQSLWHLRRFREEFLSMSTSAHLHVGDPCVTCALYDIFIALNMASTDTRVQAVAPTSLRIALSNLYPDSNFFQEAQMNDASEVLGVIFDCLHQSFTSGPGVFDIEPMESNALGSWECQNKACTAHSLFGMDIFERMNCYNCGLETRRLKYTSFFHQINANALRTMKACSYPCAQFVMCPESSFDELLNLVEMNHQLACDLEDGGCGKLNYIHHILSSPPHVFTTVLGWQNTCESVEDIKATLAALSTEIDLSVLYRGLDPRNKRSLVSVVCYYGQHYHCFAYSYVHQRWVMYDDKTVKVIGRWEDVLSMCEKGHLQPQVLFYEAVN >KVH98870 pep supercontig:CcrdV1:scaffold_176:80041:87776:-1 gene:Ccrd_022896 transcript:KVH98870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGNLFCLVQVDQSTVAIKETFGKFDDVLEPGCHCVPWIFGSQLAGHLTLRIQQLDVKCETKTKDNVFVNVVASIQYRALAEKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDVFEQKNDIAKAVEEELAKAMSAYGYEIVQTLIIDIEPDERVKKAMNEINAAARMRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQGNSARYI >KVH98892 pep supercontig:CcrdV1:scaffold_176:175073:179915:1 gene:Ccrd_022887 transcript:KVH98892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISLYRGKLHRSPDVPRQWLMPTPKISLKDFKTLLHRRSRALSRLQATTTPNPNPNPNLNSVEDNNSGGRVPTESDGPGVEDVAKDEGTSKANGDKRTVDDELARSNYADEEVNGQKLSKGDDEDEVLGIPGDELVAVDDAKAVAEVPENAPDAVDGFQQEGKTDAPPQHLLEPVENNSLSDIEKRKKEVEEKLQILNARKHNLVQVLKQGSTGRPLMPLQVDVGNDNGSMSRHVTPQPVSEGNCNGDTEGADADDGPNQNLRSRSLPRMSSVPNPSRTPSGVAASSPSRFAPSGQQANPTASVTGTNYVPTSPSPAAGGSLAFRDARLPSPWS >KVH98874 pep supercontig:CcrdV1:scaffold_176:43840:47047:1 gene:Ccrd_022898 transcript:KVH98874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDALKHQSSVSGNKGGGSAKLLRYPLRSATKSRDDKPPISVPSTASRRGRPSSSVSQSVSALDLSAKQKSSKPPRRLSIPTKSTASPAPKPACNITPISEARANRSRNIEAKSDTPVSDVSSRKKFNVLSSASYWLSQIKLSEAAGKHQLSLGFFKLAQVAACENLQLLRNELQSYALRHNILDLGESAKEVLQSYEIPKCIEQVQVSETYCSHEPEQGTQSSSDEAHSLSSVNEIPKLKPRSSNNSAGSTAKESAKETNQKKLVSTTKSSTNKKTVDQQSALQGGRSKMQNDLPKPSKKSNKEKQMVKSNGKKSDTEGVVSSPEEAITEENKENMDGPPAVEISMET >KVH98871 pep supercontig:CcrdV1:scaffold_176:120696:124456:-1 gene:Ccrd_022893 transcript:KVH98871 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP40/DnaJ peptide-binding MERRHPPPSSSFNPRNSDDVFGDVGGAVTPPPSSPINPRNSGFERDHPSGGAAMSENRRFKDGASRFSNGLGSSMARKAPAAETLLPCSLEDMYTGGKKKIEISRKSSSNHHGVEILTFEIRLGWRKGTEITFVEKGNQETVYTRDDNDLIINQKILLLEALTGKTIELTTLDGRNLVILVTEVIKPGYGLILPKAQRSIKINLRKTLHKYTSNYE >KVH98890 pep supercontig:CcrdV1:scaffold_176:198285:203022:1 gene:Ccrd_022885 transcript:KVH98890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MPLQSDLDRQIEHLMDCKPLPEAEVRTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLLELFRIGGSAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEENNVVTVFSAPNYCYRCGNMAAILEIGEKMDQNFLQFDPAPRQVEPDAARRTPDYFL >KVH98882 pep supercontig:CcrdV1:scaffold_176:91734:92540:-1 gene:Ccrd_022895 transcript:KVH98882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MAEPVLKLDGKISIITGGAGGIGEATARLFANHGAFVIIADIQDEQGRQVADSIGSQRCTYIHCDVADEQQVISAINFTVDTYGRLDIMFSNAGKVSSSDQTVLDLDLPQFDSLFAVNCRGTAVCVKHAARAMVEKSVRGCIICTASVAASRGGSMRTDYVMAKHAVVGLVRSASKQLGVHGIRVNCVSPSAVVTGLSKRTTEETKKTMKVYEALTSLKGIELTVRRVAEAVLFLASDDSSFITGHDLAVDGGLQKLPDVDDVIMYNR >KVH98881 pep supercontig:CcrdV1:scaffold_176:158730:162462:-1 gene:Ccrd_022890 transcript:KVH98881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIIEIIFIFILLFVLHHSSSAPICTNSSQLKLCSDNGANCCDSNGLRAPNGLCLEKLGNGSYVDMVPHPDGSNRVFVCNQQGKIWLTMVPEVGSSQSLMMDESDPFFDLSDQVQFATEMGLTSIAFHPNFTSNGRFFVAYNCDKFQQSGCEGRCSCNTDVGCDPSNDGSLQETYPCQFHMGHANLVEVRRIFTMGLPFEAYHAGQILFGPADGYLYFMIGDASHDADPYNFAQNKRSLLGKILRLDVDIIPNNPYTTDKELNPEIWALGFSNPWRCSFDSENPSRFICGDIGKNQYEEIDLIKKGGNYGWRVYEGPHLFHPSHAPGGYTKPSSITPIFPLAGYNHDSIDANKGPASVIGGYFYRSATDPCLYIYTDLYSYAIWATIEATENSENFKSFGVPFGCAHDSPIQCGLKPGSHGVPDLGYVVSLSEDNNKDVYLLTSSGVYRVAAPSRCGYRCAKERYSNLTKVPTSGALLKAAKFA >KVH98900 pep supercontig:CcrdV1:scaffold_176:396835:400861:1 gene:Ccrd_022870 transcript:KVH98900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MADYDWSSLYENSINSRNVMKLHLGDQMWSADNEASASSKPVHLKLIFPDEITSPVYTRTRITGKAAGSDGGRIESIRVILVDGQTNQQVTTGPAASATVNLVLLPANFGDSTTRHGGVWTPQDFQDKIITNWGKKKNLLLGDLTVVLKDGIGTVGAIRIKHDGKPLKKDKFRIGAMVVDCPLEVQEGITVPFEVKDRRNESKDSRQLLATDKLWRLKNIGKKGRTIKCLENEGVLTVSDFLDMHDSNPRALKEVTEVLIFPPETPDGYGSVGSTSQLSNNYMPFSDSDCYIPQMSENDISVRDYEEDGLVILAGDFVFEPFPEDGTNGAATRVSNGREGRVVLAKKRWKKVRATLWFSLVSCFKAGFGTVGSTPAAGYAGSKVGPTLAD >KVH98885 pep supercontig:CcrdV1:scaffold_176:53554:55343:-1 gene:Ccrd_022897 transcript:KVH98885 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MQDEKQFELTKAEMGEVREENQRLRLHLDQIMKDYQTLQKKFQDILHQQQLETSTTVATATKPNEQPRINDESDLVSLSLGRTSSPELVKKDKRCTTPPTKSSEGLGLGLEYCKFELSSTTQAESSINPSPDNSLEETKDEAGETWTPQKPPTPKRSGDDQEVSQQNPTKKTRVSVRVRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTISPTCPVRKQVQRCPQDMSILITTYEGTHNHPLPVSATAMASTTSAAASMLTSGSSTSGSNSNPNPSISSHGLNFYLNENLKLKPIYLPHSSISPSPSCPTVTLDLTSNTLSTSSPYNYRTPPMANTFPPRYSTTNLNFSSLDSNPLPISWSNGTLNYGKNQMGSLNFGSTQAQENIYHSYIQNKSMMAPTATSNQHSLQPDTIEAATRAITSDPNFQSVLQAALTSIIGGVGIGVQGEGEKSGQNVKLEDTFPVFSSFPSTSNANKCSSSFFNKSTAATTTTMNKQAPGSNSLPFSSSRSKSTSPENSRDHVV >KVH98876 pep supercontig:CcrdV1:scaffold_176:326530:331342:1 gene:Ccrd_022874 transcript:KVH98876 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F Family MALPLPSPSLPAKESASGSTTYCRKQKSLGLLCSNFLSLYNRDGVETVGLDEAAARLVIAIDDKLLDFRRGETKDLRYCQCLGKCWCMISSYFLVLVRKAKNTYYWKGMGAIPKALEQLKEEGFRNNDETIDCKSGKISDDDEDERFSNHSASFQDKVDLDSMHKVPGSFKSENRKEKSLGLLTKNFLKLFLCTTSDMLSLEDAAKILLGDARNPSLTRTKVRRLYDIANVLSSMNFIEKIHHPETRKPAFRWLGMRGRTDSETGTSNALVHKESKKRTFGTELTNICFKRNKLVSPIDVGINEDIKPLDPQGGSQPVKCNTDRCNSDQVPEQFGPSVPPICLPKSCDEESNEVKKSHDWESLASTYRPQYHNQALRDLFVHYMDAWKSWYSEVAEMDPIQVI >KVH98879 pep supercontig:CcrdV1:scaffold_176:274245:277157:-1 gene:Ccrd_022878 transcript:KVH98879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAESMAQDLSDELIRAAQFQSMEAHKHSQQYKKHGMASSSSSRMEVLIFAFKGSWEVEDWYADDDFGETDVDHNLFPSLQRIGEGRLAKVNKAFLQRFQDLLTNSRFRMEVEKAVKEGNKILLTGHSSGGAIASFATLWILEEYARKQRIQVPIHCVTFGSPLIGDRNLSHAVRRNWAARFTHFVMEHDIVPRLMLAPKISVQEHLPNILKSFQQKVNTKVNSDDQKSHKFPKFFHPTPKKPVPRDQSVKADQAVTFFENVMIKASIVARRAAFDLMEPTSSLTEKLSVDYVKVSPYRPFGTYVFHNRDESQQLVVNNPNAVLQLLFYFLQLTNEHQNLADFALNSLAESLSYEEELKNRLQWQNSVDLKDLNGHLLTHDRTKSDAASNKALFELVKAKWCLVAAEEAENRKKENEKLIDASMRKYGPKQMRSEGTQIEKKIIEDILDEIREYKEKHSNGNTDYYEAFKLQDQHDDFIANINRLVMAKLLDVIIEMLMREDLPDGFEGRKEWVDLVTEFRRLVEPLDIANYYRHSKGNGYMEVRPKRYKFTQRWYEHLNVTGFESISESSFMAEVEELKKEVEEPRKKTLEEVKAGLESIEKQVQKWIFDEKIPEKDVFWGESTISKLWKELPYDHHNRS >KVH88028 pep supercontig:CcrdV1:scaffold_1762:47274:59923:1 gene:Ccrd_024588 transcript:KVH88028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MYAKNEETDDDHVPGTSLYDLLHLHEQENRSDELDEQTPSPSNSAKHRLASFSSESGNDLCADCGSPNPKWVSANLGAFVCIKCSGVHRSLGVHISKILSVNLDEWTEEDVDNVIKLGGNTAVNFKYEASIPDNRRKPQPDSSTDERSDFIRRKYVMQQFLDAEEQLSCPFPAAFHCSSTGLNSVVEKKYINSAGMVEFVGLIKVNVVRGIDLAIRDSVKTRVIKNCLNPVWNEKLMLSIPDNVPLLKVSVFDKDKFTTDDFMGEAEIDIEPLVSAAKALENCKVNELSTQHVKPAEGKDNAVQVNDGVITLAEALANFNMDELSTQVKTTEGEDNNAQVNDGVITLVEGKAKQEIAIKLQNVESGELQIELECVPLTQ >KVH88027 pep supercontig:CcrdV1:scaffold_1762:90470:102743:-1 gene:Ccrd_024589 transcript:KVH88027 gene_biotype:protein_coding transcript_biotype:protein_coding description:16S rRNA processing protein RimM MEGSTLVYAPNCLPASLPLYSPLKFLAPIRNPKRFNQLTLSSLKVRPIRISSLHATEHILSATQETVEVGETESQFVEIGYISSVHGLQGEVRVKTNTDFPELRFSEPGRRWLKRQILGREIVEEVELVEGRGHHGNKSWIVKFSTVDKVEQAQQLVGSTILVSNTDRPELEEDEFYARDLVGMRVTLKETGEPVGTVVNVFDNGGSDLLHVKLDSSSEIIDKNGKLKSEAPLVWVPFVEAIVPHVDMSKREMMITPPKGLLELNIRTDERSKKERRQIEWKERKKFQKRLIAAKKKLCEMEQKHVFDGFRHGEKAQGNLLADQIVGVNSQLLQVALQTIETPSDRWHLSEFLAAYNTEATRNAFKVSKGSLVSGDVEDTSSKIAERHRALKSNGKAAMLLVVDSTKLQKISDSEWTDSLIQRLVKMENHQATPLILVSPDNTIDAFQNLMSDNDYFGFDPEKVWLLEEEKLPVVSSLLGEHNKHKILMKSPWEILQTPVGSGGVISLLSSHNILESLAAMGVEYIEISSVDQRYIGGENLLGLVDSSEAQVGIKTFDGINGVDDDDFYVVFSMNFATQLTKRINELLFHAVLRPNQHVEMVDKEWVDVTPSLDNSYEFRSWIYTCLKGCSFDKICVMKVVD >KVI03142 pep supercontig:CcrdV1:scaffold_1763:87606:101442:-1 gene:Ccrd_018563 transcript:KVI03142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGDDGRGYELARKLESQGVWRSWLGDDSIYTTFIPFLSTPSSWESFMRTDETKSRAQIQLQLRVRALLFDKACVSLFPWSNRSSSSSNSKLNPNYLQLHGDDVYFTLENPTQNVAPSSKVFAKAAPEKGNVLESCAAAYCCGYQFEDKDMDDFSNMLGKPADELGPVNTSYNISSSWIMEEELDYLAIYRNKVSSDACWFPQATPAGFLLIVRDLPGQPVFLKSRKVQLKASLGVGSRYVACEDDSMSQRSPESWYTQFFEKYRATKPYRLPSGDRESEKRTPEQMSNYLRTVEKHKRSRAVFMEEQNMSSSSPMFESGSSRRSSDLDDETLFFPETMFSANSVPDSALPPSNQMENVQSGKSNGVLDTLPPIMTKSPIMLERLGIRPEYLNMEQGNHDRGKSGSSGMKNNLSPDQAAELSRQVVSRLLMDVGFDSASGGPLDIFAQLFGCHISKLGRILKVLADSYRKECSAIELLKMFLQTIGHGYLLIFNIWSSNMFLAYSSNLGAFAELVKDSTKNHVQQTQLQVQAIQSQMQLQNQTGVRQPHQFPRQMHNQMVHSLQQQQQQQQQQMVHPIQQQQQWDRMRRRQPSTPRPVMNMSGNMNVDNESQRSMVEVKLENPPDFPMDNNAAAFATMNYRNPQMQNMGMVRAPPVKVEAFQELMGGDSSMKHDSEESKLTSPK >KVI08135 pep supercontig:CcrdV1:scaffold_1764:68636:70062:1 gene:Ccrd_013496 transcript:KVI08135 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MVHHDFDHEELFSRRCILVNGPVIVGAGPSGLAVGAGLHQQGVPFVILDRADCIASLWQNKTYDRLKLHLPKQFCQLPYFPFPPNFPEYPSKYQFIDYLESYAKKFEISPRFNESVQSAKYDESCGLWRVRTVADNGEVEYICRWLVVATGENAEKVVPEFEGLDEFDGTVMHACDYRSGEVFDGKRVLVVGCGNSGMEVSLDLCHHNAFPSMVVRSSVHILPREIGGKSTFELATSLRKWLPLKVVDKILLVLTRCTLGNLEKYGIKRPLMGPLQLKNVEGKTPVLDIGALQKIKSGKIQIVPGIKKFSPGRVELVNGANLEIDSVILATGYCSNVPSWLKENGLFSKEGRFSEGWKGKAGVYAVGFTGGGLLGASLDATRIAQDIGKIWNQETKRTNHYVTVSCDRRCNK >KVI08134 pep supercontig:CcrdV1:scaffold_1764:92813:96866:1 gene:Ccrd_013497 transcript:KVI08134 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MRNQNIAEDGYGPSEDEDDDYYGKFATRKMALKNFTEKKKTRKRKWKRKKGNTKFKEKEYCENLQDPLVVFGWDIMLMILSHLDARSVALSLIVSRSWHGVASSDAIWFKKCEELWAGKAHLPRVSQIKGISKLAAYSLSIMDGKRVRYWRNLDPYWKGAGPPMRRYFHPDGSQTADPGDKVWGGHECCYSIVTSFLADGKIREHYVRINRWPQMSISRKEDWGWKMSNHLYCYLSVPDADRKGGTGPCFLAC >KVI01810 pep supercontig:CcrdV1:scaffold_177:389952:396513:1 gene:Ccrd_019918 transcript:KVI01810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MVAVQTTFAGVNVLYKLADNDGMKLPILVAYRFIFSTAFIVPLAIFVERKKRPKLTLMRCVVTKSIRKGLVLDVSYVCLIHQQSHPRNHLSFSGLLQLIVDVVFRMERLGWNTSHGAAKIMGTVLGVGGAMLLTFYKGADLNLWKTNVDLSHGQRHAGGGQNSSSNMGLGSILVIGSCTSYSIWLIIQVITFVSPLSYSLHMANMVKKYPCPYSVTALTSIMGAVQATVFGLCTNRHWRDWKLGWNVRLLTVAYSGMLASGLIYMFITLCVQMRGPLFVSAFNPLMLILVAIAGSLVLNERLHLGSGQWNLKISNLNISYFCSVLGAILIVLGLYIVLWGKNKEVKKVAQLCPVRETIKELDNGAIVGESESSFGVGDGESKHLSVQSGNDESRRIVVTSLV >KVI01807 pep supercontig:CcrdV1:scaffold_177:338209:341036:-1 gene:Ccrd_019915 transcript:KVI01807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin type III-like domain-containing protein MASQNKPLLFIFVFLLFGSLSVLAQYSPSYACDIDKNPSLKNYAFCNKSLDVKTRVDDLVKRMSLQEKAGSIVSVADSIDRLGIPHYGWWSEALHGVSDTGPATWFNKTIVPGATSYPVVILTAASFNVSLFNILGKVVSNEARAMYNTGVAGLTFWSPNVNIFRDPRWGRGQETPGEDPLLSSIFGVAYVKGLQDRDDGDKDRLKIGACCKHYTAYDLDNWTSVDRFHFNAIITKQDLEDTYNPPFKSCVLDGNVASIMCSFNQINGIPTCGDKELLEDTVRGEWKLNGYISSDCDSLIIMFKDQRWAKTPEEVTADALIAGLDLNCGDSLKNFTENAVKRGLVNESVVDRAVTNNFATLMRLGFFDGHPSKQMYGNLGKKDICTKANQELARETARQGIVLLKNNLGSLPLRPTNIKSLAVIGPNANATKAMIGNYAGIPCKYTSPLQAFSESVQTVFESGCENVLCNVTSYFEKARSIAAAADAVVLVMGTDLSVEAEGLDRMDIVLPGQQNLLVSQVAYAAKGPVILVIMSGGGMDVSFAKRNPKVTSILWVGFPGQEGGAAMADVIFGRYNPGGRLPMTWYPRSYLMSVPMDNMNMRPDPSTGHPGRSYRFYRGETVYPFGYGLSYSLYVHRLIKAPKTVSIPLNNSSSTIDSIDATDDVCNGLTFDVNMMVTNIGKMSGSHSILLFSNPPPVIHNSPTKQLVDFKRIKLSPWERTSVSFKIDVCKQLSVVDEDGKWKVPLGKHGLQIGDVEHSVSLKI >KVI01806 pep supercontig:CcrdV1:scaffold_177:327722:330569:1 gene:Ccrd_019914 transcript:KVI01806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin type III-like domain-containing protein MDFQNKPQFPLLLVFVFLLSVSPSVLAQYSPRYACDTDKNPSLKSYAFCNASLDFKTRVNDLVKRMSLQEKAGSLVSVADSINRLGIPSYGWWSEALHGVSDTGPATWFNKTIIPGATSYPQVILTAASFNESLFNILGKVISTEARAMYNTGVSGLTFWSPNVNIFRDPRWGRGQETPGEDPLLSSRFGVAFVKGLQEREDGDKDRLKIGACCKHYTAYDLDNWTSVDRFHFNAIVTKQDLEDTYNPPFKSCVLDGNVASIMCSFNQVNGIPTCGDRELLEETVRGEWKLNGYISSDCDSLQVMFKDQHWAKTPEEVTADALQAGLDLNCGDSLKKFTENAVKEGLVKESVVDRAVTNNFATLMRLGFFDGHPSKQMYGNLGKKDVCTKDNQDLARETARQGIVLLKNSLGSLPLLPTYIKSLAVIGPNANATKAMIGNYAGIPCKYTTPLEALSESVQTVYEAGCANVLCNSTDKFEKAKNVAAAADAVVLVMGTDLTVEAEALDRTEIDLPGEQNLLISEVAYVARGPVILVIMSGGGMDVSFSKCNPKVTSILWVGFPGQEGGAAMADVIFGRYNPSGRLPMTWYPRSYTKTVPMNDMNMRPDPTTGHPGRSYRFYRGETVYPFGYGLSYSLYIHRLIKAPKLVSIPLNKAHGCSSSSCTSFDASGDICDGLSLDIDVMVTNIGKMGGSHTILLFSYPPQVIYNSPTKQLLDFKRVQLGPWERTSISFKIDVCKQLSVVDKDGNRKVGLGRHVLQIGDIQHSVSLKI >KVI01818 pep supercontig:CcrdV1:scaffold_177:32150:41389:1 gene:Ccrd_019893 transcript:KVI01818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MGATGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQISTQKKKTGVIAPKRFVQRVKKENELFRGYMHQRYSHHHPNFAKDAHEFLNFLLNELVDILEKETAKGPAENLSPPEKITNGPHAGLVNGAKKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLTNTMEDADCEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTSFGSAQEYASNTDHGYILFYESLAANNAS >KVI01805 pep supercontig:CcrdV1:scaffold_177:298078:301688:1 gene:Ccrd_019912 transcript:KVI01805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin type III-like domain-containing protein MLRMRHSRMADRTLLGHEKKSRGLERARVLCSPLLTIPILLIICNLSSTITVFAQYTPSYACDLDKYPKLKDFAFCDTSLDIQTRVKDLVKRMTLQEKAGSLVSVADSISRLGIPSYGWWSEALHGVSDTGPATWFNKTIIPGATSFPQVILTAASFNESLFYTIGKVVSTEARAMYNTGVAGLTFWSPNVNIFRDPRWGRGQETPGEDPLLASRYGVPFVRGLQEREDGDKEKLKVGACCKHYTAYDVDNWTSVDRFHFNAIVTKQDLEDTYNPPFKSCVLDGNVASVMCSYNQVNGVPTCGDRALLEGMVRGEWKLNGYISSDCDSLHVMFKDQRWAEYPEEVTADALNAGLDLNCGDSLKNFTANAVKRGLVKESVVDRAVTNNYVTLMRLGFFDGHPSKQFYGKLGKKDVCTPANQELAREAARQGIVLLKNGFGSLPLLPTSIKSLAVIGPNANATKAMIGNYAGVPCKYTTPLQGLSEIVKTVYEEGCDVRCNSTKRFENAKHVASSADAVVLVMGTDLTIEAEALDRTDIDLPGQQNLLVSEVAYAARGPVILVIMSGGGMDVTVAKCDPKVTSILWVGLPGQEGGGALADIIFGRHNPSGRLPMTWYPRSYVDMVPMTNNNMRPDPSTGQLGQTYRFYRGETVYEFGYGLSYSSYVHRVIKAPKFVTIPLHEGHPCRSTACNSIDAGDRVCSGLVFDVDLMVTNIGKMAGSHSVLIFSSPPTIFNAPQKQLVDFKKVWLEPWQRSVVSFSMDACKHLSIVDEFGARKVALGRYLLQVGDIKHYMNIQI >KVI01822 pep supercontig:CcrdV1:scaffold_177:174521:177816:1 gene:Ccrd_019902 transcript:KVI01822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyacyl-(acyl-carrier-protein) dehydratase, FabA/FabZ MNSDPATQSTPTGQAQGDAPKYSKQLHSSVSPPSSLPTTEPPSAIFTSHIVNLALLHLKYKPPIIFISYTLLCTMASSALSHSILSPLNSHSPNRTLDTVSVSSFNRVSIPRLSRSPLLSTQLKTRQTSFIANCASNASDSSKDETPIELRFPAFPTVVDINQIREILPHRFPFLLVDRVIEYNPGVSAVGIKNVTINDNFFPGHFPDRPIMPGVLMVEVRFRKPVIAGDTLVMRMTLTKLQKRFGIAKMEGKAYVGGEVVCEGEFLMAMGN >KVI01819 pep supercontig:CcrdV1:scaffold_177:29508:46021:-1 gene:Ccrd_019892 transcript:KVI01819 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MEENQEIVKTPLTTAVILICIIAGSAGLMFGYDTAVIGGVMMMRPFLKRFFPSVLLRMRDVKQDQYCLFNSHKLTALVSSMFIAGSVSSLLAGRVTSVIGRKLSLVTSGILFLTGNALGVFAQNVDMLIVSRLFVGFGLGFANQAAPVYITEMAPTKWRGTLTTAFQFFICLGTVIATLINFAANNSGSDRGWRLTLGGGSVPAIILTTGAFFIPDTPPSLIQRGMQDEAQVTLTKVRSTRVEAEAELRDLISSTKAARLNNENPYVKLMELQYRPQLAMTIAIAGFQKLTGVGMVAFYAPIVMKTIGIGTAGSLLAAVVLGIVNLASVLVSAYMVDKIGRRLLFLQGGVQIIFSQLKRIDLKVFIACTLALQSKCVIGTLPYNYGIVVLVLMCLISSAFGWSWGPLTWLVPSEILPIEVRAAGTGISVATNFVITFLLTQLSMAMLCSMKFGLFLFYGATTFFMTTFIGVFLPETKGVPLESMDEIWGKHWFWKWSASTLKMVVKRILGCLKGITRHAFVIKRSSDFSSHVYSNTSNAYSDANWASCPKERCSTNGFWNCLKGITRHGFVIKRSSDFSSHVYSNASNAYSDANWLRCSTSGFRSSKIVARSSTMLVDFFSTRDATSSTLVLLCYDVITLEQFTYIPLPYTIRVRSMMLSKSISLCIDHYTRIIGGKALVEQDVAMIRVACILLSGTEGGLNSGFVNHFHILVIKEQPVVVAFHKTNKAAKSNQKKHPNGRSGSQSGYSIWKCNEE >KVI01811 pep supercontig:CcrdV1:scaffold_177:119692:122737:-1 gene:Ccrd_019898 transcript:KVI01811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter, C-terminal MPSSGIMNRVFSRVRNLDAYPKVNEDFYSRTLSGGVITLVSSIAMIFLVFSELGLYLQTVTETKLVVDTSRGEKLHINFDITFPAVSCTLLSLDAIDISGEQHLDIKHSVMKTRIDANGNEIQMRYDRMGTPKIGKPMQKHGGELEHNETYCGSCYGAEKSEDECCNSCEEVREAYRRKGWGLMNPDLIDQCKREGFVQRIKSEEGEGCKVHGSLEVNKVAGNFHFVKSFHLSNIHVGHEGQAFQEDGYNISHKINKLAFGVNYPGIVNPLDGVHWFQGIPNGMYQYFLKVVPTVYTPVRGPVIQSNQFSVTEHYKGPEKLGQRALPGVFFFYDLSAIKVYSQLQE >KVI01817 pep supercontig:CcrdV1:scaffold_177:1132:3384:1 gene:Ccrd_019890 transcript:KVI01817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAVIDWKDSKFEKDNLYEDINAPQWIDFSDDDPPVDDEAWFCRPDCNHPKTVEDFFRQRTPDSSKLQRSASVSEIPKLGDRFWRDAALKKRGFPSNTDSKSTKSVQDSENQNPNFSTPPNHKAKSIKEMIKSSSEKNHVEDGFLVKEEPPRVLKSSLSARNLFAGRDILNQVTEFCNEMKRLATRAKDNGEKEIAKKDVVATKKQEMGVLQESKNERMPLLEVKKEKYAEMEKYSNVKEKKLTRKNTSGESENEPISLNVKSIRGKDEERVLQIRTNPPSPQCFSANNGPIKATPPRPFRLRPQERGMLQEREKSSIKEVKKGNTNQAAPVSTTQNEAKGLDVFWIFKPCTLSS >KVI01813 pep supercontig:CcrdV1:scaffold_177:50264:54381:1 gene:Ccrd_019894 transcript:KVI01813 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHCH-like protein MESLLAEATAFGNEDANASLEAKAQKALECPCIQNLRSGPCGSQFSAAFLCFLKSTAEEKGSDCVQPFVALQSCIQTNPNAFPKEVLENDEAETQEKPSEDYKIIPPRWAVEPTTSPKTKL >KVI01829 pep supercontig:CcrdV1:scaffold_177:258461:276530:1 gene:Ccrd_019909 transcript:KVI01829 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGHGSSKSSSSDDSTNGGVSNCKSSTSRRERIKKKLHLHRRRGRPAGSSRGHSKLSSLEDFTGIALVTLISAEMKFKDKWLACVSIGEQTFRTETSDQTNKPTWNSEKKFLLERNGPHIAKISVFETNRLSKNNLIGYCEVDLFEFLSQDSGFDTKMFDLLDPSSSSVVVGNISLSCSVEDPTETEKSFARRILSIVGPCEVLLYMDRKTLVLPDYNGDGQLSFSEFSELINAFGNQQAAKKKEELFKAADENGDGVVSMDELATLLAVQQEKEPLINCCPVCGEFLEVSDKLNSMIHMSLCFDEGTGNQVMTGGFLTDKQASYGWMFKLTEWAHVSSYDAGLNSGSSASHIVVFDRRKKRLVEEIIDGKIVLSMRAIYQSKVGLGIMDKGAKELLQSISEKQGKKMNSVESAKDIPDFLKFFKDQINMAEVKYPLDHFKTFNEFFIRELKPGARPIACVGRDDIAVCAADCRLMAFRTAEESLRFWIKGKKFSIRGLLGDIPCSNTFIGGTLVIFRLAPQDYHRFHFPVSGKIEQIVNIPGCLYTVNPIAVNSKYCNVFTENKRAVSIISTADFGKVAFVAIGATMVGSITFTKKKGDYDKIALDEDLLAYSARSIETLVSVGMQLGVSVKKRAELPLPDIKNCVLNA >KVI01825 pep supercontig:CcrdV1:scaffold_177:231857:238802:-1 gene:Ccrd_019907 transcript:KVI01825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MDRTLSMISASALLWFILGFYNLSRVYGNAEGDALNALKTQLTDPNNVLQSWDPTLVNPCTWFHVTCNGVNSVTRVDLGNADLSGQLVPQLGQLTNLQYLELYSNNITGRIPSELGNLSSLVSLDLYLNQLEGVIPDSLGNLKKLRFLDLSTNNLSGTVPVNGSFSLFTPISFANNPNLTAPQNVPPTPAPPNSQSTSVANSATGAIAGGVAAGAALLFAGPAIALAWWRRKKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDHFSNRNILGRGGFGKVYKGRLADGGLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRAALDWPIRKRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDEVMLLDWVKGLLRDKKLETLVDADLEGNYADDEVEQLIQVALLCTQSTALERPKMSEVVRMLEGDGLAERWEEWQKEEIFRQDFNHTHNQNMDWIMADSNYNVSNEQLSGPR >KVI01816 pep supercontig:CcrdV1:scaffold_177:11972:24445:1 gene:Ccrd_019891 transcript:KVI01816 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1084 MASSALGTESFAGFEYTSAGGIFLMRWWNEIDGSQQWQEGVFYALCAAYALVALIALMIISEYAGATCTYSIESTRIWLDNSKGFPLDEFCGQWILFDSCAFIYLSTVRAVEFGFYWSVFHNKPKVLDMILLDLPGLLFFSTYTLLVLFWAEIYHQARSLPADRLRPAYFIVNGLVYFLQVCIWIYMRFSKGAVAVEVAELFFSVISLCAALGFIIYGGRLFVMLRRFPIESRGRQKKLHEVISMFPLGPFDVGACYQVGFVTGICCTCFLIRCVMVAVAAFNKDADIHVLSHPILDLVYYLIVEIVPSALVLFILRKLPPRRVSDHYHPIK >KVI01830 pep supercontig:CcrdV1:scaffold_177:248553:250466:1 gene:Ccrd_019908 transcript:KVI01830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNLTVLQPSTTVAAVSTLTGRSPHPSTELKQCKTNLDFIQIHAKLIKTGRIRDPRASAELLRFYALSDPPHSDLRYARLLFDQMNQPNCFSWNTIIRAFCDSDDPLESFILFNQMVENGNEFVKPNKFTFPSVLKACAKTARLEEGKQTHCVVLKHGLENDGFVLSNLVRMYVMCGAMNDAHMLFDSKNMNSTMGETVLWNVMIDGYIRLGELELARNLFDKMPQRSVVSWNSMISGYAQNGFFMESIELFRQMQIEDDVAIPNYITLISVLPAISRIGALEIGKWVYFYALKNCININPVLGSALIDMYSKCGSIEEAVQLFESLAKKNVITWNSIITGLAIHGRATDALNHFKRMQENGISPTDVTYISVLTACSHAGLVDQGMSIFNQLVQTVGLEPRIEHYGCMVDLLGRAGRLKDAEELILKMPGEPDDVVLKALLGACKKHGNIEIGERMGNRLLEIDPEDGAPYVALSNMYASLANWDGVMQTRLKMKQNDVKKDPGCSWIEVNGAIHEFVVQDESHKKAKEIHMMLEEMTEKLSLVGYKPDMTQVHLRMEDTEKESVLRHHSEKIAVAFGLISTRRETTVKVVKNLRICDDCHTAIKLVSRIYDRKIIVRDRKRFHHFENGSCSCMDYW >KVI01804 pep supercontig:CcrdV1:scaffold_177:294337:297016:1 gene:Ccrd_019911 transcript:KVI01804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSDDVEYRCFIGGLSWSTSDRALKDAFAKFGHLLDAKVTWNGDRSNGRSRDGGGGGGGGGDRYSRDRSGPYERRSGCLAIYKGGYMIFVTTTTSWVVFNMINLGKVFELEAAYLQVNK >KVI01812 pep supercontig:CcrdV1:scaffold_177:62065:87645:1 gene:Ccrd_019895 transcript:KVI01812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MISKVQLPPPPAWMVALNSIINVFHVRRTIAATKADFRRPSRKLGSASPLFGFRNVGGGSVPLVGYRLECVSSSAASFASGGGGIGGGGYSGGGGGGGGGADGGEVKATPIAGGADDVSALSSDVIILDVGGMTCGGCAASVKRILESQPLVSSASVNLTTETAIVWPISEAKVTPNWQKVLGEELAKHLSTCGFNSNLRGRGLAVSWALCAVCLFGHVSHFIGAKAWWIHAMHSTGFHLSLSLFTLLIPGRQLIVDGLKSLMRGNPNMNTLVGLGAISSFTVSSFAALIPKLGWKAFFEEPIMLIAFVLLGRNLEQRAKIKATSDMTGLLSVLPPKARLLVNGDADGDGKESSSTVDVPCDSLSVGDKIVVLPGDRVPADGIVRAGRSAVDESSFTGEPLPVTKLPGAEVSAGSINLNGALTVEVQRPGGETFMGDIVRLVEEAQSREAPVQRLADKVAGHFTYGVMAISAATFTFWSIFGARILPATLHHGSAVSLALQLSCSVLVVACPCALGLATPTAVLVGTSLGATKGLLLRGGSILEKFSAVNTIVFDKTGTLTIGKPVVTKIVTKTSDEYPELQLTSVFKLDCSLGKLSCKMSYKLNSIDEWSEIDVLKLAAAVESNTIHPIGKAILEAARGAKCPNVKADDGTFMEEPGSGAVASIGKKTVSVGSLEWVRRHGVVENPFIETEEFKNQSVVYVGIDGVLAGLIYVEDQIREDAAHVVQSLTSQGISVYLLSGDKKSSAEYVASVVGIPKEQVFYGVKPDEKSKFISRLQKDQHVVAMVGDGINDAAALASSHVGIAIGGGVGAASEVASIVLMRNKISQLIDALELSRQTMTTVKQNLWWAFGYNIG >KVI01814 pep supercontig:CcrdV1:scaffold_177:113990:116009:1 gene:Ccrd_019897 transcript:KVI01814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein SAVAGDQINADITIGFTEAERNGLLALKSRFHNPFLDAGWQSFNCLQWYGVNCSGGGVVGVTLENLGITGEIVADSLLNLTSLSVLSFKNNSIFGQMMDFSKNPNLARLDLSSNNFDGSISPSLVNLVLLESLQLQQNRLTGSIPWFDQSSLKQLNVSNNNLSGPIPNTKTLESFGPSSYDHNQWLCGPPSPRVCGPTTSDDDHSSGSNKSTLLIIVNVIGVFIIVILLVLFYKKKQKLDRKRMENKNTMVEEDAEKASKVMIDDHHENVPKPDKGNLVFVDGDPEFELGDLMKASAESLGKGNFGNTYRARLEDGRNVIVKRLRDLKPLSSDEFVTQMNAIAAQKHPNLTLLLAYYYSKHEKLLVHKLTIARGVARAMEYLHTNPNLQTLVPHGNLKSSNVLIDENNNALISGYGLTGIISNTISAQQMVAFKSPEFVTSKRISKKSDVWSYGTLVMELLTGRLGVHSAPQDDKAVDLCSWVHRAVREEWTAEIFDLEIMVQRSAIHGMLKLLQLAVRCCDKAPEKRPEMSEVARDVESIKVGNVDSESEEDLSVDRSLTDDSMSATSSR >KVI01826 pep supercontig:CcrdV1:scaffold_177:220655:221290:1 gene:Ccrd_019906 transcript:KVI01826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDMIMNDASTTPVSFYIWICGRRIRVYSVSPIVSGHFRPDVLAESLRFYRPLPYPYTWYVYGDQAAVVNMIDLHAYEETHQRSPSYSHSTTTTSTSTYYQPQEEAIQYSETVDNVALLQDLNMDQINESFEQAPGFVACSGLTXKLISKNLRVTTYREESDEVCVVCQVEFEKKERVGMLXCRHRFHPNCIKEWLLRKNVCPVCKAQALNV >KVI01808 pep supercontig:CcrdV1:scaffold_177:351080:356087:-1 gene:Ccrd_019916 transcript:KVI01808 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b-c1 complex, subunit 6 MFENGASAGDSFERRYFAVFVYNIRVSLCRMKNQLTRRSILKIYANPSVLELGLTIRAAVQCQGVPEFCKSLAIVISSSPLLFWYHGDAVEFGICFAYSSSGCVKRVQADETGHKHCTGQYFDYWQCVDKCVAPKLFAKLK >KVI01809 pep supercontig:CcrdV1:scaffold_177:356009:358705:1 gene:Ccrd_019917 transcript:KVI01809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MRAKKLREKKGEALFLFNGSIAHEKAGKTVIVVTMLKNGATCVGKTVMDELSFRITGANSHYGTPTMPSYISGGLSRGSAGVVATELVDFAIGIDTIGEMRIPAAYCGVLGFRPSHGVISTIGVLPNSLSLDIVGM >KVI01828 pep supercontig:CcrdV1:scaffold_177:198523:200939:1 gene:Ccrd_019904 transcript:KVI01828 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGGLLSLNSSRPTETFQVSQSESCKRQKTSSCFWDDKPRLIPSLPDEISLEILARLPRICYLNVKAVSRSWKAAVTSPEIYKVRKELGTTEEWLYILTKNQGDKLFWHALDPISRKWQRLPLIPNVPAEDESKRGLSGFRMWNMVGSSIRMADAVRGWLGRKDASDQVPFCGCAIGAVDGCLYMLGGFARASAMSCVWKYDPILNSWSEANPMSVGRAYCKTGVLNNKLFVVGGVSRGRGGLTPLQSAEVFDPQTGLWSEVPSMPFSKAQVLPTAFLADLLKPIATGLTSYRGKLYVPQSLYCWPFFVDVGGEVYDPETNTWVDMPIGMGEGWPAKQAGTKLSVIVDGDLYALDPSSSLESAKIKVYDYQDDSWKVVEGDVPIRDFAESESPYLLAGLLGRLHVITKDGDHNIAVMQADKQNNLVVNPGEGSSESVGTSEPVGTSEPNIWNVIASRNSGSTELVSCQNLDI >KVI01824 pep supercontig:CcrdV1:scaffold_177:148809:153616:-1 gene:Ccrd_019900 transcript:KVI01824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MVERIEITNENMKDDDELELLLGEIPHATSLSHHHNHDHHSHVNDDGYVPFVSQTMNGLMMCGMYDDDPSCSYKQNTCIFPPSTTSLQSNGSFSSFFSSLSDKGSPPPPPPPPLDDPTPKPQLLFRSYSSVLPYSCFGKKIDENWFNELGLACNQGDDLVHDHNVGTNQINLTRLEASDTYSKPFSDYDARQSHASRSSMFNGEMSPAFYGFQQSHGFPGMQIPPGQAQNLFPESNFHRNVLNFRFPVSYQRGIPALDLAVPNGLYRSRQNGMLFMEPGGIGLQPNLSNGRAVSGSNMVEGLEASDDSLIIQGESVNQVRKKVMGGFRGQKKECSLHESGRENGRIVGGCENGRIAMTHRSAPSPIRCNTLADAQGYILMFAKDQNGCRYLQSAFDEGNPQHVQMVFNEIIGDVVELMINPFGNYLMQKLLEVCNEEQKMHILMEVTREPRELVQISLNTHGTRVVQKLIETLKTRQQIMMVISALEPGFLALIKDLNGNHVIQRCLQCLTNEDNKFCVDIATHQHGCCVLQRCINHSTGKHQESLVLEISSNGLLLAQDAFGNYVVQSILELQIPSAVSMLISQFEGNYVHLATQKFSSHVVEKCLSVLDDRARSTIIRELLSATHFEQLLQDPHANYGALHNSLVKAIESHKAISRNSPYSKRIFSHKLLKK >KVI01827 pep supercontig:CcrdV1:scaffold_177:205002:217579:1 gene:Ccrd_019905 transcript:KVI01827 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MKKKRRSEVAASQAGGSRLVTRVSVRVYAVVSSPTDAVSSLCFSPKANYLVATSWDNQVRCWEVTKNGTSVSTVAKTSMAHEHPVLCSAWKDDGSTVFSGGCDKQVKMWPLLSGGQPVTVAMHDSPVTQVAWISEMNLLVSGSWDKTLRYWDLRQSNPVHTQQLPDRCYALTVRHPLMVVATADRNLIAFNLQNPQAEFKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEQQQSKNFTFKCHREGNDIYSVNSLNFHPVHQTFATAGSDGAFNFWDKDSKQRLKAMSRCNQAIPCSSFNNDGSIYAYAESEVKGKPRAGTVGRK >KVI01803 pep supercontig:CcrdV1:scaffold_177:277794:281226:-1 gene:Ccrd_019910 transcript:KVI01803 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methylase, N-6 adenine-specific, conserved site-containing protein MWYLCVFYHRLLDYRKPEFESLADLFGAFHEDPTTTSHTLEWKLPHHHHPDSPFHFVNLPNEDIARNIANRSILVKGLYEIWGEGSSYEELKEAINSYPDDRKLPYLTSDSTFRIIVDSFGKAISFKDQTARIQGMSYIPFKGRVELRNPEHKFWLIETDDYEANNGLPPVVDKTIFFGREVGAADRKLLPTYQLKSRTYLGPTAMDAEVAFLMANQAQAMPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLQMPVSLLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGVVGPYIVPDDKRTGHIPSTAAYCLAECVHDLLDLAAKMLVMGGRLVYFYPVVRDSDSLDVDFPKHPCFKLVANCEQMLSYRYSRILLTMVKIAPYTDEIAEAARIQHLEFKENHVKWMEEGNLHAAVFSPDFPAIDDRDNKCSTKDPKKKYRGKYV >KVI01821 pep supercontig:CcrdV1:scaffold_177:178787:179877:-1 gene:Ccrd_019903 transcript:KVI01821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAAASSAAVLNGLGSPFLSGGKRTQTLLSAPIAGATAAAAPRRLVITAAVAPKKSWIPAVKGGGNLTDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPESQSVEWATPWSKTAENFTNYTGEQGYPGGKFFDPLSLAGTIQNGVYIPDTDKLDRLKLAEIKHARLAMVAMLIFYFEAGQGKTPLGALGL >KVI01815 pep supercontig:CcrdV1:scaffold_177:88287:101020:-1 gene:Ccrd_019896 transcript:KVI01815 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MTEDGSERGSTSRGNGGFSSMDSMESHRWVFQDEEDSEIDHEDKYVGEDDDFTSQHDIDSEDEDSLYHKLIRTGPRIDSFGVEALEVPGAHRSDFEDGSLGKSIFLVFQTLGVVFGDVGTSPLYTFSVMFSRAPIERNEDIIGALSLVLYTLILIPLIKYILIVLLANDDGEGGTFALYSLICRHAKVSLLPNQLASDTRISSFRLKVPSAELERSLKIKEHLETSVALKKLLLVLVLAVISAVRGLKVGVPTVEQDHVVMISVAYLIALFSVQKYGTSKVGLVIGPALFIWFCSLGGIGIYNLVKHDSSVVKAFNPVHIFYYFKNSSKAWYALGGCLLCATGSEAMFADLCYFPVRTIQLTFVFLVLPCLMLGYLGQAAYLMDNAGDPQQAFFSSIPGVAELGVMMMTTVLVTLVMLLIWQINIIIVVLFSLIFLGLELVFFSSVLSGIGDGSWIILVFAIVIFLIMCIWNYGSKLKYETEVKKKMSMDVLRQLGCNLGTVRAPGIGLLYNELVKGVPAIFGHFLTTLPAVHSMIIFVCIKYVPVPVVPQHERFLFRRVCSKSYHIFRCIARYGYKDVRKENQQIFEQLLIESLEKFIRREAQERSLESDGDEDTEDEEEPTKILIGPNGSVYFGVPDGRNNQPIISEATTSSSYEPEKNSSDPEQSLENELAFLHKAKESGVVYLLGHGDIRAKKESWFIKKLIINYFYAFMRKNCRRGIATLSVPHTHLIQVAMTYMV >KVI01823 pep supercontig:CcrdV1:scaffold_177:172323:174669:-1 gene:Ccrd_019901 transcript:KVI01823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEVKMAEGGSVVGSEEGGDTEECSCLEYLGASPCAWPAGQECPCKSEFDREPEITKISDSISTNEIEIELEKRAREAAAVTAATARTMDSNAKKPETHLAYK >KVI01820 pep supercontig:CcrdV1:scaffold_177:129771:142173:-1 gene:Ccrd_019899 transcript:KVI01820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKFPCSESSALTNMSQDNGPHESDNRSSFVSESEDQFKLKDQKKQSKQQNQTEGKKAGRCVVNYGLKSNDIRLSSSDESDQVFTSIGNWQQNKSLEHDSFRYKQSSSYLHSGHDHVPMQTNGAQVMTGIKSENKGLAAPFRKEASNATNQVHSVESLGDSLFQGAAFEISERRKVHHAHASQPSLSGNQKQMGMMLQASGNDLFSSQKQFHILEKKPESQNDMEEVKKGASAELAMIGVPEGSSISSEVDEISLEATSFLQLQQVMEQLDLSTKLCIRDSLYRLARSAEQRHNYAGIRDRTTNCGATDGPLMTEGTDKCTGFMDIETDTNPIDRTIAHLLFHRPSDSSNKPTPLPLKPNTKVHGSTTGPPMVAEELSYEEGANESDDKISNSGKN >KVH95018 pep supercontig:CcrdV1:scaffold_1771:112728:116103:-1 gene:Ccrd_002913 transcript:KVH95018 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MILVSLMFIHITIILASGSPAGKISTRTMDVTKPITTVPTITPATTTNNPIAQPTTTTTTPSSSSSSSSSPGRSWCVASQSASQTALQVALDYACGYGGADCSDIQQGGGCYNPDTLRHHASYAFNSYYQKNPIPSSCKFGGTAITTSTDPS >KVH98678 pep supercontig:CcrdV1:scaffold_1772:54888:84675:1 gene:Ccrd_023094 transcript:KVH98678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTKIHPTSDPEMDPSSYSLEKFKLYETRARFYLIGSDRKKRFFRVLKIDRMEPSDLNISEDPVVYPPQEVKSLLQRIAEGNRATGGLSFVAKVYGIAGCIKFLESYYLILVTKRRQIGCICGHAIFSIEESQIITIPHVSVQTDVAHSKNELRYKKLLSSVDLTKDFYYSYTYPIMRSLQKNVLSAGNEGMPYDDIFVWNAFLTQPIRSRCKNTIWTIALVHGNFKQIRLSVFGRDFSVALLSKRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEDSGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKLHFEDLEKRYNNPIIVLNLIKTVEKRPREMMLRREFTNAVGYLNQILPEENQLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGEASLKDLRTGSGDLSTVTGNTDISSHLIKQDRDLNHSQKIKKYNHSNKDPQFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDDPKVDADSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHGMTSSQKAGMGRTLTPIAACKEDFSRIKLTSFSKLIERTSGAIKNVRLCSEPDHKPGNSGMAPDAVEIQLRSPNWLFGHKKFEESNSIPKVAADELAIRGREDEKKFDDLCDPNWISSAIDTNEEDVFRRYLAMTSIDEANGWYGGTLLAEQDETSEVYRHYAQFCQSPVMEPFQNDSDKEKHYGEVLHSVAVESMEDGSVEAEMEAAVMEYELIGADLGICPKTFTALAIDPTHLTRWMIGERQAAAA >KVH98680 pep supercontig:CcrdV1:scaffold_1772:89406:96224:-1 gene:Ccrd_023096 transcript:KVH98680 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MAAKWCWRSIVVLIWWCATTSSTTQAIWLDLPASGTKCVSEDIQNNVVVLADYSLVNGQEDVPVHPGPTISVRTLVNEPSVKFSKVTSPYGNNLHHQENMTHGQFAFTSTESGNYLACFWVDGNHPGGKGLTVSLDWRIGIAAKDWESVAKKEKIEGVEFELRKLEGAVEAIHENLIYLKNKEAEMREVSEKTNGRVAWFSIMSLGVCIGVAVLQVWYLKRFFHKKKLI >KVH98681 pep supercontig:CcrdV1:scaffold_1772:11374:12738:-1 gene:Ccrd_023091 transcript:KVH98681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRERPCIASWSMDLLRRRESIEFSTGGFEIGNVAETLVDEQQEDRPRENEEIDIKRYLDEVEHTFNMLKTLKSDFDRILKKGRTRYPTSVEFDVWEKKLIDLVVIDKMDVDTSMNMSLAKNCGTKQASTSDPWQCVTGGAQEIVETPTQFVSNPETIKGVDKTIKIYDKLHMPDFSLSFTQEFEEVAEPKETAPNKEDDDVVPNVKPISEIYTGPIGPRASKAGGKICSPYMNRQVDAHRPNIKVKLILSNLIFAMEGAQ >KVH98682 pep supercontig:CcrdV1:scaffold_1772:13358:14303:-1 gene:Ccrd_023092 transcript:KVH98682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSYRLQKNKKESKFSNTXENPVDMDDEXNEVAILNVDQAKQRATDCSTXRETICKRPVKSREDSKDDDDFEIQDQNIRKKVKSVKEDTKXREDNGKGTIKTHFPLRMRTSPKPIYTMIQNLSPSQIECVKEMGFEGLLNMKTDGIPTKLGYYVVDSFDSQNMLIKLENXVIPITVKKIHEMIGAPIGGAPLDSLVNDNCEVSVVEQWKSRYSKPSLRVTDI >KVH98683 pep supercontig:CcrdV1:scaffold_1772:27823:42726:1 gene:Ccrd_023093 transcript:KVH98683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDESIESRIQQAMRSRVQHFRDQAEYGPLFLQAFEDLRIDDEEEGGLDQKLFRINDEEGGVEQKLSSTQWRTGEEDSSLTFEGVRRLLEKDLVLDTYALDVHKRYVKQLLEKYLSETEDEENVSKGSEQIKLEQDKEEEEKLKEHKVTDIRPEDEGKIEDSPVMGLLSGNESAKSGSETQANAKDNIPTVDLIKEALWKKATYFKSKSEELTVAGVRRLLEDDLELKKYSLDSFKKLISKQLDEHTGHLLCSQVLNSQNDTPDKTDSRSDADSSHSESLDIEVKVKPKKKLAPKGKVQISEKPKKRKRPAKETERARKKQTKHAEETSNEKNNVQDVDDESNAEKSESSAEKSVKKDMVAPVYGKKVERLKSIIKSCGMSVAPTVYKKAKQVPEDKREAFLVKELQEVLSREGLSTKPTEKEIKEVKRRKERAKELEGIDTSNIMPATVTSSMFLGWAALLNK >KVH98679 pep supercontig:CcrdV1:scaffold_1772:85214:88119:1 gene:Ccrd_023095 transcript:KVH98679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, cysteine-rich domain-containing protein MGSHGLFFHCSQIYPQPKFNPASINLQRGSSITSHASKSNGFPLNSIFKKCETCGGQGAIDCGGCKGTGKNKKNGNIFERWKCYDCQGFGLRSCPSCGKGGLTPEQRGER >KVH91994 pep supercontig:CcrdV1:scaffold_1774:32210:33336:1 gene:Ccrd_005977 transcript:KVH91994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MQSLFFMVSLYPKLVGVLVNGFACKDAKVVQASDFFFSGLHLVGNTSNAVGSRVTPVTVAQLPALNTLGISMARIDFAPWGINPPHTHPRATEILTVIQGSIQVGFVTSNPENRLINKVLQKGDVFVFPEGLVHFQRNVGHGNAIAIVGLSSQNPGVITVANAVFGAKPXIPNDLLAKAFQXDVNVVDQIQSKF >KVH91993 pep supercontig:CcrdV1:scaffold_1774:69001:70102:1 gene:Ccrd_005978 transcript:KVH91993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLFFMVSLYPKLVGGRTALYIHYSQCSPLQDFCVADSKGPVLVNGFACKDAKLVQASDFFFSGLHLVGNTSNAVGSRVTPVTVAQLPALNTLGISMARIDFAPWGINPPHTHPRATEILTVIQGSIQVGFVTSNPENRLIXKVLQKGDVFVFPEGLVHFQRNVGHGNAIAIVGLSSQNPGVITVANAVFGAKPDIPNDLLAKAFQVDVDVVDQIQSKF >KVH88026 pep supercontig:CcrdV1:scaffold_1775:23621:63288:1 gene:Ccrd_024591 transcript:KVH88026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, subfamily IIA, SERCA-type NCISLDTGTPFWKLVLKQFDDLLVKILIAAAVVSFLLALVNGETGLTAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADVATVMRNGCFSILPATELVPGDIVEVSVGCKIPADLRMIDMLSDQLRVDQAILTGESSSVEKELESTLTTNAVYQDKTNILFSGTVVVAGRARAVVVEVGSNTAMGSIRDSMLRTEDEATPLKRKLDEFGTFLAKVIAGICILVWIVNIGHFRDPVHGGFFQGAVHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVLQSASHGVVAAEYSISGTTYAPEGSIFDGMGMQLDFPAQFPCLLHIAMCSALCNESVIQYNPDKKKYEKIGESTEVALRILAEKVGLPGFDSMPSALNMLTKHERASYCNHYWENQFKKISLLDFSRDRKMMSVLCSRKQIEIMFSKGAPESIISKCTSILCNDDGSTVPLTAHIRAELESRFSSFAGKDTLRCLALALKRMPTGQQNISLDDEKDLTFIGLVGMLDPPREEVRDAILSCMTAGIRVMVVTGDNKTTAESLCRKIGAFDHLQDFVGRSFTASEFEDLSALEKTTALQQMTLFTRVEPSHKKMLVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAVSFLLSVHLTNHLRYYACDVSGSKERYVSLCIGTDFCLSIITIVVLQAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLVPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKINEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFIYSDTGPKIPYSELMNFDSCLKRETTYPCSIFSDRHPSTVSMTVLVVVEMFNALNNLSENQSLVVIPPWSNLWLVGSIGVTMLLHCLILYVHPLSILFSVVPLTWDEWTTVLYLSFPVIMIDEILKFFSRNSLGMRFNLRLRRAEFLSKREVRDK >KVH88025 pep supercontig:CcrdV1:scaffold_1775:11907:16484:1 gene:Ccrd_024590 transcript:KVH88025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MPRRPSFVVVETNNKNPSGLLDPDSRRRLPVTSSHLQVLYLPNVLSPMEDAFARSVPEVLDFFGVDPTKGLTDIQVTSHARIYGRNVLPQEESKYNLCSRPFLLIFSRSLISYFFVK >KVI08401 pep supercontig:CcrdV1:scaffold_1778:47610:69048:-1 gene:Ccrd_013229 transcript:KVI08401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MCIHEYSCKVLSVVGGQSSLSRAEVDKVRSEVDKEFETETIVLKKKRKEKMKKMSQSTDDDLGSLFGDGKAGKSPRFANKITLKNLTAGMKLWGIITEVNEKDLVVSLPGGLCGLVRASEAIDPSLHDEVKGDTEDDVLSTIYTVGQLVSCIVLQLDDDKKEKGKRKIWLSLRLALLHSSFTLDILQEGMVINAYVKSIEDHGYMLHFGVTSFTGFMQNSSNDGSRGTQMVVGSLVQGVVKSIDRTRKVVYLSSDAEEVVKHVTKDLKGIPFNLLIPGMMVNASVRSTLENGIMLSFLTFFTGTVDIFHLGKTLPTSKWKDEYTQNKKVNARILFIDPSTRAVGLTMNPHLVHNNAPPSLVKIGDIFDDSKVVRVDRGSGLLLEIPTAPIPTPAYVSVSDLSDKEVRKWEKSFKEGSVVRVRVFGFRILEGVATGVLKVASAFFCICVLSHVNSYVRTSAFEGSVFTHSDVRPGMVMKAKVIIVDSFGAIVQFASGVKALCPLRHMSEFEIVKPRKKFQVGAELVFRVLGCKSKRITVTHKKTLVKSKLPVLSSYTDATEGLLTHGWITKIESHGCFVRFYNGVQGFAPRAELGLDPGSDVSSMYHVEQVAKCRVTSSVPASRRINLSFLATPARTSEDDTIKLGSLVSGTVERVTPHGIIVDVGVKGYMKGMISFEHLADNHGLASKMESVLKPGYKFEKLLVLDVENKNLILTAKYSLVIAAQQLPADASQVYAHSVVHGYICNVIDTGCFVRFIGRLTGFAPKNKAVDDHRADLSKVFYVGQSVRSNILDVNSETGRITLSLKQSLCSSVDASFIQEYFLLEEKIAKLQYSCSKPSSLKWVKEFPIGNLIEGTVHEEKESGFVISFQKYNDVFGFITHHQRKYLTASHQLLYAICNSVQCEFYAVGRSTVDIGKTVKAVILDVAKMDHLVYLSLKPEFVNRCVENSDSCTPKKMRKRSAHKDLEVHQTVLSIPDAKFALGYASLSDYNTQTLQPKQFVNGQRVSASVVALPDSSTAERLLLLLKSNSEVDTSSAKRAKKKSSYDVGSAVQAEVTEIKPLELRLKFGSGLRGRIHITEASDGNIVEDPFGNFKIGQTLTARIVSKAKVENSNNFRWDLSIKPSVLADQADVHTAVEFSYSIGQSVTGFVYKVDKDWAWLAVTRDVRAQLYILDSACNPSELHDFQNRFHIGKPISGYILSTSKEKRLLRLLLHPVAGGLLSNGASMTDDLVSLSSETVTSHICEGAVVGGRISKILPGVGGLIVQIDPHLSGKVHYTELADSWISNPLSSYHEGQFVKCKVLDIGHSGTGTVHVDLSLRSSLVGIDENSKELQSYVKSCSNRYEKIEDLHPDMAVEGYVKNITPKGCFIMLSRKLDAKILISNLSDDYVSKPEEEFPIGKLVNGRVVSLEPLSKRIEVSLRKTSGTKVPKSDKGGFSSLNVGEIISGRVKRIEPYGLFIAIDQSKLVGLCHVSELPEDRMDNIETKYKIREKVKAKILKVDEERQRISLGMKGSYFDTNTDAGAPADDGSDALDEDDSELSDQNPNEFQEPLFPFENGKHPVLAEVESRAAVLPLDVPLDETDDSPVNDVVAQSPEPLDNKSETNENKNRRTKKKEMEEREREIRAAEERLLQEDVPRTADDFEKLIRNSPNSSFIWIKYMAFLLSLNEVEKARSMAERALRTINIREEAEKLNVWVAYFNLENEYGNPPEDAVLKVFQRALQYCDPKKVHFALLGMYERTEQHKLADELLEKMIKKFKHSCKVWLRRIQRVLKQKEDLVQSVVKRALICLPKHKHIKFITQTAISEFKTGEIRLGDVDVIRSLFERTICLELPLKKMKFLFKKYLEFEKSYGDEERTEYVKAEALKYLEKARP >KVI08400 pep supercontig:CcrdV1:scaffold_1778:32763:42304:1 gene:Ccrd_013228 transcript:KVI08400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage/polyadenylation specificity factor, A subunit, C-terminal MAVSEEESSSASGNNSKSLPSHGGPHYLAKCVLKGSVVLQIVYGHIRSPSSKDIVFGKETSLELVIIDDYGVLQSICEQPVFGTIKDLAILPWNRRASPQVQGKDLLLVTSDSGKLSVLTFSNEMHRFFPLTQVQLSSSPGNLRHHVGRMLAVDSNGCFIATSAYEDRLALFSVSSSGGADIIDKKIFCPSDIENNTSTSTGVSGIHGTIWSMCFISKDMSQPHKEHNPVLAILLNRKGSLLNELLLLEWNTRENFVHVLSQYAELGPLAHDIVEVPNSYGFAFLFRVSDALLMDLRDAHNPLCVYRTSLNFLPSVVDEHTFVEESYRTNDVDEEGNICNVAASALLELKDIIKDDDPMNIDDDSGYSSKSTSNRVCSWSWEPGNEENPRMIFCVDTGELFMIELSSDPTGLKVNLSDCLYKGLPFKELLWAEGGFFTALAEMGDGMVLKIEEGKLQYKSPVQNISPILDMSLVDYHDEKHEQMFACCGMAPEGSLRVIRNGISLEKLLKTAPVYQGITGTWAMKMKLVDCYHSFLVLSFVEETRVLSVGVSFTDVTDSVGFSPDVCTLACGIIGDGLVVQIHQNAVRLALPTAAAHPDGIPFTSPNCTSWFPDNMTISLGAVGHKFIVVATSNPCYLFVLGVRRLAAYQYEVYQLQHVRLDYELSCISIPQKPLQADPSNSFYQLSSNHLVMDPTNGVEIGNTFVIGTHRPSVEVLSFIPDQGIRALAIGIISLVNSTGTTISGSVPQDVRLVQVDRLYILSGLRNGMLLRFEWPSVSTNSSLESPKARPYVSSINSFTSTSPHSTSAMHSCIISEMTKDNVPVCLQLISIRRIGITPAFLVPLNDLPDADVIALSDRPWLLQTARHSLSYTSISFQASTHATPVCSKECPNGILFVSENSLHLLEMVHSKRLNVQKFHLEGTPRKVLYHAESRLLLVLRTDLSENSCSSDICCVDPLSGSVLSSFKLDPGETGKCMELLKVGSEQVLVVGTSLSTGPAIMPSGEAESTRGRLIVLCLEHKQNSDSGSMTFYSKAGSSSQRTSPFREISGCGAEQLSSSSLCSSPDDNSSDGIKLEETEVWNLRLAYSTNMRGIVLAICPYLGCYFLASAGSAFYVCSFQNDNSLRVKRLAVGRTRFMIMTLTTHFTTIAVGDCRDGILFYAYYEDAKKVEQLYSDPVQRLVADCLLMNIDTAVVSDRKGSIAVLSCSHHSGENASPECNLKGSFSYKLLADDEMRDCDIASSIMDLSHSSIVASTLLGSIMIFVPISRNCFLSQTLSFRDEFELLEDVQSRIAVHPLTAPILGNDHNEFRSRQSSAGIPKILDGDMLAQFLELTNTQQEDVLALPRAPHNIFTSRSSKPPLSRINVNKVVRLLERVHYALN >KVI08399 pep supercontig:CcrdV1:scaffold_1778:18746:22578:-1 gene:Ccrd_013227 transcript:KVI08399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETIENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRGAMTEFLK >KVI00839 pep supercontig:CcrdV1:scaffold_1779:9829:15301:-1 gene:Ccrd_020905 transcript:KVI00839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-grasp domain-containing protein MNERDYQRKKNKFLPKIHSWVQEHGGEPIIPFSCALERNLSDMPQDEAAKYCEDNKVQSALPKIIKTGFSAISLIYFFTAGIDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFDDLKDLGSEAAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSVGGKK >KVI00835 pep supercontig:CcrdV1:scaffold_1779:51721:54127:1 gene:Ccrd_020909 transcript:KVI00835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSRVTTVFAVQEFAGLLLLVVDLDSQLKEAIIQQAAITPAVTIILVPTVAPVQKPAVITISRICWFATIGG >KVI00840 pep supercontig:CcrdV1:scaffold_1779:70772:100895:-1 gene:Ccrd_020910 transcript:KVI00840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF284, transmembrane eukaryotic MDLDGGGGAAAGTDHQPMPVLHGRSNAIKQFTQQTLPACKPVLTPAWVIGTFFLMGAVFIPVGLICLHASQSVNEILDRYDIECVPDGFKSNRVAYIKNASIPKSCHRYLKVILSFFSDEFPAPNVIFVYLYPQVFIRILFNLLTCVVDKHMKAPIYIYYQLDNFYQNHRRYVKSRSEEQLLHGLGYNRTGSCEPQASENGLPIVPCGLVAWSLFNDTYSFSRGTKKLDIERKNIAWKSDRDHKFGKHVYPFNFQNGSFIGGGKLDTTIPLSDQEDLIVWMRTAAFPSFRKLYGRIEEDLEEDDLIIVHLMNNYNTYSFGGTKMLVLSTSSWLGGKNSFLGVAYIFVGSSSILIALIFLLLHVKNPRSQKFAALVVRKKVSGSLDDGNVEDTVRDFVFNLERFAIVIVLLLYSLHSSCGT >KVI00838 pep supercontig:CcrdV1:scaffold_1779:25516:37230:-1 gene:Ccrd_020906 transcript:KVI00838 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MPPKVTKLKEAPVERLILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVHIPDERFEWLCQHFKPRSEVSAFLEIHDIAGLVKGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDPDIIHVDDSVDPVRDMKVIAAELRLKDMEFIERRIEDLEKSMKRSNDKQLKVEHELCLKVKNFVKEGKDVRLGDWKAADIEILNTFQLLTAKPVVYL >KVI00836 pep supercontig:CcrdV1:scaffold_1779:50894:54039:-1 gene:Ccrd_020908 transcript:KVI00836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMIPIAAFIAEPVMGAGWVIPPPVGYFEKVKFLYLHISAYCYHSRFLDRSNSRNKNDCNSRHAIHFLPVCDWLGVAYTIASVQTDLFLFNVQKYVQENTFQRVSMVELSDYQRRKSTVAVKLNVNRLYQILNLEPVMGAEPPPVGYFEKVEFLYLRISAYCYHSRFLDRSNSRNKNDCNSRCDSSPRKY >KVI00837 pep supercontig:CcrdV1:scaffold_1779:48490:49235:1 gene:Ccrd_020907 transcript:KVI00837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDFDFC >KVH96066 pep supercontig:CcrdV1:scaffold_178:125000:126407:1 gene:Ccrd_001840 transcript:KVH96066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MATTTTGKLDVEVKVKSDANKFWKSIRDSATIFPKVCSDLYKNVEILEGDGHSVGSVRVVNFAEGSPVVKSAKEKIEEFDEAKKKVAYSVIGGDMMQYYKTFKATLEVIPEGEGSIVKWICEFEKASEEVPDPNLIRDLAAKNFQEIDAYLLAA >KVH96063 pep supercontig:CcrdV1:scaffold_178:151782:168122:1 gene:Ccrd_001843 transcript:KVH96063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex AP-1, gamma subunit MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECASIRAAVSDNDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIAAPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKHNMVDYLRCLVLSTCKKEFLIIEKLLSSSDRDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNVRKKHCTNISAYTAQAALCSIRIVKKVPDLAENFVNPVVSLLKEKHHGVLLTGIQLCIDLCKLSEEALEYFRKKCTEGLVKVLKDVVNSPYAPEYDVGGIADPFLHIRLLRLLRVLGHGDADASDCMNDILAQVATKTESNKNAGNAILYECVETIMSIEDNSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVSVDDQAVQRHRVTILECVKDSDASIRKRALELVYLLVNESNVQPLTKELIDYLQVSDQDFKEDLTAKICSIVEKLSPDKIWYIDQMLKVLPQAGNYVKDEVWHALIVVITNASNLHGYTVRSLYKAIQTSGDQETLVRVAVWCIGEYGDMLVNNIGMLNVEEPITVTENDAVDVIQIAIKRHTLDLTTRAMCLIALLKLSSRFPSCSQRIKDIITQSKGSLLLELQQRSIEFDSIIEKHQNIRSALVERMPVLDEATYSGRRAGSMRATVSTSHGTALNLPNGVAKTAAAPLVDLLDLGAEEPAAPSSSGGNFLQDLLDVDTSSSSQSGTTQPQKSGTDVLLDLLSIGSPSPQNGSSAPDILSISKDNRTSVSALDSLSSAIGPSVQASFTAGDAPMMDLLAGFGPSPPAPPPKDNGPAYPPMVAFESSSLRIMFNLSKQPVSPQVTHIEAIFTNKSSDVYTDFIFQAAVPKFLQLHLEPASGNTLPGSGNGSITQKLQVTNTQHGKKSIVMRIRISYKLKGKDMLEEGQISNFPRDL >KVH96055 pep supercontig:CcrdV1:scaffold_178:291565:295448:1 gene:Ccrd_001852 transcript:KVH96055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MEALLSSSPTLRLRPPLPPSSSSKPSLSVSTFTPPSTKTKTNFPFHRKSSQFKPKRFLRVAASSSARSATETAVDSLTVPSQMKAWVYDEYGGVDVLKLSSNVTVPNVNDDQVLVKVIAAALNPVDCKRRIGKFQATDSPLPTVPGYDVAGVVVKVGNQVKGLKVGDEVYGNISEKALDGPKQSGSLAEYTAVEEKLLALKPKNLDFLQAASLPLAIETAYEGLERAGFSEGKSIIILNGSGGVGSLTIQLAKQVFGASKVAATTSTQKIELLKRLGADLAIDYTKENFEELPDKYDVVFDAVGLGEKAGKVVKEGGIMVVLTGAVTPPGFRFVVTSTGSTLKKLNPYLENGKVKPVIDQNSPFPFDKVKEAFAYLETNRATGKVVVYPVSEEYILEKMAQNM >KVH96068 pep supercontig:CcrdV1:scaffold_178:209229:210089:-1 gene:Ccrd_001846 transcript:KVH96068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDLLTTTATPNGYTFLASISAQTFFSDHTPATPCADHLQFASGHHKQPPFSSLLIWNVGVNQKSLGRAGSKRWLGKRPVVRGVVMNHVDHPHGGGEGRDAQICLRPPPATTYL >KVH96086 pep supercontig:CcrdV1:scaffold_178:92524:93882:-1 gene:Ccrd_001839 transcript:KVH96086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNCQAVDAAALVIQHPSGKIERMYWSVTATQIMKMNPGHYVSLIIPLPADDNSDNKTVRFTRVKLLRPTDTLVLGRAYRLVTSHEVMKVLRAKRQAKMKKDRPESMEENQETSSESENSSQVMAHERTIKQRSGSFSRSKSWRPSLQSISEAAS >KVH96069 pep supercontig:CcrdV1:scaffold_178:185229:185480:-1 gene:Ccrd_001845 transcript:KVH96069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEYSINGELMKVKSSSGLKSAGKRRSSSSKRVVRKVKKLQKLIPGGKGMNADRLFVHTANYIMHLKLQVDVLQALSHVYPS >KVH96067 pep supercontig:CcrdV1:scaffold_178:212755:246156:-1 gene:Ccrd_001847 transcript:KVH96067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MDFVQEKFLLACFVISSIVQSSISSKGAHRPPIVERLTDHFPPMPITQGMNTLYGASNIYPSSNGSYVDIVLDKYTVDNIPIREIINKGAISSVYPLKPMSLYATIWDGSNWATKGGHYPINYTYAPFVASLGELKIEGCMTQDTVLSQNVQRLPAVERLTDRFPTTLITQGMATLYGASNIRFNGSYVDIRLDKSGGSGLFSKDTYYHGFFSVSLKLSNSEVFQSNHDELDFELLGHESKSQWVLQTNMFLVDNIPIREIRNAGSMAYAYSLKPMTLYTTIWDGSNWATDGGKYPTDYKYAPFVASLGELKIEGCVVSSTSLPVAADVVCSNNATISNLDHVEGAGCVGSYRVGPVAAGNTLQLKESSRREGIHSTPSGKDKDDGCRTLSFFCSLLSSVSSRGAVYQPPNVERLTDRFPTMPITQGMTTLFGASNIHLKNNGSFMSNSDEFPHNHDEIDFELLGHAKRRQWVLQTNMYGNGSVRTGREEKVYLWFDPTQQFHQYTILWNSHHIVFLVDNIPVREVINNQAISSVYPSKPMSLYATIWDASDWATDGGKYPVNYNYAPFVASLGELKLEGCITKNTISPTAAECSKNATRPSSDMVEGANYARLSKQQMAGLNWAILLHYTRGKIVEEETMGSSLLLSGSSRGAIYQPPTVERLTDHFPPMPITQGSGLVSKNTYYHGFFSAAIKLPMGITSGVVLAFYVSKYCRQERSLSHLMSNSDVFPHNHDEIDFELLGHEKRRQWVLQTNMYGNGSVRTGREEKVYLWFDPTQQFHQYTILWNSHHIVFLVDNIPVREVIHNQSISSVYPSKPMSLYATIWDASEWATHGGKYPVNYNYGPFVASLGELKIEGCMIQKISSPLVVVCAKNATLSSVDPVEGEDYATLSKQQMDGLDWVRRKHMFYSYCKDTTRYKVLPIECNSP >KVH96073 pep supercontig:CcrdV1:scaffold_178:383757:390073:1 gene:Ccrd_001861 transcript:KVH96073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 13 MNEMRLLIDQISMHQENIVFLEEKVKRKEHECEQLRTVVQDLEKKAVGSLIREAEVPVAAVVIMACNRADYLERTIKSILKYHSSVALKFPVFVSQDGSNSDVRTMAMGYDQLTYMQHLDYEPVHMERPEELIAYYKIARHYKWALDQLFYKHNFNRVIILEDDMEISPDFFEYFEAGADLLDKDKSIMAISSWNDNGQKQFVHDPYTLYRSDFFPGLGWMLTKPTWDELSPKWPKAYPFQQGSSLGQFFQQYLKPIKLNDIQVDWKSMNLSYLMEDKFVKYFADLVKNAKPLDGTDLVSKTNNVDGDVRIQYRDQSHFENIAHQYGIFDEWKDGIPRTAYKGVVVFRHQTLKCVFIVGPDSLQQLGIQSA >KVH96078 pep supercontig:CcrdV1:scaffold_178:78216:86494:1 gene:Ccrd_001837 transcript:KVH96078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H1, N-terminal MNSLFNACSTALFARTTSGLVARCLSRGFINVSWRQRVDHHVAIITAENGFLLTRFRASCYSTRKASSVGKSKTRKSDSKLEKMLGEEKNAFFVVRKGDLVGVYKNLSDCQAQVGSSVCDPPVSVYKGYTMPKEAEEYMISCGLKNALYSIRAADLTEELFGNLVACPYQQPHFSLGEPSSDHMSKRRFQEVLGSQTGEAFGLTSMSSDPARKHAKLEHLATQALSANRTCILEFDGASKGNPGQAGAGAVLRTDDGNVICRLREGLGIATNNVAEYRAIILGLRCALSKGFTSIRVIGDSKLVCMQMLILQEYFMIQVQGLWKVKNQNISKWYEEAKKLKDKFLCFQIDHVLRDLNSDADAQANLAVDLAVGQIQEVDT >KVH96062 pep supercontig:CcrdV1:scaffold_178:377505:381353:1 gene:Ccrd_001859 transcript:KVH96062 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MSGAVLVAVVAAVGNLLQGWDNATIAGAVLYIKKEFNLQNEPTIEGLIVAMSLIGATLVTTCSGAVADWLGRRPMLIISSVLYFFGGLVMLWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGTLNTLPQFMGSGGMFLSYCMVFGMSLMEAPSWRLMLGVLSIPALGFFIFTVFFLPESPRWLVSKGRMLEAKQVLQRLRGREDVAGKFSPTSIMALLVEGLGIGGETSIEEYIIGPANDLEQDDAIEEPDKIKLYGHEEGVSWIARPVTGQSMIGIASRQGSTINPSVPLMDPLVTLFGSVHEKLPDTIGSKGSMLFPHFGSMFSVTGNQAKHDDWDEESDGGREGEDYHSDAAGNDSDDNLHSPLISRQTTSLEKDMIQPASHGSILSVRNNSITQGNAEQVSSTGIGGGWQLAWQWTERDGQDGKKEGGFKRIYLHQEAGTGSQRGSLISLAGVDMPADGESFQAAALVSQPALYSKDLKDQHPIGPAMVHPAEAATRGASWSDLFEPGVKHALFVGVGLQILQQFSGINGVLYYTPQILEEAGVGVLLSNLGISSTSSSLLISTLTTLLMLPCIAMAMRLMDISGRRTLLLTTIPVLILTLIVLVVGGLINFGNVANAAISTASVVIYFCTFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWICDIIVTYSLPMLLTSIGLSGVFGLYAIVCVISWVFVFLKVPETKGMPLEVITEFFSVGAKQVAAAKNN >KVH96064 pep supercontig:CcrdV1:scaffold_178:141959:146677:1 gene:Ccrd_001842 transcript:KVH96064 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MEEDGIEEVGNSSASARLLRKRSGGGDSSSRWVDGSEVDSESPPWSLIDDDEKRSEGHGSVRRRLVRKLKSVDSFDVEAMAISGSHSHHSKEVTIWQTLGLAFQTLGVVYGDMGTSPLYVFSDVFSKVHIQSDIDVLGALSLVIYTIALVPLAKYCFIVLKANDNGEELISLAGGTFALYSLICRYAKINLLPNHQQADEYISSFRLKLPTPELERALKIKEKLEHNSFYKTLLLLLVLTGTSMIIGDGALVSVSIVILVGLFAIQRFGTSKVGLTFAPCLALWFFSLGSIGLYNLIKYDISVVKAVNPAYIYFFFKKNSGKAWSALGMSFVVKLRFSFSEAMARKLYLFFGAEAMFADLGHFSVPSIQVHLRVVFEQTDGLFWPVFVIATAAAIIASQAMISASFSCIKQSMALGCFPRLKIIHTSRRFMGQIYIPVINWFLMIMCILVVATFRSTTDIANAYGPHDVGDDRYASDMANKHLFSTVFPGCVWIDRAHIPVSCSIQDHRGRLASARFRFLLPLRHVHLELRERAEVPKRSPRENLNGLHERARLVPRNRPSPRNRTTLQRTSPRNTIRSRPYVPVPVVSQDERFLFRRICPKDYHMFQCVARYGYKDVRKEDHRAFEQLLVESLEKFMRKEASELELETDVVDAELDRISVSPRRSYSGELSVPLIQHVEGGESAVLPPSMMGGTDEDPSLEYELSALREAMESGFTYLLGHGDVRAKKESFFLKKLVINYFYTFLRTNCRGGAATMRVPHMNIIQVGMTYMV >KVH96056 pep supercontig:CcrdV1:scaffold_178:297978:304155:-1 gene:Ccrd_001853 transcript:KVH96056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MAEGYSCDEAIEGLKKLLSENGAFEAAAAVKIKQLTADLEAASAKEVNPVERIKTGFAHFKTEKYEKNPGLFEELGKGQTPKFMVFACSDSRVCPSHILDFQPGEAFMVRNIASMVPPYDKTKYSGTGAAIEYAVLHLKVENILVIGHSCCGGIKGLMSIPDDGTTHSDFIEDWVKICATARSKVKTECGDLEFAEQCSKCEKEAVNISLGNLLTYPFVKEAVLKKTLSIKGGYYNFLNATFDLWCLDHGISPSLSV >KVH96060 pep supercontig:CcrdV1:scaffold_178:345357:345782:1 gene:Ccrd_001857 transcript:KVH96060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMFQKQKSLPVSHNTTHDPSPATDGMRRRMSFQIHPPTISDTTAWALRSTKSVSSMGESASTSIRNWWDRGWGWILSRKPVFAQDLEMNQEETSVLGCHNKGSWRHILYKVRSEIRKLVRSDQAGLPQTIRTKAYSSAI >KVH96080 pep supercontig:CcrdV1:scaffold_178:63835:67936:1 gene:Ccrd_001835 transcript:KVH96080 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEKAYEGHVVVLPYPSQGHINPLLQFAKRLASKGLKATIATTHYTLSSISAPSVTVEPISDGFDHGGYAQAQSEKLFLDSFKTNGSRTLTQLIKKHQTTTHPITCIVYDSFLPWALEVAKENGILGGAFFTNSATVSAIFSRIHDGTLRLPVRLEDCPVVLPGIPPLNLEDLPSFLNAPESYPAYLEMKLKQFSNLEKADWIFSNTFQELEPQVVKRWGEQWPVKLIGPMVPSTYLDERIEGDKGYGASLWKPLDYECTKWLETKSQNSVVYISFGSMVSLTQQEMEEIAWGLQRSGFDFLWVVRDSERHKLPKGFLEFTIQNQKKGMIVNWCNQLEILAHRSVGCFVTHCGWNSTLEGLSLGVPMVGIPKWADQLTDAKFIKDVWRVGGKVTVDGELGIVKREDVVTCLNEVMNEGKMGLEIKKNVRKWKELAKEAISEGGSSDKAIDEFVVAIKTFARKQRCLI >KVH96074 pep supercontig:CcrdV1:scaffold_178:381511:383674:-1 gene:Ccrd_001860 transcript:KVH96074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MIDSSAGDTRNSGSIFFESKMVSLKEIAPAARNNINAHLILLEKGRITSEGQNKTCLALVADETASVHFQLWNEECDAFQPGDILRLSNGIFSYNRTNHLVLRAGKRGQLEKVGDFTMLFVESPNMSEILWVPDPNNSAKYIQESVISPHSRIFPPLQ >KVH96077 pep supercontig:CcrdV1:scaffold_178:74770:76858:1 gene:Ccrd_001836 transcript:KVH96077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID REDOX INSENSITIVE 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10522) UniProtKB/Swiss-Prot;Acc:Q9XIK0] MASASSLIISSTIPLSSSSKWVSIPMSFYLNNNCCNRFSFRKTTSTVSVNPLRPTSRNPKTLVVKAAEYKFPDPIPEFAEAETEKFKSHLIEKLSKKDVFEDSVDEVVEVCTEIFAKFMHEEYGGPGTLMVDPFWEMADTINQGELPGGPQAARAAVKWAQDHVDNDWKEWNGN >KVH96071 pep supercontig:CcrdV1:scaffold_178:272399:273384:1 gene:Ccrd_001849 transcript:KVH96071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein GSLRSYLSKNRNKKLPLKTVVRFALDIAKGLCYLHSKKIIHCDVKPENMLIDEQHTIKLADFGESRFESLEHFLIQSGEIGTRSYMAPEIIGSIDGAMLGHRPTKEARDERRGGRVRRNWEIRNDVGRSRGSLQVLRVFRAWKIGIEL >KVH96082 pep supercontig:CcrdV1:scaffold_178:45895:52303:1 gene:Ccrd_001833 transcript:KVH96082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MDFASTLSMYFHPKATTTTSFTADGCMLLRSRMFFSTKLHYVHSGRRRLQFETFAIKNASGSSGRSARGRRVYRESQSEAGPLTAVPVKEIASFVLPVGSFVVVTFVMWKLVEKLIMPKRSKAPAAEKKTTDGVKWSFAAGTNLLPNFGAKIERESKLRLNDFAQELRSFNIVDMSEEVNFAANGITAEGIKAFDGILQSNIYLKTLNLSGNTIGDEGAKALCNILVDNNGIQKLQLNSTGLGDEGAKAIAEMLKKNSTLRTLELNNNLIDYSGFSGLAEALLENKSLQSLYLNGNYGGALGASSLAKGLEGNKALRELFLHGNSIGDEGVRALIAGLSIHKGKLTALDIGNNMITSKGAFHVAEYVKRSKTLLWLNVYMNDIKDEGAEKIADALKENRSITNIDLGGNDIHAKGITAISEVLKDNTVITAIGAKGAEFIADTLKYNNTISTLDLRANGLSDEGAKCLARSLKVVNEALVSLDLGFNEIRDEGAFAISQALKANEDVRLTSLNLSSNFLTKLGQSALTDARDHVYEMNEKELSIGF >KVH96061 pep supercontig:CcrdV1:scaffold_178:352793:355336:-1 gene:Ccrd_001858 transcript:KVH96061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MNDFRKKLGVEGGGYRYYGICTAGGMFSAGATHLAVTPLDVLKVNMQVNPIKFHSIASGLNILWKEEGPSSLWRGWSTKLFGYGVQGGFKFGLYEFFKTCYSDVLTGERPSVVFFLSSASAQVFADMALCPFEAVKVRVQTQPNFAKGFFYKGLLPLWGRNLPFSMIMFSTFEHSVDLIYRKFVQKRKEECSRTQQLGVTCLAGYTAGAVGTVISNPADNIVSTLYNKKANTVLQAARSIGLVNLFTRSLSIRVALVGPVVTLQWFFYDTIKVFNGLPTSGGLSRGIEGAA >KVH96058 pep supercontig:CcrdV1:scaffold_178:338105:338473:1 gene:Ccrd_001855 transcript:KVH96058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MAQRQGIPDVKECKSITLFKALMWIRDMGQENLVFETDSQTVVSTLTHKEEDCMEFWDIIHACKMVLNATLSFKVNFIRRNRNEVAHKLARRSQFFVEPISRAGSPEWLIEDLLSFCNVLDR >KVH96072 pep supercontig:CcrdV1:scaffold_178:255698:267041:1 gene:Ccrd_001848 transcript:KVH96072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 FLSLSSRPKDGGCSTPLNHIRNSQSALVVSLNTPPFQSIPLLQTLSTPTSPTILCMEIEQENACNGVKRNEPLTLDWEQLLPTASADDDRPPELVVKSEKKSKDGSSGGDAEQDQKEHFELLSKSDHEINEYIARHKTVMQTLSSKLPDKGEKLKATFRRHVEELERRKKLQSEKGHNGCEETIQLSDHSDDGASCGKKKGDQKSLSTSTFAKLFCNRLEKDKDSRTVNAFEDDLSFINPCQGKKVKPNSQLLGKRRSRMGLSSRPASTQESLRSPRTPSVDSEKLIISNDDKKENNSATSSPDHLAGNKSCSFSETNFYQDQPSRNLRPRHRRTYHLVDEEPLIQTTIQYGEKLDDSMKDVTVYYPSRDDPGSVEVNYADMACLAPEACLSSAIMNFYIRYLQQPTSSSESATCNSHFFNTYFYNKLEKLSYKEDSFLKFRKWWKGVSIFEKAYILLPIHENAHWSLVIICIPTKEDELGPMLLHLDSLGLHDSRSLFDNIRRFLKEEWSFLRKSEEPLDLPITEEIWENLDRRIYDRRVTVPQQRNEYDCGLFVLFYMERFIKEAPDRLRKKDLSMFGKQWFHPEEASNLRVRIHNLLVQEFKSAKEKEKASSPKC >KVH96065 pep supercontig:CcrdV1:scaffold_178:128284:132799:-1 gene:Ccrd_001841 transcript:KVH96065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MIQEVGYRKEALEVGLTSGYQIFVDNFGLCDCHRSSVRWKVPFPLWQLPTGGDEGIRRHGGSTDRPSTTHDSQQVRHSRWYVQLLLHLLLQRSRFTHPSSSFLHLSQIGESSSTDFHRSLWTFHYWPTRFLTTGIMYELLICDTLREKLETASPIASEKIAFQHPILWPFSRVMSYLSNFLAQVFGYSGISYSSATLATTILNLIPGFTFILAILCRMEAVNFGSSTTQAKFIGTVVSIAGAILVTLYKGPSIIPSTLKSEIPKHLLVQPSNWVIGGVFLGIDCVFSSMFIISQAFVLKKYPAVMIVMFSYCFVCTILSVLTSLIVGADLSTFSLRPKKRLLSILYSGIFGSAFQVTIQAWCVQRKGPLFVSMFHPVGIVISTLIGLIFLGDGFYLGSLVGSVVVVFGFYTVMWGKAKEQIVVVNTGGSSKLQNEGAPLLRDNVEEQITSLSP >KVH96083 pep supercontig:CcrdV1:scaffold_178:5088:7800:-1 gene:Ccrd_001830 transcript:KVH96083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPWCMCVYFERAKEEEFLEALGRFLEINHLLQRSNLLRKWRIINNFMYHGVVGHYYWSSFIDQSDGSIYDDFAATLQLILPPDDLNDQFSLTPNQSGVSSVSIETTKNQNRSALENGGFRTQHAVVSLATRSRSDFMPTELHRCRAVPIDPFRYRLFLTDEDRRRSDDDGEETGGAKDGLGCMR >KVH96053 pep supercontig:CcrdV1:scaffold_178:275253:279128:-1 gene:Ccrd_001850 transcript:KVH96053 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MDLLQAYKDKNADDGEDQRMSDDSSPDSSPPRLSIAPKSAAPKVDDTMLSLTVAEAIANKSLTKPLDPTQHVVSFNPTYDQLWAPIQGPAHPYAKDGIAQGMRNHKLGFVENAAIEPFVFDEQYNTFYKYGYAADPSASAGYNYVGDLDALKRNDAISVYNIPQHEQKKRKLEKKKEALEKEEGDAEEVDEVEVQNPASDSWLLRNRKSPWAGKKEGLQGELSEEQKKYAEDYAKKKGEERDGNKDKGEAMSDKSTFHGKEEKDYQGRSWIAPPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDSKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDVNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNGNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHDGVCIGAEWHPLEQSKVATCGWDGLIKY >KVH96057 pep supercontig:CcrdV1:scaffold_178:324002:336941:1 gene:Ccrd_001854 transcript:KVH96057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MVLEEDQEQDQPNDNGLKSAGDNRSDEKGLGDVAVESPDDAKNVEDDDKTSGIDGVANQLHSVVVGPGENVTSLDTEVLVEKQDQLDNGGEYRFPSPSPSPTGSGSGNGGSKDDEAEDEEHQGNPERLLVTKTEVELSPDMEEEKLKPEGLSVTKIESELLRPEGETREEEAMEDDDETELNNFTRMERPQAELDAGHEEGIGLKTLQNQKSFLLEPNSAVEDESGTEEDQAAFMKELEIFHKERCLEFKPPRFYGEPLNCLKLWRSVIRLGGYEQVTSCKLWRQVGESFKPPKTCTTVSWTFRCFYEKALLEYEKYKMSIGELPFTDASSAEPASGGKQANLNQTPGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKTSSTTLKRDKQNIGLLKRKKPSSVERAVKVARMKALDSMVVDIGPPADWVKINVQRTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGQPEQLDNPWGVSPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSEL >KVH96085 pep supercontig:CcrdV1:scaffold_178:90220:93908:1 gene:Ccrd_001838 transcript:KVH96085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFYTPIVSLCSTRLLASMAPTKKKTAKFASLPSSGCFFRPSLSKTPCFFLLRATPLQKYVYPDPIPEFAAYETKKFREELKKKLYKERETFGADLDRVLDTCTELFSEFLFKEYGGPGTLLVEPFTDMLIELKQRKLPGANLAAHR >KVH96070 pep supercontig:CcrdV1:scaffold_178:173796:174897:-1 gene:Ccrd_001844 transcript:KVH96070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MKKKRSSSSPPNSLHQSSRFQESTHNDDDVVYERQLQQLFHLHDSGLDQAFIDVLPVFLYKELMGLKEPFDCAVCLCEFSELDNLRLLPLCSHAFHIHCIDTWLLSNSTCPLCRGTLFTPGFSVENPVFNFHFDDSIEEDDHVFLNSGMIHDGVSGNLKQSGGGIEKRVYPVRLGKFRTTNGEKREEKEVGETSSSNLNARRCYSMGSYEYVVGNSELQVTFCPSSRGNIGKKDVGIEKDKENSSVDGGSDGKKISSRSKGESFSVSKIWLWSKKDQHKFQDSSGTHMMNSSVNLESADFSE >KVH96054 pep supercontig:CcrdV1:scaffold_178:289735:290433:-1 gene:Ccrd_001851 transcript:KVH96054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSFFFCFPSKSKLKCENDPQKPLLILKDDDYDAAVQLHNPTTAMALFLRSWLNGRRLSRKRCRLKSGPPCDVEGGGQVVVEVNLLERYLDDQLGLALEFTCEFDSGDDETDDFYSNRSNLLC >KVH96081 pep supercontig:CcrdV1:scaffold_178:38076:38711:1 gene:Ccrd_001832 transcript:KVH96081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MGKVVFDFLSSTWEGEDMHARYESTSTDKKIRLFGFELDPQTNGSLLSEAGLGEKDGRMHSPSTTISSEKEKSSMVDLKETKKKFKCQYCFKGFMNSQALGGHQNAHKRERMKKKRLMLEARKASIGYYLQSYVQNINNHGIDISFHGYNDCEFDHHEPKFSFGSYDDDLQSFKHVHKSRRTIVSSLSNVGSKQTCKDLDLELALSSCFTM >KVH96079 pep supercontig:CcrdV1:scaffold_178:54003:56522:1 gene:Ccrd_001834 transcript:KVH96079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MGRRQVGRKQVSSSSASDSQAGIQAQAHHLQGSEGPSRRLLTLVDCRGLCAIRCGRHSRPNVCTRACGTCCRRCNCVPPGTHGNRERCGSCYTDMLTHGNKPKCP >KVH96076 pep supercontig:CcrdV1:scaffold_178:390469:392582:-1 gene:Ccrd_001862 transcript:KVH96076 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MDHGKVCVTGASGFLASWLIKRLLSAGYHVIGTVRDPGNDSKLAHLWNLQGAKERLRLVKAELTEEGSFDDAILGCEGVFHTASPGEILKPAIDGTLNVLSSCKKNPSLRRVVFTSSSSTLRVRDDFDPKKVTIDESSWSSVEFCERLNIWFEQHDRPYYEFDTTKLKSLGFTFKPIQEMFDDCVTSLVEQGHLSIP >KVH96084 pep supercontig:CcrdV1:scaffold_178:21900:24074:-1 gene:Ccrd_001831 transcript:KVH96084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYYQRLKDDPLYFYKEKLQDSVHHDELSSSLLTVSVASSIAILGFCFALTYRLHRLFHFHPSPSPSRLLHFHQSPSPLPPPSPSLSLSPTVVVTSPLLSVTVVVSVSVAFSQGASLFFNPLLHRRGSNAFVVLEID >KVH96059 pep supercontig:CcrdV1:scaffold_178:342441:344415:-1 gene:Ccrd_001856 transcript:KVH96059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASNPQQKPQNLRETKLTIRNPLPIESMEVECMRACMRKLAIWYTPNFKPITTHDELDHILSTLGFIPLPPISTTITATATAWKEYCFTAAGAFLSKSPSPPPRPRLPYPRIDGLHVNTYRAFLDAINFYLHMNNISDLFHIRGMPLQHGHDRSQKWCRMVGDDLVYVYREGTMELPAAYSCCQVNKNAKSSKDQNPVLRIVPWKSIIDRII >KVH96075 pep supercontig:CcrdV1:scaffold_178:401246:402588:1 gene:Ccrd_001863 transcript:KVH96075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVSEKKNEATSSSNGFPSVPREDSPLLANHDSLSSTPKTFANVFIAIVGAGVLGLPYTFMRTGWVTGFVMIFAVAGLVYRGMMLLIETRRKLESPLSYSKINSFGDLGFAVSGPIGRLAVDIMIIASQAGFCVGYLIFIGNTLANLFNSTPIESRHYLNATSRIWGLQAKTFYIWSCFPFQLGLNAIPTLTLLAPMSIFADIVDVGAMAVVMVEDINVFMKSTTTVYAFGSFPMFCYGLGVALFSFEGIGMALPLESEAKDKKNFGTVLAWAMAFIAAMYGFFGLLGYFAFGENTRDIITANMGKGILSSLVQLGLCINLFFTFPLMMHPVYEVMERRYWEGRMRCKGRKVYVEGLKIMMKRMKC >KVH89557 pep supercontig:CcrdV1:scaffold_1782:38122:40098:1 gene:Ccrd_008452 transcript:KVH89557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MDQIKHKFIQAGELRLHVAEIGEESSPVVLFLHGFPEIWYTWRYQMVAVANAGFRAIAPDYRGFGLSDVPAEPEKTTFAALIEDITTILCSLAISKVFVIGKDFGSMVGYIFAVFFPKKLAGIITLGIPYMPPQVLQQLQTLPEGFYMRRWQEPGRAEADFGRYDAKTVVRKIYILFSRSEVPIASENQEILDLVEPWAPLPCWFTEKDLEIYGALYAKSGFQTALQVPYRSLQERVEPPNQDPNNLRIEAPALFITGTEDFFFNIPGIQEYLKNGVKNYVPNLEIIYLPKGSHFVPEQFPHKVNQLLINFLNCNKY >KVH89556 pep supercontig:CcrdV1:scaffold_1782:57616:62937:-1 gene:Ccrd_008453 transcript:KVH89556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFSSRRLITRSPKPFAINFDVDLSSKIVVDVIDLQMGRSFKTHRAKLQELFFKMPWPGGHGKSHRNEAN >KVH89554 pep supercontig:CcrdV1:scaffold_1782:79405:81321:1 gene:Ccrd_008455 transcript:KVH89554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MDQIKHNYIQGDGLKLHVAELGSESSPVVLFLHGFPEIWYTWRYQMIAVANAGFRAIAPEYRGYGLSDIPAEPQNTSFDDFVKDIATILASLSISKVFVIGKDFGTLVGYVFSFFYPEKVAGIITIGVPYIPPHAMQRLQTLPEGFYMRRWQEPGRAEAEFSRFDAKTIVRKIYILFCSSEVPIAGENQEVLDMVEASAPLPSWFTEEDLETYGALYEKSGFVTALKTPYRSLLEKIEHPDKDVDELKVEAPALLITSKGDFSFNIPGMEEYIKSGTKDYIPNLEIIYLPEGCHFVPEQFPDKVNEHLLNFLNHNKC >KVH89555 pep supercontig:CcrdV1:scaffold_1782:64800:66734:1 gene:Ccrd_008454 transcript:KVH89555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MDQIKHNYIQGDGLKLHVAELGLESSPVVLFLHGFPEIWYSWRHQMIAVANAGFRAIALEFRGYGLSDIPAEPQNTSFADFVKDIATILASLAISKVFVIGKDFGTMVGYFFSFFYPEKVAGIITIGVPYVPPHGMQGLQNLPEGFYMRRWQEPGRAEADFSRFDAKTIVRKIYILFCSSEIPTAGENQEVLDMVEASAPLPSWFTEEDLEIYGALYEKSGFVTALKVPYRSLLDKIEHPDKDVDNLKVEAPALSITSKKDFSFDIPGMEEYLKSEVKNYIPNLEIIYLLEGSHFLQEQFPDKVNQLLLNFLNYNRYQ >KVH89559 pep supercontig:CcrdV1:scaffold_1782:16812:18174:-1 gene:Ccrd_008450 transcript:KVH89559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MDQVKHNYVQVDGLKLHVAEIGSESAPVVLFFHGFPEISYTWRHQMIAVANAGYRAIAPDYRGYGLSDIPAEPEKTSFADLVADTATILDSLAIPKVFVIAKDFGGMVGYIFSLFFPEKLAGIITLGIPYMPPEALQQLQTLPEGFYMRRWREPGRAEADFGRFDAKTVVRNIYILFSGSEVPIASENQEIMDLVEPLAPLPSWFTEEDLETYAASYAKSGFQTAVQVPYRSLLEIVQPPNHGPNDPIVVAPALFITGDKDFFYNFPGMKDYLKSGIEKYVPNLEIIYLLEGSHFIHEQFPDKVNQLLLNFLNRNKY >KVH89558 pep supercontig:CcrdV1:scaffold_1782:31220:32626:1 gene:Ccrd_008451 transcript:KVH89558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase domain-containing protein MENKNINDKKRSFFAVLSVFLLLLISFNGASIFSIKIPYQENVTGDSGNLLSMSSSLSNLMYAVEEEIPSEISRTHLGKTVSGAGDSMSLNSVLHSMHDVQQEQQQKEQRISLVKEETPPVISNSHLPLLRKSHSLRLESEDFPSKKKRILELLTSMESSGGLRQGDFRARVKEFFSKNETSCKVRFFMTWISSLDSFSDKEFHSIETLFNTHPKGCLLIVSNSMDSSKGNQILRPFLDKGFRVTAISPDLNYLLKNTEAESWFSRIIKGDIDAGYVPFGQNLSNLLRLCLLYKYGGVYIDTDLIIMKSFSKLKNSIGAQTLDLGSKNWSRLNNAVMVFDKMHPLVYKFIEEFSLTFNGNKWGHNGPYLVSRVVSRLQGRPGYNFTILPPPAFYPVNWNRVRNLFRGPKNETDAKWLRGKLRQIRSQSYAVHLWNKQSRKLHIKEGSILRKILSDHCVFCNSSTNAAL >KVH93619 pep supercontig:CcrdV1:scaffold_1783:64591:69950:-1 gene:Ccrd_004329 transcript:KVH93619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSEMETDNVIENASVITRNDQVKTIFHHDHRVMAHPAEFAHENPETCYLPVSWIQIDELHSKGKNHSVLGHCEVPVIRLENCGWNSITIYYFAKEESDCKFAPVYAEAFVPVKVPFQKGLGQKFRQPSGTGIDLGFFELDALSKPSSGEDVFPLVICAETTLPLFPTDEHLYDLLPSIATGPHMQITQAVLEKNNGDPFKVRVMRQILWIDGVRYELREIYGIGHSAESFNDTSSGTECVICMTEPKDTAVLPCRHMCLCSECAKALRLQSNKCPICRQPIEELMEIKINTVDR >KVH93618 pep supercontig:CcrdV1:scaffold_1783:88907:91244:-1 gene:Ccrd_004330 transcript:KVH93618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISFSSNRRRNNDYYHQNPPSQLISSSSYPSVDPSYSTPPYIYSAPPQPPPPQPHPQPRPPSGLPLPTPPVYQPYDGSNYSNNALIVRPNFGPYNHLQGNYNYGWVPPMRPPPMVAVPPHVSPPTYVDHQQAKKVKNHVNVHKDTLTVVVDEENPDCHLVSFVFDAMFNGRFREPQRLARGHILGVHLETCAARRRCSFLGLFS >KVH99495 pep supercontig:CcrdV1:scaffold_1784:6087:8352:1 gene:Ccrd_022270 transcript:KVH99495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRIFGSHCSFWTNFGVVVLCFGEWLLGFLKEKANALDETDAMVVFGGINWVMKYGNSKGLMEGGPCISPVRLVDKINSLIGQDSSSKYIIESFKTNRCFTDYSSEDDDGFQSIIEDDPFFLLPS >KVH99493 pep supercontig:CcrdV1:scaffold_1784:80633:81988:-1 gene:Ccrd_022272 transcript:KVH99493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MTSSPTVTRISECFVKPPDDHLSPEEKQPIHFTPFEILSLNIKYSQKGLLFAKPPPSENQDFSIPVFLDHLRRSLSAALTHFYPFAARLATRIQENPPSYVIYLDPENSLGAKFVYATVDATVSDILTPPDVPLVVRSFFDLNNAINHDGHTLPLLSIQVTELVDGIFIGGSVNHLIADGTSFWQFMAVWSENFRSKDRASISHPPVHRRWDESDPIINLPYTHHDQFIERFEPPPFKERFYHFSSPSVSKLKSKANSECNTDKISSLQAVIALIWRCITRARRLPPEDQTSCRLMVSNRRKMNPPLSDYYLGSPVQVVRATATVEDLMAHGLGWAALRLHEVVMNHDHSKVKEMVESWIKRPVIFKMSHGIDRNAIHVGSSPRFDMYGCEFGLGKAVAARSGGANKGEGKITMYPGREGGGSMDVEVCFGSELMMDMECDEELMSALMVD >KVH99494 pep supercontig:CcrdV1:scaffold_1784:25773:27334:1 gene:Ccrd_022271 transcript:KVH99494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQAVFIYTGHHLTGFHAVFIYTGHHLTGLGF >KVH91464 pep supercontig:CcrdV1:scaffold_1785:889:9470:1 gene:Ccrd_006509 transcript:KVH91464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLPKHLNLVALRDAYEDKNAVHIVMELCEGGEVFKEIVGSPWYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSENAKDLVKKMLNPDPKRRLTAQQVLEHPWLLDAKAAPNVSLGEVDLDGDGTINYGEFVAVNVHLKRMANDEHLHKAFAFFDQNQNGFIEFEDLRHALSDEANAEGIEGVINTIMLDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSSKLMKDGSLQLPANE >KVH91465 pep supercontig:CcrdV1:scaffold_1785:22497:27886:-1 gene:Ccrd_006510 transcript:KVH91465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-dependent bilin reductase MESLSSSSSFSTTIKPHPLLPRAKKVRCSCSMKAASAFSYQKFIQFALDETKYSTSALIPSSLQENFSTLKAMDGKTELRMCSFQAPKIRLLRSLSIEASDGIQVLDFAVFPEAEFDLPIFCANFFTSVNTNIVVLDLNPLHDVINETHYKEKYYKSLIPLGLKYSECADLTTDCMQLLPWGGKLTSESLKFFSPIVIWTKFSSSQEKHNTLFSAFSEYYKAWLNLMDQTTEETDDPGYHILKRLIGETRAKDVVKRFLFNGVNELGSKSFLDYFPEYECKDGSINEKRSIVGKSFENRPWDTEGVFIGDTCS >KVH91468 pep supercontig:CcrdV1:scaffold_1785:91651:100858:-1 gene:Ccrd_006513 transcript:KVH91468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGTGSFGKRRNKTLRNKAPFLNPDNLDGTNSDKKRKVVARNKKREGAKLPEAETTEIVVSTSPSEVYDGKISAGMSDKKKKKLKIKQRNKESTKEDGVCEYVPYSTKVGDDSNEQSGKHLQAFTESIRSFFTLSESASSNWNSPNSQTASINTDYLVSLGISTAASSNDILDGANSIKKRKKVVRKKKIKGAELPEAETTETVRRDDDDEEGKNSSAGMSNKKKNKLKKKKRNKENTKVEGVCELVRHSNEAGDDSNQQSKSKGATQLKDDASLLDEDEDEVYQISSGDEDETTGMKTAEGGWTVVKHIKGRKKTTDGESGTTMGSVAQAAVIDKMSKKKKDKQHV >KVH91466 pep supercontig:CcrdV1:scaffold_1785:37535:48459:-1 gene:Ccrd_006511 transcript:KVH91466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MRKSDTKTPRGIESSSHVEEVEEREPNPPTILLSSDSDVIIVDVKKIKKTKDITGTENDVEKGKRKEDCDKLKETDAFLEIDPEEKSDNSVLRKLLRGPRYFDPPDGSWGNCYNCGESGHTIANCAAAKRKKPCFVCGSLEHNAKQCKQGKDCFICKKGGHRAKDCPEKSKDGFQKAKLCLKCGDSGHDMFTCRTVYSPDALKELQCYICKCFGHLCCVNYATGARELSCYRCGQLGHTGLAMQHHIVIATNAERKAIRHRKRKTGFSRPKQSHLNNNRDHIGVKSAPHDLGEARKRNKIQHGQPTPLVLNRRGGWITEGRGGYYHGPFNANNWGSPSTPASYRSKNIFHGNHGASRYGSGLQYEPSGSNGGARGHGLGFQYGASGSNGGASGHGLGFNYGPSGSNVGASGYDSGFQYEASGSNGYRHRFSASRFGNSSNYGRREYGWDY >KVH91467 pep supercontig:CcrdV1:scaffold_1785:58350:89707:1 gene:Ccrd_006512 transcript:KVH91467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHLFSIVVLSLFVIVVPKPASISGVLAWDDGDGYDDDLLGSDDGFGSLFRQDYSPPAPPPPPPHPPSASCEDDLGGIGSLDTTCKVVSNLMLTRNIYVAGKGNFYVLPNVTVNCSVVPGCEMGINVTGNFTLGENARILVGSFELEAMNAAFADGCLVNTTSLAGDPPEQTSGTPQGLDGAGGGYGGRGAACLMDDKKLPDDVWGGDAYSWSTLQMPWSYGSKGGTTSKEADYGGGGGGKIMLVVKGNIEMNGSLLAEGGDGSPRGGGGSGGSIYIKAYKMTGSGRISACGGSGFGGGGGGRISTDVFSRHEDPKIFVHGGSSLGCPSNAGAAGTFYDTVPRSLIVDNLNMTTDTDTLLMEFPYQPLLTSIFIRNFAKAAVPLLWSRVQVQGQISLLDGGILSFGLAHYALSEFEVLAEELLMSNSVIKASNYFIQFMVYGALRMSVKMFLMWNSQLLVDGEGDRNVGTSFLEASNLIVLKESSRIHSNANLGVHGQGLLNLSGPGNCIEAQRLVLSLFYGVNVGPGSVLRGPLENATTDAVTPKLNCDSQQCPEELLHPPEDCNVMEFINSIVREICRVEDIMIEGLIEGSVVHFHRARTVTVHSSGTISTSRMGCTGGVGRGQLLSNGIGSGGGYGGTGGYACYNKTCIEGGLPYGDADLPCELGSGGGNDSIAGSTAGGGVLVIGSLEHPLSSLCVDGSLTANGGSYGEKAFSNPYDVYRGSGGGSGGGSGGTILLFLNALLLGESGVVSSAGGYGGPNGSGGGGGGRIHFHWSHIPTGDVYQPIASVKGNISIGGGLGGNDRGAGENGTITGKSCPQGLYGTFCEECPVGTYKNVTGSDRSLCFQCPPDELPHRSVYIPVRGGIAETPCPYKCISDRYHMPHCYTALEELMYTFGGPWLFGLLLLGLLILLALVLSVARMKFVGFDESPGPAPTQQGSQMDHSFPFLESLNEVLETNRVEESQSHVYRMYFMGLNTFSNPWYLPHTPPEQVRDIVYEGAFNRFVDEINALATYQWWEGSMYSILLFFAYPLAWSWQQWRRKMNLQRLREFVRSEYDHACLRSCRSRALYEGIKVAATSDLMLAYVDFFLGGDEKRSDLPPQLHQRFPLSLLFGGDGSYMAPLSLQSDNIITSLINQAVPPTTWYRFVAGLNAQLRLVSRGRLRAMFRTVVIWLDTFANPTLRIYGIRVDLAFFEATTGDYYQYGLVVSAVDEPEFISFENTAQEDQHTSNNDGPAFDSREFTSLLQHRRRAEKNISQKRSYGRILDINTLQAFNEKRDIFFPLSFIIHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISFVGVFLVLFILPLGILLPFPAGINALFSQGPRRSAGLARMYALWNITSLVNVVVAFICGYVHYCSQPSNKAPDFQPWNMEESEWWIFPVALVLCKCIQSLLVNWHVANLEIQDRSLYSNDVVAFWRS >KVH88024 pep supercontig:CcrdV1:scaffold_1786:26862:28640:1 gene:Ccrd_024593 transcript:KVH88024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MDNSKDSQDNRIKQLKAFDATKSGVKGLVDAAAGGAVDIPEIFIRPPDELAEDLEVTRTSLRIPAIDLNGVDHKQSSTREKIVEEIKQASERWGFFQVINHGIPMKVLQDMLKGVSEFNDLDVEVKKEYYSRDPERMVKFNTNYDFYMSRAANWRDTLVIDMLNSYHLDPEDLPSVCRDATINYLNHLTKLVDILFELLSEALGLETNHLKQLECEKGRTIACHYYPACPMPEQTLGVSKHTDASFITVLLQNQVGGLEVLHGNQWAQVEPIPCSLVVNIGDLLQIVSNDKFKSVIHRAFGNRSQARTSVGCFYHGVATPPKIYGPIKEIITKESPQMFREFTVRDYMMKFYSRRLDEKSGLNYVRI >KVH88022 pep supercontig:CcrdV1:scaffold_1786:71221:76460:-1 gene:Ccrd_024594 transcript:KVH88022 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MFTVSNSSLHLTQSHQFLSLLRSPFLSRERKLKASSMAFVSFLGRVLFVSVFVLSAWQEFNEFGNDGGSAAKTLVPKFSVFSKHVTAHTGFQIKILVAGAIALKGIGSLLFIFGSTIGAFLLILHQLIATPILYDFYNYDVEKKEFSQLFIKFTQVKHVLQHSELEMPLT >KVH88023 pep supercontig:CcrdV1:scaffold_1786:12647:13934:-1 gene:Ccrd_024592 transcript:KVH88023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MGISQDDRIKQLKAFDDTKLGVKGLVDAAAGGSVAIPKIFIRPADELAQDLELARTSLRVPVIDLNGVDDNGSSLREEAVEQVKQASEKWGFFRVINHGIPMKVLQEMLNGVREFNEKDVEMKKDYYSRDPEKLVKFNSNYDLYLSRSANWRDTLVIDMLNTYDVDPQYLPSVCRNATLDYLNHLKKLVDTLFELLSEALGLETNHLKLLECEKGRSLACNYYPACPMPEQTLGISKHTDASFITVLLQDEVGGLQVLHRNQWVDVEPIPGALIVNIGDLLQILSNDKFKSVIHRALGNASRTRTSVACFVDGVSTPAKVYGPIEELITKESSQMYTDFTVRDYIIKFFSRGLDEKSGLNHVRI >KVH88021 pep supercontig:CcrdV1:scaffold_1787:4146:11544:-1 gene:Ccrd_024595 transcript:KVH88021 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MAALIISISNIDGNLIPAPYQGTFNGLSILEKLRGKTIMFVGDSLSKNQCLSLLCMIHSFVPSASYVVKIKGAEGITTYNFTEIENLFDDMDYRVKVVYHHSLYLVDIVREKIGRVLKLDSITSGKLWLDADYLLPLGRLFTRGVNGLMPTWIQLNPSGNSWGEPKAHNCLGQTEPVPGTTYPGATLAALTVLKNELKYIKKPVTLLDITNLSLLRKDGHLSKYGLGGGTGMDCSHRCLAGVPDTWNVLLYHFFD >KVI03059 pep supercontig:CcrdV1:scaffold_1788:4302:7384:-1 gene:Ccrd_018647 transcript:KVI03059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVCESMAEDRSEGSVSNTVKNDQFMSADDYAPKARKPYTITKQRERWTEEEHKKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVRESTSGDASEVKPIEIPPPRPKRKPMHPYPRKLSAPLKTGGHHARSTSPNSSGSDQENQSPTSVLSAGGSNMFALGDSCSPNPGSSPVSSANGVKPGGSSRFEPDLSPEENESSPTVQEDDGPIPMKLDSCGEEKEKEKGFADEGSPEAGSAQSLKLFGKTVVVTDPNHRPSSPNVAVDPSEGNISIVNLIPQNLMPISSLPCGAPVYYMQFLDEHSDSSVLRSSTTAAAPWWSLYGGITYPVMQPCNPATEMQNECSNNSESENGGANEEQKKAFLVIGSERSAFTKQYGGGKKKDTCIKGFVPYKRCLAQRESHSHSTGEEREEQRVRLCL >KVH95132 pep supercontig:CcrdV1:scaffold_179:128292:130869:1 gene:Ccrd_002771 transcript:KVH95132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEANPETDVMATAATPRRPRSVEDDEDSRSLDVKVVGVKSESESEEYEEFEDKPTKGEVIGWCFYELCSYFTHTVLLTIVFPLIISQSFGSEPLQPARGWYTNGKGRHICTKKETLLFEALTYARVKVGSMKFSPLEWTSISWFFGLIVAAPLLASVSIHLDHRRNSHVMAGIATAIGAIFCLPAGAIKTVWIMPPYVAAIVASNAVGSAFHNRHLGLMVRGFVGSTIRKLQFPDRQAVSSWLSLYATAGGCLGSAAIASFTYYMLRNSEGFISLWVVSIFSGILWFAGIAHILTATRSNGNESSYNNDRVSGSHFISIFKYPHAAGSLVGVFLSSFSTMSIFTGGLLYIVGQLCVPPKDLLFVWLTYFFFPLLVLPLLQPIQKLIRSDAVKMQIFGFLLSTLTSGMGFYHRHESWHNSHILFFAASQSIASGVLHAYSRILLMDCAPSGNEGVFAAWFSWVRMLGAFVGFAIGSSGTGNINRSFGTAFAAAIVGIVALIFSNVSSYGGAVAAGHVHKRRETGSPVRGSDDIVNAKGKGDSEEPQDRSIEV >KVH95143 pep supercontig:CcrdV1:scaffold_179:228236:228856:1 gene:Ccrd_002786 transcript:KVH95143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MSKNSTAGYGTVTVPVPVPVPVSTNTTEAPPPATPHSMIARARYHTENFIARRRPWKEFLNYSAITRPLSYDDAMGRFKRNLNYFRVNYAMVILLILFLSLIYQPISMITFLIVFIGWFFLYFFRDPRSPVVIFNHVFDDRVVLMALSLLTIFALACTDVGVIMLVALAVGAAVVAIHAGIRSTDDLFLDEQEAGDGSLVSVVGDK >KVH95166 pep supercontig:CcrdV1:scaffold_179:375827:381959:1 gene:Ccrd_002797 transcript:KVH95166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAVGGVGVAGEEARRAHAAMTMVQLFAGGSHVITKVALNFGVSRLVFCVYRDVLALLILAPIAYFREKRTRPPITKRFLLSFFFLGLTGIFGNQLLFLFGLGYTTPTYAAAVQPSIPVFTFIFATIMGTETVNLLRTEGQAKVGGTFVCVCGAIFMVMFRGPVLFGNSENDLGTHNEISARGQPEPAGWLLSIFIGLGFDNWHLGVLCLIGNCMCMAAFLAIQAPVLARYPASLSVTAYSYLFGVIFLVSTAFLMNNESTDWSLTESEFWAVLYAGTISSALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRIFLGSPIYMGSIVGGFLIISGLYLVTWATYREKQQAALGGRHPRASESPLIGHILSGTPTSLPKIMD >KVH95139 pep supercontig:CcrdV1:scaffold_179:200422:205899:-1 gene:Ccrd_002782 transcript:KVH95139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein MSLFGLGRNQRTFRPKKSAPSGSKGAQLRQHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPEICPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEFLMDWIESQLDDESIFPQRLGAPFPANFRDVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFILFTCVSLLQTHQQSFEFSLIDKKELAPLHELIDSIVTY >KVH95169 pep supercontig:CcrdV1:scaffold_179:323408:324350:1 gene:Ccrd_002792 transcript:KVH95169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQPDASSAGAGGNNPSSGGNPPSAGQPLPGGYPPYGEHPPSTGGNPPYGEHPPSKGGNPPHGEHSPSTGQPSPGVYPPHGDHPSQGYPQSGYPPQEYPQGYSPQQYPQGYPPQQGYPSQGYPQGYPPQQGYPPQGYPPQQGYPPQGYPPQQGYPPPQGYPQGYPPSGYPQGGPHGAYPPSGYPGAYPPAGYPGAHPQGAHPGQHGAQKGEKGAGGVMGVIAGGAAAYAMGHYGHGHGHGHGYGGKYKHGKHKHGKYKRGKFGKHKGKGKFKIWK >KVH95146 pep supercontig:CcrdV1:scaffold_179:695:4096:-1 gene:Ccrd_002757 transcript:KVH95146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSVKTVKVSNLSLGASERDVREFFSFSGDIVYVETQSHNERTQIAFVTFTDSQGAETAVLLSGATIVDTTVNVTLDPEYQLPPAATAASPPYGSNAPPAGGESALRKAEDVVTSMFAKGFVLGKDAVGKAKTFDEKHGLTSTASAKVASLDKKIGFTEKVNVGTSIVSDKVKEVDQKLQVSEKAKSAFTAAENTVSSAGSAIMKNRYVFTSASWVTGAFNKVAKAAGEVGQQTKEKVDKAEDEKRRKMVDDYAHVHLSDSPMAPDSTEQQRPSKPAPVTGLVL >KVH95156 pep supercontig:CcrdV1:scaffold_179:76937:84032:1 gene:Ccrd_002766 transcript:KVH95156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIS, N-terminal MRKQPLLVPRDQQRQAASEEAESNEETAASEETACEQNRTEKQQQANSVKVQQRAGAQEQPCVVSSGTAHVQVGRRNSSCAKSCAGTAACRSEGSSSWSQNYDSPAPLFLFLFVHLSAFIPLHPSIYFTSQVLTRSKVFPCKMGYENDPYRDEDGEPLMDYDDGFQSDHGDDNNNQQQHLLDDNLDDELEDNDWQSSRQERSPTPVFNESDSKSKPRKRLIKKSTAEETLPDFGISDDVEDEMAAFVRDDSDSGGGKRKKFSSGGGSSKKREKKSSSSKFMDRGGRASEKGGSKFKVNRSGRSGGDDAEVKEMWDTIAGDDSEDDKEVPRMADDDQFIDDSGVDPADRYGSDHGGYSPSRAPQAEEGEEDDEMKDLFKMGKKKKKSEKSAAEIALLVENVMAELEVVAEEDADLNRQSKPAINKLRKLPLLTEVLSKKQLQLEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAILKILTEFPIDLDQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNYEDERIPIRRPSMKKPMNKASGMQSRDDDLDLAEYSQLHASRPEAMPLDFVVRPQSKIDPDEIRARAKQVVQDQRRLKMNKRLQQLKAPKKKQLQATKLSVEGRGMVKYL >KVH95149 pep supercontig:CcrdV1:scaffold_179:109436:112776:-1 gene:Ccrd_002769 transcript:KVH95149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVHRYGGGGGGGGGGGCYEFESGGVVMTRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQAKKQNNGDPNNENSGDSYEHYNLHSTGTSSNSVRANHEAGDIPIADALLCQIEAQKRLQEQFEVQKKLQMRIETQGKYLQGILENAQKSLSLDMNSTGNLEATRTELTNFNLALSDLMDNLSGGERREENGKKGGSTAVKEDMKIKREGGGGSISFDLNTGGNYDFIGTNPDLLALGFTRHN >KVH95142 pep supercontig:CcrdV1:scaffold_179:237948:243572:-1 gene:Ccrd_002787 transcript:KVH95142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPSDSSSASDERAIDIGGRTYQLYWCYQCHRTVRIASDNPRIMCPRCFGQFVYEIDIARPRLVVEFTAFDPSPEARILEALSLMLDPPVRHARRFSDVGIQPPWNQNHGRRWPWRRHRADGNSPLDDTDGWGSESGILARPRSRSWIILTPNNLPTDVRDGSNNNDIDDNESEGVVSRGIDPRNYFDGYELNGLIDELTQNDRPGPPPAPGPVINGLPIVKITQSHLLNDSQSCAVCMEDFKVGGEARELPCNHIFHSDCIVPWLRLHNSCPVCRKALPVPSITTDGSSDSSDDGMDSSINGRGRRQRCLRWRRGTFVAINTWVEILSASIFFHVNIFWRFVLWSLSLITLPVRALGALQREKQLKDLKDENLRLQEVHGKSHWDTGTQVGAGYGYSEKRRDAKVSDFKDDISPWKSDHYQSGKGLTDILMHKNAFEDDNRGKFDANDSVKTNGVSLMHQIIPGVEILGEWKEVALSQSLFSTILSLLVGMVVWEAGDPCMPLITALFMVVGMSLKSAVGLFSTIENKPSSDAVALLSLNCFILGTLTYPTLPRLVRLLLPFFTESFPQALGRFLALFTS >KVH95153 pep supercontig:CcrdV1:scaffold_179:27917:29950:1 gene:Ccrd_002761 transcript:KVH95153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II domain-containing protein MAAVHSPRCITHFTSFKTLSTASYKSNLPPSFLQPHIRRHSSPMASSSDAAEVPATGNSETASDVLVQYVVLRRDLIDTWPLGSVVTQGCHASVAAIWSHKDDPHTIDYCSPTNLDSMHKANTLSHFSFWGGAEFISMESWICVPLLLLKIVKVTLEVKGEVQLKNLSEKLTSNDIAHKLWIEQPENTPTCLASKPYPKSVVS >KVH95164 pep supercontig:CcrdV1:scaffold_179:161830:172316:-1 gene:Ccrd_002777 transcript:KVH95164 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF59 MAPALINANPVVYEKKERPTRSRPGDGAFLHHIRDIKDPEHPYSLEELKVITEDAIEVDDKRSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRFKVDIKVAPGTHATEDAATKCKDLRIGSPKPSAQITYKTQISIEGWKQTKTYDATKSGVSASTDINAPENFFKAAIPRRLQTKFVVATPLHDRQEYCTNRTAPDEYCEENLGTVKPPSPTDSDVN >KVH95159 pep supercontig:CcrdV1:scaffold_179:179518:179915:-1 gene:Ccrd_002778 transcript:KVH95159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQSQSDALPPASHCQTDQFRSAMPRSEPHGRWLPRSSSTHNVIRSRRWMGGSESTVVVPIR >KVH95154 pep supercontig:CcrdV1:scaffold_179:22194:25198:-1 gene:Ccrd_002760 transcript:KVH95154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVFVCDEDERIVGQKHAPGNCPYCGGLIQAMDIESQWRLCFLPLYYKTKHVRQIWKNPESPVISIGKLVLSPIFKFTLYLYYIVVSDRYRKAFLSSMFISLTFMCV >KVH95140 pep supercontig:CcrdV1:scaffold_179:223069:227112:1 gene:Ccrd_002785 transcript:KVH95140 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MNSNNHDGTHHHHLQPHTDNTSSRSQPQQQRRPRGFAAAAMGVSTVTTTINNNPRGSRNEREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWIVEPDGTTFRQSPPTSKLVRSFFFFFFQIMSVESPLSSSSLKSFPVKASLDFPPSVLRIDESPSPASLDSVVVPGRGSTECEKYGASPINSQDCLETDQLMQEGHMVEQVFNFLGTAYIPVYVMLGTGLINKFCQLIDRDAVRKELRLLKSLHVDGVFVDCWWGIVESQGPQKYNWSGYRELFNIVHEFELKLQVGLAFHEYEGNDSGGISIPLPQWVLEIGKENKDIFFTDHEGRRNTECLSWGVDKERVLKGRTGAEVYFDVMRSFRTEFDDMFVEGLITAVEIGLGASGELKYPSFSERMGWRYPGIGEFQCYDKYLQQNLRKTSSLRGHTFWARGPENAGNYNSRPQETGFFCEQGDYDSYYGRFFLQWYARSLIDHANTVLSLASLAFEELQMVVKIPAVNWWYKSRSHAAELTAGYYNPANRDGYSALFEVLKKYSVAVKFVCSELQISSDASDESLADPEGLTWQVLNSAWEQGLSVSGQNAFLCYDKEVFMRLVETAKPSNDPDHHHFTSFTFQPPLPLVERTICFSELNHFIGCMHGENAGTEM >KVH95172 pep supercontig:CcrdV1:scaffold_179:316321:322926:1 gene:Ccrd_002791 transcript:KVH95172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MGWKNPFKEVTNSKPLFLTVYGTVLIGILFSAIYVFSAVYTPDSAFSWGLSQHVAPSSPNQSLDSYPLRVGGGLMAPMPQPHGGKLKPIWEIPPPGSKIPPLETFRLTKELVQERVKDNVIVATFGNYAFMDFILTWVKHLTDLGVENLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVILIDSMLPFGYELLMCDTDMVWLKNPLPYLARYPEADVLTSTDQVTPTVSDDRLDIWQQVGAAYNIGIFHWRPSDSAKKLAKEWKDLLLADDKIWDQNGFNDLMHRQLGPSVDEESGLVYAYDGSLKLGLLPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREAMVFYDPPEYYDSPGGFLTFKPSIPKNLLLDGEHNLQTHFTLVNYQIKQIRTALAIASILNRTLVMPPLWCRLDRLWFPHPGVLIGSMTRQPFICPLDHVFELTETSAVLQVHTMLKALPEEEFGPGIGIREYSLFENPLMPAQVKESWLDVQLCQGGSENCQSNSTNTRLLRFPRHSNEETTREEKFRNRVKRYVGIWCCVDKHTPGHIYYDMFWDEKPDWKPLPPQTPEDDHPHW >KVH95168 pep supercontig:CcrdV1:scaffold_179:341640:343651:1 gene:Ccrd_002795 transcript:KVH95168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrator complex subunit 3 AHRTQRLPRLLSFTTADGGRRTKKVTTGAFNREFTIHNCSIVFFTGSPPRFWISATLMASRLIHKATYEADNSFDVSLRESFQLLEPQLRPPFSLTIPTQTEYLNLNRAILYGILSETHLAKVHIKHLHGIVIDGYSFFTTLLTRMVNELYTKLVENVKVQLIWVTSEMIYVSAVGVDGLLVALLRQTRGGDFSEANLWLCSELVGLISAKWDCLLDEQPLILSSALYVFLRLLADHCRVSLNSKIEILKQKEIEFCIRVFREQFHLCLKIGRDLIRLLQDLVHVPEFQDIWKDLLLLPANFKTSGFSDISQLYRSRTSSRYFLLRITPEMEAHLRFLLTHVKLGSQTRYQIWFARKFFNVPDSETVIIDIVRFICCAHHPSNKIILSSVIPRWAVIGWLLKCCTKSHVEANVKLALFYDWLFFHEEVDNIMNIEPAILLMINSIPKYVDMTQNLLEFLFLLMDHYDVDKKELIVGCISSVLDVLERRGVVQSFDALISCDMVSPFLKERFLKMLASRNASAFNNSQPAFLSCQRL >KVH95174 pep supercontig:CcrdV1:scaffold_179:390421:402812:1 gene:Ccrd_002799 transcript:KVH95174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein MELQEEKDEGLDDDDEAIKLQKKAPSDKEVEEEEEDNKGADDADEKEEKNNNKSSIKRTLTVCKMGEIGGTSMAGDVNFGKMVFEPILEEGVFRFDCSADDRSAAFPSLSFVNPKVRDATVMSDHKVPSYIPTSQYLAEQQILPAGTSFYGTGEVGGELERTGKRIFTWNTDAWGYGSGTTSLYQSHPWVLAILPNGEALGILADTTKRSEIDLREESIAKLTAQSPFPVVTFGPFGSATDVLTSLSHAIGTVFMPPKWSLGYQQCRWSYDSDMRVREIAKTFRDKGIPCDVIWMDIDYMDGFRCFTFDQEHFSDPKSLADDLHHIGFKAIWMLDPGIKHETGYSVYESGSEKDIWVQTSDGKPFVGEVWPGPCVFPDFTQEKARSWWANLVYGMLMARSTYQGMKLANPNKRPFVLTRAGFIGSQRYAATWTGDNLSTWEHLHMSISMGLSGQPISGPDIGGFAGNATPKLFGKWMGVGAMFPFCRGHSEKGTIDHEPWSFGEECEEVCRLALKRRYRLIPHIYTLFYLAHTKGSPVAAPTFFADLKDRQLRTNENSFLLGPLLVYASTVSDLGVHQLQHTLPNGTWMSFDFQDSHPDLPALYLRGGSIIPFGPAHQHVGEANASDDLSLLVALDENGKAEGVLFEDDGDGYEYTNGGYLLTTYIAELKSSVITVSVSKTEGSWMRPNRRLHVHLLLGEGAMIDAWGTDGEDVQIIMPSDTEVSKLILDSRNNYKMKMETAKRIPDVEKASGNKGIELSGTPVEIKCGEWALKVVPWVGGRIISMDHLPTGTQWLHSRVEINGYEEYSGTEYRSAGCTEQYTVIDRDLEQAGETESLKMEGDIGGGLALERNISISEDNPKLFKIDSSIVACNVGAGSGGYSRLVCLRVHPTFSLWHPSESYVSFTAIDGSKHDVWPESGEQFYEGDRRPNGEWMLVDKCLGLGLVNRFNIDQVYKCFIYWDCGTVNLELWSEDRPVSKQSPLRISHNYEVTRIL >KVH95148 pep supercontig:CcrdV1:scaffold_179:12841:19807:-1 gene:Ccrd_002759 transcript:KVH95148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF760 MQVSGGVFSDVTAVFYPSSTPPCCARSIEFRHVHAFSESKFLPSIGFLKSSPFPMSRVGGESYRARSCIAKASGGSGNGLVPVAPLQLESPVGQLLEQILQTHPHLLPAAIDQQLENLQNERDAQKEESAPPSMDLSLYKRIAEVKEKDRQKVLEEIMYCWIVQKFVDKEISMIPKVSATSDPAGRIDYWPNQEMKLESVHSAEALEMIQNHVALVLGDRLVGPLESTVQISKIKLGKLYAASIMYGYFLKRVDERFQLERSMNTLPEGYKEQQSSYSEPPVPQSPFWDPDSLIRIQPDYDEEESFMSSSGDDGKSYRLRSYVMYLDAETLQRYATIRSKEAISLIEKQTQALFGRPDIKISDDGSLGASNDEVVSVTFSGLTMLVLEAVAFGSFLWDAENYTESKYQFLKS >KVH95150 pep supercontig:CcrdV1:scaffold_179:103714:106743:1 gene:Ccrd_002768 transcript:KVH95150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin C/H/T/L MSELFCKTVATVCMFLAGKVEETPRPLKDVILVSYEINNKKDPEAIQRIKQKDVYEQQKELILLGERVVLATLGFDFNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPSQTSEVVDGSIGGGVTQKAPTRALAVDEEHATNNNNSQVGAATSRPGTSNPASRPLPDQSYPDNHSGPPRASQNRSNDYGNTEMKGDVEVNESQQHYGPEDREMETNTYYGSEGHGEETRERGGGRNETREPEAKEKYQGRNLDYKGQSPQDAIKKIDKDKVKAALEKRRKTRGGDVTRRTDFMDEDDLIERELEDGIELAAESEKNKQERKHNWSKPANQVDDEAEDGQYHSSTKGQSSRSQDFDPVEEGEVGMFDEYRSPKSNNRKRKAGASPRRRN >KVH95161 pep supercontig:CcrdV1:scaffold_179:133349:136521:1 gene:Ccrd_002773 transcript:KVH95161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYRRNLLSFHRRLFQTASSITTNTSIGFYPNTEEELISKLASVLQSCSHHNPDPHVIQQGQQLHAQIIVNGINYVGLLGSRLLGMYVLCGKLFDAKTMFYQLDLFYASPWNWMIRGFTMMGCSDSALLVYFKMLGCGTCPDKYTFPYVIKACGHLGAIGLAKSVHHTIRIMGFETDVYVGSSLIKLYTENGCIDDARNLFDKLPQRDDVLWNVILNGYLKHGDSEHVLLLFNQMRTSETRPGSVTYACVLSACASGANITLCTQLHGLLIKCGLVDDPQVLNTLIGGYAKCQRLFDACELFDSVQEAGSVTWNVIIGGHVQNGLMNAASDLFRKMISVGTKPDTITLASFLPSVSESTRVNQGKEIHSYILRQNVHLDVFLKNALIDMYFKCREVEMARNVFNCSTAVDIVICTAMISGYVLNGMSSDALEIFRWLVNQQMRPNAVTLSSSLPACAGLAALKLGKELHGQILKNRFERRCYVGTAVTDMYAKCGRLDLAHEVFMRMPEKDAVCWNSMMTSFCQNGRPEKAVDLFREMGAKGAKYDSVSISAALSACASLPLPGFGKAIHGFMTRGALKNDLYAESALIDMYAKCGNLESARKVFNIMQEKSDVSWNSIIAAYGNHGLLQECLSLFHEMKEFGFQPDHVTFLAIISACDHAGLVDDGYCYFKSMIDDYKIAARMEHYTCIIDLFGRAGRLSEAVETIKSMPFDPDAGVWGTLLGASRVHGNVELAEMASTHLFEMDPKNSGYYVLLSNIQANAGKWEGVDKTRSMMKDRGVEKVPGYSWIEVNNNDTHIFGAADSANPRSDEIYTLLNNLVLVIKDEGMVCNDSETL >KVH95147 pep supercontig:CcrdV1:scaffold_179:5634:11684:1 gene:Ccrd_002758 transcript:KVH95147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MSFQDIEAGRPLNSRRGGLNNGMRKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSDKLKQASETDHRADVSFADDYVLIILLCTGDYSNGACYATQYTLEHFHTGGGDEYVFCSGHVEVQLQASKKITDAKLAKDFQAVLKEFQKAQRLSAERETAYTPFVPQSAFPSSDPASEMDASSGKSQEQRALLVDSKRQVLHFLLLILRQTCRIAHHSEVLLLDNEIAFNEAIIEERDQGIQEIQNQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHAATAQAKSHLAKASKTQRSNSSMTCLLLVILGIVLLIVIIVLAV >KVH95157 pep supercontig:CcrdV1:scaffold_179:67460:69287:1 gene:Ccrd_002765 transcript:KVH95157 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAATDATSTGDDINEESINKWESLRLKTLAEQTYSSSDLKLAIKYAKRAQRLCPTLDGVSEMLTAFKILSAAANTIEATTTPHWYKILEVEPFSHINTIKKQYKKLALILHPDKNTFTASEDAFKLVNEAFSVLSDKVRRKEYDMKLRIALQTAVEAEVESGGGAVAEDTFWTACSTCRLLHQFDRKYFGHNLMCPSCRKTFKAMEVEDGKKAMVGDERDGVDTMESDRIRNVSDPKRKMSSVGEIMKRSEQSKKIDRSKIENVSKLSTGLNKEDNGSRVRDNEPLKEKTTNDEDEEDMMTLAEIQRLVKTNVKEDNLKVKGKDKVQRSRNSKNEKSGNSKNKKSGSKRADSRNETNGEGKKNQDIEIMPVEDSDFYDFDKGRVERSFKKGQVWALYDDDDGMPRHYGLIDEVVSTHPFEVDMAWLDPQTNCDEGLISLERMGFHISCGMFKVSKKTKITSLNVFSHIVDCERAAREVYRIYPKKGSVWALYSQNDELVRENRRYDIVVFLTSYSEVYGLSMGYLQKVNGFKTIFRRQEIGCHAIKWLEKHVVRLFSHQIPARKLSGEEAADLSGDCWELDPASLPPDLITVGRQNQGLLVHK >KVH95144 pep supercontig:CcrdV1:scaffold_179:260860:273497:1 gene:Ccrd_002789 transcript:KVH95144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex AP-4, epsilon subunit MGSQGGFGQSKEFLDLVKSIGESRSKAEEDRIVLREIETLRRRITEPDIPKRKMKEYIIRLVYIEMLGHDASFGYIHAVKMTHDDSLLLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNFLVVCAALNAVCKLINDETIPAVLQQVVELLYHPKEAVRKKAVMALHRFYQRSPSSVTHLVTNFRKKLCDNDPGVMGATLCPLFDLIMIDVNSHKDLVGSFVSILKQVAERRLPKSYDYHQTPAPFIQIKLLKILALLGSGDKQSSEQMYTIIGDIMRRSDTTSNIGNAILYECICCVSSIHPSPKLFGAAADAIAKLLKSDVHNLRYMGIDALGRLIRINPEVAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIEYMISINDNHYKTEIASRCVELAEQFAPSNRWFIQTMNKVFQHAGDLVNPKVAHNLMRLIAEGFGEDDDTADSQLRSSAVESYLRIMGEPKLPSTFLQVICWVLGEYGTADGKYSASYIGGKLCDVAEAHSSDDTVKAYAVTALMKVCSYEKAAGRKLDMLSECQSLIESLSASHSTDLQQRAYELKAIISLDGIAMENIMPLDASCEDIEVIDKSLSFLNSYVQKSLEEGAKPYLTEHERSRTRNISNFRNHDHHDASTHSLRFEAYEVPKPVISARLPPTASLTELAAVREQSYQREVHRSPSVGSLSDKGSQELRLRLDGVQKKWGRPTYSSAASPSTSDSESHTVNGTGKGKSFEPSSRRQPEVEIPEEKQKLAASLFGGTTSKSEKKQSGGGIKGSKATRSTADQTRATTAVVHPPVDLLDFGEPSGAASGSSSTVDPFKQLEGLVDTNQYSNVSKDPDLLSLYSDAASTSGQNQNQETGNLSHGSGNRTTKGPNLKDALGKDALVRQMGVTPATQNPNLFKDLLG >KVH95145 pep supercontig:CcrdV1:scaffold_179:244449:246424:1 gene:Ccrd_002788 transcript:KVH95145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0114 MKPARLLTTNPSRPILFKPPTLGSNVNWVYGRFRPPGVRFPLACLATSSTHDTHLTASPTKIAEVGSSGVFPDSPYQGKSQRWEENVEKGIYNCRFLTLLAVLGSLIGSFLYVYLLGTVMLVFGMGLYELFISNLDLAQSTSEKTTTHQSNLFGLFVLKRMILSCSTDNDSLLRETFQERPKWLEIKSVNALKTKLGHVIVMLLLIGLFEKSKKAAILTATDLVCFSGCVL >KVH95133 pep supercontig:CcrdV1:scaffold_179:132321:146758:-1 gene:Ccrd_002772 transcript:KVH95133 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP-like protein CSLVGRERRKSNKSLDTVQDFWSQFQKEVKEPVMNRRDDPNPFDEEVNPFSNGSATPGSKSRIPQMVASTLGFGQKHDATVDIPLDSMNDPKKKEKELSNWEADLKRRERDIKRREEAVAGAGIPTDDRNWPPFFPIIHHDITNEIPVHAQKLQYLAFASWLGIVLCLTFNVVAVTVCWIKGGGVKIFFLATIYALLGIPLSYVLWYRPLYRAMRTDSAFKFGWFFMFYLVSYANEQSHMWVVAFFTIPITIGIHFILQLHLGFCIFAAIAPPIVFHGKSLTGILAAVDVFSDHILVGIFYLVGFGLFCLESLLSFWVLQVVR >KVH95134 pep supercontig:CcrdV1:scaffold_179:156128:159498:-1 gene:Ccrd_002775 transcript:KVH95134 gene_biotype:protein_coding transcript_biotype:protein_coding description:HRDC-like protein MKILKENGGLLTNFEVLDFLRSRGAAKDPTRVLAPLAPSEFKVYDFLEQSAACSQTRESIAEFVTKCKPYKLTKSEIVSIVNVRPSSAVEIDPLIEDLDSRLGESAEELVELVLQVFPPPPDQSKSDE >KVH95165 pep supercontig:CcrdV1:scaffold_179:350909:354091:-1 gene:Ccrd_002796 transcript:KVH95165 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAMSLHSVVPTPEDSTATTTISNPMEMVKPHHDYSSYLAYPVQPHAHSQSFLQLALYPITLKFEDVVYKVKLEKKGTCCGGAASTKEKTILNGVTGKVCPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNSRPFSGSMKRRTGFVTQEDVLYPHLTVTETLLFTAMLRLPKSLTQDDKVQHVERVISELGLTRCQNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRLYHMFDKLVLLSEGSPIYYGPASTALEYFSSIGFSTSITVNPADLLLDLANGIAPDSMHEYDQGENTEQERKLVRQQLVTSYETKISTRLKVDLCSLDVSNHNHAKEALTRNHMKSEQWCTSWWYQFKVLLLRGVRERRFEAFNRLRIFQVISVAVLAGLLWWHTPTSHIEDRIAMLFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFTFILYWMGGLKPDPATFVLSLLVVLYNVLVAQSLGLAIGAILMDVKQATTLASVTTLVFLIAGGYYIQQIPPFIVWLKYLSYSYYCFKLLLGVQYNKNDLYECSKGVYCHVADFPAVRSVGLNNLPIDLLIMALMLIGYRLVAYMALQKVR >KVH95136 pep supercontig:CcrdV1:scaffold_179:196635:199694:1 gene:Ccrd_002781 transcript:KVH95136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFAGHVVPGTLFLVVGVWHIWCTVVRYAADPKSFRVRVWNPVPGFNGKLKYLELYVIAVGAFIDMCIELLYSTHLKFFVNGVLNPHHMNDFEHGGMLLMFFVFSIIALLSEKTSFLPLPEGALCLISATAFCAEYLLFFFHSTTHKGLEGHYHLLLVLLVSLCILSIVCGAIVPTSLPADLCSGIAITLQGLWFYQTAFSLYGPMMPTGCKLNLNEITCSSHDHEVRGQLLANLQIFGLVFLVLAGVAGSYILADKRSGKGEFRMLHMTPDDGFHEQL >KVH95131 pep supercontig:CcrdV1:scaffold_179:185189:186309:-1 gene:Ccrd_002779 transcript:KVH95131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVEFHPQCDKKPPVDGWLRISSCGAHPWPDAPDRKLGLFSEVLMSKATEPSTSSSQRGFWPGVGGPRPLNPLGGPVFPSAGRQAGNHSDLTWLHVSYLCLPLPVIHGGCDCAGSEERHHRDLVIYENLLAPAHHDVNHNTRGSDSGSCGQERLDSFTHLYVLIVKRVPHRSWWRNLLFSRSGFVGRLRWRRDGDFDDMNKRGVVGKGGGYR >KVH95163 pep supercontig:CcrdV1:scaffold_179:161718:167257:1 gene:Ccrd_002776 transcript:KVH95163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain-containing protein MAALQYLDSLRSTHPELSDWYNTLADLYQRKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLDGVIEKLQATKETRIEEPVLYIKMQIAIFNLEKGDQKECKNLLEDGKSTLDSMTDIDPSVYSNYYWVSSQYHKSRQEFAEFYKSALLYLAYTSVESLSDSFKLDLAFDLSLSALLGENVYNFGELLAHPIIKSLLGTKVEWLYYILEAFNSGDLIRYQELCRVHGASLSAQPALVDNEKKLLEKINILCLMEIIFSRPSEERTIPLSIIAERTNLTVEDVEHLLMKSLSVHLIEGIIDQVDGTVYVSWVQPRVLGISQIKSLRDRLDGWVGKVHTALISVEAETPDLVAS >KVH95162 pep supercontig:CcrdV1:scaffold_179:149049:155025:1 gene:Ccrd_002774 transcript:KVH95162 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MPTISDSITKAEKKSKNREHKRKMEEPEPEAEVVVESKKDKKKKKKEKGEKVENANGSVNGSEAINGSEELSEKKKKKKKQKTEEEEVKNNGVEVDEVRKTEDNVVVSGKDISDSKYKALNSFSESKLPEELLECCKNFSKPSPIQSNSWPFLLHGRDFIGIAKTGSGKTLAFGLPAMMHILNKRKNKTTKKVTPLCLVLSPTRELAQQIFDVLAEAGKPSGVRSVCVYGGTSKGPQISALKSGVDIVVGTPGRLKDLIEMGVCQLQEVSFVVLDEADRMLDMGFEPEVRSILSQTSTVRQVVMFSATWPLPVHQLAQEFMDPNPVKVVVGSEDLAANHDVMQIVEVLEDRARDERLVTLLEKYHKSRRGWKVVSISGDKQQKARTEALALFKDGSSPLLVSELFFDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFMKENKGLAGELINVLREAGQNVPANLLNFGTHVKKKESKLYGAHFKEISADAPKATKIKFDSDDED >KVH95167 pep supercontig:CcrdV1:scaffold_179:335194:340433:1 gene:Ccrd_002794 transcript:KVH95167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MDRLREEKIQRFEEFVDRRLKPDLVRAIAERDKVFEQQKVFSDLRKNLENLEKNSVTSLRSMVNLGSEVYAQAEVDSVLTPKALHVCRPDTRHIFVDVGLGFHVELTWSEALSIIPAREEKLARQIEEYTRLIAQIKAQIKTVSQGIRELLQLPTE >KVH95160 pep supercontig:CcrdV1:scaffold_179:118300:123782:-1 gene:Ccrd_002770 transcript:KVH95160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLRRLFPPKAIGNLQRFLQHSRFSYPSSTPFFSQFFVGSLHFLVSRHAYSRNMCSGANYEPQYDASVALNLDDRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSANEDIVMVSNGCLCCTVRGELVTMLLELVKKKRDKFDHIVIETTGLAKPGPVIETFCTDEQISRHVKLDGVVTLVDSKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVSKADLEDLTKKIQHINGMAQIKQAKFGIVDMDFVLGVGGYDLDRIDSEVPSVGSHCENHDHNHEHGHGCHKGDHHDHKHDSAVSSVSIVSEGTLDLDELDDWLERLVEEKGDDLYRMKGVLSVSDSETRYGVHSTLDGCPGKPWGPDEKRINKLVFIGRNLDESALRKGFKGCLV >KVH95158 pep supercontig:CcrdV1:scaffold_179:57552:65668:-1 gene:Ccrd_002764 transcript:KVH95158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGSRDMDKPAKEAKDSAKETPTSQEQSSGNAMGAVPDWTGFQAYSPMPPHGYLASSPQPHPYMWGVQHLMPPYGTAPHPYVAMYPHGGIYAHPSMPPGSYPFSPFAMPSPNGVAEVSGNTPGSMEVNGKSPEGKEKLPIKRSKGSLGSLNMITGKNSEPSKAGASANGSYPKSAESGSEGSSEGSDANSENVEFPNEIRIQARFYGRFGSGEASQNGNTVHGSQNGGPNTPHSVVNPTMGIVPISAGATLGSVAGPTTNLNIGMDYWSGANTSNIPAMRGHVTSAPVAGGMVAAGSRESMQPQLWIQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAETLKEENASLRAEVSRIRSDYEQLLAENASLKERVGESQEEARENHQTEVVQSG >KVH95155 pep supercontig:CcrdV1:scaffold_179:84819:109215:-1 gene:Ccrd_002767 transcript:KVH95155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISDLQTLKRHRVMDHERVNHEKTVRVGFPANSIPSSSSLSIRSSSSMKSVLRVTSPPREGVSMRDLSMFFVNSKSSRGSFLSNAASNAPINNFTSLRSDSHNNIIKIEVAVQYHPKKIQVRNCGGSKHLVQGGNVEERPHCLEQEKWVNTFMRISSFFELRFVCGALSKRRYDERESGRISKEASSATQLTLSDYNLHPSRVTYSAESLEALNDSRCQSLNGLFGGERCNAFRYSYRRGLRDFIPEKASCLPQQ >KVH95173 pep supercontig:CcrdV1:scaffold_179:387477:390794:-1 gene:Ccrd_002798 transcript:KVH95173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSLFGFCHQHQMTSSSMCGPTLRCGDDKPKKMANFATPAALLLQNKRKSSCSATIATLKTHHFSPPKSYHEVLVAAREKFTQEISFRSKDKDISLAKTLLYISAEDEAFMAFNREMDAHSLKNERKDTNRLHWKRASRTNHGLMLTSPLRPIHDDNEKWSKTDSSSMPLLRPQDLRLAIMASEKLLILQPHNWALRRDHGMMLYYSRDYAQAVQELSICMAFAPEEEAEVLEPFVEKLHLLRLESSWKSRGHKGRLTVL >KVH95135 pep supercontig:CcrdV1:scaffold_179:400904:405618:-1 gene:Ccrd_002800 transcript:KVH95135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGVGSSTRMTKFNFTGVFSSKKEFNPGFYNWNRVIMRYCDGASFTGDVEKVDPATNLHYRGARIFNVILEELLAKGLYKASSALLTGCSSGGLASILHCDKFRARLPSTSQVKCVPDAGYFVHCFYPQFILPEIKTPVYIIIFMCVFLVFLCDSTCKSADCKRIDTALKVQNILAPKKADRHGSSSACKLNISNCSPTQLKRLQDFIKTLWSGLGKSSFNSTSRGMFINSCYSHCQTGNQITWLGDTASKLDNKAIAEVVSDWFYERNTTQLIDHQHKLPQECGVLPIR >KVH95152 pep supercontig:CcrdV1:scaffold_179:41691:42362:1 gene:Ccrd_002762 transcript:KVH95152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MASSSTLSTFLLLLLLFHFSDAAVFDIRNNCPYTVWAGAVPGGGRQLNSGQSWSLNVAAGTSQARIWPRTGCNFDGSGRGRCQTGDCNGLLQCQNFGQPPNTLAEYALNQFNNLDFFDISLVDGFNVPMEFSPNSGGCTRGIRCTADINGQCPNELRAPGGCNNPCTVFKTDNYCCNSGSCSPTEFSRFFKTRCPDAYSYPKDDASSTFTCPGGTNYRVVFCP >KVH95151 pep supercontig:CcrdV1:scaffold_179:56135:56803:1 gene:Ccrd_002763 transcript:KVH95151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MASSTTLSTCLLLALLFGFSNAATFDIRNNCRYTVWAGAVPGGGQRLNPGQVWSINVPAGTTQARIWPRTGCNFDRSGRGHCQTGDCNGLLRCQAYGAPPNTLAEYALNQYNNLDFFDISLVDGFNVPMEFSPNSGRCRGIRCTADINGQCPNQLRAPGGCNNPCTVYKSDNYCCNSGRCSATDFSRFFKNRCPDAYSYPKDDATSTFTCPGGTNYRVVFCP >KVH95138 pep supercontig:CcrdV1:scaffold_179:216228:218687:1 gene:Ccrd_002783 transcript:KVH95138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKKDSPQSWKPYCCSADQDKVFCSFSRCRPSRSEFSKNVAPSPSFRRMSFSDLSRSSSMRINEDIAHAFGPDLFDFKLSELRAITQNFSNNFLLGEGGFGKVHKGYVDENMRPGLKAQPIAVKLLDIEGLQGHREWLFLARGSLENHLFKRISVCLPWGIRIKIAIGAAKGLAFLHGADNPVIYRDFKTSNILLDSVWHLTTKSDIYSFGVVLLELLTGRRAMDKNRPKSEQNLVDWAKPYLTSSRRVRAVIDPRLAGQYSVRGAKEMALLALYCVSLNPKDRPKMPAIIETLEGLKNFKDMAITCGQWPPASQKTPRNAVYMPKERKDTNGGRIYWKQTPVNPVSTKG >KVH95141 pep supercontig:CcrdV1:scaffold_179:219827:221683:-1 gene:Ccrd_002784 transcript:KVH95141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNRSLVNEILLKSISQSAFKIHHLSKSTLSSLQTLPDSRTNSFLNPLYNFLPNTQNPSKIVDNICTNLKERRSTLLDKGMIPHLGNQEVSRVLLRCQSDSFAALTFFNWVRNGTGVVPTIRNYCLMLHILAWSHNLPQTMKLLSELIELNRNKSEKVSIFEILVLCTKECIWEPVVFDMLLKAYLKAGLVWDGFVTFRKMVKLGFVPKIVSINFLLDGLLKLDQIDECWGIYEEMRKIGVRANTITFNILTHVICKSGDVDKVNEFLDKMEEEGFDPDIVTYNTLIDSYVRNGRLKDGLYLYNIMYIRHVMPDLITHTSLINGLCREGNVREAHKLFHRMIQIGLYPDVFSYNTLICGYINKGMMQEARTLLHDMIREGVPPNEFTCLVLIEGYRKKNMLISAVNLIVELQKFGVSVCRDLQIDLVVALCRVDKPFAAKSLWERMVQDGKEAHKLEIYNELIVSFCISSYVNEAIQLKDEMFFKNIKPSLITYRTIIECLSRLNRTTEGEVFMRDMVEFGIQPDTTICRSLIAGHCKEKNLNQAESLLSFFAEEFQVCDNECYNELFKVLCEEGDIGKSMEFQNRMQKFGFTPNCLSFKYAIDGLSRRTACGDAFIDE >KVH95170 pep supercontig:CcrdV1:scaffold_179:324598:328822:-1 gene:Ccrd_002793 transcript:KVH95170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGSEPRRSGSFSRISSTNSSFRRHSFNQVGAVHHEVDDDSDCRSVSEAGDIGDRALHSKRYSGSGRPRFSFDNNGENVVVPIQEHPFKESQLPTASPASPDTLLHDQGQNKECKKELPWFMTYISSRVHLAVLGILGVLTRYLLEKLFGPEVVGATSDQSYMYVDLAPNMVGSFLMGWFGVVYKGDISKFSPELAVGLTTGYLGSLTTFSGWNQKMLELSADGDWVFAVLGFLLGLFLVAYSFMFGVETAKGLKWAFNRTNLSSKCGFSVVNTIMSQSILIVVMITLLGSLWGVSVALLTKDFDHDSSTSHLWLGCIIGPIGVWIRFYLARLNGQGLGRTEMIKWMPFGTLIANVVAACIMAAMATMKKAVTNNHFGIVATGIQFGLCGCLSTVSTFIAEFGAMRESKHPWQAYVYALTTIIISFSLGTLIFSVPVWTKGWT >KVH95137 pep supercontig:CcrdV1:scaffold_179:186338:189560:-1 gene:Ccrd_002780 transcript:KVH95137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRSRLSQVLISKATEPSTSSTQRGLWPGGGGPPPLGPAGGSTRVSPGFISPTWAFHLPYSMAAAVAPEVKKDTTGIWFTSPELDCFSSSNLDCFSFKMLRNQFCIVELITTD >KVH95171 pep supercontig:CcrdV1:scaffold_179:281754:284364:-1 gene:Ccrd_002790 transcript:KVH95171 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFITISFSLFILLSTLFLFKSSVAGRRKNLPLPPGTLGWPYIGETFQLYSQNPNVFFASKVKKYGSIFKTHVLGCRCVMISSPAAAKLVLVTKSHLFKPTFPASKERMLGKQAIFFHQGDYHSKLRRLVLRAFTPESIKNIIPDIESIAVDSLRSWENRLINTFQEMKTFTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPINLPGTLFHKSMKARRELAQILAKILSLRRETNKENHNDLLGSFMEEKEGLSDEQIADNIIGVIFAARDTTASVLTWILKYLAENPTVLQAVTDEQEGIMKGKDDKSLTWLDTKKMPITSRVIQETLRVASILSFTFREAVEDVEFEGYLIPKGWKVLPLFRNIHHSPENFTNPEKFDPSRFEVAPKPNTFMPFGNGTHACPGNELAKLEILVLLHHMTTKYRWSMVGPQNDIHYAPFALPQNGLPIRFYPKKMP >KVH89300 pep supercontig:CcrdV1:scaffold_1790:68027:74218:-1 gene:Ccrd_008713 transcript:KVH89300 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MAKTASASTPAETPAKTAHEKMALRPRSKLTMKQSSTAKRPKMAAAKKKASKLDANKPKKPPTAFFYFLEDFRKEFQEQNPDVKSMREIGKACGEKWKIMTYEEKVEYYDVATVKRAEFEKAMADYINKKESGEYDEFDEDSDFDE >KVH89299 pep supercontig:CcrdV1:scaffold_1790:75662:91374:-1 gene:Ccrd_008712 transcript:KVH89299 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl phosphatidylinositol deacetylase MPLESERLARSANSKESINRMGIGEVRLTDCANSNATAREHHRPSFGPCCCHFAAPPLSPSTTASRRLSLPCHNKAHSPDQFQCFWQEMDWFLIFVSVAIIWLASICKILHASFYPYQSSFANNAAGSLQKKNVLLVIAHPDDESMFFSPTINQLTSRGHNMHILCMSTGNADGIGDVRKEELYRASAILKVPLQQVTVLDHPDFQDGFGKVWNSTVLSNIVEKKIITFDNYGVSGHCNHRDVHRGVQFMLDTSHREIEAWELVSTNIVRKYSGPIDIWLSFWTAHRSLNGQMHCLLNEHPLRSYVAMSQHLTQWVWFRKLFVSFSSYTYVNTLRKTNK >KVH89301 pep supercontig:CcrdV1:scaffold_1790:24287:53827:1 gene:Ccrd_008714 transcript:KVH89301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MVFSTAQGVLSRKNPCDGGVLALISKGPEKLSSYESGHLWHVRRSRVAAAGFEKEIDRDFEPTCFAPKRLVIPLSPNGPKISAIITLPTSLLQLSPYSQTTDHMSRTEFCRRPPVHPGSHMRINDFCRRTSRKYMIQVLHRYQAHRALIRAASHSPFHKITPGR >KVI03420 pep supercontig:CcrdV1:scaffold_1791:882:6819:-1 gene:Ccrd_018274 transcript:KVI03420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein MSTLKITKKHNKHLNNPFPSKPKTLPFKQGTLILNSQTTLSPHQIFPIGKDFQLVWRSANGGYASISHKSQPTRSIWSTIPGQAFISTAMAETEVEESRGSFIIKDRNVQLVCDHQTIEHIQVLKIQSDINLQEKDQDFASGYVEFDPEMQLRRFEFPILLITGRVFGMRKNKKVANGEKWESVEKDVYSYARYRLLFDQKNSNQIGFQVKLGKLNLGSSPKVSPRNYRGFVRKSSRPRRIRVRLWGSFLRRRQLVTDSCNEEENVAMKDGGYGCFNRICFTYSSEKNERFFGFGEQFSRGDWSTTYAPSPFYMTSKMKSLYLEGYDYSVFDLTQDDRVQIQIRGDCVEGRILYGSSPSELIEQFTESIGRPPELPDWIISGAIVGMQGGTNAVRRVWEELVAHDIPISAFWLQRNLFEEAKKLDILVRDKNGEAYMVPNTAFDVGMLDLTHPHAATWFKQILQEMVDDGVRGWMADFGEGLPVDACIYSGEDPISAHNRYPELWAQINREFMEEWKSTLVGKEKEDPSESLVFFMRAGFRNSPKWAMLFWEGDQMVSWQANDGIKSAVVGLLSSGLCGYAFNHSDIGGYCAVNLPFIKYRRDEELLLRWMELNAFTTIFRTHEGNKPSVNSQFYSNQRTLSHFARFAKVYKAWKFYRVQLVKEASQKGLPVCRHLFLHYPNDDHVHNLTYEQFLVGEEILVVPTLDKHRKNVKAYFPVGENCGWKHIWTGNIYNIQGSEASIEAPIGYPAIFVKNGSVVGETFLGNLREYKIL >KVI03418 pep supercontig:CcrdV1:scaffold_1791:33254:44835:1 gene:Ccrd_018276 transcript:KVI03418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MFAFSRKGKKLGRVKVQLSDSAQGTRSPVRHPKRINNSHVDGVTAATNDSDDNNRCSSTTPELDNSTCGNSEGWKKLPTSGDKPTPRFNHAAAVIGKKMIIVGGESGNGLLEDVQVLNFENFTWTAASSRLYLSPSSLTLKIPACKGHCLVPWGKKVLLIGGRTALQSDRVSVWAFDAETECWSLMEAKGDIPVARSGHTVVRAGSALILFGGEDMKRRKLNDLHMFDLKSLTWLPLHCTGPGPSARSNHVAALYDDKLLLIFGGTSKSKSLNDLYSLDFETMMWSRIKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHPEVLAFDILKREWSVVLASSQQSITTNKVEVLMMERNESSMSRRLALSEKRSSSTGPAMLGAAVGSLGRQNLASAIEHHGSGRKSLSESLLVDSNAGNVSLRKEHVDEDMALKLARSFEDERSSLPMEQIRKQSDTSTQTSIGGGRTNAEETLSMVETENTDKEEKERNVNLHPNNDDTIPFQETDGKSGFFPASSSIYQLYEIKMSALVRKNGILEGQLAAALASREAAEKNLSSVLKSRQEVEKRLADTLKDMELMKEKLVLVEMAQEEANSLSNIVHTDHVRLEHDELHSTRGVLSGERARAFQLQVEVFHLKQRLQSMENRTPTPRKPFHG >KVI03422 pep supercontig:CcrdV1:scaffold_1791:69542:73822:1 gene:Ccrd_018278 transcript:KVI03422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine/Dual specificity phosphatase MAAPNPFDMSTGFPPKPFLKPYQLRHCIQALKFFKAKQTDENSIKKEFSLLQDNRMSVEEVNSRCLEAKLHANHTKNRYERVVPFDANRVVLDRCKDRRSSATGYINASYITSEANANPSESVSRFIATQGPIPQTFDDFWEMVLQKRCPAIVMLTRLVDPPKVEMCGDYFQGDNGRGLFGNICTLTNKIIITDSSLELRHLEVNYEESEDPPLPVLHIQYPEWADNEAPSDTLAVREIFRRLCHLPLSQVPIVVHCSAGIGRTGTYCAIHNTIQRVLVGDMSALNLAETVSTLRSQRYGMVQSLKQYEFIFKAIVDELEDLISGSTTQES >KVI03424 pep supercontig:CcrdV1:scaffold_1791:94868:99031:1 gene:Ccrd_018282 transcript:KVI03424 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAYTPYSSSSLTHTLLHSSSSSSSSSSSSSPVSSPWLPPVLQRCNITSKCSLPSRFSLLLNPPSVAPNSVPPSRKVCQPKGALNVTASSAKKILVMGGTRFIGIFLSRLLVEEGHQVTLFTRGKAPITQPLPGEPDEAYNAFKSKVLHLKGDRKDFDFVKTSLAAEGFDVVYDINEALLESKGVNYTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGIQVTQLGHVKDLAKAFIKVLGNEKASKQVYNISGDRYVTFDGLARACAKAGGFPEPEIIHYNPKEFDFGKKKAFPFRDQHFFASVEKAKAELGIVPEYGLVEGLTDSYNLDFGRGTFRKEADFTTDDIILGKSLVLS >KVI03423 pep supercontig:CcrdV1:scaffold_1791:76356:81339:-1 gene:Ccrd_018279 transcript:KVI03423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNPFDMSTGFPPKPSLKRYQLRHCIQALKFFKAKQTDENSIKKEFSLLQDNRMSEEEVNSRCLEAKLHANRTKNRYQGVVPFDANRVVLDRCKDRRSSATGYINASYITSEANANPSENVSRFIATQGPIPQTFDDFWEMVLQKRCPAIVMLTRLVDPPKVEMCGDYFQGDNGRGLFGNICIVTNKIIITDSSLELRHLEVNYEESEDPPLPVLHIQYPEWANDEAPSDTLVVREIFRRLCHLPLSQVPIVVHCSAGIGRTGTYCAIHNTIQRVLVGDMSALDLAETISTLRSQRYGMIQSLKQYEFIFKAIVDELEDLISGSTTQES >KVI03425 pep supercontig:CcrdV1:scaffold_1791:89633:95075:-1 gene:Ccrd_018281 transcript:KVI03425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLQRCNTGGSHGEETGEDDDDDDDEDEDEWRRLSEWLESRKMVEFNEKDYASDLDLIAKAHGLQAVENYIEKIPESFRGQFIYETLLVNCVSSFNKTKTEQVFNKMKDLKFPITISACNQLLLLYKKTDKKKIIDVLLLMEEKNVEPSIFTYQLLVDIKSQANDIDGMEKIVETMKAKDIEPDLKIHALLARHYMYGGLNEKANVVLHEMERSDLKENRRVCLYLLPLYVELGSADDVKRVWEVCKSNCRLDECMNAINAWGKLRKIEEAEAMAASGCQIGPLTWDALVKLYIEAGELEKADSFLHRASEQNPVKPFFDTYMMILKEYARRGDIHNAEKMFHRMRQDGYVSRPTPYHSLLQTYINTKASAYGFRERVKADNIMVNHSFAGELALADPFNFNRTTLVYASSLVSDHKFGEVSEPSELEEPSESTVEDVSSDEAGSTGTGDGV >KVI03426 pep supercontig:CcrdV1:scaffold_1791:83245:91324:1 gene:Ccrd_018280 transcript:KVI03426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MKVTDAFQEASKLGMNVVRTWAFSDGGRKPLQTSPGCYNEDTFKGPRCYFVFLISTVVLLKLKGSARANSPANEWFTIILSAFTRSLNPYAEALLVDHVPWQSNQDQKHNLSR >KVI03419 pep supercontig:CcrdV1:scaffold_1791:46958:55539:-1 gene:Ccrd_018277 transcript:KVI03419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine/Dual specificity phosphatase PFKATKTKSNIITGGAIVAQGTCHFYDHNHQPPPSTTGNVSSSRSRSRKSAMAASAGGKTLTSSSSAAPNSFDMSTGFPPKPSLKPDQLRYCGQALKFFKAKQTDQYSIIEKEFAILQDQRMRASEVNSSCSVAKLHVNCRKNRYSDVVPFDANRVILDPCKDHRSSAMGYINASFIKAEVNPSESVSRFIATQGPLPETFEDFWEMVLQSHCPAIVMLTRLVDHHRLQLVVSWKLKECKVLHGTGFQFTDPEVWRLFSSRGWSKIVWQYMYSHEKNSYDGQFTSAAPSGGELRRASNNRFLAMNKHQNLEGWAELNDPYSVCQKRQTWDAEFRLLCGEYDLASSIVSMFTFWEFLSVDPPLPVLHIQYPEWPDHGVPYDTLAVRDIFRRLCHLPSSKGPIAVHCSAGIGRTGTYCAIHNTIQRILVGDMSALDLVKTISTFRSQRMGMVQTLDQYIFCYEAIIDELEDLVSGSDIQGSLKW >KVI03421 pep supercontig:CcrdV1:scaffold_1791:19343:20671:-1 gene:Ccrd_018275 transcript:KVI03421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha CPLKYVLYLVFINLHTKCYARVLSPFWGGIIHFVSLLNPSMSVETEILQDCYEAFAMYCFGRYLIACLGIMFVIEVIKCSFFFLQGGEKREGRAGVKSPLLEQSSEKGTINHIFPMNLLKFLEPWQLGQRVYQIMKAGIETSNGNVGKITAGLFLTI >KVH89051 pep supercontig:CcrdV1:scaffold_1792:75652:75873:-1 gene:Ccrd_008965 transcript:KVH89051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVARPMSNGHQETDRCGHFEMPLHYPRYVRSEYETMPEWKLDCLLKSYGLPTTGDVKQKRQFAMGAFLWQC >KVH89047 pep supercontig:CcrdV1:scaffold_1792:10575:15673:1 gene:Ccrd_008969 transcript:KVH89047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MGHSAAVWDSRMALEKTKDWNGIDQIVLRNPQGASAKAIFKPPKAMRGGIPICFPQFGNCGSLEQHGFARNKIWVVEDDPPPLPANDSHGKSFVDLLLKPSEEDLKFWPHSFEFRLRVSLGMDGNLTLTSRIRNVNGKPFSFSFAYHSYLSVSDISSPNCVAVLDHERKRTYVLRKEGLPDVAMADLGDDEYKQMLCVDGAAIEKPITLKPGEEWTGRLEIAVVPSSFCSEDL >KVH89049 pep supercontig:CcrdV1:scaffold_1792:37839:46544:-1 gene:Ccrd_008967 transcript:KVH89049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSRVLSVTAMTTFVVLLGIVCPCVKAQSLPPVPAPSNDDRKAAPAAENALSEKPKSKSDDGDVILTDDES >KVH89050 pep supercontig:CcrdV1:scaffold_1792:50409:60095:-1 gene:Ccrd_008966 transcript:KVH89050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQFTAATSPLDSATDLFLDVNNNIKPKTKRTGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQTGEYRNLYNHENIFTSREGGGAGNNWASGYHQGKNFEEDLMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQNEISDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHIQNPSVSQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQTKNIMVSSHARTKEASQAKYISILNIIQGEVDPTQVHDSLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASYTGIRHLFSKCLSQYSLLRKRQAFLDKYRGYPLFDDNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHILTGEGSATGTVDPKLAM >KVH89048 pep supercontig:CcrdV1:scaffold_1792:37861:44094:1 gene:Ccrd_008968 transcript:KVH89048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MTSPSSDFDLGFSERAFSAAGAAFLSAIITRLQAQAAGVPYQNLYSTCRFEANPEGFARLWRGTNASLALAVPTVGIYMPCYDIFRNYMEEYSSRNAPSMTPYVPLVAAFSSFRFLWTGLGAQLSRDVPFSAVCWATLEPVRRRLLGMLGNETSPIVVLGANFTAGFVAGCLAALTMTTRQTLVEIWRDGGMKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYTLQNSRHRPREKKDGVVP >KVH88017 pep supercontig:CcrdV1:scaffold_1793:42117:43336:-1 gene:Ccrd_024597 transcript:KVH88017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MRQEQEHCLCLVLYMALVRMRMVEPSMHHHLEVYLMXPSLLQHGFSSKSKYMFXKVNIQIKLVEGDSAGTVTAFYTNVYVNGVGNRVQRLNLWFDHTKDFHSYSILWNQHQVVNGGWWDRPVLSELNVHQSHLLIWVRANHMIYDYCNDAARFCFGAGGMRTPPPLVRPVRDWMVV >KVH88016 pep supercontig:CcrdV1:scaffold_1793:32955:38917:1 gene:Ccrd_024596 transcript:KVH88016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSRISGNALYSRITSPTQKTPIFFSNHTSFSFSFKPLLFRRIFSLPSSWFNKAVMARLVRLSGPLGAIRAQTVLYSLSTIVQDRTPIQKIATSSEHQWHRVIQVIKWMLSKGQGTTIGTYGQLVRALDMDHRAEEAHEVWEKKLGSDLHSVPWQQCHQIISVYYRNNMLERLVKLFEGLEAYDRKPRDKKVVKKVGDAYEVLGMIEKKERVLEKYKNYWLSPPSSPSPVTSVVCVVGCAFHSFAGRFYQVTSVARYLPFGRRSLVAGRWSPVRSSVF >KVH88019 pep supercontig:CcrdV1:scaffold_1793:57828:61811:-1 gene:Ccrd_024599 transcript:KVH88019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMKVEFSKPKRKHFGRNNSNYSKEEEEEGGGVVMHNKVVDLSGKCLESLPLTSNLNLGVIPESLTARLLNVGVLDVHSNQLKTLPNSIGCLSKLKTLNISGNHLQSLPKTIENCRALEDLNANFNQLTRLPDTIGFELINLKKLAVNSNKLTILPSSTSHLTNLRHLDARLNHLHSLPEDLENLINLEILNISQNFQYLAALPYSLGVLISLVELDVSYNKISALPHSMGCLKRLRKLNVEGNPLVSPPPEVVELGLHVIKEYLSEKMNGAHQNSPKKRSWIGKLRKYGTFNGSRMTQVEREGYLMPGYRTVDGLASPRYMGMFSPRRIFSPKNYFTR >KVH88018 pep supercontig:CcrdV1:scaffold_1793:43916:44374:1 gene:Ccrd_024598 transcript:KVH88018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccharyl transferase complex, subunit OST3/OST6 MDPLYHVVNTIPYSFLRPPRLRLKLPTITLPSPMTVFSLVLLTYFMVVSGVVYDVIVEPPGIGSTQDPRTGTVRPVVFMSGRVNGQYIIEGLSSGFMFVLGGLGIILMDLAISPNRAKSLKISFAAAGISFLVIAYVMSMLFLRIKIPGYLR >KVH88020 pep supercontig:CcrdV1:scaffold_1793:91371:97971:-1 gene:Ccrd_024600 transcript:KVH88020 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif-containing protein MLDFHTKNLNNTETGTSSRSLFAFKPRQVLLAEHNSRSKMNNVALKEENKSKITSLTSELRGSLHNSILHYLHRHGFSKTFKRFQSEAEVQSDTWKASSLCLEDIFCKYNACNGDGNLNTSKKPGNDEGITKKKKQKGAVESDSGAIEDQSNVTNKKITESGKNCGQLSEDIMVNELETKPKKKKTKHDLDSSGQAEEVKSDVLKKPIDDTINVLEMDDSTKKTKDKKKKGIQQDKLERSTTNDNELVAEVAQKERKKKKKKSSTDSAVEDNQVNPVRTDTENETTQPDESRKEKMSSKKRKRSLSDENDNPSTEITITEESKRQKTETSKGEKSKKEGSKVDEINAQETSNELHDGQANGKLETNGGEKSGAQKSTKKHCKDSAEPKTVNAFQRVKIDEVEFAHQKLQDNSYWAKDGADIGYGAKAQEVLGTFGMRRQRRSVEAIEEV >KVH91849 pep supercontig:CcrdV1:scaffold_1794:5098:8353:1 gene:Ccrd_006126 transcript:KVH91849 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MSSDLCEFEECFFTDPFSPLSDSSTIDILKAFQENSYNLNPLSSTLTHENLDTPFDEIDQIAPTTTTTTLLSSSPPSHQLESLSLYQMGNAVNSLDLCPLEVKSEDGQLPFYDYYVNTNSFLSHSCGGSENAMKMMQRSYSSKSFDGRSNGFLSQPIMDGLIESSNLHSKVLTSPDHSFSSSHMRRVCSTGDLLSSNSKQTNEGLSSSALATEGSFMEEASFKVGRYSAEERKERILRYRAKRTHRNFNKKIKYACRKALADNRPRIRGRFARNDEPVEMPKASTFHRYEDEDELWMDGLQEEEDEGIPRGQLCNSYMPITQFHQFTYLPK >KVH91848 pep supercontig:CcrdV1:scaffold_1794:32879:38294:-1 gene:Ccrd_006124 transcript:KVH91848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP30/SAP30-like protein MLEPELYSSRIFSHFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGHEEDGDYEFDNSSCGSDVGEKDNFFAATMEFNKPSKPRVRYAKPWGPSPSTKPINRTSCREAQSNIHPYYPPNPTKEQLVDAIQKHFASQRVSELEVIMEFIHAAKREKSRRTNSRDRS >KVH91850 pep supercontig:CcrdV1:scaffold_1794:93690:96049:1 gene:Ccrd_006121 transcript:KVH91850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTINAAASAIQSAENRGGQSSSVQKPSKRIGHAVLVPEPNSSGTEAPTTSHMSQPPSTVLPFIAPPSSPASFLQSEPPSATHSPGGFLSFTSVSASMYSPGGPANMFAIGPYAHETQLVSPPVFSTYTTEPSTAPFTPPPESVHLTTPSSPEVPYARLLGSSNQNDVIPYEFQSYQLYPGSPMGTSSPFPDGEYARGGNYHFCHFPASGKLSPYGSGTLTPPDGVGPRARDGFLLTNVGPDQHLVDHRVSFEVTPEEVVRCVKRRRAAHVAYGRECRKNDDVAKGYECWNGDTYVNNRSITSLGSVKEFNFDSTDGAESDWWASEKILGKENGSIKDWSFFPMIQPGVS >KVH91847 pep supercontig:CcrdV1:scaffold_1794:18756:31655:1 gene:Ccrd_006125 transcript:KVH91847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVLNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKARSRRSSDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDNSSKPIFEITSTTLTKLLTAVNECTEWGQVFILDALSKYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSPDVIRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKVLWNLRASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDIVLAEKPVISDDSNQLDSSLLDELLANIATLSSVYHKPPEAFITRVRTVQKTEEEEFPDGIGGGYPESSSTLVADNSAPPLPTSGASPYAAAMLPTTVPAALAPVPDLLDLMGLDGAATGQGLEIGAQLVRRDGQIFYSLMFENNTNIPLDGFMIQFNKNTFGLAAGGPLQVLQVQPGTTARTLLPMVLFQNIAPGPPNSVLQVAIKNNQQPVWYFNDRISLLVLFMEDGRMERSTFLESLPDSSEVSRDIPGIVTNSVDEIIEQLGSSNMFFVAKRKNENQDVLYLSAKIPRAIPFLIELTAVIGVPGLKCAIKSPSPEMAPLFFEALETLLRG >KVH91851 pep supercontig:CcrdV1:scaffold_1794:72038:72268:-1 gene:Ccrd_006123 transcript:KVH91851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEGSSWCCCCCTSSRKKSKAAVSKKKGLKALFGLGKLRGRKKYLKKSLAPAYDLEEIEEGREGYDDLDKSLLMS >KVI00543 pep supercontig:CcrdV1:scaffold_1795:55170:59844:1 gene:Ccrd_021209 transcript:KVI00543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLLHSVISQSPPHPQTISLQSSSSSLSNFINFPSGFRNSPLKRGQFKPIIIRNSSSSSSFSQGYSYGTVDYEKKSRTPVTWKAIYKRISMMGNREKGSTSVLNQWENEGRTVTKWELCRLVKELRKYGRFKLALEVYEWMKNKPERFIMAPSDAAIQLDLVSKVEGISGAEDYFKNLPDDLIDNRVYGALLNAYVHARMKEKAESLLVEMKEKEYASHALPYNVMMTLYMNLKDHEKVEAFISEMMQNNIDLDLYSYSIWITSRGSQGSVEKVEEVFEKMKLDVSVKPNWTTYSTLATVYIKLGELEKGEDCLKKIESKITGRYRIPYHYLLSHYGSIGRKEEVQRIWGTYKTVFPYIPNMGYHAVISAFIRMDEIEESEMLYEEWLSVKTTYDARIGNRLLGWYVRKGHSEKTESFFKEMLENGKANSSTWEILAESHIKHKRIPDALSCFEKALSHEESSFWKPKPVNIISFYKICEQENDEPSKEAFFGMLKEAGVLEDESLMSKLPFLRDSNAGNELPKVKESEDYDDEDADTLLNELQVVLDYPIAQVEGGTDRDYVAPHPVPPPAVGSPVKDGQNPPPHGTQSRVFPFDRYLLSTDLRRWTLHNSTSKS >KVI00542 pep supercontig:CcrdV1:scaffold_1795:101189:102165:-1 gene:Ccrd_021206 transcript:KVI00542 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADF domain-containing protein MANSYSPSSSQEPANPQPSTSSRRLPPPCWSDEETVALIDAYRDKWYSLRRGNLRASHWQEVADSMAAQCPLSDPPKSSIQCRHKMEKLRKRYRSEIQRIGNTPRGHRYPSSWVHFTRMDSMELGSDPSDDPTHQDEEEEDENQNNLLLYPKGIKQSIRIPLNRRQQGSIGNGNRNGVRIKIPNIAAVPPPSSVLNLYNSNRSLDDYPPLMNPYYGSGKDRNVGVKRRVEDGDNPMDEMVAAIGKLGDGILKVERMKMDMAKELESMRLKMEMKRTEMILESQQKLVDSFSTTVMEKKKKKIKRIPTPES >KVI00541 pep supercontig:CcrdV1:scaffold_1795:94785:96455:-1 gene:Ccrd_021207 transcript:KVI00541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein TLHHLYIRNTNIYIYIYTHVHTVISSLSTITCESNSPMDRRLIQAAWNGDVDHLLKEIDTNPFLLHSMALEGGQTPLHIACFAGNLNFAATVVQLRQEFANELNQDGYSPLHVAAACGHVEIVKELLKVDLGLCLIEGKDRKIPLHLAVVKGKVEVIRELVLSSLDSIECMTAQGESSLHLAVKNNQFKAFQVLIQHLKQVNKEELLNAKDFHGNTILHLAVSRKQYEVVDFLLNGGVISIEKIKLNSLNKSGLTPLDMLLIFQSEAGDREIEEILVQSGALKAGNLQSPANTQEERSPNDPDSIHQNPQSPSRKLLDYFKYNNLKDSPSEVRNTLLVIVILITAATYQPALSPPGGTWQDDYTLLAGNSTEKNNSSTPTKSHTAGEAIMVTHNPTAYTIFLCANSVGFYMSLYMIEVLTAAFPLRWELRISLYALSMTYSTCMNAIAPDSYATFVFIFSSIALPFLIPVMTILLRNYFSRCRNVSVYASQGRV >KVI00540 pep supercontig:CcrdV1:scaffold_1795:46730:53178:1 gene:Ccrd_021210 transcript:KVI00540 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY PNGPNPPYVKKKYTTNPHPQHPHPQARVRTHALQIQTPLARTTSSRSSSSSGIVVEEQEKLYKFWSSRIMEGSERGLASFDLQVNSFSNPIHDHMGFPHFQDHHHHQSQVLRFLPPTLSLPQLSQPPLHTAATTTTTTSTTAAGNFNSDAHMVHRDSWHKEQVGSLDPKSVNEEKCTDDHNSWWRSSSNPEKGRMKMRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHTNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFTSF >KVI00544 pep supercontig:CcrdV1:scaffold_1795:86181:88705:1 gene:Ccrd_021208 transcript:KVI00544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MAELECPTVMSKLISYLSSLLQRVAESNDLNPRFHPQKISAFHGLTRPTISIQTYLERIFKYANCSPSCYVVAYVYLDRFTQQQPALSVNSFNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGISTTEMNFLEVDFLFGLGFQLNVNPTTFHTYCSYLQKEMFLLQPPPNIEELSSSSYKSRSTKLLHYEDDQQQQQQEVVAV >KVH88015 pep supercontig:CcrdV1:scaffold_1796:33958:41152:-1 gene:Ccrd_024601 transcript:KVH88015 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein SGNQDYTGDVISSKVNSKDLPQIPAEFDRPFCTLSAPLLLAQTLPFLLFPLPLPPPSFLLIFHRFFRWILVPQQYPMSGLYNPNFSPARAVSPLIRSSNADVDSQYLTELLEEHQKLQPFMQVLPICSRLLNQEILRVSGMLPNQGFNELDRLRHRSPSPMASSNLMSNVGGTRMSGWNGLPQERLSGPPGTTMDWQGAPASPSAYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEGTTGCRVYIRGKGSIKDPEQEEKLRGRPGYEHLNEPLHILIEADLPASVVDIRLRQAQEIIEELLKPVDESQDYIKRQQLRELAMLNSNFREDSPGPSGSVSPFNTSSGMKRAKTGR >KVH89542 pep supercontig:CcrdV1:scaffold_1797:67674:68999:-1 gene:Ccrd_008468 transcript:KVH89542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQPAAMVLIDQMWDEVVAGPQPQRGLGKLRKVDTVTNKGGEGSSKFHKSLSMPATPTTPGTPTTPSPTAARKDNVWRSVFNPGSNLATKGIGSNYFDSPKSVGSPTVYDWLYSGETRSKHR >KVH89541 pep supercontig:CcrdV1:scaffold_1797:50247:61855:1 gene:Ccrd_008467 transcript:KVH89541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRSNDSVYEDEDEDDFELQRNTQNISEESEEDDVEQEEQESDDDGSPSSSCSDKDEYVFVKLADVRKEVQCPICLGIIRKTRKVMECLHRFCRECIDKSMRLGNNECPACRAHCASRRSLRDDPNYDALIAILYPDIDKYEAEELAFHEEEKARNKQLEALGKKRATAKATATAFMRRSHGNTRNLRGRKSWKSAEPQGSDNEVDADNDIGGKYSSSADEPTTEIRPKRYKRWSGAQSSLPSSGANGGCDDNEAETTRKTGGGSIGLVGSPEILAWGRGGMRSHTRHGSQNGSAGKSAKNNQLAKLIDHLQKLPHNDDKEEDHFEVHPSSGVVTNPLTDELQPLDEHQILSDLTKISSHNNLVLEI >KVH89540 pep supercontig:CcrdV1:scaffold_1797:30382:31335:-1 gene:Ccrd_008466 transcript:KVH89540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MASGVRVMEKPVQLQHQHQQHQQQQHEALKCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPIASTAHAAATPSSVDHHTNPNPNPNPNPNPNLDRLPSSTTSNGNTNHHLNPLFYGLLPQNHNYPRFDARVSGVNDTLVSGYDLLQPHMNASGLGFSSSAPGASLVASHAQNSSLTFSNYPTSMYGGGSTCSSTSAPTMASLLASSLNQQQRFMGFGAYDGSSMVKDLKMEATQNRMEWNNNFHGQNKDDQIEAVVSSDPNNSLLWDTTPGDGGGWLDPTNNIGSSVPSLI >KVH89543 pep supercontig:CcrdV1:scaffold_1797:73393:76849:-1 gene:Ccrd_008469 transcript:KVH89543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGSSSSTRSHGNSDQEDNLMDEQDSHLKTFYLKINEEQLFYQGKGNSHGGYNFQNSPKENNFLTGL >KVI02989 pep supercontig:CcrdV1:scaffold_1798:11535:11972:-1 gene:Ccrd_018717 transcript:KVI02989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSSSSSSISHFRSVSLPSRLTHPSCAMVHTKINELKEFGNLQVVTAKTIQSGLVRLAELYFCVDELVQSPQTQQALLRHQNGTLVEDALEGSILFLDSCSTLKDLLMLTKEHVQILQSGLRRKGGDSIVISQTANTICKYIKQ >KVI02987 pep supercontig:CcrdV1:scaffold_1798:77693:79694:-1 gene:Ccrd_018720 transcript:KVI02987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELHHGVFIAANRGSRILVYEQPVNEPVNEQPVNEPVNEQPVFFPLLDKGHYYLVVFNLKNLSIVVIDNRYRAVSDDDQLLQMYDFITDIL >KVI02988 pep supercontig:CcrdV1:scaffold_1798:94620:95345:1 gene:Ccrd_018721 transcript:KVI02988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQVVSPLLPSSYFFSKISQSKHQIPSMSFALQHYKHPQFSLVSSLSRINFKQHSSFGRFTITCSAHDSTSTTNEKSEFVKEKSASIILLAGGQGKRMGV >KVI02992 pep supercontig:CcrdV1:scaffold_1798:25659:26546:-1 gene:Ccrd_018718 transcript:KVI02992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MEGSSSTSHFRSVSLPSRLTNPSCTKIETKINELKALGNLVVSGETIQSGLVGLAELYVCVEELVLSPKTQPAFLCHQNGTLVEDALEGSIGLLDLCSTIKDLLMLMRENVQILQSALRRKGGNPTVATHIATYLSFRKKTKKNVTKSLGTLKHLEKKMGSFLVRDVDCHVPMASKVLGDVYALTISQFKSLLVFVSTKTKPTNGVQLISKLVSKSTSEHAKRKTFINDMETIDLALTSLQKSSCNSDTKNVDVQMTLKRLQILDASLEGFEAGLDCVFRRLIQTRASLLNVVAC >KVI02990 pep supercontig:CcrdV1:scaffold_1798:10109:13908:1 gene:Ccrd_018716 transcript:KVI02990 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDDMMSDSRPQSPFHRKLVMKVTFDSVDSRFEKLHLEVDLKETKFTIEDHDDDPGESRRLRSEIRDPNCNCARVWLGMFDTTIEATKAYDRGDVRNAREQSDS >KVI02991 pep supercontig:CcrdV1:scaffold_1798:59191:73910:1 gene:Ccrd_018719 transcript:KVI02991 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MTNPTFIFLDMEMEGLYQCEANYVPLTPISFLERASFVYGKSPSVIYGDIVYTWNETRDRCVKLASALSLLGINRGEVVAALAPNIPALYELQFGVPMAGAVLSALNTRLDPSTLTAILQLLEPKIIFVDHQFIQIVLEAFNLMSSGKPAPPRLVLIGGKNCAIVPSNTLDYDDLLSLGKPDFKIIHPNDECDPISINFTSGSTGKPKGVVYSHRATYLNSLAVIFRYDMRKMPVFLWTVDMFRCNGWCFPWAVAALGGTNVCLRETTSEVIFHSIVIHKVTHLCGAPILLDKIANSKSSEQRPIPTRVDVIVAGPLPPSEIISKVESFGFDIHHGYGMTEALGPMTDRTLRTNDDDNDERVRIKCREGTHSILMEDVDVKDTKTMISVPSDGKTIGEVVFRGNVVMLGYLKNLQGTQKAFNGGWYRTGDLGIRNPNGDIIMKDRASDSITTKGEIVSTIDIEHVIKSHPFVMEVAVVGKADKIVGEIPSAFVTLKEDCFLSSNDIIKFCEEKLLPRSMVPINVSFGKLPVNSTGKILKYNIDLMAWGKRAMGRN >KVH88014 pep supercontig:CcrdV1:scaffold_1799:70434:72345:1 gene:Ccrd_024602 transcript:KVH88014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCSLKVNIHCDGCKRKVKKILQKIDGVYTITIDPEQGKVTVSGNVDPDTLIKKLAKSGKLAEIWGAPKANPQINNQFKNMQIEGGKGGGGGGGGGGNNKNQGHKGGGNTNQHQHQQHGKGGQQPPQQGGGGGGPTPQQIQQFQQMKGLQDLKMHPQFKDMKMPPFGNNGGGGGGKNAQKGVKVVDVDDGSEDDYDDDFDDDDFDDDDEFGDDMDDGPVKKPVMMGGAGPNMMMNPQLMKSGQMNPQLMKGGQIPPQLMKGGQMPPQFMNSGQMPPQQIKGAPQMGNNGGGNGGKKGGGGGGGGGGGANVPVQVNGGGKKGGGGGGGGGGNTGGNQNQGGGAGKNGGKNGGDGQNKNGGGGGQNKNGGGGSGGGNLNMNGNGGKKGGMNDGVHGMPNMMGMNGAGGNVGLMGNMAMGAQMGPRMGPQMGQMNNAAAMAQMGQMSNAAAMAQMGQMSNAAMSHMGNMQAVQGLPAAAAAAGINGGGGGGGGGYFQGAGPEAMAGNPYYQQQLAAAMMNQQPANGNERFHPMMYARPPPAINYMQPPPYQPYPPYPYPHPPQGDPITHYFSDENTSSCSIM >KVH91808 pep supercontig:CcrdV1:scaffold_18:51677:57198:-1 gene:Ccrd_006183 transcript:KVH91808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIATTCSSALIFRRKESISNNNGGSMLHYNGLRAIEVNQIAVSSGFNPAWSASTSASKSRAIKAMAAPTVSAPKRETDPKKRVVITGMGLVSVFGNDVDTFYDKLLEGQSGITLIDRFDASSFTVRFAGQIRDFSSVGYIDGKNDRRLDDCWRYCLVAGKKALEDANLGQEVLETVIGSGMGGLTAFSNGVEALIQKGFKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFFSAANHIRRGDADIMVAGGTEAAVNATGVGGFIACRALSQRNDEPHRASRPWDQNRDGFVIGEGSGVLIMESLEHATKRGANIIGEYLGGGITCDAHHMTDPRKDGLGSMIGHGLGAAGGLEAIACIKAINTAISNSFGFGGHNSVVAFAPFKP >KVH91832 pep supercontig:CcrdV1:scaffold_18:151649:157030:-1 gene:Ccrd_006173 transcript:KVH91832 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAAPQCVLITGGAGYIGSHTVLQLLLGGYKTVVIDNFDNSSQVAINRVQELAGDHAVNLTFHKMDIRNKPALEKLFASTKFDAVMHFAGLKAVGESVQKPLMYYDNNVVGTLALLEIVFSSSATVYGWPKEVPCTEDGHIGEDPLGTPNNLMPFVQQVAVGRLPALKVFGTNYSTKDGTGVRDYIHVADLANGHLAALRKLSDPQIGCEVYNLGTGKGTSVLEMVAAFEKMSGKKIPLIIAGRRPGDAEIVYASTEKAELELNWKAEYGIEEMCRDQWNWVNKNPYGYQTK >KVH91802 pep supercontig:CcrdV1:scaffold_18:790037:799976:1 gene:Ccrd_006133 transcript:KVH91802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MEEAILDEIIRKLLDAKGGRVPKQVQITEAEIRQLCAASKEVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGYPPASNYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRIWKTFTDCFNCLPVSALIDEKILCMHGGLSPDLKNMDQIRNIARPVDVPDQGLICDLLWADPDRDMEGWGENDRGVSYTFGADKVAEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKASEKKGKFDFGNNILRPGTPPHKVK >KVH91830 pep supercontig:CcrdV1:scaffold_18:146693:147268:1 gene:Ccrd_006175 transcript:KVH91830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGRKKPPAPPSGRTNLASCIVATVFLIFIAIIILIIFFTLFKPKNPNIAVTAVQLPSFSVTNTTVSFTISQYVAVNNPNRGVFTHYDSSLKLLYCGDQVGFMFVPSGKIEGRRTEYMAATFAVESFPVSSVINRPAEGLQVGPSLEIETRMEMAGRVRLLHFFTHHVEVNADCKVAVAIDDGSVLGFHC >KVH91789 pep supercontig:CcrdV1:scaffold_18:364737:365591:1 gene:Ccrd_006161 transcript:KVH91789 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNECNPNSFDRNTNNDRFRSSFPNFIFTGMNSNNLDSIFSNRSSSSSLAIPDLQEQSGSSVYLKQIDRFFKLSGNLDSKSQITTNPVGFRHYLINPNKKKKLYRGVRQRHWGKWVAEIRLPHNRMRVWLGTYETAEMAAFAYDRAAYKIRGEHARLNFQDSAAVVGLIGDWRRLNALKTAVDDKIHAIYQKVMKEKGKKREVRERVPAAVYGGGGGENFGGSNTGWLEDGFLKGENSTSSFPDNLPLIAATTTTVAAEDVVLDGCSLAGMPSYDPDLIWEVLAG >KVH91794 pep supercontig:CcrdV1:scaffold_18:283703:285051:1 gene:Ccrd_006164 transcript:KVH91794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine methylase transferase MAGGKIVKAKPARGGAGSSNHYQGGISFHKSKGQHILKNPLLVDSIVQKAGINSTDVILEIGPGTGNLTKKLLEAGKSVVAVELDPRMVLELQRRFQGTPFSNRLKASYPRRCAQMRSSVFRHLRSQHSVPDIISSYLQTIKSPAVIQMCGDNVPKGIRHEISGSTWRHSLLPPFGKHPTFISRFPLTKSWKKQLPAPTKSRLVSCQNRAKKTGPGGQL >KVH91841 pep supercontig:CcrdV1:scaffold_18:572731:582245:1 gene:Ccrd_006144 transcript:KVH91841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVSTSSVQLSPLLAVNEGFHRQHSRVLVRSVGGGDSAMVSQESVRIDGVSCVNDGGKVSEKNVVKELEPLWDDGYGTQTVKDYTEISMDLIKCDGGPPSKLLVSSNFIDLHEGLIQIVEETVMFENASSPDKPIYLLGDSFGGSLALAVAARNPTIDLILILANPTTSYEKSLLHPLSSLVRALPEEHYGMLPYVTRLLLGGLLFESTLFDASGVYSGDLLKMETVEMNNTNHLSSPWKSFGNLALLSLLGRILNKDTLTWRLELVESAAAYANSRLHAIAAEVLVLASGKDHLVPSKNEAWRLSRLLKRCDVRSFEENGHAILLESNVNVLSAIKVAHMYRHSSKYDFFKDFMPPSLTELYRLYMGAAMFSTMGDGKIVRGLAGVPNEGAVLAVGNHMLWGFDVFSLTLEFLREKKILLHGLLHPEVYHYKVKHEYDSEISDYKFKEEYFMVPYTDLMKLYGAIPVTGRNLFRLLSRKSYVLLYPGGAREALHRKIPGRLYFFFGKPIQTKGKENMLDDEDYLQELYVQIKCDVEKCVAYLLTKREEDPYRGFVERVMWQTNYRDLDHIPSFTP >KVH91796 pep supercontig:CcrdV1:scaffold_18:269355:273493:1 gene:Ccrd_006166 transcript:KVH91796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEKRKPLLFLIFFLFKSISGDDDCLPASCSLTGPQIRFPFRLRDRHPSRCGFPGFDLSCNNKNQTLLKLPSSRSSFIVKHISYASQVIDIQPDFCRPERIVDIRPTDTPFDFSMMESYTFFNCSSQNSGSTFQNVPMLPFPCLGSVNHSVFAVRAGWVPLGHIPASCLAMKTISVPLRRYGDIRNELTLIWFRPFCRSCELDGRTCGLKSDDGDTACFGSSSRGIPRGAKYGLSIGIGVPSLVCIIGLICYACSKAGTYNHSRRQSIDLFSIAITPQPPSTTGLDGPTIESYPKTVLGESCRLPKDDGTCAICLSDYEPKEEVRTIPECNHYFHAECIDEWLKLNATCPVCRFSPESSSLVTPCSSMSSNSSDSSHATGQ >KVH91791 pep supercontig:CcrdV1:scaffold_18:301973:316224:1 gene:Ccrd_006163 transcript:KVH91791 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MATKKFIVEVEPAIEAKDGKPSMGPVYRSVFAKDGFPAPIDGLDSCWDVFRLSVEKYPNNTMLGTREFVDGKHGKYVWLTYKQVYDKVIRLGNSIRACGVEPGGRCGIYGANCAEWVMSMECSNTHNLMQACNAHGLYCVPLYDTLGANAIEFIICHAEVTLAFVEQKKLPEVLKTFPNAGEYLKTIVSFGKVTPELKEQAESLGLAIYSWDEFLSLGDDKHVDLPVKKKSDICTIMYTSGTTGDPKGVLISNNSIVTLIGGVHRLLGSANESVSSHPFIQWKMLFSYLSMIIFQLNTHDVYLSFLPLAHIFDRVIEECFINHGASIGFWRGDVKLLIEDIGELKPTIFCAVPRVLDRIYSGLQQKISSGGFLKRNLFNLAYSYKLHNMKGGKKHSEASPLSDKIVFSKVKQGLGGNVRIILSGAAPLAAHVEGYLKVVACSHVLQGYGLTETCAGSFVSLPNEMSMVGTVGPPVPNLDARLESVPEMNYDALSSKPQGEICIRGDVLFSGYYKRDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENVYGLVSDLDALKGFEFVRAVHLDPVPFDMERDLLTPTFKKKRPQMLKYYQTVIDNMYKNINKK >KVH91823 pep supercontig:CcrdV1:scaffold_18:437028:445286:1 gene:Ccrd_006153 transcript:KVH91823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MASEDVVGKTSATIANIAEEAKIASEGVKAPSRQTLLGICKSLVAGGVAGGVSRTAVAPLERMKILLQVQNPHAIKYNGTIQGLKYIWRTEGLRGMFKGNGTNCARIVPNSAVKFFSYEEASKGILWLYRQQPGNEDAELTPLLRLGAGACAGIIAMSATYPMDLVRGRLTVQTDKSPSQYRGIAHALRTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLVKSRPFGLVEDTELSVTTKLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASIVTGGGKSTVEYTGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLKVEMRISD >KVH91806 pep supercontig:CcrdV1:scaffold_18:735399:740851:1 gene:Ccrd_006137 transcript:KVH91806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MARIVCKYSLFIIWLVLILQYSLSTCAHSKKLYENKPCKRFVLYYHDILFNGTNIANATSAATTNYTSLGNFKHGMLVVFDDPMTKDNHLLSTPVARAQGFYFYDMKTTYNAWFSYTLIFNSTEHKGTLNIMGADMMSEETRDLSVVGGTGDFFMARGIATFRTDVAQDDYYFRLEMDIKLLNENKPCKRFTLYYHDILFNGTNVANATSATAVNRTKLGDFKLGMLVVFDDPMTIDNHLLSPPVARAQGFYFYDMKTTYNAWFSYTLIFNSTEHKGTINIMGADMMDEETRDLSVVGGTGDFFMTRGIATFRTDTFQGADYFRVQMDIKLYECY >KVH91792 pep supercontig:CcrdV1:scaffold_18:318555:323838:-1 gene:Ccrd_006162 transcript:KVH91792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding domain CBM49 MGIVSMATTLFLLMGVAPLALAGGSHNYGEALSKSILFFEAQRSGYLPGNQRVKWRGNSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMAWSIMEYGKQMGESGELGHAMDAVGDGNTDHYCWQRPEDMTTSRNAYRIDQNNPGSDLAGETAAAMAAASIVFRRSNPAYSRELLTHAYQLFDFADKYRGKYDSSITVAQKYYRSVSGYADELLWGAAWLYKATGNEYYLDYLGKNGDALGGTGWAMTEFGWDVKYAGVQTLVAKVLLTTISGSQFTYSPLIKLFLMGGKAGNHAPVFGKYQEKAEFFMCSCLGKSSHNVQKTPGGLIFRQRWNNLQFVTSASFLLTVYSDYLTSARKSLQYNPRATSYMVGYGNNFPQQVHHRGSSIVSVKVNPSFVSCRGGYATWFSRKASDPNLLTGAIVGGPDAYDNFADHRDNYEQTEPATYNNAPLLGILARLHGGHGGYNQLLPVEIPVAKPIAVQPKPTPVKETSSAAPIAIEQKTTTSWVANGKTYYRYSVVVANKSSKTIKNLNLSVSKLYGPLWGLTKTTGGSYGFPTWVRSLPAGKTMEFVYIHTASPAEVSVSSYTLA >KVH91821 pep supercontig:CcrdV1:scaffold_18:468198:481899:1 gene:Ccrd_006151 transcript:KVH91821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MKDVDRVRLQSKESSFSPKPGSSNKIEFHETVNVRENNCDTFINVLDGKNNARVGTSEHACTSSRCTDSSGVMVEELTVRNFNNGKFEIVGASSIREGIQNGNMPSGTKPGVWEDSDSIFFSEFLDKKQEDQNQNETIDDSPPSPSNTSLSLGGIRTKILSKSGFSEFFVKNTLKGKGVICRGPPRDGVGVHIRGGQSDPRPAVAPNSCMGGVTVCPSAGFVEPRPDVSLREWLKNGGNKGDKSKSLHIFKQILELVDSSHSRGIALHALRPSCFKLLPSNQVLYLGSPAQKEFMETDDDKEILHMDNRDEKRQVEQGVFDASLCSKRRKHGDNWSSFGRWRQIPNLSGSNHSGSNHGRPRAFSYGFNEESSSPHISNTSQVLSSFSSNLLEEQWYTSPEDLRERCSTLSSNIYSLGVLLFELLGSFESAREHAFAMMNLRQRILPPSFLSENPKEAGYCLWLLHPEALARPTTRDILKSKLVSEIEEISTDELLSSVTQDDIESELLLHFLVSLEEQKQICATKLVEDINCIESDIAEVELRRAKQSHEPIETSLILENKSRFGLNITSSPFSTLSESRLISNLGHLESAYYSVRSEIEVSDSDSTNSNDHEVFRSRENYNGSQMIKAEKKPLDRLGIFFNGLCKYARYSKFEVRGNLRGGDFSSSANVICSLGFDRDEDYFATAGVSKKIKVYDFHLLLNDSVDIHYPAVEMANKSRLSCICWNSYIKNYLASTDYDGMVKIWDAGTGQAVSHHIEHERRAWSVDFSRVDPTKLASGSDDCCKNSTSTIRNIANVCCVQFSPYSAHLLSFGSADYRTYCYDLRNVSAPLCILAGHDRAVSYVKFIDSETIVSASTDNTLKLWDLKKTSVGCSSTNGCILTFRGHTNEKNFVGLSVADGYIACGSETNEFGSIDPVSGKETDNGNNQFVSSVCWRQKSDMVIAANSSGCLKLLQMSSYTQVVDVDKHTR >KVH91807 pep supercontig:CcrdV1:scaffold_18:756614:760676:-1 gene:Ccrd_006135 transcript:KVH91807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MSDHEDSKAESLIEKIQNHKNSSSSSSSSDSDDDSKISSVKSKVFRLFGREKPVHQVFGGGKPADVFLWRDKKVSAGVLGAATIIWFLFEVLEYGLLTLVCHGLILSLSILFLWSNVTAFIKKSPPKIMEVSIPEEPLMQIVADVRIEINRGFAALHDIASGRDLKKFLLVIAGLWFVSIIGSCCNFLTLFYISFVLLHTVPVIYDKYEDKIDPVAEKAWIEIKKHYAVFNEKVISKIPRSLNKKKV >KVH91831 pep supercontig:CcrdV1:scaffold_18:165913:170685:-1 gene:Ccrd_006172 transcript:KVH91831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPLTAAAIAMTEKKMDMALDDIIKMSKTGTGTNRAKKQRVPNKNQKFSNNVAQDKPMKLKRFMDSRSSLRQGVLAQRRSSFQGNQFPLAAEAARKAAVAPIRNRNFNRNQAMTSYRPRQVLCKLWFFYLANVLAMPVARPVQNRAANGGFAVKQQQQQQVKVVSKQRAQTLDSLFANMKEQRMKAQQQNNNMRRNGGGGQQQRPRPPWSRSYNNNN >KVH91800 pep supercontig:CcrdV1:scaffold_18:656776:668175:1 gene:Ccrd_006139 transcript:KVH91800 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVTVFDRYFSIYHVTVVSIDTLLLFTCLFIFFYNLSSTKMAGSVRRHWLPVWSTGFNGCLGFVYLGLGIWMILEKLSDKTSLLPPDGWLVAVLQGGVWLLILSLERRRVLPKIYSILILLFTIFLCFSSIWEDSVHRETTLMGVLNVLTFPGAVLFVICVFREQKQENIENGSLYAPLQAEERSETDENVTPMAKAGILSIFTFWWLNPLLVKGKRKVLDGKDIPYLRPEDRAESCYSRFMEKLEKRKDGARGDPSILSTLFIWKRKDLVITGFFALIKVLSLASGPLIIRAFIRVCQGMESFKHEGYFLAFGLFLAKCLESISERQLNFRNRLIGLQVKSMLCAAIYRKQLRLSNVAKLSYGPGEIMNYATVDATRIGEFPFWFHQIWTIGLQITLGILIIFYSVGMATFAALFVVILTVLGNLPMGKLQHKYLTKLMAAQDRRLKAIAEAITNMKVLKLYAWETHFRKATEMLRKEELRWLSAVITQRGGYLILFWSAPVFVSIATFWTCYFLGIPLDASNVFTFLATIRIIQEPIRLISDVAAVFIEARVALTRIIKFLEAPELQRELKKHGNVENKSVIIESEVISWTDDSSKPTLAHVNLEILTGEKVAICGEVGSGKSTLVSAILGETAWIQTGTIQENILFGSEMDDEKYQEVVTKCSLVKDIEMFPFGDQTIIGERGVNLSGGQKQRVQLARALYQDADEYIMEALSSKTVLLVTHQVDFLPAFDDILLMADGKIVKTGTYSQLLDSCKEFQNLVIALSDTSSSDNQAPDDSKQISEKPNQEIQKISTKEQIESSLGEQLIKQEEREAGDTGLKPYKQYLGQSNGYFYFFLSVLSHISHIIGTLLQNLWLAREVQDSNFNWLNMLLVYMGIGVFMMFFLFGRSYFVVKLGVKTSMAVFSKLITSLFRAPMSFYDSTPVGRIISRVSSDLNIVDLELAMKFTIGVGTTMNTYFSFAILAFLTWPVLFIIIPTVYITILLQELMRLDGTSKSLVASHLAQSIAGVVTIRAFGEEDRFFSEHLRLIDGNASPFFHSFSANEWLIQRLEILCAVVISSSALAIRYQPNSPLVLQGISCIFEGGDKIGIVGRTGSGKTTLISALFRLVEPTDGKIIVDEIDITTIGLHDLRSNFGIIPQEPTLFSGSVLDKCQLLEAIQDKKEGLDSLGKVMEYDKPMKLMNEPASLFGLLVNEYWSQHKPS >KVH91799 pep supercontig:CcrdV1:scaffold_18:724479:729680:1 gene:Ccrd_006138 transcript:KVH91799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MASSNTTLDCAPPMKATSHGVFQGDNPLHSALPLVILQMCLVLVLTRVLAYLLKPLRQPRVVAEIVVTYLHAVFPPRSLTVLDTLANLGLLFFLFLVGLELDLNSLLRTGKKALSIAIAGISFPFVLGTGVSFILRGTISKGVDEGPFIVFMGVAMSITAFPVLARILAELKLLTTDVGKMAMSAAAVNDVVAWILLALAVALSGTGRSPLVALWVFLCASAFIVLCSFLVPPVFKWMSQRCPDGEPVDELYVCATLGGVLAAGFITDTIGIHALFGAFVVGVLIPKEGAFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVSSIQGARSWGLLVLVILTACFGKIAGSVGISLVCKIPFMEALAIGLLMNTKGLVLNDETFAILVLMALLTTFITTPLVVAVYKPAKAPSNSEYKHRMLHRKGSSTSPFRMLFCFHSVRNIPTMINLIEVLRGTGKKEVLLVHAMHLMELSERSSAILMVHKARKNGLPFWKKDPNSGSDQIVVAFEAFQHLSKVSIWPTTAISAVSSMHEDICNGAELGILVDRGFGGSSHIAASNVDSVVTVLFFGGHDDHEALAYGARMAEHPGINLTVVRFLLNPTDTTSTPGSVTVDIQESSTAEAQSADDEVIKEFNMKLVSKDCNMKYDERVVADGSEMAEMIREYSRSNLFLVGRMPEGEVVAALLKTKSECPEMGPIGNLLISPSFTTGASVLVVQHYHRQLSLISLASLKEDETTDLGV >KVH91843 pep supercontig:CcrdV1:scaffold_18:593018:597787:-1 gene:Ccrd_006142 transcript:KVH91843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin-like domain-containing protein MAAIYSLYIINKSGGLIFYKDYGLAGRMDTNDSLRLASLWHSMHAISQQLSPISGCSGIELLEADTFDLHCFQSLTGTKFFVVSEPGTQHMEHLLKHIYELYTDYVLKNPFYEMEMPIRCELFDIYLSQAIQKDRVAYLGR >KVH91842 pep supercontig:CcrdV1:scaffold_18:584879:590882:1 gene:Ccrd_006143 transcript:KVH91842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine dehydrogenase, catalytic MKNIPVILMGCGGVGRQLLQHIVSCRTLHANKGVRLRVVGVCDSKSCLVARDALSMELNDTFLTDICRIKSDGLPLQTCIDPGNYEAFPYPESMRKLTDIATRLAFALIDCSASSETIEVINQAISLGCCAVLANKKPLTCSMEEFDKLFIQPRRIRHESTVGAGLPVIASLNRTLGYVMSEVEDGKPFSEVVKAAKGLGYTEPDPRDDLSGMDVARKGLILARLLGHRMDMSSIKIESLYPDKMGPNAMPLEDFLVNGLPSLDDDIKERIKKASSNGNVLRYVCVIENSRCNVGIQELPKESALGRLRGSDNVVEIYSRCYKEQPLVIQGAGAGNDTTAAGVLADILDLQDLFP >KVH91803 pep supercontig:CcrdV1:scaffold_18:842902:846571:1 gene:Ccrd_006130 transcript:KVH91803 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase MATSSSCYTFPLNTGIFSKQQSILPPSTFLLSCFTRRSHLSLRSIPGRPVLVAATANTVDVEKSAVAETPLKILPFRVGHGFDLHRLEPGYPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFIKEAVRLMHEAGYELGNLDATLILQRPKLSPHKESIRANLSLLLGADPRVVNLKAKTHEKVDSLGENRSIAAHTVVLLMKK >KVH91837 pep supercontig:CcrdV1:scaffold_18:494876:497170:1 gene:Ccrd_006148 transcript:KVH91837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKVSFGLLSAFVLIFAITLPTAVHGQPFAPAPAPAPTSDGTSIDQGIAYLLMLLALVLTYIIHTLDTPVDLS >KVH91820 pep supercontig:CcrdV1:scaffold_18:483519:488460:1 gene:Ccrd_006150 transcript:KVH91820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein METLITSHSVSCLNLKNPLISSQFPQSFFHLRPNFPPFQPSAKLQFCVNDELRSISHKRFGASIRASMATDQITATDDGIRWLLEPVGDGDSRHIGFKVAMPSAFEIASSVLCCYVVSGTHARLQKKGGDLLVTDLGSTNGTFIDEKRLTPGVPSVIPPGRYVTFGPIFIPLSTTTSIYCSLGSFEKESDTNLAIFRVSKVKIVKSNDREPTVELETEGASSIVENTSQNV >KVH91790 pep supercontig:CcrdV1:scaffold_18:384000:385300:1 gene:Ccrd_006160 transcript:KVH91790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLSRALNTKPRRSIRYTEGSCRPSLSLSEYDEIFLGCMENEVVVPCDGTVAEEDGGVRWRLKDVMGASVGVVGESALGVTEKVVFSNGMCSVLKRFRMVCVRRREFGRRVGVLAAVGQRCDYLVSMKAYLYSKRFKFVVCDYYPMGSLYDLLIGAREHGHTPLSWKHRLKIILHIARAIGFIHSQSPARNRNMIMNVHGNLTTSNIMVDVDFNAYLSNYGFTQLAVEVPDTGQRKPPSPPSPLPFSCEPLSQNNDIYHFGIIILDILGGSKALESIECGSERKDEIKVKGYATFEFPFEGKDKRKVWKVWNMAMTCINSSHEAMPSIDCILQHLNRLYS >KVH91825 pep supercontig:CcrdV1:scaffold_18:850367:858244:-1 gene:Ccrd_006129 transcript:KVH91825 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MQSVAIVRRSMASISSSMSIKHGISLWCPQSPSLDRHHSSVIYSRSFHRRNFVVSASSSFANQNREFVIVGGGNAAGYAARTFIEQGMADGKLCIVSKEAYAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMFYEDPVTAIDAEKQTLTTNSGKLLKYGSLIIATGCSASRFPEKIGGNLRGVHYIRDVADADSLISSLGNAQKVVVVGGGYIGMEVAAATVGWKLDTTVIFPESHLLPRLFTPSLAQKYEELYQENGVKFMKGASIKNLEAGPDGNVTAVKLENGSTIEADLVIIGIGAKPAVSPFDKAVGLNATVGGIQVDGLFRSNVPGIFAVGDVAAFPLKMYNRISRVEHVDHARGSAQHCVKALLTANTRNYDYLPYFYSRVFEYEGTSRKVWWQFFGDNVGEAIQIGNFDPKIATFWLESGKLKGVLLESGSPEEFKLLPKLARSQPSIDKVKLQSAASVEEALQIATASLQVTTLLPFLIFKFIISL >KVH91809 pep supercontig:CcrdV1:scaffold_18:70970:73514:1 gene:Ccrd_006182 transcript:KVH91809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Development/cell death domain-containing protein MENNNQSSFWQFSDQLRVQSNNLNLSLNDSIWSTSYVSKRPEKERRNFDIRVGGDFINSATVNGNSSGGSNSDFNGFNFDWKIGSSNQNQVGDFGINGGFNKGIYSKPSLNFGVNNGNFEKNGFKKDGKFGIGKNGEDDHQIFGGKHGKNKKNSNNSNNNEKDNSKNSVDKRFKTLPPSESLPRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRQITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPSAWEDKKNPGESRFPAQVRVMTRKVAEPLEEDSFRPILHHYDGPKRSHFWTYSKRTRTEMRCRRR >KVH91804 pep supercontig:CcrdV1:scaffold_18:827724:840689:1 gene:Ccrd_006131 transcript:KVH91804 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MKCRSVACIWSESPPVHKVTATAVLDRPSTLYTGGSDGTIFWWNLSSTNSDHDIKPVAMLCGHTAPISDMGICFPASTLGDEKTTDSSNVAPNSSSVNYGSLISACTDGVLSIWGRDSGHCSRRRKMPAWVGSPYMVQPLPENRRYVCVACRFIDPLNSLDHQSLDSTDAGEPSTNREPQDKKPSKCTVVIIDSYTLTIVQTVFRGTSSVGPFKFMSIVTPISDMERQSVLMADSFGNVQCVSLLKDTDRSEDILDDLQKNSSHLEMSDWLQESGEGELPISFAASGQVLAILFRTYCVFKLVDGSAKVGEISLLDDQLCLEEGSLSQYDVTGCLFLGNAYGRMVPLAEEDLNIFIETFAVWNNKGHVIMYTISYSGKTFKYVPLCVVPSVSHPPNVELSFSFVLINRNLVRIESTCLHTEELVHWKPLITIWALPGNSEKFHQECKLVGKGSYFDEWFVDPDSPIKHEDKRDVAKNVIGMDTSEGKQGHFSREFLVTSSMVISENDSSPYAIVYGYDSGEIEVLRFNIFSEKVDAYCGSPRQEVDSCAWKQYLSGHTGAILCLAAHQMVSTSRGFNSNISLISGSMDCTIRIWDLNSSNLVTVMHQHVQPVRQIILPPPHTDRPWSDCFLSVGEDSCVSLASLETLRVERMFPGHPYVPSKVVWDSTRGYLACFSLNHSATSDASDVLYIWDIKSGARERVLRGSAAHSMFDHFCMSGNKNYLSVSSMERNTSTSSLLLPVIEDIQVSQCHPNNPEKDIALSDFSHASTRMTQQGPYANNTHPITCSCPFPGIATLTFDMTLLMSIRAASFEKQSDAEAQTPRKRLDRISSLLKEQEVEVPRPYQVSTNGADALQETPADAIEYADWAHSLEGCLFRFSLSVLHLWNVDYELDKLLVSEMKLKKPKNFFVASGLLGDRGSLTLTFPGPRATLELWRSSSEFCAIRSLTMVSLAQHMISLSHSCSTASSALAAFYTRKFAEKFPDIKPPLLQLLISFWQDKSEHVRMAARSLFHCAVSRAIPRPLRNEKSYDDESKGSTENRSINSSMAENLQESVTSEWQAETVRTSEMLAWLESFERQDWISCVGGTSQDAMTSHIVVAAALVVWYPSLVKPGLAMLCIHSLVKLVMAMNEKYSSTAAEILAEGMESTWAVCINLEIPRLIADIFLQIEHVNGASAKPTPHGSSTFEIRESLVAILLPSLAMADVPAFLHVIERQIWSTASDSPVHIVSLMTLIRVTRGSPRNLAPYLDKVAGGQFYFTDNGPWQLGHAQKLLTKFNGDIEGSCAMTKIKILDASGPPGLPTLLGGASEMTVKTAISALSFSPDGEGLVAFSEHGLMIRWWSLGSMWWEKLSRNLVPVQCTKLIFVPPWEGFSPTSTRSSVMASDNSGELSEMDRLKVLLHNLDLSYRLEWGGGRKVLLKRHGQELGTFQL >KVH91833 pep supercontig:CcrdV1:scaffold_18:195512:196885:1 gene:Ccrd_006170 transcript:KVH91833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MTMSWNSYVRTPIPHAPFTKIHRVNVFMAMKTEIQSRKLIKPSISTPPSLRSYKISFVDELAPSMNISLVLFFPHNTDYNPTQLEKSLEKTLTLMYPLAGRYMADVRTVDCNDQGVEFVQAQADTTIQEILDFKLKVDPNLINQFIPSKLLADGPTDAVLATQLTAFECGGSALGVSIAHRIGDVSTMSAFLNQWATLSRKDAKVETGLITSFSSFPAQDSPFIEQGFTKLNDNGYVTKKLSFDENAISNMRMKATSNGKTDNRQLFKVQLVSALIWKAFIGVDHAIYGHSRDSVALQPTKLRQKTRSSLPRSISIGNQWGPIVTERNTTKKVELGFEDLIDLLGDSVMKTMKEYSKLGHDDSQERKEMVLKSFSQIRNISNDRNVVWLVSWCRFPYYDVDFGFGKPEWISCGCVPFKRGVIMVDDAGGNGVEAYVSMGVADVRHFEQDEDIKAFSV >KVH91829 pep supercontig:CcrdV1:scaffold_18:149461:150084:-1 gene:Ccrd_006174 transcript:KVH91829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATQASLFTPSISTPSSSPWKQSSPITTTFTTVKPPKPTTRFTTIKASGAATDDIPTKQAPAGFTPPELDPNTPSPIFAGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNMRSIGKNVSPIEVKFTGKQPYDI >KVH91819 pep supercontig:CcrdV1:scaffold_18:408512:412921:-1 gene:Ccrd_006157 transcript:KVH91819 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MEKSVATLDKGTICSSWNYCGQRLATGLIDGTIAIFDTSDPASSFFTSTYKFKVNEGSIVKVVWVPPENGDAIACICSNGSLLIWEEIAEVESEPVRWKQCKSFGGSLDQVIDCQFGNSITSLKLTIAYSNGNVKVYEILDPMELKDWQLQAEFQNVTDAVTKCGKALCSSASISWSPHRSESRPASFVLGFTSDTPQLNSPKVWEFDQDHQRWLPVAELAEPGDNGDEVYAVAWAPNIGRPFELIAVATSKGISIWQMASNPDPDGRLSIEKVMTFPCHDNKVWQMEWDMSGMTLATTGSDGRVRLWQSNLNGVWHEQAVIEPIT >KVH91813 pep supercontig:CcrdV1:scaffold_18:27884:33665:-1 gene:Ccrd_006184 transcript:KVH91813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor MENRGSTFLPSEDLLYKELWKACTGPPVDVPRDGERVFYFPQGHMEQLEASTNQELNQRIPLFNLKSKILCRVVHTQLLAEQDTDEVYAQITLLPEPKQSDSDPTSPDECLAEPPRPSVHSFCKDMKQPTPTQELVATDLHGIEWRFKHIFRGQPRRHLLTTGWSTFVTCKRLVAGDSFVFLRRFARQQSSMPSSVISSQSMHLGVLATASHAVSTQTRFVVYYKPRTSQFIIGLNKYIAAVNNKFTVGMRFKMPFEGEDSPERRFTGTIVGVEDISPQWECSKWRSLKVQWDEPASGGRPERVSPWEIETFIIPVPTSLVQPVAVKSKRPRPPVEIPNLVVANLEMDLTSFCIWAISCNPDGQRSDYQGSWHPDHTENGNNGCHFRTQMVVDETEDQKGLSVWSPHSTYSVQEILKLPSDSIQSSVDMMKSERSSSCRIFGFDIKIPTKSDGNTASTLSAGILDQKSDLSKDCKDQGPLQVQMQGMAVGRAVDLTVLKGQDVKKMRAGSKLSSVDNEVSGFSLEAAEN >KVH91839 pep supercontig:CcrdV1:scaffold_18:552374:556001:-1 gene:Ccrd_006146 transcript:KVH91839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MELFKLFLMCFLWFGANAVVLEDDRRALLDFITNLPHSRSLNWDSSSTHVCNQWTGVTCSEDGSRVVGLRLPGVGFHGRIPANTIGKLSALQILSLRSNGISGQFPVDFYNLKNLSLLYLQFNQFSGPLPLDFTVWKNLTIVNLSNNEFNGSIPVSISKTHLSALNLANNSLIGGIPDLGVSDLQVLDLSNNHLTGFVPKSLEKFPKSAFFGNNLSMVYSSNEVPIVMPTRKPDSSSKNGGKWSERALLATIVAVSAVVFTGFAIFWVICCLKKNNGDGISSKLEKGGMSPEKAISRTQDANNRLVFFEGCSYAFDLEDLLRASAEVLGKGTFGMSYKAILEDGTSVVVKRLKELSVGKREFEQQMGIIGSIKHENVIELRAYYYSKDEKLTVCEYYGEGSVAAMLHGKRGEDRVPLTWDTRLRIAIGAARGIARIHAETGGKLVHGNIKSSNVFLNPQSYGCVSDVGLSTIMSQIAAPIARAAGYRAPEITDTRKATQPSDVYSFGVLLLELLTGKSPVHTTSGDEVIHLVRWVHSVVREEWTGEVFDVELLKYPNIEEEMVEMLQIAMSCVARVADQRPKMTEVVKMVEDVRRNAESESRPCLPESSSRVPPDVLEK >KVH91835 pep supercontig:CcrdV1:scaffold_18:116672:125454:1 gene:Ccrd_006178 transcript:KVH91835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle receptor, beta subunit SQLLVRFFTLSLNFGWNLGLKIEPVSSYLLHQFCCLCVRVMDVDRIELVKAQLQPYLRQGEEYVRRFEEFARQIPPTQIYIAFGVVFFTTFIFYLIRVYKHTISNTIVLSGLSGGGKTVLFYQLRDGSSHQGTVTSMEPNEGLFVLNSESSKKGKIKAVHLVDVPGHSRLRPKLDEYFPRAAGIVFVVDAVEFLPNCRAASEYLYDILTKASVVKRKIPLLILCNKVDKVTAHTKEFIRKQLEKEIDKLRTSRTAVSDADISDEFTLGVPGEPFSFSQCVNKVTVAEASALTGEILPLEQFIRERVKP >KVH91845 pep supercontig:CcrdV1:scaffold_18:616892:623102:1 gene:Ccrd_006140 transcript:KVH91845 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1/A1 complex, subunit E MNDTDVSKQIQQMVRFIRQEADEKANEISVSAEERIKYRSQHTKLICLCDQIFQEFNIEKLQLVEAEKKKIRQEYDRKQKQVEVRKKIEYSMQLNASRIKVLQAQDDLVSAMREAASKDLLNVSHHKFQQHHNYEGLLKSLIVQSIFTSITFFANNLCFFQSLLRLKEPSVLLRCRKEDKHMVESVLHDAKAEYAKKTKVHEPEIIVDTIYLPSAPSHNDPHALSCSGGVVLASRDGKIVFENTLDARLDVIFRGKLPEIRRLLYSQIAV >KVH91810 pep supercontig:CcrdV1:scaffold_18:74600:90052:-1 gene:Ccrd_006181 transcript:KVH91810 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEIFSTFSSNSDFPFLSFLLALTITLFCCFISLFPFRLLKSSQSDKPKACDCDSTCSNFDGDAQSMAVTASVMNGGEVFLVERERRQMIGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSSMRRAANDDNAWKALYHKDFTMEQDSITPSNGWKAYYASTRAIINSNQQFFNIIRERSLLEMGRLWLNADYVKCVHLSGELFTGYNGVIGSWQLAFNWEPGFDFQVRDVRARVLTDMAWVTMRAAVGMDDNPLNVSNVFEFHNGRWYMVHHHSSVSTKNI >KVH91826 pep supercontig:CcrdV1:scaffold_18:871301:872015:-1 gene:Ccrd_006128 transcript:KVH91826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRRNSSGGGQSSLGYLFGSTDSDKQQNDRSKVSSSSSPLVSMPPYGTDNDVEEKPPEKAPTPPSKADRSSSPNKYIYHGDGDKSKDFVVTGRPSTKVKSVPGGDSSLGYLFGDNN >KVH91798 pep supercontig:CcrdV1:scaffold_18:240683:241009:-1 gene:Ccrd_006168 transcript:KVH91798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYMETCIQKQQTDEEKPQKLQESWRKPEGSYGTESGRMRVKLVLTKDELEWLLLQLKNKEGQRLEDVLGEIEKGRMAGKNVVAGWKPSLESIMENPEVHHEMDRSS >KVH91797 pep supercontig:CcrdV1:scaffold_18:199199:201420:-1 gene:Ccrd_006169 transcript:KVH91797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIITKFHYFFSLFFKQRKPLPKSQPQKVTSLRSPPPSSRPPPPPPPPPIGRDMGAAQAMKRIPRIKFPQRHPKPSGSTTQNQAAAPVAGDVPSAFFSRSAPSEKTLGGKASLQPKRTPMSQEEIDAILVCSSFSFACLYSPDISIMP >KVH91812 pep supercontig:CcrdV1:scaffold_18:2091:16973:-1 gene:Ccrd_006185 transcript:KVH91812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MSTTTAAAVLHTSQLLRRTIFNRAFALIYASGILTLLYRHLLTLRHSTTFISAATTATFLIADLVLAFMWTTTTSFRLYPTTRKVFPENLEKVLEKKDFPALDIFICTADPYKEPPMNVVNTALSLMAYDYPPEKISVYVSDDGGSELTLFAFMEAAKFAKIWLPFCRNNNIIDRCPEVFFRSNDITFSDSTKIKVQQPSCIKNLYFLVFFGGPSSLELPEGPQLHPGHVAKKLIRNHEVLTLAHEVAGSNYENDSLWGSKMGFRYGSLSEDFFTGLHQHCRGWKSLFFHPRRPAFLGDLPISLYDALNQNRRWCIGLLEVVFSEYNPLTFGSRFMGPLMGLAYAHNAFWPIWSIPIIIYSFVPQLALLNHLSVFPEVTSIWFLLYVFLFLGANIQDCLDFILAHGTFQQWWNDQRMWLIRGLSSYLFGFVEFSIKRLGIASKGFHVTSKVVDTEQSKRYDNGVFEFGVPSPMFVPLATVAIVNAITIAFGIVQTLMGENMDGLFGQMGLACFGVVNSWPIYEAMVWRSDRGKMPRVIIVISTFLALFLCTLVLVEPPMNVVNTALSLMAYDYPPEKISVYVSDDGGSELTLFAFMEAAKFAKIWLPFCRDNNIVDRCPEALFQSNDVTFLDSAKIKVTLVTRSQAMYENMKLKVENIVERGQISATYIANEDERKVFDIWSQGFTCLNHPTVIEVLLESGQESDIKGHPMPNLIYVSREKSKTSPHHFKAGALNVLLRVSAVMTNAPIILTQDCDMYSNDPETPKRMLCFYAHQSTRYNLGYIQFPQREYKRLYVINPGGMDGLRGPCYVGSGCFFVRRVFFGGPSSPELPKVRQLRPDNVVKKPIRSRQVLTLAHEVASSNYENGSFWGSKMGFRYGSLSEDFFTGLHQHCKGWKSLFFHPKRPAFLGDLPISLYDALNQNRRWCIGLLEVVFSKYNPLTFGSYFMGPLMGLAYSHNAFWPIWSIPIIIYSFVPQLALLNRLSVFPQKALNFVMIEMQATNIWFLLYVFLFLGANIQDCFDFMSAHGTLRQWWNDQRMWLIRGLTSYFFGFMEFSIKRLGIASKGFLVTNKAVDGEQSKRYDNGVFEFGVPSPMFVPLATVAIVNAVAFAFGIVQIIEGGNIDGLFGQMGLACLGVVNSWPIYEAMVWRSDKGKMPRVITVISTFVALFLCTLVLVVPNA >KVH91838 pep supercontig:CcrdV1:scaffold_18:528926:546422:-1 gene:Ccrd_006147 transcript:KVH91838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMVMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KVH91801 pep supercontig:CcrdV1:scaffold_18:812923:822431:1 gene:Ccrd_006132 transcript:KVH91801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGKILVSVEAKQGYGTFVNDFYITKKTISSDDKIDTGPQNPTLVTHMLKYGTNLLQAVGQFYDNDIIEGPSRISLNCPISFKRIKTPCFDFDNYLGMNSRRPLWRCPHCSQSVCFVDIRVDQNMVLKEVLPNVSHVSISADGSWEVVSEGDDHSDKPQDKAPLHQESTISTGADILDLTEGDTDMDASNSRHNDDSKPSPAQLQAQLINYQNGSYVNMNNTSNENGTYPTPARLVTRNPIAVQALPVQTSAGMVSDDRTRQSSRFRMNSRQNWVHHDLSHVSSQPVQQFGPCSGPPLSGHWYPSALPADRFNSHHNQPSNQRIPNPIWSLAQLPPQVCHGGVGPTSNQQFPHYAMDAAQQAIQIRSMTPSVQAPVQTSVSSVPVASSEVQGGEAPRVLEGSADENQRPARRMRGSLSGRDYSDALNRLIIHPTQPVQAARPPVLNAPRPALPPHLQVLMANNINARGSQGGANGSVLDSSNGDTTAGVLLPEK >KVH91815 pep supercontig:CcrdV1:scaffold_18:391777:396346:1 gene:Ccrd_006159 transcript:KVH91815 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAT8 regulatory NSL complex subunit 3/Testis-expressed sequence 30 protein MASKRRRTTESKHEQAVQKRSSMVTRAQSSSVKINNNNNSPVVVFAHGAGAPSSSEWMIRWKNLLADALNAVEVVTFDYPYISKRKPPPKAEKLVEFHSGIIKEIDSKYPQHPLILVGKSMGSRVSCMVAVENGIRVSAVVCLGYPLKGTKGAIRDEPLLLLTVPTMFVQGTKDGLCPLELLEPVMKKMKAVNRLHAIENGDHSFKIAKKNLELTGTSQEEAEARAVEAIATFVSEIIGPR >KVH91846 pep supercontig:CcrdV1:scaffold_18:100658:113990:-1 gene:Ccrd_006179 transcript:KVH91846 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLRTHVGTPDNFALPRVRCSQSSVTLHTSLRIKIPLRNDLKAAGSRRKRVHFPRAFFWNSDSGQSSDSSTQDFVTRVLKENPCQVEPRYKIGDKLYTLKEKEDLGKKSYNGNDNGALEILKRLNLKGSNNNRSGDGVDYSKDVYLNDILRKFKGKLYVPEQIFVEESSEEAKFDGELELLPRMNFEDLQRAIKTDMIKFLTFKEEDGVAQGKKDFIVELKEIPGDKNLQRTKWSVKLDGFQVQTVLKDYNGPRYEIETQTMYPTSVASSISSRLMVELGAVTAAIAAAAVIVGGFLASSAFAVTSFIYAGTVYVIWPMTKSFLTVPLQVLVGVLERVGDVILDMIIYGGISSKLSELYSFGFFSSIFKASRPILVIGLGMVILLRFTLSRRPKNFRKWDIWQGIEFSQSKPQARVDGSTGVTFNDVAGIEIALVKYLKTPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVNKPSVIFIDEIDALATRRQGIFSDKTDDLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRKDLLDPALLRPGRFDRKVGSLLLDINSYVVIRIRPPNAKGRLEILKVHARKVKVSESVDLSIYAQNLPVFLSKKLCNYPSGWSGARLAQLLQEAALVAVRNKHDSILQSDVGEAVDRLTLGPKRLALELGHQGQCRRATTEVGTALTSHLLRRIENASIERYPIASGRAAEEVIFGRDTSKASLTYLADATWLARKIITIWNMENPMVIHGEPAPWRRQPKFVGPRLDFEGSLYDDYDLIEPPLNFNMDDEIAKSTEELISNMYGKTVALLRRHHTALLKTVKVNW >KVH91811 pep supercontig:CcrdV1:scaffold_18:93350:96753:-1 gene:Ccrd_006180 transcript:KVH91811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MKKLIIDDRSTDVKFHTNNLKLFIKKEEKEGFLKLSLPLIITFWLPFMISFSTFGFNHGSQGKVGGFSKNFTNTTSYPYLEDQGRNHADRVLLEFNISRVYNDSISYEHSFDETEGSPLQESSEGDELVWKVLGYSAFVCERQIQDIYLEKKQELQNGRTHFQYLDLDEFRNITRQDNGLNGSPSGLVNITHRLEPDGTEYNYAAASKGAKVVAHDKEAKGASNILGEDHDMYLRNPCSVPEKFVVIELAEETLVDAITIANFEHHSSNFKQFELLGSLVFPTETWYDLGTFVAENVKHSQYFKLPEPRWARYVMLRLISHYGSEFYCTLSVFEAYGVDAIEKMLEDLFLASEESANRKSLTPNPTSLSETTCFRRTDDEFKNVVKDEKIEGFDDGKKMKDDAQKKPLMTTKVPETVAKGNGRIHSDAVLKILMQKVRFLENNLSLMEDYIKELNTRQEEFLPHLDQEILKYSAVVEETRSEIDNLLPWKETMRKSSNLKWVQDGSDGRFHKPGETDQTETSCVLGFASGFKMVPFQVVPLEPRETGQTEPSRVLEKEIAELESWKASVSFLLESLVKENVMLRQDFEKITSDEESLDMADQALLSATLVFTILAALKILSDQFSNFSGDSGYRKVRRRGGGWKLLR >KVH91793 pep supercontig:CcrdV1:scaffold_18:276309:280022:1 gene:Ccrd_006165 transcript:KVH91793 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase archaeal subunit P/eukaryotic subunit RPC10 LEKPLQNPRYDYFASRFRRSQIGDANNRIGDSADCGQENTLKVGDVIQCRECGYRILYKKRTRRIVQYEAR >KVH91805 pep supercontig:CcrdV1:scaffold_18:743648:755626:1 gene:Ccrd_006136 transcript:KVH91805 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MDQGRGAFVSALSVGIGIGVGLGMGKTVNRWTGNSDSSGTGLTPQTMEREMLGMIVDGKDSKVTFYEFPYYLSEQTRVLLTSAAFVYLKNIDFSKHTRNLAPASRTILLSGPAELYQQMLAKALAHYFEAKLLLLDVTDFSLKSLKRSISETTLARMSGFLESVVQPKVERKVVLDKEHPAYWLLCSILSLFLFNYRGIEPLQNPPNLRRNASTAANMNEFSANYAPVNPAMTLPSSQLHLDAQAAGLSTRSFSCRPYTRDVEKFLGKSQKIYILFQKMLKKLSGPILIIGSRIVDHEKDDNVVDNRITSVFPYTIEIKPPEEENHLVSWKNQLQEDMKMIQFQDNRNHISEVLAANDLDCDDLGSICVADTIVLSKYIDEIVDETGKDVVGTKSETKPETTAPGEAEAAAPKNADNVAPPPAKAPEVPPDNEFEKRIRPEVIPAHEVGVTFADIGALTEVKESLQELVMLPLRRPDLFIGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSKGGEHEAMRKIKNEFMTHWDGLLSKSGERILNLCTTAAYRPVRELIQQERKKDIAKKIKAENGEDSQAPEETTEQRVDGWLGLYTRDPLQSE >KVH91834 pep supercontig:CcrdV1:scaffold_18:174664:186070:-1 gene:Ccrd_006171 transcript:KVH91834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MGDGPRGIQVFPDHFQSSTSVVETPQTRSSSISRAKIEFELSSSNHLWARRRFRSAASMLSLFNLRRLSWGADPDVQKKVDTVQHSSTQFDILIYIFSKNFCYCVGQLVLSAAEAESLRSELADLEEREAHLKARLEHIDEILRSARLSGYLLMRTRWTALPGEPAPLDDTEVDDWLPCFVVLQGPCIFLYFMSTGRMPCLTQEEGQTRYSFYIVTRHGLRYECSSASKLQVDAWLMTLQEECKTGPDSSTIDNSPEICNNNLCQISASRAATSLLSSTSKHKHHVVSGRTVASAATVSFKGTLPALASIKRDNTGNTWIAGALALPAAAYMLQDQEANAIQMERTFIAIKPDGVQRGLISEIIARFECKGFKLVAIKLVVPSKSFAQKHYHDLKERPFFNGLCNFLSSGPVLAMVWEGEGVIRYGRKLIGATDPSKSEPGTIRGDLAIVVGRNIIHGSDGPETAKDEISLWFKPEELSNYTSNQEKWVYGVN >KVH91827 pep supercontig:CcrdV1:scaffold_18:142547:144528:1 gene:Ccrd_006176 transcript:KVH91827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-/4-kinase, catalytic domain-containing protein MSAVDVALSPFHIESGYIHNRSESIVIYLTVAGSVIPMCILESDSIASVKLRIQTCKGFLVKKQKLVFEGKELSRNNSLVKDYGVSSGDVLHLILRVSNFLVVTVESAFRKEFEFQVDRFRNVGYLKQKIAEQAKGFAFINVEDQEILCNGEKLDDQRLIDDICKNRDAIVHLVVQKPAQICSKPAKRDLEIYVDSHVNEEGIHKKSPNVGFPLQPVIINPNLKFSPAIWSMLASATEGLKKWKNPIRSSEGTGGAYFMQHPSGNKYVAVFKPIDEEPMAVNNPRGLPPSTDGEGLKRGTKVGEGALREVAAYILDHPRTGPRSIDGEPETGFAGVPPTIMAKCLNSEFNHPHGYDGGPENIKVGSLQMFVKNYGSCEDMGPRDFPVEEVHKITVFDIRTANADRHAGNILMSREGGRIVLIPIDHGYCLPENKDIDLLSSFGWDLSSECALTLRISTMLLKKGASKGLSPFAIGSIMCRETLNKESVIERMVQKAYDSLLPGMSEAVFLETVSKIMDSELDNISLRLV >KVH91795 pep supercontig:CcrdV1:scaffold_18:251871:262637:-1 gene:Ccrd_006167 transcript:KVH91795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MEVVDVAMGGVGGGGRNNTFCFIGPTMADVFEEETEQTVSLNEYLDEVEDQELEADLVLGGDEGKECTYVKGYMKRQAIFSCLTCTPEGNAGVCTACSLSCHDGHEVLFYFYSYLITLSFGIIELWTKRKFKCDCGNSKFGEAFCKLLPSKDIENSENSYNHNFKGKYCTCGRPYPDPDVEEQVEMIQCCICEDWFHEEHLGLESTNEIQIPRDEEGEPLYEDLICHICSPICSFLNLYPQTIRALHKQQDTATNAKEKGVETAPSASGPSINLENGTSEFHHVDPTVSDEKGMIIGEKSDTCLLGVDLLTTELTSEKSQPMFLSKNWREALCGCARCSGFYAEKGISFLLDKEDSIAEYERMAKQKRDEKMQQQEGAELSFLSNLGHVEKMEILSGIADMKDEIRSFLESFDASKPITTDDIHQVFDNLKKRRRMD >KVH91818 pep supercontig:CcrdV1:scaffold_18:421320:421640:-1 gene:Ccrd_006156 transcript:KVH91818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPHLSHHHQPPFNHHKPPKKHYSKISIRSLHKLLRSVFRPKQNHTNTSSTSTFYVYDTSTALSTIPELPETLPEFDGVSPEIKSLVTRTQSDRLMSASLGISCV >KVH91816 pep supercontig:CcrdV1:scaffold_18:428224:431755:-1 gene:Ccrd_006154 transcript:KVH91816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MTESHLPPENKDTSPVDAGAAFVLESKGKWWHAGFHLTTAIVGPTILTLPYVFRGLGWWFGFFCLTAMAVVTFYSYYLMSLVLEHCENAGRRHIRFRELAADVLGSGWMYYFVIFIQTTINTGISIGTILLSGECLQIMYSSLSTSGSMKLWEFIAIVTGIMIVLSQFPTFHSLRHMNLGSLFLSLGYTFVVVAACIYVGLGKNTPTRDYSLEGSQFSKTMNAFTSISIIAAIFGNGILPEIQATLAPPVTGKMFKGLVMCYSVIFLTFYSVAVSGYFVFGNKASSNILTSLMPDDGPSLAPTWLLGLGVVYSQVAFDIMETKSADVNQGMFSKRNVIPRIVSRSLYMIFCGFFAAMLPFFGDISGVVGALGFIPLDFILPMLLYNMTYKPSRSSFTYWINNGIMVVFTGVGILGSFSTVRKLVLDANKFKLFSNDVVD >KVH91828 pep supercontig:CcrdV1:scaffold_18:127217:130692:-1 gene:Ccrd_006177 transcript:KVH91828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MESSSSSSSFRLLSLLILSHAMFFGFKPVGVVHISQDLYNSRSRNHSVSQDLYTGSTNNSVSPPPPPPSPSPPPPPSPSPPPPPSPSPPPPPAPISVPALFIIGDSSVDCGTNNFLATLARADHLPYGRDFDTHKPTGRFCNGRIPVDYLALYLGLPFVPSYLGQSGSFSDMKQGLNYASAGAGMIFSSGSELGQHISFTQQIQQVMDTFQQFILTMGEDAASNLISNSIFYISIGTNDYIHYYLPDVSVVVMGLAPIGCAPYYLWQYNSENGECVKTINNMIMEFNFVMRYTVKELRKELIDANIIFCDAYLGSMDIIKNFDQYGFSVSDKACCGLGRYNGWMMCISPGMACGNASNYLWWDQFHPTAAVNEILAENVWSGLHTRMCYPMNMEQMVAQGVRIR >KVH91817 pep supercontig:CcrdV1:scaffold_18:425791:427195:-1 gene:Ccrd_006155 transcript:KVH91817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSLSSRAWEVSRSLGGVCAYAEIRDFAYHFSCCICANGVCESRESDWWITIHSQIHGYGLCGDVHVGTALVRFYSKLNDIETAKKVFIEMTERNAASRLIDGYLESGNLSMAERVFSEMGNKDIASWNSMVSWYTKTGDMAKAIASFGPMPDKNSASWSAMIGGYVDSGNMEIARNFYDVMPEQDVVSCIKMIDGYALKGAVESARRIFDEMGEKNRLLYNAMITCYARNGWLKDALQLFDEMLQPNVSIQPDSVTLATVISICYQLGDLRFGSWINDTLMNQMGIVMDDCLRVLLIDLYAKFGCVDKAYELFHGLQRKEASV >KVH91844 pep supercontig:CcrdV1:scaffold_18:601372:608824:-1 gene:Ccrd_006141 transcript:KVH91844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MRSRGSNNRLSSSRSAFRWRISSLVLSMFATMAAFFVACRLWQEAESRVYLVKELDRRTGQVMELEEAKKEGYVSKHLIETKGTNEKKKLLAVVGILTGFGRKHNRYAIRKAWMPTGTALTKLEDEKGIIIRFVIGRSLNHGDNSDRDINNENIKEKDFLILNDHVETAEEKPKKTKLFFIDALEHWDAEFYVKVNDDIYLNIDALGAILSNHVDKPRAYIGCMKSGGVFSKPTDKWYEPEWWKFGDRKSYFRHASGEIFAVSQALAQFISINKLILRSYAHDDVSVGSWLIGLDVKHVDEGKFCCSSWSSGALCAAS >KVH91822 pep supercontig:CcrdV1:scaffold_18:448605:454467:-1 gene:Ccrd_006152 transcript:KVH91822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHRLRNRIKSLVGSHIDPDKDEELKGSNVETEDNYNKIIELLKDEDQDDKEKLVGLIEEFHKRYQSIYERYDHITGKLKEKVRSKKENDSSSSSSSDTDSDDQKSKNGKLENEIYTEIDELKRKVAAETEEKEAINAECEAAFKKLQETEEMFNESKLQSDRLREENSKLLADKRELETELSLKIEDINREKTSLMSEIETAMAIIEVEKRNNEELRAVVVQLKEEKDGFESELENIKEEFSKTKEKLKTTEEEVSNAIQILETSEAEKKSLSEELSRQREIHDSFKNETEIKMIGIEEELELLKSQKTEIEKRKEDEILALEKKSEDKESEINHLQVELESTRSQMDSLKLELANKAADEQKLLEETIEDLKSDLEIKGDEINSLSETVRNLEVKIRLANQKLRVTEQMLNETEHDHARREEKLHQENKDLTDKISTLSETIISVKKEVQENVHETLSIIDSLTVKFEEDYGHVTTRVSEIKNEIQAVASQVKRTRSEKDELKRKLEEMVVKLKMGESENEKLMKLLENKNEKIEEMENKIHLKDEEIMEVVQDKKEAIRQLCIWADYQRGRCDRLQELLGGGGSGGRRQTVR >KVH91814 pep supercontig:CcrdV1:scaffold_18:405642:407641:1 gene:Ccrd_006158 transcript:KVH91814 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MELAKQLKAILSSECSPVSKQVLIQEIISSYDRALLIVNWGGDLSGQTPGLTVAPPMAVLSQPESSVSIDESPQSRDLNPPFENQQDQKVVCKKRKAMPTWRNQVRISTDNGLEGNTDDGYSWRKYGQKDILGAKFPRSYYRCTYRYVHNCMARKQVQRTDEDPTVFEITYRGKHACNPATARSVAPPQSPEKHDRKQNNHHHNHQLPPQPKSGEMLSNLRENLRVSTSDLDATVPCSFSFPSASLGYMENYQQFNFSNETDNGFLQGYPPSFVSPATSGSNYFTEWGNDFQHHDDSNLSGMISTTASATTSPLAFPTDQQDLSPSFPFNNSGFFI >KVH91840 pep supercontig:CcrdV1:scaffold_18:565160:570658:-1 gene:Ccrd_006145 transcript:KVH91840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MEVKFFLGKTNAAKNCCLEVVSLKFGNRGSLEQHGFARNKIWEIDNNPPPLHDNDCDRKAFIDMLLKPSEEDMKIWPHRYEFRLRVLLTSDGALKLISRIRNIGSKVFSFSIAYHTYFSVSDISEVRVEGLETLDYLDNLHHKERFTEQGDALTFETEVDRVYIGSPHMIGVFDHEKKRTFLIRKEGLPDAVVWNPWEKKAKAITDMGDEEYRQTICVDGAAIEKPITLKPGEEWTGRLEISALPSI >KVH91824 pep supercontig:CcrdV1:scaffold_18:890746:895701:-1 gene:Ccrd_006127 transcript:KVH91824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MAASQEHLDKMQLRQNYRNLWHTNLMGTMSADTPCIHAVLDICHAVEGVEKVNVLNYAFAPRDRPESQCFQESYPDCGPNMIVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACIFSIVACLVGSEELSEASQLLNCLADLVYCTVCACMQTQHKVEMDKRDGKFGPQPMAVPPMQQMSRIDQPYPPNVGYGQQPYGYPPPPPQAQGYPPAGYPPQGYPAPGYQR >KVH91836 pep supercontig:CcrdV1:scaffold_18:491470:491718:1 gene:Ccrd_006149 transcript:KVH91836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIASATVLKPLTGHHDQASEQKKNICAFQMPLHYPRFQQHDYEKMSEWKLDCLLKEYGLPLNIGDLNQKRKFAMGAFLWT >KVI10971 pep supercontig:CcrdV1:scaffold_180:113713:156811:-1 gene:Ccrd_010631 transcript:KVI10971 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding domain, CaLB MGHGGSKSSSSDESADGGGSDSKSRPSYRERIKQKFHLHRPPTTSQHSNLIDHDHFAGIALVTLKSAEMKFKDKWLACISIGEQTFRTQEKKFLVEKNGPHLARVSVFETNKLSKNNLIGYCEIDIFEFLSQASDSDVEVFELFDPSSSNVMVGKISVSCSIEDPIETEKSFARRILSIVDYNGDGKLSFSEFSELINAFGNQLAARKKEELFKAADVNGDGVVSMDELATLLAVQQEREPLINCCPVCGELLEISDKLNSMIHMSLCFDEGTGNQVMTGGFLTDKQASYGWMFKLSEWAHVSTYDVGLNSGSSASHIVVFDRRKKRLVEEIIDGKIVLSMRAIYQSKVGLGIMDKGAKEILQSLSEKQGKKMNSTFNEFFIRELKPGARPIACVGRDDVAVCAADSRLMAFSTAEESCRFWIKGRKFSIQGLLGNIPCSNAFIDGTLVIFRLAPQDYHRFHFPVSGTIEQIVDIPGCLYTVNPIAVNSKYCNVFTENKRAVSIISTADFGKFGYFSFGGSTVVCVFEKDSIALDEDLLANSTRSLETLVSLGMQLGVSSKKRADLSLPDINNCVLGD >KVI10955 pep supercontig:CcrdV1:scaffold_180:177910:182262:1 gene:Ccrd_010629 transcript:KVI10955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLLKPTFNLSPSMLKTLITHKKNPSFLLNWSPWRSRSLKPLNPITRRPSQHLSTAAAAVPHDRSAPVRKGRPHNSTERDESQMGLKAERRARRNSPSGTLLSKLCSCSRDNDTKEALRLYEEALINDVPLNVEHYNKLLYLCSSSTTDLDLERGFEIYKRMESKVVPNEATFTTMARLAAAKEDPEMAFNLVKRMKEECGISPKLRSYVPALSGFCKKEMADKAYEVDAYMIQNGVAAEEVELAMLLGVSSHSGRVDKVYEMLHRLRAMVRQVSEDTATLIEDWFSSDRATGVGQVNWDVEKVREAILERGGGWHGQGWFGNGKWQVMRTKMDARGVCQSCGEKLVSIDLDPLETENFASSLSNLACQRETRADFLRFQEWLQKHGPFDAVVDGANVGYLKNYFDFNQLKYAVNSARKLSPSNRLPLVILHSGRVRGQHTGNPRNKTALQQWNESGALYVTPQGSNDDWYWLYAAISSKCLLVTNDEMRDHLFELLGTNFFPRWKEKHQVRLSLTSNGLQFHMPPPYSIVIQESERGRWHIPTVCRDDLETNRQWVCAQPDHVTVLP >KVI10974 pep supercontig:CcrdV1:scaffold_180:92469:97593:1 gene:Ccrd_010634 transcript:KVI10974 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MLLVVQERNKRKASGYIYETSPLIPCKGRFDILSLSGAFTPSENGGAKGCSGGMSVSLAGPDGRVLGGGLAGDTWKLSSQPPTGAPETTQEIKIGTYTSYLAAAYRRHFCVQTSNREKIWKENLERLKREKRRKGWWETSQGNRARRVAAEHFTINDKMGGGGGDSKWKMQYESQP >KVI10956 pep supercontig:CcrdV1:scaffold_180:184478:189585:1 gene:Ccrd_010628 transcript:KVI10956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MEDFIKNQTFAIHAVAGAGALTLGTAATYPLETIKTLVQVGSGPDKQWSAAQVFDRVRKLSGNSGLYNGFGWLALGRILGVGARFGTYELLTAFYKDGRKDNYVYVSEALLAGIAAGAVESVMSSPFELFSIRAQVKTASRIPNSSTIVEKTTISSSTAKLLRGYSPDVKALNHSVGLLSTLNTNSSNLTGSLKEYPWMMTGTGRAPPVYLVSRPTDVISLEGLGALWRGIRSGLVRDSVFGGVFFSSWQFLHRAMLDWKAVGMDPIPSSDDDVGPLHPLAVSLAAGFSGSIAAAASHSFDTAKCRSQCLVLPKYISMERKFLKWSLPGKRFERLTGIHPRDRNILFRGIWLRMARTGVASFVFVGSYFFLIDHLVLR >KVI10973 pep supercontig:CcrdV1:scaffold_180:58703:59241:1 gene:Ccrd_010637 transcript:KVI10973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase fold MAAWKEACAVESATLLVPSGCSFMITSTIFSRPCKSGIVFQIDGVVMPPAGPDCWPKKDSNNNKQWLV >KVI10968 pep supercontig:CcrdV1:scaffold_180:111246:113437:1 gene:Ccrd_010632 transcript:KVI10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MGSSRFRKAIGRVKDQTTIGLARVSSSTSLPDLDVAIVKATRHDDYPAEDRHIQEILNLTSCSQAYVATCVTTISRRLNKTRNWVVALKALILIQRLLEDGDPAYEQEIFFATRRGTRLLNMSDFRDMSRSNTWDFSAFVRMYAFYLDEQLEYRMQRRRGKHSSYSHEEDEEEQPEEGAAVVESPIHKDYNQHLIVMVALYPLVKQSFHLYYDITEILRILIDQFTELEIPESIKVYEILRRVGKQYEELDYFYDWCKSVGLARTSEYPDVEKISQKKLKIMDEFIREKSVMGQFRIEGPEAEEEEEPDKPKPEPEPEPEPDMNAIKALPGPEGSEEKQKEDKEELEKTQDVGALLNLGDDAPNVEDHADKLALALFDGSPATTAPVETGIQVWKAYKGSEDWETALVETPSHLSNQQPSLPGGFNTLLLDGMYQQAAMQTAMANTGMMATGSASSVAFGSAGRPAPAPTSANGRGDPFSASLPLAPPPYVQMAEMEKKQRLLVEEQMMWQQHQRDALRGQLRYKQTNIHITLEVTHFLSEKWGTNFFSNLATGVTADSKPLKPKFKPEKL >KVI10961 pep supercontig:CcrdV1:scaffold_180:352036:353232:1 gene:Ccrd_010621 transcript:KVI10961 gene_biotype:protein_coding transcript_biotype:protein_coding description:BYPASS-related protein MTAKMPFASGYTMSLKTLCRSVFGFGIDHNQVHSMELLEECDIHLDKELQLFQYQVFRQFKNLSESSDDGFLSLDWLSMLLDAFVVCHEDFMAILSKNEPYLSEPPLDKLVMDFFDRSIKALDICNAICDGIQKIRCWHKHLEIVSYALSSRQRKLISKGQFRRARKALTDLDHIMLDDTKDSGRFFLRRFKSFGHSNKGKSVNCHNQEQMRSLSWSTSSSWPASKQLQSLANSLIPPCENETSTTYRLANVVFMMGFVYVFVLWALVAAIPCQDRGLLTNFNIPNHFSWATPFSVLHVRIINEFKKRDGKNSFGLLKEISQMEKSVGYITNLIDSTHQFPLTEEEKEKVVVGVAELSVVSNAYENGLHPLEYQLRQVFHKIMFFRSEGLEILCRVRS >KVI10960 pep supercontig:CcrdV1:scaffold_180:257432:264666:-1 gene:Ccrd_010626 transcript:KVI10960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MAMPKQVEHGGEWESHSRLYEAYNELHGLAQEFETPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKFNPECDSPLCHLISDSDSCVPEEKSLQEVQEYIEAENMRLERETYQFSSKEIIVRVEYKYCPNLTIIDTPGLIAPAPGRKNRALQAQSRAVESLVRAKMQHKEFIVLCLEDCNDWSNATTRRVVMQAISLREMEDVTSLEEKLGRSLSNQERSRIGVSSLKLFLEELLQKRYMDSVPLIIPLLEKEHRSTTRMLNDINQELRVFHDLFVTKLSLLLKGTVVAPPDKFGETLQDERVNGGALIGIDGSQFPLKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRSLPFIFYLHKPFMSSISLQFQYFCNSFLPSFETLGLHVSLLLRKLVLVPVLSSLDLYVLPSFSLWVQLGSRLLHILKRLLPISVYLLQKEGEFLSGHEVFLRRVSSAFNNFAESTERSCHEKCMEDLLSTTRYVTWSLHNKNRAGLRQFLDSFGGAEQPVNGGTDSSTSVQTTETRLADLLDSTLWNRRLAPSSERIVYALVQQIFHGIREYFLASAELKFNCFFLMPVVDKLPALLREDLESAFEDDLDNVFDITNLRHSLGQQKRETEIEMKRIQRLKDKFRKIHEQLSLHQVMPGP >KVI10969 pep supercontig:CcrdV1:scaffold_180:98480:107468:1 gene:Ccrd_010633 transcript:KVI10969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCEGIVDTRQQQHGVGFGPNGARSPKKLPLDPMILTSSSLFFIGS >KVI10976 pep supercontig:CcrdV1:scaffold_180:54141:57355:-1 gene:Ccrd_010638 transcript:KVI10976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLLMTKTTKILWVSPNHQFKSKEYLVRHKNVILRFKLPSRSSQLLSPSFDTNSCTRVPATLSLSSTSSHQVADYNADICRFCAVGNLQKAVDLICRSEEIVLDLKTYCDILQLCAELKALHHGKTVHNMICSRGIEVDSVLGSKLVFMYVSCGDVREGRRIFDNIVKENVFLWNFMMNAYAKMGDYEESVCLFKIMQEVGVEPDSYTFSCIFKCSGALGNDNLGDRIHGYVLKLGFGSDKTVVNSMIALYFKRRSVDDARKLFADLPDRDVITWNTMISGYVTNGLAYKGFEVFKEMIDSGIGVDLATMVSVVVACANMGVVSLGRAVHAYAVKGEFDKKMKFSNTLLDMYSKCGDTNAALRVFKNMDERSVVSWTSMIAGYARENQSDEAIELFLDMKKEGVKPDTFTVTTVLHACASNGSLEKGKKVHNYIRESNMQSLPVSNALMDMYAKCGSMDDSYSIFSEMTSKDIVSWNTMIGGYSKNCLPNEALDLLIEMQREIKPDNVTMTCILPACASLAALNKGREIHGHVLRKGLSSDQYVVNALADMYVKCGGLVQAKSLFDMTIIKNLVTWTIMIAGYCIHGFGQEAVSIFKRMREEGIDPNAASFTSMLFACSHSGLLHEGRKFYKIMVNDCKIEPKLEHYACMVDLLSRAGKLSEAYEFIKMMPIRPDTTIWGALLCGCRFHHDVKLAEKVADRIFELEPENTSYYVLLANIYAEAEKWEEVKALRDRIGRRSLRKNMECSWIETKGKVNIFVAGDGENPEGKRIESLLDELRMEMKKDGFAPNLKCALVEKVDMEKESAVCGHSVKLAIGFGILKLPPGKTIRVAKNLRVCSDCHEMAKYISKNVGRQILLRDSKRFHHFKDGFCSCRGYCQIPQKAASGSADLQIQTVALFFNNQWLCVFYRSIHIMGGFWLHLQMPPFVCVESSHVCILILAFFEHLNLVFICLEETEDGPAVLSRWLKTMLC >KVI10964 pep supercontig:CcrdV1:scaffold_180:345750:347663:1 gene:Ccrd_010622 transcript:KVI10964 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSFRSIVRDVRDGFGSLSRRSFDVRLSGHHNRGKSQSSINDLNDNKPSLVIQNSRWANLPPELLFDVIKRLEESESTWPARKHVVACAAVCRSWRTALLVENGKFLLSAKRTRRTTCTEYVISMDADNITRSSNTYIGKLRSNFLGTKFIIYDTQPPHSGAQIPTPGRSSRRFYSKKVSPKMPSGSYNIAHITYELNVLGTRGPRRMHCVMHSIPSSSLEPGGSVPGQPELLLPPRSLEDSFRSMSFSKSLDHSTEFSSSRFSEIMRAATSTDAPDSEKSKMPLVLKNKSPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPPVAGTSQPAQPPPADHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KVI10963 pep supercontig:CcrdV1:scaffold_180:322036:323580:1 gene:Ccrd_010623 transcript:KVI10963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTMIAAAALILLLIVSSNELTRVESQGVDCYDSCSTGCVNSDSIREQKLLLKRNHMYASVLRMENRKEANS >KVI10977 pep supercontig:CcrdV1:scaffold_180:42942:43586:1 gene:Ccrd_010639 transcript:KVI10977 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF125, transmembrane MATAQNDSSVPIPENQSHPKQCQLAAEEGFDYSQRGQWLRAAILGANDGLVSVASLMMGVGAVNHDAKAMIISGFAGLVAGACSMAIGEFVSVYSQLDVEVAQMKREGRTIENPEEKKKEQLPNPTQAAVASALAFSLGAIVPLLAASFIGDHKVRLGVVVGAVSLALVLFGWIGSILGGTPVVKSCFRVLFGGLMAMAITFGLTKLIGSSGRL >KVI10975 pep supercontig:CcrdV1:scaffold_180:81462:83943:1 gene:Ccrd_010635 transcript:KVI10975 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MRREMLVMMIICEFMIGFSKAQLRVGFYNNVCPNAESIVGGFKSAFGHQGVQGFDVIENAKAKLESVCPGVVSCADIVAMAARDAVAIDDGFGQSHGPAYEVETGRKDGLVSNVELADRLPDWSNGKWLLYRLISAAHTIGTTACFFMTQRLYNFKEGGGFDPSINPSFLPELTSTCPKGGDVNLRLPMDRGSGETFDNQILQNIRSGLAVLQSDAQLMDDPTTKGIVNSYFETGASFEADFVNSIVKMGRIGTKDSSNGNIRRVCSSFN >KVI10966 pep supercontig:CcrdV1:scaffold_180:27594:28232:1 gene:Ccrd_010640 transcript:KVI10966 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF125, transmembrane MATNHQNDLRVPIPEDQPHPKQLPAEEEFDYSQRGQWLRAAVLGANDGLVTVASLMMGVGAVKQDAKAMILSGFAGLVAGACSMAIGEFVSVYSQLDVEVAQMKREKRMIENQEEKEKEQLPNPIQAAVASGLAFSLGAVVPLLAASFIGDHKVRLGVVVAAVSFALVVFGWIGSMIGGTSVVKPCFRGLFGGLMAMAITFGLTKLIGSSGL >KVI10959 pep supercontig:CcrdV1:scaffold_180:208002:208593:-1 gene:Ccrd_010627 transcript:KVI10959 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGETNVNLPPGFRFCPTDEELVNRATSNGFWKSCGGDEQIVSSNGSKRIGVKKYYVFHVGEAPEGIKTNWIMQEFRVSDASTTSSRSRRRDTSKSVSFISSNSFLL >KVI10962 pep supercontig:CcrdV1:scaffold_180:355237:357472:-1 gene:Ccrd_010620 transcript:KVI10962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MASSKVMASSSPANQDLQRHQPYAHTVDHNTNLISMNMDDLLKNIYEDSRQTPEDLPADGGGRTVDEDWKDMVDGSSGDPEMTLEDFLTKARAVREEDVAKLPPVSEYSGVTDPIASFQAVPQQHMQFGSSGGGRGKRKVMVEDPPVDKATQQKQRRMIKNRESAARSRERKQAYTVELESLVTQLEEEHARLLKEVDELNKERFKQLMEKVMAVDEKRKPAAAIRRSNSM >KVI10965 pep supercontig:CcrdV1:scaffold_180:13658:23741:1 gene:Ccrd_010641 transcript:KVI10965 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MATSMDFLSNLIFISLFLLLLFYIYHRSQKYGKRTKTYHPCGGTKFNQLINYRMLHDYNTNLATIYKTYRVFNPFRGEIYTSDPSIVEYILKTNFKNYGKGAHINNILKDLFGDGIFTIDGDEWREQRKVSSHEFSTKVLRDFSSVVFRKNTIKVGNILSEAANSNKKIDITVELNITSQYANIQDLFMKATTDSIFKKALNIGTEAELQKNIKVINDYFKKEDILSRFLQIKNTDPKYIRDIVVAFVLAGKDPIATTLYWFIYMLCKHPHIQDKVAKEIKEATNINMKELEITNVAEFAAXVSEEALDKMQYLHANLTETLRLYPALSMDVKICFSDDVLPDGCNVEKGDMVFYLPYAMGRMKFIWGDDADEFRPERWLDRDGCFHPESPFKFTAFQAGPRICLGRDFAYRQMKIFSSILLGCFVFKLSDENKIPKYKTMINLQIDGPMHICVSKRYEKTRLQGGGMKIKAHKLMATRFLMKCVNGVPFYDCEFRNCTVQDLLFLRERRIFATLSYLVDEFSCKYLICGNAFFH >KVI10957 pep supercontig:CcrdV1:scaffold_180:287415:295580:-1 gene:Ccrd_010625 transcript:KVI10957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFFGKHRGDVEPVDQKKYLEDSCKPKCVRPLIEYQACVKRVEGDDSGEKHCTGQYFDYWHCIDKAKYGSPLSSFVRLRLQTLGRAEEEPVDQKKYFEDLCKPKCVRAWLEYQGCVKRVEADESGHKHCTGQYFDYWRCVDKCVAPKLFKKLK >KVI10972 pep supercontig:CcrdV1:scaffold_180:58643:61599:-1 gene:Ccrd_010636 transcript:KVI10972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFRPQGGTIVEPSPCSARWPIGIAAFGLCLPFLXKNYGSAQENIETLIANRPLLGSSSLCTLTSEFRVLSCCCAFHHVGVRFCASHSLKGLEKIVEVIMKLQPEGTKRVADSTAQASFQAAMQAPVSSVDPSLMAP >KVI10970 pep supercontig:CcrdV1:scaffold_180:173734:176863:-1 gene:Ccrd_010630 transcript:KVI10970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNLLRYPPIPIQFSDKVPKHPSWSRCFYVWIIQPALAVVGAPENPVEVITGWFQSVRLSSLPNMFPTASLNFDVGALMILKPQDYLLQQNSVGFVSSPPLHRAALLSSSLLSTAASIDWSSHEKKMITNIDSDQKDPQMCSLYLMRRPRYNFIETVHRDVTQSMRGVLVDWLVEVNP >KVI10958 pep supercontig:CcrdV1:scaffold_180:296100:300438:1 gene:Ccrd_010624 transcript:KVI10958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex, subunit 2 MEDHDIDEEEFEFSRNYFLAKESGKSGKKSARKISEIDLVDEQDLRAEVSNIEPKHEKEIASLINSYKSLYNEWVFELRYGFGLLMYGFGSKKTLLEDFASTALTEYNVIVVNGYLQSINLKQVAMAMAEVLWDQLKMQPRSTSGGLLKDQQPFNSRSMADLIAFLDGPHAAEDECLVCLLVHNIDGPGLRDSETQHLLARIAACSHVRIVASIDHVNAPLLWDKKMVHVEFNWCWHHVPTFAPYMIEGVFHPLILAHGGTSQSVKTASIVLQSLTPNAQSVFKMRRHSDGQDCLYIPLSKDALEKLLLD >KVI10967 pep supercontig:CcrdV1:scaffold_180:388098:400632:-1 gene:Ccrd_010619 transcript:KVI10967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAIDRNLIEEEGCLHRFYKIVLGWDYLRLLKESSKKSKNTVNEKNLGLKHVKDTYKDVDDYLGTFEPLLFEEVKAQIVQGKNEEEETEWKMGMVIQCHETDGFHLPEIFGEDWGSVSQNDLLLLTKKKFGDDNELPTTYAFALVEHRLPDKIRLRMQLDGEIKRVDTDESSSSKRLLKMRSLIVEQNKTWSIMKICSLSTIAREYVALRSISSLPYKDLILTAADSIQNSEDQAWKISKPLMEFIQTNHNTTQLEAIRAGLSRRKFVLIQVFLDFVGPPGTGKTQTILGLLSAILHATPARIHAKGKINEIKRGPDLHIQDKNNHWGKASPWLYGINPRDAKMPKDGDDGFFPTTGSELKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRLLSTGIRDENDRAYTPKIVRIGLKAHHSVQAVSMDYLVEQKLAGMDFQTADKQKHGGGARDRDTIRASIMDESAIVFSTLSFSGSSLFTKLGRNFDIVVIDEAAQAVEPATLVPLASGCKQVFLVGDPVQLPATVISPVAEKFGYSMSLFKRFQKAGYPVQMLKTQYRMHPEPAYFPQIRSFPSKEFYNGELEDGADIRDRTKRLWHKYRCFGPFCFFDIHEGQETQPSGSGSWENVDEVDFSLLMYHKLVSSYPELKSSSRLAIISPYRHQVKLFRSRFKETFGIDSEKVVDINTVDGFQGREKDVAIFSCVRASKERGIGFVADFRRMNVGITRARASVLVSKPYTEFFSDNSLTSMMAEEAMPEGLGPDDMDTDALGYDLAVDADQAPVDDDYGDDVGGGDDGGMDD >KVH93720 pep supercontig:CcrdV1:scaffold_1800:71720:76489:1 gene:Ccrd_004226 transcript:KVH93720 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWS-like protein MPAFTKPARMSPLTLTRCPKNKQPVASEYSDFSDSNSSKTETLLPSHDEGGGNDDITSRLHVCMSEQVESTRYHRSDLESMKAECRVCENVIYPWEKVSCSIHDCRVSYHLKCAKERVGLLSSSNEFKCPQHACFLCKKKSHLWRCSKCDLASHEKCAAYPEYILHSDEKPAEIICWRHSTDWPPLKSVVPTSSIEEVFCRLPLPYVEEEFRIDPMWKCTLENKEPSSYTLIKRNLDLTKGSARYHHFISDYHFLPFPQLKSDVYLIKKKHDDVDDGIGCICCDSEICREDCLCWLQCISCSSACGCSEICANRPFRKNKKIQLVMTHRCGWGLEADEFIRKGDFIIEYVGEVINEALCEKRFWDMKEGVTNFYMCQVQRDFIIDATKKGNESRFLNHSCDPNCNLEK >KVI11157 pep supercontig:CcrdV1:scaffold_1803:51387:59552:1 gene:Ccrd_010434 transcript:KVI11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MRDWVLILAIGFGVFGNWVCGYEAEFHFNTTEVVAFLEESSASAANPLIVGLTLIPGAAAKGAVCLDGTLPGYHLHRGFESGANSWLIQLEGGGWCNTIRSCVYRKTTRRGSSNFFEKQLAFTGILSNKAEENPDFFNWNRVKVRYCDGASFAGDTEDKALLSGCSAGGLASILHCDEFHSLFPRSTKGVAKNLSPTCTSQNNPTSVVSSLTAASADPHGAWKACQKNPGNCSPAQMNFFQEFRNQMLDALKGFSMSKENGLFVNSCFAHCQTERQDTWFADDSPVINNKAIALAVGDWFFDRSSVKETDCPYPCDKSCHNLLFRS >KVI11158 pep supercontig:CcrdV1:scaffold_1803:61371:62001:1 gene:Ccrd_010435 transcript:KVI11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPIPIQALTTLFEVIPLFSKNCVLANWKEKCHFSLFVLREEEFSPIKIFEVLLLVSYAGENLVVICCSSTFHPPCEI >KVH88008 pep supercontig:CcrdV1:scaffold_1804:24002:28881:-1 gene:Ccrd_024605 transcript:KVH88008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREESLQKKRREALTGSQFSVPVQSASAAEKKLENLPAMVAGVWSDDNNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSEHTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPKCRDLVLGQGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTRPALPALQQLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEANVCPRLVELLNHPSPSVLIPALRTVGNIVTGDDMQTQYIINHQALPCLLNLLSNNHKKSIKKEACWTISNITAGNKEQIQTVIEANIIGPLVYLLQNAEFDIKKEAAWAISNATSGGSHDQIKYLVTQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNLGRSGDVNLFAQMIDDFEGLEKIENLQSHDNNEIYEKAVKLLETYWLEEEDDAMPPGDTTQSGFQFGGADVSVPSGGFNFN >KVH88012 pep supercontig:CcrdV1:scaffold_1804:70120:94983:1 gene:Ccrd_024608 transcript:KVH88012 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MEADTGKGNFIFSTMGVDDKTLKLSTCGVTHQEWSISLHAYSDLTNVSPVVFLYLLKECYVYGTCKATAKFFVLQHQVLKALHNTPRPGPAVFVARCLSLLPMLESYCEGFSHLMIAALRRFLKTGTTQEDLLEAKLLATQLFIIAVRGNKLHDDRILVKILEVFNIKFTDIKEVMFPSEEKNDSFTKAYIEQYISKLLESQSYMAVVTLLEQLSICQYREPFLHTMLESKEYKAAEKWATFMGKQMLCVLIREYVDRKLVKQAYELIMKNNLREEFPEVYQMGKESSLKKLAEKGCWDIAEMKAKSDSKLLEYLVYLAMEAGYSEKVDELCDRYSLKGFMKAKEAEASLSRIRYLHRDELAIDDIIWVDESVGLHEATCHIEGCKVIGLDCEWKPNYERGSKNKVSIMQIASEKIVFIIDLIKLSHDAPAALDSCLIRIFHSPRILKLGYNFQCDMKQLAQSYEDFDCFKHFEMLLDIQNIFKEPRGGLSGLTKEVLGVGLDKTRRNSNWEERPLTRNQLEYAALDAAVLIHIFRHVSNQSQSTTNDHTQMEWKSHIISHGGNLGNTKNKVNSK >KVH88013 pep supercontig:CcrdV1:scaffold_1804:97210:98268:-1 gene:Ccrd_024609 transcript:KVH88013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MEEDLHIHGAVSTPYVSAPSSPGSGPPRSYGGGFFYSAPASPTHHVLSSSNSVLLAPLEGAGGSFEFEFTVRHEATGPAASGSMTSADELFLNGKIRPMKLSSHLQRPQDLAPLIDVLENDGEEEDKFDRGRDLKSRDRSKSLRRRTRSMSPLRTNTAFQWLEEFKDARESTEINEIKEKLEAEEDRQRANDDDNGTPSSGGSSRSSSVGRSSKRWVFLKELLYRSKSEGRNSSNSNRNHKLWSTLSFSPSSSSAQKDKKSSDSQTSKTADAVDGSGTTPKTKKAVNGLGMNRKRRVGRSAHEVHYTTKRAQAEEMRKKTYLPYRQGLLGCLGFSSKSYGAMNGFLNSVSSR >KVH88011 pep supercontig:CcrdV1:scaffold_1804:3688:12269:1 gene:Ccrd_024603 transcript:KVH88011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain-containing protein MATFAKPENALKRAEELINVGQKQDALQVLHDLITSRRYRAWQKTHEKIMLKYIELCVDMRRGRFAKDGLIQYRIICQQVNINSLEEVIKHFMDLSTKRAELARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKERSDRELVTPWFKFLWETYRTVLEILRNNSKLMALYADTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSSPESLHFYLDTRFEQLKVATELELWQEAFRSVEDIYGLTSMVKKMPKSSLMAVYYAKLTEIFWISSSHLYHAYAWFKLFQLQKSFNKNLSQKDLQLIASSVLLAALSAPPYDHMKTASHLKLEHEKERNIMMYNLIGFNIDVKPETGEVLSRSTLLMDLVSKGVMTYVTQEVKDLYHLLENEFLPLDLASKVQPLLTKISKLGGKLSSASSVPEVQLSQYIPALEKVATLRLLQQVSHVYQTMKVETLTKMVPFFDFSAVERILVDAVKHKFVAIKVDHLKGAIIFGDLGFESDHLQNHLGVLGTNLTKSRLMINPSPSRVSKLSDMLPSLAEIIDKEHKRLLARKTIIEKRKEDQERQLIEMEREEETKRLKQQKKAEEAEQMRLATEFQERKNQRILREIEEREREEAQALLNDVEKRIKKKGKKPIIEGEKVTKQTLMELALQEQVRERQEMEKKLQKLIKTMDHFERAKREEAAPLIEAAYERRLGEEKLHHERQQQLEVELSRGRHDGDVKEKYRLHRVMEHKMQFQERVMKCRRGEYDRMRSEREERLSQVLQARKEEREIKRKMLYWVRTEEERLKKLHEEEEARKREEQELEERERLRKEAILRGTPIDMPARPSEILGAGSIAPAAAPAPALAAAGSGSSGGKYVPRFRRSEPSGQAPPPPAESDRWGAGKKDDRGAPTSDKWRPSATSGSKTWSSSRFSR >KVH88009 pep supercontig:CcrdV1:scaffold_1804:40432:46075:1 gene:Ccrd_024606 transcript:KVH88009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREESLQKKRREALTGSQFSVPVQSASAAEKKLENLPAMVAGVWSDDNNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSEHTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPKCRDLVLGQGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTRPALPALQQLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEANVCPRLVELLNHPSPSVLIPALRTVGNIVTGDDMQTQYIINHQALPCLLNLLSNNHKKSIKKEACWTISNITAGNKEQIQTVIEANIIGPLVHLLQNAEFDIKKEAAWAISNATSGGSHDQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGRSGDVNLYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKLLETYWLEEEDDAMPPGETTQPGFHFGGGDVFLLEVKCHYGGGVMLATRQASVCFHSSSAWSNIVVCLKFMDWFRNSVNIFSFGTGLHALMFR >KVH88007 pep supercontig:CcrdV1:scaffold_1804:13320:20231:-1 gene:Ccrd_024604 transcript:KVH88007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MAGTGDKLELSVAAMVEDVLLEHGKELCAVNASRNDAKAKAATKRNEATRWLRKMVGVVAAKDLPSEPSEEDFRMGLRSGIILCTVLNKIEPGAIPKIVEAPFDSVIVPDGEPLSECLYFDNITNFLEAIEERGLPSFEVDDLEQWGRLPKVVNCVLALNSYSNWKKEGGNGSFRYDGNPKASSKRKQIVRKSLDMDKCDLWKDVKDKPLHMRIRDLLSDKKPEDIPVVVEIVLRKLIEEFEKRLESHKEKMRQNAIAKEKSEALARARNEEIKASPRDRNKEMELKMRSKLKKEEFVKPITMLNNDKEENASSENKTNEETKSFSEIIKEMRRKAAANDISNEMEKKTPTFAKKFPSDINNETPKKAATSDGIEKIASIFAKKFPIDINNETPKKATTDTTSNINNATEAKKSISDIFNQMEKKSTTEAKKSIGDIFNQAEKKPTTEAKKSISDAEKKPTTEAKKPTSDVFNQAEKKPTTEAKKPISDVSNQVEKKPITEAKKPISDVLNQAEKKPATEAKKAVSDVPNQVEKKPTTEAKKAVSDVSNQVEKKPTIEAKKSVSDVNSETEKKPTTEAKKAVSDVSNQVEKKATPDVKKSTGDVKNEMDKKASTDGNKSNDMEQKATTDIKMSAIDINFEMEEEESTDTESPVEDINDEMEEEETSDVETSADDDVSEEVREQRKKEAEQRKKLAEQRKKEMEQKEKEMEEKKEMERMKAMEMMQQMEEMMKEMEDRKKEMEVMKEELEMEEEMERKREIERKREMEERKREMERKAAEDAKEEEYYNWVHVECGRLSASAIKQQKLVEHQYKQLQVLKNIFSAAKADLELMRTKHHQEFDDIGKHLRNLAQQASGYKKVVDENRKLYNLVQDLKGNIRVYCRSRPFLTTTTKKSAVDCIDETNVAVITIGKGGKETRKVYTFNRVFSLTATQAEVYKDTQPLIRSVLDGYNICIFAYGQTGSGKTFTMTGPENLTPETMGVNYRALNDLFEIQQQRKEMITYLVRVQMLEIYNETVRDLLSPNGTTKKYPFYDAELIPVSTTEDVIHLMTLGHKNRAVGSTAMNDRSSRSHSCVTVHVSGKDLTSGSTVRGCMHLVDLAGSERADKTEATGDRLKEATHINKSLSALGDVIASLSQKNAHVPYRNSKLTLLLQDALGGQAKTLMFIHVSPDPDTVGETISTLKFAERVSTVELGAAKSNKDIIDLKELKDQVAALKAALAKEGGDINISNVEENVADEDEEEDPGTASKAKKAGPAQAKGPSSAPAAKSSPAPAAKSGKPAAAPKKAAPDAKKKGGK >KVH88010 pep supercontig:CcrdV1:scaffold_1804:47275:51227:-1 gene:Ccrd_024607 transcript:KVH88010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MVSKRPISEVEGGGSGIPNQESEGSGSSNVARNVMLRFSSEEFGATFTYSFDRCKMRCSEHFKTSHLRHQGCISISFSLENKFFYRSPSDALEPRNPTSLQLCFQTRLHPTFFTGSRIESEDNSAIKLVLYDANFNKIVSSGPFSSLKVVIVPLDGDFSADDHEDWSQADFDAKVINARDGKRPLLTGDLVLTLKEGVAELGDVVFTDNSSWRRSRKFRLGAKAQNASAGVRIREARSEAFIVKDQRGECKPSLPPPQTSYKKHHPPYLGDDIWRLEKIAKDGVWHRRLASHRIYTVKDFLQVYNTKESSLCTLLGGPDNNIWKAIIKHAKTYVLDDKLYMYSSVADGVGILFDSTLKVVGATFDGENHLSMNEVAEFQMPVVEALKEQMKKDLDGMVPMDDLSVIATPVLHGDLRTASLCIPHQDELQLQMTSDPCSNGLNDNNRNEISTWQASNQTLFLTSISQETRSRFNVALDVERCSCQKNTTLDPYFDFLS >KVH88004 pep supercontig:CcrdV1:scaffold_1805:31715:41619:1 gene:Ccrd_024612 transcript:KVH88004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MKKAERNGENSSSGAKKSFGLQLSENDVSSSVLGGIVEKGFNKQVSQPQVTVLPFPVARHRSHGPHWAPRTSSLRTHGKDEEDDGEDEDHTNFDLAAAYANPIQRKQKKGLDFRHWQELMNGNNTSEFSNKREHKFDDSAKPSDLKKGSGSTISKVVKRHEEKIGEVGIDDVHSNFDDGMRSTLKAKDISENVIGEAREEIMVDMGELNTPASRMHSNSRSVDAGIEQGSTSMESEIDAENRAQLEKMSTDEIAEAQAEIMKKMNPALIKILQKRGQDKMRKKSESGSAICSIGKVVDEKAEKESISATLLPESDNAQNMIVRNPNRKQTGLESKDLPEVKSSASSLWDAWSTNVEAARDLRFSLDGDVMTDCSQVPGNASAMGVYSGENASQRDFLRTEGDPGALGYTIKEALALTRSVVPGQRALALHLLASVLYKAQDNIRRNQTGSTLKTRNQNKIVDWEALWAFALGPEPELALSLRICLDDNHNSVVIACARVIQCVLSYDFNELFFDISEKTGIYEKDVCTAPIFRSRPKIDVGFLHGGFWKYNTKPSNLFPFDNTLRDDEAEDEHTIKDDVVVAIQDIAAGLVRMGILPRIRYLLESDPSAALEECLISILVAIARHSPTCADAIMKCERLVQVIVHRFTTKDQMGVDFSKIKSVILVKVLARSERKRCMEFVDNGIFRKMISHLYRYAFSLDHWLNIDTEKFKLSSALLVEQLRFWKVCIQYGYCVSYFSDLFPALYIWLDVPTFDKLIGKNILHEFISITKEAYLVLEALTRTLPNFYSHSQKIDRTTEEPMNDTETWCWNHVGPMIDLALKWISLKSDTYLFNLISPSKGNFEELTSMLWVISAVMHMLFGVLKNVIPEDNSSILGGNLPWLPEFVPKIGLHIIKNGLLNFTQVNKPNNRSGTDCVGSFLEFLCQYRHQSDQETSLASACCLNGLVKVVVSVNKLIQLANTEVIVPSIEPQSLASADKILTDGILKCSMSEMTTLLTSFMKLTSSGQLVQSVEMFGRGGPAPGVGVGWGASGGGFWSTNILVAQMDARLVLQLLEIFLVEFAKENPTNEELNVTMERVNCAFNLCLLMGPKDGIIMDKVLDILLQPQILKCLDLVIRRSLDLNNLKTFGWQYMEEEYLMFSENLISHFKNRWLHVKKSKAKLKTRDSNHGTSKKSKFSLDTIQEDVDTSRTINSTSLVTEWAHQRLPLPDHWFLSPVSTVDYTKVVNLPVEPNFPEVARCGLFFLLGLEAMSSHISSYSYSSIQSVPVIWKLHALSVTLFAGMNFLEEEKTRDVYINLQELYGQLLDKKLSEMGRNNSVDFLKFDKEIHDSYSTFVETHVENFAGVSYGDLLYGRQIAMYLHRCVGAPIRLAAWNALSNVRALELLPPLEQCLAQEEGYLEPLEDDETILEAYVKSWVSGALDRSVTRKSVAFTLVIHHVSYFIFGYHAGDRISLRKKLAKSILRDYSRKPEHEDMMVKLMQYKKPTTSQKLDPEEDLSLQGSEIAKKLTVLREACDENSTLLSVVEKLKNAIPKQR >KVH88002 pep supercontig:CcrdV1:scaffold_1805:74037:77475:1 gene:Ccrd_024614 transcript:KVH88002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MDFPASLKRSFGSPRSNNNSRKISAGAVDNSHHEHLPILSDHDHEVIVKIDGGDRVDEGTFKRGSSSEFFKDTQRGSGDMEDPPSRLISEFLNKQKDAGGEMTLDMDLEMDELRDGSNCSNVVNQNAESPIKGTLNNESVNPNCRAPNSRELRVSFQQPDQSSKVLDIEPDDERGDQATQLRSSSDEEQFDDILLQEQQHHRRKSGNLSNSNNRADEKGAGEVLKCTSFQRRATIMRTKTQQSRLIDPPEVEVQVQLSGPGGRSGQYRSGLITRASGILTRGENEEEDDSLYEEDNPDDFKKAKLDAITIVQWISLILILTALICTLTIDQWKQKVLRGLHIWEWEVLVLVLICGRLVSGWGIRFVVFFIERNFLLRKRVLYFVYGIRKPVQNCFWLGLVLIAWHYMFDEKVEGHNRFLRVVNKLMVCMLVATSLWLVKTLMVKVLASSFHVKKFFDRIQEALFNQYVIETLSGPPLVEIRNNQIEEEKTLAEVQRLQNAGANVPPELGETVLPSKSGRMFGSGRLQPPPVSIKGSDQPRKIDEKEQGITIDHLHRLNPKNVSAWNMKRLMRIVRLGTLSTLDEQLHDMNRQEEDETVTQIRSEIEAKRAARKIFLNVARAGSKFIYLSDLMRFLREDQAVKTMALLVGTPGDEKISKGALKTWVVNAFRERKALALTLNDTKTAVNKLHQMVNVLVGIIIVVVCLLILNIATSKFLVFISSQIVVVAFIFGNTCKTIFEAIIFLFVMHPFDVGDRCEIDGVQMIVEEMNILTTVFLKWDNEKVYFPNSTLATRAISNHFRSPDMWDSIDFFIHIATPADKLVIIKQRIINKKDHYYASDITMVSLNLEELNKIKLQIWWRHKINFQDMGERYIRKGAVIDEMIRLFREHDVEYRLYPLDINIKTMPTPVTPTRVPPTWGAVPPS >KVH88001 pep supercontig:CcrdV1:scaffold_1805:94208:99415:1 gene:Ccrd_024615 transcript:KVH88001 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDPSTSRMMKKRNRASVDAAVVDICRREVGHLSTRKFAHRLAASEDLVLRLDLLRKLEKHRGCVNTVSFNADGDILVSGSDDRRVILWDWETGRVKLSFNSGHNNNIFQAKIMPETDERSIVTCAADGQVRHATILDCGKVETKLLARHQGRAHKLANEPGSPHIFYTCGEDGLVQHVSHDQSGYLTLNYIFSSVFDLRTGEATELFSCQPVRGRSFLRVVNLNAIAIDPRNPNLFVIAGSDEFTRLYDIRRYRWDASAAFGKPADHFCPKHLLGDENVGITGLAFSDQSELLVSYCEEFIYLFSKDMGWGSNVNEILDNHLSVGSDSDMETDSILGPQLYKGHRNCVTVKGVSFFGPKCEYVVSGSDCGRMFIWRKKDGALVRVMEADKQVVNCIQAHPHTTMLASSGIDRDIKIWTPTALEKAPPPTNIEKLRPKTNSWMYQMVSPRDMALQLLAMRSQQTSPERRRTEDTNAEGNMLEFILTFDANSSDEDDSEDEEGHDRFY >KVH88003 pep supercontig:CcrdV1:scaffold_1805:50390:68430:1 gene:Ccrd_024613 transcript:KVH88003 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAESSVRRVKMKDKAPAQDNGGEISLDRLSSEVLATIMTKLDVSSICAISVTCKSFRVCSQEIFKFLPNFHLLEIAAPIDRLRRLLLPNASLRSLKLDCRRMNESSIDYLLQPGLLELSLRNCFRFSGRLLAEVGARCKDLRSLFVSSVADNRGQLHDVCDLEGLLRGCTQLEELVLMFDVSIFRRPDFARVWNLASAKLTCLQFGYITQLMVTELLSPTVAPNQSPNHIVPPVFPNIQKLGLSVDYISNTMISIISHNLIHLTHLDLRDQPIIEPGVAFDLTDEGLQLINQHGRLKHLSLIRSQEFNPTFFRRVTDQGILFMADRCTNMEAICLGGFCQVTDTGFKTLLHACTNLYKLKVFNGTRMTDLVFLDMYATSLALTLVSLRCCNLLTDSAVLQLALNMDLIMLDFRDSRNIGDKALQAISKLPKLRTLLLDGTDVSDVGLSYLRKGAKSSLVKLSIRGCKRLTCKCISSLFNGGSNRELRELDLSNLSDLTNAGVLLLVKNRIPLVDLRMRHCPLIGDMSVMALASMTMADGDRWHGSSLRSLDIFNCGGISKLSFQWLKRPYFPGLRWLGVAGSLNHELVDSLAVDRPFLHLMTHYA >KVH88006 pep supercontig:CcrdV1:scaffold_1805:11887:18301:1 gene:Ccrd_024610 transcript:KVH88006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MAASVSSSKEENDNNNSRSSIERCCDESYSLSADVSESESSSSFSGRRDDHGAASSSLTSSPHAAFRTVSVTDSIFPPAPFTFPPFGGKDDVMVWEKKQPRKQPDTDLSEIDMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSASVFGELWRLEPLALQKKVTWQREMEWLLSVSDSIVELVPSIQQFPGGGTYEVMVPHPRSDMHMNLPALKKLDAMLIGMLDGFCDREFCYVDRGLVVAQGYSCDAYSSSISAPRPSVRQEDKWWLPYPKVPPKGLPEDAKKKLQQCRDCTNQILKAALAINSNVLAEMEIPSAYLETLPKNGKECLGDIIYRYITADKFSPECLLDCLDLSSEHHTLEVANRVEAAVHAWKLKERKKHLNHPKRKRSSWSAKVKGLVADCDKKSSLAQRAETLVMESLAFNIMARIDDVIFVDNATKRSESLSIFNRGGLGGHPVQKRMSPSPFSIQHTPYSSPFATPTFCSSPMQNLIPIKDDNRLMEAAQSCKLDGSMSADLDRPWTYAAGNLPSNAPERH >KVH88005 pep supercontig:CcrdV1:scaffold_1805:20907:30696:1 gene:Ccrd_024611 transcript:KVH88005 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MADWSQLPRELLDLISKHLISETDLLRFRSVCTSWRSSIQPFTSRFPILPNAGISDTTWGFYLSKRTIFRVGLPDRDSQQTPSPWLIKVERDNPQKTHLMNPLTGYEFIPLLPNFPRSLDLLNFRVMELGQEYALQYISYRPNANSIGDSVTLYMEKVAFCSSGVDGFVLLTIHVSGKLALLKYGERKWHIINDLPSPYDDVIFYKGEFYAVDSTGRTVIVVLDSDTTLLKVVANSVFGGDKKFLVESLGELFMVDKYLSVGPENDFDYDDENYEFYEDFDCFMSERTVKLEVYRLDREEQKWDEVKSLGDRMLFLGDNCGFSASASDFPGCRGNCVFFTGQSREDDGLMKSRGVGVFDLESGCIGPISNDSGYSQLFWPPPHWLHSDSVALEVRFPF >KVI06824 pep supercontig:CcrdV1:scaffold_1807:25614:44440:1 gene:Ccrd_014821 transcript:KVI06824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASDHDDATKICSHCDRAIPSSNLDLHYTHCSRNLEKCKICGDMVPKKHAEEHYSSTHAPVYCSLCNEMMAPENLALHKGEKCPQRIATCDYCEFPLPAIDLSEHQEVCGNRTELCHLCNRYIRLRERIAHEVSCNGVPNTTPETSRATREAEREHAPRRRPPPRDFSTRRLVFTIAITGIAVLLGSLLFQSKPDQAQLH >KVH89291 pep supercontig:CcrdV1:scaffold_1808:59713:61817:-1 gene:Ccrd_008721 transcript:KVH89291 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase, C-terminal MVLLVNISNMAFKNALIAFMAPLPSIIFYISFLNHYQLQHDDDGDDNLQLLSPLWNWCYQHPLLLANTLFFFNIDVGFWLISLLQSSNWMIDLYWTVIPVLLVHLFANHPNAEYNQWRSMVVVVLTWVWATRLTHNYFRREKWQWGAREDWRFTNMAEQHGQNWWWVSFFGVYLIQQPLNIWDIIAVIVCMSGIVYAYLADTQLHTFVSKNERLKEEGKAMVPNLDEGLWYYSRHPNYFGEQLWWWGLAIFGWNLGCTWAFVGALMNTLCLAYVTTLVEKRMLKQEYRVVAYKMYQKTTSIWVPWFKSSPKPSIKDE >KVH89292 pep supercontig:CcrdV1:scaffold_1808:100309:101306:-1 gene:Ccrd_008722 transcript:KVH89292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLSHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENIRLNEGIAGGLDEEDDNAGDDYIEFEDEDIDKI >KVH91984 pep supercontig:CcrdV1:scaffold_1809:42647:48092:-1 gene:Ccrd_005983 transcript:KVH91984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold KILQAKCSRKLGLKNHHFESSSSVVSNLYWGIHTVEAAIQCPQGMNKANLQTFENMFQAPALLNENGMTAGISNAYLVCCSYFYLALVRKLLLQRDELQVALHFLQALVVSPVLVRTELAPDLYRTMLHSCILPLKPAHYFKQNHYEEAANVKWGAASYKAWLMYHQVISYGHSPLLMRDKSQQIRNSKACSTSSSNSYEHLHQLGTHQNFKKVHPIDPQQGIKRLQDLLLEDQSDSPTSLYSGTTHFEDQDSEENADKFDTSLRSLLGVADTETETPITMSKAPNITSFSRHHSAASSHLSDLEFWNCIDDEKDESTFQNQREYFQGTCAENSYRVEQAEIVEKVISKLCFSEGLGKSQDHDDDSSTVEITAVYEMLASKPGLKYSLLKDVILDQLLMALSTSKEQGVTRASVSILSSIISGNPSVIQELKKKGLKLDDLANALKRDVYEAAVLIYLINPSPNEIKTLQLMPTLVKIICTSSSSCYQSGSKSLLLTPPSASLMIIQVLVTAFDYSTNNTHLAAITSPKVISSLLNVPRDGNLEQLISLAAILVKCMRFNGQCRYHILEFTHISPFISLILSNHKHAILAGLEFYHELLRMPRSSSVSLLQQLREGGGINIMCVLLLTIQQTQQEYKLLAASLLLQLDILEDSLDNILNREVAIEALLGSLTCEENSDEQQLAAFLLSNLGGTYAWTGEPCTIAWLVKKAGLNSMLHKNIVKNIDWSDDTLQENGTETWCGKVARHITKGGSPVFYALEKGLKSKNKRVSRDCLTVIAWIGCEIVKGPDDLRCLACDILLSTIEQYVHPGKELEERLLACLCIYNYTFGMQKITQFSEGVRESLRRLSSISWMAEELLKVADYYMPNRWRISCVHTQVLEANYNGSGAVNSLIYYRGQLFSGYSNGSIKVWDIKGQTTTLVSDIKEHKKAVTCFALLEPGNCLLSGSADKTIRIWKMFEKKMKCIEVITTKDSIQSLETCAELIFTVTHNHKVKVFDSSRKVKDVFKHKHVKCIRASQGKVYIGCMDSSIQELSTGNNRQQEIKAPSKSWRMQNKPINSISVYKDWLYSASSCVDGSRIKDWRRQTKGGQVCIVPSEKRSNVVAMSIVEDFIYLNSSCSASNLEIWLRGTEHKVGRLSAGSKITSMLTANDMILCGTESGLIKGWIPL >KVH91989 pep supercontig:CcrdV1:scaffold_1809:49714:55302:-1 gene:Ccrd_005984 transcript:KVH91989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MASSFPNLECRMYEARFPDVDMAVMIQVKSIGEMCAYVSLLEYNNIEGMILLSELSRRRIRSINSLIKVGRIEPVMVLHVDAEKGYVNLSKRRVSEEDIQICEDRYNKSKLVHSIMRHVAETMQLDLEDLYIHVGWPLYRKYGHAFEAFKLVVSDPDSILNSLTREVKETGPDGEEIFESSLCLLIHVNDHHIKRTYRQVTKVVPALTDEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKDAMRKAEAAGNQDCPVKIKLVAPPSYVLITQTLDKEQGISVLTKAIAACTEEIELHKGKLTVKEAPRAVSEREDILLAERMAKLSQANEEVEGDDDSEEEEDTGMGDIDLEKQQQEI >KVH91983 pep supercontig:CcrdV1:scaffold_1809:13036:38241:-1 gene:Ccrd_005982 transcript:KVH91983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, core MFLRHSTPRELPLYLLLGIFRGLVSLSFSWCTSLIMVVTDKIQKTFAMPKAVFPVVGGFTVGPVALIYPEVLYWGFENVDTLLESRPLVKGLSVDLLLQLIAIKIAATSFCRACGLVGSYYAPSLFIGAAAGMAYGKLISFMISQLNPIFHLSGIEGASPQAYGLVYISFVTI >KVH91988 pep supercontig:CcrdV1:scaffold_1809:77098:82148:-1 gene:Ccrd_005987 transcript:KVH91988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MDGNKDEALKCVGIAKEAIVSGNKERALKFIGIAQRLNHHLCVDDLLAACGKLDSANPGPSNGKSHAASKPVNAKQVEGMNGEPNYTEEHVQLVSKLRRNTDYYEILGVGKSCSVEEIRRAYRKLSLKVHPDKNKAPGSEEAFKKVSKAFMCLSDETSRRQYDQTGLADGDEYSQQYNVRRRRRRTGQSMFEDEFDADEIFRSFFGQSDMFHTARAYRTRQAGGQPREDAGGTGPNLMLLLQLLPFLLIILLACLPFSEPEYALQRNYTYQFPKMTKEYGVEFYVRSSDFDQKYPLGTPARANIENSVIRDYKNMLWRYCHVEVQRRSWSRNLPTPHCDKLESLGGITA >KVH91985 pep supercontig:CcrdV1:scaffold_1809:1217:2269:1 gene:Ccrd_005980 transcript:KVH91985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDDTGDGSMLCTDHPFKNNTPAGGICAFCLQEKLGKLVSSSFPIAVFPASSSSSSPSFRSAETTTNPTSLLPSNTTNPTTTTTTTTTTANNNDHYHYYSNRSRILYLLTSQKKKKSKDLNIIVDAHANANKNHLVFKRSKSTATPRARFMENDSDTPNKRGFWSFLYLAKHSKTISSSGAARLQTCPQDKDMMVVEEKECEASFDRKVSRSRSVGCGSRSFSGDLFERISTGFGDCTLRRVESQREGKSKVSGNDCIKERVRCGGLFSGFMITSSSSSSSSSSYWVGSNPNEGNGKGGGVQHSRSKSWGWALASPMRAFSKPSSNSKREKQNPSPNLGAIPSLLSVRS >KVH91990 pep supercontig:CcrdV1:scaffold_1809:60131:64262:1 gene:Ccrd_005985 transcript:KVH91990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MSTTSPPNSDCRMYEAKYPEVDTPVMIQVKEIGDVATHVSLLEYNNIEGMILLSDLSRRRIRSVGSLIKVGRIEPAMVLRVNAEKGYIDLSKKRLSEQDVQVCEERYSKSKLVHSIMRHVSETKNVDLEDLYIHVGWPLYRKYGHAFEAFKSIVNDPDSVLKSLTRVVREIGSDGKEEAMRRAEAVGTKDCLVKIKLVAPPSYVLNTQTLDKVTPHNNIKKILTKEQGIRVLTEAISACAAAIERHKGKLTIKESPRAVSEREDKLLAEQMAKLGRQNAEVSGDEDSEVEEDTGMGSSVDLDSTSSGITE >KVH91987 pep supercontig:CcrdV1:scaffold_1809:67022:68699:-1 gene:Ccrd_005986 transcript:KVH91987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30e MVSGKKTKKTHESINNRLALVMKSGKYTLGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTASGKYFRVSSLSIIDAGDSDIIKSLPGDQ >KVH91986 pep supercontig:CcrdV1:scaffold_1809:7597:8285:-1 gene:Ccrd_005981 transcript:KVH91986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAMGSLKYVAFLLMVVSTSHIADAQLSRLLNLINISGLVSCSLNGNIIANPTTPIPPFPNALVEVSCGGNVISSAITNGSGMFNIILNPLQFLLSNLLSSNCNVHVVTPLSNCNATLPSTGILQSPLQFIGTTARGLFNVFNLAPETFQLIGI >KVH91991 pep supercontig:CcrdV1:scaffold_1809:98084:101716:-1 gene:Ccrd_005988 transcript:KVH91991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase zinc-binding domain-containing protein MASKKKEVKRFISTNALEVCAVVETRVCREKLNVSGLKYTWIQKPLAGGSSVGLFKKLDRVLGNSSFLGCFPKATAAFLPYGLSDHSPVVVSLMLYSKFCAKPFKFSNHLSDSPNFLPLVENAWNKHVVGCRMYAVVSRLKDIKKGVRKLFIDHGNVSNNVRKLRSELDLXQTNMDADPDNGEVQLEGAQYLPCLKTGVSDKVQWKCSGGTIVDFKVDAVWKDMFANCVLMPWSRLVWFSQGVPRHAFFLWLAIRERLRTLDRLTSWKVRDSGLCVLCQDGLESHNHLFVDCKYVNEERNVRLHSDKHRPVELLARQIIDLIRMKLMGLKVKNSDHVKRAAVIWGLGFEK >KVH97372 pep supercontig:CcrdV1:scaffold_181:304548:306088:-1 gene:Ccrd_000521 transcript:KVH97372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MEDGEGGLSFDFEGGLETGTRQPTASVPVIRQSDDLNSNNNKAPSASPLNLNSFSVDPAASAAAASTSFSGRRSYRQTVCRHWLRGLCMKGEACGFLHQYDKSRMPICRFFRLYGECREQDCVYKHTNEDIKECNMYKFGFCPNGPDCRYRHAKLPGPPPPVEEVLRNIQHLTSYNYGNSNRFFQNRSANHPQQSEKSQLPQDVNGVNQLIRPATTGSAFQSVLLPPLQQHQQQIGQSQQQVSHIVQTQVQLNG >KVH97359 pep supercontig:CcrdV1:scaffold_181:374673:380000:-1 gene:Ccrd_000515 transcript:KVH97359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase, C-terminal MASIFQGVGAATALSSSTSFDTKKFHLSSRRSFSVRRASFFVVRSDGAKMDSGLNRIGGRADQLVTNAVATKADTPAASTASKPGHELLLFEALREGLEEEMERDAHVCVVGEDVGHYGGSYKVTKGLADKYGDLRVLDTPIAENSFTGMGIGAAMCGLRPIIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSIKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPPQIVAAVEQLCR >KVH97380 pep supercontig:CcrdV1:scaffold_181:246108:247520:-1 gene:Ccrd_000530 transcript:KVH97380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTRRVVSGEENAVAVKDERGRWEDLNPEILALIFVRIPADEMVRKVPLVCKPWMEAVAGPYCWLEIDVADWCRRRNDSRVVDLAVGKLVRWSKCTFQRLSAYRLGNPGFVIVANRGKCLTVLQMPMSDVTDDMVLKHITSLPNLKVLDISDCSKITVKGLEAFGNQCKSLVCLKRNMSTQRTCANLIDDSEAKMIAKTMSNIQQLELCYGRFSNAGLSEIVNNCKSLTHLDIDGSLNVNLKVEGDLEESLQRIEYFAYRRPCIDDSDESSGSEGNYDIED >KVH97369 pep supercontig:CcrdV1:scaffold_181:283921:284718:-1 gene:Ccrd_000524 transcript:KVH97369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAASTMALSSSFVGQAVKAAPSGSEIIGNGRVSMRKTAVKKTAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >KVH97351 pep supercontig:CcrdV1:scaffold_181:20828:28096:1 gene:Ccrd_000546 transcript:KVH97351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTHKKTDSDNVSGDDRILHVVVSQSMAHNKSWQNLSMNRYLKLRLVWVTRSVSFGAFICIKCSGAHRSLGVHISKVLSVNLDEWTHEEVNCVIKCGGNAIVNSKYEACIPYNCRKPRPDSSIEERLEFIRKKYEQQQFLNSNKQICLQQCPSSSLQCASTNFIGAIMDKKNSNSIRMQSIGQAFRNSWRRKEAENKVPAKTSNSTAGMVEFIGLIKVNVVRGTNLAVRDMMTSDPYVILSLGNQIVYDRDTFKADDFMGDGEIDIQPLLSAAKASEKSDPNESSIELAKWVASKDNKDNTVAKDGVITLAKGVVKQEIALKLQNVEKGVLQMELECVPLTQ >KVH97355 pep supercontig:CcrdV1:scaffold_181:3814:10261:1 gene:Ccrd_000548 transcript:KVH97355 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RPB5 subunit MASRSTAGLGYVSPTRSTHVGENSCIINKADAGPPESHRYYLARRTVLEMLRDRGCVINDSEITRSLADFRSVFGNQPDPDHLRICAPFSSNPSKKVSVSLGILVIFCGPGEINKAKATHILFNIPNKESLHRIIIVLQDKMNHFARTVFDEFQVKVEMFPITELFVNITTHVAAPKHEILTTEEKEQLLKKYELADNKIPYMLATDAIARYYGLEKKQVVKVTYNSNIT >KVH97358 pep supercontig:CcrdV1:scaffold_181:381228:384965:1 gene:Ccrd_000514 transcript:KVH97358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1365 MEALYLVCSIFSTILTSFTLSLLLPFRLLLRRLFPPSTPADAIALYEGTVWHERRRPVHHSFKYSVRYALIDLDHALHSPPDHLSPRQARDIARTNGPVFLLTIPPSVGYEQNPLSLYYCYDFEGSNRCDQYTMGRKSADMLGSWSMRTNTPGDTLVVNISVKHPKLGDYFTASLTANRVSSSSVQHALFFWLMPHKHPRYGYPSYKEDAMERDRNLGCCQAFATQTDKHQRAEQSRDPNFTSAMNQKDRWFRWRDAKWPWC >KVH97349 pep supercontig:CcrdV1:scaffold_181:52324:63929:1 gene:Ccrd_000544 transcript:KVH97349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-grasp domain-containing protein MPPKAAKSKEAPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDERFEWLCQLFKPKSEVSAFLEIHDIAGLVKGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDPDIIHVDDSVDPVRDLEVITAELRLKDIEFMERKIEDLEKSMKRSNDKQLKVELELCVKVKAWLESEKDIRLGDWKAADIEILNTFQLLTAKPVVYLVNMNEKDYQRKKNKFLPKIHAWVQEHGGEPIIPFSCALERNLADLPEDEVAKYCEENKTQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSEPAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >KVH97352 pep supercontig:CcrdV1:scaffold_181:91518:99380:1 gene:Ccrd_000541 transcript:KVH97352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVAASPWILGTFLLSSSSSSLAMEFSTSPATSGGGAVCRSHFRQPKDLKFVLHDALDSSGFNTTYARKAREGFFSQIRKLSDIERETSIIINGEVDLGKTALHIAAEDDSLISHSSVPLPVDAFMQRLDGLSRGYCSRYHSSFRSSPDNFLECLERYMYVDKGFRRTNSSNQLEQRAVYLHSVLTHRVGSMSMLSLIYSEILKMLRLWGLLNFDVEISSHNDSYGSPRGYIKQKTTESDQQQIVTTESLLLKVAPILRDLKNAFWPFQLDQSRSPFLRAAEAAYCSDRSADVDKSGLQLASAKAARHRLERGVWTSVRFGDIRRALSACERLIILEADSMELRDYGVLLYHCGFYKESLQYLKLYQDTEKSTTMKQLQDSLRKLEDDAVEKLIIRLNLILMEDDNIRPSSIASSLYNNTDPW >KVH97382 pep supercontig:CcrdV1:scaffold_181:201964:213165:1 gene:Ccrd_000532 transcript:KVH97382 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MYDSTKCPHIRKEYDCIKFDGEEFLRKMSGKKIMYVGDSVSVNQWQSMVCLLHAALPSQSNITDETINSTRTVTYQDYGVSISVFLSHYLVDIVDEKIGRVMRLDSIADGDIWKENDVLIFNTWLWWYRSGDKQPWDYIETDNKILKDMDRMAAFREGLKTWANWVDSDVNTLKTTVFFQGVSPSHYNGVEWNEKGVTNCAKERLPMSGSTYPGGIPMAETVVEQVLSGVRKPVSLLNITRLSQLRKDGHPSNFNAFKGMDCTHWCVAGEVDLKLTAVRAYAKQLFTALKHLRNYGVLHTDIKPDNMLVRTFNLILYFVLHPNSYDLKVNDAKNVLKLCDFGNAMFAEKNEITPYLVSRFYRAPEIRLVMGGGIGRGWCAIVILSALVLATRCDNKCDLYQGSWVYDESYPMYDSTKCPHIRKEYDCIKGKKILYVGDSLSLNQWQSMVCLLHSALPPQSIITEETVNSVRTVSYQDYGFSVSIFTSHYLVDVVEEKIGRVLKLDSITDGDIWKDNDVLIFNTWLWWYTRGEKQSWDYIQIGNKILKDMDRMVAFREALTTWGNWVDSDVDTQKTSNENSLNVESGADWDEKGVTNCANEKLPVNGSTYPGGIPTAETVVEQVINGVRKPVSLLNITRLSQLRKDGHPSRFNAFKGMDCTHWCVAGVPDTWNQLLSASLI >KVH97374 pep supercontig:CcrdV1:scaffold_181:129472:137866:-1 gene:Ccrd_000538 transcript:KVH97374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MDVGVDVTENGNGEQPHSALIFLGTGCSSAVPNAMCLIQPSDPPCKVCFQSFSTPPERNPNYRCNTSLLIDYSPRAGEHKYILIDVGKTFREQVLRWFTFYKIPQVDSIVLTHEHADAVLGLDDIRAVQPFSPINDIEPTPIFLNQHAMESLKVKFPYLVQRTLKAGQEVRRVAQLDWKIIENDYISKRGGQQLDLLIIDTLYRNGSHNTHFCFPQTLEAIKRLQPKRAFLIGMTHEFDHQKDNEFLQDWSKREGIPVQLAHDDSTPIHQYTQLAILMKVYHIVVDYHWTRDTKKSLEIGQELYSLVS >KVH97378 pep supercontig:CcrdV1:scaffold_181:161861:173492:-1 gene:Ccrd_000536 transcript:KVH97378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MGEPLKGTVTPLSSVFAPEEAQKASVRVQETISERRKQVDQLQAFLNDNNGLVNLVPFGKAAFFPGRLIHTNEFLVLLGEGYYAERTSKQTIEILKRRGKDLESQIETLNAVIKDLKFEASFFDDTAIEAARRLCEDDFARILSRIDELEKEELESEIAEEHEEEDKADLSHLISLTSLEPEGRSPEEQAKNEHLMSKISLQNHPQEDVPKPPKDLPARPVSKDSDLSSHVKVPTSSGSRLPPSLVEHNKLKERVSTSASNSGNHSSRASVVAPVSKEVSDFDSSIGSDRSKVSRLSPPESPSPVTSTVSIAGRLPHLCRSPPSVGLTVAAPIPMAVRARPHPSE >KVH97367 pep supercontig:CcrdV1:scaffold_181:273129:275766:-1 gene:Ccrd_000526 transcript:KVH97367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MGRSTISIAIKWAFYPRIVYVRSLNPRFNILQRLKRNTHCNCARIDREQQQQQLLEMSYKFHQFQVVGRALPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRHHCIQVIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFV >KVH97353 pep supercontig:CcrdV1:scaffold_181:106233:117458:1 gene:Ccrd_000540 transcript:KVH97353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MKKKLDTRFPASRIKKIMQADEDVGKIAMAVPVLVSKALELFLQDLCDRTYDITLRRGAKTVNSLHLKHCVQSYNVFDFLREVVSKVPDYGHSDAGLDDRTMIKRKKAAAGEMNESDEELKRNRMNEARQTSSNGRGRGRGRPRGRGRGRGSAEREPPQMEVEPESRTSLIQQSSKQGMNLQGETTDNLESSKSMKGELAVGDGATTGGRDFDLNAGIDENTEKVSDALDGGASVQPPTPPPPQPVPGTGTGCSSSAEPAELKQEDYLGLSLSEMDRMAIDTHNLAQINSRLEEEDEDYDEE >KVH97356 pep supercontig:CcrdV1:scaffold_181:371726:372898:-1 gene:Ccrd_000516 transcript:KVH97356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MQRVRMSSSHFHLKSTPQFKLDAVPDDDFVESESCFDPLIPGLPDDIALSCLLRLPVGFHSAGRNVCRRWYHLFGDKARFFTQRKVMGFQDPWLFVLSFHKCTGKIQWQVLDLIHLSWHTIPAPPCMEEVCPQGFRCVSGDGMLFVCGGSAADVDCPLDLVLKFDVRLNRWTSMKKMNTPRSFFASGVIDGKVYVAGGNKSDRFELNSAEVMDPNDGIWRPVANMGAPMAAYDAAVLDRKLFVTAGWFWPFYVVPRGQVYDPRTDKWECMATGLREGWTGSSVVMFGRLFVVSEHERTKLKVYDSSNDAWETVEGPPLPEQICKPFAVNGCNGKIYVTGRNLHVAVGFIHIYRLCKTTDESMNFSVKWQVVEAPRSFYDLTPSSAQILFA >KVH97364 pep supercontig:CcrdV1:scaffold_181:255714:256151:-1 gene:Ccrd_000529 transcript:KVH97364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MDDGEVELSDPLTGNSSNTFRAPLKLEDPVLNDSLKNRRTCRQTHPDHHDSVEPGRPSGNRVAVKKYREKKKAQNAYLEEEVKKLRVVNRGLIRKLQLQADLELEAVRLRRLLLDLKARIDAEMGGSPFYKQSCNGSSDGCSGGD >KVH97373 pep supercontig:CcrdV1:scaffold_181:306714:309251:-1 gene:Ccrd_000520 transcript:KVH97373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNESCSKPDYQIFASILKSCAANSNIKLGKCLHSSVIKLGLRSCLLVSKALLNMYGKCKALNDCHLIFDQISHPDAVTWNIMLSGLAGTRVHDAEVMKLFNAMNLGQHVKASSVTAAIVLPVCVRLNGLNLGKSVHSYVINLAYRFGKEIHGYALHREELVADVSVANSLVGFYSKIGRMQEAESLFKRMHTKDLVSWNSMIAGYTSNGEWLKGLEFFKKFNSLNLAEPDSITFLTVLSTCANLQNLQAGKQIHGYIIRRSSLRDVTSVGNSLVNFYSKCDDPIAAFRSFSLVHKKDLISWNSILDALADGQLVNEFLQQLRCMFREGVEPDSVTIITALQLGANARQSGMVKQAHAFSLRSLTLLVNKEPTLGNALIDAYARCEKMEYALKIFESLSGKMNVVTCNSLISGYVNSGSHDNANVIFKNMIERDLTTWNLMVRAYAENDHPDEALNLFHELQNHEMKPDTMTIMSILPVATQMASVQMLNQCHGYVIRACFQDVQLKGALLDAYSKCGNINSACKLFTSTIHKDLVTFTSMVGGYAMHGMGEEALGIYYQMLELGGKPDHVIITAVLSACSHAGLVDEGLEVFRSINEVHRIKPTMEQYTCVVDLLARNGRITDAYSFITSMPVEPTANIWGALLGACKNYDAVEMGCVVADRLLNIKDDNMGNFVVMSNIYAAKAKWEEVLEIRRLMKTKDLKKPAGCSWIEVDGRKHVFIAGDTSHPVRTNIFDMLCNLDNMSRSDFSCESRII >KVH97366 pep supercontig:CcrdV1:scaffold_181:264238:268575:-1 gene:Ccrd_000527 transcript:KVH97366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MNSTICTPNSLLSYTPLKPNSNSNSLCNSNSLVPKRPSTLRFTPRASLSVPKETILKSFHERRALKIISGLQNFNKDNVASVVTAADKVEIGNYDSFYESGIVFSPDQAKLAEQLEQEGVDIIQTEGGKCSNPSKSGVLGLIEKATPTLAAAYSISRAVKIPVMCASGLSAVTAPMAITAGAAGVGVGSAINKLNDVVAMIAEVRSIADSLGLSVNVESEFENREKRLKLDDGNSPETTQPQATDSFSSSPIYFDNQPTNTSSTLHSDSIEQPPQEFLSYNDLDDFAPRKNPPLFHDSENKVPEISSVDDIDSMIRLLGLSDSDGKRPEMPYFGDYEFYEKIVQVKGPKCRKEVERLDGWIKYLLNNGRREPLRLAHLLLAKAAFVSDGKELEFPSTIDEFLQNDPPKPLD >KVH97365 pep supercontig:CcrdV1:scaffold_181:259467:261759:1 gene:Ccrd_000528 transcript:KVH97365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0454 HLSSSLDTCCDHTPRINWTLVLWERLFHSRFQAPRSPKSTVTLDLAFRIWNPVKSLLIKIDLALHSRDLSERKEIRVLDIQMDFKFKYLQLLIFMLASAAFAIRKDVSFQETQICRTTVQGRYLITDYTGYVCDALSLDPQSHCCRVKKEQYSCHGCNLVSQCCNSYEYCVSCCLNPERIHIDQALTVKIAKPVTSGSYSSLFDYCAGRCRHNSESVVHENAYHSEFHHCFALPSNMSAGITDTQIEVRLIGINVIVGKQGESCDSVCKSSGQSCVPSKFVLINQCEIMQKYMKCRGSCLASIGSDQPAEVVEDAPTNLNPGACLYTRRPSLLSCDGSHQHTRRLCPCA >KVH97371 pep supercontig:CcrdV1:scaffold_181:298843:301590:-1 gene:Ccrd_000522 transcript:KVH97371 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like protein MSSTIENVDRVSSLPEEVVSHILSQMPMKFAVRTSILSKRWRYSWTLVHNLDFDDFHPVYGLDCFSKFVDGVLELCKTPEVILFRLCFSEIWVRRSSASKWINEAVRLNVRELDIQVILLELPISLFTCKTLTKLRLDCGVFDLDVWNCPSPVALPCLKTLDIAVSREPSASAFKLIHGCPVLESLSLQVLWRNDEEEYNFNIPTLKRLRLITMKCVSVINKVVLNLPNLEYLFVGGILCSLFVMVDLSSLVKARVSYFEVRFSDMLVELLKGISGAKSISWSTSTTDIPLDAPLPKFPNLKHLDYKGGRFWSGWPLIPQFLESSSELEDLRIEELDESCWIEPQSVPTCMLTNLKTIELAKCKGNKCDVQFMEFVLGNVEDPKTVTITCERLRQNEEMRFCAELLKLPRASRHCEIHFVGTRSRTII >KVH97368 pep supercontig:CcrdV1:scaffold_181:280720:281859:1 gene:Ccrd_000525 transcript:KVH97368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transport 2 MEKEPLLPSYGKVPRTPSLLCPLPEENQISIPASLTPSEFKDMLIFGSPREASSSSSSHIVDALNLNDNHNLSPRAFASSSDPNPASKQIPVINPDSNRSVDPNYPFRKINLHRSKTAPAISTINEFDPPSKPKPPQLVSPSIIRQSVVLLIMYLLLGVIIYWYNRENFMGSETHVVIDALYFCIVTMCTIGYGDITPNSSVTKLFSILFVLVGFGFIDILLSGMVSHVLDLQENYLLSSLSNRKKGDHPSYIIDVKKGRMRIRMKVALALGVVVLCIGIGVAVLHFVESLGWLDCFYLSVMSVTTVGYGDKAFTTTAGRVFASVWLLVSTLAVARAFLYLAEARVDKRHRSMVKWVLGQDLTVAQFLAADIDNNGSVR >KVH97350 pep supercontig:CcrdV1:scaffold_181:10958:15671:-1 gene:Ccrd_000547 transcript:KVH97350 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MPNKLNRNRMEFSSFSEASSSRKHLKSCMFGSFSKKGFQRDQSRTTDDLEWINRITECPVYHPAIEDFEDPLEYLQKIAPEASKYGISYTLRDFEVMANRVTANKYCLSGCLPSAYLEREFWVEMMHGKKGTVEYGVNVDGKTSKTAEISTSAAHEFEKVIRRHVYTREILPTDGGNGAFELLAEKTTMFPPKILLQNRIPVYKVVQSPGEFKPSAAASANRIVMWLMSSATVMLIPYLLEHLQQIM >KVH97376 pep supercontig:CcrdV1:scaffold_181:183395:189242:1 gene:Ccrd_000534 transcript:KVH97376 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MMVGGGRASKKQIIDTEDKGLPSSAYEVLSYDDLLIEILLRLPAISLHLFKSVSKRWLSLITDPNFTLRRSQITNIDPPSGLFLQRPYVPYDYEFVPFDIRIPSNRSPINTTLAFGPDAGFHHGIYWNNAIHWLDFVNGGLHFKLDIEHPLLTRVQTPGTLDRKLHYVHKLLESRGRLLLVYPVLDSRQLKVYEMRNEYSGWLVKYIVNLDDMGKLFPKTWLISAKRFCIIVRSVVVGEREEDSYVVMEVSGKLIQYHIVLKTLRMIYGLASTCPPVSEAKKFGSFQFIASFAVFRETRHQGNDIAATGLWKAEGGRRKGDETSEERSTQQTLMAGDTAATNGMRHRLEGGRRNERGRNDRHNIN >KVH97381 pep supercontig:CcrdV1:scaffold_181:242615:245732:1 gene:Ccrd_000531 transcript:KVH97381 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MAKRRWLSILKRFFIFETCQNRAKEKKRRWVLGRHKLKRITSQSAPIERPPSGPKGLPVAQVIDELKRVSDGNIQSSSSQHGDEERENGAAIKIQTAFRGFLARKALRALKGLVRLQAIIRGHLVRHQAVTTLKRLQSVINIHSQACAKRIQEVDCCPSHNCYQENKGKDSKNVHVEMNSQKRWDDSILTKEEENAMLCSKKEAALKRERIKEYAFNHRMSSESEQSKVNEKWRYWLEHWVDTQLAKREDLQNLGKKEVFESQKVKLRNLKGMDAPTYISRVTHHRKQRSIGEEHSISMVGSPVFPTYMAATESARAKSRSLSSPRLRPLSIDTWSATNSPYKHKLLSPISSINSDAGSSRVWNVNGRGGGFSQRSPSLKGVPGHVKSYKTSKHLSFNSECSMPNWDQLGHFR >KVH97379 pep supercontig:CcrdV1:scaffold_181:144652:158906:-1 gene:Ccrd_000537 transcript:KVH97379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVYGTGVFDFRRHRVAEYPVTVGGSDQTVPERPPESKPGTNLPSSMTLVEIQRDRLTKIADANWSKTSTNSEKPPFSAELVKEIYETELLVKEEGGKMRRTVPLQRVMILEVSQYLENYLWPNFDPEASSFEHVMSMILMINEKFRENVAAWICFYDRKDMFKEFLERVLCLKEGRSLSIAEKTNYLLFMINAFQMELCLNPDLIKKWKRIAKKAKEAKKRGETFDISMMLEVKFLRNLIEEFLEVLDSKVFYHDDGRGGSGIHGFEQINEASILYCERFMEFLIDLLSQLPTRRYFKPLVADVAVVAKCHLSVLYRHEKGKLFAQLVDLLQYYEGFEIDNNLGRQMTDDEVLQAHYERFQAFQLLSFKKIPKLRELALANIGAIHKRVDLSKKLSVLSPDELRDLVCSKLKLVSKNDPWTERVDFLIEVMVSFFEKQQSQKEAINALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINNEGEPAFRGWSRMAVPVKEFKITEVKQPNIGEVKPSSVTAEVTFSISSYRAQIRSEWNALKEHDVLFLLCIRPSFEPLSADEAANASVPQRLGLQFVRGCEIIEMRDEEGTLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYHMDVSAIAEKGAEDVYGTFNILMRRKPKENNFKAILESIRDLMNETCIVPDWLHDIFLGYGNPSAAQWTNMPDLLETVDFKDTFLDADHVRECFSAYQVMFTNSDGTENLNPGPPFRINVPRNLKGNAHALPGNKSTTASVDVATKDIADFEKEKLIVEAYMPPDPGPYPQDQPKQNSVRFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAVCAKNQDKPAFVRDKFPFTEFFSNTLQPVFSGKSFEEDMHAAKGCFRHLKTVFQELEECRAFELLKSTVDRANHLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSLAKLYNWRYRDLGDLPYVKENPFFHRANAGFSFDYQLVDVPDYHGKGETAPSPWFYQNEGEAEYLVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVLNRRCAPYDFIGLPHKVATVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDLLGLNLHEIAPSTDRLVDDTGPMHLVSDVDEIAGIVNFRMHQVYQARMISHQFSDYSGQTGRTAAQNGHDFPSEHDEMGTDLQDLPSGDQMDTETPNVDDHASEDMQVDNKPNETSQLETAPEGENMPPESGSNVEVIVDEKNESVQEDDSNV >KVH97363 pep supercontig:CcrdV1:scaffold_181:319352:320617:1 gene:Ccrd_000519 transcript:KVH97363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MDHQLCFRTVFMAQCLLGIIILSLSGFTKVESLGINYGQVGNNLPPPEKVLQLLKSLRITKTRIYDTNPEILNAFAGSGVELIVTVENAMLGTLMDPQQALQWVSTHIKPYFPATRITGIAVGNEVFTGDDMTLVSNLVPAMVSLQRALTQLGMQQYIQVSTPSSLAVLSNSYPPSAGTFTPELTTIMTQLLQFLSTTKAPFWINAYPYFAYKDSPSKISLDYALFNPNTGMIDPHTNMHYDNMLYAQVDAAIFAMARLGFGGIEVRVSETGWPSKGDTDEVGATPQNAAIYNRNLLRRQLSGEGTPLRPKMRLEIYLFALFNEDMKPGPTSERNYGLYQPDGTMAYNVGLSALSTNTNPSTSTSTSASTSTSSSSISLTSMATKVIIT >KVH97361 pep supercontig:CcrdV1:scaffold_181:387392:390898:-1 gene:Ccrd_000513 transcript:KVH97361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MGQESFIYSFVARGTMVLAEYTEFTGNFPAIATQCLQKLPSTNNKFTYNCDHHTFNFLVQDGYAYCVVAKESVGKQISIAFLERVRADFKKRYGGGKADTAVAKSLNKEFGPVMKEHMQYIIEHADEIEKLIKVKAQVSEVKSIMLDNIDKAQEFKKAGTKIRRKMWYQNMKIKLVVLGILLLLVLVIWLSICHGFDCTN >KVH97354 pep supercontig:CcrdV1:scaffold_181:77756:84181:-1 gene:Ccrd_000542 transcript:KVH97354 gene_biotype:protein_coding transcript_biotype:protein_coding description:MULE transposase domain-containing protein MVTEMAAIVPLKKMIAICQYGGEFETNEDGSMSYIGGEAYAVDLDENMQLSGFKQEIAETIDSTVDGMLIKYFLPANKKNLITVSKDKDFNRMVSYYKESDQLEVFIMKEAAPAKFPKKKQPARRSQKRPAETEVAVVQPVVDLGPTSIVPLNEIIDIETTNEIAPISDPISINPFPTSVIDNEQHIAAKQWENLITGVGQRFHSLAEFREALRNWVGNLIKEKVKDSPKIKPKDIANDLKREYGIELNYSQARRAKEYAREQLLGSYKDAYSELPYFCEKIMETNPGSLAIFNTKEDSSFHRLFVSFHASISGFIHGCRPLIFLNSTPLNSRYQGMLLSATAADADDGAFPVAFAVVDEETDDNWRWFLCELKLAVSVVGQITFVADFQKGLRESLPEIFGAECYHAYCLGYLAEKLNKDLTSHFSHDARRLMVEDLYAAAHAPKLEGFEKCTEDIKAISLEAYNWIIRSEPEHWANAFFGGLRYNHMTSNFGHLFYAWVSEANELPITQMIDELRGKMMQLIYTRRVESSQWITRLTPSMEEKLKNEIFKAHSVQVLRSHGSKFEVRFGEMIDIVDIENWDCSCKGWLVTGLPCCHAIAVLESYGRSPYDHCSRYFHVDTYQMAYAESIHPIPNVERLMDIELDDGSIVVTPPPTIRTPGRTKIRKVPVGQVGPADFLKRQLQCGKCKGLGHNKRSCKITNSAQLLEEDRVLGNDDLES >KVH97348 pep supercontig:CcrdV1:scaffold_181:36041:45405:1 gene:Ccrd_000545 transcript:KVH97348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MKSFNLEKRMEGIVLIMAIVVFGVLIGGIRCQEDADTSAAGVFMVTLKQAPSAFHNSRPHKAKKPSHPFGYPSSTSGGANTLENPSFRNITRKGRRNYGSRIARVHDSLLRRVLKGEKYLKLYSYHYLINGFAVLVTPQQAQKLSSRREVANVVPDYSVRTATTHTPQFLGLPQGAWLQEGGYETAGEGIVIGLIDTGIDPTHVSFADGLSENHYPVPEHFTGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNASQDYASPFDGDGHGTHTASIAAGNHGIPVVVAGHHYGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDVISLSITPNRRPPGIATFFNPIDMALLSAFKTGIFVVQAAGNTGPSPKSVSSFSPWIFTVGAAAHDRMYSNSILLGNNISIPGVGLAPGTDDGYTLVSATDALNDSETPTDEYVNECQDSSGLNQTIIQGNLLICSYSIKFVLGQSTINNAIQTARNLSAAGVVFSMDPFIIGFQLNPVPMSLPGIIIPSANDSKVSSGVEANYSNSAPKIMYYSARGPDPEDNFLQDADILKPNLVAPGNFIWAAWSAGEDFAMMSGTSMAAPHIAGLAALIKQKFPDFSPSAIGSALSTTASLHDKDGGPIMAQRAYANPDLNQSPATPFDMGSGFVNATAALDPGLIFDLGYEDYMSFLCGINGSAPVVLNYTGTNCGAMNVSGADLNLPSITLANLNRSRVIQRTVTNVGRNESYSVGWSAPYGVSMKVTPAHFFIASGEKQVLTVFLNSTMNSSIASFGRIGLFGDQSHVANIHLSVVVKITYNTTSS >KVH97357 pep supercontig:CcrdV1:scaffold_181:354503:365858:1 gene:Ccrd_000517 transcript:KVH97357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAKSQAVACSLDSKNGIKQHHFDDATTNSSVDPFSMIEDHAETDVVDSDSARASSSGGFTANLARKKAIPPQPAKKLVIKLLKAKPTLPTNFEENTWAVLKSAISAIFLKQPDFCDLEKLYQAVNDLCLHKMGGNLYQRIEKECESHISATLQSLVGQSEDLVVFLSLVETTWQDFCDQLLMIRGIALYLDRTYVKQTTNVRSLWDMGLQLFRKHLSIASEVEHKTVFGLLKMIESERSFPDVNLSFSVICATVLGEAVDRTLLNHLLKMFTALGIYSESFEKPFLDCTSEFYAAEGVKYMQQSDVSDYLKHVEVRLHEEHDRCVLYLDASTRKPLLATAERQLLERHIAAILEKARGIFSLMNLFLPVHSAIFFRQVLTFATTGSRALSSYIRRTGQSIVTDEEKDKDMVFSLLEFKASLDAIWEDSFSKNEAFCNTIKEAFEHLINIRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMYWPTYPLMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDATCIEDKELRRTLQSLACGKVRVLQKNPKGREVDDNDSFMFNDVFTAPLYRIKVNAIQLKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPSDLKKRIESLIDREYLERDRNNPQIYNYLA >KVH97370 pep supercontig:CcrdV1:scaffold_181:288102:288521:-1 gene:Ccrd_000523 transcript:KVH97370 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MDEEMLAAVRPTKNSCSRKKSKLKVVYISSPMKVKTSASKFRALVQELTGRHSDISRYHGGYFHHAAAAAPTTTTTNTGAGTPVDGKPVESCATDHEQGVLSSGSEYDFLDDVFRSEIMVDDQFEGMFPSYSLYDSCLS >KVH97377 pep supercontig:CcrdV1:scaffold_181:176274:180387:1 gene:Ccrd_000535 transcript:KVH97377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVAQYPFLSSSIKSSIEVVHPTTPRRKVSLIKALKDSSSSSSESQQPDPVKLALARAKAYKKSIQSNPAPKIAQNQAPGSEISEFGGNKDESLSRVAMEGAREYSEKNRAVEERIDKKEESRISAIDFVGLGFADKKEGRGLPAGLIPISDPFPAGDLPDVEIIVGDTSRFGNGETSESDTTVEEDSELYKPKVSTWGVFPRPNNISKTFGGGKTIRPGDVLETAESKAAKEARTRQMIAAYKQKIGLNIDPKLKFECEKDLKDGDSLMEIGKLKDAIPFYERVMDKLVYQSELHGLAALQWSICKDSLNRFRFASLIQFCTSNISSFRKSVLLLLVNLEKVEKRVEGSDEARVMYEKLQSHPNVRVSKKARQFVFSFQAMEMMKVTSSSNSQFKTGYQNFFDAFIENKNNPSSNETETEEDASLQALPYVIFLVSPILMVLVIAVQKRLV >KVH97375 pep supercontig:CcrdV1:scaffold_181:123454:127050:-1 gene:Ccrd_000539 transcript:KVH97375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEDKSLSVFVSDGDEATCSVPKDSETLSEFSHSTRKLSVILGEFKENKIMETSPIRKAVESLETELQRAKALIASPRYVSSPNKRIEEITENLGRSIGLVLFASHDVSMTGKEKLEALRREMMSVAQFSAASSDSKSDFLDDVEIEEKEVDDEEEDIVGEIVEVEEDVSSFSEEDVVLHLKCGNDERLKLALFALNTLIRDNKATSEWVDAEGIIPILFNRLSSTKSHNRLSIIQNLRGLVAQNDGIKMAEVEYLSALVKSLTRDVDEQREAVGLLSTLSDVSTVRRRIGRIQGCIVMLVAIFNGEDQTASHNAGKLLAALSSNTQNALHMAEAGYFKPLIKYLTEGSDMSKILMATAISRMELRDQTRASIGEDGAIPPLVKMFKEGKLEAKLSSLSALQNLSTLKENIRQLINSGIVPSLLQLLFSVTSVLMTLREPASAILARIAKSDAMLVNHDIALQMFSILNLSSPVIQHHLLEALNSIASHASASKVRRKMKENGSIQLLLPFLTETNAKTRIGAFNLIYTLSKELSDELTEQLGETHLIIIVNILSSSTSESEKATAAGILSNLPVNDKKATDILKKANLLPVLVSIMCSKQASSSSTNPFSSHLVENIAGILMRFTVTSNLKLQLYSAENKVIPVLVKVLSDGPIVAKCRAATSLAQLSQNSLNLRKSKTPRWLCVPPSSEAFCEVHNGYCFVKSNFCLVKAGAVPPLIRILEGNEREADEAALAAIATLMQDEAWENGCSYIEKLAGMEAIIKVMESGSIKSREKVVWILERVFRVEDYRVKYGESAQAVLIDLAQNGDPQLKPTVAKLLAQLELLQVQSSYF >KVH97362 pep supercontig:CcrdV1:scaffold_181:333188:350214:-1 gene:Ccrd_000518 transcript:KVH97362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MAALLLRRRPSPSTFSHTLSCNVKCFPSPIWTANMHASWLLWDLTCGASARIHVSSRQFSSSTGAVKMDFTDLTRPHTWYPIARRKKRNIFLHVGPTNSGKTHHALKQLELSSSGVYCGPLRLLAWEVAKRLNKAKVLCDLITGQEKVVVDGARHKSVTVEMADVASDYDCAVIDEIQMLGCRTRGFSFTRALLGISANELHLCGDAAAVPLIQEILSVTGDSVQVKFYERLSPLVPLKTPLGSFSDIRTGDCIVTFSRHKIYKLKRKIEDGGKHLCSVVYGSLPPETRTRQATMFNDESSEFDVLVASDAIGMGLNLNISRIIFSEMEKFDGLEMRPLSVPEIKQIAGRAGRYGSKFPAGEVTCLAAKDLPLIHSSLNRPSPILERAGLFPTFDLLYMYSRSHLNCGLCQILEQFLENAKLSANYFIADCEEMLKVAAVLDELPISLHDKYLFCISPADMSDEILSQGLTQFAHTYAKKEIVRLREIFTPGTLKVPKTQAELKELESIHKVLELYVWLSFRLEDSFPDRELASSQKSICGMLIEEFLERLGFQKPMPRRLKQFR >KVH97383 pep supercontig:CcrdV1:scaffold_181:187124:195402:-1 gene:Ccrd_000533 transcript:KVH97383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MGIATIPTRYLIVILTFICTAVCYIERVGFSIAYTVAADAEGISQSSKGTILSTFYYGYACSQVPGGWAAQKIGGRRVLLLSFVLWSLTCALVPLDPNRVITLIVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLLLPSLVKFRGPQSVFLAEAALGGMWSLLWFKCASDPPRSQHPKAAASGFGESLLPVKEGRIEKTKNDRTSHIPWRRIFFSLPVWAIVANNFTFHYALYVLMNWLPTYFELGLKSSLQEMGSSKMMPYLNMFLFSNIGGVIADHVITKKIMSVTKTRKVLNTVGFAVSSFALIAIPHFRTPDGVVLCSSLALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVGLTGQLLEAAKTTDSDLSSPDSWRAVFFIPGLLCILSSLVFLLFSTGERIFD >KVH97360 pep supercontig:CcrdV1:scaffold_181:392662:398079:-1 gene:Ccrd_000512 transcript:KVH97360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MAITNVMEYEALAKEKLPKMIYDYYASGAEDQWTLKENRNAFSRILRVCNSKSSGSCWNDHGLTLSSWGTSSVEEVASTGPGVRFFQLYVYKDRNIVTHLVKRAEKAGFKAIVLTVDTPRLGRRESDIKNRFALPQNLTLKNFDGLNLGKLDKTDDSGLASYVAGQVDRSLNWKDVKWLQSITPLPILVKGVLTAEDARIAVQSGVAGIIVSNHGARQLDYVPATIIGRPVVYALAVDGEAGIRKTLQMLHDELELTLALSGCRSLAEITRNHITAPWDPPRVASKL >KVI03270 pep supercontig:CcrdV1:scaffold_1810:26992:28509:1 gene:Ccrd_018430 transcript:KVI03270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELATGKPSFLRNVVVRFLLFSLLVVGVRFTYVITIRGESCSAGDDFCFFSSTSPENQNLVTAAGGNLGSSSSVTLDLAAAATPEFHKRVMFYITVFQDLIVDGFLSTKSKSLCVETPVGEDVYALREIGVDESVGIYKKASKPLVINGLGHRQPFMDHTFDFIFLGNGVLDRSEKAEEFAAEVGRTVKPEGYVVVHTASKDTYSFNSFIRLFNCCKFIRSRNLDGFDSDMPQIHEIVMKKLFDIKIREKIGSSPSNRCSVPGYKRDLLQKAEPLIESEPLKPWITLKRNIENVKYLPSMVDINFKRRYVYVDVGARSYGSSIVSWFKKQYPKQNKTFDIYAIEADTHFHDQYKSKKGVTLLPYAAWVKNESLVFEINQTPGDENVEKGRGMGRIQPVKSGGGIVGSVDVIQGFDFANWLKTTVTEKDFVVMKMDVEGTEFDLIPKLIETGAICLIDEVFLECHYNRWQKCCPGVRSPKYQKTYGQCLDLFKTLRQRGVLVHQWW >KVI03272 pep supercontig:CcrdV1:scaffold_1810:58444:86479:-1 gene:Ccrd_018432 transcript:KVI03272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Development/cell death domain-containing protein MGAGKKELVTSNHDWSSTRNLGKSHLGGVIFGTKNTTINECLSKQLFGLPNQHFVYVEKIGPGLPLFLFNYTDRKLHGIFEAASHGQKNIDPYSWTSDGLQRTPYPAQVQVRIRSQCQPLTENQFKPIIVDNYYTQNHFWFELDHSQTNKLLSLFSSRPVSDSQSTLTPHGSTKWSICLPSVSKSDEKVEKELVQSNRKGTSNGVKSSANKEKDICKSFNGKIDQSLDDSLCMVGKDEKELVYTKLKELALNCKFMAPPAASHEHNNALKNEMTNDSSKEVAIHDEKKEDALAKSSEGPMIAKLIEKVEDLMAFKTEQNHKIGCLEMKIVSLEQNLVFIYLINYEAEAENEIRNLKCHCIMLESMVVPPEESHTINGRKSPEFQLDNLPSTSEFHMDSSPERSDIHLDSDELILLVGGYDGTSWFSSLDSYSPSQNRTKSLKPMNAARCYAPVSILDGELYVFGGGTGGV >KVI03271 pep supercontig:CcrdV1:scaffold_1810:53198:58860:1 gene:Ccrd_018431 transcript:KVI03271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGSCCSCYWGVRYEGYFVDEEVNPVEVGGGSTVDNVHNVITIGDCGARVRLRGSTKLSSMYSQQGRKGINQDAMTVWENFGGERDVVYCGIFDGHGPSGHKVAHFVRDTLPSKLSWVFRDSQIKKKNLKGSRQGHGYDHDQVLTTWKARIIESFKETDERLDENASIDSYSSGSTTVSLLKQGDNLIIANLGDSRAVLGTKSKEDVLQPAMDHERNVYRVWMPDEDRPGLAMSRSFGDFCLKNYGLISVPEIYHRKLTEEDEFVVLATDGIWDVLTNIEVVRLVASVRRRTMAARILVARAVETWRYKYPVAKTDDCTVVILYFKKPPLFTISDLEKRQSSLKNPELENMIMPSTTDDDGLDTVLNCDVKEEENHE >KVI03273 pep supercontig:CcrdV1:scaffold_1810:99987:101279:1 gene:Ccrd_018433 transcript:KVI03273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MEQKLLSSLEQIFRIQTKISLKPFSMARSLILNPSTSDQTISSILQILETLSTATINPKFDLLNFITLLCEISIVHRHFSPTVTTILRSLCLHCPSIPPRAAGLALSTLVSIAPASASDLGPAFSEGLFLSLCFGPCVPVRQRLLMDAEKFRVRPSVLLTVLLGFTKDPYPYVRKAALDGLIDFCKWIVVNDHLMVEGCYLRAVEL >KVI09462 pep supercontig:CcrdV1:scaffold_1811:81753:88020:-1 gene:Ccrd_012150 transcript:KVI09462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MVKLSPCAVTILVMCCWATIAASHAMKYKDPNQPLNVRIRDLMNRMTLEEKIGQMTQIDRSVATSDVMQKYFIGSLLSGGGSVPAKEASPETWINMVNNFQNGSLSTRLGIPMMDTELVKKIGAATALEVRATGINYAFAPCVAVCRDPRWGRCFESFSEDPLIVRAMTEIIPGLQGDIPRNACAKHFVGDGGTTRGINENNTVTDVRGLLSIHMPPYYDSIRKGVSTIMVSYSSWNGVKMHRNRNLITGFLKNTLKFRGFVISDFEGIDKMTNPPHANYTWSILQSVSAGIDMIMVGYNYTEFIDGLTYLVKNEFIPMTRINDAVRRILRVKFVMGLFENPLSDLRMAKYLGSEVHRGLAREAVRKSLVLLKNGKPGDRPMLPLPKRSTKILVAGTHAHNIGNQCGGWTIEWHGKSGNITRGTTILSAIRNTVLPTTEVVYIENPTPDYIKLNNFSYAIVVTGEYPYSESAGDSQNLTIPEPGPTTITNVCGSVKCVVVLMSGRPVVIEPYVPIMDALVAAWLPGTEGEGVTDVLFGDAGFTGKLAHTWFKTVNQLPMNVESVYVKYKDPKQPPSVRLNDLMSRMTLEEKIGQMTQIDRSVASPAVMKNYFIGSLLSGPGSVPAKRASAESWIKMVNDFQQGSLSTRLGIPMIYGIDAVHGNNNVYNATIFPHKSPLLAASMVSKIIKNLMNFFS >KVI09465 pep supercontig:CcrdV1:scaffold_1811:19643:25044:1 gene:Ccrd_012155 transcript:KVI09465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 MAHTLHTNLPKSTTIFTSPSCTFVFIITLCIISLYTLHHHHNHQAPATPSTTAQHPPLISAFLNSGSNYTISNYLRHLTLHPHLAGTPPSSAVVDYVKTHFESFHLQTHVTDYSVLLSYHLHSSLTAHFSNTTAAVPLPLTEPGLDSDSEVVKPYHAYSPSGSAYGKAVYVYHGREEDYRALASAGVDVKGCVAVAKRGGGMSRNAVVAKAAEKGVLAVVMYTDNNGGGVERGTVLDGVGDPMTPGWAATGGDVERLGVEDSEVLKRFPTVASLPISAETAALILESLEGARVTHLWKDVDLVGKLDRVGPGPTFLNFTYQGENKMATIQNVFAVIRGSEEPDRFVVLGNHRDAWTYGAVDPNSGTAALIDIARRYSLMMRMGWSPQRTIFLCSWDAEEFGMIGSTEWVEQNLANLGSKAVAYINVDCAVQGPGFFAGTTPQLDDILVEVTKKVTDPDFSDSTLFEKWRTSSEVPMIQRLSDVFSDFAPFLHHAGVPSIDLYYGKDFPVYHTAFDSYDWMVKYGDPFFHRHVAVASVWGLLGLRLADDPIIPFNYLSYALQLQNHTDSLYKLLEGDDVSLHPITSTIQELEDAAKQVEDEIKKMREEESEGRVSVMKRRILNDRLMLAERGFLDSDGIKGRQWFKHLVYGPASESKLGFFPGVADAIYESKEGKKQQAMIQHEIWRVARAIQRAAAALKGQLN >KVI09468 pep supercontig:CcrdV1:scaffold_1811:14689:17506:1 gene:Ccrd_012156 transcript:KVI09468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein MAKLKLKQPPNKNCTPSGPDMEKCLQDGWVVVKKQKVTILIPALPIPEHCTMPNPARSPSQPIPTKEVDHITAPQPDPPVNIEKTMPLATVKPITTFSNPPKLNHRATPGNLLKDEPNCHRTLGICSAPKARKHCGNLLNGHMLVNSKMRALNLERKLQRAGGLNNWLVSLGLGQFVKIFRCRHVGKVQLVNLTMKKLKDMGADAVGPRRKLMHAIECLWCENMLSSLHQKNMLLLAPKVTFDINNF >KVI09463 pep supercontig:CcrdV1:scaffold_1811:40939:46823:1 gene:Ccrd_012153 transcript:KVI09463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSKHRKKERHTSNGCGVTIVMREYRYSEKKLEISSSGEETVAQPLVRVQEQKTIMKVLVAVDESEGSLYALQWALQNIPLHRQSTAAPVEEEEPPAITVVHVQLPFQQPYTANPVGPEHSSAEVLSRASELCKQHMVSRVFPVHLQQKHAPIKAETMVVRGNPKEMIVEATEQMNVDLLVVGSRGLGQIKRAFLGSVSDYCAHHATCPVLINPCRDQARDKTWDQFAAGFGSSQPAVFDVSGALIPVVRPYEYD >KVI09461 pep supercontig:CcrdV1:scaffold_1811:65324:69261:-1 gene:Ccrd_012151 transcript:KVI09461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MGAKNMGKFSVFLIVVLCCWPSMAEAEYFKYKDPKQPVGVRIKDLMKRMTVEEKVGQMTQIDRTVASNEVMKKYLIGSILSGGGSVPAKHASPKTWVDMVNDFQKGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGVTRQVLKPPHFMDPVLVKKIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCFESYSEDPKIVKLMTEIIPGLQGDIPAGSRKGVPFVGGQNKIAACAKHYLGDGGTHLGTNEGNTITDDKGLFRIHMPAYYDSVIKGVATIMTSYSSWNGVKMHSNRYLVTDFLKNRLKFKGFVISDWQGIDRITEPAHANYTYSIVTGMNAGIDMFMIPYNYTEFIDGLTYLVKNNFISMSRVDDAVKRILRVKFVMGLFENPLADYSMAKHLGSQEHRNLAREAVRKTLVLLKNGKSSKKPLLPLPKKASKVLVAGSHADNLGYQCGGWTLEWQGLSGDITDGTTILSAVKSAVDRTTQVVFNENPDAEFVKSNKFDYAIVVVGEHPYAETFGDSMNLTIPEPGPSTIKNVCGSVKCVVVLISGRPVVVQPYVETIDALVAAWLPGTEGQGVTDALFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLYPFGYGLTTEARKNL >KVI09467 pep supercontig:CcrdV1:scaffold_1811:4524:7727:-1 gene:Ccrd_012157 transcript:KVI09467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MKFGETFKEYLHGEKEHSSLFDLNKCSHVDYKRLKKLLKICRHHCREFNNGDFQVEEEEEDRQINTSICRYQSCQWCDRMFFSEIMKEASDIVGCFSSRVRHLVQLHCTTGMQRFLLHLFQCFKNDQQALVMEGRMLIEYVIMNAVALRKILKKYDKVHNSASGMNFKSKLQAEHLEISQSPWLIELMAFCMNFSESNHLICYELCIPFSCDLNVLDPEPVLRLVLPNYANLEYSLTCAVCLHTVFHPYALSCGHLFCKSCACSAGSVLIFEGVNSASPKMKCPVCREDGVFSNAVRMAELDLLLKRR >KVI09464 pep supercontig:CcrdV1:scaffold_1811:57700:61440:1 gene:Ccrd_012152 transcript:KVI09464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MARIKGSFKGLFFMTSCTLITLKITFFSITSIPSTSKVMMLHSHDHDGRLFDDQQSEQIFNPAHISTYHQYEDVVPVLHEQQQPSIEDTGGFGLPPEFSSDDGGRSPEVRENGGGQPPVVNRISQEVVAEGGQTSAGGSRHSKEKPLNKEEVYHDKENFLENYNQMNKSLKIYVYPHAKTDPFANVLLPDNNRSPGGNYASESYFKIGLSMSHFVTQDPNEAHLFFLPFSIASMRHDKRIGVGGIKDFIKEYISTISHKYPFWNRTGGADHFYVACHSIGRTAMEKAPEVKINAIQVVCSSSYFLQGYNAHKDASIPQIWPRPGVRPSRDPSKRKMLAFYAGAMNSRVRESLVRTWVNDTEIGVHQNRLKTPYSESLLGSKFCIHAKGFEVNTARIGDAIYYGCIPVVLADHYDLPFTDILDWRSFSVVVSTEDIAFLKKILREIVDSNEYIKLQKNVLKVQNHFQWHKQPVDFDTFYMVMYELWLRRSSIRIHLLN >KVI09469 pep supercontig:CcrdV1:scaffold_1811:92216:97336:-1 gene:Ccrd_012149 transcript:KVI09469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEQIHLLHGQRQNLQTPGRKCSTNGSSKDLWLAVQGGSVVDVDSALVLLKKNGGNINSRNAFGLTALHIATWRNHIPIIKRLLAAGADPNARDGESGWSSLHRALHFGHLAVASILLQSEASVVIEDSKSRTPVDLLSGPVLQANGNGDGSVVTELYSWGSGVNYQLGTGNAHIQKLPCKVDSLHGSSIKFISSAKFHSVAVSAQGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGARRVKAIAAAKHHTVAATEGGEVFTWGSNREGQLGYPSVDTQATPRKVSSLKSKIVAVAAANKHTAVVSDSGEVFTWGCNREGQLGYGTSNSGSNYTPRLVEYLKGKVFIRTSAAKYHTMVLGADGEVFTWGHRLVTPRRVVVARITKISGSTPLKFHRSERLHVVGIAAGMIHSMALTHDGALFYWLYSLCGKNMVSISAGKYWTAGVTASGDVYMWDAKKRKDELPVVTRLRGIKRATSVSVGETHLLVISSLYHPPYSVKAFDTPRCSKPKLSDELSELDEDFAYKDIKIDEVTSSIQRGNGENKQAPTLKSLCEKVAAEHLVEPRNALQLLEIADSLEAHDLRKHCEEIVIRNLDYILTVSIHSIASASLDILVNLEKLLDLKSSEAWCHRQLPTPTATFPAVVNSEEDDSENEFFRTRDNNNGEKMDLLKERNQRFDSFLQPYNVANQEIGKQVRALRKKLQQIEILEEKRSKGYHLDSQQISKLQNRPILENSLVELGVPVDTIQAKSASPDGMGNKKAEGTKKQRRKSRRRSTQGEEVPATYESDAKLNTVKGFLPSEASQAHHKEKEIDDKGKIDKLVIEGLPDNLKKDFSCSKSKNPSSPASSKKKNRKGGLSMFLSGALDDTPKIISPPPPPTPKSEGPAWGGANISKGPTSLREIQDEQEKTIGNTTIARKKDQLEVSTDVKTGGKLPLSSFLPSSPIPMGPTQVPDAERSTPPWVSSGTPPLGSRPSLRGIQLQQEKKQQTLSHSPKTRTTGFCVNSGQGSPSDAGGLNRWFKPEVEAPSSIRSIQIEEKAMKDLKRFYSNVKIVKNQF >KVI09466 pep supercontig:CcrdV1:scaffold_1811:22975:41006:-1 gene:Ccrd_012154 transcript:KVI09466 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATH-like protein MTMVTPQPLDQEDEEMLVPHTELLEGPQPVQGPIALDGTPPIEAPQPMEVVGQAEVASTAENQAVEEQPQASRFTWVIENFSRQTNKKLYSDVFVVGAYKWRVLIFPKGNNVDHLSMYLDVADSSSLPYGWSRYAQFSLAVVNQIHNKFTIKKDTQHQFHARESDWGFTSFMALSDLYDPGRGFLMNDTCIIEADVAVRKVTDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNETSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFTRDTMVKINDRYEFPLELDLDRENGKYLSPDADKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGYNNAPFKFTKYSNAYMLVYIRESDKEKIICDVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVVARDADLSEQIGKDIFFDLVDHDKVHSFRIQKQMPFNLFKDEVAKEFGVPVQFQRFWIWAKRQNHTYRPNRPLTLQEETQSVGQLREVSNKNHNAELKLFLEIEIGPDLRPLPPPDKTKEDILLFFKLYDPEKEELRYVGRFFVKSAGKPTEIISRLNETAGFSPDEEIELYEEIKFEPCVMCERLDKRTSFRSSQIEDGDIICFQKLPQAQAEEKYRYPDVPSFLEYVKNRQIVHFRSLDRPKEDDFRLELSKLHTYDDVVERVARQLELDDPSKIRLTPHNCYSQQPKPHPIKYRVAEHLLDMLVHYNQVSDILYYEVLDIPLPELQCLKTLKVAFHHATKEEPVVHNIRLPKQSTVGDVLNEIKTKVELSHPNAELRLLEVFYHKIYKIFPLTEKIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFTKEAALNQMQVQNFGEPFFLIIRENETLEDVKVRIQRKLEVPDDEFSKWKFAFLSLGRPEYLQDSDVVSSRFQRRDVYGAWEQYLGLEHSDTTPKRPFTANQNRHTYEKPVKIYN >KVH94996 pep supercontig:CcrdV1:scaffold_1812:55725:65676:1 gene:Ccrd_002935 transcript:KVH94996 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein LLNLTALFGVCLPPLISKTRTLFTPLFASCCYPFSAYAGFSMSSFYSPNFSPARAVSPQITDADSQYLSELLAEHQKLQPFMQVFPVCTRLLNQGMLQSQGINELDRLRHRSPSPMASSDLMSHVPGTGWNGFPQERLSGPPGMTMDWQGGAPASPSSYTVKRILRLDIPVDTYPNFNFVGRLLGPRGNSLKRIEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPASVVEIRLRQAHEIIQELLKPVFVLMDDCNS >KVH94995 pep supercontig:CcrdV1:scaffold_1812:89504:97377:-1 gene:Ccrd_002936 transcript:KVH94995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MINFRMMGFKFKFKSCGCVFALMIHCLMMIAVAKVTDPSEVSALLAVRNSLVDPMNYLSNWNKGDPCTSNWTGVSCVHKNNVDRYWHVQEIQLLNLNLSGSLAPGLGEFSHLRILDFMWDNLSGSIPKEIGNISSLVLLLLNGNKLTGSLPVELGYLRNLNRFQIDENQISGPIPKSFSNLNSIKHMLLDNNNLSGYLPPEFGNFQNMRILQLDNNHFDGPIPASYGNLSGIIKLDLSRNRLTGSIPLNKLSDNMITIDLAYNQLNGSIPETLSVLPSLQKLSLKNNSLYGSISAELWQNKSLTAASKLVLDLRNNLFSDVNGDLNPPVNASLRLQGNPICRNSSIQNKDQFCGPKDDGDYTRAISENATGCPIQACPTDNYFEYVPGSPVPCFCASPLRVGYRLKSPSFSFFAPYQEEFEMYVTSSLDLDFYQLFIETIRWEKGPRLRMYLKLFPKAGTKHSSTFSRISKLSIKMDGVKSFTFREMAIATQNFCDSTLVGRGGYGKVYKGTLWDNNMVAIKRAEEGSLQGEKEFLTEIEMLSRLHHRNLMLVYEFMPRGTLRDWLNAKSGESLSFKRRLLVVLDSARGILYLHTEANPPIFHRDIKSSNILLDSKLTAKVADFGLSRLAPILDDNGVGPNYVSTLVRGTPVNNRMGSYPSECIEKFALLALWCCKDKPEKRPSMLDVVRELEHILEKMPETGDELLEPESKSFMELSSLDSSSNIPGSNLTSGGNPIVYPR >KVH88000 pep supercontig:CcrdV1:scaffold_1813:26504:97767:-1 gene:Ccrd_024616 transcript:KVH88000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIYLSPQLPFPLDILYADPERKLLSHCMPLKLLGFHVSQAYDVLGLYYGIGRSFFNLASAKVLSRSRYEALQKAIKNYTIEVTPDDRGSILQQWYYKECAESRKVERYIWGLKPSIREFVIAMNPDTFSLAVNAAEVTERNKNRQGEEKIIEKRKWEGSSFSFRRPKFIKSDNRAPQSLLVGTCPRYQQERSSPISSQSLHPFFIKPDFVRERETITATVHPVCFISHPSPKQTSPFLLFPVLLQLSLSPSIASVFSLPIEVANSGSPPTPCIIFISAFGNQTSRAKNETLKSRAESKMEMEERDGDG >KVH87999 pep supercontig:CcrdV1:scaffold_1815:55073:58441:1 gene:Ccrd_024617 transcript:KVH87999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MVSRSWKMIAAAIVTITFILDLSLAEMPPNYTFMREATEAPKISFYDYIVVGGGTTGILVAATLSENLSVLLLERGGSPYPNPNVTLGANFGTYFFDTSPESPSQQFVVEGVVNARPRVMGGGTCINAGFYTRAEDRFYTDAGLTDANLIEESYQYVEKVMVFEPVVGGWQTALRAAMLEAGVTPDNGNTFDHLNGTKTGGSIFDPNGVRHTAADLLQYANPEGLSLLLHARRSKPVAYGVVFKDSLGKMHRAYLKSEKKNEIIVSARALGSPQLLILSAIGPKEQLDALKIKLVVEQPLVGQYMADNPLNGFFIPALVPVERSLVQTVGITEFGSYIEESGGINFFLADTPTYLGCDYQMGGFIFEKTDGPLSMGNLTVVNCNPDAFSNYRYPNMTAQYILDLNIQYPYNLNENSKTFSTLEQYCKDTKSTIWHYHGGCRIGKVLDEEYKVLGVDGLRIMDGSTFLNSPGTNPQASLMMLGRYMGVTMLAQRCSADKPYADM >KVI01396 pep supercontig:CcrdV1:scaffold_1816:24217:26396:1 gene:Ccrd_020330 transcript:KVI01396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTSFFYKTLATASACYGNTPQGVMIAAASDPLWNNGAVCGKTFSVKCIGATNPFPHPCIDRKTITVKIVDHCPGCGGNLDLSKEAFALIANPVAGIIKIDYQEL >KVI01397 pep supercontig:CcrdV1:scaffold_1816:58252:59637:-1 gene:Ccrd_020331 transcript:KVI01397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDRRILVSSYERPYFYSQPLPPPPPPPLPDTLNLQSHRFNLNDKVSPSVLLVIIIIAIIFFVSGLLHLLVRFLMRPSNRDPDEFDNVTALQGQLQQLFHLHDSGVDQSFIDTLPVFTYKSIIGVKNPFDCAVCLCEFEGEDKLRLLPKCSHAFHMDCIDTWLLSHSTCPLCRGSLLSDFSSNTCSPIVLVLESGSSEISREIVNSEPNISSIQTVNSHLSNEESEFGKSEMVKEENKEKVVTIKLGKFKNVDGGGGGGGGGGGEDSSEKQTIDARRCFSMGSFEYVMDENSSLQVPIRARVKKQASKKSSLPITPGHRPAMSECGGDSRRDFKGIDVLRGGGGGGGGGCAAIGKSKRESFSVSKIWLRGKKEKPNSMVAAAIGPSSRGSFSFRFPVHRNEFKANRRANSDVDMKRWENDPEIQTFHCFDSPPDASNPPSFARRTLLWLMGRQQIKVVSTNV >KVI01398 pep supercontig:CcrdV1:scaffold_1816:76600:77185:-1 gene:Ccrd_020332 transcript:KVI01398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MWRCELIYAYAHYDHEITDRCVIWHLYVMTISLSAIYFCYLCPVATLGGMKVKADRDESSPYVAMLAAQDVPQRCKELGINALHIKLRATXGNKTKTPGLGAQSALRALAWSGMKIGRIGISFFTFFFLKQVLHYFVGILVKFLS >KVI01399 pep supercontig:CcrdV1:scaffold_1816:101016:101696:-1 gene:Ccrd_020333 transcript:KVI01399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase, C-terminal MADNPRTEISLLVPFPLADIGSRVVGITKEGPFIETVSGVAPFYSPASTIIFPYPYAPLNLSIITIVAKFLRLASVGILDLKSASDVTVTPKVSFNYYSSLEDLRQCANMVNVLDRMLRTQAMETYKFSDRDGRKYFQFVGFSLPENPSNEESXEAFCRNTLRTFWHFHGGCLVNKVVDGDLKVIGINGLRVLDGSVFSDSPGTNPQATLMMLGRYIGIKIHNARG >KVH87998 pep supercontig:CcrdV1:scaffold_1817:18449:23094:-1 gene:Ccrd_024618 transcript:KVH87998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTTAASSKVPADSRRISHAMVGCTSRHRRRVSQTSPANNSHRTPTGSSQLLGCSVHRLLPRRHNRPATTGPLNRGKRHRHTARRQFNLLHSWIELRDQEKWTRQRERLVEVDLVEDRG >KVH87997 pep supercontig:CcrdV1:scaffold_1817:22846:33348:1 gene:Ccrd_024619 transcript:KVH87997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALATVERAGCGWPVVATGQQAVDRATQQLAATSRRAVAVVGGAGLTDSAAVAGCTPNHSMADSAAVGGDLAAGGGSNEWTNPTVSIDDVSDRLWCNYEGLLSLELGPLTMAVGYYISEEQANFQGKDGRQEESLSSFYLADPFSKKDWYDSKAPSLFSTRNVGGHGAALHAVEKDRLNIVIVEARNSISGSPWLYSAHPTNVDFWMIKFAFHPAGLKTAIIEGDVVGGTYVNRGCVSSKALLAVSGRMRELQNEHHMKSFGLQVVAAVYDRQQVADHAQNLATKIQNNLTNSMKSLGVDILTGFGTVVGPQKVKYGKVGGTIPEWIVIVGSGYIGLEFSDVYTTLGSDFCRSIKSVDAWFDPENGKLAQRVLINPRKIDYHTGVFACKVLSINELDTEEDIGKVISDDI >KVH90794 pep supercontig:CcrdV1:scaffold_182:153941:156465:-1 gene:Ccrd_007194 transcript:KVH90794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX MVGGVSVVGSSVVDSHTSPCLCVDALASKTHMNHTKSGHKSVAKKHFGSLKLRSSFIHFKFAVKVPSSKKQKKSRGLVVVNDLGGQYEDSFHDVQAQIFNLFTYKAVRTVMNQLYEMNPTEYRWFYDFVVTNKPNDGKRFLRVLQKEKHELAERVMVTRLHLYGKWIKKVDHAEMYKNLSDQNLELMRERLMETVIWPSDDANWEQYG >KVH90780 pep supercontig:CcrdV1:scaffold_182:57442:61266:1 gene:Ccrd_007189 transcript:KVH90780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNWHLQTEGDIPYSLSGSFMDFFEGLTYEHVNFIFSDVTYPQESTQYTMNTNAYKSAYSEPGSFSYHDYCDAYAINDQTYGNSGFTRQLENASTMADERNSAVRMQQDGQSSSMSHADPADCPQSNQNPGDYQVVWEDNVDPDNMTYEELLELGEVVGSQSRGLSKEAISLLPVSKVKSGFFWRKKSRNERCVICQMEYKRGERQITLPCKHIYHAGCGSQWLTINKACPICYKEVLVHIPKHSKRFCK >KVH90795 pep supercontig:CcrdV1:scaffold_182:150446:153112:1 gene:Ccrd_007193 transcript:KVH90795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MESLGILPDNSTLPLVLKACGRLNATERGKKIHNAVLNTDMVFDIRVQTALVDFYSKSGMLHNALNVFDEMTDRDVVSWNAMISGCVSCSKYQQALLLFSHMQKGNLKANSVTIVELLRACRELLELRLGKEIHGYCLRHGLFNSNPHVDSSLMGFYLKFDPRTACSVFETLASRNTISWNTMISGYVDHGDCLKALQLFILMLKDGFECDPVTMLVVIQACAVFGDLELGMQVHQLVIKFGYSNIYIVNALMNMYIKSADLRSSYELFNSMPTRDVALWNSMLSCYVASGFIEESIKLLTEMQLEGIQINERTIVIMLPLCADLSGGLVNGKSLHAYAFKIGKERNTHISNSLVNLYASFNCIQDATRIFTTIKDLDVISCNVFISALAHNDLTVQAWEIFTQMLEMEIKPNSHTVTSILALFDGVELLNVGRSIHAFMMKCGIQIDASLNTALTEMYINCDDETTGRSLFENYPNKDLISWNTLLSTYIKNDQANKALLLFHHMISRVKPNCVTIINVLSLYTHLSNLPQGRCLHAYTLRRFSSLDLDLSLANAFITMYARCGSLEYAEKIFHILPETNIISWNAMIAGYGMHGHGDDAMLTFDKMVKKGVRPNQVTFISALSACSHSGMIHKGLQLFDSMVQDFCITPELVHYACVVDLLARGGFLNEAKNVIDTMPMAPDGSVWRALIGACRVYSDTHLAKTASEKLIELEPTNPGNYVLLSNIYAAAGLWSEVKNLRLILENKGLKKPAGRSWIVIKSQLHFFAAGDKSHPESENIYEKLTYLLTLVKGMGYVPDLRWVLHDEDNERKMARLSSHSEKLAIAFGLISLSSGSPILISKNLRICGDCHEFCKYVSKLSRRTIILRDASRFHHFIDGFCSCKNYW >KVH90779 pep supercontig:CcrdV1:scaffold_182:50740:54154:1 gene:Ccrd_007188 transcript:KVH90779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVIFILRSLNCTRKPYPNFILGFSFQTRSLLPYKEQPTNWNTTHSFILSNPLLCLLEKCRSMTQMKQLQTQMIITGLISDGLAASRLVAFCAISESRNLDYCKRILNNLQNPTVFSWNVTIRGYSESENPKESFLLYREMLRRSKGLRPDNYTYPLLLKCCAKLSLVRVGLGVIAHVIHLGFESDVYVNNAVIHVFVSCGELDEAHKVFDESCLRDLVSWNSIINGYVRSGRPWETLRLYGQMEQEGIKPDEVTMIGMISSCAQLENLNLGMKFHRYVEENRIKMTVPLSNALMDMYVKCGNMDAAESLFDKMAKKTIVSWTTMIVGYGKHGCVDDAKKLFDEMPEKDVVPCNAMIGGYIHARRFKDALAVFHEMQAMKIKPDGVTMVYCLSACSQLGALDLGIWIHHYITKHNLSINVALGTALVDVYAKCGNISKALQVFDEIPIRNSLTWTAIIVGLANHGNAHDAISHFWEMIKIGLTPDDVTFLGVLSACCHGGLVDEGRNIFSQMRSKFNIFPKPKHYCCMVDLLGRAGLLEEAEELIKNMPIEADDGVWGALFFGCRIHGNVEMGERAALKLLELDPSDGGIYVLLASMYWEAKMFGKSMEVRKLMKERGVDKTPGCSSIEVDGNVYEFIIRDKSHPQHQRIIECLVHLTNQLEGSEDVDISSFYYSLG >KVH90799 pep supercontig:CcrdV1:scaffold_182:378160:378918:-1 gene:Ccrd_007207 transcript:KVH90799 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MATHSQLSPTIRFLLPLLILQCITTTLAQAPAPAPAGPTNITKILEKAGQFTTLIRLFGMTQVGNQINTQLNNSNQGMTVFAPTDNAFSGLKAGTLNSLSDQQKVELLQFHVVPNFLSTSQFQTISNPLRTQAGDSASNKFPLNITTSGNQVNVTTGVVDATVANTIYTDGTLAVYQVDKVLLPMSLFGPQSPAPAPEPVKKKKSGSDDETPATDDGSASADASGAVSFGAHLHGLIVGSIEAIAFIGMFYL >KVH90798 pep supercontig:CcrdV1:scaffold_182:61723:69528:-1 gene:Ccrd_007190 transcript:KVH90798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, C-terminal METNLEADFKELRQVFNSGKTKESSWRISQLHGLLHILEDREDDISMALKQDLGKHHVEAYRDEIGTVVKSVNYALKNLNQWMAGKKASLPLAAFPSTAELLPEPLGVVLIISSWNFPFGLSLEPMIGAIAAGNAIVLKPSELAPSCSSFLAETIRDYLDNSAIKVIEGGSATGERLLEHKFDKIFFTAAAQHLTPVTLELGGKCPAVVDSLSVSGDANVELLKKYVTQFYGDGPKGSHSMSNIINKKHFSRLKSLLDEPRVKSSIVHGGLLDEESLFIWPTILVDPPLDAAIMTEEIFGPLLPVITLERIEESIEFIRARPKPLALYAFTKNGNFQKGLISETSSGSVMFNDVILQYAVDTLPFGGVGESGFGRYHGKYSFENFSHEKVVLTRSFFIDFWFRYPPWDDKKLQLIKSGLRFDYLGFLLIALGLKSKA >KVH90787 pep supercontig:CcrdV1:scaffold_182:333230:337343:-1 gene:Ccrd_007203 transcript:KVH90787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAVEGGATTGSTLEYTPTWTLGTWLKEHRKTALYDAVEKLKEVLMLLGLMSLILVVTQREISKICIPNKVADSMLPCHKISDKTTQPTEAYGPRYATPPQFEPRASEYNTGPPQRRLLASASATSNSSGHCASKVVRPYKMTKSYGMTSFISEQGVNQLNTFICVLAIMQIVYSVATMGLGSAKMRTWKAWEKETQTLEYVVDNDPNRFRFTRQTTFGQRHMNDPIDTPILLWTKCFFRQFFHSVAKIDYFTLRHGFISAHLSTNNSFNFRKYIQRSLEDDFKVVVGVSPLMWFMFVILMLVDVHGWHMYLWVSLVPLAIVLVLGTKLQVIVARMALQLKEQNKVIIGVPLVTPNDDLFWFSNPRFVLTLVHYILFVNAFEFAFFIWITLQFGINSCFHENTTIVVTRVILAVVVQVICSYITLPLYALVIQMGSQFKSAVLEDQMKLMIKQWHRKVKEKRKKAQSPHDSPISNTTTLMNSIEIVQSHRTPTLHQLTPRSIGDTSEIVEETEQE >KVH90783 pep supercontig:CcrdV1:scaffold_182:33731:36567:-1 gene:Ccrd_007186 transcript:KVH90783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MIWFYFVLISMLLLCPNTTILATCSSIGNQSAAAATDGNYGGINKSCFHKEKQALLHFKASLKDPNGQLSTWRPAEDDCCKWFGITCNNHTGHVTELDLRSYYYYYFEGEISPSLLNLTYLNHLDLSRNFFHGTIPNFIASMTQLRYLDLSVNVLYGTIPRSIGSLTQLRYLDLSKNSFYGTIPKSIGSSTQLRYLNLRYNSFNGTIPTEFGNLTNLRNLSLGNYERLSTIQTLDWLSNLSHLQHLDMTLISLAKANHWIDVILKLRVLNLGHNNLSGRLPASIGYSIQLEVLDLYNNNFSGELPMALKNCTRLNFLNLGANKIFGNVPVWIGENLTGLYGLILGSNNLFGSIPLQLCHLMYLQILDLSINNLNGTIPSCVNNLSAMVDGRLLQYNIHMFRGNMSFVGTYVDNAMIEWQGKEQEFTKNLGLLTSIDFSSNNLTGQIPNELVDLHKLLVLNLSMNALLGEIPRKIGVMKELLTLDLSRNKFSGGMPSSMSNMTLLSYLDVSYNKLSGRIPSSTQLQSFEPSRYTGNAGLCGLPLAKSCPGDEVPPIVGERKSGEEDIDELQRWFYIGGCTGFXXGFWIACGALLLNRHGRRVFFHFVDSLKDWVYVKGVVFIRKLRRFAYT >KVH90797 pep supercontig:CcrdV1:scaffold_182:84922:94043:-1 gene:Ccrd_007191 transcript:KVH90797 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MSSLDVSSHVSLSRILSLLSPVSKFSPSSSLLFPTRSSNLPLSLSAIYLHNRRRTTTVRSLEGEFVSVEDELPEIEDDVESDFEDVSDEHLDVDELELEAEKAVREYSLSLSKELKIEEEPIDDKGTRGRRKMRERIIPINIPDHLLPKVSIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGDHEFMVIDTGGVLTVSKSQTDVMEQQLSVSTTIGMEGIPLASREAAVARMPSMIERQATVAVEESSVIIFVVDGQAGLNAADVEIGDWLRKNYSNKSIILAVNKCESPRKGIMQASEFWSLGFTPLPISAVSGTGTGELLDLVCAGLGKIEESDVQNKEEDYIPSISIVGRPNVGKSSILNALVGEDRTIVSPKFRLIDTAGIRKRAAVASSGSTTEALSVNRAFRAIRRSDVVALVVEALACITEQDYKIAERIEREGKGCLIVVNKWDTIPNKNQQTTLHYEEDVRMKLRILNWAPIVYSTAIAGNSVDRIIVAASAVEKERSRRLSTATLNQVVHEALAFKSPPRTRGGKRGPIRPPTFVFFVNDAKLFPETYRRYMEKQLRSDAGFTGTPIRLLWRSRRKTDKDPGQAPASKPKPNLTHKKLAVAT >KVH90785 pep supercontig:CcrdV1:scaffold_182:400005:401459:-1 gene:Ccrd_007209 transcript:KVH90785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSFILVAFLLILITIGATVVRAEDDKDHGDDNSKKSPAPVAAPKEQTPPAKKDDBSKKSPAPVAAPKEQTPPAKXDDBSKKSPAPVAAPKEQKPPAKKDDDSKKTPAPVPKEQKPPAPKEKPAPAPKEVKPKPSPVEDDATNYDDLTPDPKSGCERAFCKSKGECHYKTLTCPAECPQRKPKKNKKNKGCHINCGSKCEATCKWRRPKCNGYGSLCYDPRFVGGDGVMFYFHGSKGRDFALVSDTNLQINAHFIGNRPIGRKRDYTWVQSVSVMFDTHTLVLAAKKVPKWDDSVDVLLMKWDGQEVTIPFQGNAEWKTTTGVREVVVERTDDTNTVRVTVGGLVEIDMKAVPITKEDDKAHNYQLPLNDAFAHFETQFRFSNLSDDVEGILGKTYRPEYVSPVKRGIAMPMMGGEDKYETPSLTSPLCKVCRFQRQSETAAAAAIAIGISQY >KVH90788 pep supercontig:CcrdV1:scaffold_182:301707:312398:-1 gene:Ccrd_007202 transcript:KVH90788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MEIDLNHAASGIEEVYSVDSCGNGGDSSLSASSNSSNSSLKSSNPNFSSSIYMELWHACAGPLTTLPNKGNVVVYFPQGHLEQIASHSSIQFSPIEVPSLGLHPQIFCKVVDVQLLANKENDEIYTKISLLPLPEENLQEGEEEGGGGTLTKSTPQMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWKFRHIYRGRKRIQWVTMISLTKQRESGEGGELRLGTRRATRPRNVLPDTIMPNSDSFTDILAPVANAVSANTIFHVFYSPRSNRADFIVPYVRWDEDVGNNNQERISPWEIDLSGAPVPLLSIHPSLRFKKMRANLHPSEHPMAGWGGCLDFEESTRSSKVLQGQENAGGKMNNPLNFGVQPSIHSGFSPNQMLLTGRSTNIVGELVRAHHQPPSTTPYSGFMGSNNSRYPKVLQGQEICSLRSLTGKTNGSWGAPRTDLGMHQRLSNPGFYPLGSEGGRNFCFPNPGSVMRTSLPGFPINRAAFGNGVAADDINGIQLQPPVELGKTTVDPIMEANSGSEKDDGGSDSMGSSCKLFGFHLNGGAPPIPDAQSLSKRSCTKVHKQGNKVGRAIDLSKMSSYDELFSELETLFQMEGVLSNRVGTWRLLYTDEENDMMVVGDDPWDEFARMATKIHIHTKEEVEKLMSGGVISDDTSCLEEAPAIAMVDTAKSGSAGVILRSPSQNCSEASEEAMSNYGNGKGKPMNGEDDDDEDDGNERDLEAWERAYADERSWESLQEDESGLLRPIDNQALHHAQYRRRLRSLSSASAASRIQKGLIRYLYLVIDLSRAAGEMDLRPSRMVVVAKQVEAFIREFFDQNPLSQIGLVTIKDGVAMCLTDLGGSPESHVKALMGKLGCSGEASLQNALELVHDQLDQIPSYGHREVLILYSALSTCDPGDVMETIQKCKKSKIRCSVIGLSAELYICKYLCQETGGLYSVALDEMGFPQRAAEGVISICSCHKESKFGGGYTCPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPVTTFDDVAPSIVTNQHRRPKNCFGCQQSLLNPGNMPGRCVSCPKCRQFFCLDCDIYIHESLHNCPGCESLREVAE >KVH90801 pep supercontig:CcrdV1:scaffold_182:349950:353999:-1 gene:Ccrd_007205 transcript:KVH90801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGDQFLHLPPGFRFHPTDEELIDYLFSKVKLRSIPASLIAEIELYSCDPWQLPIKASFGEDEMFFFSPRDRKYPNGSRPRRSAGSGFWKATGKDKPIFASSGSRKIGVKKALAFFIGNPAKNVKTSWTMTEYRLPESSSRSSRQNGSMRLDDWVLCRIRQKGNMSKNKSEVEKNPKNKPTDGRLLTTLQELPSSYMVTKADMDLISDHVAELVDVGMEAHCFELNLRRLERIVHGKV >KVH90786 pep supercontig:CcrdV1:scaffold_182:394762:400030:1 gene:Ccrd_007208 transcript:KVH90786 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin domain-containing protein MMRRVMMEFLTVSILVAATATATAVFGGNVTYDHRALVIDGKRRVLVSGSIHYPRSTPDMWPGLIQKSKEGGLDVIETYVFWNLHEPTKNQYDFEGRKDLVKFVKTVADAGLYVHLRIGPYRFTAKIVDMMKEENLYASQGGPIILSQIENEYGNIDSAYGPAAKTYIKWAASMATSLDTGVPWVMCQQRDAPDPIIDTCNGFYCDGYTPNAKNKPTMWTENWTGWFLSFGGAVPYRPAEDIAFAVARFYQRGGTFQNYYMDVKYLWILCVPPCSTMVGLISATLLEDPLLRQVMITMLHLMSTERLDNLSGASVYKTSSGCAAFLANVDTKSDATVNFKGNSYHLPAWSVSILPDCKNVVFNTAKINSVSTIRRFVATSVRNDLSASEALSSDWSYVSEPVGISSDNAFNKQGLVEQINTTADQSDYLWYSLSTDIKGDEPFLQDGSQTVLHVESLGHVLHLFVNGQIQGSAIGNGISKDIPITLKTGKNKLDLLSVTVGLKNYGAFFDKAGAGITGPVQLKGLKNGSTVDLSSQRWTYQVGLKGEALGLHTGDSSLWVSGSSVPKSQPLIWYKTSFDSPAGDNPITIDFTGMGKGEAWVNGESIGRYWPSYSAPNGGCAGSCSYKGPYGSTKCLKNCGKPSQTLYHVPRSWLKPSGNVLVLFEEMGGNPTQISFATQELESLCSRVSESHPLPLEAWTQDKKSGRKSKSKSKPRVSFECPHPNQVIDSIKFASFGTPQGKCGSFSHGECRSTDALSILQKACIGSRTCNIEVSTGMFGDPCTGVVKSLAVEASCA >KVH90790 pep supercontig:CcrdV1:scaffold_182:249749:256368:1 gene:Ccrd_007198 transcript:KVH90790 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B PTNVKRAPQIGDIHEKQREENTRWRGTTKNVEVANKHKYQQRGFTVLAQYCINSMSSTAMQANSADSSSPEQSLDRDSQSDEVLSEEEDDVSKETHDVPYFPSGAFQITLLIIIHSSASHVSVTPNETREIQYGKTSRGHFTLNHVYSYLNIDDKFHPSVSDSYGQGQQNFQHGAPNILPRNEETVAQAPQPELVGHSVPYLHESRHQHAMRRVRSSGGRFAKKTETNASEDKKATGSGASGMKRMQSGQSGESFSETRGGVVNSCNGRTYAVDGGGRYDNQDGFQGSSYHSDIGEGGSLGQQWATMPANQGSQRAVAMK >KVH90802 pep supercontig:CcrdV1:scaffold_182:346829:352163:1 gene:Ccrd_007204 transcript:KVH90802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRQEPPMDNISTEERRHQRHPSPSKHSRAKAVPVDTNSVTQRLQKELMALMMSGDLGVSAFPEGESIFSWIGTIEGGKGTMYEGLSYKLSLHFPVDYPFKPPQVKFETMCFHPNVDQFGNICLDILQNQILIVLSTALLLRSGATKKVRQLFYKVSNRIFYPGNSTVTGIQITEEWYTNTMQLENLLRADAQIMGLLSW >KVH90781 pep supercontig:CcrdV1:scaffold_182:7158:11458:-1 gene:Ccrd_007184 transcript:KVH90781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWFYFVLIIIIMLLLCPNTTILATCSSIGNQSAAATDGNYGGINKSCFHKEKQALLHFKASLQDPLGQLSTWRPAEDDDCCKWFGITCNNHTGHVTELDVRSYHLEGEISPSLLNLTYLNHLDLSINSFHGTIPNFIASMTQLRYLDLSDSSFNGSIPNSIGSMTRLRHLDLSFNILHGTIPRSIGSLTQLRYLDLFWNAFNGTIPRSIXGTIPRSIGSLTQLRYLDLFWNAFNGTIPRSIGSLTQLRYLDLRYNSFNGTIPTEFGNLTNLRNLSLGNYERLSTIQTLDWLSNLSHLQHLDMTLISLAKANHWIDVILSLPELSYLSLERCELSEVMHPYSSFVNSSFSLSIQFLDLSGNNLNSSMYRWLFLLTSNRLLSLXLSGNMLDGIPKYLGNLCSLTSLYFYKNSAVVNFTDFLNNLSGCTSGTLRDLYADSSQFIGSLSDQIQEFSSLEYLYLPYNHLNGTMSEKVWELPNLQGLDVSSNSLVITPNIGKSKVSYIDLSNNSLVVIPSKAHISNLYYVEYIDLSACNLGPFFPKWIQTHKNLTHLDISNNKISGTIPVEFWKTWPSQLTYLNLSSNNFNGNISDLSSNFVPMAMIDLSSNNFYGPLPNVPSTLAWLNLSKNKFYGGISFLCQIVDGFLSFLDLSHNSLTGQLPDCLWHFKELRVLNLGHNNLSGRLPASIGYSIQLEVLDLYNNNFSGELPMALKNCTWLSFLNLGANKISGNVPVWIGENLTWLYGLILRSNNLFGPIPLQLCHLMYLQILDLSINNLNGTIPSCVNNLSAMVDGRFLQYNIHMYGVNMSSTGTYVDNAMIEWQGKEQEFTNNLGLLTSIDLSSNNLTGQIPNELVDLHKLLVLNLSKNALLGEIPRKIGVMKELLTLDLSRNKISGGMPSSMSNMTLLSYLDVSYNKLSGRIPSSTQLQSFEPSRYNGNAGLCGPPLAKSCGIVSERNCGEEGINELERWFYIGGCTGFATGFCIVCGALLLNRHGRRVFFHFVDSLKD >KVH90803 pep supercontig:CcrdV1:scaffold_182:289388:300885:1 gene:Ccrd_007201 transcript:KVH90803 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein MYVRCLGRLDDMTLPFGAKSSQRASLLEKLAHFRIKELKDILTQLGLSKQGKKQDLVDRILAILSDDRVSGMWAKKSAIRKEEVAKLVDDIYRKMQIPGASDLASKGQGVSDITVNTTFKEEVEDTYRIEKVRCPCGSSLQADAMIKCEDHRCNVWQHIGCVIIPEKPVEGILPAPPPTFYCELCRLGRADPFWVPVGHPLYPVKLTIANAPTDGTSPVQSVEKSFQLTKADRDLLGKPEFEIQAWCMLLNDKVSFRMQWPQYADLQINGMPVRAINRPGSQLLGANGRDDGPVITQCTREGSNKISLTGCDARVFCLGVRIVKRRTVQQVILNLIPKECDGERFEDAVARVCRCVGGGPATEDADSDSDLEVVADSIPVNLRCPMSGSRMRIAGRFKHCAHMGCFDLEWQCPICLKNYSLENVIIDPYFTRITTKMRNCGEDLTEIEVKPDGCWRAKADNDQKSLGELGQWHLPNGTLCVPVEVESKPKPEPLKLVKQEGVSEHTSLKLGIKKNRNGIWEVSKPENLHSLSSGTKLPENFVNNGHNVIPMSSSATGSGRDGEDPSINQDGGGHFGYSTTNGADLGSLSPNIDPGYGFTDLNPHSTVGDADIIVLSDSDEETENLMSSVPVYKNGREDADRVAFSVLPNGITEPHNDDPALIAGGNSCLGLFNSNDDDFGPFWNLPSTSSQGGPSFQLFGSDENPVDALIDVHHGLGCPTSMDGFTLTAETAMGSAALVPNSSVYQSNVDMNDGLVDNPLAFGHDDPSLQLFLPTRPTEAAEQVESRDQPTVSKGLHGDDWISLSLGGGGGGSGVTCEPAATNGLNSSQPPPSKDGALDSFADTASLLLGMNGSRSGKSSRERSKNHVLFPRQKRSVRPRLYLSIDTDSEEER >KVH90792 pep supercontig:CcrdV1:scaffold_182:176643:177002:1 gene:Ccrd_007196 transcript:KVH90792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSARKPRRTIKKKQLETKEEISNITASPVKTCSDNSVLKKMDHEISSIGCTTPKAQKYQIPEILTCPPAPKKRRLVSSCTLRRTPISFFDPPDIELFFASHLASIYNHVHTHVR >KVH90796 pep supercontig:CcrdV1:scaffold_182:144748:148103:1 gene:Ccrd_007192 transcript:KVH90796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/sulfate symporter MSNSVLLPVFTHRSSIETPSFIVTMASTALSSVNLGFRSVPAYKRHVSSTQSPSLKLHRSTGQQHLLSSSSYTSTFTSRLPVLNLRSPTRHLNFSVKASAAVVPAKPEPTPAPVPWQGASMKPLVASIATGIILWFVPVPSGVSKIAWQLLSIFLATIVGIITQPLPLGAVALMGLGACVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEHKLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLTHNTINQTIGWTLWAKAAIVPGIVSLIVVPFLLYLIYPPSVKSSPDAPKLAKERLEKMGPMTKNEIIMAGTLLLTVGLWIFGGVINVDAVTAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLINWFSQTVVKFVGGLGLQWQASFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPLFGAMVLSFLSNLMGGLTHYGIGSAPVFYGANYVPLAKWWGYGFLISVVNIIIWLGVGGVWWKFIGLW >KVH90800 pep supercontig:CcrdV1:scaffold_182:363213:365537:1 gene:Ccrd_007206 transcript:KVH90800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MMSGGDGFNSSSPSSLTASLIQDPISNPNPNSSSSAKRKRNLPGTPDPDAEVIALSPRSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKLEVVKKKVYICPEKSCVHHDPSRALGDLTGVKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALTEENSRMASFPMMSSTAHLNFRNDLMMINGGGGGGQLRFPGMFGGGMLESTNLDANGAKPRLPIWLDQHGNESHLENPSNSSFLGSSSSNNNNNGGILPSEMVQWLNGGQETVATYSGLHLKEEDNKGEMQFNSLYNNYTTSNTTPPPPPPPPPPAHMSATALLQKAAQMGSTRSTNGGATDQGFGLMTTSLSNFSSSNHHDINGDGLMMMMTGSKQSKGNESISHDGNLTRDFLGVGGNERRPFDLQQELFNFSSSMEN >KVH90782 pep supercontig:CcrdV1:scaffold_182:27810:43415:1 gene:Ccrd_007185 transcript:KVH90782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpp10 protein MKELDENQSKKGLGEVYAKLCLKLDDLSHFHFTPKPVIEDMSIQTKVPALAMKEIAPLAVLDAAMLAPEEVFSGKGDIKEEAELTQEDRKRRRAHKKRNFKEALHCLKLTEPPMYLTPSSELATAARIASEHLFASVKPYTPKSPFDRLFQIDLQSQSLISAIRHQVNKFEKDPQELKDXFKSGESDQEQKREFVLEGEKEEEDEEDDELDDESNEEEDEDEDEIEEDESGDGERERKERGGVGVEDKLLNIQEMKESMEDDESREYGVNKKKQVVKKMARKFGEDDEEEDDDEDDDDELGVLELAGEEDMSDXEDAG >KVH90789 pep supercontig:CcrdV1:scaffold_182:257578:261603:1 gene:Ccrd_007199 transcript:KVH90789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MLYSKGVHSAMAKSKQWVFLLLAAVVLVNGYDGEEGRRGWGGQDQGGKGWEFPPAAGGPAGGGGWGGGDQGETGWKFPPGEGGPGGGGGSWGLPEKNRYILQDSKLVVATAAGGMRVVKGAGGLFKQSPMHIGFITMEPNTMFIPQYLDSSLILFVHKGEVRIGSIYEDEFVEDDLKTGDLYRIQAGSAFYLVNKAEGQRLQIIACIDTAESSDDWNAFQVSYDVIGELLYSQGGGAITYLNPDRGGDRGGDRGGDRGDRGDDRRRPDRGGDQGDRGGDQGERGDDRRRPDRGPDRGPDRGGDRGEAILWKRFLEQGKDEREAQMKRMLPVRRKDEKPISSWRKLLKTIFGENSSNKSNKSLDSYNIYDRKADFQNDYGWSIMVDQDDYKQLRRSDIGVYLVNLTAGSMMAPHVNPTATEYGIVLSGSGNIQVVYPNGTLAMDAEVKEGDVFWIPRYFPFCQVASRSSPLVFFGFSTSAHNNWPQFLAGRGSLLETMLCPEVASAFGLSQDRLKEIITSQNYTTILPATPASPPGGGGQDIPPGGGGEMAPPGSGGEDAPAGESKGKGGGGEGDVSEASLMEKMESKIRMKKSSFAYNMAMGMD >KVH90793 pep supercontig:CcrdV1:scaffold_182:162155:167484:1 gene:Ccrd_007195 transcript:KVH90793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MFYRGKYVDVGDGREMGLKRQRVIDQGSSYYGTPPASSYMYSPPPAYSYVTQPPAFPVVRLRGLPFDCSEADIAEFFHGLDIVDVLFVHRHGKFAGEVYCVLGYAFQVEYALQRNRQNIGRRYVEVFRSKRQEYYKAIANEVSDSGRSPRRSAPRSRASERDNAEHTGVLRLRGLPFSANRDDIVDFFKDSDLSEDSIYFTVNSEGRVTGEAFVKFASPEDSRAAMVKDGMSLGSRYIELFPSTLEEWEDAASRGRANVPKPSDEETTVLRMRGLPFSAGKDDIIDFFKEFTLSEDSIHMTFNSEGRPTGEALVEFASLDDSKAALAKDRMTLGSRYIELFMSSPEEFKDAVSRGR >KVH90804 pep supercontig:CcrdV1:scaffold_182:264818:265619:-1 gene:Ccrd_007200 transcript:KVH90804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIWNIRTTYGLPLCLSGITRHHHLSLSKEIADHDRRRDAMKRAKLENRNLFPADEIDGEVRESEVVHRSSDLRSYNGDDDDDQSSLHHTPCTAKAA >KVH90784 pep supercontig:CcrdV1:scaffold_182:44151:47568:-1 gene:Ccrd_007187 transcript:KVH90784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MANVDRFHLXYKQDGAKQKQALLHFKASLQDPLGQLSTWRPAEDDDCCKWSGITCNNHTGHVTELDLQFYNLEGEISPSLLNLTYLNHLDLSRNSFHGTIPNFIASMTQLRYLDLSDNSFNGSIPNSIGSMTRLRHLDLFFNILHGTIPRSIGSLTQLRYLNLCYNSFNGTIPTEFGNLTNLRNLSLGNNERLSTIQTLDWLSNLSHLQHLDMXLISLAKXBHWIDVILSLPELSYLSLEGCELSEVMHPYSSFVNSSSSSSVQFLDLSGNNLNSSMYHWLFLLTSNRLLSLDLSGNMLDGIPKSLGNLCSLTSLYFDINSAVVNFTDFLNNLSGCTSVTLRGLYVPSSQLTGSLPDEIHKFSSLEYLDLSHNHLNGTMSEKVWELPNLQGLYVSSNSLVITPNIGKSKVSYIDLSNNSVVVIPSKAHISNLYYVEYIDLSACNLGPLFPKWIQAHKNLTHLDISNNRISGTIPVEFWKTWPSQLTYLDLSSNNFNGKISDLSSNFGPMAMIDLSSNNFSGPIPNVPSTLAWLNLSKNKFYGGISFLCQIVDGFLSFLDLSHNSLTGQLPDCLWHFKELRVLNLGHNNLSGRLPASIGYSIQLEVLDLYNNNFSGELPMALKNCTXLXFLNLGANKIXGKEQEFTXNLGLLTSIDFSSNNLTGQIPNELVDLHKLLVLNLSMNALLGEIPRKIGVMKELLTLDLSRNKFSGGMPSSMSNMTLLSYLDVSYNKLSGRIPSSTQLQSFEPSRYTGNAGLCGLPLAKSCPGDEVPPIVGERKSGEEDIDELQRWFYIGGCTGFGFGFWIACGALLLNRHGRRVFFHFVDSLKDWVYVKGVVFIRKLRRFAYT >KVH90791 pep supercontig:CcrdV1:scaffold_182:186921:239741:-1 gene:Ccrd_007197 transcript:KVH90791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSFRSRFPPPLPAPSSISISNFIFFVLCIVLFQKSDAQTARTDPSEVRALNSIFQRWDVRIPRNLWNISGEPCSGTALGPDYDKEYNNPAIECDCTFDGNTTCHITKLKVWQLNRQGVFPEELAALTYLTVLKIDQNAFTGTLPPFIGNFSALMVLSVAHNQFFGPIPREIGNLKKLFMLALSSNNFSGSLPPELGNLVNLRLLYLDSCGAGGEIPSTFANLQNLVDMWAPDNPFTGKIPAFIGNWRILDRLRLYGNNFEGPIPASFSNLTSLTDMRIGDLQNFSSSLDFITNLRNLTNLVIRNALVSGPIPRDIVRLEKLVTLDLSFNNISGPLPPTLMNMSSLVSLFLGNNSLSGSLLPEKSEKLQNIDFSYNELDGNFPRWVQPTWKMANLQLNLVANNFKFDSRNISDLPGLFCLQRDFPCNRNTTPYSGFAIKCGGSKMRSANGISFENENQTSLGPASFYLNEDKWGVSNGGIAIDTDDPSFIVSTTTEVNNTRYPELFRTSRTSPSSLRYYGLGLKNGPYTVSLYFAETVFNRSRNTWQGHGRRVFDIYIQCQIVDLFIPVLQGNRRQKDFDISKEAGGVGRALIKDFDVNVTQSFLEIHLFWAGKGTCCIPEQGDYGPLISALRVSAGFAVKSKSKTGMIIGIVAGVASVSLILLAFGLYLKRRRSKHGEEEEFLGIGLKINTYTYGELRTATSDFSPSNLLGEGGFGPVYKGILNDGSIVAVKQLSVASHHGRSQFIAEISAISSVQHWNLVKLHGSCIEGARRLLVYEYLENKSLDQALFGNSDVHLDWSTRFNICLGTAKGLAYLHEESRPRIVHRDVKASNILLDGELCPKISDFGLAKLYDDKRTHMSTRVAGTIGYLAPEYAMRGHLTSKADVFGFGVAWSLHESNRELELMDPALTSYDKQQATRMIGVALTCVQASPSLRPAMSRVIAMLLGDVEISAVLTKPSYLTDWDFNDTTFFEEEPMPSQTTTVATTTTTTTSTGVDSSMSTPIILSELLDNRSIREGSLLKHHPDRVTSSLNGLANQVATMGFRSKFPPPLPAPSSIPVSNCIFFVLCIILFQKSNAQTARTDPSEVRALNSIFQRLDVVSGNLWNISGEPCSGTALGSVEDFQEDNNNPAILCNCTFDSNTTCHITRLKIWKLDRQGVFPEELVALTYLAELRLDKNVFTGTLPSFIGNFSALEVLQVAHNQFSGPIPKEIGNLKELFMLALSSNNFSGSLPPELGNLVNLRLLYLDSCGAGGEIPSTFAKLENLVDMWAPDNPFTGKIPAFIGNWRKLDRLRLYGNNFEGPIPASFSNLTSVRDMRISDLQNFSSSLDFITSLRNLTNLVIRNALVSGPIPTDIFRLEKLVTLDLSFNNISGPLPPRLVNMSSLVSLYAILNLHLQYRINATVSPILFCLIVLDVVRFLGNNSLSGSLFPEKNERLQNIDLSYNELSGNFPRWMKQTWRSANLQLNLVANNFKFDSTNISDIPGLFCLQRDFPCNRNTTPYSGFAIKCGGSEMRSANGILFENENRTALGPASFYLDEDKWGVSHGGIAIDTEDPQFIVSTTTEVNNTRYPELFRTSRTSPSSLRYYGLGLKNGPYTVSLYFAETVFNRSINTWKGHARRVFDIYIQGNRRQKDFDISKEAGGVGRALIKDFPVNVTHGFLEIHLFWAGKGTCCIPEQGDYGPLISAIRVSAGFSVKSKSKTGMIIGIVVGVASVSLILLAFGLYLKRRRSSYGEEEEFLGMGPKVNTYTFGELRSATADFSPSNLLGEGGFGPVYKGILNDGSIVAVKKLSVASHHGRSQFKTEISTISSVQHWNLVKLHGSCIEGARRLLVYEYLENKSLDQALFVNSDVRLDWSTRFNICLGTARGLAYLHEESRPRIVHRDVKASNILLDGELCPKISDFGLAKLYDDKKTHMSTRVAGTIGYLAPEYAMRGHLTSKADVFGFGIAWSLHESNRELELIDPTLTSYDKEQATRMIGVALMCVQASPSSRPAMSRVIGMLSGDVEISAVLTKPSYLTDWDFNDTTFFEEDPMPSQATTMTTTTSTGVETTPSPIIMSELMNTGSLREGR >KVH87994 pep supercontig:CcrdV1:scaffold_1820:65551:70034:-1 gene:Ccrd_024625 transcript:KVH87994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complement Clr-like EGF domain-containing protein MGGWGWVLVMVVMMMKETEGRFVVEKSSISVLSPIEIRSKHDAAIGNFGVPDYGGSMVGSVVYPRKPDSATGCAQFQGDKPYKSKSSSRPTILLLDRGECYFALKAWNAQQAGAAAVIVVDTIDEPLITMDSPEASSTAYDFIEKLTIPSALIQKTFGQSIKDVLEKHDQEVLLKLDWSESMPHPDKRVEYELWTNSNDECGVRCDEQMNFIKNFKGHAQILEKGGYTMFTPHYITWYCPKPFILSDQCRSQCINHGRYCAPDPEQDFGEGYNGKDVVFENLRQLCVHRVAKETNRSWVWWDYVTDFHIRCSMKKKRYSKECAEDVIKSLGLPSDKVQECMGDPEADIDNKVLKFEQDSQIGQGSRGDVTILPTLVINNVQYRGKLDRTAVLRAICSGFKETTDPPICLSGVNGVQYRGDGYTFCEAFGAGRCTVNNGGCWLDIRDGKRFTACSVSNMTGCSCPQGFHGDGHTCKDIDECKEERKASKFAWFVSLLVLGAVASASLAGYIFYRYRLRAYMDSEIMAIMSQYMPLDNQHQNQVAIHENEPLHQTSTV >KVH87992 pep supercontig:CcrdV1:scaffold_1820:89749:90900:1 gene:Ccrd_024626 transcript:KVH87992 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MSNSSQSTSPKKVRFQHPEINWLTSNKSSLYGKPYKILVTLNYICLFVGSVSSSLLLKFYFNHKGSNLWLSTWVQSAGFPLLLLPIYLPYHLHCFRPKEANKRRKPFSSFDIKLLSLSFGVGLMLGVSNLCFSWGSSYLPLSTWSLVLSSQLAFTLLLSILIVKQKITFLNVNCVILLTLGCVLLAMNSSGDRPEGLTREKYLIGFLTTVCAGLLFALYLPLMEKIYRKVDCYAMVMEMQFLMELTATALATAGMAVAGGFKEMRHESDEVFDLGPTRYWLTVGFNVVTWQLSFMGAAGMVFLTTSLTGGICMTALMAMNVLGGVVVYGDDFKGPKVVSTVLCLWGFCSYVYGMYVKMKDDNNGGDRDDENQAAAAATIDGVF >KVH87995 pep supercontig:CcrdV1:scaffold_1820:30141:33998:-1 gene:Ccrd_024623 transcript:KVH87995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAKQVLQFVALILFSSLLFGCVVSEDLDSATTLKLLLEVKRSFTQDPQNVVKDWSEKNTSFCSWNGVSCAGKFSQVVGLNLSGSSLSGSISPSISLLQNLLHLDLSTNQLSGPIPPNISTLTSLSSLLLFSNQLSGHIPPELGSLSSLQVLRIGDNRLTGPIPPSFGDLHNLVVLGLASCDLSGPIPPQLGRLTKLQNLLIKENQLQGPIPPELGNCTSLLLFTAAINNLNGSIPDELCNLKSLQTLNLANNTLSGEIPSRIGELNQLEYLNFLGNRLQGPIPKSLAQLKSLWMLDLSANKLSGDVPVELGNLGQLGYLVLTNNSLSGSIPRNICSNSSNTLEHLALSQNMFSGEIPKELGDCMSLKILDLSNNTLNGSIPVELFELVDLTDFWLNNNTLTGSISPAIANLSNLETLTLFQNKLDGEVPKEIGMLQKLQILYLYENQLSGRIPLEIGNCSSLQMIDLFGNHFNGEIPVTVGRLSQLNFIHLRQNDLSGDIPATFGNCHQLTIIDLADNSLTGGIPATFGYLSSLEQLMIYNNSLQGNLPKELSKMANLTRVNLSNNKLNGSIVPLCSSRSFLSFDVTNNEFDGEIPSQFGNSPSLQRLRLGRNRFTGRIPLAYGNIRDLSLLDLSTNLLVGSVPQELSRCKELTHIDLDNNLLSGPIPSWLGNLPLLGELKLSSNKFTGSIPLNLFNCSKLLVLSLDANSLNGPLPTEISKLSSLNVLKLNKNQLSGQIPAAIGGLSKLYEIRLSNNRFSSEIPAQIGQLKNLQSILDLSYNNLTGGIPTSIGTLSKLEVLDLSHNALTGTVPRELGLMSSLGKLNLSYNNLQGKLDDGYSDLPADAFVGNLGLCGPPLDRCPNSRNQQQSRLSEASVIAISAVCTIAAIALMFLAALLFFRRKRSGFVKAGDGTSSSYYSTTNSSRAQRRPLFFKRGSARRDFRWEDIMEATNNLSDEFIIGSGGSGTIYRAELITGEIVAIKRIPWKDDVFLDKSFAREVNTIGRIKHRRLVKLLGYCSNKGAGSNLLIYEYMDNGSVYDWLHQEPENIKKKKTLDWEARLKIAVGLAQGVEYLHHDCVPKIIHRDIKSANVLLDVNMDAHLGDFGLAKAITENHDSLANNSYMWFAGSYGYIAPEYAYSFKASEKSDVYSMGIVLMELVSGRMPTEGSFGENVDMVRWVESHIEMQGAGRDELIDPVMKPLLPHEETAAFQVLDIALQCTKTAPAERPSSRHACDLLLHVLRDGESTSEKMSRDPYA >KVH87996 pep supercontig:CcrdV1:scaffold_1820:2644:4427:-1 gene:Ccrd_024620 transcript:KVH87996 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MCSSKSKLIQPIQVNSKATPAATMVSDINGRPVLQPATSNRVLARCSHKKSPSLPKSASTPPPPPPPPLHANQPEYCTNSSSERLFPSTPIKCTAQKSVIPVKKLKGVTSNNGGGHDHRSSDFISAAVISTNPNSLVKYSSAAIVDAPGSIAAVRRVQVAAIQVQRKMRIAHYGRSKSAKYDSCSKLTSYFDFNSLMNATTGFREEKRCSFITPTSDPIYVGYHDEEWGVPVHDDKLLFELLVLTGAQVGSDWTSVLRRRQKFREAFSEFEAEIVSKFTDKKITSISSCYGIEVGLIRGVVDNSNCILQIKKAFGSFDKYIWGFLNHKPIVTQYKLNQKMPVKSSKSEAISKDMVRRGFRQVSPTVIHSFMQAAGLTNDHLITCPRHLQCGRHPPFSDH >KVH87993 pep supercontig:CcrdV1:scaffold_1820:39798:41463:1 gene:Ccrd_024624 transcript:KVH87993 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MRIIHTIYHRYTINCNLFTMKIPTFIITIFIISFIASVFADDYLANEYSSTVLKVPNMADLSAVDDDLSYSLYHESCPDVEGIIYRKVKEWVTKDPTLAPSLLRLQYHDCVVRGCDASILLDHEGSERSSNMSKSLRGFEVIDDIKAEIEKKCPKTVSCADILTTAARDATVLVGGPYWMIPFGRKDGRVSLAKEATIVPKGSESAHTIGRTTCESVQHRLYDYKGTKGPDPSLNPKYLNYLRRKCRWASETVSLDGETPNTFDTQYYHNLKKSMGILSTDQLLYSDSRTKPVANGLSFESSLFKNQFGVSMVKLSSILDLTSQDEGEIRGDCKYVNH >KVH94957 pep supercontig:CcrdV1:scaffold_1821:608:8595:-1 gene:Ccrd_002978 transcript:KVH94957 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MREGKLGFVICVLFFWMFESTLGRFVVEKNSLRVTSPQNLKDTYECAIGNFGVPQYGGTLAGAVVFPDINQKACKSFDDDVSFKFKSGAGLPVFLLVDRGDCYFTLKAWNAQKAGAAAILVADDRPEPLITMDTPEEENARYDYLQNISIPSALISQALGEAIKRELNKPEMVKVNLDWREALPHPDDRVEYEFWTNSNDECGPKCESQRDFVKEFKGVAQILEKKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEEDFSLGYDGKDVVAQNLRQACFFKVANESGKPWLWWDYVTDFSIRCPMKDRLYTKDCAEEVIKSLGADLKKINECVGDTEADKENSVLKAEQNAQIGKGNRGDVTMLPTLIINNRQFRGKLDKGTVLKAICSGFEETTEPVICLRDGIETNECMENNGGCWMDKSSNITACRDTFRGRVCQCPIVQGVKFFGDGYKHCEGNLYNSNFYVYVLCPCGFMDARFLLLYIAASGALRCGLNNGGCWKDTQQGRTYSACIDDHTKDIDECEEKTACQCSDCRCKNTWGSYDCHCGSNLLYMREHDTCISKDAKPGIGWGFVWVIILGLAVAGAIGYAFYKYRIRTYMDSEIRAIMAQYMPLDNQAEVAVHGSHGNV >KVH94953 pep supercontig:CcrdV1:scaffold_1821:83627:85051:-1 gene:Ccrd_002974 transcript:KVH94953 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MFDNTFSVLSFSSINEISYNHTGDIQSSSNLGGQKSEDFNKNIKIEPQVEAKRRCCKRRKIPWTSTKVTSTLVDDGYAWRKYGQKAIHHTNHQRSYYRCTYKFDQGCEATKQVQKTDDEPSKYKITYNGYHTCKNHLRGPEMIFDSSNLEDSSIILSFETKGLIDEKQVDSYFPSMKHDQPKEALFPLESTYSQSVLLQDGIRAEVFEPVSVELLEYEHQEMISLETYLAGNDGYEIDNLTLFDFSDNLLNNAQDELACCN >KVH94954 pep supercontig:CcrdV1:scaffold_1821:42636:49027:-1 gene:Ccrd_002976 transcript:KVH94954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MREGLRFYNGEVLLGFMLGLLYLCDNSIVPIRASIHEYQNEFFTPQLNAFLFHGGSEGIFASKLHHDQDNQSSLLSPGNKPLEGKSFIRFDSVTFKRTDESAKTQNEMQSKTGLIEAIIFEVKDTERIGGNFLKSNSNVLCCNPKLAADGSCNLGEVIIQKNDDNPEWPRRIQTFFAGKDREAKMSTQSVEINKTGMYYLYFMYCDPQLKGTTITGRTVWRNPDGYLPGKMVPLMTFYGVMSLAYLVLGLGWFLRFVQFWKDIMQLHYHISAVIALGMCEMALWYFDYSNLSATGSRPVGITVWAVTITAVKKTLSRLLLLVVSMGYGVVRPTLGGVTSKVLILGLVYFVASEALELVEHLGNINDFAGRTKLYLVLPVAFLDAWFILWIFSSLSKTLEKLQMRRSIAKLEVYRKFTNALALFVLLSIAWIGYELYFNATDPISELWRIYWIIPAFWSLFAFSLLVVICILWAPSRNPTR >KVH94955 pep supercontig:CcrdV1:scaffold_1821:68186:69179:1 gene:Ccrd_002975 transcript:KVH94955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF599 MGVLHYLDTILVPLSFFITFGYHGYLWYQFKTKPSCTTIGIESIKRKHWLKDMKQASIMYDKQGTLAVQSLRNTLMSSILVAMVTSIITVALAALINNTYSAKNLFTSSFFGLHTTKVLFLKYGSAFLFLLTSFLCSSMAVASLIDANYLIYALGAEPDAEGVASPSPSTSRKYTRDILERGFILAIFGNRMLCITFPLLFWLFSPVVFVFASMVLVWGLYMVDFVNVATCY >KVH94956 pep supercontig:CcrdV1:scaffold_1821:31017:37061:-1 gene:Ccrd_002977 transcript:KVH94956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold SSRPARILPFSPSTPSCPPAPPPPSTGSTASFHRGEFYTAAERMVETLFEDIFMVDQLDPDGKKFDRVNRIEARSDQFDMYMLLDVNTEVYPMHVGEKFMMVLASTLNLDGTPDSGFFTPGGRKSLADKFDYVMHGKLYRISEEGSGANVKADIYVSFGGLLMLLRGDPSIAAKFELDQRLFILMRKVDKA >KVI01838 pep supercontig:CcrdV1:scaffold_1822:32032:35117:-1 gene:Ccrd_019881 transcript:KVI01838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFLNTSDSGRTRTNGLLRSLSSSSSSTASKLEVVCDESSVSIPEDECDYGGGDDLKSRIFRLRLPKRSATNVIEKWINEGHQITIYDLRRISKELRKSRRYKHALELSEWMVSRGEFELSDSDYAFRIDLMTKVFGIDAAERYFESLPPSAKTTESYTALLHSYAASKLTSKAEDLYEKIKESNLPLTAITYNELMTLYMAVGQVEKVSSVVKELKNQKVSPDIYSYNLWISSCASALKIDEVRNILDEMSDHEAGSSESWARYVNLVRIYLTSGQLVNSEVNSVVESEKGITQREWITYDFLIILHSALGNKGTLDQIWKSLRMTNQKMISRNFGCILSAYLMLDHLNEVGDVIDQWKTSATTDFDVSLCDRVLKAFQEVGLIEKAEAFRMLLSDKKCEPE >KVI01839 pep supercontig:CcrdV1:scaffold_1822:36822:39591:-1 gene:Ccrd_019882 transcript:KVI01839 gene_biotype:protein_coding transcript_biotype:protein_coding description:3,4-dihydroxy-2-butanone 4-phosphate synthase, RibB MFKASFLTVNGDSLVNHRSINRVGKTAVSVSSGFEIQSDSIATLAAETIPDRENEGDLIMAAASVTAEDMAFIVKHGTGIVCVSMKAEILERLQLPLMVTRNEDKLCTAFTVTVDAKHGTTTGVSASDRATTIRALASKESKPEDFNRPGHIFPLRYREGGVLKRAGHTEASVDLAVLAGFDPVAVLCEIVDDDGSMARLPRLKQFVEKENLKIISTADLIRYRRKTDKLVQHASAARIPTTWGPFVAHCYRSVLDGMEHIVMVKGEIGDGKDILVRVHSECITGDIFGSARCDCGNQLALAMQQIEDAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYIGLQGYGLEDAGRVPLVTPVTKHNMRYLETKRTKMGHVYGSGNNGILNLLTKNILKTENPTG >KVI01837 pep supercontig:CcrdV1:scaffold_1822:20878:26793:-1 gene:Ccrd_019880 transcript:KVI01837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MHLGRKRKGLDPVASSRHKPTPSRVLWSHFSLGDHSRRTKKCKEGVGQRASYHNGFVTGIATAPPFVNVCSDPHGRGLKRKIGCLDAATRMGRKKKIEQDFELGETIGQGKFGSVVKCRNKTSGEEFACKILTKGEEIVHREVEIMQHLSGHPGVVTLKAVYEDDRSFHLVMELCSGGRLLDQMRNDGLFSEQKAANLMKGLMLVLKYCHEMGVVHRDVKPENILLSSSGLMKLADFGLAARIANGQSLYGVVGSPAYVAPEVLMGGYSEKVDIWSAGVLLHAILVGFLPFGGESVNTVFEAVKNVSLDFQGGVWESISQPARDLIAHMLTRNVSKRYTAEEVLRHPWILFYTNPTLESLTFSSRVQTRSFLTSRQLTEMLEVESERNVNASFNDNSTLTFPPEEEDCYMVDVLALAISRVRISEPKRSRICSPTNPVQQEHSSNIKITSLCTAF >KVI01840 pep supercontig:CcrdV1:scaffold_1822:78258:93831:-1 gene:Ccrd_019884 transcript:KVI01840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGRYDPEQYSNILELMLLNNLPISALSINTTLSGPLISRFDIVLVLLDTKNPDWDKVVSDHILDQVPTKLYNVAHALFIISIWLNLERGLHGQAEPEKDKCHEDLGKMWPLSMLRRYIHFVKGYFRPTLTKEAESVISRYYQLQRRTGKENAGFTLAFQILSMLLSLNIMSNLFSECSKDHCPNAREFNPTCSRNEVTRLDAITAILCIESSMTTSAIVDSAGNALHSNFTDNPDQQCILFLLAHTMCETRCDARKADPCEAELSR >KVI01842 pep supercontig:CcrdV1:scaffold_1822:3520:14215:1 gene:Ccrd_019878 transcript:KVI01842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MVKACFQIFDQDEIKSLLNLTSRLLYIEALQQKQKVTIRMIKVIAAIFFLSFFGLSNADIQANKLFELLKTKRSRNQPVRNTWSVLNDATDEYSPVYIGAQEGLAQPDKILTLPGQPAGVDFDQYSGYVTVNPSAGRALFYYFVESPTDSSTKPLVLWLNGGPGCSSMIGAMSELGPFRINSDGKTLFRNDYAWSNVANMLFLESPAGVGFSYSNTTSDYDNAGDKNTADDAYIFLINWLERFPQYKTRDFYITGESYSGHYVPQLAYTILLNNKNTNQTIINIKGIAIGNAWIDDETGLKGMFDHWWTHALNSDATHNAVMKYCNFANLTGMCEDTANKAFDEMGDIDIYNIYAPICLNPDQRNTSGTGSINIFDPCWQNILISYLNDSIVQKAFHAKPTSWDVCSGDTDAQVPITSSRYSINTLNLPIETAWRPWYLNKEVGGYLEAYKGLLLITVRGAGHEVPSYQPQRAFALFSSFLGGTLPPPSSTIIVAEATDLLETNLLHLPKPELHDLAEILKPELPELPKPELPDLPQIPKLDLPHLPSLKVPEKVLTRMTKVIAAFCFLASLFVLSNADIQANKLFELLKAKKSRNQPVGDTWSVLEDAIDEYSPVYVGAQEGLAQLDKISTLPGQPAGVDFDQYSGYVTVNPSAGRALFYYFVESPTDSSTKPLVLWLNGGPGCSSMIGAMTELGPFRINSDGKTLFRNNYAWSNVANMLFLESPAGVGFSYSNTTSDYDHAGDKNTADDAYIFLVNWLERFPQYKTRDFYITGESYAGHYVPQLAYTILLNNKRTNQTTINIKGIAIGNAWIDDVTSLKGMFDYWWTHAINSDETHDAIFKYCNFVNDSSTGICDESMSKAFDEKGNIDIYNLYAPICLNPDQRNASGTGSIDVFDPCWQYILLSYLNDSAVQNAFHVKPTSWDVCSDVLTRWNDSPDTILHIIKYLIANGQRLWVFRQALLINTRITFQKCEKEASQTSCCYLHRTICGDTDGRVPITSSRYSINALNLPIETAWRPWYLNKEVGGYLEAYKGLLLITVRGAGHTVPSYQPQRALTLFSSFLGGILPPPSVDLVTLAIQPQNMALFKIYILLHLIAFSFSNIAGAMAVAPPSLASPDLPWHTDLATPTTP >KVI01841 pep supercontig:CcrdV1:scaffold_1822:14981:15915:-1 gene:Ccrd_019879 transcript:KVI01841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDTQNSYGNHEVSPVDDSFLPYANHSCCSGGWKPHRFQIRQIKGRPTFGLNPDSVLVIKLPDSRILGIISKSLFLAIFILALPSIGSFVRDASLTNTLAQEHSANPHDFLPIVFKDLVVEGVLKDGRKGLLLSSKIGDLFDSFWFLNEKGIDLVIDSDLDRQMVIPDEIFDFVFASSLENTKFINRVVKLDGIVVMPLGKFYDRSYGFLKQSNYKIVYLRQFDSITVIAMRKIDGEGDEESVFGG >KVI01836 pep supercontig:CcrdV1:scaffold_1822:61692:65751:1 gene:Ccrd_019883 transcript:KVI01836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASALFSTAFCPPSLRLRSRLLSKSPPQVFSTTKPTFPPLLRSPQTTTTNPRRLFLTTTTKPSLFTSLSRPVKPVLASSTNENSITESPKSQLQGAKIVPLVLSVAIGLLVRFVVPKPVEVTAQAWQLLAIFVSTIAGLVLSPLPVGAWALLGLTTTIVTKTLTFTTAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPNDPSARKLGSYLIQSQFQCAGNSSALFLTAAAQNLLCLKLAEELGLVIASPWVSWFKAASLPAFVSLLLTPYILYKIYPPETKDTPDAPAMAAKRLEAMGPGCYRNSECRYCNVGVIVLVGMAGQLTNLGIVSWMSNCVAKFLQSFSLSWPAAFGVLQGAYFIIHYMFATGYVELPDVFKIGFIMACVNAVIWTVVGGVWWKFLGLY >KVI04388 pep supercontig:CcrdV1:scaffold_1823:16269:27952:-1 gene:Ccrd_017297 transcript:KVI04388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDRKEKEGIKTPLLVSIAQEDGDGDGDGVFEEVKKQVWLAGPLVCVSLLQYSLQLISIMFVGHLGELSLSGASMATSFATVTGFSLFMGMASALDTLCGQSYGAKQYHMLGIYMQRAMLILMLVSIPLAVIWFNTGSILKLVGQDPDISKEAGLYARFMLPSLFAYGLLQCLVRFLQTQNIVFPMMVSSGIVTLLHVLICWILVLKSGLGSKGAALANAISYWNNVILLALYVKFSSSCAKTWTGFSTEAIHGILAFIKLAIPSAVMVCLEMWSFEMIVLLSGLLPHPKLETSVLSICLNTAAVMWMIPFGLSGAISTRVSNELGAGHPRTARLAVIVVLVVAIVVGILIGSVLILIRNFWGYAYSNEIEVVQYVATMLPILAASNFLDGLQCVLSGSVRGCGWQKIGAYINLGAYYLVGIPLAVLLAFVLHIGGKGLWFGIIGALLVQVVLLLTITIRTNWDTEAKKARERVYESALPVNIVS >KVI04389 pep supercontig:CcrdV1:scaffold_1823:93931:95933:-1 gene:Ccrd_017298 transcript:KVI04389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLTNPGVVISTSSHIFLRPPNRSLHCYHIKPTQFTISRSSTTTIKANIDEKNSISTAPVIVQEEDDVQSTSNKEVKESVELLKEAAKTKKVAATEIISAFKLLEKAKLDPSKFCETLGGSESPGRTWMLIFTAEKGLKSGKYFPITAVQRFDAAARRIENGVYLGPLGCLTFEGRFSWKKRILAFVFELLRIKIGPFNPIEINIKGNDESEPTSKNPFFIWLYIDEEIAVARGRSGGTAFWCRCQRVISL >KVI11794 pep supercontig:CcrdV1:scaffold_1824:77826:83470:-1 gene:Ccrd_009791 transcript:KVI11794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSRKELLKGSEATKSEDAFMLLQETQESVRLSFLNCLLDFAGHLEQIGSKLSQNRSYKESPSFQNGYPLDLEENSFDPLPGSIXQNRSYKESPSFQNGYPLDLEENSFDPLPGSITHPHQQLLMVLSNLGFCKDDLSHEMQTHLDAVQYCPTEFIRVKDDEDNDMEDLVRSFSGLEEKANHIRTAAVNYLLDAGVQWGGAPAVKGVRDASVELLHTLVAVHAEVSAGCKPLLDKILQVLVEGLIDTLLSLFHEHKDTDIRILDANDCWDTCLTQLEYLETILNQYFTPDAGKSLKSLQGVILEKATESSSEASDILQSIVAVQHVEALAQQCSSELLESELNRTRINTACFGDSLPLDSTAAKAGAGYGSFRDSPSRNYGGKQGVGVGVGSGSPSFSRQKRR >KVI11800 pep supercontig:CcrdV1:scaffold_1824:15801:16034:1 gene:Ccrd_009787 transcript:KVI11800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDKGGVWGEIVKEKGLLVNKVEEVGMWWFVEDVLSNQGMLDIMNKSKEHGFLGFRDTKSCFVSWIDKIKFSKIVP >KVI11796 pep supercontig:CcrdV1:scaffold_1824:51950:54961:1 gene:Ccrd_009788 transcript:KVI11796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MLWVVQGVVSVDIGANPSAEGGEDEEGVDDQAVKVVDIVDTFRLQKYIKLLTPKLEPEKQEAFKKNIEGATKFLLSKLGDLQFFVGESMHDDGTMVFAYYKEGATDPTFLYLAYGLKEVKC >KVI11792 pep supercontig:CcrdV1:scaffold_1824:93097:96439:-1 gene:Ccrd_009793 transcript:KVI11792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor MAENDGAVTTEREVVQSEEETLKYLQFVQVALLHAVLYASRVYGFAKQNSGPLKPGVETIEGALKTVVAPAYDKFHGVPVEVLKFVDRKVDESVTKFDGRVPPFVKQVSTKTKSLSNSGVVETASGLAKSAYTKLEPTAKGLYTKYEPVAEQYAASAWHSLNQLPLFPKVAKVVVPTAAYCSGKYNQTVQQTAEKGYKVSSYLPLVPTERIAKVFNSAEPQSEAVAPSGGDAAMVAH >KVI11793 pep supercontig:CcrdV1:scaffold_1824:87814:91766:-1 gene:Ccrd_009792 transcript:KVI11793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPSSSSFPFKLAFILSFSLTASSSSSSSYSSPTPPKSHYHYLSLRKFNSKKASTAPPTASAGDILSLLGTPQQASSVNPKVAAELRSCFKFLVPFNSTTSSPSNLGNRPSKSSIDSEIRFPRRSLNSKCRSDSWSDKDELVWSPPAPVLEIARLAFDSGGDPGCIQRTLDPTMIDIPDVQGSNKNRCQLTRTPYGRRFINEELNSYMEFLFKLIAARGPKVGLHVSLDRYDFFHGHLFIAADGRVGILFHAKEYPAYDKEVFPYNMGYCQAGSNVTYDDSMNLRNILWLAPLPSNSSGWSAPGVLVVLDAHPGGIIYRDIIPEYVDYVRTIYEDDFGDNVVDVNYLNAEADYQIFIC >KVI11798 pep supercontig:CcrdV1:scaffold_1824:4601:5011:-1 gene:Ccrd_009784 transcript:KVI11798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSFPDLNLPENECTLDLLHDPNSSSLSSSSSNPEQRVFSCNYCRRKFYSSQALGGHQNAHKLERTLAKKTRYQMRPWNHQTSNHVANGSNQLHVGWVQPPFTMGMDDHQGNVGMDYCHKGESVQESFHQLDLSLRL >KVI11799 pep supercontig:CcrdV1:scaffold_1824:15502:15771:1 gene:Ccrd_009786 transcript:KVI11799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIFVGLCVYASICKYEGQPLTFPGTKEAWNSFTDASDANLIAEHQIWAAVDPIAKNEAFNIINGDVFKWKHLWNISAEQFEVENGGF >KVI11797 pep supercontig:CcrdV1:scaffold_1824:55196:60287:-1 gene:Ccrd_009789 transcript:KVI11797 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome subunit P45 MATPMAEDTSFEDDQLAAMSTEDIQRASRLLDNEIRILKEELQRTNLELDSFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVASVPSLCALAVNHEDFNEGIIQVQAKKKSSLNYYA >KVI11795 pep supercontig:CcrdV1:scaffold_1824:74915:77145:1 gene:Ccrd_009790 transcript:KVI11795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MATEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRSTRRTVPFLFVRGDGVILVSPPLRTA >KVI06820 pep supercontig:CcrdV1:scaffold_1826:70238:77478:1 gene:Ccrd_014825 transcript:KVI06820 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMP27, C-terminal MYRSVSGTTPPMKTFLDLPLHFQKGEVSFGVGFEPPLADLSYAFTVALRRANLSVRNPNPFVLPPKKEKSLPWWDEMRNYIHGKTTLSFSDILFNVLGTVDPYEKSDKLQMSCGYMEIQQSDGRIYVSAREVNIFTSSLDTLLRNSTIKPPTGGQGPFLVAPTLILEVLMDWGCDSGTPLNHFLFALPSEGVAREYIFDPFRSTSLSLRVNLALKAIPSSENQSQSSDKIASFDSPTISVAPHDIVWLIKFGNLNVLPPVKLRFFSRYPRFGVPRVARSGNLALDKVMTEVMFRVDITPTCIRHMSLDDNDPARGLTFKMSKIKSEMYLGRGKQKFTFDSKRDLLDLVYQGIDLHMPKVYLNKEDCTSVIKVQTSKKSSQVNDNAGGTTERHRDDGFLLSSDYFIIRKQSPKADCSRLLTWQEAWKRSNAMTYYKSESRRGSDSDEQEKSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRNGMLSWGSELAKAATPPKPSPSRQYAQRKLLEETQPPQNKSEPIIQEDISKTTSPGQDGSPSPKQKEASQSPSDSDKPDRQTFDDVAKHDNVDASEEDEEGTCLFMVNVIEPQFNLHSEEANGRFLLAAASGRVLARSFHKVVNVGIEVIEQAAVSNGGVNNCERQPELIWNRSELSMMLEHVQAHVAPTDVDPGAGVQWLPKIRRSSPKVKRTGALLEQVFMPCDMYFRYTRHKGEAADLKVKPLNELAFNSDNITATITSRQYQVILDVMNNLLLARPPKPQKSSLPKSAEDDEDMEEEVDEVVPDGIEEVELERVNLEQKERAQNLLYNDIRKLAVPNDTAVDISSEKEGDMWMVQKLRKEVVNAQKSRKAAAASLRVVLRKAAQQRLMEKEKEKNKGPSCAMHVSFQLKKVAWSMLLDAKAISEIEINDMIYDFDRDYKDIGVARFTIKYCVVKNCLPNAKSDTLLAAWNPPSEWGKKVMIRVDAKVGARKDGASPIELFQADVYPLKIHLTESMYRVMWGYFFPEEEQDSHRRQVSTTAGFRRGKKGNETTKELEGSTKSSADPLASKKAKASAHELRRTSSFDRTWEETVAESVANELLEEMHSSPMCLDQDELSKPKSKDSKTAKTAKPAKTAKPAKASKTGQDQEAKVGKPADNKKGKPQVLREFHNIKISQVELLVTYEGSRFAVSDLRLLMDSFHRVEFTGTWKKLLARVKKHVIWSVLKSVTGMQGKKFKDKMHGQNKEPNLANVPTLDHDSDSDGGSAGISENLALAFPKPATDGAGDGFVTSVRGLFHTQRRKAKAFVLRTMRNEGEEAMPGEWSDHEDYSPFARQLTITKAKRLIRRHTRKYSQKGFSVDGLPSSPTASDSDSSSSDSDDPFEEYLEYKAAQEKAAGEKENPPSTQ >KVI06823 pep supercontig:CcrdV1:scaffold_1826:18338:37027:1 gene:Ccrd_014822 transcript:KVI06823 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein RAEDDEVIFSECVVQHEGLDENCIELRKDFCKKMSPVKFLFTILFVSVGLWLVSLLVALVLSRILKASVGFRFSGWRSLKDFRIKFERGAIESFSIGEIKLCLQQSLVRLEVGSISKDPKLQLVIRDLEVVTRTSDKSSKRTXPRKPKSSGGKSGKGKLMAVANXARFLSLSVRGLVVKWLVNLSNRLYIGWFDFGIVASTGEPRVFYLQMKRDYRRYLTKFRGADEQKEAAESTMNSFKIALDSDFPNS >KVI06821 pep supercontig:CcrdV1:scaffold_1826:49368:56220:1 gene:Ccrd_014824 transcript:KVI06821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIVKNIDISLGEINVDLNEDTISKKQKSSDTHADEPAQPTPDSNVAKKQQKKQAALSAITKYTSLFPEKVSLTLPKLDVKFVHKEHHIVMENSIMAFQFKSIKSQSIEDVGESTRLDLQLDFSEIHLLKDGGSSVLDILNLGVISFVYIPLQPTSPIKSEIDIKLRGTQCNIFMARLKPLMQLRPSKKKKTAPKDESANPVKVQSSDDSKIIMWTCTFASPETTISVYNLDGSPVYHGCLQSSHLFANNTSSTGTVVHFELDALNLQVADEYQECLKPSFFIVETNTVAVLHIAKVILDFGKKDTDSVQQDVSNLKSVLSVDVTSIGLCLTSKRVQCLLSTALLFKTLLKSPSPSVKTSAQSQAAPPKKSSGKGIQLIKFNLERFSVNLCGDASLDNEVVDDPKRVNYGSQGGRVLITVLQDGTPRIAKVASTASDEYKTVKYSIGLEIIRLCLALNKEKQSTQVELDRVRSLYQEIMEDESCGTKVALFDMQKAKFLKQTSSLKEVSVCSLFSATTITARWEPDVHLALIELVLRLKLIMHNHKLQEREEVKSSSKDNEVKKEPSGDAILSEKNQKKKESLFAVDVEMLTVTAEAGDGVETMIQVQSIFSENARIGVLLEGLMLSFNATRVFKSGRMQLSRIPNASDPAVKWDYVIQGLDIHICLPFRLQLRALDDSIEEMLRALKLVAAAKKKIIFPCKKESESESGSGSASAKPKKPSSSKLGRVKLFIRKLTAEIEEEPLQGWLDEHYYLRKNVAHEMAVRLNFLDELLSKGSQSSAAANADDPNNEGKSQVDAQDKTCVHDASSVDKMKEELYKNSFRTYYEACQARVISEGSGACQEGFESGFKFSTSRTSLFSITGTMLDITLTAIEGGEAGMIQVVQKLDPVARECNIPFARVYGSNLSLQAGSLVVQLRDYTYPILAATSGKCEGRLVLAQQVK >KVI06818 pep supercontig:CcrdV1:scaffold_1826:96711:98875:-1 gene:Ccrd_014827 transcript:KVI06818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MTIHSPRQRFMLLITLGFIKNRSSPPLLLPLENIVKEALNTLYHHPDDVVRSQADQWLQNFQRTIDAGHSIRFSSRSSRFIQLFYTIQAIQASITDLGDSSFHYMIQVIQSSIGIEPSRFKVIVLYFHSRFKVIVLYFHSRFKVIASLFLNPKEPTTRNSLFNWNLTSCSYVVCSGIEVKQPNSAIKKCARVQLIKNGKKIAAFVPNDGCLNYIEENFLDSGERVMPWEIFLESGFWCFTFGSFQGEEGEANILKGRQLFSICTVILGGDSSIKRVKVEQNVESHAIGGPQSVDQRTDDVEHHMDAIVKIFKKISSMFFVAYTVSTYLAGKG >KVI06822 pep supercontig:CcrdV1:scaffold_1826:37520:39167:1 gene:Ccrd_014823 transcript:KVI06822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIEVNIAILEKEVASTVAAVEAQQQKMMLQKLISMIGSEMELISENKWTPKATLEIKDMALDMSKDPGSKPSMYLKLQILPIVIHLGEQHVSCDSLSSLKGSQSLSTGDGSIIDKSSAHFICEEFGLLVEFGTH >KVI06819 pep supercontig:CcrdV1:scaffold_1826:77673:87535:-1 gene:Ccrd_014826 transcript:KVI06819 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MYGKSSMSEFGEIPPEEVPSHPGGVRNGVDSMDDRNVSYGLEDVDGGSDGICDFEGIDGVVYSDLAIMPRGDAGDQLTLSYCGQVYVFDNVTTDKYPRLFVEVYLISDDNVPVQKVFLHLGGCEFPVGQQGAESAYQNPRDLDYPGRCSDPRRAASLSRFRQKRKERCFEKKIRYNVRQEVARRMNRKKGQFVTSQNSKESAPWNTGEAEGAEQDEIPVTTTCAHCGTCSMDTPMMRRGPAGPRTLCNACGLFWANRGTMRDLSKKSNDQSSSLAEQVYKCKDEYNGSNFGTTMPRCSNDAELGFGN >KVI01596 pep supercontig:CcrdV1:scaffold_1827:68967:92195:1 gene:Ccrd_020129 transcript:KVI01596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGLEDADLLDDGDAAGGGRGGGGKAASSIPCSICLEDVTDYADRAWAKLQCGHQFHLDCIGSAFNVKGVMQCPNCRKIEKGQWLYADGCRPYPELNIDDLVNDEDLYDLSYSDSSMWCPFGGFTRLAAAFDEVEFPTTACKFDVLFYFTDHDLVGQHAVFSEHATVSSATHICPYIAYVQPLPSSTSSANVADGLRYNSQWNSQSAPSEVPNSYAFPTMDVHYHSLFQTPSSRIGDVDHPSRRPARTNADITRSGSYVHPFLVGQSSASRAPGSVGSSMIHPYPGSAARARERSQALQAYFQQPSNVTAIRTPGSQRSNGQVLSSSSDQSRGGIYYLPASGSSNTRNFQEAENSMLNPYHHIYPVERGPFQQGGGGPGASFRQRHGSERTPSQSQYWS >KVH96516 pep supercontig:CcrdV1:scaffold_183:266557:274453:-1 gene:Ccrd_001387 transcript:KVH96516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MLSGFMNFLWACFRPRSDRHVHNGSDSGGRQDGLLWYKDTGQHSNGDFSMAVVQANNLLEDQSQLESGCLSLQESGPYGTFVGVYDGHGGPETARFINSNLFQHLKRFTSENHSMSVEVIKKAFQATEEGFVSLVSKQWPMKPQIAAVGSCCLVGVVCGGTLYIANLGDSRAVLGRLVKATGEVLAIQLSAEHNACIESVRQELHSTHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNRAPLYAKFRLREPFKRPILSSDPSVSVHQLQPHDQFIIFASDGLWEHLSNQEAVDIVQSHQRSGSARRLIKVALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSNLMSRASSSSVRTPNLSVKGAGVNLPSNILAPCSTPTETGTA >KVH96529 pep supercontig:CcrdV1:scaffold_183:210886:213022:-1 gene:Ccrd_001392 transcript:KVH96529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MGALDHLSNMFDCSSGSNRKYNKRKQLQTVEIKVKIDCEGCERKVRRSVEGMKGVTSVTIEPKLHKLIVTGYVEPHKVVSRVAYRTGKKVELWPYVPYDVVQHPYAQGVYDRKAPAGYVRYNQDPSMSHLTRATSTEVRYTTTFSDENTGACAIM >KVH96528 pep supercontig:CcrdV1:scaffold_183:197426:199986:-1 gene:Ccrd_001393 transcript:KVH96528 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MRTEEDSGGDDYQLEEEFGVWKKNTPFLYDLIISHSLDWPSLTVQWLPSLPSSYQDASFAVHKLILGTHTSDENPNFLLVAEVHLPVNPSSSMNTNIQDPHIPKVEIIQKIHVDGEVNRARCMPQNPSIIAAKTSSSEVYLFDSMKQLSNHEGDYCEPDMRLRGHEKEGYGLSWSPFREGYLLSGSNDCKICLWDVSAMPVDKVLDAKYIYEIYGLLLCYLSAKEDHGSVVGDVSWHLKNDSLFGSVGDDCKLMIWDLRTNKHEQSVVVHEKEVNYLSFNPHNEWVLATASSDTTVGLFDMRKLTSPLHVLSSHTEEVFQVEWNPKHETVIASSGDDRRLMVWDLNRIGDEQLEGETEDGPPELLFSHGGHKAKISDFSWNENEPWVISSVAEDNALQVWQMAETIYNEDDDI >KVH96522 pep supercontig:CcrdV1:scaffold_183:11269:13010:1 gene:Ccrd_001401 transcript:KVH96522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSSTPISTILLALLLLFPGGVLGATFTFVNKCDYAVWPGILANAGTPSLDTTGFELPQDTSRSFQAPTGWSGRFWGRTGCNFDGSGSGSCQTGECGSGQVECNGAGAAPPATLAEFTLGTGGSDFYDVSLVDGYNLAMIVEASGGSGLCATTGCVNDLNQQCPSELRVESGQGCKSACEAFGSPEYCCSGAYNTPATCKPSVYSQMFKSVCPRSYSYAYDDPTSTFTCAGADYTVTFCPSMPSQKSSRDTSPSPPSTTTTDGNANTTPSIESGYGYGSMTGSGSEGSGSVSGTGSEGSGSISGSGSEALEANGSWLAGLAMGGSTRTQPLYATLSFMILLPFLFG >KVH96523 pep supercontig:CcrdV1:scaffold_183:20220:32099:-1 gene:Ccrd_001400 transcript:KVH96523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MGFLLVAATNILVFLSLGFVVADQPLYDYSAYTQCKVEAEDPLYNGGILKDVATTMESIDDGDGTFTSWPAFVLPNLTPHTFYTFSSWIKIHGSDSSLITARLVNGNSSGKCVGTVLSRHDCWSFLKGGFFFNSESHPSLIYFQNSDNMDITITISSASLQPFTKEQWSFQQNYKINTERKRAVTIHVSDKQGARLQGAAVRVEQVAKDFPFGSAINNFIIGNVPYQQWFVERFNAAVFENELKWAATEPEQGVYNYTFADKMLDFVRANQIVARGHNIFWEDPKYLPPWVLNLTSPELELAVKRRIKSLMTRYRDEFVHWDVSNEFLHFNFYEEKLGENATYEFFKAAHEADPLATLFMNDFNVVESCRDVKSTVDTYISKIRELRRHGVWMDGIGLESHFDEPNLPLMRAILDKFATLQIPIWLTEVDITNQLDQETQASYLEDVLREGFSHPWVNGIMLWSALKQNGKCYQMCLTDTNFNNLPAGDVVDKLLKEWETGVMKSQTDEHGAFSFYGFLGEYRVSASFGGKTTNSTFSVSRSDETRHFNGLSYDYSGYTLCKNEPEDPLYNGGIIINHNQSQPDKVSSTLVLPNLSGNTIYSFSSWVKISGSNGTAIKASLTLDNDTHMCIGNVVAKSECWSFLKGGFVLDSPSDHAVVYFLDSYGKRINVTLTSASLQPFTHQQWQNNQDNSIDKERKRAVTIHVSDVDGKIIQGARIIVEQTSRNFPFERFNAAVFENELKWCATEPEQGRVNYTIPDLMLDFVRANQITVRGHNIFWEDPMYIPSWVQNLTGGALDSAVKSRIQGLMTHYKNQFVHWDVSNEMLHYDFYEQRLGQNASMEMFELAHTTDPLAMLFMNDFNVVETCDDLNSSATAYAARMKEVEEGGVTMDGVGLEGHFITPNPPLIRGVLDQLAALQLPIWLTEVDISNTLDPETQGKYLEIVLREVYSHPSVDGIMLWTAMDPMGCYQMCLTDANFQNLPAGDVVDRLIFKEWSTAVVNGESDEDGTLSFDGFLGEYVVNVDFGNKTSNSTFFISKGDETIHFSIQL >KVH96525 pep supercontig:CcrdV1:scaffold_183:161718:166347:1 gene:Ccrd_001396 transcript:KVH96525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Mcm10/DnaG-type MSTHGDHLHLLLSLQDRVLETPPSSPSPGYLSDDGSARQRGQADMSVFRTAVEDCLDYEPETSKKPLKSGHAKSSSDIEVEKFSGLRIRNQLVSRTDLSDRFADIRFVRLTTIKNLLSGEILSGCWATVGVLYEKGGMKTSSTGKPYSIWQIGCLDEKPVSLFLFGNAYQKNCKEEVGTIFALFNCSVRNKSKEGFTLTVFSAPQVLKMGTSADFGTCKSCTQVINKRRGIHCKYHTKNAAQKYSTKRVEFMGGNLRTAFSFKDRMQSEGIYMVENKTNVAKAGQPKKVLSVEGLRKALSNAGKVTTNAYSQGIRFLSEVAGKPSSSLNHPIKSSDKRKSSDVESNLPEVKTSQQNAKRIKIEKGQQPSAAKTKQGTEKMVELELVSSDDEF >KVH96515 pep supercontig:CcrdV1:scaffold_183:302995:313181:-1 gene:Ccrd_001386 transcript:KVH96515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF616 MENDVHRSVSLRASRRPDRFYNNFEMKGADGFFDDKSSSDYPIKVIWKRGFVRLLLVGGILWMMLILAVLLFHIWSCQSSLVFLSAICNKDSTVFHMLHNMGMVTPPHRCSIPLANDPEKIVIPEKRSPSKFVQSLSYFEEDDANTNGSESSPLFGGHQSWKQREESFKLKSSMMVHCGFMRNGGADMSPKDIDYVKRCKFVVASGIFDGYDAPHQPSNVSLRSRKLFCFLMVVDEISLEFIKQNVTVREDGDKGQWVGIWRLILLKNPPYDEPRRNGKVPKILTHRLFPQALYSIWIDGKMELIVDPLLMLERYLWRGRHTFAIAQHKHHKSIYEEADSNKRRKRYARPLIDHHIKIYRYEGLDPWSPLKKTKSDVPEGAIIIREHTALNNLFSCLWFNEVNLFTPRDQLSFGFVVYRLKGNFNFFMFPNCEYNSIFILHPHTREHSSKIEWVKSLNELKGNNSGLKESRGGLGLWSPYPADLDLVVLPPVARTSKAG >KVH96531 pep supercontig:CcrdV1:scaffold_183:241458:244305:1 gene:Ccrd_001390 transcript:KVH96531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEGVQEMMERLRSIVGPESWDYCVLWKPSKDQRWIEWVDCCCSGSCGGGEDDEGGGEMVFECKDPRWLNFSTSDFSDDQENLGTKALVPVPIGLVELFVSKQISEDESIINFVTAVFNMPPMDHHPMLNANNNNINMESSFSVNMDGLDDGESKDYLVLEKDPHFQPPISPATMLENLNLTTPHNINNNANTISSDLHPMNFLQHFNYSTDHHHDRNTDNTNIMFINHDDPFDPNSQDINVGFDHEIDMALQGQIMNQKQQPHLMDPPLENNNNIAPKKQGNINNNNDINRSDSVSDCSDQNDEEDDPKCRRRNGKPQSKNLVAERKRRKKLNDRLYTLRSLVPKITKLDRASILKDAIEYVMELKRQVEELQNELEENSDDEGTTNNQSTIVEQEVMHANGSNRKRRYSNGHGMLVNGPPLEAYSGIGTIEVSKHNQDSEDANEKGQQMEPQVEVVSLDGNEFFVKVFCEHKMGGFVRLMEAFNSLGLELTNVNVTSFRCLVLNVFKVEQRKDSEMVQADHVRESLLEITRNPSKGWPETSTKAPENGHGIMDHHHHHHHNHHHNHHPHLHNHQPKPQYHYNAIYQVLN >KVH96518 pep supercontig:CcrdV1:scaffold_183:383575:383901:-1 gene:Ccrd_001382 transcript:KVH96518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSLEVLNTMGVVTQTVERNGVVKMKILVKRQQLEHVLEQIVKKRESDKGRHVNLRRLSRSPASNSLEQRLNDLKRIQIQRSSQSKRNCRGFWKPVLGSIPEAKVC >KVH96530 pep supercontig:CcrdV1:scaffold_183:232104:234566:1 gene:Ccrd_001391 transcript:KVH96530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKVIVLKSSDGETFEVEEAVALESQTIKHMIEDDCADTSIPLPNVTSKILSKVIEYCKKHVETPKTDDKSAEDDLKSFDAEFVKVDQGTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >KVH96517 pep supercontig:CcrdV1:scaffold_183:387418:398460:-1 gene:Ccrd_001381 transcript:KVH96517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRSLEILKAMGVRGGVVSRTVEDGGVVRMKILVKRQELEQVVERVVKKRDDNRRQLSRSLASKSLEPKIKEMKKTRISDQVNGDCRSHWRPALHSIPEEFCFKPFNVNMFDILLGATSLALIQEGVVSQMVEDGGVVRMKILVKRRELEQVLEHAMKKRDGNDDVNLRRLTRSRASKSLEQRLQDMKRMRIVRGSQVHRDCGRVVSQTVEHNGVVRMKILVKRQQLEQVLEQVVKKTEATKGIHVHLRQLSKSSASKSLEQRLNALKRMQIQRSGKSKRDCRGFWKPSLHSIPEAKVC >KVH96533 pep supercontig:CcrdV1:scaffold_183:111506:113621:-1 gene:Ccrd_001398 transcript:KVH96533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFNNIANTISFANATPTTHGTAHHLVKEEAEDEAEHNSDGGDRRKIKNTLPEIQERCPDLNLELRISPPHHHQNNSSSSSSSSSYIQPQPQVEHVNYHQHPPLKTGGRNSGGTTTSICFACSLGIENSKECSCTTSLNGTSSSSPSGYDFLGLKTGVLDYRSIEMK >KVH96513 pep supercontig:CcrdV1:scaffold_183:330770:336951:-1 gene:Ccrd_001384 transcript:KVH96513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFICDFFCCLLTLLLVYCSYINNRMALILDSVTTFTEIEILVIEVSCVKAVIEFEKSNLDTTPKLQPEVTPPPFPKKAVTGSVLLFFCNIFIYQEFLFHSGIGITFAKALLPTQKLIGNKSIDYRRSWLVFEKISRTRPRHFVREGYGAKYAISVGRGNHELSLHNDVKEPFWLAPTKGFISGVKSLFAFLAEQPSQLKYIEWPGFQNTVSICTLNLALFPGFNISGHKSTRSLEFFVKTACLTLVLVAMLIVVLSSVDSGLWYLLVKILRKPA >KVH96514 pep supercontig:CcrdV1:scaffold_183:321711:330095:1 gene:Ccrd_001385 transcript:KVH96514 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDVDIDHYVILGLPSGEEGSKLSEKEITKAYRSKALELHPDKRPDDPNAHANFHKLQTSYQILKDEKARKLFDDLIRVKLQKRQRQSQQDSKRRRMMSDLEERERTAFADDPTARARGEEESIARKLKEEISRIRAMYANKTTGTSPLEKETVVGRKSSVGGGPSATDKEKMLKVSWEKVGLDYSAQTLRELFETFGEVKDVVIRSSKKKGSALVVMATKEAAIAATGTVCGDLSNPLLVVPLQPAVTSAFPTAREPVESDGPKLNEDLVGARYQAFEDSVLQKLQKAAQRQK >KVH96524 pep supercontig:CcrdV1:scaffold_183:147272:160212:1 gene:Ccrd_001397 transcript:KVH96524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo METRSRKRAEATSSAHSSSSSGGPTIRSSKRTRLSTTTSSSASAAAATNVVVSTRSRACNMDNSTNESSGSGSGRGRRSRKNTNNQNMGSDRDNSDKGKEKEHEVRVKDRDNSIRDNNLGLNIDGGVGGADDDDDDSEGGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMASASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCDMLSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDARVLEHASVCLTRIAEAFAASPDQLDELCNHGLVKQAASLISTSCSGGGQASLSPSTYTGLIRLLSTCASGSPLGSKTLLLLGISGIFKDILSGSGLVATMSVSPALSRPPDQIFEIVNLANELLPPLPHGTITLPASSNVFVKGSLFKKSAGSSSKQEEMSGSAPEVSAREKLLTDQPELLQQFGMDLLPVLIQLYASSANAPVRHKCLSVIGKLMYFSTADMIQSLLGVTNISSFLAGVLAWKDPQVVVPSLQIAEILMEKLPETFSKMFVREGVVHAIDTLAGSSGNALSQPLSSETVNDSIPGSSSRSRRYRRRVGTSNTDVNAAEDLKNLVPAVGSPTNSVELPPVSSSLRIVVSASAKAFKDKYFPSDPGAVEVGVTDDLVHLKNLCKKLGAAVDDQKIKSKGKSKASGHRFADFSPSKEENLLGVITEMLTELTKDDGFSTFEFIGSGVVAALLNYFSCGHFSKDKVSETNMPKLRQLAIKRYISFIEVALPSSVDEAKRAPMSVLVQKLQYALTFLERFPVVLSHSSRSSTGNARLSSGLGALSQPFKLRLCRAHGEKSLRDYSSNVVLIDPLASLAAVEDFLWPRVQRSDSSQKSSAYVGNSESGTTPAGAGASSPSTPASATRHHSTRSRSSISIGDSAKKETPQEKNASSSKGKGKAVLKSAQDEGRGPQTRNAARRRAALDKDAPMKSVDGESTSEDEDFDISPVDIDDALVIEDDDISEDEDEDHDDVLRDDSLPVCMPDKVHDVKLGDSAEERPVGAATSDSQANPASGSSSRGTAVRGSESAELRSANSLGSRGTMSFAAAAMAGLASANGRGIRGGRERHGRPLFGSNDTPRLIFSSGGKQLNRHLTIYQAIRRQLVLDEDDDERYNGSDFISSDGSRLWGDIFTVTYQRADSQTDRASVGTVSSTTPSKSTKAGSTSNSNGDSRMHQMSLLDSLLQGELPCDLERNNPTYSILSLLRVLEGLNQLAPRLRVQSATDRFAEGKISSLDDLNVTGVKVPVDEFVNSKLTPKLSRQMQDALALCSGSLPSWCYQLTKACPFLFPFEIRRQYFYSTAFGLSRALHRLQQQQGAEGHGSMNEREFRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKAGLRMWRSNSPDVSTSVEVDPEEKKAGKTSTTPASGKGVGDLVLSPLGLFPRPWPLTVDTSDGSQFSKVTEHFRLLGRVMAKALQDGRLLDLPLSAGFYKLVLGQELDLHDISLFDAEFGKTLEELQALVCRKQYLESIHDRNEILNLRFRGAPVEDLCLDFTLPGYPDFVLKSGDDNVDLNNLEEYISLVVDATVKTGITRQMEAFRAGFNQVFDISALQIFSPSELDYLLCGRGELWEVDTLVEHIKFDHGYTSKSPAVVNLLEILGEFNLDQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSTANNAASNAAGLSESADDDLPSVMTCANYLKLPPYSTKVQPTSICTLKKSALEIMYKKLVYAISEGQGSVSSGMDGKMIDDEEDEDEDEEKEIVEVESFCK >KVH96519 pep supercontig:CcrdV1:scaffold_183:371626:373991:-1 gene:Ccrd_001383 transcript:KVH96519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTTILSLTSSFKFVVKENTPRRGAKRSEFINQYEPNKQKTFNSGRTFRFQSELSIGKTNLSRQMEDFVSYVESGSVEKALQLFDEMNERSSFLWNLIIRVLTNSGFFEEALDLYFRMSIEGTKADNFTFPFVIKACGGCLDHDVGRKIHSKLFKDGLDLDLHISNSLILMYAKLGCIGSAEKVFDEMPIRDLVSWNSMINGYIMISDGLASFMCVRKMQQYNMRPDRFTIMSTLHASSLVCSLPKGKEIHALTIKSKLECNPMVQTSLIDMYGKCGGMCYAERFFNMISQRHIASWNAMIRGYALNGRPLESFSCLRKMQENGCKPDNVSLINLLLSCSQVGSVLMGKTVHGYAIRMEFLSHIVLETALIDMYGKCGEPRLAELAFNRMHQRNLISRNTLIASLVQNGLYKDALKFFWDIWNDGLKPDAMTITGILPVYSEIAQLREGKQLHGYIVKSGFCSNTFVLNSLTYMYAKCGDLVSARDMFDGIFLKDVISWNTIIMAYAIHGYGEVSVKLFSKMKKMGIKPNASTFVSLLSSCSVSGMVEEGWKYFNSMKSEYGIDPDIEHYGCMLDLLGRVGDLNRAKQLITEMPLEPTSRIWGSLLAASRRHRDLEVAEFAAKKMLPLEHDNTGLYVLLSNLYAETGRWEDVKRIKSLMKSRGLTKTVGVTMVDVKGKTFRFTNEDRSHEHSHLIYDVLDIILKNPRGDLSKFKPIDLLRKMSKSTDRHSVRLARNSGWRFEDLSPFQNG >KVH96532 pep supercontig:CcrdV1:scaffold_183:60415:63314:1 gene:Ccrd_001399 transcript:KVH96532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MAMAFKMVFPSYFTRHMITATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKSKLVTVDKVGGAGEGYSDLEASLPGDDCRYAVFDFDFVTVDNCHKSKIFFIAWAPSASRIRAKMLYATSKDGIRRVLDGIHYELQATDPTEMGFDVIQDRAK >KVH96520 pep supercontig:CcrdV1:scaffold_183:250060:253574:-1 gene:Ccrd_001388 transcript:KVH96520 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF632 MNSGSHSLTLGRLYAWEKKLYEEVKAGDNTRKLYERKCSQLRNQDVKGDDGVTIDKTRAAVKDLYSRILVAIRSAESISERIDKLRDEELQPQIIELLHGDICICILCSMMTMWQVMLESHEIQNKIMFEVKLFTCPTYGKFSNNTHRLATLQLQTELQNWRTCFREYLTAQKQYVGALYSWLSKFIVPEVELYSKSRNTSQPFQTMNGPPLLMICQDWFNFMDKLPDKSVYFAMKSFSKDLHSLWTQQGKEQEQKRKVDSLSKELDRKILAFQKTENRVFETKIPNLELCELEVDHRADYLKERKDYLDELRAKVEQERGKHQSCMQETQRITLNGFQTGFCRVFEASIEFAKASLKMYRDLVSNHEGSQQEGGSR >KVH96527 pep supercontig:CcrdV1:scaffold_183:193360:197276:1 gene:Ccrd_001394 transcript:KVH96527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MTLEAVDHNHNHQGVETKNVLVGIRFDECGRELLDWAIVKVADAGDRVIAINVCRNSDSVSKYKTLLDDYLADYEGLCDHKQVDITGQVLRGNSVRKVLVREAKFYSAAAVIVGVSKIKAFGGWLSIAKYCAKKLPLATEVLALHNGKVVFKRFSNGQLSGSMRDPRPSFYLIGNSHLKDTRSEFCESEASDMGRHSSEGVQSFKDEDLSPFEMRKKALSSVSVVLEDFAHQRPGWPLLRANSALTPSAMEARKMSVVKWVMNLPNRSSPGTPTTPSSSSEISPKSSGSDYRSEYSIFTNTSNESNTPKSHELPEILNLLLKTNPSRCQWIGFDLLRASTSHFATENLIGKGGCHRVYKGVLPDGKMVAVKIRKSSREAWKDYILEIDIMTSLDHKNITPLLGICVEDDNLISVYDLFFAVYFLYSGLTKDKSVLSWEVRLNVATGVAEALNYLHKECPRPVIHRDIKSSNILLSDEFEPQAKPKLEKGDLASIMDVDLDKEVDKDEIVRMGLAAMLCLTRSARKRPTMAQVLRILRGEHDLATENGLDDNKEEDEDDDDDDDEVYPESNAESHMSLAFLDVEEKLTSFGSVDINQHARLSLEGYLRGRWSRTSSLD >KVH96526 pep supercontig:CcrdV1:scaffold_183:174173:178882:1 gene:Ccrd_001395 transcript:KVH96526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MYYLMNLFGVCFILQWIPELRHYAPGVPIILVGTKLDLRDDKQFFTDHPGAVPITTAQGEELKKLIGAPAYIECSSKTQQVTSHYCTSYNVKAVFDAAIKVVLQPPKQKKKKKRKGQKGCSIL >KVH96521 pep supercontig:CcrdV1:scaffold_183:247072:247919:-1 gene:Ccrd_001389 transcript:KVH96521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRFRELLKKYGKVALGVHFSVSAASISGLYVAIKNNVDVESALEKVRFKQLESILEKVGMGGLKEEVEGEASNIPQSNPEVKPRNRTAELAASSGGALALAVLCNKALFPVRVPITIALTPSIARNILFAYYNATIDMGSLGAPWSPLKEHLIVEHGTSTTKNRPTQDPFRKLIVS >KVI04706 pep supercontig:CcrdV1:scaffold_1830:37008:38496:-1 gene:Ccrd_016974 transcript:KVI04706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MKLGDRKAAETENLEYSANSAYVKIILLPTLLALRMSFVLSIANNDLGLAVVNTIEGISSGATQVDVTINRIEERERDGNASLEEVSIMLQF >KVI04705 pep supercontig:CcrdV1:scaffold_1830:47055:49079:-1 gene:Ccrd_016975 transcript:KVI04705 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEDVVFITLFNTLTSIIFSRDFLDLEDDSATRDGLNESIHKIIEYGGIIKDVGSFFPIFERFDLQGIRKGTMREYKKTFAYWEDIIEERRAHVNSSTWSSEHAQSFLDRMLENGYSNDQINQLVTELFVAGTNTTTSSVVWAMTEFIRHKEVMSKIAEEIKREINSEKITDSQLSKLPYLQASIKEAMRLHPPVPLLLPHKAAETCEVMNYTIPKNSKIFVNLWAMGRDPKIWDDPLSFNPERFIGSKLDFKGQDFELLPFGSGRRMCPXMPSGIKSVQLILASLIREFDLILPNDADPXKLDMSEKFGIAMKMEKPLKVIFKTKQEYX >KVI04704 pep supercontig:CcrdV1:scaffold_1830:99807:111532:1 gene:Ccrd_016976 transcript:KVI04704 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDITSFVRENNLXTPFFVVSTIFFLLLILKLAKPSSSKNLPPGPPRLPIIGNLHQVGDRPHVSTAKFAKEYGPLISLRLGKQILVVASSPEAAIEILKTKDRFLSSRVVPSAFQQPSLIPHSLIWSDCNQTWKSLRTLSRTELFSAKALESHSRLREQKLGHLLDFLHRKQGQVIKMEDVVFITLFNTLTSIIFSRDFLDLEDDSATRDGLNESIHKIIEYGGIIKDVGSFFPIFERFDLQGIRKGTMREYKKTFAYWEDIIEERRAHVNSSTWSSEHAQSFLDRMLENGYSNDQINQLVTELFVAGTNTTTSSVVWAMTEFIRHKEVMSKIAEEIKREINSEKITDSQLSKLPYLQASIKEAMRLHPPVPLLLPHXAXETCEVMNYTIPKNSKIFVNLWAMGRDPKIWDDPLSFNPERFIGSKLDFKGXDFELLPFGSGRRMCPXMPSGIKSVQLILASLIREFDLILPNDADPXKLDMSEKFGIAMKMEKPLKEMEEFFF >KVI04703 pep supercontig:CcrdV1:scaffold_1830:117963:120016:1 gene:Ccrd_016977 transcript:KVI04703 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSFLGYKYTQSKFKIIHNQHTTLHLIIKVLLMDITSFVRENDLSTPLFVVSTXFFLLLIVKLAKPSSSKKLPPGPPRLPIIGNLHQVGDRPHVSTAKFAKEYGPLISLRLGKQILVVASSPQAAMEILKTKDRFLSSRVVPSAFQQPSLIPHSLIWSXCNQTWKSLRTLSRTELFSAKALESHSRLREQKLGHLLDFLHRKQGQVINMEDVVFITLFNTLTSIIFSRDFLDLEDDSATRDGLNESIHKIIEYGGIIKDVGSFFPIFERFDLQGIRKGTMREYKKTFAYWEDIIEERRAHVNSSTWSSEHAZSFLDXMLENGYSNDQINQLVTELFVAGTNTTTSSIVWAMTEFVRHKEVMSKIAEEIKREINSEKITDSQXSXLPYLQASIKEAMRLHPPVPLLLPHMAAETCEVMNYTIXKNSKIFVNLWAMGRDPKIWDDPLSFNPERFIGSKLDFKGQDFELLPFGSGRRMCPGMPSGIKSVQLILASLIREFDLILPNDADPKKLDMSEKFGIAMKMENPLKVIFKTKQGYE >KVI04702 pep supercontig:CcrdV1:scaffold_1830:19188:21104:-1 gene:Ccrd_016973 transcript:KVI04702 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDITSFVRENNLSTPFFVVSTIFFLLLILKLAKPSSSKNLPPGPPRLPIIGNLHQVGDRPHVSTAKFAKEXGPLISLRLGKQILVVASSPEAAIEILKTKDRFLSSRVVPSAFQQPSLIPHSLIWSDCNQTWKSLRTLSRTELFSAKALESHSRLREQKLGHLLDFLHRKQGQVINMEDVVFITLFNTLTSIIFSRDFLDLEDDSATRDGLNEFDLQGIRKGTMREYKKTFAYWEDIIEERRAHVNSSTWSSEHAQSFLDRMLENGYSNDQINQLVTELFVAGTNTTTSSVVWAMTEFIRHKEVMSKIAEEIKREINSEKITDSQLSKLPYLQASIKEAMRLHPPVPLLLPHKAVETCEVMNYTIPKNSKIFVNLWAMGRDPKIWDDPLSFNPERFIGSKLDFKGQDFELLPFGSGRRMCPXMPSGIKSVQLILASLIREFDLILPNDXDPKKLDMSEKFGIAMKMEKPLKVIFKTKQEYQ >KVI08028 pep supercontig:CcrdV1:scaffold_1831:43420:63216:1 gene:Ccrd_013606 transcript:KVI08028 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-diphosphocytidyl-2C-methyl-D-erythritol kinase MAAMAASHFFCSRHIYSSGDGISKISNPRLYSSSYKSKGFSSFEKNCRNLKSHMVKAASDGSKHGRKQVELVYNLDEKLNTLADEVDMNAGLSRLSLFSPCKINVFLRITDKRPDGFHDLASLFHVISLGDKIKFSLSPSKSIDRLSTNVPGIPLDERNLIIKALNLYRKKTGSDKFFWVHVDKRVPTGAGLGGGSSNAATALWAANQFSGCLATEKELQEWSSEIGSDVPFFFSNGAAYCTGRGEVVQDIPSPVAFDMPMVLIKPPEACSTAEVYKRFRLDISSTVDPLTLLEKISNNGISQDVCVNDLEPPAFEVLPSLKRLKQRIVAAGRGKYDAVFMSGSGSTIVGVGSPDPPQFIYDEEDYKDVFLSGKTRYD >KVH87991 pep supercontig:CcrdV1:scaffold_1832:43708:63241:-1 gene:Ccrd_024627 transcript:KVH87991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like, N-terminal MKDELGISVWVVQIEGLLMEISVGNSGGSKTRSLDLKTLYKSEVSNKEKSSWLGNGEEAETKKRKNRKEVAISSFEDLTERKKIRPSINDIEIDGADSCSAQPSGVGQKINGGSGFNAIGINIGGDGHGLLIPKRPRGSVGRSKFAKNHLLKPSAASGSVEKVAKLKDELKKLMHNETSGRGEPVDSNSSAEQNVKLNGKFGIKARRKQKGVLGHKGSEKQELAAVTSSQCAKSDGGDLVKPTGSSGSKDQVAKLKYEIKEFPQNKVPGADAHLSCSSLGSEDQVDKLKYEIKEIPQSIFPGADAPLSSNSTSSDQMGKLNENSKGKLRNNVKKKGIDDLDGNQKQKEDLAVAGSSQLVMGDGNDMVVNNKDSSSKRNNSNRRKRKMLGSGGETVSKKVESSIEKKVGTCDLDPDDDLEQNAARMLSSRFDPSCTGFASRNRTSALLSPNGVSLLEKPTANVVSEGSMAVSDPASADTADRVLRPRRQQKGKGTSRKRRHFYEIHSDDMDAHWFLNRRIKIFWPLDESWYYGLVNDYDAEKNLHHIKYDDRDEEWISLENERFKLLLLPSEVPHKTSHKDAASVHTNKEGINEDKGSRAFHMNDETFMASHMESEPIISWLARSSHRVKSSPSTSLKKQKLSHFPSEPLLLSDNSIDVYNNGNMDLLERETDKPNCSASFLNISVDSRKNEESVPENTNSSEGHLPIVYVRRRYRRFSDAARVLFVNNNGCVDPSGPTASLSRVFQSSAEGSIFLPCLGPGELHWSLNSAGVLKLNTTFLESKKFKICISLWPMLTYILGVDILWLIHSVLLLQYGTMVTMWPTVVLEVLFVDNIVGLRLFIFEGCLKQAVAFVFLVMEVFCEPEKDESFGQQIPVTSIRFKLSFFQKLIKQKVFTYYSFSKVRDSNWQYLDSEFQPHCLLTKQLSLPECTYDNIKLLEAMQPSQLRYAGRTTYFEVLRKKSNCGAVLSFNTSRSSYPASGSRPSTIYSLKHGNLPPFALSFTAAPNFFLSLHLKLLLERSITSVSLQDHDSVRSLNYPRDTFHPCADDCSQGEDQFEIFSENSSKSHEEMSSLDAAGSGVVCTSSRLCKDAAVISIDGGLRKSSQLVPDAKLNVNRTSISSKDAETVPVEIGNSDKYEANVKDQAVSGAMCSSYLKGISVEVPTSDVVYRDCRKTPGAQQVSDLTWNLSDGIICSPNPTGPRSLWHRNKSGSISSSFGDPLHAWPEGRADFIGNGFGNGPKKPRTQVQYTLPSREFNFKNKGHNQIGLPYQRIRKANDKRTSDSSKGPRRNLELVACDANILINGGDKGWRECGARVFLEVADQNEWKLAVKCNGELRYSYKVHQDLQPGSTNRYTHAMMWKGGKDWALEFPDRSQWFLFKEMHEECHNRNIRAASIKNIPIPGVRLIEDSVEDQEEMPFIRSPWYFRQVRNDVEMAMDGSHVIYDMDTEDEEWISRSRASCQMQGGEDNMISHELFEKVMDMLEKVSYAQKRDQFTSGEIDELIARVSPMQVAKSIYEHWREKRLRKGMPLIRQLQLFIQSFVVNLEFHLFSKLFKNIKNPSGLSASVKKLRFCVIVWKLRSACLSVYYGMNGACISTPGKTVYNFGIGSVCKHMGTLPSAMLARDISGFARTCAEPPLWERYQQICREWDELNPKLTTGTVTGSLEKASAGDKPPMFAFCLKPRGLELLNKGSKHRPHKKISLSGHSHAFLGDHDSHHSSGRRVNAYALGDESPDVSPLLTKMYSPRDPGHLSLDAADASAEWNHQLRIQRHNSKSIRAVVSPKMAVSSSTLRKATAGNGKRNNGKRLSNAFADWHNQPSRQLLLGGSDLDEFRLRDASSAAKHARNMAKLKRERAQKLMLSADLAIHKAVSALMTAEAIKACTEAASLGRSVKSTSLPPSPS >KVH87989 pep supercontig:CcrdV1:scaffold_1833:38561:76579:-1 gene:Ccrd_024628 transcript:KVH87989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology domain-containing protein MSASLAAFERSRIGATNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSAIPQRGVEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTTDSIEGPFHQWRDKRPIKSLVVGRPILLALEDIDGGPSFLEKALRFLEKHGTKIEGILRQSADVEEVDRRVQDYETGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAHRIDRKEARVSAMRAAILETFPEPNRRLLQRILKMMHTISLHAFENRMTPSAVAACMAPLLLRPLLAGECELEDDFDNSGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDDAMLKCSMSADSRIDNSASEDSMDDENMETKDNGYHDAENEAEQEIDEDPERILSGKLSESSGYACSDLYEFKVYGADESDVISPRNNVSPAASSNLLDFQHLEDSNVQISEQHISQNKENENEMDTPGMLSRSESHRSVGTMLSSIDQGLSHPFSVPKSCTETPISKFTGSNSNVKRSTFWGRNNGRKTPSMESVDSSGEEELAIQRLELTKNDLRQRIAKEARGNAVLQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQISGSRTMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDASDRYQHVHNHNPQQRFFQQDFDTTLAFINHERKQRTEENSLGMELRNLKGQALTSGNSSRQPARKQLVDSSNTRSTEASTSLSMDEFGNSASTLPSSSRPAEVIDYPRHPSAASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGTSSQDFMYKQSSPR >KVH87990 pep supercontig:CcrdV1:scaffold_1833:61739:80800:1 gene:Ccrd_024629 transcript:KVH87990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MELLLLDIAPLLLGIETLGGDFPKLKNRNTTIPMKKSHVIPTETQVEIRLHQGKLQMVADNNLGEFELVNISPAARGLPQIEVSFNINNGIVTVSINVKASGCEQHISIKSFGGIFDGGEVIGTSGDIFLGEEEFNNALLDYLVNALQKLQEAAEKTNIKTSNLPFIVADASSAKYPDISLTISKMETLVDASSMSKEQQQVTEIFWKYQSKGVNADEAAAKGVDRLSRFGLIKCKETVERLFNVQSRGERAINNLKLIKITASITAAFAQLSTEYALRILINLLFSFIFCIMITIHLQQQAAVQNYRHYYQNHPPIRIHQLKEGKKINQKADTTKPQIFNIDNKIRFDQVQKTWEPVKLVHLENKGPLFRGRRELGNDVIHEHLQFGNARTPPMKQTFLRNIDGNTIKHLRCQVGSISTQLSRDPDRRRQLRSKESSARSNRVGFQIEEMMITKNAQKSNKPLLPSSLSLSINVKIRTMVGIVERNPCGLDSWNVVGIAERNRVDWTLGSWVLR >KVH91593 pep supercontig:CcrdV1:scaffold_1834:4181:8316:1 gene:Ccrd_006382 transcript:KVH91593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSFQVGFSPASFQVGFSPPSSIDRLIDLLGCGMHPCDCVVTQSGSHENYEHSSRKYPPELCSRNERHQTKTFSEAVDQYAQMGLRTLCLAWRELNEIEYQDWSLMFKEANSTLVDREWRVAEVCQRLEHDLEILGVAAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFVSPGRSLIKKYDETLINISFCTLDLKTSQLNSVDL >KVH91592 pep supercontig:CcrdV1:scaffold_1834:10564:17623:1 gene:Ccrd_006383 transcript:KVH91592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWNILNVDQYGYNFLNFLLVFENLIYRLLAVSYNLHYGNVEPKGQLLLVNGKTEDDVCRNLERVLLTMRITKSEPKLLEALLGRLSSLPRSSKRFRATDVIEGSNMRKLEREGGGVVAAEVRWVGDMVAGVWRWGVVAALAMEEPTAVEDGAVD >KVH91594 pep supercontig:CcrdV1:scaffold_1834:81071:81367:-1 gene:Ccrd_006385 transcript:KVH91594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDAQLRVAYQGVPGAYNEAAAGKAYPECDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSTHWNYNLLLRHHLHIVDEVQLPVHHCLLALPGIRK >KVH91595 pep supercontig:CcrdV1:scaffold_1834:28684:37054:1 gene:Ccrd_006384 transcript:KVH91595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase DVAFVIDGWALEIALKYYRKAFTELAILSRTAICCRVTPSQKAQLLSIVNAKHMKAPCGAKLIRVGVLEILVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFVSGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEKTVMQHPQILYYCQAGRLLNPTTFAGWFGRALFHAIVVFVITIHSYAFEKSELEEVSMVALSGCVWLQVFVVTLETNSFTKLQHLAIWGNLVVFYMINWIVSALPRSGMYTIMFRLCRQPSYWNTMFLIVAAGMGPVLALKYFRYTYRSSKINILQQAERLGGPILSLGNIEPQTRALDNDLAPLSISQSKNGRNSVFEPLLSDSPNAVRRSFGPGATFDFFQSQPKSSTYSRNKDN >KVI01450 pep supercontig:CcrdV1:scaffold_1835:88166:94845:1 gene:Ccrd_020279 transcript:KVI01450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MSKYSHLLTIGRSKVLSILNNKWVHESCLIRSYHPQPPFATLNHHHLHNSCSRVSTVSHYYHNHHHHSQLSTIHTLELPKHEGDSPLDYQVTVKDRDIISASCAPLHEYWLPQSNLDLLLPPLEAGVFFCYKKKDDTVMSPGTVVKTIKKSLGRVLSTFYPLAGEIVSNSQGEPEVLCNNCGVEFVHAHADVELKTLDLHHPDENVSGKLVPKINRGVTELKCGAIIVSCAFDHRVADGYSLNMFLVAWAEFSQFKQISITPSFRRSILDPRRPPRYNAIFDNMYLPLSSLPPPHPCEHQLHSRIYYIKKESLNRLQSEANSKETRISKFQSLTAFIWKLLAHQADNDVNRTSRMGVVVSGRRFLTGNSEKESSMLENHFGNILSIPYGEMNNCCLQMMPLNEVADKVHSFVTKATTEEHFRGLVDWVELHRPEPAVAKIYFKLHETDGEAIVASSGQGLPIKDMNFGWGKPEFGSYHFPWGGQTGYIITMPSASKNGDWVVYMHLKQKHLDLIEMNTPHIFNPLTYDYLSFH >KVI01451 pep supercontig:CcrdV1:scaffold_1835:17297:21045:-1 gene:Ccrd_020278 transcript:KVI01451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MKNYYHLLTLRRSKGLFSSNSRWVHQTSTAIQRQHGHRHPPPPPPLPPPSSHPHISRNTCRPINAFVSHHCLQTTRSNLTSNHYYHSQSSTLHTSQLQLPYHEDDSSPLHYHVTVKGRDVISSAKSNQRYWLPLSNLDLLLPPVEAGVFFCYRKKDRDMSSESVVNSIKRSLAGILSSFYPLAGEIVANKQGEAEVVCNNGGVEFVHAHADIELKDLDLHHPDDSVKGKLVTEFNCGAIIISCAIDHRVADAYSLNMFLVAWAKYAKSGTMSDVDIPSFRPSIFNSRRPPTYAKSLDNLYIPISSIPPPSSFDQGPLHSRMYYIHAQSIDHLQSEASSQESKRSKLLSFTAFLWKLLAHGGDDAVNTTSRMGVVVDGRRFLADEQPSSPEKNHFGNVLSVPFGVATHSDLKAMPLNEIADRVHRFVAEATNEEHFRGLIDWVELHRPKPAVARIYFGVEKSEGEAVVVSSGRDLPINDMDFGWGRPEFGSLHFPWGSRTGYISTMPSGKRIGDWVVYVHLKQKDLDLIERMAPNVFKPLTHSLAFD >KVI11263 pep supercontig:CcrdV1:scaffold_1837:22108:56430:1 gene:Ccrd_010329 transcript:KVI11263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVLLVVFLSHTESRIHSKGNPNDKCPPKSKKQTLSRAMYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVIGSIDQMEVDENDNPEKNTGAVTESKSKGKRKLYVGSSAMGYRRDHMEVIPSIKDGIVTDWDIVESIWDHTFSLGILLFSTPILPQQFVYFSISCSPIAKVKRNVCWLILKSIRCYWQNHHLTLNNREKSRQLQYALGAVIPFVGIFTHYFLMLEKGKPYKVDGKDLVPRGCQLCQSTLSSQKRTAEIMFEKYQAPALFLAKNAVLTSFASGRATSLVVDSGGGSTTVAPVHDGYVLQKVKQSHFKKCMTYEEENGRASEVYKEAKQAVTASKDKPYEKMYKRLDARGGENGIYKAVSVSPIGGEFLTDCLIKSLESKGVKIRPRYSFKRKEVRPGEFQTVDVDFPNTTESYRMYCQRVIASDIKECVCRAPDTPYDDISYSNIPMTAYELPDGQTIEIGSDRFKTPDILFNPSLVKATLTMNVDVPYVRNNLLDTIRSPVLELIHQRAVHTIPGMEGSLDIASSARGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNSTERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGSSYIQRKCP >KVI11635 pep supercontig:CcrdV1:scaffold_1838:52829:54509:1 gene:Ccrd_009953 transcript:KVI11635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MEFVGLQNLVLDNESWVVKIRICKLCKSLNIKRNGEFISLDMVLIDENGSLMTTMVRKNLVNKFNLLFKEGNVWLRISAFKVIDSNLKIIFTLLTKVEKIDTHVPSIPIHGFQLASEKIINDRLNDDNILTAAVGDVETMRGGFRKRDSEIISRLFKLYLSVRNDTGVVNCVVLHKLAERMVDSSPLKLLNKSDSDKDNLPHEITSLCG >KVI11631 pep supercontig:CcrdV1:scaffold_1838:72970:79128:1 gene:Ccrd_009954 transcript:KVI11631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAEIFGTDSSILKKKNGGFRVSMCGDAQELEQMSRDGSHYSLTTGILPSLGARSNRRVKLRRSIISPHDRRYRAWEVFLVALVLYTAWVSPFEFGFLERPERPLSIIDNIVNGFFAVDIILTFFVAYLDKKTYLLVDNPKKIAWRYTKTWLVFDIVSTIPSELARKISTRSFQSYGLFNMFRLWRLRRVSAMFARLEKDRHFNYFWVRCAKLIFVTLFAVHCAGCFYYYLAANYPNPGRTWIGYDNEDFKDQSLGIRYVTSMYWSITTLTTVGYGDLHAQNRREMIFVICYMLFNLGLTSYLIGNMTNLVVHASSFAHRNQLPNRLQDQMLAHLCLKYRTNSEGLQQQETLDSLPKAIRSSISHFLFYNLLDNAYLFRGVSKDLLFQLVSEMKAEYFPPKEDVILENEAPTDFYILVTGAVVVGEARPGDLCGEIGVLCYKPQLFTVRTKRLSQLLRLNRTSFMNIVQANVGDGTVIMNNLLQHLKDVHDPIMEGVLVETENMLARGRMDLPLSLCFAALRGDDLLLHKLLKRGLDPNESDNNGRTALHIAASKGNENCVLLLLDYGADPNCADSEGMVPLWEAITGNHERVIGLLAENGAKLSSADVGKLSCFAAEQNNFDWLKKIIRHGGDITRARDDGYTALHVAVCEGNTEMVKFLLGQGANIDQQDNHGWSPRDLADQQGHEDIKALFQSVQLPDDHLLTKPEPLVTIPEERRPRLSLSMKFLGRFKSEPVMPRSAPQDSEDVSWGRSRPRRRGDNFHNSLFGIMSNVREGDNSIDLVSPRPKSMIGAGVRENSGRVVVSCPEKGDVAGKLVVMPKSFEELLEIGVKKYGFLASRVVNKEGAEVDGIEVVRDGDHLVFVSDASEESDQKDGGDANLR >KVI11632 pep supercontig:CcrdV1:scaffold_1838:79402:85598:-1 gene:Ccrd_009955 transcript:KVI11632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MSGEIELEARGSGNPSDTDPLLQNHQNAGSGSAAAASGYSEITNEDVEAGSIATCRICLECDGEEDDDLISPCMCKGTQQFVHRGCLDHWRSVKEGFAFSHCMTCKAQFHLQVVELKDNSWRKIKFRLFVARDVFLVFLAVQTVIGLMGGLSYIADKDGSFRTSFSDSWDRILSTHPIPFYYCIGVLFFFVLLGFFGLILHCSSLNSDPRMAGCQNCCFGWGILDCFPASMEACFALVIVFVVIFVILGIAYGFLAATMAIQRIWQRHYHILTKRELTQEYVVEDLHGHYTPPKLDPEHADRLRMLKLL >KVI11634 pep supercontig:CcrdV1:scaffold_1838:93683:94696:-1 gene:Ccrd_009956 transcript:KVI11634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAHLHSFLPFLLLLSNFSHPSHGDVGTSSQYSPPFLPTACYGFDPGQFPSSNLFAAAGNGIWDNGAACGRQYLVRCISAAEQGTCSGELIQVRIVDFALTAVSQPSIDGTTMVLSDTAFGTIANSSATSINIEFQQI >KVI11633 pep supercontig:CcrdV1:scaffold_1838:99082:104754:-1 gene:Ccrd_009957 transcript:KVI11633 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome subunit P45 MASVEVAMKLSDSGDRDESCSSRPTTAKTGEGLRQYYLQHIHDAQLQVRQKTHNLNRLEAQRNELNSRVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVISSFLFLLLDLEILKSWCHLVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >KVH98768 pep supercontig:CcrdV1:scaffold_1839:72148:73787:1 gene:Ccrd_023005 transcript:KVH98768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MARRPSLQATKERLKVVAEASNLRWKAMVEEVRRVSRSWPKKLFSDATPVAEKTIQQCRACGQSDRTTTKIITLDVAPSCGPEMAAVVDQGMQRAEXMIKGPGLGRDAALRAIRRSGILLTFVRBVTPMPHNGCRPLKKRRVAIAAAEAAIGLAIVSSIYRNRKSTRINRSRLIIP >KVH98767 pep supercontig:CcrdV1:scaffold_1839:82513:89095:1 gene:Ccrd_023006 transcript:KVH98767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEEKKDDAIKLGQWERKLQTDIHKAKQALTEALSLDNKSTTSWQQHQQPPQTTTIPPIHHQPVVLPPPPVNFTATTYALSAENIARMLPNWLKNSPKSSQTSSESLGPLNHQFPSPPASDHGFKNYSNSEVSETTSFFQDESKPEMPSLSFLEKCLVDDAAAQGHEGLMNMCLEENDDLF >KVH91709 pep supercontig:CcrdV1:scaffold_184:288892:295392:-1 gene:Ccrd_006247 transcript:KVH91709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIQKMEFDDRSGLRLHNPVQIIGRLIVVDFIFHKQVLVVVVVVSVAATVAAAPAVYVVLPLHVNGSNHPLC >KVH91721 pep supercontig:CcrdV1:scaffold_184:72414:85389:1 gene:Ccrd_006265 transcript:KVH91721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF544 MASPSEEQKNREETPTVKEMMHKMKIIQFLGRTTPIILQNDNGPCPLLAICNILLLRNNLSLSSDVAEVSQEKLLSLVAERLIDSNSNVDVIALPIFVHLINDFEFTRECAIFDLLDIPLYHGWIVDAQKNPEEGCVAFVASTTASLEVLSPSLSRGSPHPISDEQIERERDLEEEGELLRALKLSETVGPTSASDVNVTGTAEETLSENLKGEYLKHEPALLGDALQVHTNAKVHEPSTAESSNLVNNYNDDLITFETIPGQEVCSSLEADKESHNRQMNTNVSERHESNDGIEKTVIEVSAKKENVSLGFSESDPSPPVDIPKDNSGGNEKTLDQSTMNADANEVEKVNIDEKTSNELYSAVPDAVLESSKGMTQTINESNSVTSTADGNEPIYREECILETSTKTNEGREPIYVGEVVMSEQVDKDDTSADDTRPKDGTTARQGELITNFLKNSASQLTIHGLFSLQDGLRERELCVFFRNNHFNTMFKFEGELYILATDQGYINQPDLVWEKLNEVNGDSVFMTNNFKKFTVENHDTRSWNEQNVMANTVDYLARIDNTAQGDTSFNSDLQLAIALQQQEFEQQQQQPQRVLPQSPTTAPSGLVVGPQHVRPARPRNATSSIRQEPKPTKEKCIVM >KVH91718 pep supercontig:CcrdV1:scaffold_184:61083:70747:1 gene:Ccrd_006266 transcript:KVH91718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MDEPSIANMNQSSSIPPSKSQDPTTPSSNFTPSSLNYYHPSPSRTIYSDRFIPCRSASNFALFDISPPPPPPPADASRGEDNPSSSAAYTALLRTALFGSDLGFLVPPGTPDKKSFPVTNSPASQNIFRFKSETRQSLHSLSPFGFDDQLPGVSHSPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTSLALATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTESEIGASSFGRTHIR >KVH91727 pep supercontig:CcrdV1:scaffold_184:196892:206052:1 gene:Ccrd_006256 transcript:KVH91727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin-like domain-containing protein MAAIYSLYIINKSGGLIFYKDYGLAGRMDTNDSLRLASLWHSMHAISQQLSPISGCSGIELLEADTFDLHCFQSLTGTKFFVVSEPGTQHMEHLLKHIYELYTDYVLKNPFYEMEMPIRCELFDINLSQAIQKDRVAYLGR >KVH91728 pep supercontig:CcrdV1:scaffold_184:203437:209993:-1 gene:Ccrd_006255 transcript:KVH91728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAASSSLLNPISTYALKPFQTPSTSHQSQHIQTFLVDKILMRLKQGNLNSSNDHLFQLTPSSFIDVIYRCRHNPQLGQTFLDTIASNHPKFKHSSISLSAAIHLLTRNRRLSDAQALLLRMVRKSGVSRGMIVDSLLSTYEKCGSNPAVFDLLIRTYVQARKVREGVEVFRLVRNRGICVHVNGCNGVLGGIVKTGWIDLAFEVYDEIVSSGIRVNVYTMNIMVNAVCKEGKFAKVKALLTEFERKGVLPDTVTYNTLINGYSRVGLLDEAVEMMNDMRSKGMEPGLYTYNAFLNGVCRNGSFENAKEVLKEMVRIGLNPDTASYNTLLVECCRKHKVTEAETIFGEMLENDLVPDFVTYSSLIGLFSRNGNLDRALAFFNDMKSIGLVPDNVIYTILINGFCRHGVISEAMKIRDEMLHQGCVLDVVTMGRVVEALRIWDEMIGKGIEPTILTGNTIVKGYCRSGSPREAAKFLDKLAANDVIPDRITYNTLIHGYLMEDDMDKAFDLVHKMESQGMLPDVVTYNVILDGFCKQGRMHEANRTYNKMIEKGLNPDRSTFVSLINGHVSQDNLKEAFRYHDQMLHRGKEMGREKTEDKTWARKRGQKAANKRVAGQKYAGPQSHGQKGMDQKGMSQKTQ >KVH91705 pep supercontig:CcrdV1:scaffold_184:1491:2402:-1 gene:Ccrd_006271 transcript:KVH91705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAADGTTVDPPNNSQWREMEGEPNSNSYALSGKIMLASIIVLFVVVVFLVLLHLYARWYLLRLQRRNNNRRRNRHNRSTRIIFYLDQDRGPAVPTGGLDSSVLKSLPLFMYSSETDGNMPECAVCLSEFEEGEKGRILPKCKHSFHTECIDMWFHSNSTCPLCRSPVEPVESEMAILIDQPESMPEPGSSNSLSSPVQPEHAGTMSLADRRKGIDVRIDVPTRNEMAADNDFRLPSPSQGFRSPASRLLALKRILSMSRKSPAASPSSGVGPSRLPVATESDLESATQELAHESTRLEADNLR >KVH91704 pep supercontig:CcrdV1:scaffold_184:29407:36429:1 gene:Ccrd_006270 transcript:KVH91704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFEFCLIPRFLSQNKHPQNDLNLQFLLSTPPPSRPPAKMASKLGFTITSSRFFTAPIRRPSVGPSLSGSYRFAASKVQSIQLIGKAFSGRGRLIIISPKATTDQPGPVKEDEVVDSNIMPYCSLDKQQKKTLGEMEQEFLQALQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGNPIMSDKEYDQLKMQLKKDGSDIVAEGPRCSLRSRKVYSDLTVDYLKMFLINVPAAVVALGLFFFLDDITGFEITYLLELPEPFSFIFTWFAALPFILWLSFSLTRVIVKDFLILKGLCPNCGTENTSFFGTILSVSSGGTTNNVKCTNCQTPLVFDQDTRLITLPEGSEA >KVH91724 pep supercontig:CcrdV1:scaffold_184:138149:140882:-1 gene:Ccrd_006260 transcript:KVH91724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVVKWILAFLGFGNHQVNGASEDAKDVNGHNDSNSVEEPPHHVNSNRHRKGISVSVQVPADRPHEIGPVIVPCPAGDGGVQGLNWHTRRLKIDEDGDVADEFLEEIVPETSHGLHHPTLSQVSSQAKRQVCESNRPTLVTRRNGSVVRECWR >KVH91732 pep supercontig:CcrdV1:scaffold_184:243818:248212:1 gene:Ccrd_006251 transcript:KVH91732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal biogenesis, methyltransferase, EMG1/NEP1 MVRPYAIKGRKRKKNKTERYDKEEDEEDNVGFVEEEEEGGEGEKDGAQKKLKGGVTESDEEKAEAAVQELAGIPVNLNEQVGNDMNTPGVIFILEKASLEVAKVGKSYQLLNSEDHANFLRKNNRNPAEYRPDISHQAILNILDSPLNKAGRLKALYVRTEKGVLFEIKPHVRIPRTFKRFAGIMLQLLQKLSISTVGKREKLMRVIKNPVTQYLPVNSRKIGFSHSSEKLVDMHDYVATLDKDATLVFVVGAMAHGKIENDFVEDYISISGYPLSAAYCITRITNALERKHKIL >KVH91715 pep supercontig:CcrdV1:scaffold_184:277210:285620:1 gene:Ccrd_006249 transcript:KVH91715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein LLVPPYKRKNADNNQRIQHILLSKSHSSAVPSSIVVSLRSSKISDSVISSRELLILLSVSPMASDSFTDKTAVFRKLKAKSENKMCFDCNARNPTWASVTYGIFLCIDCSATHRSLGVHISFVRSTNLDSWTPDQLKMMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYKQLLSKEVAKSSAEDANLPSSPVSAKIVETFDGPSDFKVIETPKESSSAKPDTNGSAPVKAPPSIRTASVKKPIGGKKTGKTGGLGARKLSTKTSESLYDQKPEEPVVQVSTSASTTGYVILKEYSRRNRKEAELDSVDFNERFPRQNPVIWLKLLIYPFHIKRCFMCRVKRAEILSHSIVVLFS >KVH91729 pep supercontig:CcrdV1:scaffold_184:221382:224060:1 gene:Ccrd_006254 transcript:KVH91729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MADMAKDLTAGTVGGVTQLFVGHPFDTIKVKLQSQPSPTPGQRPKYSSAMDALKQTVAAEGPRGLYKGMGAPLATVAVLNAVLFTARGQMEALLRSEPGAPLTVNQQMVAGAGAGVALAVSYSSKCRLQAQGSSAVTAAPKYSGPMDVAKHVLRSEGGVRGLFKGLVPTMTREVPGNATMFGVYEALKQYFAGGVDTSGLDRGSLMLAGGLAGGSYWISVYPLDVVKSVIQIDDYKNPKYSGLVDAFKQTLKAEGVAGLYKGFGPAMARSMPANAACFLAFEITKTSLG >KVH91710 pep supercontig:CcrdV1:scaffold_184:370031:374198:-1 gene:Ccrd_006240 transcript:KVH91710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MNGGRDGRNIIHIIGGHGPRRGADNRDDQPVSGREEFEIPSLGSPRFIIEKLRSSRVRHCRKFSSEEGCPFGDKCTYLHDDKMKGRERTAILLGPGSSVGYGNGGATGSGSGTAAAPPLAPPPLALPLAAAPAAAPLVSAASNSTVKPSWKTKICYKWERTGNCPYGSKCIFAHGAAELHRYGGGLLVDDEANPNQQVNVVAATRSSVAHVGGSGLPPATSERPVRKWKGPDKISRIYGDWIDDLE >KVH91734 pep supercontig:CcrdV1:scaffold_184:152800:160513:1 gene:Ccrd_006259 transcript:KVH91734 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MDSRESLAPPPSLQRHQTHHQQQLPLGMMMPPNSYNTHHHHLPNNSNTAAPANNNSMITHDSMQQRFPFDASDQFGDGSSPPGGFRSGGFNIEPARKKRGRPRKYSPSPDGNIALGLAPAPVTTGSSAGAGAGAGHLETSNDGGSAGGGTPNTDSSAKKHRGRPPGSGKKQLDALGAPGVGFTPHVITVKAGEDIASKITAFSQQGPRTVCILSANGAISNVTLRQPAMSGGTGRFEIISLSGSFLLADSNGNHSSRSSGLSVSLAGSDGRVLGGGVAGSLVAATPVQVVVGSFIADAKKPKSSNPPPSATPANMLNFGGGGSVPGTTPPSEGPSSESSDDSGSSPLHRAPGSYNNANQQPPPMPMYSNMGWPNSTMNMLRN >KVH91707 pep supercontig:CcrdV1:scaffold_184:298236:304517:1 gene:Ccrd_006245 transcript:KVH91707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSSSITTPTPTPTPTPTSICAISRHYMFTSTTTITTTTPTPTPTSICAISRHYMFTSTTTTISSSMFTSSSITTTTPTPTPTSICAISRHYMFTSTTTTTGSTFISENEKTVQQTTESSEAAKVFCECGEGWSCVVSKIEGPEAGKTYFECGEGCICVIDETNTVKVCMAEARRSERLY >KVH91722 pep supercontig:CcrdV1:scaffold_184:131372:135061:-1 gene:Ccrd_006262 transcript:KVH91722 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-type domain-containing protein MDLQAPCNIFCTTNYRTPSLFRQCSLSRNAINYNTSSSRCRRGTTSSEFQVTTGIPRPPRISVASSAPSHKVTVHDRQRDVVHEFYVPEDQYILHTAESQDISLPFACRHGCCTSCAGFALLCVGFPSSDVEVETQDEDEERDDYALELAMGDE >KVH91731 pep supercontig:CcrdV1:scaffold_184:238465:239523:-1 gene:Ccrd_006252 transcript:KVH91731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSDYSRNRHNEHLGVNKIGKNIRKSPLHQPNFPNPGRQQPQPQVYNINKNDFQSIVQQLTGSPSRHSQEPLPRPPMNSPKPPSMRLQRIRPSPLTPLNINRPQMPIHHRPPSGAVPYNGNLARPPHHDQPRLPAMAAPPPPMAPGDHTGWAANTVESQSPISAYMRYLQHSIVDSAQGRPQIHPQYQQNPQGQSQMQGGQQQQQQSSGLLPYPLVPPLPSPRMNGPPPLPSPRMNGPPPLPSPRMNGAAAPPLPPFPSPRMGGPSPLPSPTSQFLLPSPSGYLNLLSPRSPYPPLSPGYQHPPPLTPNFSFSPMAQSGLFGPGSQPPPSPGMNFPLSPGFFSISSPRWRD >KVH91706 pep supercontig:CcrdV1:scaffold_184:305239:305790:-1 gene:Ccrd_006244 transcript:KVH91706 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like protein MTISGNTVDASKQTLIFSYGTLKRGFANHPLMEDLISRKDAVFMGNYVTDLQLPLVRGPHGVPFLLNFPGTGGHRVRGELYSVSDSGLQRLDVLEGITLGHYERLPITVCPEICDAGDGGRRMAVDAEAYYAHRSFAEDMWRRSGREGFESYSQEVAKGYVRRDLRPKDRSFREQITLFCSSN >KVH91712 pep supercontig:CcrdV1:scaffold_184:349324:350217:1 gene:Ccrd_006242 transcript:KVH91712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGSLKDPKTWIPHINPKNCSQKNCILYCTKWCNYVILPSPPPPLPADDLGGATLSPVVIVIFALISSSFLVIAYCIIISRYCLRNNESSVSLTFEDQENLDPEMGVDDHGEDRDQSSYVPWLVLGKGLDEALIKSITICQYKRGDGSISCTDCSVCLQEFQEDESIKLLPKCSHAFHVYCIDTWLKTHLNCPLCRAKVCFEDKASTVISPPPLPPPPPPPPPVITVDVGGTDHAILEIREDKRRKIRRSKSMGYLCRNRGSIYDIWLIDQADVMGRQELRYRSDVGSSEHVSKETRV >KVH91735 pep supercontig:CcrdV1:scaffold_184:169413:169847:1 gene:Ccrd_006258 transcript:KVH91735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLVLPASLLSRRCSGGYRQLSDDLFVGSDDPITVVVGKEKKEFLVDPFVLDENPFRVLIDLAKNKKKKKKKTPSSSSSSSRTSSKGRRRRLMVDDQEKRKRVVYVDVDAILFEHMLWLMHNDSSSLFNLNLNEIIDFYAQDY >KVH91716 pep supercontig:CcrdV1:scaffold_184:40742:47609:-1 gene:Ccrd_006268 transcript:KVH91716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1191 MGSNHLSLTWVLLLFFSLPSQFAIQTHGIKSTRLLDLAIRYYTFKSYKHFKTGLSYNVRLPSNLTGINVSTVKYRCGSLKRYGASIKEFQLGAGVDVRPYVERVLIVTQTLGNNWSRIYYENYDQLLGYRLVSPVLGLLAYNAEDDTKFSMQFEVKIQSPNVNGIKIDFNNYTMAKNNTLAGRIQMCATFGDDGKVTLEKEVAPNICATTSHGHFGLVVRSPLLPERKKIKRWKVVFGSSAGAAIGAFLLGLLLIAMFVKEKKKARLEEIERRAYEEEALQVSMAGHVIRVQNVHQNPRFSHVEMGSGRIAPSAVVGILRPVGRAEVGGGHGGVTRIAPWRLNTPYLITLPTRISVVKDRRAQCRCIDPVSITDQVPESKASNKVLEVGGFCDHHVG >KVH91708 pep supercontig:CcrdV1:scaffold_184:297678:298649:-1 gene:Ccrd_006246 transcript:KVH91708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQKLVLGVVFFVILGIGIGISSATRSLFTYEGAAGGGGGGGEHVMPGYGAYGGGGGSGSGGGYGGGGEHGGGYGGGGGGEHVMPGYGAYGGGGGGGSGGGYGGGGGEHVVPGYGAYGGGGGSGSGSGGGYGGGGEHGGGQGGGGGGGAGGGQGGGGGAGGGQGGGGGYEHGGGCGGSGGGGGTGGGSGYGGGGSGEHGGGYGGGGGGGSGGGSGGYYGGGGQHAGGYGGGAGGGSGGGYGAGGGYGGGGGHGGGGGGGSGDHAGGYGSGGGEGGGAGGAYGGGGGGGSGGGGGGGGGGEHGGGYGGGGGNGAGGGHGGYVP >KVH91723 pep supercontig:CcrdV1:scaffold_184:121804:123760:1 gene:Ccrd_006263 transcript:KVH91723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type MPVPLAPYPTPPPPFTPPATGSESQLVCSGCRNLLLYPIGATSVCCAVCNSVTAVPPPGTEMAQLVCGGCHTLLMYIRGAASVQCSCCHTINLALEGNQVAHVNCGSCRMLLMYPYGARSVKCAVCQFITAIGVST >KVH91726 pep supercontig:CcrdV1:scaffold_184:174287:180068:-1 gene:Ccrd_006257 transcript:KVH91726 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease MGFPAIFRAPTTNPTPTTSAYCCSRVVVGLPDILKKRRYVNGRRVVSLSVRATVERNGGDGIDAGERKSAIIGDGGGGYSSSAMEVTTFNQSFPDAEFPVWDKIGAVVRLSYGIGIYGAMALAGNFICTTTGIDNTGGYSPSLDAIVQGLGYAAPPIMALLFILDDEVVKVSPHARAIRDVEDEELRSFFYGMSPWQFILIVAASSVGEELFYRAAVQGALADVFLRGNDLVTNANGMAALTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVLSSRSGRQEMKKLFAAWYERRQMKKIYSPLLEGLLALYLGFEWNQTNNILAPIITHGIYSATILGHGLWKIHDHRRRLRQRILQLNLEQQNSIKQLNPEQKKSRNI >KVH91719 pep supercontig:CcrdV1:scaffold_184:53046:55722:-1 gene:Ccrd_006267 transcript:KVH91719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MASIHHSWRFVCCIFSVVIHCGSAIYHGYVPSPWTLAHATFYGDEFASETMGGACGYGNLISNGYGTDTAALSSTIFNEGYACGQCYQIRCVQSRWCYAGYTTVTATNLCPPNWSQDSNNGGWCNPPRTHFDMAKLAFMKIAQWKAGIVPIIYRRVPCNAIRKGGVRFSFQGNGYWLLVFTMNVAGAGDIKQMWVKGTKTGWIRMSHNWGASYQAFATLQGQALSFRLTSYTTKQTITAYNVAPANWKLGLTYQAKVNFH >KVH91720 pep supercontig:CcrdV1:scaffold_184:92210:94904:1 gene:Ccrd_006264 transcript:KVH91720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGMAVISEKDPSNASTQTIQEIMTTYKSLPPRPTIEDLEAAISVIKTLNTDEKRKLDEIATQICPPDIPQPLFSILQKVRQTMVLFQTQEQRKEPLQVVDFDQIHQTFDELIQKASKCVSSDTQLDQEDDFKYPVADFEKKTVISDESLLVSQKIKKVESFKGLVKSSSTKIINFPSGVEEPERLSLMKVAALIEITAKQEGKVLDLQSKLMENIELLPASLGKLLNITELNLSDNKITALPSSIANLTALTKLDIHSNQLTDLPDSVGQLVNLLDLDLHANRLKSLPESFGNLVNLMNLDLSSNYFTHLPDFIGNLASMQILIVETNDLEELPYTIGSCSSLVALKLDFNQLKGLPEAIGKLECLEILTLHYNRIGKLPTTMANLTRLRELDVSFNELEGIPESLCFATSLETLIVGKNFADMTALPRSIGNLENLQVLDISGDQIRFLPGSFGMLSKLKIFLADETPLEVPPREITKLGAQAVVEYMADLVAKRNVGPQEIKKKRKGIWSWICMLFSG >KVH91713 pep supercontig:CcrdV1:scaffold_184:324082:325911:1 gene:Ccrd_006243 transcript:KVH91713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 TLCERIRTTHSSLISSIRLPNFLRPPPLSSDVKLTIVNQEPTVVREIKPKNRRIMGAGGPDEEDNKWPPWLKPLLRERFFVQCKLHADSHKSECNMYCLDCMNGPLCSLCLNHHKDHRAIQVYIPLSAIDSSFLFFNFIGSDCFHLSLNHFEFETFESSYHDVIRVSEIQKHLDISSVQTYVINSAKVVFLNERPQPRPGKGVTNTCQVCERNLLDSFRFCSLGCKIAGTSKNFQRKRKISPENKHLMTGMSDSEDSYSSSSHGRRRSSYNVRVHSFTPSTPPPTAASFRTAKRRKGIPHRAPMGGLFIEY >KVH91725 pep supercontig:CcrdV1:scaffold_184:137391:137907:1 gene:Ccrd_006261 transcript:KVH91725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-25/LEA-D113 MHSVKEKVSNAASAAKAHVETYKANLEEKAEKATARTHEDKDIAHQRRKAKEAEANMNLHLEKADHAAEKLHGKHHVVGHDTVAGAYGGHHQTPVGTAGQTTTTTTTTGTIPGTAIPTTVPANPLGGHVHKHNKHI >KVH91730 pep supercontig:CcrdV1:scaffold_184:228039:229263:-1 gene:Ccrd_006253 transcript:KVH91730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MNPLRVGERATKVAAENQLAPPSEETSTAHHLSVPPCLTQNEFDELHPFVTEFHTYQLTSSRCSSLLAQRIHAPLDVVWSVVRRFDKPQIYKHFIKSCTVADGFTMTVGCTRAVDVISGLPAATSTERLDVMDDERHVISFTVIGGEHRLRNYQAVTTVHEVGAQPPETVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLAAVSEAAAGRDRAATTMSRR >KVH91714 pep supercontig:CcrdV1:scaffold_184:289491:290263:1 gene:Ccrd_006248 transcript:KVH91714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAINMQWQNHIHRRRSRNRCRHGDHHHHHQHLQPTSNNKRRCLGHDLGGPRGSREPFERKHVVIVMDATKEFSVETLEWVLKNIALETCCTITLLVSCKTDTDIWTMNIEDLLSMKDTNEWKNDPRCQKAQGLVDLCLKYGV >KVH91733 pep supercontig:CcrdV1:scaffold_184:250489:269165:-1 gene:Ccrd_006250 transcript:KVH91733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MERINVAVRARPLSPEDAKTSPWRISDNSILIANPHTKFDFDRIFSQECSTFDVYESRTKYIVAAAIGGFNGTVFAYGQTNSGKTHTMRGSSVEPGVIPLAVHDLFDMIHQEMDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHESIERGIFVAGLREEIVTSAKQVLEFMEFGEAHRHIGETNMNLHSSRSHTIFRMIIESRDKVEDEYAESSCDAVRVSVLMQYAANLFLHFPYLLSKNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGSHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCVHVNEILTDAALLKRQKKEIEELRAKLQGSHSDHLGEEILNLRNTLLQSELERERIALELEEEKKAQAEREKMLLEQAKKIQNLSSMVLCSNRDEVRDHRKKLPSTILGQASAVRPDRTDRERGPLLPFEELVNDKTSNACKLETDCNGVALSDFDLPHPHSLMHVTSRKKAPTTKRSLPMESAELKEMRAEYENLLLQFETQRTTSEIQIDYLTRRLEEASYCLDEEPGSNYTSDTDKKAIQLSTTRSLGEMEAILVIKQLQEKISELEMEKSLSFQNVDSAVELETEKNMYASNKHDEMDGQVRSCEVRRVLLGAVELLSWNGTKPTRAAELVVPESAPPSFSVILCDTSGVKGLKNTPQLYMKLLAAQEEVNLIHQQLMAMDENSEALTKLSADIQEITFDIQHSETLVGSVTSMMEEHLQSCAALSDLIADLRSFSSHDFIQIKTLLGGYEKVHSCMKAKVDELEHEKLLMYNQSKDLQKRIEEACLSGENSARALTELSERYEAETTEFLSEIKALQNEISSLSSSVLAKEKERMRKDLEKTKAKLKETEFKLRNTIQEKTKLEGEKASAEREIKRLHGQKAVLERDITKRESIAGRRRDSVLDRSSNIFDSRKAKGFGVTVDQEEYRKLEVLAFEMETTIGSLEEKLATTNDEKEQAVLNSERLVLEVQDLSDKLNFSNSELQALEDLVSSLRVNLEEAAMSHQNAENSVSKLVEEKEEMAMQLTDALLAIEEERAIWVTTEKASIEVIESKSKLYSSEIALLTDALSEVRNELEACGEECKAIKQKLAFAEEKLEVEKACSVEKSLQIDQLKNDLRQLDDQKKISEDKLRNQLLEITKERDEVLTQAEELQNSKAEQQLLVEKCHDKVKDQNFIAIIWDFISLYLIGCLYFEQFLNAKAEVEELTMKLSTLEVKWHNKAKTRMRLNGAQRKLDSIRMRCKEEMQEKDYMHKQFEDATTKLKSQLVSRGSEILNLKKQLALKA >KVH91711 pep supercontig:CcrdV1:scaffold_184:352524:357433:-1 gene:Ccrd_006241 transcript:KVH91711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEMEMGLEANELPNFIIHDTISATPFNCHETTIFHHKPLPITFDSSLTTAAEQHGSPENDGGGGRRRRKKKRGFRNEEDVESQRMNHIAVERNRRKQMNHHLAALPSIIGGAIEFVKELEHLLQSLEAQKFLSIRQQDPTIHDENSNNPTQECEIPQLPLHFQKFFTCPQYTWSSIPNTNASKSNATMADIEVNLVQTHANLRILSRKRLKQLSKMVVFLQTCYLSILHLNVTTFDPFVLYSITLKVRISTRKQRRIERYNPSLHRFKVL >KVH91717 pep supercontig:CcrdV1:scaffold_184:40897:43281:1 gene:Ccrd_006269 transcript:KVH91717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAIRLLLLQHHRPWRNGYGIDTAALSSTIFNDGYACGQCYQIRCVQSPWCYTGYTTVTATNLCPPNWSQDSNNGGWCNPPRTHFDMAKPAFMKIAQWKAGIGSM >KVH93582 pep supercontig:CcrdV1:scaffold_1841:4436:7348:1 gene:Ccrd_004366 transcript:KVH93582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MVSPYVSPSLQDPINGISSKDIVISPHXSARLYLPKPTKSQKLXIFVYIHGGGFVIGSAFTLLEHNYLNTIVSHINXLXISVEYRLAPEHLLPTAYEDCWTALQWVASHATSHGRDLENQESWLVEFGDFERLYIGGDSAGGNIVHNMALQAGRESLNGDVKILGGFLGCPYFWSSLYGVEGLPYGCWMMAHPQAEGGIDSPMINPFVGGGRGFAAEYGVKKLLVVATEKDELREIDIKYFEGVKESEWDGEVEFVEIEGENHCFYLSSIEGDIRCTNSTMSNDDLLRVEPKLAITSLCIDP >KVH93580 pep supercontig:CcrdV1:scaffold_1841:36928:37596:-1 gene:Ccrd_004368 transcript:KVH93580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPETTPPPPPATASPRISFSGDFLDDANFICITPQDKEKEIKTRTGEFEFLSGDLSSPATMLTADELFFEGKLLPFWQNEKLNKISIKPEKVVAKEAVTMKEDENNNNNNRMSWFIDEDPSPRPPKCTVLWKELLRLKKQRASSVLSPSSSTSSNSSSNSIDDQDSSKKEKQQQVKRIKKGLERTRSSGIKIRPMINLPICSQGGRTNSLPPLFSLRKGM >KVH93581 pep supercontig:CcrdV1:scaffold_1841:30456:37647:1 gene:Ccrd_004367 transcript:KVH93581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin-like carbohydrate-binding domain-containing protein SSPTSSFRLFVASNTESTNDPFNSTTTFISTPFNHGATFQPLKPATLPSSTNSTMFFFLSLLSTLFFIPFSLCQPPRSLLIDCGATVTSLIDDRQWLPDTGFISIGTSRTLAETNLSPTLSTVRSFPLLHNNSRRRKFCYVVPVYRTGKYLVRTTYYYGGVNSQVSPPVFDQIVDGTLWSVVNTTEDYARGTASYYEGIFLAAGKSMSVCLASNTYTESDPFISAMEFVLLADSLYNSTDFGNSSLRLVARHSFGYNGSIIRYPDDQFDRYWEPYGENNPTLSRGENVSINGFWNLPPLKVFQTQFAVSRPEQLLEFQWPLTPLQNSIYYIALYFADDTGTSRVFNITINDVSFYRDLNISMTGVALFANQWRLSGPTKIILSPAPGSTRGPLINGGEIFESGDICRWLGNNRLSGAIPDLSNLKLLKTLHLEDNQLNGRIPSSLGNIDRLQELFLQNNNLTGQVPTSLTLKPGLNLRVAPGNPFLDPPIP >KVH92131 pep supercontig:CcrdV1:scaffold_1843:34525:37894:-1 gene:Ccrd_005837 transcript:KVH92131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MAIALLLSLFFLSLFHPLHSSTDSFIYGGCSQIKYSPGSPYESNLNSLLTSLVNSATYSSYNKYSISGSTQQDVINGIYQCRGDLAMPDCATCVARAVSQLAPLCSQSCGGALQLEGCFVKYDNTSFIGDEDKTVVMKKCAPSAGYDPAVMGRRDAVLASLGSAGGLYRVGGSADVEGVAQCVGDLSTGKCQDCVTEAIGRLKTDCGGAVFGDMFLGKCYAR >KVH92132 pep supercontig:CcrdV1:scaffold_1843:48832:50898:-1 gene:Ccrd_005838 transcript:KVH92132 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase, conserved site-containing protein MELKTVVSMVARRGRQLQRYNEGQRQVVGCIPYRIKVSKMGSSKNLEDTLEVLVISAQRKGKGMLFPKGGWESDESMEDAALRESMEEAGVLGTVEGVLGKWCFKSKGNNSYYEGHMFPLRVDEQLNFWPEKDIRQRVWVSVPKAREVCQYSWMKEALDLLVTRLESSPSSNLWSNL >KVH92129 pep supercontig:CcrdV1:scaffold_1843:93160:96265:1 gene:Ccrd_005840 transcript:KVH92129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MARIKVHELRNKNRADLLGQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRTSIAQVLTVMSQKQKAALREVYKNKKYMPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEKYFPLRKYAIKV >KVH92130 pep supercontig:CcrdV1:scaffold_1843:81676:82281:1 gene:Ccrd_005839 transcript:KVH92130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQENQKENKDQHAIMKAAAWAWYERGSWFEHKSVQESDYRRKRDYTPRPSRYKLEAIQKPQKETRESQSCGDGPSLDTEHVSASKQPQNQQCYVPVDTEHVDKSLLDKYEIERISKELDCYLESSSVEHRRRSVDGGDHGGRHRRTVALSEGTKSKSKSKKNKGFWARRRIVCGSSRDDVVETSNLLIGGRRRPKENAR >KVI11501 pep supercontig:CcrdV1:scaffold_1844:30555:35663:1 gene:Ccrd_010086 transcript:KVI11501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSTSIPKQPIYVYLQICHAYTLSVDSCDQSSLESSSSEVRINLKRNLSYITYPMANMDVEVGGRSGPRNKTKWWHPNTQQNNSNIPDNVQILLDRKEMGQNLSNQVPPSIYKVPSSVRNPEPDYFKPQVVSIGPLHREDKTLQEFEEKKTIYLHHLLQHLNTSPRHTLDTCLQWVNASIPRIRECYGGMITYTDVELAQMMVMDACFILSFLFLSDERQRFTSRNAILTHSIFSDLVLLENQIPFFVLQGIFNHTLSNLQTDSLTSGVLRHLQFLIPFKGINYNDVTSTTQPHHILGLLQKYFHPRDTNILSTRSPLEMPNXPTLKMLNHSALDLDKAGVKFKPNKDANWILAISFSSSRFECFRXCWGNRTLRMPALCVDDNTELFLRNIIAYEQCTPEVPDYVTSYVSAIDMLLDTKEDLSKLVKSKVLSNNLGSNKDATKMLNSISKQFVFEEFYYMDQWERLNHYYEGYWPRNIAWLKRKYFSSPWNIIALLAAIILFSLAIVQVVLRIIK >KVI11502 pep supercontig:CcrdV1:scaffold_1844:79788:82238:1 gene:Ccrd_010088 transcript:KVI11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MANVDVEVGGRRGPRNKTKWWHRNTPLHDLLDCIEKGQNLSIQQPPSIYMIPNNARKISPDSFKPQVVSIGPLHRQDKALQEFEEQKTTYLHHLLQRLNIKPEIILDKCLQKVNDSIHKIRESYGGMMTYTDVQLAQMMVMDACFILDFLFLFEEHQPLTSRNATLTRSISRDLLLLENQIPFFVLQDIFKCTISKLQTTPSLTSGILQRLQILSPFKEIRRNDVTNTTQPHHILGLLQRSFHPTDNIPSSGPPLGFPNHSALELDKAGVRLEPKKDENWTLAIDFSSSSWKFFRWWWGNRTLTMPKLCIDDNTELFLRNIIAYEQCTPKVPDFVTSYVSAIDMLLDTKEDLSKLVESKVLTNNLGSNEDSTKMLNKISEQFAFEEFYYMEQWKQLDDYYNRYVSKNIALLRRTYFDSPWCLIALLAAIILFVLSIVQIILRIIK >KVI11503 pep supercontig:CcrdV1:scaffold_1844:14625:17439:1 gene:Ccrd_010085 transcript:KVI11503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MVSFSSRYPYSYNRDPMANVDVEVGGRRGPRNKTKWWHRNTPLQDLLDCIEKGQNLSIQQPPSIYKIPSSARKISPDSFKPQVVSIGPLHRQDKALQEFEEQKTTYLHHLLQRLNIKPEIILDKCLQKVNDSIHKIRESYGGMMTYTDVELAQMMVIDACFILDFLFLFEEHQPLTSTNAILTRSISRDLVLLENQIPFFVLQDIFKCTISKLQTTRSLTSGILQHLQILSPFKEIKRNDVICTTQPHHILGLLQRSFHPTDNIPLNCPLLRFPNHSALELDKAGVKFEPKKDENWTLAIDFSSSSWKFFRWWWGNRTLRMHKLCIDDSTELFLRNLIAYEQCTPDVPDFVTSYVCAIDMLLDTKEDLSKLVESKVLTNNLGSNEDSTKMLNKLSEQFAFKEFYYMEQWKQLDDYYNRYVPKNIALLRRTYFDSPWKIIALLASCYHPIRSCHRSGHLKNNQVDSQCFFCLPSFWHYVWYLFHFLLKSH >KVI11500 pep supercontig:CcrdV1:scaffold_1844:43607:46353:1 gene:Ccrd_010087 transcript:KVI11500 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MKKLFFFKSSASSNRTSSLTSFQSKDKQLHSEKSLEETRKSRSKKAAYEDQSSLVLRKSRRIHLVKVLRSMRQLRLEDVVIGQYKGHSKGGKTNLRYTKDPTMPNDSLTPTFAAVALFIDNVWWDGVLFLTKAGKALNTRRHSRSLSGLTLRLFNSVIRKRTNAYVLAYGDGLSNPKSLQEDSEQGDSAVVN >KVH95438 pep supercontig:CcrdV1:scaffold_1845:54428:58947:1 gene:Ccrd_002491 transcript:KVH95438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPDICFAISKINENEDLLGSNIIALLDAGSVSSRNINEFKYNRGGNNQISPRSLHNNGKRWKDSISQILDLSYLHVKRCLCALLVPYKIGTKVKLGKYVSAKKTLKF >KVH95440 pep supercontig:CcrdV1:scaffold_1845:73534:74332:-1 gene:Ccrd_002493 transcript:KVH95440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MSRVYVIFIATLVAFAASVSATEYIVGDESGWTLDFDYQTWAQGKRFFVGDKLVFNYPLGKHNVLRVNGTSFQQCMISSSNDALTNGNDVVTLLSPGRKWYICGVGKHCELRNMKLVINVESMSPAPSPSTTSGSSNLVIPKTYGFVVALIGSLLIFLV >KVH95436 pep supercontig:CcrdV1:scaffold_1845:77219:79813:1 gene:Ccrd_002494 transcript:KVH95436 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MVKERTSQQNPTNIHFVDIHEVVPEEEEEDQEEEEKQAHKMAEKPHEKRLNRPQVIKLREGKRTAAHRGFSRQVSLETGVSKLNEARNEIKALPRSGRSFGGFGSSHGVDTNCKRGEFNMFRTKSTLGKQNSFMLPLRKESGVDQFQKNADEGVGLLDESESEDILLPKDKQWPFLLRFPIGCFGMCLGLSSQAILWNSLAISPATKFLHIPPYINLVLWILALVVLVCVTTTYLLKCIFYFEAIRREYFHPVRINFFFAPWVVCMFLAIGAPPMIAQKPLHPALWCAFMIPYFLLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAVLAAKVGWNEAGKFLWAIGFAHYLVLFVTLYQRLPTSVALPKELHPVYSMFIAAPSAASIAWEAIYGEFDGSARTCYFIALFLYISLVVRLNFFLGFSVESKGIALTLSFLSSTMVFVLFVCTFLHAFFWQTLFPNDLAIAITNTRHIKEKKPINLKRWAKQSLVSIKKNGAGDKNTLENE >KVH95441 pep supercontig:CcrdV1:scaffold_1845:69549:70619:1 gene:Ccrd_002492 transcript:KVH95441 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MVEAQSWTTRRSSNPRLETSVDIPITPTGEVRYGTSNNIFAALSVTSIVSPTILTTLIIASWYLSNIGVLLLNKYLLSYYGFRYPIFLTMLHMISCTFYSLIAIRWFQIVPFQQILSRKQFFKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITCKKESAEVYLALMPVVFGIVLASNSEPLFHLFGFLMCVGSTAGRALKSVVQGLLLTSDSEKLHSMNLLLYMAPMAAAILLPFTLYIEGNVFAKTLEKAKDDSFMVFLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGISGFAVTIMGVVLYSEARKRSKVTVH >KVH95433 pep supercontig:CcrdV1:scaffold_1845:1:6413:1 gene:Ccrd_002488 transcript:KVH95433 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH domain-containing protein MSFDNSVVDSSLTEWKPDIENKNNQESPNDKVDKDAYVSGAEDQDHSCEQVGTSNANKRSKSSDTRYFIIKSLNHENIQLSIERGIWATQVMNEPILEEAYHNSGKVILIFSVNMSGFFQGYAQMMSSVGWRRDNVWSHGHGGSKPWGRSFKVKWLRLHDLPFQKTLHLKNPLNQYKPVKISRDCQELTQDIGEALCELIDGENGMDENQTSYNFNMDILPPKRPCIETFCGLQDEECDVDPMHIALASAPLIYPSMFYPHKSEASIFHLAQQRQAAAFHNTSNTSESSFLKRSAASVDMGGDSSLLYDGWDLSAERSRQANALTDDDILKMVNEPFQRSRESSISKEHDDDPYSSSHLKKRSRHHHRRHRSPK >KVH95439 pep supercontig:CcrdV1:scaffold_1845:26096:51038:1 gene:Ccrd_002490 transcript:KVH95439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLFLLLSSFLFILFLLHALDRHRRRRLPPGPSGLPIIGNLLDLGPNPHESLAKLSQKHGPLMTIRLGSITTVVVSSPEAAREILQRNDEACSGRVVPDAVTALEHHDVAVLWISANEEWRTIRKALNTYLTHQHKLDTLCGLRQSVVDEMLEFLRESGRKKEVVDVGKLAFAVALNQMSNTILSQNVTRYDSDDIGGFKEAVRTLMVVDGKFNIADIFPVLKPLDPQNIRRQAKAAYGWFDKVIDGFINERLKHRESKLPRFGDMLDSMLDCSEDKEDGFNRRHIKALLVDLFLAGTETSANSTEWAMTELLLNPHMFSRVRQEVSTIVGKDGNIEEAKVINLPYLQAVIKETMRLHFSVPLLVPHKTETDVKIGEYVLPKNTQIMVNAWAMARDPRYWENPLTFMPERFLENKIDYRGQHFEFIPFGSGRRMCPGIALARRVVSLMVASFVYHFDWKLPHANEEIDMNCIFGITLLRATPLETMELLVLLLASLAFFFFLHALGLYRKRGMPPGPAGLPIIGNLLHIGSKPHESLAKLSQKYGPLMTIRLGSITTVVASTPDVAREVLQLNDEACSGRNIPDAVTALENHDAAVLWISTNEEWRTIRRALNTYLTHQHKLDTLRDLRQNVVDGMLEFLRESEKKKMAVDIGKLAFAVALNQISNTCLSKDLASYDSDDIGGFKNAVKTLMEVDGKFNVADIFPVLKPLDPQNIRRQAKAAYAWLDEVTDGFISERIRDRESQVPRFGDMLDSLLDYSEDDEDLFIAGTETSSNSTEWTMTELLLNPDMFSRVREEVYAIVGDNGEIQEAKLLDLPYLQACIKETMRLHLSVPLLVPHKTETEVKLGEYTLPKNTSIIVNAWGMARDPRYWENPLMFKPERFLGNGLDFKGQHFEFIPFGSGRRMCPGIPLAVRVVSLMVASFVYHFDWKLPHAKEDMDMNVIFGLALLRATPLVATPTLNKEKKAMEFLFLVLSTVIFFFLLFQGLDLHRKRRMPPGPAGLPIIGNLLDLGPKPHESLAKLSKKHGPLMTIRLGSITSVVASTPDAAREILQRNDEACSGRLIPDAVTALDNHDAAVLWIPPNEEWRTIRKALNTYLTHQHKLDTLRDLRQNVVEGMLDFLRDSSRKNEAVDIGKLAFAVALNQMSNTCLSQNVTSYDSDDIGGFKTAVKTLMEVDGKFNIADIFPVLKPLDPQNIRRQAKAAYDWFDTVTEGFIKERLEHRESKLPRFGDMLDSLLDYSEENETEFNLIHIKTLLVDLFLAGTETSSNTTEWAMTELLLNPDMFSRVRQEVSTIVGKDGKIEEAKILNLPYLHAVIKETMRLHLSVPLLVPHKTETKVKLGEYIVPKNTQILVNAWAIARDPRYWENPTTFKPERFLKDELDYKGQHFKYLPFGSGRRMCPGIPLAHRVVSLMVASFVYHFDWKLPHAREEMDMNDIFGLTLLRATPLVATPIPMNTS >KVH95434 pep supercontig:CcrdV1:scaffold_1845:7214:11326:1 gene:Ccrd_002489 transcript:KVH95434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVNGTGTGGLNQKISCDDVISKLKDDGDFDKLRLKIIRKLKENEELRNSIVSIVKQSVALNRPGVEHMKPRQLSDAIHQEVGGKINEQISDGLWEIIRSPEFMKSEITETVKSVYDKLSRPKQDENGESSGHDPKRIRKEHDGHRSNLGSTGERETDGLSDNEPKEPPGFSQTVTHQIDVGSQQTKPEGNILLVMEEEGKEKPEKPLENDGSSPPGFNQQKEDGDDDGGGGGPLQ >KVH95435 pep supercontig:CcrdV1:scaffold_1845:80151:84724:-1 gene:Ccrd_002495 transcript:KVH95435 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MTYSQLKIPTPTEDCKGDYEILIERDRLGGGGGGALPSSSGNYSGGGGIWYFYMQHEYLTLESQELIPILNWETATFTKPMLAVLLFASMALFPTILLPSTPSMWVTGMTFGYGEGDWFNQFKAVVLLRVSPFPYIMYNYCAVATGVKFGPYLLGTLVGMVPEIFIAMGIVIGTLADASNDERSFSAPQIICTVVGFVLTVVTTMGVTVYAKRRLIKKNLLVSEEKIRRHHVTVVDVVLDCALIEATWFSHFTMEEFTAEVPQSEI >KVH95437 pep supercontig:CcrdV1:scaffold_1845:90582:90992:-1 gene:Ccrd_002496 transcript:KVH95437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTFTPSKCNTSLLSVTPKPKSKPKRIRIQLSRLHRLSRTKRATDNDEKSKQEMELKNLKLYMENMSILKDNEILRKKAIQLHQENLCLLLELQKKLSPLHHVQQSEIS >KVH89298 pep supercontig:CcrdV1:scaffold_1847:59884:65491:1 gene:Ccrd_008717 transcript:KVH89298 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MDFSSFLTSLSTSFIIFVILMILFAWLSTKPGNAVVYYPNRILKGMDPWEGSRSKTRNPFAWIREALSSSEQDVIAMSGVDSAVFFVFLSTVFGIFVLSGIVLLPLLLPIAITERNAGIVDSTGKNAFDDLDKLSMAHLNNRSARLWAFMASCYWVSFVSYFLLWRAYKHVSDLRATALKSPEVKPEQFAILVRDIPYSSEGYSRNEQVDTYFKSMYPETYYKSMVVTDNKEVNKIYEELEACKKKVRRAESIYSDSKKEPNPQGVRPTHRLGFLGLLGKEVDTIDYCNEKIHELTPKLEAAQKETLREKQLASALVFFNNRTTAAAAGQCVHSQIVDTWTVMNAPESRQLLWTNLSKTYYAREIRQYVVYLIVFLTICFYMIPIGLISALTTLANLKKLAPFLKPVVDQAEIRTVLEAYLPQIALIVFLALLPKFLLFLSKAEGIPSESHAVRAASGKYFYFSVLNVKYAICRLIYTVSNLRFFVGYGLELSRIVPLIIFHLKRKYLCKTEADLKEAWLPAELGYATKVPTDLLILTIVICYSVIAPLIIPFGAIYFGLGWLVFRNQILKVYVPKYESYGKMWPHLHVRILGALILFQITMVGYFSIKEFIYSPLIIPLPIFSLLFAFVCSKKFYGFFQTTGIEVASHDLKETPNMDLIFKSFIPPSLNPDKLDDERLDDGGASYDLRPGLDAYAQTQDV >KVH89296 pep supercontig:CcrdV1:scaffold_1847:6912:16748:1 gene:Ccrd_008715 transcript:KVH89296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKRSRSEVFGLRRVRLPHILFLIAALYLIVVCFKFPAYFETSSTPDNEAVKRYQLEVSKPSLRSAYKDEISEALENDNRFERVPQQEKNLEEKPGDNLRESPLEDGNRLEKVSQQEKEASFPPLDRRYGRLTGNILRQRHRTRDMSGLEKMADEAWTLGGKAWEEVLKYHEKDAKLASILEGKQERCPPWVSVTGAELTKGDRIMFLPCGLAAGSSITVVGTPHHAHSEYVPQLAKLKMGNPLVLVSQFKVELQGLKSVVAEDPPKILHLNPRLRGDWSHQPVIEHNTCYRMQWGAAQRCDGLPSKGDDDMLVDGFPRCEKWMRNDVVDSKESRTTTWFKRFVGRAQKPEVTWPFPFVEGKLFVLTIRAGVDGYHINVGGRHVTSFPYRTGFTLEDATGLSIKGDVEVHSVFATSLPTSHPSFSPQRVIEMSEKWKSKPLPHHPVLVFIGILSATNHFAERMAIRKTWMQSSAIKASNVVVRFFVALSPRREQNAVLKKEADYFGDIVILTFVDHYELVEWPESVYPPYANGPGYIISSDIAKFVVSQRAKRKLRFNSTTTVRYSHHGKFCQYGCMDNYYTAHYQSPRQM >KVH89297 pep supercontig:CcrdV1:scaffold_1847:33201:34943:1 gene:Ccrd_008716 transcript:KVH89297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MFHAPNTTTHSLSLVNHNNCRLQIDLSKPSIHSPVSNRSTLGRILFLHLMWHYHRTRAICTSSSTLFCCSNGHDSYCKAGEAYNQAFPGGEFPNQNPRVGEGLASWVQQNRSLEETDIVLWYVFGITHIPRLEDWPVMPVERIVFMLQAC >KVH87988 pep supercontig:CcrdV1:scaffold_1848:51414:53249:1 gene:Ccrd_024630 transcript:KVH87988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MISSKYAFGCHAHVVNQILAAIIQNRPFDSHLANSSSLSHQFSNQPWATKLITEVLRSIPRYFFQSTRSIGCQSGSRRRAPLKQRNLHEESIKIHKGVIILGPGAYRDPQKVRLGLDKALEFYYWVESEFGFDHNERTCREMGIVLAKGNRLEVLWNFLKHMTRRSSGGLVTTETMTCLIKVLGEEGLVNEALAAFYRMKQFHCRPDVYSYNTVISALCKVGNFKKAKFLFEQMELPGFRCPPDTFTYTILISSYCKHSLQTGCRKAIRRRMWEANHLFRIMLFKGFMPDVVTYNCLIDGCCKTYRIERALELFDDMVERGCFPNRVTYNSFIRYYCSTNEIDKAIKMLRRMQELNHGLPTTSSYTPIIHAFCEAGKALEAWDILVELVDKGSIPREYTYKLVHGMLKSVGKRDLLDDELCEKIEEGIKNRYKQVMKVKPIMYVITYLLLLSQCSISADEP >KVI07117 pep supercontig:CcrdV1:scaffold_1849:14373:18522:1 gene:Ccrd_014525 transcript:KVI07117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MADNVAGDHEHEPSFVESVKEKISETFHNEDSSSSDSDDGKKSASVSDVKDKIYRLFGRERPVHKLLGGGKPADIFLWKDKKVSAGVLGFVTLIWVLFELVEYHLLSLVCHTLILVLAVLFLWSNAASFINKSPPKFPEVALPEDIVLGVASALRVEVNNALEILRNIASGKDLKKFLAVVAGLWVLSIVGSCCNFLTLFYISIVLLHTVPYLYDRYEDKVDAFAEKAEAEIKKQYAVFSVKVLSKIPVGALKAKFA >KVI07113 pep supercontig:CcrdV1:scaffold_1849:65121:66038:-1 gene:Ccrd_014528 transcript:KVI07113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MASKSHQFNSNPLIFLLLGLSAIGVSAAGNGGIAIYWGQNGNEGTLAEACATGKFPYVNIAFLNVFGSGATPQMNLAGHCNPASGSCVSLSTDIRSCQNRGVKLMLSIGGGIGTYSLSSKLDARNVSLYLWNAFLGGKSASVFRPLGDAALNGIDLDIELGSSQFYDDLVRYLKSYCGRGQKVYITGAPQCPFPDRQMGSALNTGIFDYVWVQFYNNPPCQYTSGNTTNLIRSWNQWTTSIRAKKIFMGLPAATQAAGSGFIPAEVLTSEILPVIKSSPKYGGIMLWSKFWDDQSGYSSSIVSGV >KVI07115 pep supercontig:CcrdV1:scaffold_1849:24007:31081:-1 gene:Ccrd_014526 transcript:KVI07115 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEQKHILLSALSVGVGVGVGLGVNKWTSGGLEEGVTPAQIEQELLRLIVDGKDDKITLKEFPYYISEQTRVLLTSAAYVHLKHLDVSKHTRNLSPASRAILLSGQAEFYHQKLAKALSHDFEAKLLLLDIVDFSVKMQSKYGTSKKDSSVKRSISDMAMERMSTLLGSSTESTNYFPKHHRNASASSDTSAINSQCPSSSLGPNLQHHHLQVLVSFSETTGIILYIRDVERFLQSPRTYKLFDKMLKKLSGSTLVLGSRMLDPNNECGEVSEKIGCLFPYNIEIKPPEDEYHLIDWKEQLEENMKKIQFQDNKNHIAEVLAANDLECDDLGSICHADTMFISNYIEEIVVSAISYHLMSNKDPEYRNGKLGPEGEESVASNKNEAEKSVPPKAVIPANEIGVTFSDIGALDEIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVDNRETILKTLLAKEKVEELDFKELAMMTEGYSGSDLKNLCVTAAYRPVRELMQQERQKDIDKKGSDEAKETKEESERVINLRPLNMEDMRQAKNQVAASFASEGCIMGELKQWNELYGEGGLRKKEQLSYFL >KVI07111 pep supercontig:CcrdV1:scaffold_1849:84739:86768:1 gene:Ccrd_014530 transcript:KVI07111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3143 MQSANRTPYPQALTTPRRRSLVRLPSFVIVICKPPLKTIVFLILLGGKMGILKVPSISSCCSTRFNTRNFYPTSLFSASSINSCSRISYPCSKFSTPRGTRRNRRTLILSKSASEETEVSTDEDEWLKNLPDKKKPLYSHSLPCVEAWLKQLGFFQSNDDRAVWFIEKPDWHAKLSLDVTDLYIRYVKSGPGNLDKDVERRFSYALSREDIENAILGGP >KVI07114 pep supercontig:CcrdV1:scaffold_1849:77847:80795:-1 gene:Ccrd_014529 transcript:KVI07114 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4308 MATAAAGTSSSMAQAMFASRSFTPSFSRRSSLPRLPFLPPSASSPLPPSFNLRISGSKKSLSYRIKASSEDSSETSEVGEVFSNLKEKWDALENKPMAVVYGGGAAVGVWLSSTVVNAINSVPVVPKFMELIGLGYTGWFIYRYLLFKSGRKELADDIEVLKKKIIGTE >KVI07110 pep supercontig:CcrdV1:scaffold_1849:89375:92231:-1 gene:Ccrd_014531 transcript:KVI07110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMAPGTDLAKELESCRKICLELEEKSKKDEMRCLMLEIEVGELKKKNKELEGEITRIQKVVSNGEKGKGVIVDLTDEENEGDKFVQLLIENKVLECEKTSAEIEIRSWKEKVKELVSRVCELELKANMKGMNESSKGIDAGMVTVTGNTQVDTNSNLMSKERIKEDISVVSTHKSTVERGGKGCIQVRKRLSFEEDGFPNKTMAPSTPGVAQLPFSGVIDISDEDLNCNEIPKVKKLFDPINYGSKSPFSSKNDPETTNLGHIDEDDMDGFEGYSRTAASAKRKRTSNTIASDDDEDMDGFVGHSSKTPSAKRKRAARVISSDDETSYDDNAPICTLIKQHSSRLNTDSEDEVKEGVGKRHLKRLRKPGFRDRQDRSTLDLNRTICLHGNSSSEDEEDNDGVEEGSESEDDSLGGFIVDSSESCSEIAPVSDDSCDESKDALSDFKLTLDKIGRKKVLNLKWDLEGDMLADFGKDPELCMRAVCALYRQQTADEKASKETIYQNERGFSQCDASRASKVAEFLIDGDAGGDMSKTVEELKRYDSKGIKLCMALAAKYSKQLFEIYQNKEDPYFVPKCHGM >KVI07116 pep supercontig:CcrdV1:scaffold_1849:47744:49399:-1 gene:Ccrd_014527 transcript:KVI07116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPQYFPSISGSNACKCVRKVVHGGISFPSSFDSPNSNESKRKQSSMDGGIDLQDGSSLSLGLGCSMNSGVTMFSAKEFEEDSLSLTMNFHRLSDLKMDPNYGASDPSRTQGPKVDLELSLAAGSVQSDVTAVGEASFPFNFRPPVTSSTMTSGGVQLVDEGSTSSRWKLPLFTEPITPFHHVHGHNGQNLVIHGGSVSFDSGFVNPQQRRNGNVKDCRFIGCTRGARGASGFCIAHGGGRRCQRDGCHKGAEGRTVFCKAHGGGRRCEFLGCTKSAEGRTDFCIGHGGGRRCSHEGCTHAARGKSGLCIRHGGGKRCKMEGCTKSAEGVSGLCISHGGGRRCRYPACTKGAQGSTMFCKAHGGGKRCTFLGCTKGAEGSTSFCKGHGGGKRCTFEGGCSKSVHGGTLFCVSHGGGKRCAVAECTKSARGRTSFCVRHGGGKRCKQEGCGKSAQGRTDFCKAHGGGKRCSWGQSGPSGGQNSTPCDKFARGKTGLCASHSQLVQDNHEGGLLSVGLGRPVEMVGYSLPEGRVHGGGLMAMLQESTNGRW >KVI07112 pep supercontig:CcrdV1:scaffold_1849:4016:5400:-1 gene:Ccrd_014524 transcript:KVI07112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFSLLKFWRNAAAGDPTVTGDFDSSIDDEESFFDLVFTNPADEDDNSCFGGFQVDAGHSNSSFRFSSPGDVYLNNKRKILPINSTNTKTPQSPLRTFMLGFQNNNKSKLEKKETSCEIEEVKIGSMLKRDNSLRQKLRTEKLLENDQMPSKRFSKDVVNKYLNLMKPSYVKVSKRNNEKSNLSEKSVTPSSSPASSVFSPRKEEKRGGGRGAVFREVRKHLGKSRSASAILQTPVTKSDDSALEQQDGIQNAILHCKRSYNSPSQECCVLSRSGSAPSNNQPRISIEEENRSSI >KVH96314 pep supercontig:CcrdV1:scaffold_185:182138:182975:-1 gene:Ccrd_001609 transcript:KVH96314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKHNISLMLERDMESQVIRFFGHLIKAEAKREMKMIGNNNMGSSTRRISTRGFGGVLKEQKARLYPVAVSQRCWWAYDKDDNRYSYLIES >KVH96298 pep supercontig:CcrdV1:scaffold_185:272589:283205:-1 gene:Ccrd_001615 transcript:KVH96298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSMADEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGVERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVREKAVESLCRIGSQMKETHLVDFFVPLVKRLAAGEWFTARVSACGLFHIAYPSAPETLKAELRSVYSQLCQDDMPMVRRSAATNLGKFAATMEPAHLKTDIMQIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMKWLQDKVYSIRDAAANNLKRLAEEFGPDWAMQHIVPQVLDMITNPHYLYRMTVLRAISLLAPVMGSEITCSKLLPVVVTVSKDRVPNIKFNVAKVLQSLIPIVDHSVVEKTIRPCLVELSEDPDVDVRYFANQALQAIDHDMMSS >KVH96299 pep supercontig:CcrdV1:scaffold_185:226739:227731:-1 gene:Ccrd_001612 transcript:KVH96299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTGNVTESSTKLKPLHPAYNVTNINNKVRTLDGTMITYSTWVKLFRLHATAYKAMDHITNWIYSTVXDEIVVRLIENDTTARETWIKLRNMFLSNKSSRAAALEHEFTNLTLAKCSSIDEYCQKLKDLAEQLEDVDNPVSETRLVLQMVRGLPTEYEIVATFLNQTSPSWDEARNRLQLEQHRQAALKNPEQSALVTPQQNNTTTPHYQTPAPNYQPNSQPPHDPNRQQYYHQDTNRGRGRGRGSNWRGGRGRGKGRGSGQRPQWSGQCWYNGPTPYSQWNPPPSPYPSQPWYGPHAQFGNLASA >KVH96305 pep supercontig:CcrdV1:scaffold_185:357702:358241:-1 gene:Ccrd_001620 transcript:KVH96305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSNKKRVRSDSDESEFNSPEAKRIRDDLLDTLDDSDVCTVGQDLDSFIKSFQDEISPPPETVDLASESGESRPDLEFLFEASDDELGLPPTGTTPNDSERIPVSTESVEVGEFCWLDDQIPNYDSFEYGFDYAAEDVNFNNNHNGEYVALDGLFDYTDLGFGSSDLSRRPESLPAQ >KVH96296 pep supercontig:CcrdV1:scaffold_185:293261:300637:-1 gene:Ccrd_001617 transcript:KVH96296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKKNEEEEEEKSNKLIEVSTTLASVESLTFPLVQEVVLLADFGCKKCEDRVAGIVSRLNGEMESMEIMVMEKKVIFTFTSKYSREIKTCGKKLEVATIYKHMAKKIFNVKRFLRALNT >KVH96315 pep supercontig:CcrdV1:scaffold_185:160875:162279:1 gene:Ccrd_001608 transcript:KVH96315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MVFVPFTAVDNHNCNVVVGSALLERHACEIYTRSVFFEVQTKIHRAPWTCSIKSVNSNEEAETYLIEHLDKRDEKIAEYKVVRNLKESTVVCSCNHIGRHGYLCRHVFKVLQNAGFESIPEEYILRRWRRDLIHIELQNSCQRICD >KVH96309 pep supercontig:CcrdV1:scaffold_185:48963:53876:-1 gene:Ccrd_001602 transcript:KVH96309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion-transporting ATPase-like domain-containing protein MAFCNPPTLLPSSSYPPPPPFYSNFNRILVRSMPPRFLTITMAKSPTTQSDGNDGSTKFVTFLGKGGSGKTFSAIFAAQHYAMAGLKTCLVIHSQDPTADYLLGCKIGTTPVTCNDNLSAVRLETTKMILEPLSQLKQADARLNLTQGVLEGVMGEELGVLPGMDTIFSAMALERLVGFLKNKIQKNNPKDNFDIVTDMGRLAGPSLLRLADEALNAGTSTSGFNGQLSGEIWDKLDQLLENGSSFITNPHLFGCYLVTDLNNPISLNSALRYWGCAVQAGALISGAFGVTHLSCSEEHLETAKRTFSPLPFASYPPISFKTPLNWEDIMHNGHSNDARDLLSTSKSGSSGYVQSYRGGSELLIEAGDQRRVICLPSQIQGKVGGAKFIDRKLIITMRS >KVH96297 pep supercontig:CcrdV1:scaffold_185:248283:248990:-1 gene:Ccrd_001614 transcript:KVH96297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPWRWPSVPCNQPRFRRRWTPWRPRIACQQGIVAKLPSLETKHPQLVVRIAANYISPSTVDPSTAPNGSRMKLVISHVTVYSRPYIIDQPLMII >KVH96304 pep supercontig:CcrdV1:scaffold_185:311840:322554:-1 gene:Ccrd_001619 transcript:KVH96304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTHFEIEVKPEDTVADVKKNIETVQGPDVYPAAQQMLIHQGKVLKDTTTLEENKVAESSFIVIMLSKLEKELRSNAIQFASVTTAPCSDDLDSGARTQFTTKAPSGEASTAATAPKAPQTSAAPVAPPATQAPSPAVVTHVSDRRPPSVPPAIPVSDPASAVNVPSENVYDQAASNLVAGSNLEGTIQHLLDMGGGIWDRDTVVRALRAAFNNPERAVEYLYSGIPEPAELPPAAGASPVPGVQAVNPTSQPPQATQPAAIPSSGPNANPLDLFPQGLPDMGANAPGGAAGNLDFLRNSPQPMLQELGKQNPHLVRLIQEHQADFLRLINEPVEGGENVLGDLAAAMPQAVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFED >KVH96295 pep supercontig:CcrdV1:scaffold_185:284172:287313:-1 gene:Ccrd_001616 transcript:KVH96295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLISTVSINLRRPATFQPKTPLFFRRRKVVTFDSNSKPWESRKKVLKENSFFCAASRRNSSSNTVTDISVSELDDNIRKVVQLLLWAAEGVYIIWLFLLPYAPGDPVWAISSDTINSLVGLSLNFFFILPLANSVGIRLIDAPVLHPMSEGLFNFVIGWTFMFAPLLFTDPFLIPYMAIRLNKPDAEYTPRKASQLGSVMVNGASVVGVVGGAACLISILWGLFGRSEGDFGSVADRWEFVVSYLGSERLAYAFIWDICLYIVFQPWLIGENLENVEKGKANIVKYLRFVPVFGLVAYLLCLDVDEEV >KVH96303 pep supercontig:CcrdV1:scaffold_185:305233:308770:-1 gene:Ccrd_001618 transcript:KVH96303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein domain-containing protein MVRVLVTTSMFLDEPSMFLGKWGHVGGSWMTEGTRQFTYHVKSRINKIAITFIYFPSLPPYQISLPLRISYVLRLQWQERGNGKVLQIMIYVELRFPRTKRMDYTSGDEYEDAGTGTALSSIADAFEEITDLIIKHDDGGDSVDLKLKPFCDACSLVSVLFGCLGIAFKFAEMEYTSKRFVTLRNVVDYDLGNNTVKSPGSLTRNLRRVRQGLDLIRQLFQNFLITKYVSKIFLPIINTMEYYSLKEAASSAYQQVCAPYHTWAVRTAVSAGMCALPSRDQLLLNLNETGNFVNDESYLKLVTEPSAAKEMRRYIKASLPVITYIDNLYISRGITLDW >KVH96300 pep supercontig:CcrdV1:scaffold_185:246224:250236:1 gene:Ccrd_001613 transcript:KVH96300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MQSFTTPPPSASASDLYCHSPFYIRADENGRPDTRLADLGDLQHSSVFHQEDAVDLSRSSIYNEIKAGNAALLSNNLQFRPHHMNFGSTTEMSSIGTGEFMMQHQKGTPSTMVASGGGSGGGGGGLGKGHFENWGESAIADNSQQTDTSTDIDTDDKNQFNSVQHGGQLAVDSMGKIGDQKILRRLAQNREAARKSRLRKKAYVQQLENSRLKLSQLEQELKRARQQVFSPQTTWES >KVH96313 pep supercontig:CcrdV1:scaffold_185:116670:121606:-1 gene:Ccrd_001606 transcript:KVH96313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLTHFDEILQKADGIILSYGNLCFDLPLEKFQQQLVVFECLQFQHQLVVFVCFQFQQQLFIHQF >KVH96317 pep supercontig:CcrdV1:scaffold_185:10890:20871:-1 gene:Ccrd_001598 transcript:KVH96317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPPTVTDHRIRRSTLTITPRSDLQKIGNTTSHVSGKGKAVAFIDGPPSPPPPPLGLLDEKEVNAAAEGGDLDDWRRFKDAGLLDEATMKRKDREALLEKTATLEKEYNMGLLLIENKDLSANVEELKEALSEIQEINKREEVARFMAVSETEKRVENLEKALDMEKHCRVDLEKALCEIGEENKQIKLSSQTKLADANNLVFGIRDKSREVDKKMQEADARLAAANERSLEIDKELQKVETRETMLQSERLLFVEEQKGWEVKSLKQKEDLQEWERKLHQGEERLCESRRIINQREEKVNEIETTLKQKEKEFKEAYNDIDLRISESKKKVDDVNDRLAKLIAKEMEAESKRRKLEMKEKELVSLTEKLNVKERVEIQKLLDAHKDSLYSKQQEFDLEMEKKRNTIEEDMRSKVEALERKEAEIKHREEKLRKLEQASEKKTERFKEKEKSLDTKLKAVREKEKSIKAEAKQKELDQKQILVDKEILQVIKDDFTKVKAEITEKELKLHADLEKHRITEDERTKHARLQSKLKEEINKCGLHRESLDKEVDCLRKDRMKFEEEWETLNEKKTAINKELRELGEQKETIEKFRKSEEERLEKDKLATKDYIKRELEVVKVEKETFAATVKQEQSLLTEKAENERRKLVHELELKQRALEVNLQNRRAEVEKQLQVREKAFEEERKKELNNISFLKEVIRKEMEEMEQEKRRIDKEKEEVSVTKKQLEGNRCEMHKDISELCLLSKKIKNQREELGKERNIFLALVDGLKNCGNCGELTRTYELSDLQMLEGRDDSPLSRTVDEIIEKSESFIAVSHETNELTSLGNIKSPNSGGLVSWFKKGVMIFKSSPHRKMDGLPLHGRMHDLKGEIEGSNVPTGINVKGQNANDSNDVQLHTPENINREVEAPEDSQQSELRSGRRKPVRKAKVAGARKRHTVKASVEDSLKTSEAESLDDEKGGGTSMRKRSHAQASLVSGSDMEASESEACSESVTTGGRRKRRQTAVQTPGEKRYNLRGHKTVEVIPPTQASVDIMNGNEVNGSRTSGASKVIQNLEIASGPSTEVATEDGNAKPLMLVTPKRVDTLESDKLPGDAILEENIEMSDDVNGTNEYSGDGGGDGDDDGGGDDNDDGDNASEQHPGEISIGKKLWTFFST >KVH96307 pep supercontig:CcrdV1:scaffold_185:33231:35830:-1 gene:Ccrd_001600 transcript:KVH96307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Taurine catabolism dioxygenase TauD/TfdA MEYNCKEFKVGKCEGEKLVDGETLPLVLTPTEPSKNGVESLLEVLENNKEWFDEMVTKNSAVLLRGFDVKRAVEFNDIVETFGWEDIRYVGPAPRTHIHKRIKEFPKKVILFCEIPPPEGGETPFVPSFKVTERMLEEFPEFVEEVEAKGLKYTFTALSNDNTTSMRGRGWQDAFATHDQQEAERRAKALGMDVEWLENGGVKTILGPRPLTKVFEGRKGRRMWFNTLVGMHGKELSSAMMADGTEIPEKIVKRCEEIIEDESIQFKWEKGDVLFLDNWATLHGRRPSLAPRRVLVATCK >KVH96308 pep supercontig:CcrdV1:scaffold_185:58246:60309:-1 gene:Ccrd_001603 transcript:KVH96308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAANIRRPSTNRKMLSSQFDFTPFLKHHHHHHHRHQNLLEPIGGIDGVIDPRFGVEKRLALSHLTRRRSSQPLPHPHTSPVFTAPPPPLLVAAAGKVGAIVGAYGFVYASHSTDSKKNRRWRQNQEVPQYSRRHQFLGDGAYVFIAGNKWQIAGRISGENEKDSEPTPTANRRSRSGPVRLID >KVH96306 pep supercontig:CcrdV1:scaffold_185:47496:49878:1 gene:Ccrd_001601 transcript:KVH96306 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MKPTVTDSLLLLTRVSATVVAILVITWALYFTTSFLPTHTLSQRDLIYSILHPLLMVIGFILISGEAILVHRWLPGSRKKKKWVHLWLQGVALASGIFGIWTKFQGRDGVVANFYSLHSWLGLFCVSLFGAQWLMGFLSFWHKGEVRMTRIRVLPWHVFLGLYTYGLAVVTAETGLLEKLTFLQTKGVVLKRCNESMIVNGLGLGLAMLCGIVISTAISPKQHQTTPATKVVYSDTKCLTS >KVH96301 pep supercontig:CcrdV1:scaffold_185:203078:204079:1 gene:Ccrd_001610 transcript:KVH96301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MPHPQKLHLLTITLILLIYIIAALRLPEFQEAPAFRNGKECNDTKIHIAMTLDATYLRGSVAGVFSIIQHSSCPENTVFHFLTTHRLSTALRHTIATTFPYLNFHLHHFNTDLVKNKISTSIRRALDQPLNYARIYLADLLPATVHRVIYFDSDVIVVDDVVKLWEINLNSHVLGAPEYCHANFTHYFTPKFWSNPYFSGVFKHRHAKPCYFNTGVMVIDMMKWRRFKITEKLEKWMEIQKRYRIYELGSLPPFLLVFAGDVKAVEHRWNQHGLGGDNVEGLCRDLHSGPVSLLHWSGKGKPWLRLDSKRPCPLDGLWAPYDLFKHGTLISDR >KVH96312 pep supercontig:CcrdV1:scaffold_185:146964:152604:1 gene:Ccrd_001607 transcript:KVH96312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSWLVDSNRIATKIRSASGTCDPQNINWKSNPTKSCPNCHHIVDNSDVNQAWPGLPQGVKFDPLDQEIIWHLLAKSGVSGFPPHPFIDEFIPTVYEDDGICYTHPQKLPGVQQDGSVSHFFHRAIKAYNTGTRKRRKIHGDDFGDFRWHKTGRTKPVVLDGIQRGCKKIMVLYVSPVKGGKAEKTNWVMHQYHLGTGEDEKEGEYVISKVFYQQQSQSKTPNEKDEEIILEEIINAPIVKVDPVTPKSVTPEPPRAERRVGDHEDHVTEENGVSDELGTNDNGEAGEEPKWWDSESQYLLDSQQLVEGLSLCDEFLQSQSPQRDVENRESNRKPSLADYAQLGPENLKKDLEENLDHRKAFLHGEAASDGFVVQQSSSHPKCQLSKLYIFCESICMLNF >KVH96311 pep supercontig:CcrdV1:scaffold_185:71243:76117:1 gene:Ccrd_001604 transcript:KVH96311 gene_biotype:protein_coding transcript_biotype:protein_coding description:CWC16 protein MSSLAAARADNFYYPPEWDPKKGGLNKFHGQHALRERAKKIDQGILIIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKAACCKHEIVIQTDPKNCEYLVISGAQKKVEEFDTEDAETMALPVDEEKSKLSDPFYRLEHQGEDLRKKKEAEPLLVRLQRVSDARHLDDYSLNKSLRAKLRSQKKRVAEEEVTARKMGLGIRLLPASEEDTAAAKRVKFSSKFNKNRDEKRALIKSTSIFSGSSPSSSKRLELQSKRRRINAATASDLLVGGFKPSSWTRVTGSSVLPKRS >KVH96316 pep supercontig:CcrdV1:scaffold_185:23697:30521:1 gene:Ccrd_001599 transcript:KVH96316 gene_biotype:protein_coding transcript_biotype:protein_coding description:DFDF domain-containing protein MATEASRSSTSSADSYIGSLISLTSKSEIRYEGILYNINTEESSIGLTNVRSFGTEGRKRDGPQLMPSDKVYEYILFRGSDIKDLQVKSSQPAQPTQPINSDPAIIQSHYPRPATTSSSLPAAAAANSSSGPSTHNAHPGHPGSTFQGGLPLYQPGGNVGSWGPSPPPPNANSSGIAMPMYWPGYYAPPNALPQLHQQSLPRPPQGLGMPPLQQPMQYPSFNASLASGAPNASTPNLPEYPSPLLPVSTSSATLTSNSLLGSTLPSSLPSGLATGSLPTLMHNKAPNSVGPTPPSGSMQPQSTLTSPAAAVTTDVNATIPPISHKPNVLSGAKFSYQGTSQPISSVGGAPTESPTPSLVTPGQLLQSLPAAVVPAQSSQAVHSDAEVVQVSSASSSSTSSVPIPVPTEAQPPLLPLPTQTHIAPKARDSSHLNYRGRERGRGSGGSQPVMKFTEEFDFNAMNEKFNKDEVWGSLGKSNRSGSKEKDGNATDEDELEEENDHNLPKVDAKTFGEFSRYRGGGRGGRGPYRGGRSRGGYYGRGYGYGGRGRGMRNPNPNPNPNPNPNATHDY >KVH96310 pep supercontig:CcrdV1:scaffold_185:92295:94217:-1 gene:Ccrd_001605 transcript:KVH96310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MCSKREEQEPVEDQEQELAGDHHHQDFQRFQEHSSSIVQQIQHQNMGINDYSYTSSSEVSPILHPQQQPWMLPQVFHHHNTGYNTSDLHFPGGDHGTYLFPPPPPPPSSLSSYGGLVNRRVPGGGLQFAYEGSTSSSDHHLRLISETLGQMVQPGSMPFGLQAEMGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSIIAEQSPVPTETDELIIDNTSDEDGNLVIRASLCCEDRTDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFITGDQDANSNNDTTNYSISMIQEAFKAVMEKTNGEHESSSGSVKRQRTNNINVLDHRRT >KVH96302 pep supercontig:CcrdV1:scaffold_185:216612:218150:1 gene:Ccrd_001611 transcript:KVH96302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MEESWVILCFFLAFSLISAVQSRSFSLPTTVQSRSFSLPTTVQSRSFSLPTTVLTTADLDVSDSIQKTLNVLSLTPNALQSLTQSESSLYISSSSTLSLQLHTRSSVHKSSHKDYNSLVKARLARDSSRVKSLQTRVHLAVNGIKTSDLKPLDGEFAGEELEVPVISGTSHGSGEYFSRVGIGHPPSQVYMVLDTGSDVNWVQCAPCADCYQQADPIFEPASSSSYSPLTCDAHQCKSLDVSECRNGTCLYEVSYGDGSYTVGDFATETVTLEGSTAVKDIAIGCGHNNEGLFVGAAGLIGLGGGRLSFPSQINATSYSYCLVDRDSDTTSTLEFNSPMPPDAITAPLLRNDKVDTFYYVELTGLSVAGNLLHIPETAFKLTSDGGGGVIVDSGTAVTRLGRAAYNALRDSFVNETKGLPAATGVALFDTCYDFSKKKSVQVPTVSFHFSNGQKLALPAKNYLIPVDSSGTFCFAFAPTSSSLSIIGNVQQQGTRVSYDLERSVIGFSPNKC >KVH89776 pep supercontig:CcrdV1:scaffold_1850:44190:51327:-1 gene:Ccrd_008230 transcript:KVH89776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MEDDKAVALEAINKEAVDLENIPVEEVFEKLKCTKEGLSSDEVQKRLNMFGYNKLEEKKENKILKFLGFMWNPLSWVMEAAALMAIAMARGGDQPPDYHDFGGILVLLLINSTISFVEENNAGNAASALMARLAPKAKSALTGESLPVSKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDNTTHIGHFQQARYGITEVHFLPFNPTDKRTALTYIDGAGKMHRVSKGAPEQILNLAKNKSEIENRVHTVIDKFAERGLRSLGVARQEVPANSKDSPGGPWEFVGLLPLFDPPRHDSDQLAIAKETGRRLGMGVNMYPSSSLLGGHKDQLVAALPVDELIEKADGFAGVFPEHKYEIVKILQSKKHICGMTGDGVNDAPALKIADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTLGFMLLTAFYEFNFPPLLVLVIAILNDGTIMTISKDRVKPSPSPDSWKLSEIFATGVVIGTYLALMTNTFHVDDFNKHEGLFTEDVLNAKLASAVYLQVSTISQALIFVTRSRGWSFMERPGLLLVAAFILAQLFATIMSAKLTWDFAKIGEIGWGWTGIVWLYNILSYMLLDPIKFAVRYALSGRAWGHVINRKTAFTTQKDFGREAREAAWATEQRTLHGLTSEAKIFAENYTFRDINMMAEEAKRRAEIARLREIHTLKGKVESFAKLRGLDIDVNPHYTV >KVH89774 pep supercontig:CcrdV1:scaffold_1850:87374:88030:-1 gene:Ccrd_008232 transcript:KVH89774 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAALDKSEIPPPPPLDAFSNIELHDSLMSDFDFLNWSTQHVSDSSSSWSSSSSASPDYLHSNQLNNTCHLRAFDDSINFSEFEFKPAEAIDLTVLVESNTPKRSRKMNDDQGRYRGVRRRPWGKYSAEIRDPKRRGYRLWLGTFDSAIEAAKAYDRAAFNIRGRKAILNFPLEIEKNLTENAGVVKGRRKRSRETVEVERLENLVVKRERTVSVSPSP >KVH89775 pep supercontig:CcrdV1:scaffold_1850:57046:67586:-1 gene:Ccrd_008231 transcript:KVH89775 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MATLDEVSALQFIRHHLLDEYDNDTPDCPVFTSQTSISHHFESDFVIQFTVPESVDSRSGKPHLEVDLKETECVEEVEVHYRGVRRRPWGKYAAEIRDPKRNGARVWLGTFDTAIGAAKAYDRAAFEMRGRKAILNFPLEIGSETVSCRKRSRDVEETVVVKKERITETGDEPSSWTAVWDDDWCFSNIHPLSQLTVIRDQSRESGSILSTISALDFIRHHLLDDYEAPVLTSQTSVSGSDLSVSDYFVSEEECKTTSDFSIRFTVPELIDSRSGKPYLDVDSKETECVEEVNVHYRGVRRRPWGKYAAEIRDPKRNGARVWLGTFDTAIGAAKAYDKAAFEMRGSKAILNFPQEIGNSSTITRSEVGRKRRRDVEEMVVMVKKEWIMEKVDLPLTPSSWMAIWGEDWCGTHPLSPMSQLMNLTRNSAASPPHHLYIHLSLFLPLPSLSPFLFSFDFNLTMAAAFDEVSALYFIRRHLLDENETPNCVGLTSHTSISASDLSVSDYLHSEEETTSDFSIHFTVPESVDTISGKSYLEETYCVEEVKVHYRGVRRRPWGKYAAEIRDPKRNGARVWLGTFDVAIEAAKAYDRAAFEMRGSKAILNFPLEIGNSKAESSTTTTSEINGCLKRRRDVDEMVVMVKKERITENVDLPSTPSSWMAVWDEDWRGAHPLSPMSRLMVI >KVI11445 pep supercontig:CcrdV1:scaffold_1852:81863:83129:1 gene:Ccrd_010147 transcript:KVI11445 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MKLSRNFHLFLFLFLFGLLITVSVSQTNTTGATITNITKPGCERQCGNVTVPYPFGIGPGCFMSDWFEITCNTTFTPHKPFIGSLEILDISDSTFRIRNKVASKCYDQHGNITQDNPVFTSLAWTSPFTFSQRNQFTLIGCDDFALFVGPEQVNSTSGCIALCSRPEEVANGSCNGVGCCQTSIPKGMKYYYTAVGSMVANHTSIWSFDPCTYSFMGEKERFIFNGVSDFMDPNFKNRTRASVPILVDWVIGNLSCSEATSAGVLGCQANSRCIDSNTGVPGYRCSCNQGYQGQPYLDPGCQDINECEDPNGNPCEGICSNTPGGYKCSCPHGYVGDGLKNGRACVAENSHFPVINFSLGNHVIVSFYLFLLVSFTGKDGQRWRRWPEMEERERR >KVI11440 pep supercontig:CcrdV1:scaffold_1852:102007:103212:1 gene:Ccrd_010148 transcript:KVI11440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTRNFHLFFFLFGLAITVSVSQTNTTVGDAASITKVTNVSKPGCQRQCGNLTIPYPFGIGPGCFMSDWFEITCNTTFNPHKPFIGGLEILDISDSTFRAANKVASKCYDQHGNITEDHPVYTSLGWTSPFTFSQQNQFTLIGCDDFALFMGPLDANFTCGCIALCSRPEEVVNGSCSGVGCCQTSIPKGTKYYYTSVNSMVSNHTSIWSFDPCTYSFMGEKERFTFNGVSDFMDPNFKNRTRASVPLLVDWVIGNLSCREATSAGVLGCQANSECIDSDTGIPGYRCSCNQGYQGQPYLDPGCQGYIDFSNQILSLSLLLNNHKLLNLCLILLQMVEQPKDINECEDPNSNPCEGICTNTPGGYTCSCPHGYVGDGLKNGRACVVENSQFPVIKFSLGT >KVI11442 pep supercontig:CcrdV1:scaffold_1852:62108:62499:1 gene:Ccrd_010144 transcript:KVI11442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSKDPLLQVETTCGSLLYELQIIWDEVGESDVERDKMLLDLQRESLEVYRRKKLAAICSAMGERPVHIRQ >KVI11444 pep supercontig:CcrdV1:scaffold_1852:74568:77687:1 gene:Ccrd_010146 transcript:KVI11444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTDDQIEAHTLFEIEAIMLKMGKSLKDIDGMPLPNTELLREFRNRLVNEELDYYTQDLKVIMPLLVAED >KVI11443 pep supercontig:CcrdV1:scaffold_1852:66646:67087:1 gene:Ccrd_010145 transcript:KVI11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF223 MIVIDENGSLMTAMVRNNLVNKFNHLLKEENVYVLKNFKVVENSDAFKVIDSKLKIIFTPLTKVEKVDTHVPSIPMHGFHLACEKTFNDRLNDDNIF >KVI11441 pep supercontig:CcrdV1:scaffold_1852:12840:66260:-1 gene:Ccrd_010143 transcript:KVI11441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSTNYNKDDSLERGAMEYTIVVAETADSPATLQYLTPYTGAVNRESWCQEKSLGEILGLSETDSDEEEDSEEIETPQESAAFEILETEDRKILLSEIEVLRCTFSKSAHGSSTGISSIHHRTLHHR >KVI03212 pep supercontig:CcrdV1:scaffold_1853:10543:34880:-1 gene:Ccrd_018491 transcript:KVI03212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQDWMSGSVGITNFKMENGVGFDGGGNLSQQLSALTIDDSVNGYSNNTNNINGMNKSDGLFQVMKAVEAAEATIKQQVEENHRLRSELQKRIQELENYKSSHTKAENCHPTDHWSDHGYLPQTDNRAVSPSMSPTEGIKTGNESAFYDSSRSVLRKGFTGNVVDPVQVSRGSHLETQNINGAINDGSGVRCISHLETQAATASCSPLRYRSEGEYDPQFNVSGHGQGMVAISELKNAGSPLKQVVVDTRDQEQEILLLRRYLVDYSIKEAQIHNEKFALEKRIAYMRLVGRIFIYTYLTSGVLFPHHILKVMMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQEERSTFVSSLMPLLAEYSLQPPVADAQSIVSNVKVLFRHLQEKLIGIETKLKESQYQLAAWRSDVNPSSFAQSPLYSFGNKNGLELVPQQAYSDGQGPIPSDHLTARGWDVPGHSDGVAENSEPDSVRYSPLINRKNHSLATNSQIAGDQPNLNPTSKNEETTNKQVTFSDPVSSNDVEDFDVEGHREENEGEPFVNWNPKITAYSNTLEDPNSYSPYLTPVLEEPSSSFSEAADDDPLPVVEALQISGEAFPGRELQASGYSLNGTTSCNFEWVRHMEDGSVSYIEGIPPYALMSFFLSFFIHFGERNCQAGAKQPNYLVTADDVDTCLAIEVQPMDNRKRKGELVTVFANEHRKIVCDPDMQDHIRRALQAGHAEHRAGYLDIWEPVTLVIKKDAINTKGSGPSVTDKFDPTTRVFVPCGNPIEFSFVSSSGSVERRFRVEHESGGGGSGSSRDVIVLTMRFFIKRVAEKKKMKKKGLFF >KVI03213 pep supercontig:CcrdV1:scaffold_1853:40623:46508:-1 gene:Ccrd_018492 transcript:KVI03213 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase MKYVLVTGGVVSGLGKGVTASSIGLLLQACGLRVTSIKIDPYLNKDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVKLTRDNNITTGKIYQSVIDKERKGDYLGKTVQVVPHITDAIQDWIERVAAIPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYRFGAGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRSLGLTPNILACRSTTELDVNVKEKLSRFCHVPEENIVTLYDVSNIWHIPLLLRDQKAHEAILKVLDLPCIPSKPVLGEWTARAQLCDMLNEPVRVAMVGKYTGLSDSYLSVLKALLHASVACRRKLVINWVSASDLEDATAIKSPDVNQAAWDSLKSADAVLVPGGFGDRGVEGKIIAAKYARENNIPYLGICLGMQTAVIEYARSVLGLQNANSTEFDPNTKNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCKAAQLYGNQRFIDERHRHRYEVNPEMVLQLEKAGLSFTGKDESGRRMQIIELGNHPYFVGVQFHPEFKSRPGKPSVLFLGLIAAASGQLDALLKKSVGTKTKGFVNGISTAAAAAAASPHRYGNGNLNGIHSNGNGNGLHV >KVH89208 pep supercontig:CcrdV1:scaffold_1855:22972:28705:-1 gene:Ccrd_008804 transcript:KVH89208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDETKCCILEWPQHFYIIDGIAIDVGGNVDNEVEDDQKFENHMDEDVVFESVETEKLISNEVMADNIVYEEEEMEDTGELVDDET >KVH89210 pep supercontig:CcrdV1:scaffold_1855:61044:61725:1 gene:Ccrd_008806 transcript:KVH89210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVATFMLSLVARAVVPFDYGPLYSFNISQLVSRPLANSITFGEKRQVPLDKQITFGITFKDPLTVTKRHKVRLTVVLNSDGYSFKSSGSTTRPSTRWKVVCISLIVESYFSPVRAKQSSSSNSNYINNLDC >KVH89211 pep supercontig:CcrdV1:scaffold_1855:72144:100483:1 gene:Ccrd_008807 transcript:KVH89211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MLNCLDVCTENSKSMLKVAVVGAGLSGLIVARELQRESHEVVVLEKSHRLGGVWVYDPRIESDLLGFDPDREIVHATIYKSLRTNSPRQIMGFSDYAFQGKEYGDPRMFPGHQELLRYLEDFAETFGVTKLIRFNSEVIRVESLSPGNEFVVESKKSGLSLGSSSTLTEIFDAVVICNGHNTQPRVAVDIPGILEWSRKQLHAHNYRVPEPYRDQVVVIIGNGSSAYDISREIAVVAKEVHLSYEFHIPFLKTNGIVSINDSRVRPIYGHVFPPQLAPRLSFVGLFNMSKWIARALSGTISLPSEKEMLHNIEHFYRQMEERGIPKRNTHSLNHNVAVIGAGVGGLITARELKRESHQVVVFEKSHRLGGTWAYDPRVEDDLLGLDPDREIVHGSLYKSMRTNLPRQLMSFTDFKFGEKEYGDPRLFPGHNEVLMFLEDFASNFQITELIRFKTVVTRVEAIDSGITEFVVESMTNQVSSVEVFDAVVICSGIETWSKKQMHSHNYRVPEPFRNQIVVVIGSGPSGVDLSREISTVAKEVHMSSRSPNVKVSKLEKFNNLWQHSKIECINKNGTITFQDGPSVEADIIFHCTGVEDEGIGPLYKHIFPPQLAPRLSFVGLPKKGLTFPIIECQSRWIAQALSRKVSLPSKDEMLCDVKEYYRIIKGNVLLEHDIHSVEFKGDYMDWISAQTGMVVEKRLQEKFTYLIQCFISYSDEYKDLYSLKYGK >KVH89209 pep supercontig:CcrdV1:scaffold_1855:45803:49586:1 gene:Ccrd_008805 transcript:KVH89209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MELQKLVFVAFLLSTLITTQVLADCTCEQEEDEGKKSEAQRYKLIALASILIFGAIGVCIPFIGKIFPALSPERDGFFVIKAFAAGVILATGFIHVLPDAFESLTSPCLKEHPWGDFPFTGFVAMVATILTLLFETSSAAYQQRSQYKPPPKVAGSNGDEENNRQHVGQVAAHTHASHGHAHGSMTQVDDGSSGLSQVDRYRIVSKVLELGIIVHSVIIGLSVGASESPKTIKPLVIALTFHQFFEGIGLGGCIFQAEFKSFAAILMGTMFSLTTPIGIVIGIIVTNSYKENSPTALIVEGVLNSASAGILIYMALVDLLSPDFMNPRMQKNRMLQFCSNVSLLLGAGCMSLLAKWA >KVI01724 pep supercontig:CcrdV1:scaffold_1856:73636:77831:1 gene:Ccrd_019996 transcript:KVI01724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADFLSEPISKLSDAVIFVATTTADFKPQLEQLSITLQRITSKVDEITKLNRELDRPEQDSTMFTSIIKKAEDLVNKCLKIKWNYFKKFTHSLKLKDVNNKLLRVFQLEAQADQCRDGKETLLEVKAVKLRMDSLVSNLEDLRQKCSLSRREQVIERKKLGWRVPKLPKDIVGFDEPLARLKAKVIADNDDDSVVVVSAAGGCGKTTLAKMLCNDPQIQEKFGENLFFVTVSATPNFMVIVNDLLNPNSLGQQVGFQSSEDAKNKLENFLGERVPSPILVVLDDVWSESFINNFVFDIGRYKIVVTSRMVITKYHVFKFDPLSDEDAKTLFCHSAFTEYKRKPTPSIDEHLVDQMVTCCKKHPLTLFVVGGSLNGKDESIWRQMLKELSRGHSVLDLNEEILKRLETSFDALDNKFKECFLDFGLFVEDQRIPASVLLNIWVHFLRKDPGSIVNYCEQQYVTQHDLLRQLAINLSGKLPIAHRIRLIINAQGEDLPDSISQMQEPMEARILSISTGESFASRWCNIKVPEVEVLVLNLMSKMYALPHFLKEMQKLKILNVTNYGLYPTEFKNFHLLGCLSNLMRIRLERVAISSLSGSTLGLINLQKVSFIMCKIGNAFEELSSNTWPGLVEIEMDYCQDLVGFPSILCSSVHLKRMSITNCNEMCELPEEIGNLTSLETLSLCSCTKLEKLPESVTRLEKLSIVDISDCLSLTELPEEIGKLGGLRKINMKGCTGVHEIPTSAKELSNTQVICNEEISYQWQDFCNVEVIVVEEDRLESLMRII >KVI01725 pep supercontig:CcrdV1:scaffold_1856:78906:84594:-1 gene:Ccrd_019997 transcript:KVI01725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAMLVDPLLSESISKLLEIVISVAKTTANFKPQLEQLKITLERIGPIIYEIRELDRKLDRSKEESEMFVYEIQDARKLVRKCLKIKRNLIKRFTHSLKLKDLDQKLLRFFQIEVQASQSRDIKQTLVEVNRVSDRMETLATDVRNLGQTLSKNSSMSMSFSREHETTLEREKLGWRVPTLPRGIVAFEKPLENLKAMVLADKMDCDDGSVMVVSAPGGCGKTTLVTMLCYDAEIQAKFGERIFFVTVSETPNFLVFVNDLLNPNSFAQQAQSQIGTANAKQNSIEDTKNKLENFLREKVSGPLLLVLDDVWSDSFIENFAFNITGYTILVTSRMVFPKHDMFQFDPLSDEDAKILFHRSAFPEGRRPRPTIHKDLVNQMVKCCKKHPLTLSVVGSSLDGKDETAWRYMLKLLSQGQSVLDLNVDILNRLERSFQALDDRFKECFLDFGLFPEDQRIPATALLNILRKDPGTVNFCEQQFVTQHDLLRQLAINLSSKVALAQRTRLIISAHGEDLPTSISKVKEPMQARILSISTGESFASRWCNMEVPEVEVLVLNLMSKTYTLPYFFEKMQKLKVLNVTNYGLYPTEFENFHLLGCLSNLTRIRLERVTISSLSGPTLALVNLQKISFIMCKIGNAFEKLSSEHPNIWPRLVEIEMDYCQDLLEFPAILCSSVHLKNVSITNCNEMCGISEEFGKLTSLETLSLCSCTKLEKLPESVTRLEKLSIVDISDCLSLSELPKEIGKLSGLRTINMKGCTGVHEIPTSVKELSNTQVICNEEISYQWQDFSNVEVIVVEEDRLESLMRII >KVH93980 pep supercontig:CcrdV1:scaffold_1857:45340:56213:1 gene:Ccrd_003962 transcript:KVH93980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase, MBOAT MALLDTPEIGETTTTTAATMRGEIRRRTSVKADAGIGDGLYDSSSSSKTNSSDDGENLNNDFNENGKEQIQAGEGTMEESKGNGEKIDQGGVKKGGETSVVHYAYRPSSPAHRRIKESPLSSDAIFKQSHAGLFNLCIVVLVAVNGRLIIENLMKYGLLINSNFWFSSRSLRDWPLLILSLLIFPLAAYIVEKLAWQKRISDPVVITLHFTITTTAILYPVFMILRFDSVVLSGVSLMLCACVNWLKLVSFVHTNYDMRSLLNSTDKGEAESRSSNMECFYDVNFNSLAYFMVAPTLCYQISYPRTAFIRKGWVLRQLIKLVIFTGFMGFIIEQYINPIVKNSRHPLKGDILYAIERVLKLSVPNLLNILAELLRFGDREFYKDWWNAQTVEEPVHKWIVRHLYFPCLRNGIPKGAAILVAFFMSAVFHEVPLVILTNYLQRKFQNSMNTFRTVIARGSSAKFHIDALLQVTS >KVH93982 pep supercontig:CcrdV1:scaffold_1857:15366:37114:1 gene:Ccrd_003960 transcript:KVH93982 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MKMESVDGDCRQWDEDSYRDSILEERESHSLTIFRTVFSPSPINQNPKFIVAASSDGSIASYSLSSLISSLPLGFGNYRAQNLFAAEPICLLHGHDGPAYDVKFYGEGEDSLLLSCGDDGRIRGWKWMDVLEAEQDSLSQGGLSKPQLDLANPQHKGPWGALSPIPETNAIAVDTQGGSIYAAAGDSCAYCWDVEKSQIKLTFKGHAGYLHSVVARNSCNQIITGSEDGTARIWGKCNASILAVGAESVLSRYDINGAMLSQIQCVPRSAFSVSLHPSGLGVFSKTVQDTKVRKESEDIEKVKEDRAPPIKPSDGQRGMHCWDQFVMAGVTPEGSQFDTRQFDTKMNELLSTDGQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYNVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRGFKDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTLDDERMLADIQKFYNVMVEELPSNNFAYSFFFVAVMAGLLPEGSQFDARQYDSKMNDLLSSDGQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFTKGLDVIQQAQSGTGKTATFCSGILQQLDYNIIECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRPDYINMFVLDEADEMLSRGFKDQILWLNWCSFELIQLKVR >KVH93981 pep supercontig:CcrdV1:scaffold_1857:62584:66467:-1 gene:Ccrd_003963 transcript:KVH93981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S16 MVVRLRLARLGCRNRPFYRVMAADSRSPRDGKHIEVLGYYNPLPGQDGGKRMGLNFERVKAGLLPPPPMVAMGRKGGPRDTRPVDPLSGRVMMPEQSTKAGNDSVDQENKP >KVH93978 pep supercontig:CcrdV1:scaffold_1857:78160:80361:1 gene:Ccrd_003964 transcript:KVH93978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MQGGTETTIRVELDATAGGGGGGEDFLKIKEEPMLVLDSDDFVNTGGGGLTEDQVVLKIKEEPMLVYDQDDFISGGGGGCLSGSSPVWVPKPIEGLRDGGPPPFLKKTFEMVDDPRTDSIISWSDSKKSFILWDPHKFSTDLLPQRFKHNNFSSFVRQLNTYRFKKIDPDRWEFANELFQKGKKHLLRDIKRRTNQTQITQKQLELEPPPPSVHQTNSSIESELKSLRKDRAALRQEILKMKQQQENTEKHLEIVQERMRRTEFKQQRLLVFMSKAFRNPVFVQLLQHLMQKQEVGSVETCRKRRKLEQMINTADGYELNQVQEVWDMIESDGYTVFSSDESVSPLQDQKKGEKSGLNNQDYSSENFILWEKLMEDELIFGDESGKINQSETYLQEWEELIPKV >KVH93979 pep supercontig:CcrdV1:scaffold_1857:84997:90480:-1 gene:Ccrd_003965 transcript:KVH93979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MISGERNQMMDTRTGFSDDIISGSSGVDSPLFPSDISSLNRLSETLESILLEPSNSFADAKIILSSGREVAVHRCILSGRSPFFKNKFSGEITGKGKNHNVKLSMKELGGDYEIGFESLVSVLKYLYSGKIKGLPTDVCVCVDEECSHEACRPAVDFMVEVMYAYHTFQIPDMAALWQSRLVYVLDKTSVDDILVILSVANTCGKSCKDLFTKCVDILVKSNVDFVTLERALPEQIVKQIIDLRFELGLDKPGSSSFPDKHIKRVHRALDSDDVELVTMLLREGHTSLDGSCALHYAVAYADAKTTTELLDLALADVNHRNSRGFTVLHIAAMRKEPSIIVSLLTKGARPVDRTPDGRKALQISKRLTRAVDYYKSTEEGKDCDKGRLCIEILEQAERRNPLLGEASASLAMAGDDLRVAMEIAQVDGTSEFTLESVYSQNLANAQRKVDLNDAPFLIKEEHLIVDAEAHQWIDTPEERELKKQKYLQVQETLNKAFNEDKEDLDKSYAISSSSSSTSGVVNPHTAPFTFQK >KVH93983 pep supercontig:CcrdV1:scaffold_1857:14118:14715:-1 gene:Ccrd_003959 transcript:KVH93983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFQKQRTTGTTPCSTSPPSSSLLDFFASNPIFSGFLSSDIDSTPFSSEALSSGTVTARAENIQDDIRKQLHSEVLSRHNDLLSQLSSISWFDFTGSISQVRFHRFHKFDFSGSISQIS >KVH93984 pep supercontig:CcrdV1:scaffold_1857:4627:10552:1 gene:Ccrd_003958 transcript:KVH93984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MGNPKQKWTSEEEEALRAGVAKHGTGKWKNIQKDPEFNHFLYSRSNIDLKDKWRNMSVSANGQGPREKSRTPKPKVNTDSPATPLPIAQAPGSSALVVVDPASTDVLMDDSSQCVLDGKTTSKYNAMIYEALSTLKDPNGSDISAIVSFIEKRHEVPQNFRRLLGSRLRRLVAQEKLEKGPIPKPKEIRPRLSQVNSYLGGTLEEAAVAAAYKVAEAENKSFVAAEAVKEAERVAKMAEEADAFLQLAKEIYDKFGGFI >KVI11183 pep supercontig:CcrdV1:scaffold_1859:2299:2957:-1 gene:Ccrd_010408 transcript:KVI11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenase family MAASMHHLHNLRLVSFTKTITSSLPQRSQMRVVISCSAKTYNITLLPGDGIGPEVIFVAKNVLNLAGSIEGVCEMPIGGAALDLTRVSLPEETLTAAKQSDAVLLGAIGGYKWDKIERHDLKAETALLHLRGDLEVFANLRPVIVLPQ >KVI11182 pep supercontig:CcrdV1:scaffold_1859:30167:31387:1 gene:Ccrd_010409 transcript:KVI11182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKKYMTFMKDFNAIVMHLLNQLVMVALHFKEIVYGSNKVVTHIDVKVEELQSKVLDYGIMDLKAVEFGRGNFELDEERSVIRHHLVRFCYAKHRFNANNIDGELFLLSFSIASPQPLVCVSVPFESFNQRLCCLSIRGSG >KVI11184 pep supercontig:CcrdV1:scaffold_1859:41846:49041:-1 gene:Ccrd_010410 transcript:KVI11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenase family MAASMQLLNVTPFRFHSTSIPQHHSRPVIARCSATSSTAKSYNITLLPGDGIGPEVISVAKNVLNLAGSIEGIEFKFNEMPMGGAALDLTGVPLPEETLTAAKQSDAVLLGAIGGYKWDKNEKHLKPETGLLHLRQGLKVFANLRPASVLPQLVDASTLKKEVAEGVDLMVVRELTGGIYFGKPRGFGTDENGQKIGFNTEVYAEYEIDRIARIAFETARKRSGKLCSVDKANVLEASMLWRERVTAISAEYPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGASGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEVKAAERIELAVLDTLNEGFRTGDIHSAGNKLVGCEEMGEQVLKSVDTKTPAASLL >KVI08959 pep supercontig:CcrdV1:scaffold_186:388032:390883:1 gene:Ccrd_012681 transcript:KVI08959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetamidase/Formamidase MAHQSPRLLVPIDVTKKPTQQKLPLHNRWHPDIPPVAEVSVGELFRIEMVDFSGGGITREYTAHDIKYADHHRHSNNSSSMAPSTPRLVVPVDLKKKPWEQKLPLHNRWHPEIPSVAEVKTGEFFRIDMVDWTGGAIKDDDSAIDVKHVDLSTVHYLSGPIRVVDEEGIPANPGDLLSVEICNLGPLPGEEWGFTAIFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSMELLNIWNERERELEENGLKSFKLCEVLHSRPLANLPSTKGCLLGKIPERSREWEKIANEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANFSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRRISGIVDAPNAVATLAIPTAIFDQDIRPKRNKLPVGPRVVRNPNIPRCSYDGNLPITKNPGATGS >KVI08965 pep supercontig:CcrdV1:scaffold_186:52976:53575:-1 gene:Ccrd_012657 transcript:KVI08965 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MDESRRQPPVPKTVVVCGGGIIGVCTAYFLAKKGIAVTLIERSSIACAASGKAGGFLALHMWDDGPLSSLACVSFNLHRSLAEELDGSRSYDYRPLTALTVSVDESEPPLRCPILPPWVNGRVKTEEIIGTTETKAQIQPQLFTRGLLAKAVSEYVVEVVTEIMESMAAEEEGMVVKVDGGGVIGGDWGCSGFGSWAVD >KVI08964 pep supercontig:CcrdV1:scaffold_186:43780:49115:1 gene:Ccrd_012656 transcript:KVI08964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MADDGVLPVDKLTISDTVEEAEFSQRVLIRSILGRPDGGAGLAGKTLKVGGWVKKGREQGKGSFAFLELNDGSCPANLQLIIYSDVAPLGQFTPTGTCLHVEGVLQMPPEDKQGKQSIELRVSKVLDVGTVDPANCFPHSKCFKCRICLVSFNEGEGLSRNRKKKSYNELSKLAEKTNSEIHEATNQMKKLISAIARIRNALAFATHTFFQKHGFLYVHTPIITTSDCEGAGEMFQVTTLINEAEKLEKELIKNPPPSQEEVDMAKGVVKEKGEIVAKLKSDKAGKSVITAAVAELTKAKENLSKLEERFNQKPGIPKKDGKVDYGQDFFARQAFLTVSGQLQVETFACALSSVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADIEDDMKCAEAYVRFLCQWLLDNCYDDMEFMAEKFDKKAIQRLQMVASTNFIRLSYTEAVKILEEAVSKGHKFENKVEWGIDLASEHEKYLTETKFESPVIVYNYPKGIKAFYMKVNPDNKTVAAMDVLVPKVGFFLMDSWICFLEQNLFMSNRIAITLEHANIKILEMGLPLEPYEWYLDLRRYGTVKHSGFGLGFERMILFATGIDNIRDVIPFPRYPGRADL >KVI08966 pep supercontig:CcrdV1:scaffold_186:14532:15843:-1 gene:Ccrd_012654 transcript:KVI08966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVSDNYLVEVHLERLHVSDIGLSALSNCFNLEIFLTWKFYTYLRLQHAQNAGLIAVAEHCKYLRKLHINGWRINRIGNEVLIAIAKQRMNLQELVLIGVNPSSVSLEAIATNCQKLERLGLCGSETIADMEILCIASKCVTYDGLKRARLKSSLHPSLLTN >KVI08940 pep supercontig:CcrdV1:scaffold_186:180677:186299:1 gene:Ccrd_012666 transcript:KVI08940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MARFTWVPGSFTTITVIMSIFVLFNNLWSSLFVYAIVDDSSTIILRPPHAGSNRHTMFLPLFPSPPNSSRVSSFFGDGRSRRHLQKSDTRRPNARMALHDDLLVNGYYTTRLWIGSPPQKFALIVDTGSTVTYVPCSNCEKCGDHQDPKFDPKKSSTYKALKCNSDCMCDEHKKHCVYERQYAEMSSSSGVVGEDIISFGNQSELSPQRATFGCENIETGDLYSQHADGIMGLGGGDLSLVDQLVDKGVISNSFSLCYGGMDVGGGAMVLGGVSPPSGTIYAYSDPSRGGNSPYYNVELKELHVAGKKLPISPSVFDGKHGTVLDSGTTYAYLPEEAYLAFKHALMKELKSLKRIDGPDTNYLDICFSGASNDVSELWKSFPSVEMVFGKGQKLSLSPENYLFRFVFKTSYGIYLELNSSLFSLLICFLFVKHSKVRGAYCLGIFENMKDPTTLLGGIIARNIFVMYDREHDKIGFWKTNCSDLWARLHASGEDTSPSSSPSKSPFPSPSSSSPSSSPSSSPSPSEGSHSNEDTSPPSSPMGTPDNITPEEESKVGSIIFYMSLNVNHSTLEPRIVELVRLIAKGLQVNTSQVELLDFTSKGNISLTIWSIVPPKPDEYITWWEKYSVVVIVLMVASFLGLGAVVAWWRWRQLGNIPYRPVDSGLAEAELEPL >KVI08949 pep supercontig:CcrdV1:scaffold_186:266961:276462:-1 gene:Ccrd_012674 transcript:KVI08949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MYNDSPRLLFFLTHSFLFGFSIPFLLFSSPVVAAAAAARFHQPCPSFFPNPLHDFSVQQIVDHGLINGSRPISNMSKSRVYADVNVVRPREYWDYEALTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNEKCVIKILKPVKKKKIKREIKILQNLCGGPNVIKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIARVLGTDELNAYLNKYQLELEPQLEALVGRHSRKPWSKFMNADNQHLVSPEGHPYFMQVRAAENSRMRTQ >KVI08960 pep supercontig:CcrdV1:scaffold_186:365248:366905:-1 gene:Ccrd_012680 transcript:KVI08960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSDNCILRPCLQWIDTPEAQGHATVFVAKFFGRAGLMSFISAVPENQRPSLFQSLLFEAAGRTVNPVNGAVGLLWTGNWHVCQASVDTVLRGGTLRPIPELNDGFPTMPKLGDSFQTDATFPDILKLQDPVTHPRSKVQRRRRACEPPSNMTQSQDLDLNLNQSFPAVKGSPEKRRQGSPSMNSEDSVTTTCLDGGFGEYSYIQGGGEVHLLNLFK >KVI08953 pep supercontig:CcrdV1:scaffold_186:204344:211148:-1 gene:Ccrd_012670 transcript:KVI08953 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2A'/phosphoprotein 32 family A, C-terminal MSSTPHISVLHTRYLEICQVHEVQPSSAVLSWLKKAMVQKVTCQKCTIVIVLDQLKTADLFPLIDLFRSNESDALDTVDLLHESSGGLNEYPVLSLMHAISTRLHVVDIRDMPLKEDVLRDLFETGLDCRILNIRSTDIQKLNMAGRFMHMHTLNLDFCTSLSSMEKDCFTYMPNLVRLSMCATRVANLWTTAAALSKLPSLLELRFQNCLCCKDTAPCHLKDFYDNNTTKASSNMLNEVSFGKLHVHEQDTSPEGVVNIGLAHASTVSKKYNSHHPSPICFQKHYREYMIASLPRLGVLDNCRIGKFDRGRAKTVFSRYYELLPNKRQHKESILSVLHMRETGTSSVRSQKSLRSKGPSLHKKSQSFYSRSLCAAKLGSSAWPVLHPISNISQLPKEEGKILRPRQFEYHQTDPSLMAFGTLEGEVVVINHETGNLVSYVPSFDTHKSVLGLCWLKRYPSKLVVGYDNGSLRLYDINDTLPGVADSYCSSTSVGFDDFQHLTSVHVNATDDQILTSGYSKKVAVYDISTGKRLYLFSDMHREPINVAKFAHHSPSLFVTSSFDHDVKMWDLRRKPVNPCYTASSSSGNVMVCFSPDDLYLLASAVDNEVKQLLAVDGRLHTNFDIAPTGNAQNYTRSYYMNGRDYIISGSCDEPVVRVCCAQSGRRLRDIYLEGQNARSLMFVQSLRGDPFRHFHMAILAAYVRPSSKWEIIKVNLLSSGPYSSKYHKGQHLCPSYRLGTQM >KVI08954 pep supercontig:CcrdV1:scaffold_186:216578:218041:-1 gene:Ccrd_012671 transcript:KVI08954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRNALVLALMCVIVAAVGGQAPAASPKQAPPSTVTTPAASPKQSIVTTPTASPTKAIAPPTKAPVSTPVLTPPEMAPLAAPPTISVPPPAKTPVSSPPAPVPVNSPSPVVESPPVPEPVAAPPADSAPTAAEVPAPAPSKKKTKGKKHSASSPAPTPEMMGPPAPPSEAPGPSDSLSPGPSAAIADENGAERLKGVQMVVGSLVLGWAVFSTL >KVI08955 pep supercontig:CcrdV1:scaffold_186:221707:241894:-1 gene:Ccrd_012672 transcript:KVI08955 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MASTVTQRAELAKFCSSRDWSKAIRVLDSLLSQSCVIQDICNRAYCYSQLELHKHVIKDCDRALQLDRTLLQAYILKGRAYSALGRKDDAVMVWEQGYEYAVCQSADLKQLLELEELLTAGKQSMAAFLDKDAKQLSEWSVSKPEPANSGKSSETFSNHTMSSLGYEPCGDSREVMQIESKPNEISYVPNGSSKKVEGSKSFHVGPNGNCDKQQNGTYNLSVEFGARSEARSSTSDSRNKSDLSTTISSTPGKSSDIPEIRGNWSDKSDMRSEPSNDAKGNKKFSVTRISKAKSINVDFRLSRGIAQVNEGQYARAISIFDQILREDPTYPEALIGRGTAYAFKRELDSAIADFTKAIQSNPSAGEAWKRRGQARAALGESVEAIADLTKSLEFEPDSADILHERGIVNFKLKDFYAATEDLSACVKLDKDNKSAYTYLGLALSSVGEYKKAEEAHMKAIQVDQKFLEAWGHLAQFYQDLANSEKALDCLQQVLQIDARFAKGYHLRGLLLHAMGDHRNAIKDLSIGLSIERSNIECLYLRASCHHAVGEYKEAVKDYDAALDLELDSMDKFVLQCLAFYQKEIALYTSAKINSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRKAKLRKQDFTLTKPKIALLHAADRIGKTIQYHCSGFLANRRQHRMAGLAAIEIAQKVSKVWRSLQSEWRFSTKNTSKSGKRPRRKEKIIIPSHNRGGVGCSTSSYSEASTSSALEEKSSGRSVFSWLHLYSMAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLILGQTKVIRYYPHFQRTLDVAKAVMKENGFVCNAADAAIDLSKDGKLEEILKAESCSDLYKVVGEDFWLATWCKSTAFEGRRLEGTRITLVKTGERGFDFAIRTPCTPARWEDFDAEMASAWEVLCNSYCGETYGSNDFGTLENVREAILRMTYYWYNFMPLSRGSAAVGFTVMLGLLLAANMEFAGSIPEGRQVDWDAILSLEPKTFMESVKSWLYPSLKVSTTWKDIPDVTSTLETTGSDD >KVI08956 pep supercontig:CcrdV1:scaffold_186:247032:250999:-1 gene:Ccrd_012673 transcript:KVI08956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MLESCYKPLERCFGGGGDDGLLWHMDLKPHASGEYSIAVVQANSLLEDQGQVLTSPSATYIGVYDGHGGAEASRFINNHLFLYLQKFAAEHGGLSKDVIEKAFDATETDFLHLVKESWLDRPQIVSVGSCCLVGVITNGTLFVANLGDSRAVLGRRATDGRMVHSSTVVAERLSTDHNVGVEEVRKEVKALHPEDSHIVIHTHGVWRIKGIIQVSRSIGDVYLKKPEYNRNPLFHQYASPIPLKRAVMSAEPSILTRKLRPQDLFLVFASDGLWEQLSDDTVVDIVHKNPRNGIARRLIRAAIEVAARKREMRYDDIKRIEKGVRRHFHDDITVVVIYLDYHQGWPNGNGKFKDDITDNCTTAPLDIFTFNSDNGDLSP >KVI08950 pep supercontig:CcrdV1:scaffold_186:281125:292800:-1 gene:Ccrd_012675 transcript:KVI08950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III MELSSPLKPLNSSIFHRTHDLSFSSSFSPFPIKTHFEKLKIRKPISLNPTIIAAVDSQEKREEQLPRNSPQRLLKELSQRKKFVSPNKRIPPKRFILKPPLDDAKLAQRFLNSPQLSLKQFPLLSSCLPSMRLNNADKTWIDEYLLEAKQALGYPLEPSENYGDDNPAKQFDTLLYLAFGHPHCERTNARHVRSGHSRLGFLGQYVLELALAEFFLQRYPRESPGPMRERVYALIGKRYLPKWVKAASLHNLIFQYDDMDKLIRKEREPPVKSVVWALFGAIYLCFGMPEVYRVLFEVFGMDPEDEECQPKLRRQLEDVDYVSAELEGKKLSWQEPPEDALFAHPRLFRACVPPGMHRFRGNIWDYDSRPQVMNTLGYPLQVNDRIPEITNARNIELGLGLQLAFLHPSKHKFEHPRFCFERLEYVGQKIQDLVMAERLLIKHIDAPGRWLQEKHRRLLLNKFCGKYLREKHLHRFIIYSEEVQDSYEHNRRLRNPATTAVQQAIHGLSYTIYGKPDVRRLMFELFDFEQTQPKAI >KVI08944 pep supercontig:CcrdV1:scaffold_186:137345:143869:1 gene:Ccrd_012662 transcript:KVI08944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRCGSLPRSLISTARSSTIRRSTTTSLPRLRPSPPPFHGHRLRPDRTASIPNFGNIGGVIGCTQSLLPLHSVVAAARLTSHVDVESRTCCELSQGT >KVI08943 pep supercontig:CcrdV1:scaffold_186:150762:151004:-1 gene:Ccrd_012663 transcript:KVI08943 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase MPSIPTALPSMKAFMSAFSSIALNLTLEIVAILSMILNNLIAITQTSMKRMLAYSFMAQIGYVSCLIAVISNNLLFTLFS >KVI08942 pep supercontig:CcrdV1:scaffold_186:155253:157422:1 gene:Ccrd_012664 transcript:KVI08942 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MAVDSSTSSSLGPPATEKDAKALQFIEEMTKNCDSVQENVLSQILTQNADAEYLKKWNLGGGGAVNRKTFKSKVPVVSYEDLHPYIQRIVNGDRSPILSTRPISEFLTSSGTSAGERKLMPTIADEMDRRQKLYSLLMPVMNLYVPGLDKGKGLYFLFIKAETKTPSGLVARPVLTSYYKSQQFKTRPYDPYNVYTSPNETILCVDSFQSMYSQMLCGLIYHQQVLRCGAVFASGLVRAIKFLTLNWKQLALDIQTGCLNPKITDPDIRACMSNILKPDPDLARFITVQCCEGNWEGIITRVWPNTKYLDVIVTGAMAQYIPILDFYSGNLPQTCTMYASSECYFGLNLTPMVKPSEVSYTIMPNMGYFEFIPHNSVDSVSDSVDSVSHSVDSPPQLIELADVELGKEYELVISTYSGLCRYRVGDILRVTGFHNSAPQFKFIRRKNVLLSIESDKTDETELQNAIEKASELLKEFNTAVVEYTSYGDTKTIPGHYVIYWELLVKDPSHGPGSEVLDQCCLAMEESLNSVYRQSRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSTHFSPSAPHWVPDQRFKDINFVSNKSK >KVI08961 pep supercontig:CcrdV1:scaffold_186:393498:394405:1 gene:Ccrd_012682 transcript:KVI08961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPSLHFIASSINITKSSLSPSSTLLLRRIASATAPPQQHHHRKQKHKHIYPPQNPLPHPPTPSFNSLRPTLSLQETLAQKIGKAIRRPGAPSKARVYTDVNVIRPKEYWDYESLIYGEILLSVDGLLWFCFAHVSPECWFSSKVIHFVSFRPKKVISLSLAVHQFLRWKEVVEPVSVFLLA >KVI08939 pep supercontig:CcrdV1:scaffold_186:188086:194146:1 gene:Ccrd_012667 transcript:KVI08939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEISPLKVLLKNISSFLHMSCRDNECCEIVEKYYIKIEEVLKLIKPVLESIIDAAVASDESLQKKSAGISQSVDELRELFEDCHPLMSKLYFVLKVESLFAKVKTHGLELFELLQSCEGLPVEQSSSSVEHCIQKIKHMRFENSSAIVSEAIRYQVEGSEPSSDTRSKIADLLSLRSNQELLIEAVALENLKENAEQAEKIVDVEYIEEMIALVTHMHDCFVEMKQSQSCSPVPIPPDFCCPLSLELMTDPVIVASGQTYERAYIHNWIDLGLTVCPKTMQTLGHTNLIPNYTVKALIANWCESNNVKLPDLAKITSLNKPSSPRSTWTVGSPKNLIVSSATNQEEASMVHHVHSSSEDSITGNRHELNNKNDNLAESEERSLDSGGPSASGGVGEGSLEGHKRSTSTSGSPISRHFSQEITHDANEESSPDSAAANNGDASGEVAAEPQPAITTAIPPQREHEYSPRLGHRSRNQIWRRPSERFGPRVVSSSATETRPDLSGVETQVKKLVEDLSSTSLDAVKDATGELRLLARHNNDNRVVIANCGAITLLISLLRSADQKVQANAVTALLNLSINDTNKTAIANADAIEPLIHVLETGSSEAKENSAATLFSLSVIEDNKIRIGRSGAIGPLVDLLGNGAPRGKKDAATALFNLSIFHENKSRIVQAGAVKYLVELMDPAGGMVDKAVAVLSNLSTIPEGRAAIGQEGGIPMLVEVVELGSARGKENAAAALLQLCTNSSRFCNIVLQEGAAQSLISYFRNQRHGNGGRG >KVI08945 pep supercontig:CcrdV1:scaffold_186:133511:134932:1 gene:Ccrd_012661 transcript:KVI08945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MFVFKKSKTLQLIIHTFHSIHTQITSHPIISFSIFSLLLIQILIFCSRSPPPVSPPSPLHDDNCHHGKVYVYQLPTMFNFDLIGNCVDLDPWHWQCGVATNAGYGMTAIELAGIIPESLVQLWYRTNQFSSEIIFHNRILNHKCRTMDPGSATVFYIPFYAGLAVGKYLFSDSSNEERDFHAEKLIEWVQNQPYWRRSNGSDHVMVLGRITWDFRRLTDPEKRWGSKFLNMPEMQNVTRLTIERAPGDYHDIGIPYPTGFHPRSDTDIQTWQTFVRTYNRTSLFTFVGAARDDVGDDIRGLLLRTCRNESTCRVVDCAVTPCANGSSAIMESLLGSEFCLQPRGDSYTRRSVFDCMVAGAVPVLFWNRTMYDQYEWYLPDEPESYSVFIDHGDVSEGKKSIKGVLESYGREELREMRERVIETIPKIVYGDIGSSNNDMKDAFEIAVDGVLRRFKAETDQRRRQESAINVAGD >KVI08941 pep supercontig:CcrdV1:scaffold_186:173736:176919:1 gene:Ccrd_012665 transcript:KVI08941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein FFSKPSKRRKHRQSFPTYSSHQPPPPHSGHLSQTKRGSNHPLTDMPSDQHQPLLTSDDRETAYEPNEKVHVVGVDDDQHQFDEYGDKTPPFSWRKLWVFTGPGLLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAIGLLVQLLSARLGVVTGRHLAELCREEYPNWAGKLLWIMTELALIGADIQEVIGSAIALKILTIGFLPLWAGVLITAFDCFIFLFLENYGVRKLEALFGVLIAVMAVSFAWMFGETKPDGKELLVGIVVPKLNSKTIQQAVGVVGCIIMPHNVFLHSALVQSREIDPRKTGRVREALKYYSIESAIALAISFIINLFVTTVFAKAFFGTEIANTIGLGNAGQFLQEKFGGGFVPILYIWAVGLLAAGQSSTITGTYAGQFIMGGFLDLRLKKWARALITRSCAIVPTLMVALIFDSSEETLDSLNEWLNILQSVQIPFALIPLLCLVAKDDLMGVFKIGPVLKTISWFVAVLVMAINGYLLQQFFAEEVSGPVFTSIVIVFTAAYVAFVVYLIWRSVTVSTFGFLKPRSQVM >KVI08963 pep supercontig:CcrdV1:scaffold_186:88655:99059:-1 gene:Ccrd_012659 transcript:KVI08963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-like protein MGSSKEDYATDADNSAGDHRRLDSNCKVFSDGEKVLAYHGPRIYEAKACSKIRDAEERVEIFRSLPCKLETCLQLIVPLHEYWDEWVGVERLMKYTQENIQKQQDLGKKQGVDRNPKSGRSNQTKPKISTGAKGKKRKNDSSTEKEIASIEKLVNIEIPSTLKKQLVDDWEFVNEQDKLVKLPRSPNVDDILDSYLEYRSKKDGILYHLLLGLRKFHGCICHANTTDKSTSRGATLMPEYRMTDSIGEILKGLRCYFDRALPVILLYDKERQQYRESVADDASPSSIYGAEHLLRLFVKLPELLPYLNIEEDLVMRLQQKFLDFLRFLQKKQTSFFISSYDDDSKVSEASSVVRPKTSRD >KVI08947 pep supercontig:CcrdV1:scaffold_186:199824:200467:-1 gene:Ccrd_012669 transcript:KVI08947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MKLVPFAAALLIVTLLFITSFSATAVLSPAPAPSGFGFECDAKCAYRCSRSGWKDQCLKYCGICCGKCKGCVPSGPYADKAQCPSYRDLKNPKGRDKCP >KVI08967 pep supercontig:CcrdV1:scaffold_186:37681:40354:-1 gene:Ccrd_012655 transcript:KVI08967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MATNIGMMDGAYFVGRSEILAWINSTLQLSLSKVEEACSGAVHCQLMDAAHPGMVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVSGGGSHNYNPMERREACKGGKDVSKKSAPTQSSRGNTTAPKAHPSRRGDAPSAQPTGPPVKTAKPSSATNVSAYDQQITELKLTMDSLEKERDFYFAKLRDIEILCQSPIISNLPRILYAAEEDATIVEEAQAMLLNQEKQGVSLNPVSEDVEENKAKLETQKRKSIVNLEVDVAANTTLSPRQRISDASDVHCSGSPLMTY >KVI08951 pep supercontig:CcrdV1:scaffold_186:294095:298311:1 gene:Ccrd_012676 transcript:KVI08951 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MIKVKTIAMVDVHQEGPELPMTLNMSATKKELNSDAMKRISEWIFSQEIESDVTVSVRGVSFSIHKKLLSDPKHADLSVVEIPDIPGGPEGFEIAAKFCYETSIELSTENIALARCVAEFLEMTEDYAIGNLVSITEAYLDELGLKNLSGAVSILQSSGNLLPISEKVNLIDRCIDTIAFMVTEESQVSLFGGTDSTRDGLDSSTSLSHPKSVVDWWAEDLIVLRIDIFKRVLLVLVSRGFKQFALGPVLMLYAQKCLRGLEIYGKSKKKIEPQQEHEKRVVLETIVGLLPREKNAISISFLSMLLRAAKYLDTTVACKLDLEKRIGLQLSQAVLDDILIPSFCFDGDTMFDVDTVQQIMMNYAHPALSDMDRKKVCSLMDCQKLSREARAHAAQNERLPVQTVVQVLYYEQRRLREMDGSPINNDSSDQANSYGTPSPRTQNSISIEISSLKKENQDLKFELLKMKTRLKEIERSTANKPRANDPIGTTTTGTAAKPPLPKKSLISSVTKKLGKITPFLHADGFLPSTRGRNKAGKDRRHSVS >KVI08958 pep supercontig:CcrdV1:scaffold_186:342546:344340:-1 gene:Ccrd_012679 transcript:KVI08958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator Hfi1/Transcriptional adapter 1 MPAVRHCSRIDTFELKVQMERRLGAQKAEKYFNLLTRYLSLKLGKSEFDKLCIRLIGRENVRHHNELIRAIVKNATVSKTPPPKQVKRDTPVALKDPNVIDARNGLQSLCREVFPQSPRKGRTPNLRERKFKDRPSPLGLNEKTHRTEDLATTKVQEQQSATDLISLGSKPPIEVNSVEDGEEVEQDALSTTINRRISVTAPFGIRIHAKETRKVFSNVSSSAYHSETCHYSGQLPPTKSLENRLKKKLKMEGLDVSMDSVNLLNSGLDSYLKRVIKLSLELAGSRSTHKPSRRFTTSMLDFRVATETNPKILGEDWPKKLEKICLFAFEQSAGLDSNLNNVPE >KVI08968 pep supercontig:CcrdV1:scaffold_186:13476:14507:-1 gene:Ccrd_012653 transcript:KVI08968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERREACKGGKDVSKKSAPTQSASGNTTAPEAHLSRRGHAPSAQPTGPPVKTAKSSSATNVSAYDQQQITELKLTMDSLEKERDFYSNHRHAEEDASIVEEAQAMLLNQEKQGVSLNPVSEDVEENKAKSDTQTRKSIVNLEVDVAANTTLSPRQRISDAYDVHCSGSPLMTY >KVI08948 pep supercontig:CcrdV1:scaffold_186:196333:196746:-1 gene:Ccrd_012668 transcript:KVI08948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MKTLFPFAFLLIAALLLISSGAATSPAPSPAPSQSAGTFGCDNKCANRCANAGYKERCLKYCGICCGKCKGCVPSTPYAPKSQCPCYQKLKNSKGTDKCP >KVI08962 pep supercontig:CcrdV1:scaffold_186:83572:84612:1 gene:Ccrd_012658 transcript:KVI08962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MANNSKKDLDRIKGPWSPDEDDMLQQLVEKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSMTNEEFNEFAIQQPLLKRSVSAGSAVPVSGLCLNPGSPTGSDISDSSVPALSSSHVYRPVARACVTAGPQIDVGMTSPPRPPPQPPVNDPLTSLSLSLPGVDSIEEPTAAPMQLMPPSPPSPVVPIPAMPLQQVPANRIQDLNLNNDGELMTTIPAAISSAMQQLEVSPAEKAFEPFSAEFLSVMQGMIRKEVRKYMRGLEQGGGGMCMQQASNGDGFGRVTRPGIKKID >KVI08957 pep supercontig:CcrdV1:scaffold_186:338596:341206:1 gene:Ccrd_012678 transcript:KVI08957 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MGRIAVIQVLALCSILLISLPNFASAQLRQNYYANICPNVETIVRNAVRAKVQQTFVTIPGTLRLFFHDCFVQGCDASVMIASSGSNTAEKDHQDNLSLAGDGFDTVVKAKAAVDAVASCRNKVSCADILTMATRDVVMMAGGPSYPVELGRLDGLSSTAASVGGKLPKPNLNLNQLNAMFAANGLTQSDMIALSGAHTLGFSHCDQFANRIYNFSKQNAVDPTLSPSYATQLQQQCPKNVDPRIAINMDPNTPRTFDNVYFKNLQQGQGLFTSDQVLFTDSRSKPTVNTWASNAQAFNNAFITAMTKLGRVGVKTSKNGNIRLDCSRFN >KVI08952 pep supercontig:CcrdV1:scaffold_186:303934:305374:-1 gene:Ccrd_012677 transcript:KVI08952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLMVEPYDMECMKMALLKHEETFKQQVFELHRLYEIQRMLMKKMQRSMQNIINEETKTNARWNLQNGSVFHQKQLNNDLHKQDHGDDDDDDDDDDDDDDDDDDDDDDDDEMEEESEIELTLGPTTRYNRKRKKKKKKKSLYSESSSSLGIFASSSSSTDHETRLITLHNNSGRLLESRSTAFLAWELIQHTPHKRERSXAEADRSTTKKLGFSDEFACRKNPTQKSPTD >KVI08946 pep supercontig:CcrdV1:scaffold_186:122374:132537:1 gene:Ccrd_012660 transcript:KVI08946 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MTSITSVELNYIVFRYLQESGYEAGINKCSIDGNMVPPGALVTLVQKGLQYLEMEANLNNVSMLTLSPVPHCFIFIYYNADHAFQDCQNDADVDEDFSFLQPLDLLTKDVFQLRQIVKEKKKIQQKAAEELSKEQEKQTALAIERDKQSKEKESEKEKEKVNKDKQREKKHEDLADREILVDQQDNVNIKLEENGTFGGPEPMDISTSATPQASGIPSSDVIILEGHTSEVCACAWNPAGSLLASGSGDSTARIWTIADRTNRSSLQNGPANVLVLKHVKGNKNDKGKDVTTLDWNLEGTLLATGSYDGQARIWNTNGELKSTLSKHKGPIFSIKWNKKGDYLLTGSFDETAIVWDVKADEWKQQFKFHSGPTLDVDWRNNHSFASSSTDSMIYVCKIGESRPIKLFAGHKGEVNCVKWDPTGSLLASCSDDVTAKIWSMKQDKHIHDLREHAKEIYTIRWSPSGPGTNNPNQQLLLASASFDSTVKLWDVEHGRLLRSLNGHRDAVYALSFSPNGEYLASGSLDRCMHIWSLKEGKIIKTYSGNGSIFEVCWNKEGDKIAACTNNNTVCVLDFRM >KVI08063 pep supercontig:CcrdV1:scaffold_1863:66294:75370:1 gene:Ccrd_013567 transcript:KVI08063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 19 MLPRPVSGEVPDEPVVSKSSGLLFDKRLIERHILDHGKCPVTGNSLTMDDLIPIKTGKIVKPRQVQAASIPGMLGMFQNEWDALVLSAFASEQQLHTTRQELSHCLYQLAYSQGRVVNTWADIINRANLVMEVMHERNAHNFHQDLAAIKAPSRNHDSACRVIARLKKELDEARTMLAHLERQAPMPASMVMSANAAAAINGKRAAEGDELDTDGKKIRPGISAGIIAELTDCNAALSQQRKKRQISSSLAPVDAVERYTQLNSYPLHKTNKAGILSIDVHQSKGIIATGGVDTNAVVFNQTSGEILSTLSGHSKRVTSVKFVADGELVVTGSADKTVRVWQGSEDGNYDCRHVLKDHTAEVQAVTVHATNSYFVTASLDNTWCFYELSSGLCLAQVADLSASEGYTSAAFHPDGLILGTGTAGSLVKIWDVKSQANVARFDGHVGAVTAISFSENGYFLAVGVLFAKASWMSLFRFTTNSLPHICQTAAQDGVRLWDLRKLRNFRSFTPYENTPTQSVEFDHSGSYLALGGSDIRVYQVANVKSEWNCIQTFPDLSGTGKINSVKFGPDAKYIAVGSMDRNLRLFGLPEEATES >KVI08066 pep supercontig:CcrdV1:scaffold_1863:75008:78644:-1 gene:Ccrd_013568 transcript:KVI08066 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLDc MHSWKKMKKKLTHFLSDHSPPPSPSSSSSSSSSSPSSTSSDHDQTRSPFKDDSYMSSMLSFFFPSNESISKKNEDYTEPTQSLKSIKCGTSKAWDPKEENGDQNSARSISSPEVFEDAIDLRTPKKYIPDQNLDSGSTVKHGISLRTLIRNSCDLPGPCLLITGDTKGAVFGGLLNSPLTPTPQRKYQAKGANRYFYMCLNDLLAFGGGGSFALRLDGDLLMGTSGPCDTFGNQHLAHSEEFELKNVELWGFTHSSRYRS >KVI08064 pep supercontig:CcrdV1:scaffold_1863:12987:14846:-1 gene:Ccrd_013566 transcript:KVI08064 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MLHDVVHPPDQPPMVSSPLSAQILEFCESELFQEAMHNSEAASASNCCYEEQSSYAKNLSFPPDIITYPPPTTTATTSTDNGSNGNFSTIFEEKITENDVSAPLDFTTLPQYPFSHQDQFDLSLLQSQFPLATDGPPISTYPHPNDQPEVVSVIGPIVCEDDCLSSMPPSKCMRLNNPNSSPNHCFMDHPYLPAGNSNPLLPVESCGIFNGNLLMGNEIQAHELDFQVDNGGIFCPDPLPRPYNSNELQALCNENHHLVNNGGGGCTTPLAPPEITSLESESFRTASKLSSEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGEHHRNSTCNSTHEEDTDEDVKSLHVVVKEEDQKLDSSDIFPHLG >KVI08060 pep supercontig:CcrdV1:scaffold_1863:85678:86775:1 gene:Ccrd_013570 transcript:KVI08060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVKPFFSLLFLLLFLFIIPLLQSAPDNSNLVYKGCAKQPLQDPNGVYSSALSAIFGTLIQQSSKAKFFKTTSGSGQASVSGLFQCRGDLTNLDCYNCVSRLPILMDKLCGKTVAARIQLLGCYMLYEVSGFAQISGMEMLYKTCGSTNAGGSGFEERRDSAFTTLESGVGSGNGGFYTTSYESLYVLGQCQGDLGTSDCGDCVKNAVQRAQVECGSSISGQIYLHRCFISYSYYPNGTPNPEKSSSSSYSSSSSSSSSSSSSGTGTNAGKTVAIILGGAAGVGFIVICILFAKNLAKKHDDY >KVI08061 pep supercontig:CcrdV1:scaffold_1863:92039:96603:-1 gene:Ccrd_013571 transcript:KVI08061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMILKRNRLKLASNYLRRFTTSNPRRIEDEGDWFYASEWWGNGDSDDNTVFRSISDKGNGVVSVVASHSSRPNESHWYKTENWLRQRYAELHPGGEQNVAFRILGYQWRTLRFNEDTRQSTAKVMAAYKESDPGSLFLRQEAHCLAVPYLKSMVSAGLSSLSCNYDLKSSAYGKNTMKVLCIGAMIHIVEIDPVVISASTQAMGFPAYSIVKRCGERVNSKPNLTDEVLWKGIHERLLLYESDAEQFITESSDIYDMVFVDAYDGDDIFPQKLWDPDSMFLKALGERLHPEHGTVVVNLHSDTDMSDESKLPMGKYVSQVCKAYKKVILDGDGSISGSAFMVHVPWVCNASLVVSRGFRNSSSQDMVLNDVISKSLEVENTLNLPFSCLQYIKRGLVFVD >KVI08062 pep supercontig:CcrdV1:scaffold_1863:100064:100285:1 gene:Ccrd_013572 transcript:KVI08062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDPQESVLEDEGEEEEEEEEGEEEEEEEEEEEGEEEEEEEEEEEEEEEEEEEEEEEEEEETNSEDESNEVE >KVI08065 pep supercontig:CcrdV1:scaffold_1863:78937:80493:1 gene:Ccrd_013569 transcript:KVI08065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MINQFVSACSSFSHIDYAIQAFTQMDEPNVFVYNAVIRACVCCFAPVQALQFYLKMLSAQVYPTSYTFSSVIKGCALVAQCRIGEAINGHIWKFGFKSHVYVQTALIDFYSCLGKIFESRLVFDEMVERDVFAWTSMISVHARSGDLVSARKLFDEMPERNFASWNSLIDGYARIRDVESAQLLFSEMPVKDLISWTTMINCYSQNKLYAEALVTYNNMTTNGIIPDEVTMATVISSCAHLGALDLGKQIHLQITKSHINLDVYIGSALIDMYAKCGSLDQSLLVFYKLPEKNLFCWNSVLDGLALHGYANEALKMFSHMKNENIKPNGVTFISVLGACTHAGLVEEGRRCFLSMARDFLISPEIEHYGCMVDLLCKAGLLEDALQVIREMRMEPNSVIWGALLGGCKLQKNLEMAQIAVRKLMILEPDNSGYYTLLVNMFAEANRWSEVARIRATMKDLGVEKKRPGASWIEIEGKIHQFSASDKYHESSNEIYLVLDKLCGSLVAAACGVEYVFAS >KVI11156 pep supercontig:CcrdV1:scaffold_1864:97748:100218:1 gene:Ccrd_010436 transcript:KVI11156 gene_biotype:protein_coding transcript_biotype:protein_coding description:GUCT-like protein MLVVGFEEDVEVIIEKLPSQSKECFFLATMREYVVYAKGGKTIVFTQTKRDADEVSLALTSSITLEALHRDISQHLRERTLSGFRQGKFTVLVATDVIHYELPNNPETFVRRTGRAGKEGKAIFMYTNSQKRTIKFVQRDVGRKFEFISPPVVKEIFGSSAEQVGTRALAAAIAQLNGFSCPPSSRSIITHEQGWMTLQMTRDPDSSGGYMSARNVTGFLSNVYATAADELGKMHIIEDKKVCIKQFLRGGVHEVEEVQEGTVCPVVVGVIIMMKVVVSGEVVGAVAVVGQVGDREPRAVVVVVVGQVGVENWWK >KVH87986 pep supercontig:CcrdV1:scaffold_1865:57305:60128:1 gene:Ccrd_024631 transcript:KVH87986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYSRSPYIDSLSIHASNMARVPIQIRRSSYHDVIRVSEIQKFGHQSSTNLHYLTQKLDAILKEWLVCSRLQEGMSIVMLLMADPLTALIHAVQVMNFLKTLVMKTLNEPVCAFCLILLENYT >KVH87987 pep supercontig:CcrdV1:scaffold_1865:81321:87666:1 gene:Ccrd_024632 transcript:KVH87987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLFISKIMLILLFTQKIISIFKIFLLLPIQWNSTLLKDLEVKCKAIMHRVIRPDQWFGDLFKPYEIYRQLRHTKNVMLKFNYFDYINAWEHAFYYENRQRKFSWWIQFSGEMRKMDISNWFIFNSLFLLKTNEYDV >KVH87985 pep supercontig:CcrdV1:scaffold_1866:39381:48213:1 gene:Ccrd_024636 transcript:KVH87985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MATDTEAPVTGVHPIRQVLLISAGATGNVVCSWGRGEDGQLGHGDAEDRLSPTQLSALDGQEIVSVTCGADHTTAFSESQLKVYSWGWGDFGRLGHGNSTDFFIPQPIKALQGLRIRQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSLVPQKIEAFQGVTIKMVAAGAEHTVAITEDGELYGWGWGRYGNLGLGDRTDRNIPEKASVINGEKMILVACGWRHTISVSSSGGLYTYGWSKYGQLGHGDFRDHLVPHKLEALQEHYIS >KVH87984 pep supercontig:CcrdV1:scaffold_1866:58418:63436:1 gene:Ccrd_024637 transcript:KVH87984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MWLLITQISGGWRHTMALTSDGKLFGWGWNKVGFSGNAKVWIGVGCSELWVGMGRWASATIRIIAFLCKSNFQMSRHIIFPCDVAFFHV >KVH87981 pep supercontig:CcrdV1:scaffold_1866:5604:14107:1 gene:Ccrd_024633 transcript:KVH87981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTYFSNFKNTIDNPVDVDEDSEVGRTNEVQINEGCSSKGKCLEIQQSTVTKPIVLQTSKQAARVGKVPEIQLPQDSDLVMLLDVNVQIEVKASNDVMGAPRKPRNEQAKEDTVEHTDVQGYSLGIRTRTSPKALWETVKALNSNQRAAIKEMRFDALLDMTLDGIPSKLGHYVVDMLDTSTMTIQLRDGQIPVTVKSIHDVLGLPTGGLDLNLVGPSKRNDAVVSAWRKQFSKDRMSPNDVMNVIQQSDDAGVMFKMRFLVIMLNTLAECSRVGVCNLGFLKRIHSLDMIPRIDWCKYMLYVQSTTCDGIQNQQQRYPLRTWTLDLLRRRQDVELSRGGWIHKIDILWMVLQECVIMMGERIADLFSARREADTMLQAYIDRFPGERCFDQFKQELARMFKDSMWECLNDEGQPREKDLPTVHVTPTKMTTTSDPAMLSPLSQFWTSPTVIAEVDQASNERAAITTKGVGCNTDPKQFERVNMTSLEAPLESVGRVRGRGIDECEPRTSKLRRRAQTEIEAPAFDLGISPSKEAVIACIDSSKATAGQENVRSEITKRDPKLSFKLRSPYVTRAVTFEVSSDERKLQDWILRGVGGIL >KVH87982 pep supercontig:CcrdV1:scaffold_1866:27499:29686:1 gene:Ccrd_024635 transcript:KVH87982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSFGSDTTKTTTTTTSTTRRISINFLHQKPPTLQHHTRQGAPTVPMVQESTMQEIPMSSKSALKEHSPFKLQSILEPTPPCKEPAFLLDKWNPKDDQLASYPKPLLPNYPKNQYLRNIH >KVH87983 pep supercontig:CcrdV1:scaffold_1866:9406:10337:-1 gene:Ccrd_024634 transcript:KVH87983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSHDGMVTLENRVRGLEQVVEDMARHLSIAANNRRGGNYMMGFEESGRQLGKYNGXSDYPNAKLGRNDDGVSYMGSSMRGRGSSWRSDVPESWDYHAYGRNSQMGLRRAAMDGRSPKSDNGSEQVNRRGWGDKGGGPARFGEGPSARSVWQASKDEATLEAIRVAGEDNGPIQTARVAVPEMTAETMGDDSLVQDHDPVWTAWTNAMDALHVGDIDTTFAEVLSTGDDLLLVKLMDRTGPVVDQLSSEVGTEVLHAVAQFLPDPNLFDICLSWLQQFISSI >KVH87979 pep supercontig:CcrdV1:scaffold_1866:94142:97022:-1 gene:Ccrd_024639 transcript:KVH87979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCLSKKDDYRMTIHSPRQRFMLSITLGFITDRSSPSPAPIAREFEYEALRPDKIAVDGGEQNLKVSFWFGLVWLISKPLKELLSLETEVDDAALISIDSKGTDVXVRQGAQFNIQRLAFEEGHEXETLEEAKTALWNFIXRGRGLDKF >KVH87980 pep supercontig:CcrdV1:scaffold_1866:91293:92412:-1 gene:Ccrd_024638 transcript:KVH87980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGESVNQQIHGGAGDSCGGGQEENKKRIGCCRKAKMVVLSKIKKAKKRLHRNKTKGKGDSVSCKIEIERR >KVH91486 pep supercontig:CcrdV1:scaffold_1867:62412:63158:-1 gene:Ccrd_006492 transcript:KVH91486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFILIRFSSTTDMRLNGAILQIISPGFIGAALFFFARTRLGWTTPCSRTSFEMSQIHFFDVYYGHSRSL >KVH91485 pep supercontig:CcrdV1:scaffold_1867:78544:80367:-1 gene:Ccrd_006493 transcript:KVH91485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MEETVEMETEAGCEEEDGDGAMVETLEKEAEAGYEEDDRDGGLLWRRQWGWRSAVEETMGMEVCCEKAVMKTMEMEVRCGEDDGDGGDCYLRQWIIPMPVITFKTRTDEVECLILASDGLWDIMSNDKVGEVAHRILKWQRHSAIDNELLAAQTLVDSLNELAVARNSSDKISMIVADLKSKKKSNNIHDQKEHVDISIKNPNRWKACTKMTRKQDHS >KVH91484 pep supercontig:CcrdV1:scaffold_1867:28943:38608:1 gene:Ccrd_006491 transcript:KVH91484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CW-type MEETELEEGEACFDDIDESIDPDIALSYIDKRLQDVLGHFQKDFEGGISAELLGPKFGGYGSFLPTHKQSPAVHSHTKTPQRILNFNKPRSPDNFRLEGVPLNSVTHREPILPVRPAAAADDFASKDTSSLLVSIGATCLSKNETIASNVVNPTEQRSLKVRIKVGSDKSARKNIAIYSGLGLLSPSFSTGNNPEDSGGQPTESHDITPNSPGSILREMTSFLVPGNRLLSPLNESLLCLTRRGRVLENRLTPPIMDRKISSTFVDDSSSVLGEGKLMEGKEVSSRDKSEVVEKMKYKNSTGFKGDKAPFLEKGVGAESLDSKQYLTNDFKVKLSSNSVRCSGGMLTAAVTTSEANRAAKKDILVKKREIQKEWMKEQLFGPDFTSKESEAVCGQDCGSYEQKDVKHSSIEHTEETKARSSTKKLSLDSRGTDRIKGSTVRSVCKNDTDVSKHEPDRFEKKVASEATTREPHEVKVPRGINKLPFEKKTKLMGIRSSGISPSRLVKENLRSGPSGAMKDKKTAQKDIVKVRNSYKDVLDTRVKEQNDQTKALEMPTDNGANRWELGEVKGKHVPINEFSAEAAVPLPIEVAPAAPPDNWVGCDRCEKWRLLPIGIEPENLPDKWLCSMSTWLPGRNHCDISEDETTKAVQEMNLHLISQKRDSLQYNGSGVISGVVPVNVGNSGQTNLNINADTNPDSWKKNKFRPEDSNSSLRQTSHSSMDAQQHKQKRKSSSEANQSLLEKNVVNKPIDLHPRKLSNFAVGRHAAKVNGKDVTGGDLKPKKLKSKTGSDQYHYLTSNKFKTEAAPNTDGYRNNKPVRDLGGNGFSSNPTLPSMADERDMEQDDEQLYTSNGDHQCRDRLIISVKRQKESTQVSMKSKLSDRRSSEKVEMHAKKRKLKDWQESQPYGNTLESTEDNLPDTKTREESSDRTGRKEKRLKTSKTEVKESAASKGDDRSFHKGKTMKIILPASKENSVEKSHEKNHQQDKHREDREKATSRPNLRELYSSRKDLESERFLLAATSSSSKVSDSCRRASLQERKGSPVESVSSSPMRALNLDKLSPAVGRNISRKGHAKIGGTGTEIPRKLMAREDDMKVHRSVQGLRDTDGSQRFGGKVEPKHKEASKIRNTHMIMYDTDAVMEQATGQSDLQAVDNCWSDGRMSTKDDATGALIPQSSGKASSSRSKENDRRCSFQRVRVKVSDSFTEQEPYPNKMRRVEVDAAHCEPASNPEVIGDGRRIYLNESSAKVSNDGKSVGNKISRRRTVDTIGDEKPSLIEHAGSEAKLGDVGSSGMKPVKRDMRKVFVGDLSRKRDLNEEEQTAEASPCSVAKIGESALVRHLSSRSLETTALDVQSFGDSGHNVSKVLKHTGDTANQKVRSLVTDSGTMKDLGVISFVKEYISSQTAMTAFKRAEESKDYADRIKISGFDYECKDAYFDSALKFLYAASLLEACSTDLSKSKGVDPVNVYSTSAKLCKTCAQEFEKQKELASVALAYKCMEVAYMRIVYCKSLLTRQDLQTSLQMVTHGESPSSSASDVDNLNNQATMDKTMLSKSIAHTGNHVVARNQANFLRLLDLTGDVSLAMEASTNTQKAYATASASLEEAQNKEMMISVKRVVDFSFQDIKEVVCLVQHAREAINRQGFRGRD >KVH87978 pep supercontig:CcrdV1:scaffold_1868:46345:49903:-1 gene:Ccrd_024642 transcript:KVH87978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIWISIQIQIHNKNMLISLNTSFISSLQHLPKVSTKSFHRSPLRINPHHYQVSKTNQQ >KVH87977 pep supercontig:CcrdV1:scaffold_1868:40193:42301:-1 gene:Ccrd_024641 transcript:KVH87977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MESESSSSSTSGLQGSQPCRHFHFLEIQLATNDFDDSLVIGRGGFGKVYRCNVTNGPSLVDAAIKRLDSMSNQGAAEFWTEVEMLSKLRHCNLVSLFGYCNHGKEMILVYEYMPNGTLEDHLHKLGTPLSWIQRLNICIGAARGLHYLHTGTGIQVGVIHRDVKSSNILLHESWAAKISDFGLSKIGPTNQPSTCVNTLVKGTFGYLDPDYYATGKLTRKSDVYALGVVLLEVLCRKRAVDATLDEEQWNLARWAQESIKEGKLKHIIDSDIKDQISPKCLREFVRIVVSCLHNNPKQRSTMAGVVASLESVLTLQEKFNNSLQPVANRTIFANSKQSSNTKSNSSTVDNTVGAEYNMFLGRREVPTDYKTKSLKEFKFTDLKKATSNFSPKLQLGEGGFGGVFLGWIDKNTFAPSEHGVGIGVAIKRLNGEGVQGHAEWQ >KVH87976 pep supercontig:CcrdV1:scaffold_1868:13211:14950:-1 gene:Ccrd_024640 transcript:KVH87976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLKVQPSNKKWKRALHLNNAKGDTEVIFLGRLAHPNIIRLLGYCSDEQESLLVYEYMQNRSFDRLLFTNATSLSWLTRLMIMIGVARGLAYLHSSTPQVILRGFKTSDIMLDQDFNAKLGDFGLARRGPEIGETHVSTRVMGTYGYAAPEYITTGRLSARSDIYGFGVVLLETVTGLRAMDQNRPSEHHNLVEWKSPMLARRNKLKGIMDPCFGQNYPLEAASKYAKLTLKCLSMEPRLRPSSEEVLQSLEQIYSINK >KVH93602 pep supercontig:CcrdV1:scaffold_1869:59837:91974:1 gene:Ccrd_004346 transcript:KVH93602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF858, methyltransferase-like protein MDESFNAHGSDRVRVRPRNQIEMEIGGLDSNGRQFKNADEMWREEVGDSQKKDDWYRNGVGYWQGVEASMDGVLGGYGHVNEPDIKASEAFLNTLLTDLFPNAGRNQHLVALDCGSGIGRVTKNLLVRYFNEVDLLEPVSHFLDAARENLAPENLLVSGEHKAASFYCTPLQEFSPDTGRYDVIWIQWCIGHLADDDFVSFFKRAKAGLKPGGFFVLKENLARSGFVLDTEDKSLTRSDMYFKELFTRSGLNIYKFKDQKGFPDELFPVRMYALTTDTWKKIGTSRPKRHANRPGLIK >KVH93603 pep supercontig:CcrdV1:scaffold_1869:34081:41216:1 gene:Ccrd_004345 transcript:KVH93603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MGPYSIDVCRLHATDPHPHFPHVLNRKLAYASSSLSIPLFLHLSKVSQLLSKVSSINFAQKLYRLMGGFDMESLVDATAGAIGSLASTTILYPLDTCKTKYQAELRTPNLRKYRNLSDVLWEAISTRQPLDTAASRMQTSDFGKSKGLWKTLSEDSWEEAFDGLGISILLTINPAIQYTAFDQLKQRLLEGQLGNPQSLSALSAFLLGAASKCAATCLTYPAIRCKVMIQSAESSEDTEEVKSRKTVSGALYAIWNKEGFLGFFKGLRAQILKTVLSSALLLMIKEKITKSTWVLFLGIRRFLFLSRSRLKSS >KVH89803 pep supercontig:CcrdV1:scaffold_187:273255:288038:-1 gene:Ccrd_008213 transcript:KVH89803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFLVEDTDEDFFDKLVNDDDDDFKPAPLLSSREESNTVDDNDLDEAKAFANLSISDVGTGLEDLETVGIGEEDIKACSSINKDYKDESANTHVEQTRPLVNSNSFAFDSVNEEADNEATGLKEPLDSTASENHGSGGTAGVKEVQWSAFASDSVENGQNAFGSYPDFFNAFGDSPVDQIGKEDNLISSKPNNASGSTANNSLQVENINDYSQQFQVDQVYATTGEQENANDYLQQLQGDHANATMVEQGIDGQDLNSSQYWENVYPGWRYDANTRQWYQVDESYNATASAQGAYNSNSASDWTASNEKSEVSYLQQSAQSVAGAVAETGTTESITSWNSVSQSSHTNESLSQWNQASQGNTVYPSHMAFDPQYPGWYYDMNLQEWRSLDEYNSQSTVQAQDQVNQNGFGATNTYYGNDQKTFGGQGELEQSGSEAFSSQGQDYNWNGSFSNAEQQSSTMWQPNTAANSAPLSDFKGNQQVSNHYGTNFQVDNHVNQQQSYSYGTTASSFNKASQVNNEFPVSGSQSFVHRGSFSQPLEQNEMMNVSKAYGNQNQLSYSQQPVQSGHQISYASTAGRSSAGRPPHALVTFGFGGKLIVMKDSTAFVNASYGSQDSKPGSISVLNLAEAVTGGVDVPSSGASVHDYFHSLCQQSFPGPLAGGNVGGKELNRWIDERITHSGTSDVDYRQGEVLRLLLSLLKIALQHYGKLRSPFGSDTTLKENDAPELAVARLFASVKGSAEYNNYGAFAHCLQQVPSEGQIRETAAEVQTLLVSGRKKEALLRAQEGQLWGPALVLAAQLGDQFYVDTVKKMALHQLVPGSPLRTLCLLIAGQPADVFAPDATTDGSIHGAVNMSEKPAQAQLSVNGMLDDWEDNLAVVTANRTKDDELVLIHLGDCLWKERSNIIAAHICYLVAEANFEPYSDSARLCLIGADHWNHPRTYACPEAIQRTEVYEYSKLLGNSQFTLLPFQPYKLIYAHMLAEVGRVSDSLKYCQVVSKSLKTGRAPEVETWRQLVISLEDRIKTHQQGGFTNLAPGKLVGKLLNLFDSTAHRVVGGLPPPIPSTSGGSAQYDHHHQPKGPRVSTSQSTMAMSSLMPSASMEPINQAEGNNRGIMHNRSASEPDFGRSPRQDQDDTSKENSADSRSKASGNTSRFGRFGFGSHLFQKTVGLVLKTRQDKQAKLGETNKFYYDDKLKRWVEEGTDPPAEEAALPPPPTMATFQNGTSDYNLKSALRTESVSSMSSDFASPTPPSLSSGIPPIPATSNQFSARGRMGVRARYVDTFNQGGGNPTSLFQSPSVPTIEPKTSPNPKFFVPTAAPSAEQPADPTPDNFQPQTTPSIQTPSISSRTSPSPSPSPSAAMGMPRFASMDDMSKGVTSASTSTSSFTNQPILLHSRRTLSWSGSANEDLSASGNSEFKPYGGVSGMAPPPMFTPNKIGLTHSSNDDLQEVEL >KVH89795 pep supercontig:CcrdV1:scaffold_187:172768:181507:1 gene:Ccrd_008203 transcript:KVH89795 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP-like protein MNRREDPNPFDEEVNPFSNGAAAPGSKSRIPQMVASTLGFGQKHDATVDIPLDSMNDPKKKQNELTNWEADLKRREKDIKRREEVVAGAAIPTDDRNWPPFFPIIHHDIANEIPVHAQKLQYLAFASWLGLVLCLVFNVIAVIVCWIKGGGVKIFFLATIYALLGVPLSYVLWYRPLYRAMRTDSALKFGGFFLFYLLHLGFCIFAAIAPPIVFHGKSLTGILAAIDVFSDDALAGIFYLVGFGLFCLESLLSFWVLQKVYTYFRGNK >KVH89802 pep supercontig:CcrdV1:scaffold_187:237554:240097:1 gene:Ccrd_008210 transcript:KVH89802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHLLMAAAKIHSFSATPLLATLIWPFCIKFMLSLRPIKDIVQSIAFDSNLLVFQLTRIFTSPQHRGRRLNRVFHLVGRVTARFLSSLTAHICAHSWTL >KVH89798 pep supercontig:CcrdV1:scaffold_187:225193:229593:1 gene:Ccrd_008209 transcript:KVH89798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MGTMELLNVEPLELKFPFELKKQISCSIQLSNKTENHVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSFSKNSGRVVEECKLKVLYLPPPQPPSPVLEEPDEGMSPKVSFTDSTMKDSTVKDSTVKDSTMKDSTNLNSSQSSEAPRQYVDSHDKSSEARSILTKLVEEKNAAVQQSSKIQQEMDLLKRGGNKSQGGGGVSIMVVIAISLIGLVLGYLMKK >KVH89790 pep supercontig:CcrdV1:scaffold_187:6750:39325:-1 gene:Ccrd_008195 transcript:KVH89790 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MMSNSKVVYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNVVPIKTLVIDGNCRVEDRGLKTQQGLMVYVLSIYNKKEKYHRVTMAAFNIQEALIWKEKIESVIDQHQESLTASGNKYQSFEYKSGMDSGRNASSSDQESQYSAAEDEDDLNPNLLRRTTIGNGPPESILDWTQESSALASQNTTNQAISRKHWRLLQCQNVAHIISLFNTGIKFLGHPYGNILGTPLVEVMPKSCSRAMKATGVVEASCEEIFELVMSMDGRRSEWDSSFQDGSLVEEVDGHTALLYHRLQLDWFPTFVWPRDLCYVRYWRRNDDGSYVVLFCSREHENCGPQPGYVRAHIESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREYFAQTDERTVPPRIPVMVNMASCSVPAKDRQKNQLTSIHDRNQSLDNAAKLMDEYSDEDEDFQIPDEEVANEAYAYHVEQEMRREGKHYSQYGPPLKAIHEGPVFQIDLSCFSGNLRRDDNESGRDCWRISDGSNFRVRSRRYCYDKTKMPGGNPLMDLVAVDWFKDTKRMDHVARRPGCVAQVAAEKGNFSIVFNLQVPGSTNYSMVFYFVTKELLPGSLLQRFVDGDDEFRNSRMKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIREANYLEVDVDIGSSTVANGVLGLVIGAITSLVVDMAFLVQANTTDELPERLIGAVRVSHLELKSAIVPTYTTEYCLEILQQQIYLNKECKTLLIDTAFQFICRDAHQVQPGLAPLVFGQAGANLSPRAR >KVH89807 pep supercontig:CcrdV1:scaffold_187:332491:342323:-1 gene:Ccrd_008217 transcript:KVH89807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MAYDDNEFQGQNLHIAGESCSKVSPVLHPYALPKFDFDDSLQGHLRFDSLIENEVFLGITSQEDNQWIEEYSRGNSGIEFSSSAVELRRNNVWSEATSSESVEMLLKSVGQEEKVVVETIIEQLDICDESGSLTKIMDHNLNQDDGKDLVTHAQNASVPNELLGNFPGFSVSSGYEHPHVRFTSQSQEAQISGGELDSVVISEKCNVPVLEEKVDGTSDVIQKEAENLVNESMNKELPEDPSVSRAENPCSSENVDASIEGLMVQENLQQVSVNASGLSKNLCSIVTEQNIQSKENSMDVPMDSGTLIVESCTYNVEKSLCVVPGAESVENRSAEISILSSEEPTRSSNMQISQGCDDPVCSAEPSQGSKCAVTGSMICSEVDQDLKGNMHEEPLAASANAGNFELQAVQDGNTKSEDPDSPKSNANSLSKLSPVKNSCVDENKYAIGKDVQQITSKASVVSTGNLSETGGGNSNAVGTRLAAACLPGEVLTKEVSNEDLIAGNHTSVVHIGDSDDEDRSLSAKISGSMQVGCEIRSSEPGTMHVDQDVSFNMKEDAGLPLEIAATESDKSSTPDTSDGSHLLAGSLANSETDDQPKSPIFGVSLVHQDYNEKMKVGFSCEGNSQNEIPQVESVVASASMNPSSFDEKEASPDLVKKVVHRVTPVECCNASQIEQELTNTDGQECFKILETSPFASSAKGGSNSEAGGLEEPKESMIEDHHLNTISTPVLGFAVKSDEHQTFVGVARSSECDADHIEPDGGSFSSLDKPHVVSPTCVSSMELSESTKDKQEATKDVRHGGVLPSKVADDVEDNIRSVPSSNATQEETNFTFEVNKSAGLGQADKGFPSYPTFQVSVSPKIMEGPPTDSSTSQVDAAKLHGSSLTPQNLSCMTPQIGVKSERKTRRKSVGKENARKGNHLKETPPARDSVRVEKSSVSLTSPATGHVIQFEEVKSNDIERGGTKPGGIFPLPKLPDLNNSTSIFQQPFTDNQQVQLRAQILVYGSLISGTTPEESHMIAAFGQSDGGRTWEGVWRACVERLHVQKAQANSATPMKSRSDLRDAGNRADQGVKHASIQNKIISSPSGGASNKGTPPIVSPMIPLSSPLWNIATPSCDALESSYVPRAARLDYHQAVSPLHSFQTPAAQNFSGYNPSWLSQGPFAGQWVAASPIPAFNTGPRFPALPVTEAVKLTPIKEPGSVGIKHTSTPVVLNSALSISPEASSLSAMKKATASSDQPCSDSKSRKRKKGTPSANVKRICDPQVASVSAPVVMHLPQAPRTEDQGKMSLLAQNKTDSVTAPVVSSPFSTSVVVSTPAFITSKSSPAKFLSAVSPTHHHPRSGDQNMEKVVISEEILSKVEESKLQAENAAELAAAAVNHCHGVWSQLERQSNSSLNSDDEAKLVSSAVSIAAAASVAKVAAAAAKIASNVAEQARSMVNIFLSNRSGNFDQSGMISLGNKFDKAIPDAILRGRDRSSLPNSIIYTAREAAWQRVEAASASSKHAENLNAIVKAAELAAEAVSQAGQIVAMSEPLPLRNLVEAGPEGYWKTPQLASKQQVITAGNFNGTDKEDVKAAPEVSNKGNMTIKCNLSGKENSGDLADNHVMEIDEVTVPITSHEKDKRKPRVRKGLDLSKTFGVATESEVGSIDTTVLGPTKPQVISDTLKENNIKEGCLVEVYKDDDKDNGAWFGANVLSLKDGKALVCYTEIQSDEGSGHLKEWVPLEIKGTEVPKIRVAHPVTTMRLEGTRKRGRTAATDFVWSSGDRVDVWIQDRWREGVVMETNKFDLTSLTVQFPAQGETSIVRSWFVRPTFMWKDGKWIECHTSERLLSSQGDTRQEKRLKLGSPVVESKRNDGSSENIDLVDSRKQEETRTLPLSAHESLFNVGSNSTRDDKKLGAHRTMKSGLQKEGPRVIFGVPKPGKKQKFMDVSKHYVADGSNKNKATHDSVKFTRYLIPQAPGSRGWKNNSRSDTKERQVAETKPRMLKPRKPPIPSGRTLTSSKSTIRDTNTINKTGQDSGSDNENQSGYQNQIEFGSSSKIEDAPGNADFVSSLPIPSKPPKGVSTSNKSERLHKRKFAPAGGKPTKVEKSFPELVEPRRSNRKIQPTSRLLEGLQSSMTISKIPAASHSTQRSHSKVTPKVQSLISLVHPVKPFVYGWIFSGYWDMHVNCYTKFFSRLLLDLPPFSYVSLVCLCTAVNLDVCEVVN >KVH89796 pep supercontig:CcrdV1:scaffold_187:119912:151106:1 gene:Ccrd_008200 transcript:KVH89796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFGEAKSISGHQTLQLMKRPYDSPLGQSREEATWEDANVIKGQYLDTSLEDKTLLEGGSNDTDPSKLIQSLPKLKVWNGAATFGKISYQVLSPSRCSGGGKLEIMEKSMDNSCSGKEGGDKAVQGRRRRKSKIYKRKDEDKSRIEKELVDQSSNFHIKLYKRSLVEGTKGVPDWNENHHEASRGRRLLELVAESVAAIADVVENEELQLLLVRNRRDKQGKPEAEEIDPPTRRTPTLIWAKGNTNIVAPVLVGGPLLRPPRTSGISKYCEEREKSGIDDDRFCLQKTLKTDPPCCSASLGLVYKTKNSKSDLGFCNLASVERPKVEGGKMDGWIERESSLENGSLAWWFLFDSLVTD >KVH89800 pep supercontig:CcrdV1:scaffold_187:147643:151122:-1 gene:Ccrd_008201 transcript:KVH89800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLFSRFSVSRNGHRRSQTSIDEREVLPPDTEVTESSTVVGATTTTHHGIEVAIEFKPVEHPTEPLDNDQPVNCPLPEPSILNDGRIWKERVSAGVQRRADLSAVDEGAAPPPEPEPPGNKSQRRTNRMILPSISAPEHNILKLLEECNASGM >KVH89797 pep supercontig:CcrdV1:scaffold_187:218956:221256:-1 gene:Ccrd_008208 transcript:KVH89797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSPCLSTLRFLLDRCSKKENLIAQIHAQAITQGLFRTHQDFACKLLNIYARKLNNPIKAHRIFDQILNPDIVSWTCLMSLYLHTQVQQPLKTLSLFSDMIVSMGLNPDGHCIVAALSACGSAKNLVVGRIVHAMVLRYELGGEGPIISNALIDLYSRNGRIDLARRVFDLMVAKDVASWTSLVNGYIMCGDIVSAGQLFDEMPVRNVISWTAMIVGYVRNKNVISGLKLFQEMRTEGGGGDYDSPTSITIVSVLSGCADVGALDFGGSLHGYINKIPSLVTDVSVNNALIDLYAKGGSLEFAKKVFSEMPQKDVFSWTSMISGLALHGEGRSTVIVFGNMVSSGLIPNEITFLSVLSACGHEGLIKEGQSLFDIMVHQYGLKPTIKHYGCMVDLLCRAGHLDEALELIEMMPLEPDAVIWRSVLSGCMIKRDLRLAEMAAKRVLGLEPYDDGVYVLLWNVYRLTNKWEDALKTRKMMRTQKIKKQPGCSWIEINGLVHEFTAENIIHQFGTDINTILEVICEQCRPIDDLFIL >KVH89811 pep supercontig:CcrdV1:scaffold_187:373396:386916:-1 gene:Ccrd_008220 transcript:KVH89811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRMEYVGNDEHAHLHGQHKRSKSASGINHASRGGASHPREEWNKSIGLHSETRHISPHSPLHKSSSNSNTDVASKQRNSLEKDIEQLQMRLQQEKSVRMLLERALGRTSSTLSPGHRHSANQTKELIAEIELLEEEVVNREQHVLTLYRTIFEQCVSRPSSQQSSLLTSPAHAKKESRKHPTIISSTFCSSNSFPFRNFHALSTMNTPGKGNLLQSKMRHPSLFRSKANNCFETTSSDDVKCPSRLSEEMVKSMAAVYCWLCSPSSTDLEHKKSSSSRRLSTIGEECESMVEISWISTDRNNFSRASYAINNYRLLAAYKIGGHVISANAIEQAIFKFRTPRVGKWLETILSTALWKKSGEERQRISTKFGLEYQPLLCFALCTGTSSDPVKSKKLFIPKLLERFGKETNISPDNLLKWITENVDKKLGDSITKCIEHKTSKKSSQMIEWLPYNSRFRYGQGGCPPSRQKGQTVFKGQSPNQKTAAYKIGGHVISANAIEQAIFKFRTPRVGKWLETILSTALWKKSGEERQRISTKFGLEYQPLLCFALCTGTSSDPVKSKKLFIPKLLERFGKETNISPDNLLKWITENVDKKLGDSITKCIEHKTSKKSSQMIEWLPYNSRF >KVH89806 pep supercontig:CcrdV1:scaffold_187:294693:300163:-1 gene:Ccrd_008214 transcript:KVH89806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCNRFMNKASISSLKSAFKSTYIPKSAPSSPKSPLPTRSTASPLSRFFMSRHMSFPLLETEEMGFARPVIIPKLGLSVPR >KVH89809 pep supercontig:CcrdV1:scaffold_187:353822:368797:-1 gene:Ccrd_008219 transcript:KVH89809 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MALLFRLSRSSRNLQPLHHHLSLALSQSINPHLTNSPPPKPSSNHYYYDNFSDSNRRNHELYIPSFDDSKFNYFHLIRLGQSSGISTSSHSLRDEEKEKRDESNNLELKNKGSVSWIDLYLPEKIRPYAHLARLDKPIGTWLLAWPCMWSITLAASPGHLPDLKMLTLFGCGAFLLRGAGCTINDLLDRDIDTKVERTRSRPVASGALTPFQGVGFLGLQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRITFWPQAYLGLTFNWGALLGWSAIRGSLDPAVVLPLYLSGVCWTLVYDTIYAHQDKEDDKIVGVKSTALRFGDSTKKWVSGFGIACISGLALSGFNANIGWPYYVFLAGASGQLAWQIWTVDISSGADCSRKNFSSKDLCAICVEQVVWSYCVWWHSNRQIIVIIGSSSLLGFLKENIVERLLD >KVH89791 pep supercontig:CcrdV1:scaffold_187:197248:202402:-1 gene:Ccrd_008206 transcript:KVH89791 gene_biotype:protein_coding transcript_biotype:protein_coding description:LrgB-like protein MAAAFPVSWNYLSKNHHQHSRKFSIGSCSSSSKYPHKQDPVTTFLKSIRNTQVSAPITVRGSSSKFSQPGTRRTRSNRVVSLKSAGSDSSITSNILQTALGIVHLVVSLGIILAADKYLKEAFVAAAIKFPSALFGMFCIFTVLVVLDVTIPAAANGLMNFFEPALLFIQRWLPLFYVPSLVVLPLAIQDIPAASGVKICFILVGGWLASLAVAGYTAIAVRKMVKTEMIAAEPMAKPSPFSPLEVAAWSVIFVASFVGALKYPDLLGTSARTCLPFLLGSTVIGYMVGTGLPSGLKKVFHPIICCALSADLAAFAFGYFSKSGLYPILGYYLTKVSSDPGAGDILMGFLGSLVKRHAAEIFTSITIATLFSLYSTALMGRLVGLEPTLTISILPRCITVALALSIVSLFEGANSSLTAAAVVLTGLIGANFVQAMLDKLQFDDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLVCSVPVVRQSLLAIVG >KVH89792 pep supercontig:CcrdV1:scaffold_187:203905:213895:-1 gene:Ccrd_008207 transcript:KVH89792 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 HLFGLLVHSHPPSSTTTSHLSGLHSHPPSSTTTSHLSGLHSHPPSSTTTSHLSGLHSHPRSSTTTSHQPPFCVTLFPLISFKSIFMASLRDIGVSALLNIISAFVFLLAFALLRIQPINDRVYFPKWYLVGARTSPTHTANLVAKFVNLNYKTYLTFLNWMPQALRMKEPEIIDHAGLDSAAFLRIYVLGIKIFGPAAIVAFLVLIPVNVTGGLLFFLSKDLVISDIDKLSISNVQPKSPKFFAHISMMYLFTFWTCYMLYKEYDVVALMRLNFLASKSRRAEQFTVLVRNVPHVPDRSISDTVDFFFKKNHSNQYLCHETGFLGLWGKEVDSIEYYQQQIKELDEKLMMERQRIFKDPKCIMPAAFVSFNSRWGAAVCAQTQQSKNPILWLTEWAPEPRDIYWKNLAIPFVSLSIRRLVISLAVFALVFFYIIPIAFVQSLANLDGLEKVVPFLRPVIELKFIKSFLQGFLPGLALKIFLWLLPGLLMTMSKLEGHVAFSVLERRTAGKYYFFMLVNVFLGSIVTGTAFEQLDSFLHQSPTQTIGVSIPMKATFFITYIMVDGWAGMAGEILRLKPLIMFHIKNMLIVKTERDRQKAMNPKSIDFPEAIPSLQLYFLMGAVYAVVTPILLPFILIFFAFAYFVYRHQVINVYHQRYESAAAFWPHVHTRILASLLISQILLLGLLSTKKAEAVEKDTQDEASEPEIDMKSYLSEAYLHPVLRSFEEIELVEVKVDNKRAHVPSQLAIEPVSGSHSTEPASGSHSNRASPNVQHLEVVVEQHEIVYKKQHETSINVGAMHQ >KVH89804 pep supercontig:CcrdV1:scaffold_187:264530:270218:-1 gene:Ccrd_008212 transcript:KVH89804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MDLSKLPDAQRQQLEQLQKQFQEHQAQQKKQEESASAAAAAAAAVQQQPPAYDPSQVHQSYDTSQVYDQSYYYNYNYQDQSQQQQPQPQQYDASYYQNYYSNAYHQQQQQQQQHQYTHPETSAATAYTVPSEQQRNEGSVSSGLGHVQVQDPYVDPSKQVNLGGTQPNPGYPVPPGLNAAAAAAVAALSQLTQFAGTMGAAERAIGGGGYGPPMAGGGHYGQGPFRPPVGHSPYRGGNRRGGGSFRGGGRGFRPPSSGGSGPPYGRGRGRGRGRGGRHDQSSSHPETSAVEEAKPSEGAESSAQPNVQQPIRIAWCELCRVDCTSKEILEQHKSGKRHKKNLHNMKGAFQPAAETQKDENPITDIGSEHEADKQTKVGTDDNKVETEENDGPEKKPWMKRKMRGGGRGGGRGAKRQRQGANGPPKPKIVIPLMCDLCNVKCDTQEVFDRHVAGKKHVAKLKRFEGHQAMYGPTAVQALYPPNPLSQTLGPQPTYYGAPTSYSAPPDAHIPPSHQTQNPNPQLADAPLEFGTQNAANEPQAAPVSTGETQAGNGV >KVH89789 pep supercontig:CcrdV1:scaffold_187:59645:67700:1 gene:Ccrd_008197 transcript:KVH89789 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1995 MPLSSIPTALSLATAIPVLQHQEVKANVSFGSPRRVVVNKIGRNVGLSVRSVSPGDATGSSIQFDVPFPGDYEELLDQAKEATQVALKDGIQLMEIEFPTAGLESVPGDGEGGIEMTGSMQLIREFCDLLIVPEKATRTRIFFPEANEVQFARKSAFGGASFKLDYLTKPSFFQDFGIVEKVKMVDRVKEEDELFIVGYPYFNVNDYPSFFYPKLAALLTTLFPKMETVYYIHNFKGRSGGALFRCYPGPWKVLRRLGSRYVCVHEQEDMPTLKEVALDILPKA >KVH89808 pep supercontig:CcrdV1:scaffold_187:321945:332061:1 gene:Ccrd_008216 transcript:KVH89808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAKAWRYSGGVLVLANNNHRKKLKHNQHPKHCCWLWQPAETAAMGKGQRRRISWSVVCGVMLFALGLISLFTGHVASNLEWYSQKLVKHRLWYNKMGGFSHGPIDIWKSKFSKFYYGCSERGPHYAPPVREPLSNGYLLIGASGGLNQQRTGITDAVVVARILNATLVVPELDHHSFWKDDSDFANIFDVNWFISFLAKDVPIVKRVPDKYMRSLEKPPYTMRVPRKSEPQYYVDEVLPILLRRHVVQLTKFDYRLASDLNEELQRLRCRVNYHAFRFTKPLQNLGHKLVMKMRKMSNRFIAVHLRPFYGECSLGDLGRMLAQLLGISELEELECDTRETMGIVVKRIGGAVTLMGPLDGGKEVDQYQAWRTKTLSSSLKPKISGILQPNVACGKLMPLPYCGAAETYLDEYVACRTPAANWLRNWFEPDMLAFSGCYYGGGDKERYELGEIRKRWTTLPEASPDGERKRGKCPLTPYEVGLMLRALGFKNDTCIYVASGEIYGGEETLQPLRELFPNFYTKEMLASEELEPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSALFLQREKMLWTTFSSKVKSAQRGFMGEPDEMKPGRGDFHEYPSSCICKKPFKFSDLDNHRNQTATEVPFNPEAGSGYGRNEEDQGVNSMQGIENADLSHRRRVLL >KVH89794 pep supercontig:CcrdV1:scaffold_187:155229:165480:1 gene:Ccrd_008202 transcript:KVH89794 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MADDQDFEMWDDEFLEKAIQLTEAAVCSSSSNPTQPPPPPQQLFPPPPPPHSAPHISYSPPRELSQRVKDDNHRNFPDKGFDFPVSLNGINQGLNSMPPILYSSRLHDENKCSKQKEIIRLKEELGRVSKVLTNLEQECLELRKERDKNEIHLRSVPANGSKDAEAFCSKKSNLKNKDPIEGHSVIQPVTKGTIPCKAVGVQTDELAISTDLTIKKNQSVTCPSRKLAGIWEPQSDRQPKTNLVFKLFVACEADLQALFGCVGLNMPSKKTTTKMDCSKPIFSHMAPNHCFEAAEAAKVSHLYYMLTKISIDIGRLEDLLEALLDLICLQNITPGAACGLETHFEYGKQIVQQCTVLRDNVIVNDSSTVNRSSGKHSEMAHQLCGNITEVSNQHHPVLSRLTTANKVWNKDSLRDDSSLLIPCSKWFCLYQMMQQIVTRHSEEIIRVEAVSIMNILLLRTDAYAEREMYGEVLVFQSISQLLRKEAGLGVQKQTVRLLYLLLNCPKLMSIFCSSCKEVGTTAEVLTTNSETVPAFHSSSAILDGLADCLACRRNGAPTLVLKLQRNTIILLAFLASLGRRGFEILLGYNLPRRTNFLYLILQILASEIDVEASDRIQPFDNFRERKLVIREALILLNRLVSNSQYSTAVLCVLTNRRDMACLTMDIASRLSQKGKGLWQPDTMRESEIVDLARIFKKRVFAFVEDAHREKEVENVNVKEATSEPARKVLCVRK >KVH89793 pep supercontig:CcrdV1:scaffold_187:185030:189226:1 gene:Ccrd_008204 transcript:KVH89793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase MAVSTVYTVQALNSSCSISTPTKTHLGIQQRQVLFYSNAGKKTNKGRVRGGEIRCAGDTIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPRGGNPDSNTLISDTTTVICLDDYHSLDRTGRKVEGVTALDPRANNFDLMYEQVKALKDGIAVDKPIYNHVSGLLDPPELIKPPKILVIEGLHPMYDQRVRDLLDFSIYLDISNDRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPGDNEGKVLRVKLIMKEGVKYFSPVYLFDEGSSISWIPCGRKLTCSYPGIKFAYGPDSYFGNEVSVLEMDGQFDRLDELIYVESHLSNISTKFYGEITQQMLKHADFPGSNNGTGLFQTIAGLKIRDLFEQITASKASAPLEATKA >KVH89788 pep supercontig:CcrdV1:scaffold_187:41046:51813:-1 gene:Ccrd_008196 transcript:KVH89788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MPLWTFMPCPHKYNPFLLLSQIYLINWNLMSILRELEHFRIPLKDIQEATNNFDQENFIGVGGYGKVHKGKLALSNGVVMVAAKQVDRTTRHGKDHFLNEIRVLSSYKHANIVSFIGFCDENNEKILVQEFVTNGSLDKYVSSAKLTWLKRLQICLGAARGLEYLHNGVKGQSGVLHRDLRSRTILLDQGWQAKIGGFGLSMMASMDSTIFGIFGAPGYMDPEYIQTGIVAKESDVYSFGVVLYEVLCGRLAYETYEDHKQLLGPFARHHYEVGKLNEIIDPILREQMNPDSLEAFSVIAYQCLEKYKSKRPTMNQIVQKLEQVLGLQQEFEKALALQKRGMSPSMGEEKRWRRTLAGAGAPISAGAGAGASMGRRRDGAVKKGTSVAVEMEKEMVALDLEGKQEDDHSYKVKNLEYMKIPLEQIKLATRDFHDDSIIGHGGYGKVYKTDLFHFDVQKYVQENMFQRVSMVELSDYQRRKSTVAVKRLDRRYGQGTAEFLQEISVLPYFRHPNLITLLGFCDEGQERILVYEYASKGSLDAYICSTDTKKNHTWAQRLQICLDAARGIEFLHNGVGEYHRIIHRDIKSSNILLGQNWVGKISDFGLSRIGPANLQATFVMTQVAGTLEYVDPQYHKTGVLTKGSDVYSFGVVLFEVLSGRLAYFQKSKDDQEFLPHMAKRCFEQKKVNEIVDPKLKKEFEKGSSTFDDETCPNSISIFATIAYKCLQEKRDGRPTMLDVVEELEKALKSHVKGVEALRTSFDAIRFATNDFSDIMEHKEYGKAYRGELSHPRGSNAVVVKRLDPLASSYGNEFYKEIAILYSYSHKNIIPLLGFCEEANERIVLFEHMVNGSLKEHVKSASLTWKQRLKICVEAARGLAYIHSSGADTRHSIHGDIKSSSILLNDEWEAVISDLIIPKGVGTLGYYDPLYATTGILTHKSDVYSFGVVLFEVLSGRFAIETLKMDQQDPCHEFEDQKRGANGDGQMIFLARLAAYCFKNKKLDGIIFHDIKGQLDAKSLVIFSTIAYRCLKEQLEERPTIGEVVKELEKAFECQDESEWEKKLPRDYEKIIQMSKYPVPNTSTKKDLHSLLSSGILLHNEQLWFSISTDGVTKEMVSATKFSYKNVKWRSIRKSRFSKVARVSDISNLNIQIQIKPQFLTQDIMYGAYLVFKFYDRRKVSSQPLYVNLKYKKAGETLNAYFAEWRAEGKWLTIELFRFWNNNETTYFDVVLESFSRYYCGNCGIFVEGIEFQAIRSVDCIENKELNVEKNLRTLSRPELDMGWMEQMATECQEIINRSEQVFSRKVNGKRCHMLPAKAVIYDPSNVKSYSRKPDQPQSRFAESVEILSRHELRIKCDIEKQMLSSDTTYACFLVFKLSEKCRGLKCPVKARDLLPYKNHERTKIISFTSPSTVNLDKIKWIPKQREDGWMEVIVWETISNMHNGELIPMDLKLISFEGTMFGLVVCGIEFRPI >KVH89799 pep supercontig:CcrdV1:scaffold_187:190600:192378:-1 gene:Ccrd_008205 transcript:KVH89799 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA binding-like protein RCFSILFPSPFCKRSRKRSGTDCLGERRISLPDLHLPMSETNDGRPFFDQFPWFQPWVTFPTSTTSSNASQETYTEAVPVRSVAPTTEPDNKKKKELSSKTPKGPNKTTQSNSSISKKSKRKTMLKPNVERKNLDIVFDESNFDFSKVPPPVCSCTGVARQCHKCGISGWQSSCCNSRMSVFPLPMSPWRPGARVGGRKMSHGAYRKLLCKLASEGHNLSHPVDLKAHWAKLGTNNFVTIK >KVH89801 pep supercontig:CcrdV1:scaffold_187:254267:256691:1 gene:Ccrd_008211 transcript:KVH89801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAAYVLYFFFYFYFIFFTPTSSNSTATTNHKWVGPSGHRHITVDIYGFGDYTTVQAAVDSVSTNNQKNILIHITAGVYVEKVVVPATKPYITFEGEGRKTTVIEWHDRASDRGPDGQQLRTYQTASVTVYANYFSARNISFKNTAPAPMPGMHGWQAAAFRISGDKAYFSGMQVDLHEWYPTRVLIFSKDQKDCELHSIAKRFGSIAAQDRRIPDDKSGFAFVGCKVTGTGPLYVGRAMGQYSRIVYSYTYFDDVVAHGGQRILECTDAGGQGQQQSEEYRGPES >KVH89805 pep supercontig:CcrdV1:scaffold_187:309297:310352:-1 gene:Ccrd_008215 transcript:KVH89805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFFSYYNSFFFFLFFLPSLFPARILPADLFPADLSPNATWNNFVNFIDAGKGANFSGMSELKKYFHRFGYLQVPDNLVDNFTDVFDDNLEYAVVNYQKNLGLTVTGKLDAGTVTQIISPRCGVSDATKTMDNIHVTKHYAYFYGQPRWGRSAQTTLTYAFSGNHMIDYLSSSDVQDAFRRSFSRWSSVIPVNFLESDSYSTADIKIGFHKGDHGDGEPFDGVLGVLAHAFSPENGRLHLDEAETWAVDFKLSKSKVAVDLESVATHEIGHILGLAHSSVKDAIMYPSLSPRTKKVDLKIDDVEGIQALYGSNPDFSYSSLMESDLSSGRVIGNSFKWLTYLVLIVGFLL >KVH89787 pep supercontig:CcrdV1:scaffold_187:95204:133069:-1 gene:Ccrd_008199 transcript:KVH89787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S9A/B/C, oligopeptidase, N-terminal beta-propeller MLVFPLAQIRVGVRRVGGSISSASGFPCLSLRFRTRRSCSSSFSTTSAMAATDSATNSSNLRPPVAKKVKHEMEMFGDVRVDNYYWLRDDSRSNPELLSYLQQENAYTDFIMSGTKHLEDQIYAELRGRIKEDDISAPIRKGNYYYYERTLEGKEYVQHCRRLVENGGAVPSVHDIMPTGSEAPPEHVILDENVKAKEHAYYSIGAFQVSPNHKLVAYAEDTKGDEIYTIHVMDAETCRPVGEPLVGVTCYLEWAGDEALLYVTMDAILRPDKDVKLFSDHLVVYEREKGLPRVTYYSLPAVSEPLESLQGGRAVDFIDPVYSVDPAESQFSSSLLRFCYSSLRTPPSTYDYDMNTGVSVLKKIETVLGGFDASNYVTKREWAIAQDGTHVPISIVYRKDLVKLDGSDPLLLYGYGSYEICLDPSFKASRLSLLDRGFIYAISHIRGGGEMGRKWYENGKYLQKKNTFTDFIDCAEYLIEKKYCTKEKLCINGRSAGGLLIGAVLNMRPDLFKVAVAGVPFVDVVTTMLDPTIPLTTAEWEEWGDPRKEEFYFYMKSYSPVDNVKAQNYPAILVTAGLNDPRVLYSEPAKFVAKLREMKTDDNILLFKCEMGAGHFSKSGRFEKLQEDAFTYTFILKILNMVPALA >KVH89786 pep supercontig:CcrdV1:scaffold_187:82087:90907:1 gene:Ccrd_008198 transcript:KVH89786 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit, helical insertion MGKRASTYAWLGKGQNPSRICCEIEFWKNLGMRDMEENGAKNWREVVKKMLPPGASLPEDCEEDYSIALEYMGPDVSYELPRVEPLDVNPRSIPTASVAESFSDSRRSITRDGPPVIEPIPLPVSYIAGVADSPNQSPRLSGSSESVVSVLRNADSFSASPSASPGSVQNQRNDPNGNDVRRAPAVTFNTIDRPEGKEVDLERPAYPEYVAVSKEKKKKKKKRRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEAIDESKRLTLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPDEMAELLGCPLPPRKLKPGMYWYDKESGLWGKEGDKPDRVVSSNLNFTGKLSPTASNGNTEVYMNGREITSRELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIKGNIWEKATTRFLCTLLSLPVPHGQPQRERDEASNYTTVPNYFEPKKIQKLLLLGLEGSGTSTIFKQAKFLYGNRFSDEELQNIKLMIQSNMYRYLSILLDGRERFEEEAMMKKNSIESHDQSNETGNEADAEETVHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRNELHFLPDVAEYFLSRAVEVSSNEYEPSEHDILYAEGVTQGNGLAFIEFSLDDRSPIYQLIRVNAKGMNEGCRWVEMFEDVRVVVFCVALSDYDQMWLSPDTTGSGSLLQNKMVQSKELFEAMIKHPCFKDTPFVLILNKYDLFEDKLRQTPLSACDWFTDFSPVRPYTNNQNLAHQAYYYVAMKFKDLYASLTPRKLFVWQARARDRVTVDEAFKYIHEILKYYEKEETYYNGAEDSFYSTTDVSSPPFIRQNETS >KVH89810 pep supercontig:CcrdV1:scaffold_187:342334:346088:-1 gene:Ccrd_008218 transcript:KVH89810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGQLLLTCGITFSPLDSFSNSILSSSLADGRLLPHTVGKSLSLNSPFLSLGRRLLIFYKLWRFCYEGAAANAPTKIGVQLIQQLGFVRRMEGEFVVYFSSLPTLYQL >KVI03163 pep supercontig:CcrdV1:scaffold_1870:84601:89762:-1 gene:Ccrd_018543 transcript:KVI03163 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II MALSQSSTLSSKSLFQTYPSHHQSHQPIGISLLPTTNSHRKPKPISAVHAAEPAKNLTKTPPPPPPPLKWTIDSWKSKKALQLPEYPNEANLAAVLKTLEDFPPIVFAGEARHLEERLADAAVGKAFLLQGGDCAESFKEFSANNIRDTFRLLLQMSVVLMFGGQMPIIKVGRMAGQFAKPRSDAYEEKDGVKLPSYKGDNINGDAFTEKSRIPDPDRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFVANSEQGDRYQELAHRVDEALGFMSAAGLTIDHPIMATTDFWTSHECLLLPYEQSLTRLDTTSGLYYDCSAHMVWVGERTRQLDGAHVEFLRGVANPLGIKAHYYTVLGLAEPFLWEVGVAAKVSQKMDPKELVKLVDILNPNNKPGRITVIVRMGAENMRVKLSPLIKAVRQAGQVVTWVCDPMHGNTIKAPSGLKTRPFDSILAEVRAFFDVHEQEGSHPGGIHLEMTGQNVTECVGGSRTVTFDDLSSRYHTHCDPRLNASQSLELSFIIAERLRKRRMGSQNSLSLQF >KVI03162 pep supercontig:CcrdV1:scaffold_1870:747:12983:1 gene:Ccrd_018542 transcript:KVI03162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEEEEHEVYGGDIPDVGEMEGDVDPHHADVEMTTADDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPASAAASQASREEVDTRSIFVGNVDGDAANSPRTELKWHRMPLVSSFTYSEKSEVSNEKNIEKVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEALALNESELHGRQLKVTISSFLPLMKKLQVMAKRTNVPGMKQYRGRRFNPYMGYRGFRRPYVPPYFYSPYGYGKVPRFRRPTRYMPYY >KVH91521 pep supercontig:CcrdV1:scaffold_1871:81938:99228:1 gene:Ccrd_006460 transcript:KVH91521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting P-type ATPase, subfamily IV MKRFVYINDDDLSPELYCDNRISNRKYTLLNFLPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVRQGSKKLIQAQEIHVGNLVWLRENDEVPCDLILLGTSEPQGVCYVEGVIECPNPDKDIRRFDANVRLFPPFIDNDVCPLTIKNTILQSCYLRNTDWACGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFIFQIVVVIVLGIAGNIWKDTEARKHWYVLYPDEGPWYELLIIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNQMVDLETGTSANATNTAISEDLGQVEYILTDKTGTLTENKMIFKRCCISGTFFGNENGDAVKDVELLNAITTGSPDAIRFLTVMAICNTVIPIKSPSGIVSYKAQSQDEEALVRAAASLHMIFVNKNSNILGINPCSLWVIT >KVH91519 pep supercontig:CcrdV1:scaffold_1871:43288:78776:1 gene:Ccrd_006457 transcript:KVH91519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MVHFGVRCQQARNQHNHVKHLHPRSSHTPEASIYHYLPLPEAFKSHCARSESTREADGSRPQSTVDSRQQSIVGRQSTAINRRPSAVGSSQSSVGKGIDESQEAIKTGYVSGKIRXSFIRILPGDXVKIEVSRYDSTRGRIIYRLQNKDSKD >KVH91517 pep supercontig:CcrdV1:scaffold_1871:79111:79518:1 gene:Ccrd_006459 transcript:KVH91517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MAKAIXKKGSRGRIGSRKSTRKIPKGVIHIQASFNNTVTVTDVRGRVASWSSADTCGFRGTRRGTPFAAQTAAGSAIRAIVDQGMQRAAVMIKGPGLGRDATLRAIRRSDILLTFVHDVTPMPPNGCRPPKKRRV >KVH91520 pep supercontig:CcrdV1:scaffold_1871:4155:11196:-1 gene:Ccrd_006456 transcript:KVH91520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFGGFPVNQHQRRVRKKSERIMMKTYFSNFKNTIDNPVDVDAEAELERTNALSSNEQGSSKGKEAEVERTHGVPSTAQRSRKGKVLEIPNTQEPTEAEVERAYGVPRPAHASSKGKDAEVERTYDVPNTAQGSSKGKVLEILNTQEPTVVIVH >KVH91518 pep supercontig:CcrdV1:scaffold_1871:54172:55023:-1 gene:Ccrd_006458 transcript:KVH91518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MILMKMKGVAQTFPGSTVEKVVITVPAYFNDSQRQSTKDAAKIAGLEVLRMINEPTSAAFAYALDKRASTDGKSLCRRIIPDEAVAYGAGYLAANLSDLGDEVVRGLKLIVVTPLSLGVSCKGDVMVVLIPKNTPIPTKKEDTLYKAYDDQTAGLVMVYQGERLRSSENYLLGQLSLSGLPSAPTGRVEIKICYEIDDNRIPHVSAKELTTGRNKAIKTTDGGGLSKAEIAKMIKDAERYKQEDEAHIKKAMTHKALNDYVYRLRCQLKLIQDPVESNYGYGA >KVI06759 pep supercontig:CcrdV1:scaffold_1872:23219:78940:1 gene:Ccrd_014886 transcript:KVI06759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETTRTCQTRPYFCVSKDESDVKKELEILEANFKYTSKLSLPPNSEETTHIIHINLLCASESKIGKTSYNNCFSSIDVNFFSSNYIGYLEKIDDHLYHHDIIDFLAKRPVNHALMCLSSKLFIQQFDMGRFGHVRWEWKEII >KVI06758 pep supercontig:CcrdV1:scaffold_1872:96580:97521:-1 gene:Ccrd_014887 transcript:KVI06758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEYRDDKDPHPSTTMFSKFHHHHHHHHQQQTPPPPPQHFAITGHHFQRSNTSEEADSRGHATPTKNDVSTTGNDGASIEVIRRPRGRPPGSKNKPKPPIVITREPDPSMSPYVLELPGGTDIVDAVARYCRKRNMGLCVLTGSGTVANVTLRQPSTTPGATVTFHGRFDILSISATVLPSLSLSSAVAPFANGFTISLAGPQGQIVGGAVAGQLISAGTVYIIAASFNNPLYHRLPSEEDDNHLRNSGGGTGSAAASDQSPPAGSAGGDSGGHHLPPSTAADSMSLYSCHLPSDVIWAPTARQAPPHPPPY >KVI11117 pep supercontig:CcrdV1:scaffold_1873:50030:53892:1 gene:Ccrd_010476 transcript:KVI11117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MYGRDPWGGPLEINNADSATDDDRSRNLNDFDRAALSRPLDETQQSWLLGPGEQKKKKYVDLGCIIVSRKIFVWTVGLILAAGFIAGFITLIVKTVPRHHKHPPPPDNYTVALSKALRFFNAQKSGKLPKHNNVSWRGNSCLNDGKSDKSGAVLKDLVGGYYDAGDAIKFHFPKAFAMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGTDYFLKTFNSTADSISQIVAQNTCLVVELSQECITRPNSNMFCGFMWWQVGKGDTSGGTSDPNDHSCWMRPEDIDYTRPVTECSSCSDLAAEMAAALASASIVFKDNKDYSKKLVHGAATLWKFARDQRGMYTASGADAATFYNSSMYWDEFVWGGTWMYYATGNQSYLYLASHPKLAKHAGAFWGGPDYGVLSWDNKLTGAQVLLTRLRLFLSPGYPYEETLQTFHNQTSIIMCSYLPYFSSFNRTKGGLIQLNHGRPQPLQYVINYILGKNPRKMSYLVGFGNHYPKQVHHRGASIPKNRIKYSCTGGIKFRDAKKPNPNTIVGAMVAGPDRHDGFHDVRSNYNYTEPTLAGNAGLVAALVALSGDRTTKIDKNTIFSAVPPMFPTPPPPPAPWKP >KVH87975 pep supercontig:CcrdV1:scaffold_1874:98517:100923:1 gene:Ccrd_024643 transcript:KVH87975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase carboxyl transferase, beta subunit MLGDIIIAEPNAYIAFAGKRVIEQTLNKTVPEGSQAAEYLFQKGLFDLIVPRFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNLTQAEETYSMGGIYVTTNRDEDINLL >KVH87973 pep supercontig:CcrdV1:scaffold_1876:54466:56232:-1 gene:Ccrd_024646 transcript:KVH87973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVEGLLFYASVEGNVTLLQILLQEDPLILDRVTVNRSDNMPVHVASMLGHFDFVNEILSRKPQLAGESDSQRRLPLHIASAKGHVEIVKALLSAYPETCLARDSDGRNPLHLAAIKGRYEVVKELLQAQPHAARAMVEQETILHLCVKHNQLEVLKLLVESLGDHEFVNSKDGDGNNILHLAVADKQIETINFLLLNTTIEVNASNTNRETSMDILAQGPKDVKDRQIIRSLTRADAVEPETEGLIEKIPQKWISKMSSDNKNLFPPISQKNREDWLYKKRDTLMVVASFIATMAFQVGTNPPSGVWHHPVLHHIFLISNTVGFLSTLSIILLLISGLHFSKHRGHVSTGIMIVIMWIATTSMSITYYVSITVTTPLHQAKTIRPLSVIIVFVWIGLMTLVVGRYMLLLMAITKKIIKLSYRRSPETTKNLNRSRFSVP >KVH87971 pep supercontig:CcrdV1:scaffold_1876:4761:6227:-1 gene:Ccrd_024644 transcript:KVH87971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MERVEGLLFYASVEGNVTLLQTLLQEDPLILDRVTINRCDDMPLHVASMLGHFDFVNEILSRKPQLARESDSQRRLPLHIASAKGHVEIVKALLSAHPETCLAHDRDGRNPLHLAAIKGRYQVVKELMQAQPHAARAMVEQETILHLCVKHNQLEVLKLLVGSMGDHEFVNSKDGDGNNILHLAVADKQIETINFLLLDTTIEVNASNTKRETYTDILAQGPKDVKDRQIIRSLKRADAVELETEGLIEKIPQNWISEMWSGYKKLFPPLTRKNRHDWLDKKQNTLMVVASFIATMAFQVGTNPPSGVWQEDKLDAIPPRHAGYAVMANNHPVLHHIFLISNTVGFLSTLSIILLLISGLRFLKHRGSTWIMMVIMWIATTSLSITYYVSITVTTPIDKAETIRPLSVIIVFVWIGLMTLVVGGHMLRLMAMTKEDQKA >KVH87972 pep supercontig:CcrdV1:scaffold_1876:44565:47386:1 gene:Ccrd_024645 transcript:KVH87972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEDRSWPDVFAERSVNPKVSSKSKLQSKSGMYIHVLSVFSSEKHPTFRAFSRRMSNVFGKGIME >KVH87974 pep supercontig:CcrdV1:scaffold_1876:83091:83405:1 gene:Ccrd_024647 transcript:KVH87974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSLGIYSSKYELLFGKFIEVPPFFQSFLNSDSISAMTGVCQLVAIGRIDGDVACQTTERDSHVYGK >KVI03414 pep supercontig:CcrdV1:scaffold_1877:82841:84656:-1 gene:Ccrd_018286 transcript:KVI03414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKTRPMAALLLFTGLNVVLVSTITPVYDFVCFLPYWERRV >KVI03417 pep supercontig:CcrdV1:scaffold_1877:3657:7642:-1 gene:Ccrd_018283 transcript:KVI03417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDLALINSPSPATVAGATQTPSSRPLPFREDCWSEDATSTLVDAWGRRYLELNRGNLRQKDWQEVADAVNARHGHTKKTRRTDVQCKNRIDTLKKKYKVEKARVSESNGQITSTWPFYSRLDTLIGSAATAKKSSSSSPPTAVPLPYRKPPSTVLSSQFQQPVVLPQKRPLPVAAAVDDSYFRRNYSAVAAAAAAAEEDGSEDDESLGTSEDDGAAGGEGVRKEGGGDSDCMKRLARAIERFGEIYERVEGEKQKQMIELEKQRMQFTKDLEVQRMQLFMDTQVQLEKIKQAKRTVSDDDVYRSEGSKTSIFAYQIVKGFRF >KVI03416 pep supercontig:CcrdV1:scaffold_1877:15992:32348:-1 gene:Ccrd_018284 transcript:KVI03416 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase B subunit, C-terminal MALLKPHHLSCYHSLRLIMSSRFWVPTHGLSISSICPSPSVLLKPRSNLGVTTLSTNRVLVPRALVSPRAFMSSSPSSVTEVRKGKDGSEHYGSENIQILEGLEAVRKRPGMYIGNTGPRGLHHMVYEILDNGVDEAQAGFASKVDIVLLADGSVCITDNGRGIPIDLHPGSKKSGVETVLTTLEVTVWRDGKECHQIYFRGKPHKPLACKDLPAESEDRTGTCIKFWPDSKIFTSGTEFDYDTIAARVRERAFLVPGLTITLKKEDEDPEKNRYDEYCFAGGLVEYVKWLNADKQPLHDVLSFRKEADEMTVDVAFQWCSDAYSDTMLGYANSIRTVDGGTHIDGIKASLTRTLNNLGKKSKLIKDKDISLSGEHVREGLTCVISIKLPNPEFEGQTKTRLGNPEVRKIVDHSLQEFLTEYLELNPDVLDLILSKSLNALKAAQAAKRARELERQKSVLKVSSLPGKLADCSATDPEIAEIFIVEGDSAGGSAKQGRDKNFQAILPLKGKILNVERKDEAAMYKNEEIQNLIRALGLGVKGEDFKKDGLRYHKIIILTDADVDGAHIRTLLLTFFYRYQRALFDEGYIYVGVPPLYKVERGKQAFYCYDESELKKLQSSFPSNASYNIQRFKGLGEMMPLQLWETTLDPKTRLLKKLVVEDAAEANVTFSSLMGVRVDVRKEMIKSTARKMDLKHLDI >KVI03415 pep supercontig:CcrdV1:scaffold_1877:42745:49034:-1 gene:Ccrd_018285 transcript:KVI03415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin II heavy chain-like protein MATVHVSNGSIADSSPLKKSPSFRYARKKSISRTATEIDDIINHLHGSDPVRFELNRLENQLRDKDRELGDAYTEIKALKYHEHLKEKAVEELNDELNKVDEKLKKTETLLESKNLEIKKIHDERKQALAAQFAAEATLRRVHAAQKDDEMPPIEAIIAPLEAELKLVRTEVSKLQDDNRALDRLSKSKECALLEAERTVEIAMAKASLVDDLQNKNQELMKQIEICQEENRILDRMNRQKVSEVEKLTQTVRELEEAVLSGGAAANAVRDYERRMQEINEEKKVLDRELARANISANRVAAVVANDWKDTNNKVMPVRQWLEERRVFQGEMQHLKEKLTIAERTAKAEAQLKEKYQLRFKVLEERFKSSRNGVSCTAPERTVSNGHAKRQSLGGLENLSRSTSNRYSSRNLLRNGSISSKVHDSSKLVDSDKLLPNGSDHAADEHVTNNGIRGLYEENGNVKEKNKSNPEDSVSGMLYDMLQKEVLTLQKACHQKDQNILSRNVETLNRAIEVEGKRTRREIAAMEKELAALRVGKERGNQMGRRPSVPRTRVMS >KVI03413 pep supercontig:CcrdV1:scaffold_1877:93092:101188:-1 gene:Ccrd_018287 transcript:KVI03413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSLKVGGKANSSVGGSMPSFVSQIPISNSNGTEGNTGHSSRVSNLVAPEQSLGFRGGVYNSTSLIDQAVHPDLQFGTYEKMFQGQNRSQSNQVSNSGGNREAWLESNLADGSPHTDTSTEMDPDDKNQGFDIDPSNPFLASGSSDKQKEKFPEQKTLRRLAQNREAARKSRLRKKAYVQQLENSRMKLTQLEQEVQRARKQGVVISNSGDQSQPNGGNGSLAFVAEYSRWLEEQSKHINELRTAVTSYRSDGELRSIVENATSHFNDIFRLKRTAAKADVFHIIYGMWTSPAERCFLWIGGFRSSELLKASPPNLQQPRLLVSHLEPLTEQQLASIDHLQQTSLQAEEALSQGMDALQQSLAETLSSDAPVVPAGSSGMANYMGQMAMAMGKLGTLENFLRQADHLREKTLQQMHTILTTRQSARALLAIYDYFSRLRALSSLWLARPQQ >KVI04696 pep supercontig:CcrdV1:scaffold_1879:19039:21085:1 gene:Ccrd_016979 transcript:KVI04696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MAAPQEEVANKVEALEPDCLAPPEGENKPPQEKSLTEKSVEKPDEETPIQGSVKRDAVLARVATEKKDALIKAWEESERSKVDNRTQKKLAAIGAWENSKKAELEAELKKTEENLEMKKAKCIEKMKNKVALLHKTAEEKRAMTEAKRGEDLLKAEEIAAKCRATGSTPKKLLRWFSS >KVI04698 pep supercontig:CcrdV1:scaffold_1879:70259:72621:-1 gene:Ccrd_016982 transcript:KVI04698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDHHGSHADGAHGHGGGDFRAKVWTMSGGPNCRPLHWKRNTAIAMAGIFLVCIPIAMKSAELEQRPHMPVHPIPSQIWCKNFGKKEY >KVI04700 pep supercontig:CcrdV1:scaffold_1879:20498:22889:-1 gene:Ccrd_016980 transcript:KVI04700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKKRGRKEEKMGRLPCCDKGEVKKGAWTPEEDKILLDYITKNGHGTWRSFPKLAGLRRCGKSCRLRWTNYLRPDIKRGTFSFEEEQIIFQLHSLLGNKWQNGITPGWRSMPGCRWTQCSSAQQLVWATVTTSFVHGILKLEKHFKEQRISIRRFAPSQALEHPP >KVI04697 pep supercontig:CcrdV1:scaffold_1879:5271:6283:1 gene:Ccrd_016978 transcript:KVI04697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MCVGGALNSDFIFPSSSICLNHNNHFHHIHMAQKVVLKVVSMTDDKTKQKAIEAAAHIHGVDSIGANLEDQQVTVVGEMDAVAVVKKLKKVAGMVEIISIGPAADQEPPPPPPPDQKKKKKKKTTTKKKKKKQEDNKGEGKEEKNKNGGELTGYYPSPSLYSSRMHGHIEGFVN >KVI04699 pep supercontig:CcrdV1:scaffold_1879:90314:92342:1 gene:Ccrd_016983 transcript:KVI04699 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MAVELLETVKEAITAYTGLSPNAFFTVVASGIAIYYVYSVLFGGSSDHHPQHRPRSFEEDVQPLPPPVQLGEISEEELKAYDGNDPQKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLNGDLTGLGAFELDALQDWEYKFMSKYVKVGSIKNPEAAPEPSTTATAEPAHGSGATEATEAKEETATTTTTTTTTEVGKDD >KVI04701 pep supercontig:CcrdV1:scaffold_1879:59288:66658:1 gene:Ccrd_016981 transcript:KVI04701 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, connector domain-containing protein MGKQKQQIISRFFAPKPKPSSPPSSPPPSQSSLPNLSTPPPKISATVTFSPLKRLRTSQLLSPVNKPPKTPLLSSNSDLPESSRTKLSPHTQNPIPHLSNPTLHEKFLNKLLEPSHEDRRNVSTSNTIVSVNPKYTPLEQQVVELKAKYKDVLLMVEVGYKFRFFGQDAENAARVLGIFAHMDHNFLTASIPTFRLNFHVRRLVSAGYKVGVVKQTETATIKAHGSNKLGPFCRGLSALYTKATLEASEDVGEGDEGCGSCNSYLVCVVEKKIDVAKGSGSGNDVKIGIVAVEISTGDVIYGEFDDNLLRTGIEAKILSLSPAELLIAYAGPASNVRVERVSRDRYADGGALAEVLTSYDRMGDSHLNDNPQREYVELEEKTKRHLAIKAIMAMPDLAVQALALTIDHLKQFGFERILCLGASFRPFSNNVEMTLSANAIQQLEVLKNNSDGSESGSLLERMNHTLTISGSRLLRHWVSHPLRDRNMINARLDAISEILESMGSHNTCSDRGYERTKLHQLLTSVLTNLGRSPDIQRGITRIFHRTATALEFIAVIEAILLSGKQLRQLQVEEEDEGDRLQANSIHSPLLRKLILTASSSNIISRTSRLLAALNKEAAEQRDIPNLNKVKQAKEKLDLLIGLYRKQLRNQKLEFTTVSGTTHLIELPQDVKVPSNWVKVNSTKKAIRYHAPEVLTALDDLSLANEELLLVCRSTWQNFLNEFGGYYSELQVAVQALAALDCLHSLALLARNKDYIRPVFVDDSEPVQICVSSGRHPVMETMLQDSFVPNDTSLHADGEYCQIVTGPNMGGKSCYIRQVALLAIMAQVGSFVPASSAKLHVLDGIYTRMGASDSIQQGRSTFLEELGEASHILESCTGQSLVILDELGRGTSTHDGVAIAYATLQYLLEQKRCMVLFVTHYPEIVKMAKGLTGCMGSYHVSYLTSQKGEPNGDYEDVVYLYKLVPGVSERSFGFKVAQLAQLPLSCINRASVMAMRLEDVVRKKKILQESGRNDEAGDMEGYKEMFSNLNLAAYSQDIDPAKSFEYPATNEKDLWYGVRGSVYVVTLPAFQRCLEDRPDATEQRLRSGFPTGRGGEEMAILRHITTYRQHWRDLGRQPDWESDDS >KVH98018 pep supercontig:CcrdV1:scaffold_188:261655:262164:-1 gene:Ccrd_023756 transcript:KVH98018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDQKFRAGEAKGQAEEKTGQMMGTVRDKAQQGKDKTSGMAESGWERTKETTDQTGSYVSDKAGAARDKASQMGQATKDKASQMGQATKDKASNMAQSTKETTQAGKEKTGGVMQRTGEQVKSMAQGAADAVKHTFGMGEAGAGHEEESGGGGLGTTTTTTTRRTNY >KVH98006 pep supercontig:CcrdV1:scaffold_188:156870:162222:1 gene:Ccrd_023767 transcript:KVH98006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLMFSAQELKIDEGLGYPKAYAKLCRDRGFGPYSHGPPFTFTPYALQPYQDSRAKELDWMFPIVDPKAKPSARPKIFVSILWKQLNHLGNAGFDPETFRIDPYGNVLYIHADSASPLAWDIDHWFPCSRGGLTVSSNLRLLQWQVCKKKHNKLEFLVPWWDLQVGISVNQHRGFYLLFSNGENEELNASQTVESHCFPQPFNESWKKSGLAPAAVVVSRKESNDALLALQSIDINRRHTMNSPIENEVPEMVTNPYQAMVVARDSLRQQEETVKKQAEIEKLDEEVVQLKQKNEEERTSIQDLELLLIKKRRRAEKCRRLESQKAMCDSSERELHKKFRHRDELEKQIRPEWEQIRKRSRTDDDALCDGKDDKMILYLKPESNNNEELPVDNAMEVALLQEKDENTLLCLPEVGSAHKQLRVFLEEEHKASEAEQEDGNEIEIEKKNESCEGENGKKPQKLQIEEKGIMYDIRFPVDDAELVGKEEDEESRKQRGKGNVEKWLQLLLDEGTTDQNTEIRGLDASKTEEIIRKMNAKYPQKEILNEEETPEVELEEATKEMKKEILQKEETHEVELEETTKKILKNPPYKIISVKTRELECEKGVGGSRRKSFEVKEKSEKIGKFKDIARSESARMLRRIPSSPSIILGMKKGVDCIRRKPAVKGDDEDYAANSSFIKSSYKVIKKAVKI >KVH98005 pep supercontig:CcrdV1:scaffold_188:164256:168329:-1 gene:Ccrd_023766 transcript:KVH98005 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier protein MEGVIQTKGLLSLPSNPQTRAFLYKPPQLSLRQRFNLSNPLKPISTNGFSLNLDGSRKFQGFGTIPGLLGGKKARIHVCKASAAAGAAVPGGAGGGGYGEVEGPKFMGIEVLTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVILPFIAFFGAFGFVLYPLSNYFHPTALADRLLEFLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTIEEAKKFYPLFGLGANIALIFSGRTVKYFSNMRKHLGPGVDGWAISLKYMMSIVVLMGLAICGLYWWVNTFVPLPTRSKKKKEKPNMTTMESLKFLASSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQWIFNKYGWGVAAKITPTVLLLTGVGFFSLILFGDPLGPGLMKFGITPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVVAWLGAAKSLDTQFTALRQEEELEKEMERAAVKIPIVSSNVDANGSVGSDASSGSPETSFPRNA >KVH98031 pep supercontig:CcrdV1:scaffold_188:33550:37229:1 gene:Ccrd_023777 transcript:KVH98031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSSFMLSLSSILRRSIPPTVLSHARQTHVQILIHGLIHDATLQTDLLLAYSKGSLLYARKVFDKMLERNMHSWNIMISGYVRKSMHRDALSVFDEFLNSGLKPDHYTLPLVLKICAGIGDVLLGVMLHGMVVKLGFENCVVVSTSVLDFYSKCGKLNDARIVFVGLSWKDSVVWNSMISGFAKAGLHLEALDCFRNMLGNKAELDSMTVPTLLNVCGKLGDVTKGKEIHGQVLKNTVLHKDTAIGNSLIDLYSKCGYLCDAEKIFLSLRNRNLVTWTTLISCYGFHGNGKESLRLFEKMKDSGFKPNSVTLTAILASCSHSGLIDQGKKIFNSIRSSYRFEPTVEHYACMVDLLSRFGWFNEAIVLIRSMKTVPPASVWGALLAGSLVHRNVETGEMAARHLFEIEPTNASNYIALCGIYDSRGMWSDVSRVRSEMKRSGFGKTPGCSWISIGGEIRTFYQGDFHSSLGHKINETFEGIIGTLLLT >KVH98026 pep supercontig:CcrdV1:scaffold_188:110565:113098:1 gene:Ccrd_023770 transcript:KVH98026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MSLKPLDAFRHLDLNSVKELPDSYAWSTADGCPSSCSSEPVPVINLEDLNAIKHVGHACKTWGVFQLINHGIPTDLLNNMEASGRKLFSLPIQQKLKAARSPDGLSGYGVARISSFFPKLMWSEGFTIIGSPHEHAEKLWPQDYKKEMNKLANRLMWLILGSLGITRGDINWAGPGGELKEASPALQLNSYPACPDPDRAMGLGAHTDSTLLTILHQNNTSGLQVHREGFGWITVKPIAGALVVNVGDLLHILSNGLYTSVLHRAIVNQTQHRFSIAYLYGPPSNVRISPLSKLTDNVHPPLYRSVTWSEYLGTKAKHFNKALSFVRLCAPMNDFVDTNDHNSVLVG >KVH98027 pep supercontig:CcrdV1:scaffold_188:71169:76873:-1 gene:Ccrd_023773 transcript:KVH98027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPPKSKKITSNRFLWRPSISVDPTPESHCGRTMSSSSMAVVMDALHRPSKPVLTPSSSSTSASLDRSFNYNNHLSSRFLPLPITTTSSFTSHRITSQLPVQSSKSEYAAIVVGKEATNLDRIRLLWQWKCKSHGCSWMQDNSTYKDIVVNNGRRQGPFRSVFPSTPAEVSSVKDLFEFICSGPLMDKLGFTQETVANSIDKWLEKGFHVCQLFNLNELYLTTPQKARIYHYYIPVYLWCEQQISDHRSTFKEGDDIPPLVIGFSAPQGCGKTTLVFALDYLFRTSGRRLFLNPQFRGNAGSHDLSLSVETLTALGKLSKEGLKMKLPRYDKSAYKGRGDRADPSTWPEVEGPLTVVLFEGWMLGFKPVPGKVVKAIDPQLEIVNKNLEAYYDAWDKFIKSWIVIKIKDPSCVYQWRLQVCHHLDVLLQAEIAMREAGNPGMSDEEVMDFVSRYLPAYKAYLPTLYTEGPKGSDSKRTLVVEIDEERNPILAG >KVH98028 pep supercontig:CcrdV1:scaffold_188:79387:87395:1 gene:Ccrd_023772 transcript:KVH98028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASIAGVQDHLKLAREYAVEGLYDTSVIFFDGAIAQINKHLNSLDDPLVRSKWMNVKKALSEETEVVKQLDSEKRSFKDTSVGRRPTSPPISTNKSSFVFEPLDEYPTSSGAPMDDPDVWRPPSRDTSNRRSARGGVGATRKSPQDGAWARGSTTRGGATARGGKTGASGRANSGVRASNGDAEEATTKRGQYEGPDHDLAAMLERDVLENTPGVRFEDVAGLTEAKRLLEEAVGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQVDGVNNTGSC >KVH98017 pep supercontig:CcrdV1:scaffold_188:252591:260884:1 gene:Ccrd_023757 transcript:KVH98017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small-subunit processome, Utp12 MAKERVKPLMSSFTSKAEVFAALLPNGVLKVWNTSDGNLLAEWKQPDGESDAHFSCIACSFVGKKRRKEKGTCLVALGTDDGEVFTINATAAEMKRIAALSFANKGRKLCVISTDGATCEMNSESGELLKETKISKKYISSAVYFSVRHISMLEDTNLIITSGFDDKNLQVWKNEANTEIVTSGPILSMRHPSLAIECKSGCNGEDLAVLSVSENGVAYIWNMKNSSEGNIVPAKIKVEAGESETDPNVSGKKKRHTSILAARLSSVDSDDRVTGVIVYGSINSPQFTSVDVTSPGEDIVIDARADVRENGVNDGKDHGDPMDGVQIDNDIDEPTMGEKLASLNITKNEGESEEKAESSPAKPPSADSVHVLLKQALHADDRNSVYLLNPSEVFKLLESLISMIQSRGAVIACALPWLKSLLLQHSSSIMSQESSLIALNSLYQTLDDGVDEGEGPLEPIIFEDVSDEDEDGSEEDGDNMETDEEDNEELEAVSNVSDLEGSDGMAD >KVH98002 pep supercontig:CcrdV1:scaffold_188:379806:380595:1 gene:Ccrd_023749 transcript:KVH98002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein FPSPTTTLPTNPNCFLPIGDHFGRKTIIGILSLMATMNRLLNNLSPWHLIRRLKQKDESYDIKYQMPGLSKDDVKISVEDGILFIRGEHKDSGEDENWWGASYSYYNTSLRLPEDAKVEEITAEMKNGVLHLIIPKDETKKKDIIKEVQVG >KVH98016 pep supercontig:CcrdV1:scaffold_188:244599:250645:1 gene:Ccrd_023758 transcript:KVH98016 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MNYRFQNLLGAPYRGGNAVVVNNTLLISPVGNRISVTDLVKSETITLPFQSSSNISRIAASPDGVFLLAIDDNSRCLFVNLRRRAVLHRITFKKPVACAKFSPDGSLIAVAAGKLVQIWRSPGFKKEFFPFELIKTFANCNDKVTTLDWSPDSDYLLAGSKDLTVRLFCLKKLSGLHKPFMFLGHRDIIVGAFFGVEKKTNQVSRVYTISRDGAIFSFNHNGKDEKLDEPPSPGTPEQSMEGDEISNVKKRKTLEADFDEESSSLLHKGKWELVKKDFFMQAPARLTACDYHRGLEIVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTATFNELGNWLTFGCARLGQLLVWEWKSESYILKQQGHYFDVWTVSSGFCFVTFSEHTNAVTALHFMASNHCLLSASLDGTVRAWDLFRYRNFRTFTTPSSRQFVSLASDQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTGAVLASSSWDRSVRLWDVFDGKGSVETFPHTHDVLTVVYRPDGKQLACSTLDGQIHFWDPIDGLLMYTIEGRRDIAGGRLMTDRRSAANSTSGKCFTTLCYSADGNYILAGGSSKYICMYDVADQVLLRRFQITLNLSLDGVLDVLNSKNMTDAGPLNLIDDDNSDIEDGVDKQTRVKSSYDLPGSMPNRGRPIVRTKCLRIAPTGRSWAAATTEGVLIYSMDDNFIFDPTDLDIDVTPEAVEAAIGEDQPSRALILSLRLNEDALIKRCIISVAPAEIRAVELSKCHGHSIQQNSRNLLPSLKSLQKAITRLHQDLGDTCASNEYLLRYLCSTSSKP >KVH98033 pep supercontig:CcrdV1:scaffold_188:2075:24188:1 gene:Ccrd_023779 transcript:KVH98033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, WD associated region MLPRSTLNISSSQITNLHSLSSPSNSIISSTKMSSMVEIKKTFDRRSERVKSVDVHPTEPWVLLGLYSGNVCIWNYHSQVSHLRTSKFIAHKEWIVVGTDDGFIRVYNYNTMERVVEIKAHDDFLRSVVVHPSHPYILSASDDKLIKLWDWEKNWECVQTFQGHDHYVMQIAFNPRDANVFASASLDCTVKTWNLGHLNQRSSIEGHLQGVNSVEFFNTDEKLYLITGSDDNTVKVWDYETETCVQTLEGHSHNVTSTLCVNSDVSSIITGSEDGTVRVWDAKTYNLDHVFTSELGRVWTIGFIKDSAHFNLFCLYSALGQPLRLDIKVLFRQFGMILIVKCRYLPNNHMLTKSTFLQRKLAQRSERVKSVDLHPTEPWILTSLYSGTVCIWDYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYILSSSDDMLIKLWDWEKSWYCQQIFEGHSHYVMQVTINPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMKGSRRSWSASLILIALSGVKIRHKISGAALALLRIHLSLLSFIRKQFIFFMHFPCRIAIGYDEGTIMVKVGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADYEVSDGERLPLAVKELGTCDLYPQVSCAYFYCSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSSDGEYAVRESTTKIKIFNKSFQLLLANLNPCLFIWILVDQEKKSIRPTFSAERIYGGSLLAMCSNDFICFYDWAECRLIQRIDVNVKNLYWADSGDMVVIASDSSFYILKYNRDVVSAHLDSGRSVDEQGIDQSFEPLYEVDERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLTLIEYKTLVMRGDLEQASAVLPLIPKDHHNSFLIKMQNLGNEFIMDLVLKILGSQSDYGFFGSVAHFLESRGMIEEALEVATDPDYRFELAIQLGKLEIAKDIALVAQSETKWKQLGELAMSTGLLGMAEDCLKHANDLSGLLLLYSSLGDAEEIAKLASLAKESGKNNVAFVCLFMLGKLEDCLQLLVDSNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNQKAAESLADPEEYPNMFEDWQVALEVEARAAETRFCCLSMDSYPPAAEYVNYVDRSHVNLVEVFKNMQLDVEEPHENGGLDHEGLEQTDKEEEFVDGQEVSQEESQEEDTAAMDNDSSDGAVLVNGNEAEEEWGLHFELLLVRVRGVIL >KVH98007 pep supercontig:CcrdV1:scaffold_188:183727:190217:1 gene:Ccrd_023764 transcript:KVH98007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKGGLFEKIRRFIRTLHFLVVMVASLLILWLPILVAIGDILVPCVLISSFTCVKCYSFKEHLQRYDFRSSLTDVPVVSAIRSLIISGVYLMYDSPVLSHGQYLGTVTLCSIFSILVLSVKACVFTVNSQLEAEASSSLSTQRLHLKKSWGMHVLFLSSVVFALGHTVIAYRTSCRARRKLMFNRINPESVRVFLNQILSSIGYAKVPRSPTPTSDRAQKSDDEIRRKPLRLGHNHGELPVRLLTDVDSLFMPWHGLTIHYKLTMPSPPSRTLSSTTLLENPSLSDIPKTQHHLRRSFSIQIQDSSLYAPLLDGNSSPIFSEEMPVFSIDEGTNEYPTSKVVSPSPQVSEVNGRFGIVLVHGFGGGVFSWRHIMAVLSRQVDMLISFCKEMGLSSVVLVGHDDGGLLALKAARRVLSSPNAVDVEIKGVVLLTVSLSREVVPGLARILMRTSLGKKHLVHSLLRTEICQAPLCVEGWDDALYEIGKLSSDTVLSEQNASLLVQEVEDTPVMVIAGAEDALVPLKSVQNMASKFTLVAISGCGHLPHEECPKVLLAAMLPFISKLL >KVH98009 pep supercontig:CcrdV1:scaffold_188:195696:204896:1 gene:Ccrd_023762 transcript:KVH98009 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDKKKVAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSTPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFTAKLWDALTGDELHSFDHKHIVRACAFSEDTHLLLTGGFEKILRIFDLNRPDAPPREVVNSPGSIVHTLETKSSVTSAEVSKDGRYITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPNFGNKFIAGGEDMWIRLFDFNTGEEIGCNKGHHGPVHCVRFSPGGESYASGSEDGTVRIWQTLTGPSSQKGNTVLGANGPTKQPSAVEVGSKMEDLHIGSKDGIRE >KVH98012 pep supercontig:CcrdV1:scaffold_188:209324:221929:1 gene:Ccrd_023761 transcript:KVH98012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, C-terminal MCCVVSNEMNGDWPPFFVLVMVVLGTERGEGQGQQEFRRQQGYTDEGMENMKSPFRGIAGDIRGRALCYKQDWVAGIRSGIGILAPTAYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSILGGQPLLILGVAEPTIIMYIYLYNFAKGRQDLGQHLFLAWAGWVCTWTALLLFLLAIFNACAIISRFTRIAGETFGMLISVLFVQEAIKGLVSEFEIPKSEDPNSVKYQFQWLYTNGLLAIIFSFGLLYTSLRSRRARSWLYGTVWTALSFSVPSKTPSGVPRRLISPLLWESESLYHWTVIKDMGKVPPVYILAAFIPAVMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDIFLLGFMTLLCGLIGLPPSNGVLPQSPMHSKALAVLKRQASPNGKKDFLHLNFLLHQMTTAIVKELKDLQEAVMSVENGGENTNEKFDPEKHIDACLPVRVNEQRVSNLLQSLLLAASICAMPLIKLIPTSVLWGYFAYMAIDSLPGNQVLEKVHASFVESVPYRSIAIFTVFQIIYFLVCFGVTWIPIAGILFPVPFFLLIAIRQHILPKLFHPHHLRELDAADVGSENNEGEVTVCDAEILDEMTTSRGELKVRNHSFNEERRTQVYPGE >KVH98024 pep supercontig:CcrdV1:scaffold_188:371986:377745:1 gene:Ccrd_023750 transcript:KVH98024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YPDLIRLDIVVTVYIYTYKLGIWVSTSSSPICIFSPEIHQAQLIVFRCSISSYSRASNPFRFGRPSFIFTTQKEGNVFPFQIDVSDWSTATIRICNCLAVSSCCMYGSSGCLGCDAKHKLMKGQESQIRSASRPSMAEGVLTSSRLDVDNSAQWVGNKGKSNQGDQLRESRLSWNETYVSLLGSNKPFAMPVPLSVSFFDLLEFRIMI >KVH98020 pep supercontig:CcrdV1:scaffold_188:297515:306403:-1 gene:Ccrd_023754 transcript:KVH98020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MPNYVTMAPPESTPILELEKMRKRGFWFRKWDSADITNLCLIIVKHVLGCCAPFVFDWGAFWVTLVLGILAGLGVTLGYHRLLTHRSFKIPKWLEYFIVYCGVHGGQHLIVFSASELFIGHIYKCMQKDPIFWVSVHKNHHKYADTEKDPHTPMEGFWFSHMGWFCHNQYIAAKCGESRSGGYSNVAELKAQWFYRFLHDTWVAMLTLGEGWHNNHHAFPKSARHGLELWQFDLTWELIKFLHMVGLATDVKVATEADKKRMALPKVTLLYNKMPNYVTMAPPESTPILELEKMRKRGFWFRKWDSADITNLCLIIVRHVLGGCAPFVFDWGAFWVALVLLIFTGLGVTLGYHRLLTHRSFKIPKWLEYFFVYCGAHGGQKDPIFWVSVHKKHHKYADTEKDPHTPMEGFWFSHMGWFCHNQYIAAKCGESRSGEYSNVAELKAQWFYRFLHDTSIWHTVALAALLYLHGGFPYLAWGMGMRELVMNHFTFLVTSASHMWGQRPWNTPDTWVAILTFGEGWHNNHHAFPKSARHGLELWQFDLTWELIKFLHMVGLATDVKVATEADKKRMALR >KVH98014 pep supercontig:CcrdV1:scaffold_188:119959:133271:-1 gene:Ccrd_023769 transcript:KVH98014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDIYKASSSIRLGSVKGVSGRTSSLRSGSNSVWRNSGMDVFSKSSREEDDEEALKWASLEKLPTFDRLRKGLLFGSSGPSNEIDIDNLGFEQRQHLLDRLVKVADEDNEKFEHVSVEADANTGSRALPSFINFHIDIFETALSKFGVLPHAKRHITILDDVSGVIKPSRMTLLLGPPSSGKTTTGKVTYNGHELHEFVPERTSAYISQNDVHIGEMTLSRREKDANIKPDPDIDIYMKAAATEGQEASVVTDYTLKVRQHKLFLYLLGLDICADTMVGDQMIRGISGGQKKRVTTGEMIVGPSKVLLMDEISTGLDSSTTFQIVKSLKQFLHILEGTAVISLLQPAPETYDLFDDIILLTDGKIVYQGPREHVLEFFESMGFKCPERKGVADFLQEVTSKKDQQQYWMRRDDPYRFVTAKEFAEAFQSFHVGRKLGDDIATPYDKTKSHPAALTTEKFGLNKKELLKACTDREILLMKRNSNNPDDGGLYTGALFFGVVMIMFNGMSEISMTIAKLPVFYKQRDFLFYPSWAYALPSWVIKIPVSFLEAGLWTVLTYYVVGFDPYVARFFKQYLLLLLVNQMSSALFRFIGALGRNMIVANTFGSFALLLVFALGGFVLVRANNRVFYSKEDVKKWWLWGYWSSPMMYAMNGIPWNDTTLGKTIIESGGFFAEAYWYWIAIAAMIGFILVFNLCFALSLALLDPFGKTQSNAATEDSTDAGAIELAPRSADDGSQTKKKGMILPFEPHSITFNDVKYSVDMPQGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLATDSFVDEVMDLVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVLFLMKRGGQELYVGPVGRHSCELIKYFEDIDGIAKIKDGYNPATWMLEVSTSAQEMALNVDFTEIYRNSDLYRRNKALIAELSVPRPGTQDLYFPTQYSQSFLVQCIACLWKQRWSYWRNPPYTAVRFAFTTFIAVMFGTMFWDLGGKKNDRRDLVNAMGSMYAATLFLGVQNASSVQPVVDVERTVFYRERAAGMYSALPYAFAQVLVEIPYILSQTGVYCVIVYAMIGFEWTAAKFFWYTFFQLCCLLYMTYYGMMTVAITPNANIAAIIAASIPVWWRWYYWGNPLAWTLYGMVVSQFGDLDGPDDVLSSGETVKAYLDDHFGFKHDFLGAVAGVHVGLVLIFAFIFAYCIRAFNFQKR >KVH98008 pep supercontig:CcrdV1:scaffold_188:177569:179166:-1 gene:Ccrd_023765 transcript:KVH98008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, N-terminal MPPPPNLPPLPPPPTFYWGETPEEEFYKSQGVRNSKSYFETPNGKLFTQSWLPADEDQPIKAVVFMTHGYGSDSSWCFQKICIEYAKWGYAVFAADLLGHGRSDGIHGYLGDMDKVAETLLLYFLSVRRSETYCKLPAFLFGESMGGMITMLLHLTMYGLLFGFADTWAAMPDNKMIGKAIRDPEKLKIIVVNPKRYTGKPRVGTMREVVRVTNYIQNNFHKVTTPFITLHGTSDGVTCPTGSQLLYEKASSEDKSLKLYDGMYHSLVQGEPDDAADLVLADMKAWIDEKTEKYGQKTDGN >KVH98015 pep supercontig:CcrdV1:scaffold_188:239300:243431:1 gene:Ccrd_023759 transcript:KVH98015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydrolase FSH MSYTTASIGSGSRTARRTVEFGRTYVVRPKGKHQATVVWLHGLGFDEGELSEDGPNDVKGLDASVSHIANLLSTEPSDVALGIGGFSMGAACALYSATCFAQGRFGNGSPFPVNLKAIVGLSGWLPGASIGHYTVPKEMEEVCQWLNARLGA >KVH98013 pep supercontig:CcrdV1:scaffold_188:150382:153522:-1 gene:Ccrd_023768 transcript:KVH98013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2921 MKSVLIFRIFAILCIRLVNAFGSSIDFNGNRVGDFRSRNEPAMIIKYDRIDEVKKECSSILPPDRESNHKPYGKRLFRLKEKLSFVNGDWWEELGKSPLMPFDNKFNGVNGSLDQRSPLNLISFWVTDVDRAHRSKNSVSINGVLQVGITIGGLFGSKPYDRNPEFNIYPGNSQLTISFQGIVAETEENNGETVMCLLGNTMLPFRYTDSNNPWDWVKEPGYMNQPPLIEDDRILLVVRYPKTFTLIKRGIYGSLKSLNPKSSQKFFDEVHISASLSSSANYEFSSQKLVSKACDPYPYKDGFADTGVGMYKGTDFCLILERFTGQDPLTVVPNWRCNVTDEFCSKLGPFKSDDRIKATNGSFKGVELSFQDLRCEETAKNRGITKVAALIRVVSPLEDRYNAAQRTGLNNMTLSAEGMWESSSGQLCMVGCRGVFDQNQDQDQEINGCDSRICLYVPLTFSIKQRSIILGTISSVKEGNGSFFPLSFEKLVRPSELYDQYTESRPYYEYSKIALAGAVLEKNEPFSFGTVIKKSILTFPKVEDADSFLVSLSLLAEDLTLHHPAVLDSGHFPARTDVQIEILSLGPLFGHYWSLQNDSSVEEDTPYHSSKTYTERQLLLNVSAQISLTGPQFGNFSNLFVEGLYYPLVGKMYMVGCRDVRASWSILYGSADLEDGLDCLIEVVVSYPPTKTRWLVNPTARISVSSQRNAFDPLFFKPIKLQTVQIMYRAQREDVLSRRGVEGILQALTLSIAIGCILSQLFYIKENLDSVPYISLMMLAVQALGYGIPLVTEAEALFKKSESYDNSSVLERSQMIRVIDYTVKILVLVSFSLTLRLFQKVWRSRIRLLSRSPLESHRVPSDRRVLLVTGLIHVLGFICVLIIHKLQSWLVELEEYVGLIQDFFLLPQVIGNLIWQINCKPLRKSYFVGITIIRLLPHIYDYVRTPIPNPYFSEEYEFVNPNLDFYSKLGDIAIPTTAILLAIVLYAQQKWSYEKLGGILSFRKFSILPRRSVAYERLPPVAAEAELTSSVNGGAVRRKEVEVEVE >KVH98010 pep supercontig:CcrdV1:scaffold_188:191413:193015:-1 gene:Ccrd_023763 transcript:KVH98010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGKGAKGLIMGKASSSALNGSNNKDKDKKKSISRSSRAGLQFPVGRVHRLLKTRVSASGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >KVH98032 pep supercontig:CcrdV1:scaffold_188:47843:54468:-1 gene:Ccrd_023776 transcript:KVH98032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQAQRFNLVDPFQGQSIISLHPRTFGDSKDALKSKDLEAIHQHMNSMALQFSDKHFEKARSIVDGGSEFINTNESEADTIDKENPQANRPALARKRAKFSLKLDTSLTCNTVDSQPSTVLEPSFQIDQLQDPEEIFAAFEKFENARKEMKRQRGGEDLNEAKVSTTARHRRPEIPRRKTSYKHHEYSSQSKNNTSFAQESLQDNIGSPPALSLQQEPGTPNWESKEDEVSGSITKKEDRLNKLVDELMSSNIDGLYGNEALSFLKDHLKIKPVYISDLRLPDIHDIHRLDFISPPNNLTKGQSMLSGTHALPDALKGQTLGKQKKLSDNPFHSLDSPTPPRSPFLAISTFGKRMLKSIESNDPFSAHDIDSIPTTASTEIIGGHSTHVSKDKEFPVSGTLDSLAKNKVRETAIDVTHKVSAGASINSPESDKLLNDNMNGCSFTSLSESLIGMEDRDQSHNMEERDVNDNEVGPYVATDIQTDEGIKGNVNAHVIFIIFSYLRTHELNTVDCDYMQAEDAVQKAASVTLLHLNFEEITDNVYLQCLQDREENVGDMTEKAGSSALPEVNVEVSRIEDLGGHRSPSGANSIEGLGASGPTQDPDIVPEQQNERPALHGNPV >KVH98022 pep supercontig:CcrdV1:scaffold_188:351271:352241:1 gene:Ccrd_023752 transcript:KVH98022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MALGFYTNWSDHHDTAGYSSLFRPPSPELPPELFAFSESSTLYDNCINQLFDPNYSNNNLDQFPTYSNVLPPITPADAAGHVFAPSPEFQVSSFSYEDPYLNHLPYSTTFDHHQQQHLSHFFMMEHPMGIAAALPPSQLPEIYQDGGGGATLSSSWYDGGRGYSKDKVEESSRVQVTGKQNAGGGGGMIRLSAQSMAARVRRRKISEKTQELGKLIPGGHKMNTAEMFQAAFKYIKFLQAQVGVLKLMDSIPEDEQGMDNGELMEALVTSTSMQEKLYSAEKCIVSKHFAETLAIN >KVH98019 pep supercontig:CcrdV1:scaffold_188:284936:286791:1 gene:Ccrd_023755 transcript:KVH98019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVVVTRKRNLFRGRKWNTLDVITVLWITAAHILTLFAPFAFTWGAFWAGFWCYVLCGLLGLTLSYHRNLAHRSFKLPKWLEYTFAYFGVQAAQRDPIFWVSIHRSHHQYVESDKDPHSPTYGFWFSHMGWLFDSGYIVQKYKERKNVEDLKSQAFYRFIKRTYLWHVLGFAAIVYAVGGFPYLVWVMGVRLTWSYHWTFLYSARFGLEWWQLDLCWWFIRVLEAVGLATNVKLPTEAHKLRKSFASTLETNLK >KVH98029 pep supercontig:CcrdV1:scaffold_188:63228:66226:1 gene:Ccrd_023775 transcript:KVH98029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MSTATSESTAAGCRSGLDFEEIELTLGLPGESRDRKSGMKRYFSDVIDLKLGSFEDRETDKSDGKCSDLDAGKPRSKERVVGWPPVRSYRKNIMKSNCKYVKVAVDGAPYLRKVDLESYTGYQQLLCAFEEMFSCFTIRNVLNEKKMMNHVNRSEHVPTYEDKDGDWMLFGDVPWKMFVETCKRIRLMKSSEAINHLAPTTLVKCWTPSH >KVH98030 pep supercontig:CcrdV1:scaffold_188:68524:69922:1 gene:Ccrd_023774 transcript:KVH98030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INYVHTLLYTHILLLLCFFNVTSTGLPLETPDPHTPKDVRSSKDTRDVVQSICAKDQGRKGLSVCLAVWTVLVAVASSPI >KVH98001 pep supercontig:CcrdV1:scaffold_188:382013:383315:-1 gene:Ccrd_023748 transcript:KVH98001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MLGFGAVRLRTDMNRLLSLLFHQGVLDEQFLQLQQLQDQTSPNFVSEVVTIYFHESEKQLRNLRNLVLDRETWDYCKLGIHLNQLMGSSSSIGAKRVYESIRSA >KVH98021 pep supercontig:CcrdV1:scaffold_188:338309:349382:1 gene:Ccrd_023753 transcript:KVH98021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MTMVMKKKKHRILDVVVKFDWDLHLTPSPNSVTMVIDGGALSRDPIYWVSIHRYHHQYVDKNKDAHSPIYGLWFSHMGWLFDSSIIMENYQKRSNVEDLKSQSFYRFIKRTYVWHVLGFAAIVYALGGFPYLVWVIGVRLTCTYHVIFMVNSVCHTWRKRSWKSTDLSKNNWWMAILSFGEGWHNNHHAFESSAKFGLEWWQFDFGWCVIRFLEALGLATNVKLPTEAQKLKKKKSVFRGGVWRSLDVKMGVWILTVHLLALFAPFTFTWGAFWTAFFGYLLCFMLGITITFHRNLAHHSFKLSKWLEYTFAYFGVQAGQRDPIYWVSIHRYHHQYTESDKDPHSPINGFWFSHMGWLFDSNLIIENYQARNNVEDLKSQAFYRFIKRTYVWHILGFAAIVYALGGFTYLVWVMGVRLVWCYHITFLVNSACHIWGNRSWNTRDLSKNNWWVAIVTFGEGWHNNHHAFEFSAKFGLEWWQLDIGWCVIRFLEALGLATNVKLPTETHKVKKSLASTD >KVH98003 pep supercontig:CcrdV1:scaffold_188:395063:396697:1 gene:Ccrd_023746 transcript:KVH98003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MVAVFSGVNEFEYEKVPFSDVVITRKRNLLWGRKWRAANIKMVIIVFAIHLLALFAPFAYVLCELFGIMLSYHRNLTHHSFKLPKWLEYIFAYVGLLAFQGDPIFWVSTHRYHHQYVDTEKDPHSPTNGFWFSHMGWLFDGGSVIEKYQDRKNVEDLKSQKFYRFLNRTHGFHVYSFTALVYAFGGFTYLVWVVGVSTVCIYHATFFVNSVCHIWGNQTWDTGDLSKNNWWMALITFGDGWHNNHHAFEYSARHGLEWWQVDFVWYVIRFLEALGLATNVKLPTEAQKLKKSFAASE >KVH98025 pep supercontig:CcrdV1:scaffold_188:90847:91614:1 gene:Ccrd_023771 transcript:KVH98025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACTNTDCGEGKCVPDLLGVLYHCECNPGWKTIMVGPMPVGSCIIPNCTVDFSCGGKYSPAPPFPPPINFTSPCNLVWCGDGDCVVNGTGHYCQCHDRAANLFNNPEFVCMQQCYLDGDCNHLGLGQPPPPPSTAAPGG >KVH98034 pep supercontig:CcrdV1:scaffold_188:27076:31705:1 gene:Ccrd_023778 transcript:KVH98034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex, NUP2/50/61 MGDADNTLPPSKKRAAGRELSRDNPGLDDEEEVPGQETGTFKRASEEVLANRRIVKVRRSQTSSAPSAPSAPSSNPFASIRLIPTTDSTATPAATPAAPAPTPATVNDAEEAGKTQDVESPKSVNKKTEKAEDEEKEGASEVRKPEPTEAETPKVADPEAGKVTEKNTEAEKETEKNLSEGNGEKNTEAEKDTENEAEKETESKDAATSVNSFQQLSSSQNAFTGLVGTGFSSSTFSFGSISKTDPPSFPSFSFGTNGNSALFGNPPGASLGEKTEGTKIPSMQEVHVETGEENEMAVFTADAALFEFLDGGWKERGKGELKVNVLTTGTKKARLVMRARGNYRLILNASIFPDMKLTNMEKKGITFACLNSTGEGQNGLSTFALKFKDAAIVDEFRSVVTEHKGSMVAVPTMKTPENSPKASDE >KVH98011 pep supercontig:CcrdV1:scaffold_188:224012:226560:1 gene:Ccrd_023760 transcript:KVH98011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLTPITIISCASSNHRSHRKNPAIAASASGKWWTPIFGWSSDPDYIHNPTTITDGSVTESSDPDKSYQCRSRFSPGCFTEEKAKQMRMKTVETANFHDIMYHSAIASRLASDVSGHYSG >KVH98004 pep supercontig:CcrdV1:scaffold_188:389088:390526:-1 gene:Ccrd_023747 transcript:KVH98004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRHRCVHFNNRLQTRDLMTSPIYTYTFIHTSNHKSYSHLLYNSKMRNTINVSNGVNETQYKKIPLSDVMVTKKTNLFWGRKWKTPDIIMGIAIITIHLLAVFAPFTFTWDAFFVGYGRVMLCELFGITLSYHRNLTHHSFKLPKWLEYIFAYIGVLAFQGDPIFWVSTHRYHHQYVDTEKDPHSPIFGFWFSHMGWMFDSGYIIEKYQEGKNVEDLKSQKFYRFLNRTYAFHVLSFVALAYAVGGFTYLVWVVGVSTVYIHHATFLVNSVCHIWGNQTWDTGDLSKNNWWMALITFGEGWHNNHHAFEYSARQGLEWWQIDFGWYTIRFLERVGLATNVKLPTEAHKLKKSFVAH >KVH98023 pep supercontig:CcrdV1:scaffold_188:364980:370848:1 gene:Ccrd_023751 transcript:KVH98023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTHLHGTLHVTIYEVDKLRISNGGGNIFSKLVSNIEETVGFGKGTAKMYATIDLEKCRVGRTRMIENDPENPKWYESFHIYCAHPATNVIFTVKDDNPIGATLIGRAYIPVEELLDGEEMDRWVEILDEDRNPIDAGSKIHPHRCWEDIFDAITNAKHFIYITGWSVYTQISLIRDSRRQKAGGDVMLGELLKNKASEGVRVLMLIWDDRTSVDVIKKDGLMATHDEDTENYFNGTDVHCVLCPRNPDDGGSFIQDLQISTMFTHHQKIVVVDAEMPSGESQSRRVVSFVGGIDLCDGRYDSPFHSLFRTLDTAHHDDFHQPNYTGASITKGGPREPWHDIHSRLEGPVAWDVLFNFEQRWRKQGGKDLLVNLRELDGILTSPSPVMFPDDQETWNVQVFRSIDGGAAFGFPDTPEEAAKSGLVSGKDNIIDRSIQDAYIHAIRRAKRFIYIENQYFLGSSYAWEFDDIKGEDVGALHVIPKELSLKIVSKIEAGEKFTVYVVVPMWPEGIPESASVQAILDWQRRTMEMMYKDIVGALQAKGLEDDPRDYLTFFCLGNREMKKDGEYEPSETPEPDSDYMKAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLATRGAPARGQVHGFRMALWYEHLGMLDDSFQNPENIECVKKVNQIADRYWDLFASENLEQDLPGHLLRYPIGVASEGTVTELPGSEFFPDTKARVLGAKSDYLPPILTA >KVH89650 pep supercontig:CcrdV1:scaffold_1881:18674:24269:1 gene:Ccrd_008353 transcript:KVH89650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFDKNSGPGAGIPKQQPPFGYRPSSQSPSSGTMLNYLDGHPRLTHGMDSTKNKVVESFQRIVMLAKDTPQYLYTDGLVALDRFSGTSLKPEGHRSPALSPIIPSRNPGTEFPAKIQLQDLKRTRSPPLLSTDKELLQNSRTVVGSHSVPLRTKSPPHIYQKSLPGKGFGPSSETK >KVH89654 pep supercontig:CcrdV1:scaffold_1881:84729:89094:-1 gene:Ccrd_008357 transcript:KVH89654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSNNPKMVAEAAELLCEQTICSVQFNISVPESDSVSAESASVSIDVVSISDSAVSCAASIQTTLVESNFSPSIRSGSHTDIGPRRSNEDEHIRIDDLSNQLGPLYRWPLPSSFYAVFDGHGGSEAASYLKDHAMKLFFIDSDLPQTADIDELFLEKLENSHCKAFLQADQALADECSIRDDCGTTALTALILGRHLLIANAGDCRAVLCRKGVAMQMSQDHRPSFLEEKRRVEALGGFIEEGYLNGELAVTRALGDWYMKSPIGFESPLTARPDVMQTVLTEDDEFLIIGCDGIWDVMTNQEAVALVRRQLRLYDDPQCCARELVNQALRRDTSDNLTAIVVCFSSAAAGHSGGRQRPRFRCSGLTEEARDRLQNLLEGN >KVH89653 pep supercontig:CcrdV1:scaffold_1881:52657:65413:-1 gene:Ccrd_008356 transcript:KVH89653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide dehydrogenase MAMMANLARRKATNIIISSDALRYSFSLSSTFSRGFASGSDDNDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKTSFAKHGVKFDNVEIDLAAMMGQKDKAVSNLTKGIESLFKKNKVTYVKGYGKFLSPSEVSVDTLEGENTVVKGKNIIIATGSDVKGLPGITIDEKRIVSSTGALALTEIPKKLIVIGAGYIGLEMGSVWARLGSEVTVVEFAPDIVPSMDDKRFSTNVKGVFAIGDVIPGPMLAHKAEEDGIACVEYIAGKEGHVDYDKVPGVVYTHPEVASVGKTEEQVKELGIAYTVGKFPMLGNSRAKSIDDAEGLVKIIAEKETDKVLGVHIMCSNAGELIHEAALALTYDASSEDIARTCHAHPTLSEAIRRPQHTLHQIPTSGNPNSGEKATATAEPQKGFQSNTILGKPFEDVKEHYTIGRELGREMAMMANLARRKATNIIISSGGDQSILEADVVLVSAGRNPFTAGLGLENIGVETDKIGRIPVDKRFSTNVKGVFAIGDVIPGPMLAHKAEEDGIACVEYIAGKEGHVDYDKVPGVVYTHPEVASVGKTEEQVKELGIAYTVGKFPMLGNSRAKSIDDAEGLVKIIAEKETDKVLGVHIMCSNAGELIHEAALALTYDASSEDIARTCHAHPTLSEAVKEAAMATYDKAIHI >KVH89652 pep supercontig:CcrdV1:scaffold_1881:44675:62824:1 gene:Ccrd_008355 transcript:KVH89652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYC/MYB N-terminal MSPDLSSFRGNPTTTSPPSMVSPTFDLHNIITDSQPVDHDALQRHLQEQEPQKKVILELHSIISGSQMAENDAMDEVVVTDREWFFFISMTHSFINGNGLVGQTMLSNEAIWICGREGLLVSNCDYARQGESFGLQKMVCIPSTNGVVEFGSTELIRWSWNIIKEIGVLFNSPLDLTTTNGDQSTCPSFPAMYSTQAIPSSSALCANIGPGITSPMAKTPFTFVEKRLSTGIRPILSVSTPMFSKPSPAMNIQYECVPQVFFDIFEWFAKNRVRLKTLLGLGGGGGFLAGVWVAGGGDLVNAKAASWINSPAFEHIMWTPNTLSVSFSAIIFTNPSASSIDFALLLPNIGNFPTV >KVH89651 pep supercontig:CcrdV1:scaffold_1881:26621:36411:1 gene:Ccrd_008354 transcript:KVH89651 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 PSVSPPRLSTRLISQADPESHVWSPPSADFFTPEAPATNPSDIPVPKRSRLPFPASTDPALRMNNSVVHDDTERELQAKAKRLARFKDELSQPEPSDTGNRNQKTQQLGQLGMDKRKLNGEASDLTASLRNSNTQTDGEDQDSSTVIIGYCPDMCPEQERAERERKGDLDQYERLDGHRNQTTEYLAVKKYTRTAEREAALIRPMAILQKTMDYLLNLLDQHYDDRFLGLYNFLWDRMRAIRMDLRMQHIFNLGAITMLEQMIRLHIIAMHELCQYTKGEGFSEGFDAHLNIEQMNKTSVELFQLYDDHRKKGTEVPTEREFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPGIRQTAEVLFARNVARACRTGNFIAFFRLVRKASYLQACLMHAHFGKLRTQALASLHSGLQNNQGIPVTQVAKWLGMEDENMEDLLEYHGFFIKEFEEPYMVKEQQFLNGDTEYPLKCSTLVHRKRSTVVIEDVLSSSLMESSPPEDPKGLHVDMVSMQEESPVVHEETQSNTKAVDRDMADYGAVKDELRVQPMLSSRWNEDRHHMEVESPSLGDIFKTNNSFGSPKVITSSVGKPSFDKRFRNSLEKHGQSNTSSIPPQVTPRQVFVETLPDLQIDSSVEDSVVHPDFVEGLEPEEPENVIQEVQNEIDTSINEEVAEAKLRLILRLWRRRTLIKKDLRDKKQLAANAALSSLSLGLSMRQYKEQLKIPGDFNIDRAVCERFEKQEQLWSTLNVSNVVADTLGERYQYPRCICWKLLFCSSDFEGEKLESGCKVSNLDPASWLRYKLMPEKVADNDDLVASFPGLSIWKKWDYGKSAADWICYLSVVKNIQFDHLLEETVLGASAVMFLASESISWDAQKIRLRKLVSSIPHGSCLPLLILSCSDPSIMEENLGLNEVDKSRICSFSVVPLVEINQKDGFFSDNHLRKGLEWLASQSPLQPVVHHVKTHELVLTHLQFSSNMQFDGRKTSPEHCISAINEAVDKSIEEISDAAKSNPVCWPCPEISLLSESDHRILESYLPSIGWSSGTRIDPLIQALRNCKLPTVPEEIYRRFQHPLTGTHIENQRLELDHFLVSYLSPLMGPHLAQKEASILIQNCVGLELNGSTYCLVPNWLMIFRRVFNWLLMSLYNGAFATTYILDDPKQKHNHVMGSKSLKDETYNLISPSLDEMIEVSGCMPNTLELELELELESKDLKATVCDPPEVEMVVNGDDMIPFQEERNERKENGSSLSRLLEQCKIVQDIIDKKLSVYF >KVI04646 pep supercontig:CcrdV1:scaffold_1882:704:865:-1 gene:Ccrd_017032 transcript:KVI04646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHESCSTNFAQHRLDGGDGDEGGGGGGDGEGGGGDGGGGDEGGGGDGGGGDEGG >KVI04649 pep supercontig:CcrdV1:scaffold_1882:96619:96906:-1 gene:Ccrd_017036 transcript:KVI04649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MRSAKHIALCAAALLVMLLAGSQVTRAVTCMATELAPCAPAISSSSPPSELCCSKIKEQQPCLCGYLKNPSLRGYVTSPNAKKVATTCGVPVPKC >KVI04648 pep supercontig:CcrdV1:scaffold_1882:44625:49747:-1 gene:Ccrd_017034 transcript:KVI04648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQVRAAMAGGGDDLGGDEGGGDNLGGTDGGGDDLGGDDGGGDDLGGDEGGIDGLGGNEGXDGDLGSGVGRDSNNRIGVGNDAKHGVRSATKHVVAVVTGDPAKTITKTATAHTVIK >KVI04645 pep supercontig:CcrdV1:scaffold_1882:25155:27126:1 gene:Ccrd_017033 transcript:KVI04645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVPRLRASTIPYFKASWTSCRQVITATFFAAQVITTTFVVAQVLTATFIRAQVITVIFLTTSFVPAHVITATFFPVQVIIATFLTTQVITAPFFTTIITANVVIIQSSPPPLSPPSSSPSPTLSPPSSPPLNITIATFFTIPTATFLTSTITSFFIPPPSNLCYMKLAQKLSCICLYIKNPILKEYFISPKFKK >KVI04647 pep supercontig:CcrdV1:scaffold_1882:60091:61037:-1 gene:Ccrd_017035 transcript:KVI04647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHESCSANLVQHRLDGGGGDDTGGMKGGGVDEGGNEGGGDEVGGDEGGGDVVGGDEGGGDVVGGDEGGGDVVGGDEGGGDDFGGDGRFNSNGNGNWNNGKHDCRSDTMQVVAVVTSDPANIITKTAAHTAMYLVGLIFHQLRRMQREILMVWEELHFL >KVI01464 pep supercontig:CcrdV1:scaffold_1883:19042:21148:1 gene:Ccrd_020265 transcript:KVI01464 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDWFYGSLLSLFVIFVSLTLGFLCHKKNPTENLEPPGRTGWPFLGETLEFLSTGWNGHPEKFVFDRMARYSSNVFKTSLLGSEAAVFCGPAGNKFLFSNEYKLVRMWVPESIKKLFPSKSSAAEEAMKMRKSFPVFLKPEALQKYVGVMDDITGKHFSTSWENHQVVAVYPLIKRFAFVIASRLFISVENPDHIARFAAPFDRLVSGIFSIPIDFPGTQFNKAIKASKHIRKELIDIIVQRKSDLGEGKASPKQDVLSHMLVTNGDGEDAMTDSDIANKLIALLLGGHDTIASTCTSIVRYLAELPHIYEGVYKEQMEVAKSKGPGEVLNWEDLQKMKYSWSVASEVLRLSPPFQGTFREALTDFTFNGFFIPKGWKIYWSTNGVHRNSVFFPDPLKFDPTRFEGGGPAPYTYVPFGGGARMCPGKEFARLEILVFMHHIVKRFRWQKIIPDEKIIVDPMPVPAEGLPVRLYPHKM >KVI01463 pep supercontig:CcrdV1:scaffold_1883:86915:90070:-1 gene:Ccrd_020267 transcript:KVI01463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLEDPKFTGMIGLNNGHDNFLDVTQRFYHKLDDDMSIESFRSLHMSNGGGSLARSLVNSSVGSNGSATFMLSDPGLNHGQINYTADRSVIRGTASNGLTDDGLAQALLDSCFPTKGLKNFEEWTIELTKLSMGPTFAQGAFGKLYKGTYNNEDVAIKLLEKPEDDVERANLMEQQFQQEVMMLARLKHPNIVRFIGACYKPIVWCIVTEYAKGGSVRQFLNRRHNRSSVPLKLAVKQALDVARGMEYVHGLGLIHRDLKSDNLLIASDRSIKIADFGVARIEVQTEGMTPEMGTYRWMAP >KVI01462 pep supercontig:CcrdV1:scaffold_1883:82042:86657:1 gene:Ccrd_020266 transcript:KVI01462 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH domain-containing protein MEGQQGQDRGSPASLSTLPLHDGDGPLPDNQTDQPRSPKDERIASPNPSVNAAVAGSGRLAIYNENGSLLFHPAYAYDPQVAYGQFSPFASPVSPIMIDGQLYSPHQVPMSPSYYSQPVSPTDLATPASGNQEGLGDNVFLGAGSGYYLHYPGNNGLGFYQFSGDGSSSEPVSSQSTPVGILGPYEHSFGQQQTPYHGYELSGSTTRRYPQSGSYEASRLNHHGHDKEVKQRDRDSISLTGESHATTSDRNRGPRASKPKGSSSVDPMSNNHASRSHLDLYNRPDFVTTYEKAKFFVIKSFSEDNVHRSIKYGVWASTPLGNRKLDAAYQEAQEAGGSYPIFLFFSVNASGQFCGVAEMVGPVDFENDAEYWQQDRWSGQFRVQWHIIKDVKLEEGIAMLKIFKEHDSETSILDDFHFYDEREKCLQEKKVKMEQAKNNVDDGSSSSINELSDRVAESLQLEKQ >KVI03211 pep supercontig:CcrdV1:scaffold_1885:65090:84357:1 gene:Ccrd_018493 transcript:KVI03211 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MSNNRWNWEVSGFEPRKSPTDQPPSAPAPVVRRYSISTPSILPHSELISKHSIATKLHNLKDKVKHAREDYAELRQEAIDLQEYSNAKLDRVTRYLGVLADKTRKLDQAALEAEAKVAPLINEKKRLYNELLTAKGNIKVFCRTRPLFEDEGPSVVEYPDDFTIRVNTGDDTVSNPKKDYEFDRVYGPHVGQAEVFTDVQPFVQSALDGHNVSIFAYGQTCSGKTHTMEGSSHDRGLYARCFEELFDVSNSDITSTSRFNFFVTAVELHNEQMIDLLSKSESGLPKVWMGLLDSVIDLVQEKVDNPLDFSRVVKKALLSRSGDKTKINVSHLIITIHIYYDNLVTGENLYSKLSLVDLAGSESLRLEDNNGEQTTDMLHVMKSLSALGDVLASLTSKKEAVPYENSMLTKALADSLGGSSKTLLIVNICPDVSNLSETLSSLNFSARARNAVLSLGNRDTIKKWKDVANDARKEFYEKEKESLDLKQEVVGLKQALKGANDQCVLLFNEVQKAWKVSFTLQSDLKSENIMLAETHKIEKDQNIDLRNQVAQLLQQVQAQKLQLQQRDSTIESLQEKLQSVEAQLYAALQSSENQSKISSDSTGEGTESATVTKKLEEELLKRDALIERLHEENEKLFERLTEKASSIGSQQTLMAPPKNLNQSHDHARNDSNNKERTMDVVPFSAEKNDGTLALVKPGNEKIKSTPAGEYLTSALNDFDLEQYDGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKMEPHRVMDTMLVSRVRILYIRSLLARSPELQSVKVPLVERFLEKPTTGRSRSSSRGSSPGRSPVRYDPSIKNALIDEHMHGFRVNLKPEKKSKLSSVVLKIRGIDQESWRQHVTGAKLREITDDAKAFATGNKALAALFVHTPAGELQRQIRSWLAMSFDFLSVSGMDAVGGVTGQLELLSTAIMDGWMAGLGAAMPPITDALGQLLAEYAKRVYNSQLQHLKDIAGTLATEAAEDSSQVAKLRSALESVDHKRRKILQQMKDDGALLTLEDGGSPIRNPSSAAEDARLASLIALDGILKQIKDIMRQSSVNIMSRSKKKALVSSLDGLSGQMSSLLEIDHPCAQRHIADASRVVESIVEEDEVVSAGGGGESETEVAQWNVLQFNTGSTTPFIIKCGANSHSELVIKADDRVQEPKGGGEIVRVVPRPTVLEKMSLEEIKEVFGKLPEALSLLALARTADGTRARYSRLYRTLAMKVPALREVVGELEKGGGLKDVVKS >KVH98769 pep supercontig:CcrdV1:scaffold_1886:50477:70861:1 gene:Ccrd_023004 transcript:KVH98769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MTHYNSISPPASISLAVSMAKSEDDHHDDAPLNSRSLEKEATIETFRSHRNGSIGLDVVENNLMEINNIKNRFAEEEPEPVKTVKYETETYYPSIDDFQIWLPPEADDQEDDVEGSVVNYEDDDDDDEEEFGDGMKWGKPSNLSSFRQEGSGSIRFREEKRRAMDEVMSGKFKVLVEHLLNSMGISCSRNDGDTWVDTVTSLSWEAASFMKPDAFEGKAMDPDGYVKVKCIATGSRSQSEVFKGLVFKKHAAHKHMPTRFKRPKLLLIKGALSGSDGFSSFESMKQEKNRLDTVIGMIEKCNPNVVLVEKTVSRDIQEFILAKGMTLVLEMKMHRLERVARCTGSPILSSDKLSDEKLRQCDSFYFEKIIEEHAAVCESGKRPRKTLMFLEGCPKRMGCTILLKGSHSDELKKIKSVVQFAVVMAFHLILESSFLLNQRAMFSTISPIGVAMFSTNTPIEEIIPSISNQPLDLGFNDSNIPVAKESNAGTDSVNAMDVPISTEFQEKGSESAELEGDTLLSYEPYNPVFLSGLSSLSASLKKVVGFPLFNPNQSMSTYLGSNGVNSPDQTGTSVQVLSSLEAVDTADVGAKVSSDEDKILDNDQLHFSLTSSKDSSETKGSDEQMQNKDDISTVLESESILVLMSKRNATRGIICEHNRFSCIKFYRNFDVPLGKFLRDNLLDQLSFSVLLLNLNYFKHYFLFSNLSYLSETMQKILCRTCDEPPEAHSYYYAHHDMQLTIQVRRLPMDKHLPGENEGKLWMWSSCGKCKPCNGSLKSTKRVLVSTAARSLSFGKFLELGFSNHSCDIPSSCGHFFHRDYFHFFGLGSMVAMFRYSLVATYSVSLPHWKVEFSNSIGGKFLKKEVEDVYEEGLSMFAEVERSLRKMEFEFVGSMLNLQGSLKKFSDIQEMLNRERDQFEVDMKNTANYGNTDDASKNNWVYKPLCLNHVQWELLLESCIWDQRLHSLLSSDLRAVNPKSIEEDDSSGHGPEGEVVVAQCGEEDSDDIAELKSNLETPEESGSLLKEISIEGEALSNQDVFIESGSSNHSEVGEENGLNYTRAFSSRVGKSGKASVSEDAARNTVSDVKGRFSFSLSAKLEDPKGWMWTPFQQIQSAYMNDLQRGYLPEFEPINSYTAGSRIYKMITEEGSRLHFPLDAGNYMVSDYDDELSSIIACALAFLKDQNISPEDLDEEKNSYGSSQKISSLPSSNWSSFGSVDADTSLGLPSEESHFFSFDGLELLDSVASSRYLHPVVSMGRLASKAKYSVACLFANDFLQLRSQCGLSELDFVASLSRCKHWDAKGGKSKSFFAKTLDDRFIIKEIKKTESYSFLEFASDYFGYMNECFKLGNQTCLAKILGIYQVKKRKSGAKHDLMVMENITYRRNVIRQYDLKGALHARFNSAVDGEGDVLLDQNFVNDMNVSPLYVNRKAKRNLQRAVWNDTAFLNSINVMDYSLLVGVDAEERELVCGIIDYVRQYTWDKQLENWVKLFVVPKNHLPTVISPKEYKKRFRKFIDTHFLSVPDDWCSQRSSNPCSLCGSACSHSNSSSSSHG >KVH87966 pep supercontig:CcrdV1:scaffold_1888:864:10058:-1 gene:Ccrd_024648 transcript:KVH87966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQESPHIGPSIHGFSRQKRSNGIDKKAGSLFGALRNDQLRGFVIINGDFEQIFKVTTFRLYRFDPFQPPCEALITNHMDPILFVLEVNLQVKTSSVLFPDLGCITNSNSSGASCYWGDDANEHISRAA >KVH87969 pep supercontig:CcrdV1:scaffold_1888:40298:49877:-1 gene:Ccrd_024651 transcript:KVH87969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMAMKLRFLPSYEHRFMSTPPPSSKPWCFSPTKLMIKIKSQTQSLQFKASSKKNRRPENVDGEFFVDHTCIDCDTCRWMAPYEGGKEMGASLSASSMEVFTRVDGMSVVSKQPSCQDEHLKALQALLSCPTSSIHTEKPAHNILEVQKTFPIPIDVERIPGVYHCGYHSEKSYGAASYLIVHPEGNILIDSPRYTERLACNIENMGGARYMFLTHRDDVADHQKWSERLSCERILHSTEVEASTNNVETKLNGCGPWSLNDDIQIIHTPGHTEVLSQQLDSVAMLLELEFEWMIPGHGRKAVYKDVEEKNSSIKAFLAAKQHTGYF >KVH87970 pep supercontig:CcrdV1:scaffold_1888:25922:36615:-1 gene:Ccrd_024650 transcript:KVH87970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASKLCFLSNYEHRLMSASPSSKSWRFSPMKVMIKIKSQTQSLQLKASSKKKRRPENVDGEFFVDHTCIDCDTCRWMAPEVFTRVDGMSAVSKQPSCQDERLKALQALLSCPTSSISTEKPAHDILEVQKTFPIPIDVERIPGVYHCGYHSDKSYGATSYLIVHPEGNILIDSPRYTERLACNIEKMGGARYMFLTHRDDVADHQKWSERLNCERILHSTEVDVSTINVETKLTGCGPWSLSDDIQLIHTPGHTEGSVCLFYKPLKVLFTGDHLAIEESLLDIAEQYNKDSVSIQLDSVAMLLELEFEWMLPGHGRKAVYKDVEEKNSSLKAFLAAKRHTGYF >KVH87967 pep supercontig:CcrdV1:scaffold_1888:10582:22571:-1 gene:Ccrd_024649 transcript:KVH87967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MRGLQKIKFPEEVCERVVVSPDSVGVARMRMSHKIEFIIEKDEFIEEGARRSTTAISAAPNLSIPVSYSLHTSLSRSLPRFRFGKMFSYRGISRISRTASSYNRCRWLYCSTPHQQLSPSSRFRSFMESSKEVLGCRVNYSWISRPFSSGGPQPQYQRSSVEDQVDPFSLVADELSIVANRLRSMVVAEVPKLASAAEYFFKMGVEGKRFRPTVILLMATALNIQISKPASENVVDMFSTDLRLRQQSIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACITLASLKNTEKTYYKTASLISNSCKSIALLTGQTAEVSMLAYEYGKNLGLAFQLIDDVLDFTGTSSSLGKGALSDIRHGIVTAPILYAMEEFPELRSVVDQGLDDPANVDLALEYLGKSHGIQRTRELAAKHASLASAAIDSLPENDDEDVQRSRRALVELTHRVINRTK >KVH87968 pep supercontig:CcrdV1:scaffold_1888:88864:97728:1 gene:Ccrd_024652 transcript:KVH87968 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGG domain-containing protein MKRRSRDSSSVFEFIVSIILLSWPMVFLQKSTTAYFGSVCKLRLRKQDSKDQDASNGDKKKSLEMADPCGARIEDLKYLYASNVNVSNFVSEKLSGDRKYHVWKAQMVCLMETQKMHGIVDPKFVGPEAKDADIVKQYDNLLRALNNVRRKIVKRCSLLFYSSSSYVLSNISIDSSLHLSASLPLHCAVFPPMEVAPIKLVEKKKNDYKEAKEILKLVCDHIDRLGFSGSHHPCYSRPILEAACQGAYEVVDEILSRFPKAIDCKNQNGLNIIQLAVINRSEKVYNLIYHIVERKDFYRTVMDSSKNNILHLAGRLAPSQNIFKDTPEMVFTREHENLVKEGEKWMKTTAESCSITAALITTIVFAAAITVPGGSNQETGIPVFKGTVPFTIFAVSDAISLFASATALLVFLSILTARFSEQDFLVSLPRRLIIGLCTLFLSTTMMMIAFSATLFLVFVDQRNWMLGPIGGLTCLPIAVFVTLQFPLVVDLFRSTYFPIFGKQSYIERGKFNPNNIQYFFGNRGIEY >KVH87965 pep supercontig:CcrdV1:scaffold_1889:13593:41712:1 gene:Ccrd_024653 transcript:KVH87965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVLKFSVCRRHTEASSLRIRSNLKPFAVINCKLPNISRFSQSGTLCIQLSPKGQSRRPSTSADFQMTLVDESIGRGKIVIKPSEILAYDLVQGPLVRWSYIADKSVPCPPTAVLLHGILGSRKNWAYSSCSQFLLVDLRCHGDSASITKTGPHTVCSAALDVLKLLGHLRVTPRVIIGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGRDGDDHPAELITFLSALPKEVVSKREIIHALVREGFSNDVAQWVVTNLRQTNPSDSSPGFSWTFDLDGIAEMYQSYEETNLSLHRWALGDLQRIHAAEEQAAEEGGGVEMHVLEDAGHWVHADNPDGLFRILSFSFQGM >KVI07742 pep supercontig:CcrdV1:scaffold_189:209097:213878:1 gene:Ccrd_013908 transcript:KVI07742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRTPRCNSQSGLTKGSWSSEEDQKLVTYISRYGIWNWSKMPVYAGLSRSGKSCRLRWMNYLNPKVKRGNFTNEEEKTILHYHSILGNKKQLTVKMNT >KVI07738 pep supercontig:CcrdV1:scaffold_189:137098:139032:-1 gene:Ccrd_013904 transcript:KVI07738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSTTMHKQNKIKRSDLVDQLRDHQVRSKYNWASVSYFSSNANLSSSYSRMDMMMFVLWELLILAFIVSSAVTKQAKLNRKNKRRMLLPLS >KVI07720 pep supercontig:CcrdV1:scaffold_189:38427:45147:-1 gene:Ccrd_013898 transcript:KVI07720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2 MGDDAAMNPELIINHKFPETTYTYSERDAALYALGIGACAKDALDDKDLKYVYHEDGQQSIQVFPTFAALFSIGLTSQLKHLPGFMFDPRLLLHGQQYIEIYKPLPSNCKATILEVEVLSYEKETGEPLCMNRTTVYLRGAGGFSKSSEPYSFSKYPRDQTSSKPPRTRPFVVHEECTQPSQALLYRLSGDYNPLHSDPMVAEVAGAIIKTICNGDASLVKAISGRFLLHVYPGETLITEIVLYQTKVKERNRVVLAGFVDLNSIRSSSL >KVI07737 pep supercontig:CcrdV1:scaffold_189:144137:148013:1 gene:Ccrd_013905 transcript:KVI07737 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MKRVCVTGAGGYIASWVVKLLLSKGYMVHGTVRDPCDEKKNGHLKKLEDAEERLQLFKADLLDYEDLCAAFAGCNGVLHIASPVPGRHVPNPQVELLDPAILGTQNVLNACLKTKVEKVVVVSSGSAVLLNPEWPANQEMDESCWTDIEYAKSIEQWYAVSKTIAEIEALEYAKRDDLTVITVCPALVIGPMLQSTINSTSLLLLSYMKAIRTDNSERPLVDVRDLSEAILLVFENPESKGRYICSACSYRTREFVAKMKSIFTEKSGRALLNSKKLLDLGWNYRPLEESIVDTVKNFEEAGLLEKGKPFPSTLRF >KVI07718 pep supercontig:CcrdV1:scaffold_189:76:5097:-1 gene:Ccrd_013894 transcript:KVI07718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEIVTAIVGPLVESLVVPVKKHLGYLISYEKYVRDMGIKMRELKATRLGVEDHINHNKSNSLKVPMEVSGWLEEVGNIEAQVLETSPSDVGSCFNLKDRHKLGRKAFKITQBIDRLTXEKSRIDWTDHPIPLAKVDSMKLSTSTPSSHHNDFKSREQTFMEALKALEPDNKTHMIALCGMGGVGKTVMVQKLKKVVTEKKLFDFIIEAVIGEKMEVLLIQQAIADFLSLDLKETTTAARAIKLRKSFEAHSDGGKKKFLILLDDVWQFIDLNDIGLSPLPNQGIDFKVLLTSRDRGVCTMMGVELNSILNVKVIENSEAHNFFLQFVKGSDDDDLDLELREIGEDIANRCHGLPIAIKTIARSLKGKNKHVWEDTRSRIENKDVDEAVHQVFEISYRNLHDEETRSTLLLCCLFPEDFDTPVEDLVRYGWGLKIFNKVDTIRKARNRLYACIERLIHANLLIQSEEVGCVKMHDLVRAFVLDMCSEGEHASVVGDMSKWPAKXVSEFCKRISITCTGMSEFPIDRKYPNLLLLKLMNGAKFFPKDFYQEMKKIEVIAYERMTFPLIPISQYSSNLRMLSIHRCPFMFDCSPVGDLLNLELLSFTDCKIKKLPSTIGNLKELKLLELTGCSDLCIDDGVLKSLVKLEELYMEGVRRHANGDELVECSKNLDAIEIEFFGDNALPKNMSFGKLERFKISLGCSLKYHPRQNKHSFENTLWLVTNKCELLDSRVNDLFGKTKVLQLQVDGINDLGDCLGESLHHFGCPFFSLRVLHICECAHLRYLFTVHVANGLKQLEHLKISNRPALETLIDDENCEVEVIKFPALKFLFLINLPMLMSLCKLGGNVIEFPQLERLVLDNLPNFTSIYQDFLQKEVMSSKLKDLTITEMEKVKEIWPSECLSSNEVSQLRMIQVKRCDSLVNLFPSNPMSLLYHLEQLTVSECGSIDVLFNIDLESCVGEIEQGNNNLRSIIAIGLRKLREVWRVKGVVNSSLPILGFQSVECIEIRRCKRLRHVFTPTTSNFDMRALKKITIDDIDGWGENKSNNEEINVTTNQEISEVDDDIHNVAFPSYLMHNLHQLHKLKLVSFEGVEVVFNIESSSCRKLATTQNNHHPELLPHLKDLELIGLKRMTHVWKYDWNQFLLSQQEPKPSSFINITSITLRFCDRIKYLFSPLMAKHLSNLISITIRSCDAMEEVVSNIDDEYEEMATSISCHKNTTFFPHLDNLNLYYLPCLKRINGVGNSCGSKKLVTSTVIASSVYDKFQKCNALLTLIPSHAIGHMPKLEKLVIISCTSMREIFETDGDNEDVGDNANIGEGSGYTGTIPTPINMTSLELPNLKTLYIAGCHNLKYIFAASVLESLKKLQELKISNCSAIQVIVKQEDNGEHIIGLEDVVFPRLTTLKLVGLPNLKGFFLGKNDFQWPSLDKVEIYRCPQMMIFTSGRSMASKLKYIHIDVGKHSLLNFGPVHHMSQASRHVGKVKVAEKI >KVI07729 pep supercontig:CcrdV1:scaffold_189:342313:343538:-1 gene:Ccrd_013918 transcript:KVI07729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIDVCFCFLLSNRHLQLDINTKGSQEESYLSFVAEVVAGSAXWLGRGLSCVCAQRREDDSRTPFDLTTAQVVS >KVI07736 pep supercontig:CcrdV1:scaffold_189:133089:134663:1 gene:Ccrd_013903 transcript:KVI07736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MAILNFYSLRDLHDSANDLLRSPTLKRALSDHHQDQTCVQKVSESSLKMLDSCGRTKDILFLVKAHIQELQSTFHRITLGETASAEKKFSAYHLHRKDLKKQMLKRLKSLKLTKNTTVSHGYDALADDNLMVVANVLGEVRETIISLLESLMLLMSMPSPNPNPNPKIRKSMNCNGLVAAKAKLMRVNSLSPWEKCDVHGLRRAIERLEAVESAVEDLEVELECIFKRFRMTLKVVHAFDDLFGSKEDVKGKE >KVI07732 pep supercontig:CcrdV1:scaffold_189:371453:378401:-1 gene:Ccrd_013921 transcript:KVI07732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPSPLQLHQTLVLRVSSHLYNLKIKTLKSFASSLPASRCSSSLKISCDSATMVLKQTAVLYHYPCPDGAFAALAAHIYFSTSSVPALFFPNTLPLNQIGDVYLLDFVGPRGFVKQLSPKVDRVILLDHHKTALEMLVDGEFADENVTTVIDMGRSGATIAYDFFKEKILARENGNNLSGYDLGIHELERSRRLFEYIEDADLWRWRLHNSKAFSSGLKDMNIDFNVTLNLSLFQQLLSLDLEHVINQGMLSLSPKQDLIDEVLEQSYEIVLDGGASGHCLAVNADSVSDLRSIGAVVYRVPELENDQILKISLRSIGSEDTTSISQKHRGGGHRNASSFMLNCQEFEKWKVGCTNGSRKMVVVKQKQ >KVI07739 pep supercontig:CcrdV1:scaffold_189:170251:172536:1 gene:Ccrd_013907 transcript:KVI07739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRDPSCDSQSGLRKGFWSTEEDRKWSAIARKVPGRSDNEIKNHWHTNLKKRIITQDHPVPTIKPKETTKSIDLHDVESSVETPTSHVFSSLSDGDTTSLCKLDISSRYDHEQLEVENESDYHISSPGTILDIKSFWEQLYYADEDLELQNLFQDTSFDQIFIDNLYS >KVI07723 pep supercontig:CcrdV1:scaffold_189:289812:298744:-1 gene:Ccrd_013914 transcript:KVI07723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSTGVGYGLKYQARCITDVKADTDHTSFLTGTLSLKEENEVHLIRLSSDGTELVCEGLFSHPNEIWDLASCPFDQRIFSTVFSSGESYEAAVWQIPELYGQSNSPQLECIASLDAHNSKINVLWWPSGRHDKLISIDEQNIFLWSLDSSKKSAQVQSQESAGMLHHLSGGSWDPHDLNVVASTSESSIQFWDLRTMKYVPIDQDLFSLLITSYFGLLSIIYMKEELSLSLPQEHGHGNWLAFSLLQILQLNPSKKTNSIEHSHVRNIDYDRKKKHMLSSGTDSAVNLWFACPSNDELTSESLSNSTTTRWTESLLHSYTDYEDSVYASLENAGLSWSSREPWIFASLSYDGR >KVI07728 pep supercontig:CcrdV1:scaffold_189:263811:270920:-1 gene:Ccrd_013911 transcript:KVI07728 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, Cwf18 MASDEEETIEQAAAARRERLRALKAAQQLLENPEDDGAQANDKPDGENGVDDEENDVNVKFRNYLPHDKQLQEGKLAPPQLPKFEDPVANETLVEEKKEDPFLNIAPKKPNWDLRRDVQKKLDKLEKRTQKAILQLMEQQEKEKQLAEEDGRADDPEN >KVI07716 pep supercontig:CcrdV1:scaffold_189:20073:24343:1 gene:Ccrd_013896 transcript:KVI07716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MGRKFFVGGNWKCNGTSEDVKKIVATLNAGQLPSTDVVEVVVSPPFVFLTSVKSELRPEIQVAAQNCWVKKGGAFTGEVSAEMLANLCIPWVILGHSERRALLNETNEFVGDKVAYALSQGLKVIACVGETLEQREAGTTMEVVAAQTKAIADKISSWDNVVLAYEPVWAIGTGKVASPAQAQEVHAGLRKWFQENISADVAATTRIIYGGSVSGSNCKELAGQTDVDGFLVGGASLKPEFIDIIKAAEVKKA >KVI07727 pep supercontig:CcrdV1:scaffold_189:246632:251410:-1 gene:Ccrd_013910 transcript:KVI07727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPQKLFLQITKRLSEIKWRFLLLLIVPISLLLFISITTTARGGDFSDYNPFYFLSNLKSLFYNDNNNSNQNRSPNYSAITFPTTDKAWPPVIGDRKSELEQSRMAVCLVGGARRFELTGPSIVEKILEEYPNADLFVNSPLDSKSYKFSLLKTAPRIAAIRIFKPEKIPENDAAVRVLTASNSPNGIQGLLQYFNLVEGCLTMVESYQKRNNFTYDWIVRTRVDGYWSTRLRPDIFIPGHYVVPSGSSYGGLNDRFGVGDFNTSVAALSRLSMIPEIDSAGFHELNSESAFQAQLRVRNISFLTKRLPFCVVSDRTYDFPPEKFGVPVAALSSKGPLSGVKCRPCTAKYSGKLATAIVNGLYRQWSWTDTGNNTLQLCDGHGRWEDGWEKLFDSTAGKKLSAVRKRVSSLSFEQCVADFEFMKRRSAVWDVPPAVDICSQFHR >KVI07722 pep supercontig:CcrdV1:scaffold_189:331132:335393:-1 gene:Ccrd_013917 transcript:KVI07722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVGMVVSNKMQKSVVVAVDRLFHNKLYNRYVKRTSKFMAHDEQNQCNIGDRVRLDPSRPLSKRKNWVVAEILKKARIYAVPSAAAPAGSATKTAVAESSSS >KVI07726 pep supercontig:CcrdV1:scaffold_189:275403:286687:-1 gene:Ccrd_013913 transcript:KVI07726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFSTMTLNSGAPPPFRLREVDFRPPLLLQRKILIQRGDCMFICCCYDKVVPIRRGVVGAGRKGVDKIEEWPTDLRKNTHKVRMQATPTWSFASSQPQLASRLEKFFPHCIPRNDGPQSRDTPPKRDTGIANEKDWGINLLTEHVNESGINEDGSTWYKESGEDLGENGYKCRWARMGGQNSDGSSKWKEVVLRNLEEILKVIRGGKPGGKWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEDYDGRGSAELGTKWGDKWEERFFAGVGSRQGETWHISPTGERWSRTWGEEHFGNSKVHKYGKSTTGESWDTVVNEETYYEAEPHYSWADVIGDSTQLLSIQPQEKPPETRGVVVVAVTAVVVTTVAMGETVV >KVI07740 pep supercontig:CcrdV1:scaffold_189:158781:159736:1 gene:Ccrd_013906 transcript:KVI07740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRTPSYDLRPSLRKGSWSAEEDRKLVNYISTYGISNWCKMSSYAGRSDNEIKNHWHTHLKKRINYPLSITEPEEITKSSDLDDDESSVESSVEPADCFFSSTVSNGHTSSSCIFDMSSRSYHEQQEVKLETGYNYDISSPGTIQDIKSFWEQLYYANYD >KVI07725 pep supercontig:CcrdV1:scaffold_189:275351:285977:1 gene:Ccrd_013912 transcript:KVI07725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSKKGCSHGDTCFRNALRPMSLTNLKHVRNVMCHETVAHRFWGYSAERTFLVLKPAEVCNNHNLENVPKKAAEKEGKAN >KVI07731 pep supercontig:CcrdV1:scaffold_189:382470:388940:-1 gene:Ccrd_013922 transcript:KVI07731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M14, carboxypeptidase A MEINTHRNRRFISLLFLLLLSCFFSICCSSEDDFSQLPPITPINRDLYHTSDALMEEIEALVNRHQDRLHMETFQSTNKGYHAELNVVTYCRNRTAIDDKSKFRILLSFGQHSRELITSELALRILSILSEEQFLPKMDPLLLNKALEKIVIKVVPLENLNGRKKVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDFDPYEENPGTGPFSEPEAQLMRKLSMSFEPHIWVNVHSGMEALFMPYDHKNTTPTGLQSQKMRVMLETLNHLHCGDRCVVGSGGGSVGYLAHGTTTDYMFDVARVPMAFTFERVLNEWSATFFAMFNMEAHQMNDLQESTPFNMDHLISIDDYLNGYLIERKNRYGQKKEFIDLGLQEIRTYFRLFLLSSVMLMFMFCSRIAKSNRSHLPSISL >KVI07717 pep supercontig:CcrdV1:scaffold_189:10013:14298:-1 gene:Ccrd_013895 transcript:KVI07717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MEDQNSLSPSSSPPPPPPLPPPPPPRDEPDSKKLKMSTTTTTTTTTTTSDDEDRTTNSVSTEKKPRYKRRKVAILFAYCGVGYQGMQKNPGAKTIEGDLEEALYFSGAVPETDRGYPKRFDFARSARTDKGVSAVGQVVSGKFYVDPPGFVERLNNNLPSQFRIFGYKRVTASFSAKKFCDRRRYVYLLPVFALDPASHRDRESVLASLGSENELVKCLECSERGRKVLNTMGKPETGTITGSEVEIQSGISSNGLSALSDSDGKQENPTSLHNVNSKPVIVECKSLGTSSTSNEGCNINGVGNGVGDDIVKENVFRYGDKEKERFNKILKHYEGTHNFHNFTTRTKAADPSANRYIISFHANTTVTVDGIEFVKCEVVGQSFMLHQIRKMIGVAVAIMRNCAPESLMETALQQDVRISVPTAPEVGLYLDECFFTSYNQKWKDTHEEVSMKAYAEEAEEFKLKHIYSHIAATEHKEGAVAVWLHSLNHRNYPDLGPEENEVPEPQVEESIGCNRDLLDHLDSHNQKAKPSKKADPFLDLVASGRISVQPIHSYGLTTVVEIDLEHLATAMKDRLNLVLPFIPELCNRYNCGSETSITDTIMADVNMMVDTAISVTYNRLRHILELYNPTVCNTRMNPRSPGSNRYRFPAFIASVLGSIGPLRVMDGPEPMVIVYSTSANRSRTYGRAAPFNFIYGQFERLIDNLEAIGVPLATIDVKAVDRGGSFFSTIVPTHHDGLWGFIAPFHHSHYKHKDIVNAWFTLHDDPTICAFEDCSLRIECCTDPAVVDAVNATGPENAPDDLDGAMARTQPVGGRFPVNVFGIRSAVAAKGANAAVPAAVYIIGRGNKRYYCTSLARNLTQREMFSLLREFIFTPSYGSTSF >KVI07715 pep supercontig:CcrdV1:scaffold_189:25757:37090:-1 gene:Ccrd_013897 transcript:KVI07715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MADDSVTTPVVNDCDPAVVDTATDEMKLLGEAVKELPAHAVKDLMTQEHFYFTYASDGVDHFHVLQVCVRCIFRLFDVQEGIYALVSPTTLYSIIGKGTQVEAMATKSSGETEDVKILHSSQESEKSSVCSVCLGALQFSYCDERDVLVEKDHAEDFARTVAESVKEKCHEIASYSLEVSLPTVINENEEVIVSYMKKKYASEVWFQEKFSSEHISVKDALKLSITHSLELLLGVKCGVSDFRIRLTYEHLGATSAEDKAGKNQCNKRQKSGMCAEVSSFHSSEGNGLIKCSNGTTQSGDVSDFSCQLRKVGQPCRMTLLCSRAPIYIGGRYLKFSRNVSQTRWIIDDERKGEASVEELIGGNILPMCNGDGYKFHAAGREDIDVRMLGSGRPFLIEIQNARNIPSEMSIKEMEKKINSLESNLKEYAALVWISRPIKEDDLQAVSSFKDLKVLQRTPVRVLHRRSPLEREKIIHWMKIEEIAGNSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDILNE >KVI07741 pep supercontig:CcrdV1:scaffold_189:228591:229082:1 gene:Ccrd_013909 transcript:KVI07741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKVSKWDMRSGLNKGAWSVEEDRKLTAYIQKYGIWNWSKMPPYAGLLRSGKSCRLRWMNYLKPNIKRGNFTVEEEKMILHYHSILGNK >KVI07721 pep supercontig:CcrdV1:scaffold_189:321245:328694:1 gene:Ccrd_013916 transcript:KVI07721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF760 MSFLTLKTPFLSSRFSSSSSVLALCQSNQLRLCSSSSLYLPRKRTFSRGKLRWRAESQQQDSSKNSNSSDSQQPNGSVTSRRDILLEYVKNVQPEFMELFVKRAPQQVVDAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQVALPDTSQERKDAPDYAAGTQKKVTGEVIRWNNVSGPEKIDAIKYIELLEAEVEELNRQVERISANGSNELLDYLKSLEPQNLKDLTSSAGEDVVVAMNTFIKRLLAVSDPSEMKTSVTETSAPELAKLLYWLMVVGYSIRNIEVRFDMERVLGSPPKRQELPPAENI >KVI07730 pep supercontig:CcrdV1:scaffold_189:349828:352167:1 gene:Ccrd_013919 transcript:KVI07730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21-like domain-containing protein MIGGEGLIGKEQEDKQGGLKQRMARVIEKFFITSMLMWAVPIAILYAFNNNLFPGIADMSPYSLTLLSGFLAVVSVNVVIAFYIYLAMREPSEKHEPDPKFVSEAEASVKHLVPNETPESSSARKKEE >KVI07733 pep supercontig:CcrdV1:scaffold_189:355024:369448:-1 gene:Ccrd_013920 transcript:KVI07733 gene_biotype:protein_coding transcript_biotype:protein_coding description:AARP2CN-like protein MAGGSRAQVNKSHKTRFASKASRNIHKTSVKDKSKISKPDRNVGKGARAARLQRNKMMREQKRAALLKEKRAFSGTTSAPRVIVLFGLSASTNVSSLAGDLLALLSNEKNGTLFPAVASPEYRLRATDLPPDLKRRNDLKKTCISSLASEFPEDCKIYPADTKDDLHKFMSLYKEQRPTIPHWRTQRPYVMAQKVDVVADGSGKCTLLVTGYIRARNLSVNQLVHVSGAGDFQMSKIELLKDPCLLNVKKEGDFMDADEVNDSQAIRTLVPDPLKQEPLLVENVPNPLEGEQTWPTEAEMAEADREQKEKKQKKSDDGSDNGMVVDEGEYDFAGQNDGGKFDLEDDQASLKLRDSDEETEADSVMMYPDEVDVPVDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDNFTRTQKHVLSKALDMEQGSEDECVPANSYVKLHITEVPTHIASKLCVLVKTMPVIACGLLQHESKISFLHFRVKKHETYTEPIKAKEELIFHVGFRQFVTRPTFASDNFNSDKHKMERFLHAGRFSIASVYAPISFPPLPLIVLKSRGDSSPPALAAVGSLKTCGSLDKIGSPRSHQRAPRCNEVHIERCPPAERHRVHESIQAIISQVARTLVPDVRRLTFDRTTVSPREQKKLVKEDRCSTLME >KVI07719 pep supercontig:CcrdV1:scaffold_189:53799:58237:-1 gene:Ccrd_013899 transcript:KVI07719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apolipoprotein A1/A4/E MAAIVFYWFCSCSCFAAAISSGLLCFLVLVAAIMADKSVCETVAVVKVEAEEDCNEAVVLVEVDRKSGDDCVDGKTKKAELKEKIEEEKEKIGDKIHEAKYKVEEKAEELKEKIECDVEEAKEKIREKEYEHEYKKEEHKEKLKEEVEEAKYKIEEFKEKVETKEGEIKEKIEEKIEEFKEEIEEIVAEAKHKKEELKEKIECEIEEAKEKIKEKEIEHEYKKEERKEKLKGEVDEAKYKIEELEKKVECIEEEVKEKIEEKIEEFKEKIEKKVEEVKYEKEELKEKIECEIEEAKEKIKEKEYEHEYKKEEHKEKLKEEVEEAKYKIDEVKEKIECKEEEVKEKIEEKIEEIKEKIEEKVEEAKYEKEELKEKIESEIEEAKKEIKEREYEHEYKKEEHKEKLKEEVEEAKYKIEEFKENVEYKVEEVKEKIEEKIEEFKEKIEEIVAEGKHKKEELKEKIKCEIEEAKEKIKEKEYEHEYKKEEHKEKRKEEVEVVKHKIEELKEKVECIEEEIKEKVEEKIKEFKEKVEEKVEEVKYEKEELKEKIECEIEAAKEKIKEREYEHEHKKEERKEKHKEEVEEAKHKIEELKEKVECKEEEVKEKIEEKIEELKEKIECEEEEIKEKIKEKEYEHEHKKEERKEKHREEIAEAKHKIEELKEKVECKEEEVKEKIKELKEKIECEVEEVKEKIKEKEIELEYKKEEHEEKLKEEIEDVKHKIEEIKENIECKVEEIEEKIKEKIEEVKENIEEKIEEHKEKKELKNEKKELEKEMKELEKIKKHEEERCEVVTLPEPSYEQEEKIVFVEKIEVKAEEDCVAAPPPPPPVAAHSDYTATVEVEHKEKKGIFEKIKQKLHGNHHSKSEEKKEKEHY >KVI07734 pep supercontig:CcrdV1:scaffold_189:85605:90134:-1 gene:Ccrd_013901 transcript:KVI07734 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MASATNTILSSNFFGSNVLLSAPTPKTQKSLRNRFVIPQSILSKKPNSSKDFDFQSKATLAALLFSSIAAPQALADTNPPPPPQAPLEAELIKQNPSNSSLPFSQSNVLTAPKPQANSDLPEGSQWRYSEFLNAVKKGKVERVRFNKDGGVLQLTAVDGRRASVTVPNDPDLIDILAMNGVDISVSEGEASNGLFGFIGNLLFPLLAFGGLFFLFRRSQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVSFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVRILQALAKDVDFDKIARRTPGFTGADLQNLMNEAAILAARRELKEISKDEIADALERIIAGPEKKNAVVSEEKKKLVAYHEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRSVKRLHASFSCRKADGGEMSSQKDYSMATADIVDAEVRELVEKAYERATSIITTQIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYIS >KVI07724 pep supercontig:CcrdV1:scaffold_189:302963:311445:-1 gene:Ccrd_013915 transcript:KVI07724 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MATHRRRHHHHHHQHSHNRFRYLIPVISAISAAILVLYGLISLLAPSPDHLHHLVRRTPFDDNGVGDDSPRVTSLFKVPISGGSKMVSDRSLWSTRMSKFYFGCSNASNKFPKVETVTYPNRYLLIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASTFSEIFDVDWFISHLSRDVKIIKELPRKGGKIWTPYNMRVPRKCNERCYQIRVLPVLLKKHGFCLLRILGDCRELAKEARDFKTRAVQLSKFDYRLSNKLETDLQKLRCRVNYHALKFTDPINKMGQKLVNRMRKMGKHYVALHLSSCTLLRAVRKLAFLVLTTIFTILRYRFEPDMLAFSGCYYGGGEKERKELGKIRKRWKTLHSNNPDKERRQGRCPLTPEEVGLMLRALGYNKDVHLYVASGEVYGGDETLAPLRALFPNIHSKDSIATKEELEPFSAFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLNRENMTWEEFSSRVRTHQRGFMGEPKEVRPGRGEFHENPATCICEDTEAKSKLETLPRKFGKSNLDLDEVVADQDIENEPESSDQDEDDDLIGPQFQHLVNDTSMDDDSLISESPELEELLSD >KVI07735 pep supercontig:CcrdV1:scaffold_189:79867:86308:1 gene:Ccrd_013900 transcript:KVI07735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGCCNSCFSKEPISDKDNVNAKTHRHSPSNGKGAAFAGDNGGVPVFSEYSLADLKAATNTFSSEFIVSESGEKAPNVVYKGRLQNRRWIAVKKFSKMAWPDPKQFADEAWGVGKLRHNRVANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALCIAEALDYCSTEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGNNPLIHDFYSFKPIYIRSFLKYEPRERPNTKDLVTTLAPLQNKPDVPSYQMLGIPKHEEAPATPPHPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDLAFRDKDFRTAIDCYSQFIDVGTMISPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDAVDMLNEAAALEDKKRAK >KVI11229 pep supercontig:CcrdV1:scaffold_1891:88430:94102:-1 gene:Ccrd_010363 transcript:KVI11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MMLPATAFSSSSSSSANSAVVRVDKATSEFLNGPDWTINIDICDTINANHWLAKDVVKALKKRLQHKNPNVQLLSLTLLETMVKNCGENVHFQIAERNILPEMIKIVKKKTDMRVREKILVLLDSWQEAFGGRGGKYPQYYFAYDELRQSGVQFPHRSPDAAPIFTPPVTHPSFRPPQANYGMPSNSSTRLDEAMASEIENLSLSMIESMRNVSDLLTDMLQAVDPNDRMGIELNDILQTVLAKHDAIASGSPFPVQITGSITPPSNAHKFPTEFTEEKENSKSSPAGSAPVPKNEPEEEEDEDDFALLARRHSKAQTAVSQNGVGGHDPRTSSDPSSSMALVPIDPLPPVNTTRAEDMIDFLSLTLSTPNTTSPPPPSHPISATSSHNVDQTPPVSAATQSYPHHAQVPLNSYVVPWAQSELQPQPQPQPQPQPQPHLQVQPQPQYPQQNYSSGYIPPPWAATPGYYTNQYPSSSVPYTYAAPVPTSSYSNNIQSQQVNNMRASGTNGNGNASQRPFIPSYRLFEDLNVLGNPKANSNLPGSGQSMMGGKK >KVH98721 pep supercontig:CcrdV1:scaffold_1892:4228:10299:-1 gene:Ccrd_023052 transcript:KVH98721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQKRNVTDRKINQIVAISVTRKKTRGKTVTRATEIAVHNRQLLTKRHNKLPRVLNPQNFLFDSLTMNADEKLTELKIAYADMILNTAKEAAARIMVSERRALRLELELKQSKEDAIQMLMRLKQMMDSKISEAEMASRAQQKKIEELEAQLQEAEDIVKDLREELSAVQTELERVTPREVNPMLQVYEALEKNVLSNPDGIVFPHVEEQPDIVPPFDIGNSVKDQDNKGQRLHNSLFPLWKSCINDQDLPSIILRSKGTKLYKSGCTHRIRACERTPLDRELSLPEQTNHIRPDMITKVDEGEKLHKALSLGDERKKSRKRASPNTKKRTSCPNLLLDQVKEMDHVSDNSCLTTSRSVKRSKGENPDGSIKIATDLVRVSDVHSRIREELLPVGHEKPPLSSEAQVDPPKSKALETGGVPIQPTSSRVIKYTFQRKRKRGTLSTEGSDLCDLKKKEENKTSPLETEKTISMEESIQEETEKVISIEESTEVGTEKAITMEESTQEETEKVISVEESTEEGAEKVFTMEESTQKETEKVISVEESTQEGTAKVITMEESTEDGTEKLISMEESTQEEIEKIISIEETTQEEKRLEQVAQQLISLSEKVVAMKKQMQIQT >KVH96947 pep supercontig:CcrdV1:scaffold_1893:6849:10817:-1 gene:Ccrd_000960 transcript:KVH96947 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ-binding protein, CRIPT MVCDKCEKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKNRWTPLGTTKCIICKQQVHQNGKYCHTCAYSKGVCAMCGKQVLDTKYYKQSNV >KVI04427 pep supercontig:CcrdV1:scaffold_1894:21:2419:-1 gene:Ccrd_017258 transcript:KVI04427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase MEAVNGKLDKGLMNLKCSVQNYDWGRIGYDSSVARLFERNCGXQIEENKHYAEFWMGTHVSGPSFLIQEEGIGNMSLKSWILQNPKVLGDVVVEKWGRDVPFLLKVLSVGRSLSIQAHPDKDLARLLHKLQPDVYKDPNHKPEMALALTEFEALCGFISYE >KVH89205 pep supercontig:CcrdV1:scaffold_1896:7425:8045:1 gene:Ccrd_008810 transcript:KVH89205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MALNFLSFLLISLTIFGFSTIVESRSRARMYLTLQCRSAIYPELCVKTLLPYVAKTGLPSPQLLAHISLATCLSKARVTKTYLKMVAKKLNETKSFGDSEAIEECMYRINDGVDQITQSFKELQRMGKDGDENFVWHESNVQSWVSAALTDASTCIDGMIGDGIGRRERAMIKARFLNVKQLASNSLAMFSHFTTRYRASRGIKNP >KVH89201 pep supercontig:CcrdV1:scaffold_1896:81123:83122:1 gene:Ccrd_008814 transcript:KVH89201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MHESHNQHNLQSKIPSFFHLSTMKTFLLLAILVVGVLLSLSPGVYGYTKSEITSWCNQTPHPEPCEQFLSPNSNYGPIKQKPDFVKALLKVTLERAKHAGSHTLTLGPKCRNEREKAAWSDCLELYENTIDRISKTVDPTKCSQVDMQTWLSSALTNIETCRAGFEELGVSDYIWPLMNNNVSSLISNTLAMNKAQNSSYSVPKDKKSGFPAWVKPGDRKLLQSSSPQANIVVAQDGSGNYKTIGAAIAAAAKRSGSGRYVIRVKAGVYKENIEIGSKLKNIMLLGDGIGKTIITGSKSVGGGTTTFKSATLAVVGDGFIGRGITVRNTAGPQNHQAVAVRSGSDLSVFYQCSFEGYQDTLYVHSDRQFYRECDVYGTVDFIFGNAAVVFQNCNIYARKPPNKTNTLTAQGRTDPNQNTGISIHNCRVTAASDLKGVTGVKTYLGRPWKQYSRTVFLKTSLDSLIDPAGWMPWSGNFALSTLYYGEYMNTGGGSSTAKRVNWKGYHVITSSIEAAKFTVGNFISGGSWLPATNVPFTSGL >KVH89204 pep supercontig:CcrdV1:scaffold_1896:20735:21352:1 gene:Ccrd_008811 transcript:KVH89204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAQIILSFMVLSLTFFGFSATVESRSSARVYLEAQCRSVVYHDLCVKTLLPYVGKAVPGPQQLARISMAVCLSKARLTKAYVNMVAKKFNQTKNFGDYQAVDACLNQISNGVNQITLSVKEFQKMGKDGEQNFMLHEGNVQSWVSAALTDADMCVDGLLGNGIGGRDKAMIKTMILNVKQLASNSLGLFNRFTMRHRASRIVKNP >KVH89202 pep supercontig:CcrdV1:scaffold_1896:45551:55111:-1 gene:Ccrd_008813 transcript:KVH89202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M24, structural domain-containing protein MVNNSPSAVLHRAAASISPALDLFFPSNPSLGLLNYRSNSLQKLIFMAGGADVTESGLSCAKCGKPAHLQCPKCVELKLPREGAAFCTQECFKASWSSHKSVHLKAKLSSVGSSAPWEHNIASPSDGWLYCLRKGQSRTAQIPHFDWTGPLRPYPISKKRVIPSGIELPDWAVDLSDDINHVTLQGTPKVEPNSDLQHVVEIKTPEQIKRMRETSRIAREVLDAAARAVRPGVTTDEIDAVVHEATIAAGMSISLSTELSFLPKVMLHVGTSVYSHSLSVVA >KVH89203 pep supercontig:CcrdV1:scaffold_1896:22436:42406:1 gene:Ccrd_008812 transcript:KVH89203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAAREVEDIVKPSVAGEELEEDDEHDSKEVFLQRYFLQEWKLVKSILHDIVSNGRVVDLSSVHKIRSIMDKYQEQGQLLEPYLESIISPLMLIVRSKTIELGIESKELHEIIKPLCIIIYSLVTVCGYKAVIRFFPHQVSDLEPAVSLLEKCHGTTAGTALRQESTGEMETKCVILLWLSILVLVPFDISSVDTSITNGHPLGANEPPPLVARILEISKEHLSSAGPMQTIAGLLLSKLLTRPDMLPAFTSFIEWTHEVLSSATEDVIHHFRLLGAVETLAAIFKTGSRKALLDIVPVVWNDTSVLIKSTPAARSPLLRKYLIKLTQRMGLTCLPHRATTWRYVGKHSTLGENISQSASRTDPCSHVSNSGSSNSDHGSFLQEEEMDVPDIIEEIIELLLSGLKDTDTVVRWSAAKGIGRITSRLTYTLAEEVLLSVLELFSPGEGDGSWHGGCLALAELARRGLLLPVSLPKVVPVVVKALHYDVRRGPHSVGSHIRDAAAYVCWAFGRAYNHTDMKSILDQLAPHLLIIACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFALSSRVNAYLHVAVTIAQYDGYLYPFMDELLSNKICHWHLPNSQLLLQEKGLRELASKALSTLVKYDPEYSANFVLEKLIPCTLASDLCMRHGAVLAVGELVLALNKYGYALPKDKQKVVAGVVPAIEKARLYRGKGGEIMRAAVSRFIECISINAANQGFKHFVSTYFAKTDDKGTYDITLKYLEQLTDANVAVRRGSALAIGDNPEDRDAEARVNAVKGLVLVCETLTATRDCSALQPDEKISLFVMIKTEVMQSLFNALEDYSVDNRGDVGSWVRTAAMDGLEKCTYILCKRDQLVGNESVAEDYQKQSLFDANIATNLVGGIVKQAVEKMDRLREVAAKVLQRILYTEAVFVPLIPYRETLAKIVPKEAELKLVLLQFSCYSKYVMSGLVISIGGLEDSLKKVALGALLEYLQAIKVIDESEMNAREVSLSNDILWVLQKYKRRDRVIIPTLKTIEILFSKRIFLHMEAETQVFCAGVLESLTTELKGTKDFSKLYAGIAILGYIASILEPINIQAFSHLLSFLTHRYPKIRKACAEQVYLVLIQNGDLVAEENLENALEIVSECCWEGDMEEAKHQKLKLCGLANLDAEQLLMHRSQISGKASERKPRADDENESYSSLVGSAGF >KVI12177 pep supercontig:CcrdV1:scaffold_19:282218:291928:-1 gene:Ccrd_009392 transcript:KVI12177 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCI/PINT associated module VYGKRNVPRPFPGVTCSKPNPRALIAHLFPIFLSSSTNHGFRNAHIRLLRNADMEDYGFEYSEEEPEEQDVDIENQYYNSKVDKCAYSFCLNVPSSSKIVCKVNDDFSVMSTRGFKALKQTVKLYYRLGRYKEMTDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMNKILKELHKSCQRADGTDDQKKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALTIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMQSEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKELNVPEADVEQLLVSLILDNRVQGHIDQVNRLLECGDRSKGMKKYTAVEKWNTQLRSLYQSVSNRVG >KVI12236 pep supercontig:CcrdV1:scaffold_19:415450:420792:1 gene:Ccrd_009375 transcript:KVI12236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF985 MVYPLRQLCLVWIVLSFCHANGHGFRLKASEIMAKLDLKPNPEGGFYAETFRDTSISLSTSQLPPRYIGENQQVQYTVPPDVWFGAFPTRDYDISKDNAVVKNAARDAEKHFSLVGTTVAPAFEFEDFVLAKRSELISQPAKTMPIAIGPFRATPDERRAGKLRKRHQKMVRTASAVAAKLNLKPHPEGGFFSETFRDTSITLSTSQLPPEYKVDRAISTAIYFLLPSGSVSRLHRIPSAETWHFYLGEPLTVLEIDEKDGSAKLTCIGQDIGENQQLQYTVPPNVWFGAFPTKDYTISKENGVVKNAARDGEEHFSLVGCTVAPAFQFEDFVLAKRSELVARFPAHESLISIITFAD >KVI12161 pep supercontig:CcrdV1:scaffold_19:18103:22414:-1 gene:Ccrd_009420 transcript:KVI12161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MDLALQGFGMVVNNSAYDDDSPCIVVDCGKKSEECKKKEIRGCLDTCEEEEEFGGNSSSSSDFMALFDTVLNEEHITSFDDSSSPSSMDVVTEKNENEAPHCGDSEDSQKPLLGQKKLEKQEPTAPSEIDMMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPLRAEKKLMWRREMEWLLCVSDYIVELIPSWQTYPDGSKLEVMTSRPRSDLYVNLPALRKLDNMLLEILDSFEKMEFWYVDQGIQALETDGSSSFGKVLPRQKEKWWLPVPRVPAGGLSENARKHLQNKRDSTNQILKAAMAINSITLADMEVPESFLEALPKNAKGSLGDLIHRYISSDQFSPECLLDCLDLSSEHQALEIANRVEASIYIWRGKNNVKPVNVVSRSFSRSSWDKVKDLVADLDKRETLADRAESLLLCLRQRFPALPQTTLDMILESLAFNITARVDDLLYVDDLARHSDKFSSISNRDIVSKTVVGKPYTLHTVTTPYRTAFTTPSLSPSRLDSXNGLGPKKILTEYLSIDLKGKHYAGQVSRSNSFSGRTREALQKIGLAASNGSVGVN >KVI12206 pep supercontig:CcrdV1:scaffold_19:478272:480419:-1 gene:Ccrd_009367 transcript:KVI12206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MRMKKASRTSWLTSCFYKNQEPTSFKITESRAAKKKKEVDDVVVASRNSVPSRVTYSELSNSSALPEDLSNSLAGSNLYNFTVLELKIITQSFSSCNILGEGGFGPVYKGFIDDKLRPGLKPQPVAVKSLNQEGGQGHREWLTEVIYLGQMRHPHLVKLIGYCFEDEHRLLVYEYMPRGSLENQLFRRFSVSLPWSTRMNIALGAAKGLAFLHEARNPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYLMTGARKLNRIMDPRLEGQYSETGAQEAAELAYQCLSHRPKARPTMTMVVETIELLKKFNELPVETFVYIAPTEIKIDSPEKEEEKKDSKRDMDGHHHHRQHNGRHRRTKSPMVHSEIDLYRNLSAGMDYRRNKDLKDDI >KVI12216 pep supercontig:CcrdV1:scaffold_19:798299:803115:1 gene:Ccrd_009350 transcript:KVI12216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYEWSQSQSKDIDKGARASNVETHGVRDDIDVNTPVSDIKGPNAFERAKEEFEAIVETIHRRKEDKFQNSSSSVQSITLFLHNVYWKLYWEYFLDAVKRVLDHMVSGMMPHKIENQSSPADKGVEAPKVLENSKEETEALLHTKKQPTHNEETQGLHDDIDVNTPLSDIKGPNVLERVKEEFEAIVESIHQRKEDKNQDSPSSAERNDAAFTGLKYEKQSSLAEDHKSGPSKMKQDNIEVKEETPKKKSLFVHHKETHGRGEDIDVDTPISEFRGPSIFHRAKEEFEAIVDTIQSKKDSDQDTRSPKKEGGLSKTKQDNIEVKEETPKKKLFIHHKETHGKGEDIDVDTPISEFRGPSIFHRAKEEFEAIVDTIQSKKDSDQDTRSPKKEGGPSKTKQDNIEVKEETPKKKKLFIHHKETHGKGEDIDVDTPISEFRGPSIFHRAKEEIEAIVDTIQSKKDSDQDTPSPKKEGGFRATISKKLQTIYTKDKNRD >KVI12220 pep supercontig:CcrdV1:scaffold_19:730096:740370:-1 gene:Ccrd_009354 transcript:KVI12220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGKFDLSSVSPDRPLYNSAQRGSYTAASLDRSSSFRENMENPILSSLPSMSRSTSTVTQVDVTNFLHCLRFDPKLMAAEHKFNRHGDFKRLASAVLGSPDASPSGSSKGKLPSSSPEDLKRLKAGLRESTIKARERVKVFSETLSVINKCFPSIPSRKRSRPDALPGDRSSGLLLNRAPTGPGVGKMGTQSHSLTSAFDFEPQKVEERGKNAIPNKRTRTSMVDQRAEVRPNTPARSAGNVDRDKEVLRLPNSNALQGDDRALPIVADGWEKAKMKKKRTGIKVDAAPSPSSVSTKAIDGYREPKQGMHPRHLPDAMSRLNDSHGFRPGAANGVVGGGKADGSSQQASVGIRSSIPRPEQENTSLLHDKRDRSTSSEKERTNLRSINKSNVREEFISGSPTSSTKMHATARGPRSGSNIVPKSSTVVQRATASSDWELTHGTNKNPGAFGSSNRKRTPSTRSSSPPVAQWADRRPQKISRTARRTNLVPILSNNDEVPALDTSDVTGSESGAGFGKRFPANSPSQFKSKGDHFPSSTLSESEESGAAEIRSRDKGKKSDEVEEKAEPNVQKMSTLVLPTRKNKMVNGEDMTDGVRRQGRTGRGYGSARAVTPMTVDKIRNPGTAKQLRTARLGFDKSESKSGRPPTRKLSDRKAYTRQKHTAINAAEYLVASGDGHEELLAAANAVINPNHALSSPFWRQMEPLFRFVSDMDMSYLKQQGSIQSTITTNNPVHLRLDSSGTLPNGTDSRSIEPSPERFAPETASPGEIPLCQRLLAALISEEGNDELPLSGSDAHKFNVYGSGFEFETDVESNAFNHRSLKNFELGGHGTFGGHRINSALRSCNEPMHSPSNNHIMSVPDSTISTGFDHSYNGLLSDPAMMSGITISEYQYGNMSINERLLIEIQSIGLYPELVPDLPCNGNEDIGGELSRLEEKHHEQVSRKKSLLDNLLKSTNEARERQEKEFEQLCLDKLTSMAFQKYMSCWGPHAPGGKSVGGKMAKQAALAFMQQTLDRCHEFGTTGKSCFAEPLYREMLRSGSSHLNDAQIDVATDGEFGKVYGNSIERVSGAQLSPSLNNHDIYSSDAFHSSEQTFGKADIWSSRVKNRELYLDDVVAGTSSGAPSGIGTTILNSAKGKRSDRDREGKGNGREVLSRNGAPKIGRPASGNVKGERKAKTKLKQKTTQLSASLNGPIGKISDQHRPTMPSVPKPVEMKNNIIKEKDDYKLLENSEEPLDFSHLQIPEMDVLGDDLGEQGQDIGSWLNIDEEILQDDDFMGLEIPMDDLSDLNMMV >KVI12240 pep supercontig:CcrdV1:scaffold_19:441881:444404:-1 gene:Ccrd_009371 transcript:KVI12240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MEYGIITASIILVSAIAIALQVFFFSPISPEPLILPPSPPLFTPNNHLQVVSLQGVIKIGEGIVKKPEDVCVDQKGMLYTATRDGWIKRLHTNGTWENWKKIHNKDTLLGLIITRVGDLVVCDTEEVGEDGEVTALATHLNGEKIRFADDVVEADDGSLYFSVASTKFELHNWHLDVLEAKPHGQLLKYDPSTKETSLVLDGLGFANGVTISSDQEFLVVCETWKFRCLKYWLKEEMGGKVDVFIDNLPGGPDNIKLAPDGSFWIAILPLTSSRMKFIHSSRAIKHLLATFPKLFEQVKVLDRSAMVINVGSDGRIIKRLDDPNGQVMAFVTSILEFEGNLYFGSLYNDFIGKLPIETAS >KVI12174 pep supercontig:CcrdV1:scaffold_19:256588:263039:-1 gene:Ccrd_009395 transcript:KVI12174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGSNGRRGGGSQPPKSDGLARQSSLYNLTLDEVQTQLGDLGKPLSSMNLDELLKSVWSAEANQGLNSGQADYSHHGQPASGSSLARQSSSINHQQAQWMHYQVPPIQPQHVYMTGHHPVQQSLSIGANAMMELAYPETQITMSPSHLMHNLSDTQTPGRKRVASGDVIEKTVERRQKRMIKNRESAARSRARKQAYTHELENKISRLEEENERLKRQKGRFCQVHRHPNQSTSSEEQAQRLSNTNSW >KVI12230 pep supercontig:CcrdV1:scaffold_19:380090:383563:1 gene:Ccrd_009381 transcript:KVI12230 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVKPAAETSSADHQEPKYKGVRKRKWGRWVSEIRLPNSRERIWLGSYDSPEKAARAFDAALFCLRGTTATFNFPHQPPNIPGGRSLSAAQIQAAAADYANSSHSHSHHHHHHQFSESPSGSASHPAAPMEAASTSFLLHYDDDNSNNVTDYGIFPGFDDYFMRPPPIEGRYKGVRMRKWGKWVAEVRQPNSRDRIWLGSYDTAEEAARAYDAALFCLRGPSALINFPNHPPDIPITADLSPSQIQVAASRHARGLSTDSSSLAQVAGNLRTGYQDEQECNLFREYRNATSNYFPVEEGGGGGGGDEGVVGFERQRLWGY >KVI12194 pep supercontig:CcrdV1:scaffold_19:100789:103499:-1 gene:Ccrd_009412 transcript:KVI12194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEPDRTTTSNSRSTHWCYTCRQLVTLVGQNQICCECGGGFVQELENILTTNIDVEEQTPPRSGIMETFSNLFRRQETQQNNSNHREQQEAVSEDGENSMWGPWPIYTGDMPVSIPNNGGLFELFNEVLGFRRENGADFFVGPGVEEFFEQFNSRDQEVPPPASKSSIDALPTVRISKKDARSDSHCAVCKEKLILGSSAKKLPCKHLYHSGCIAPWLAQVSSCPVCRREVTTKGSGGCSHQSGGGSRRGSRVRHGPWSFLWPFGS >KVI12207 pep supercontig:CcrdV1:scaffold_19:526137:527721:1 gene:Ccrd_009364 transcript:KVI12207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSSYPMSVAFETNSGGGGDRNRIQPPGFSHGMWIYNLSESGRFTGGSPWSSTLPVVKEEDSSSSSSIGKNSDALSGGGDSDEDDGEVQSKDNGSLNGLNSLEEVLPIRRGISTFYAGKSKSYGSLADASSVPSIQDIVKPEDAYSRKRKNMLAHNALLDKHRKSTTENGISKRLANSSSSCLDIGLNINGHDNKETGESSDSNSSHSGFSLPPLPSRQLPTDESSDSSRRLYCSPWRSLSLSDLQHVSAVTSSITGLVSNKRDNEEEH >KVI12213 pep supercontig:CcrdV1:scaffold_19:753540:763241:1 gene:Ccrd_009353 transcript:KVI12213 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MAGVAPEGSQFDARQFDSKMSELLSADGQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFTKGLDVIQQAQSGTGKTATFCSGILQQLDYNVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVKLDDEKMLADIQKFYNVTVEELPSNFYMVAVMAGLAPEGSQLSSDGQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFTKGLDVIQQAQSGTGKTATFCSGILQQLDYNTVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRPDFIQMFVLDEADEMLSRGSMIYFSSSLQRFKSGDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVVVEELPSNVADLL >KVI12227 pep supercontig:CcrdV1:scaffold_19:330903:331265:1 gene:Ccrd_009386 transcript:KVI12227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAKGGKLTKIKSVLKKWHSFGKPAGLAKNGASTLTSDEDDYHNLSHSHAVYVGKSRRRYLISSDVAQHPLFQEVLERSGDGDSSVTIDCEVVLFEHLLWMIENADPQPDALHELVEFYAC >KVI12163 pep supercontig:CcrdV1:scaffold_19:189644:190978:1 gene:Ccrd_009402 transcript:KVI12163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22A, presenilin MDGSILESIGVEIIGVMSPVSICMLLVVLLVYSLSSFSSSSVEPIRTAANLVYLEAPSDSTSQKIEGSLLNALVFVILIAAVTFLLVLLYYYNFTNFLKNYMRFSAFFVLGVMGGSIFISIIRQFSIPIDAITCLILLFNFTVVGVLSIFAEGIPIPIVLRQVYMVLLGIIVAAWFTNLPEWTTWTLLVALALYDLVAVLAPGGPLKILVELASSRDDELPALVYEARPTVSRNPRGSSLGLLVGGVSSDSVELQVEMTPNRNNATDSRNGDTIEMVDSRDETEETSPLVPNLRDRMRSSSSASSEFSAAMGGTDRIRQTEIVEDEEDMSPLAAILGMANEREPQLRSREIGEEATRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRHALPALPISIALGIMFYFLTRVLMEPFVVGISTNLMMF >KVI12232 pep supercontig:CcrdV1:scaffold_19:363076:363627:1 gene:Ccrd_009383 transcript:KVI12232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAIFFFFFFSILALFASPTVLASPSSPDLVSSSCQHATFPKVCLHTLSLYGGKVTTPRELAQAAIKVSISRASKASDYLSSLQKEANKRERGAVKDCAGQMSESVEELRKTLGELKELRRGTFRWQMSNAETWVSAALTNEDTCLDGFKEIDGRVRSDVKRKVTNVAKVTSNALYLINRLDHP >KVI12215 pep supercontig:CcrdV1:scaffold_19:775840:778848:-1 gene:Ccrd_009351 transcript:KVI12215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFSLLSSAWEEILKHGFLDLPYDLDNLENRLRSDSFKKTESESEVISKSSTDSCPTSGRRNSISLKNCEPLKIMLETTLSFKNLLQDIRKPESENPNLETGSANLLPEPVVFFSPRPVSELNAAATTVQKVYKSYRTRRSLADCAVVAEELWWKALDFAALDRSSVSFFNVEKHETAVSRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHIYYDLWLDVGDGKEVNLEKCPRARMQRQCIKYLGPNERESYEVIVEDGKLIFRQSGLLLETIEGSKWIFVLSTTRNLYVGQKMKGLFQHSSFLAGGATTAAGRLVAHGGVLEVAENETKPKPLVIPHPRPVDILRTPTRVERPSASKVDAPRPVSARRLEEIKASPMRYDLANRLSCKWSSGNGPRIGCVRDYPLELQSRALEQVNLSPRVVPGNFANYGPIPSPRPSPNVRLSPRLSYMGLPSPRTPMAAAN >KVI12192 pep supercontig:CcrdV1:scaffold_19:115853:122624:-1 gene:Ccrd_009410 transcript:KVI12192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MAEEKKKPKRHYQVWKGSNKFFCGGRLIFGPDVASLFFSILLVAGPAVSFCIKVYNIINHNKEHDKYIGYWYGVLIVAVALTCLDITFLFMTSSRDPGIVPRNASPPDPDETYEMNTPSMEWINGRTPRLKLPRTKDVIVNGHSVKVKYCDTCMLYRPPRASHCSVCNNCVQRFDHHCPWLGQCIGLRNYRFFYMFISTSTILCIYVFAFTWINIAQQEDRVLKTMSTDILSDFLLVYCFIAGVKKNLAEIFLSKIPPSLNDFRAYIQEDDSIVMEPTSPNLGSTSKEKIDIEMGNKFVEASGLSLPQILQNLHHKHLEDNMRSKEGNGASDSLPSPFCLETKEDSANAEDKCDEDSVTPEIQPVHQ >KVI12208 pep supercontig:CcrdV1:scaffold_19:500950:508701:-1 gene:Ccrd_009365 transcript:KVI12208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGTIQTFEDFVKVHGLLLAAAGIPQSLYRKLFEKLSTETFDGGNYFQVEPVEDGRQRRLVLTSDSMGKESNIFLVDHALSFRLSDAPKQLQEVPGLAERMASLMCVDVDLNSDFAEADDVGCELEDSKLAVTEIVEREVQKTKKEGQDXVVWLELDDLDIDDDVFVSLDLPSKFPDLIALSLCGNKLKVAETITKEIVKFKHLRALWLNNNPLLENCKYTEWALGFRGGIYDKDNPGCGHDNDHSLQGVTSLDLSNRRIHSLHNKGFSSDAMPSLSYLNLRGNPLDDHSISDLLQLLKAFTSLDALEVDIPGPLGDSAVEIAESLPTLSLLNGVNTTRVLESGRRVIDSMLRPRLPEWXADEPLVDRVLNAMWLYLMSYRLADEEKIDETSIWYVMDELGSALRHSDEPNFRVSPFLYMPEGKLESAVRFSILVILFYFTASDLNVDWITISALQFFGLPTMSSMVMSALVIIYLASERRSSVLPGLLLGFIPHRNTSSKSIRLVSKVKTTVFMFTCHLFYRTTDLKEADIIWTSWQVDEETKKATGLKDHQYINQFPFEACLVRLANNAYSLDKKSLYEYETHFTVMLNGGKSIQGLRR >KVI12185 pep supercontig:CcrdV1:scaffold_19:872194:875242:1 gene:Ccrd_009343 transcript:KVI12185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-grasp domain-containing protein MQLTLEFGGGLELLCDSVKIHSINVDLPAEEKKLTMKHLLAWVRSNLIKERPEMFMKGDTVRPGVLVLVNDCDWELSGQLDTTLEDKDVIVFISTLHGG >KVI12160 pep supercontig:CcrdV1:scaffold_19:689:4274:1 gene:Ccrd_009421 transcript:KVI12160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNQTSSSSSSFTAQLFGSKESCAAAPSSGLFXSIFPPPSKPHGKDYISSELTGKNHGVAVKQDFVDKEDKNRNPKKDAGPFYQQERSQPCHLSSSIYYGGQDIYTNPQITNNTPANSTQYNEGEDDGHASRGNWWQGSLYY >KVI12212 pep supercontig:CcrdV1:scaffold_19:612123:616619:1 gene:Ccrd_009358 transcript:KVI12212 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAASEENSALFPIFILTMIALPLVPYTIMQLCRAASKKAKSINCQCSVCSRSGKYRKSIFKKAIISNFSTWSNLTLVLLWVIMGVLVYYIKNINREIQVFEPFSILGVQPGASDSEIKKAYRRLSVQYHPDKNPDPDAHKYFVESIAKAYQALTDPISRENFEKYGHPDGRQGFQMGIALPQFLLNIDGASGGILLLWIVGVCILLPLVVAVAYLSRSSKYTGNYVMHQTLSAYYYFMKPSLAPSKIMDVLIKAAEYMEIPVRRTDGEPLQKLFMLVRSELNLDLKNIKQEQAKFWKQHPALVKTELLIQSHLTRQTATLTPELQHDFKRVVELAPRLLDELMKMAVIPRTAQGHGWLRPAVGVVELSQCIIQAVPLSARKATGGYAEGIAPFLQLPHFNEAVMKKIARKDRAELLSQAAGFSESQVQDVESVLDMMPSITVEVSCGTEGEEGIQEGDIVTVQAWVGLQRSNGLVRALPHAPFYPFHKEENCWFLLADQNSNNVWFSQKVSFMDEASAVTAASKAIEETMEGSGANAKETSKAVREAVEKVKSGSRLVMGKFQAPAEGNYNLSCYLLCDSWLGCDKKSGVKVKVVKRTRAGTRGGFTEEGPVVEDGAEEEEEIEEEEYDEDYESEYSEDEEDNNTKRESRANGKAPAPAPAPASASSSGSGSDDE >KVI12214 pep supercontig:CcrdV1:scaffold_19:764502:770986:-1 gene:Ccrd_009352 transcript:KVI12214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLVKKYRDTTTHQHIPYRDNKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFEDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQIAAPPTRGLGMGAGPSSGTPPAIPNGEESGKQIGLSSMDPSRRRISGQVTGNPPKEKSPVANDATMSTDAMMSSSAFTGRAGGSMRRGNEVEGTGRSRIPDTRQRSSPVGGGSSSDPRNASGGKNVASTKKYETTLKGMESLHVEDEDRVR >KVI12196 pep supercontig:CcrdV1:scaffold_19:83052:94480:1 gene:Ccrd_009414 transcript:KVI12196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAALPLIDAGKSPDTKKIFTKEQGTRRRVHICLAFGCHKEQEDESPSAPGHYKEREDKFTIXFFHLVTVRNKKMFSQSLLHLGCSDQRMKVVFLIKGFALTQHSGSGFLGFLLLPLLFFFANHKMAGKTVLSDEEDEIEVEEDEREEPDGDAVDPEDRDEEDEEDEEGEDEYEKDGFIVDDVDEDEQDAEEEDRADSDEERQRKKKRKKRESEKNYVLDEDDYELLQDNNITGFRRPKVESKKFKRLKKARADADEGQSGFSDEEEYNRSGKGGRSAEEKLKRSLFGDDEGPPIEDIAEEDQPEEEEDGDIGEEEDEMADFIVDEEEVDEHGEPTRRRKVNKKKSRQAPGVSSSAMQEAHDIFGDVDELLRQRKLGLEKINRFDETGEWRERKLEDEFEPIILSEKYMTEKDDHIREIDIPERMQISEESTGPPPTDDMSIDEQSNWILNQLGTSMAPLFGKGGTRTTEEGHELAILKDDVMRFLEFMHVQKLDVPFIAMYRKEECRSLFKDPESQADKNQSNSDQKPKLRWHKVLWAILELDRKWLLLQKRKSALQSYYNKRFEEERNVYDETRLHLNQKLFDSITKSLKVAESEREIDDVDSKFNLHFPPGEHNVDEGQFKRPKRKSQYSICSKAGLWEVASKFGYSSEEFGLLISLEQMRMDELEDAKETPEEVASTFTCAMFVNPQAVLRGARHMAAVEISCEPCVRRHVRSIFMDNAVVSTSPTSDGNVAIDSLHQFAGIKWLKDKPLTRFEDAQWLLIQKAEEEKLIQVSVKLPVSVHDKLISDAHDYYLSDGVSKSAQLWNEQRKLIIKDAFDGLLLPSMAKEARALLTSRAKNWLLMEYGRLLWGKVSVAPYQKKDHDVNSSDDYEAAPRVMACCWGPGKPATTFVMLDSYGEVLDVLYAGSLSIRGQSVADQQRKKNDQQRVVKFMTDHQPHVVVLGAVNLSCARLKEDIYEIIFKMVEDNPRDVGHEMDGLSIVYADESLPHLYENSPMVATLCGPSKEILSWKLSPLESFLTADEKYGMVEQIMVDATNQVGLDVNLAISHEWLFAPLQFISGLGPRKAASLQRSLVRASSIYTRKDLLNHGLGKKVFVNAVGFLRVRRSGNAASSSQFIDLLDDTRIHPESYGLAQELAKDIYSADNQDDVNDDDDMLEMAIEHVRENPNLLKSLEVNAYAKSKKRENKKDTLHHTRLELIQGFQDWRTKYAEPSLDEEFYMISGETEDTLSEGRIVQATVRRVQPQRAICNLESGLTGMLSKEDYSDDRRDNDLTERLNEGDILTCKIKSIQKNRHQVFLSCRENDLRSDRSQNYRAMDPYYHEDRDNSENDKEKARKAKELAKKHFKPRMIVHPRFQNITADEAMEIYDGVYAHKDIIEGGKENKDITSMLRLGKTLKIGEDVFEDLDEVMDRYVDPLVGHLKTMLAYRKFRDGVKAEVDESLRKEKSENPSRIVYSFGISHEHPGTLILTYIRSSNPHHEYIGLYPKGFKFRKKMFEEIDRLVAYFQRHIDDPHDAGPSIRSVAAMVPMRSPATGGSGGWGGSNSNESGWRGSSGDRERTSTPSSRSGRSDYRNGGGHDAHPSGVPRPYGSGGGRGRGRGGRGYHNRGNEGGSKEGEDGWGSFPGAKTQNSPGREAFPGGWVDGGSKRSSVQSQAGGSGGGGAGWSTGW >KVI12224 pep supercontig:CcrdV1:scaffold_19:313417:315352:1 gene:Ccrd_009389 transcript:KVI12224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MAFQDFDQISERRRLEREQKLKQRIMIGVVVAILLLSGAXAAFLIFLNNSQENDANDDVDNLPNVNDDNNDNGKNGNSGNKPSEDGNKDQKVLQTQKAVKTACLSTDYKETCETRLEKTLSSKSWSSLKPNDLVNAAIAAASDELDAAIRQTSTVMKAETASKEQKASYEVCKQVLEDAQDDFNRSRNAATGSAATRDLDSWLSAVISYQQTCVDAITEEATRVSVEKSLKMAKELTSNSLAIVSSFDKGGAPPPAIAATNRRLLSGNADKELPKWMTPDIRRLLKADAPSQTPNVTVAKDGSGDFTTISKTLESLPQKYQGRYVIYIKGGVYEENVIVTKQMANVTIYGDGSQKTVITGSKNFVDGVPTFQTATFAAIGRGFMAQSIGFRNTAGPEKHQAVALRVQSDCSVFLNCRMEGYQDTLYAQTHRQFYRGCYIMGTIDFIFGDAAAIFQTCDIVIRKPMENQQNTVTAQGRTDKHQTTGIVLQKCKIAADSSLKPEQSKIKSYLGRPWKEYSRTVVMESEIEDVVDPVGWLPWEGEFALQTLFYAEYNNIGAGSHLKDRVKWGGYQADFKKEEAFKYTVGPFIQGESWVKAANVPVRVGFYS >KVI12233 pep supercontig:CcrdV1:scaffold_19:74023:82506:-1 gene:Ccrd_009415 transcript:KVI12233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGEEEPLPTTITHSQSTTRNADNCSCCARIATLFRFRCVFVLVLGLSVLLSAVFWLPPFFRHGDQGDLDLDSHYKGHDIVATFMVQKPLSLLEDDFPXLGEDIFDELRVPTTKVVIITAESIATPNTTKVXFGVDPDEYNSKISSYAKSLIRASFESLVLRQSSLSLTESLFGEPSSFEVLKFVGGITVTPVQSAYPLQKVQIRFNFTLNFSIEQILDNFGSTVAPPTTVQSSVVLVVGTPSMARLKQLTQTIKGSPTKNLGLNNTQFGKVKQISLSSILQPSLNGSSGGGTPTPSPAPLPQPPPPPPPPQPHDNHQHHHHHRHQHNKQLPPAKPPSPNESGGFKHSPAPSPIPTHAPHKIHNAKPPDCHSGYNRKKRSFKNANKHPPLLPP >KVI12193 pep supercontig:CcrdV1:scaffold_19:103971:108799:-1 gene:Ccrd_009411 transcript:KVI12193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KVI12162 pep supercontig:CcrdV1:scaffold_19:177280:188025:1 gene:Ccrd_009403 transcript:KVI12162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MSSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFICMTCSGIHREFTHRVKSVSMSKFTSQEVEALQEGGNQRARETFLKDWDPRGQRLPDNSNVDKVREFIKSVYVDKKFFASKASGKPPRDILNTRNHEDETRRASSYHSYSQSPPYDYQYEERRYGKQAPALTKKPGSDRGMFRFMSTSRLSDHGQDDGFANEVPNARVSDFSVTGSSGSTVQLSGDDTGFKSADSVDKHFIGPTPEQSVVTQHPHPSFSHSSESGKFDGLDLFSLPYAPQSSTSLAPEQSVVTQHNKDSLPSVSHLSESGKFDGLDLFSAPYAPQSTTSAPSAIDLFELSATSSALSINTLQPPRNSVASTLSVNQQFQAFEPSSLDLFAVMPQQQSSETLKDKSPGMITQENQGWATFDMPWHVEPSQGIKSSIVVSETSTSDDSVGKFDQALSVDKSSHWSFHQDFSASGPSPLMHNPWHGGLHDLGDPLNAKNNQSWSSFEESTTSFESIYIKTSEQVPVQDPSGVDVYLPWEISENVKMKEADLDTRPAPFLSTTPHAIGSVDSSIELPVMDGAQSHGLDTKSRNPFDFPSDADLESSNMFLDMSSLQSAIPNHSISTPWFPESAAIPYTPDALVYLAGQAPSMQIQNIHGQGPVASVSGNPFG >KVI12169 pep supercontig:CcrdV1:scaffold_19:142141:145483:-1 gene:Ccrd_009406 transcript:KVI12169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTTQETTIPSFLCPFLCQSASQFHRTISLYTINLRMDYYKVLGLSRDATKDEIKEAFRDIAKKFHPDKHSASPKSVRDGATVRFKQASEAYEVLVDDHKRADYNFRSNFSNQGARSGSGYGYGYGYTYDSRKNNDYYGSKKATVSRFQIALGYINSRAFLLNVAFAGAILSGLAVVEIGRDAIWKMQNPGKSFEEAMESIQKTKTVKEKE >KVI12225 pep supercontig:CcrdV1:scaffold_19:353285:358836:1 gene:Ccrd_009384 transcript:KVI12225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reductase, NAD binding MASDSPASSSSDGRSNYSRMLVEDSNDVYGIGGAMLPIFLNDLQRNNDKDLVEVTLELEDDSIILCSVTPTANNPHPPPISQSPTAVTSRLRRKFSWLTSSSSRASSTDVSVDHAITSRDARKLKAKLIRTKSSAQRALGGLRFISKTTNASDVNELWKNVESRFLCLARDDGLLAREDFAECIGMADSKEFALGVFDALARRRQQKLGKITKAELYDFWLQISDQSFDARLQIFFDMLLNGLTDKFKPIKRKPNMSSIRLGLLVIIANYHPTLLVLSAAANRLSKLKEQAEEYASLIMEELDPENLGYIELWQLETLLLQREVYMNYSRPLSIASGGWSQNLNSLKRTSVVHRLSHIVRYAMIENWQRTWILMVWIVAMACLFTWKFKQYRNKAAFQVMGYCLTTAKGAAETLKLNMALIIAFAIAIGILLHVGNHMICDFPRLVNSSAESFALIASDFHNKKPTYKDLVFGVEGVTGISMLIMMAFAFTLASRYFRKNLLKLPSPFNRLTGFNAFWFSHHLFGLVYILLLIHGSFLFLVHRWTQKTVSNLPGDVFSIIMSKPNGFKYRSGHVHIRTVGDWTQELKQVLTDDNGSPCTIGRAKFRHLRDVDSKGLPRLLLDGPYGAPAQDYRNYDVLLLVGLGIGATPFISILRDLLHTNRAVEDHTDSNTETSVSGDSLTSLASSSMASSSDKKKSKRAKSANFYWVTREPGSFEWFKGVMNEVAEMDHKGQIEMHNYLTSVYEEGDARSTLITMVQALSHAKHGVDILSGTRV >KVI12176 pep supercontig:CcrdV1:scaffold_19:272594:279628:-1 gene:Ccrd_009393 transcript:KVI12176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAWSTLVVLVIVLAATFTSTVSANSEGDALYALRRSLYDPDKVLQSWDPNLVNPCTWFHITCNQDNHVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNTIQGTIPAEIGNLKNLISLDLYNNNISGIIPPSLGKLKSLVFLRLNDNHLTGSIPRELIGISSLKVVDVSNNNLCGTIPTTGPFEHIPLNNFENNPRLEGPELQGLASYDTNCS >KVI12186 pep supercontig:CcrdV1:scaffold_19:863635:870129:1 gene:Ccrd_009344 transcript:KVI12186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-binding protein RBBP4 MVRSLKNPKKAKRKNKGSKKGDGSSSSNAIPSMPAKVWQPGVDALEEGEELQCDPSAYNSLHAFHIGWPCLSFDVVRDSLGLVRTEFPHTIYCVTGTQANGAPNSIGIFKISNISGKRRELVPTKTSNADTDMDSDSSDSDEDDELEDNGPKAPVFQLRKVFHEGCVNRIRAMTQNPHICATWGDTGHVQIWDFGSHLNALAETEATVSKDTNTVSKQAPLVKFTGHKDEGYAIDWRDCKNSIHLWEPASDLTWNVDNKPFVGHTASVEDLQWSPTEPFVFASSSVDTTIAIWDTRLGKSPAASIKAHNTDVNVISWNRLASCMLASGSDDGTFSIRDLRMLKEGDSVVAHFEYHKHPITSIEWSPHEASTLAVSSSDNQLTIWDLSLERDEEEEAEFKAKTQEEVHAPTDLPPQLLFVHQGQKDLKELHWHTQIPGMLISTAADGFNILMPSNIESNLPPNAAA >KVI12228 pep supercontig:CcrdV1:scaffold_19:324935:329283:1 gene:Ccrd_009387 transcript:KVI12228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase MADRVLGLRDRVDAVLATRGTEILALLSRIESHGKGILKPHQLLTESEAIFFKDGKNRLHDGVFDEIFRNTQELTVAEYLRFKEELINGSSNDNFVLELDFKPFTSSFPRPTLTKYIGNGVEFLNRHLSAKMIQNLNGLQSVLRKAVEYLTTLSADTPFSEFEHRFQEIGLERGWGDTVERVMEMMHMLLDLLEGPDACTLERFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQIVYILDQVPALELFGAEHSHILRVPFRTEKGILRKWISRFEVWPYLETFTEYPDSDIYWKKFEEKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTMPELYRVVHGIDVFDPKFNIVSPGADTGIYYAQTEKDRRLTALHPEIEELLFNKSKPILFTMARLDSVKNLTGLVEWYAKNSRLRELVNLVVVGGDRRKESKDLEEQAQMKKMYSLIEEYKLNGQFRWISSQMNRVRNGELYRVIADTKGAFIQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIVHGKSGFHIDPYHGDQVSELLVEFFDKLLTLAGVYGFWKHVSKLDRLEIRRYLEMFLALKYRKLAESVPLAVDT >KVI12171 pep supercontig:CcrdV1:scaffold_19:217099:236461:-1 gene:Ccrd_009398 transcript:KVI12171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MVVRTVNSVSLFRSTSPPSPLPLAAFHTYRQIRAFQYLQLSRLSICKPMVFGYYNLKSGGSRSYSVQSFVDSVMEEFEALRRRRTVRASNNLFPNLRPDDDNVPCPPLGDNLQMAWASLFGPRPYLASYKSVLTSNKELVEDKLRKQVLQKGLLLEFKKDSERILLAVAQKPDGRKNWIVSDQNGVTTSIKPQQITYIVPGIDNFDTTNILDFNQRAQNSLDPTLLEFAWTELLEMNKSVTVEELAEMIFGSAEPVESYCAHLLLSRDEIYFTVLDSKGSCSVYGPRPTVQVEELRRRMLAKEAADKEFHEFVELLKSAKAMPPRGKPSKCSWKVEEKIWHRIESLEAFAIDACKDEQTNIAGAILKGMGLPKTPTSAVNLLIDIGYFPVHVNLDLLKFSIRTNYPDKILSAAERLLLESPDTDKDDRIDLTHLKVYAIDVDEADELDDALSATKLHDGRIKVWIHVADPSSFVQPGSMIDREAMQRGTSVFLPTATYPMFPEKLAMEGMSLRQGTNCRAVTVSVVLHSDGSIAEYAVNNSTIRPTYMLTYESASELLHLNLEEELELRTLSEAASLRLQWRRGQGAIDTGSLETRIKVENPDDPEPSIRLYVEDQRDPAMRLVSEMMILCGEALATYGSANKIPLPYRGQPQSNIDTSAFDHLPEGPVRNSAIVKIMRAAEMDYRKAIRHGILGIPGYVKAFLRGDALPFTAGQLEGMAASVNMNARIAKKLFSSSLRYWILEYLRTQPKQRKYRALILKFIKDRNASLLLLEVGFQASAWVSIGSHVGDEVEVRVEEAHPRDDILTLKEVVQVT >KVI12238 pep supercontig:CcrdV1:scaffold_19:405959:411606:-1 gene:Ccrd_009377 transcript:KVI12238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MVETLTSSPSIWRFNHRRWIPNLSSSYKTLFAFLWIVVFTSLFIWQRNFVDGLSIFRRPLLVRPLPRLRPVAFNLTDFGAVGDGVTVNTLAFEKAVFAISKLGKKGGGQLNVPAGKWLTAPFNLTSYMTLFLAEGAVILGLDDEKFWPLMPPLPSYGYGREHPGPRYGSLIHGENLKDVVITGNNGTIDGQGQTWWKKYRQKLLNHTRGPLVQIMYSSDILISNITLRDSPFWTLHPYDCKNVTIRNMTILAPLFEAPNTDGIDPDSCEDMVIEDSYISVGDDAIAIKSGWDQYGVAYGRPSKNILIRNLVVRSMVSAGISIGSEMSGGISNVTVENVLVWNSRRAVRIKTAAGRGAYIRDITYRNLTFENVRVGIIIKTDYNEHPDSGFDPKAFPVIRGISYSSIHGEGVRVPVRIHGSADIRVRNVTFRDMSVGITYKKKHIFQCAYVNGRVIGSIFPKPCENLDLYDEQGRLVKKSVSENASDIDYDI >KVI12218 pep supercontig:CcrdV1:scaffold_19:630445:643957:-1 gene:Ccrd_009356 transcript:KVI12218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dil domain-containing protein MCGLSEICTTFLFHPFASNAIKCSQAAPVNIIVGSHVWVEDPALAWIDGEVVRINGQELHVNTTDGKTVSVVMLDYFSIVIRNFSKVFPKDTEAPAGGVDDMTRLSYLHEPGVLQNLSTRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYREMIKEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRTGVEGRTVEQQVLQDREKFKLESPQSYHYLNQSKSYELEGVNDAHEYIATRRAMDILGISGEEQEAIFRVVAAILHLGNIEFAKGHEIDSSVIKDEKSRCDAKSLEDALIKRVMVTPEEVITMILDPEAALGSRDALAKTIYSRLFDWIVEKLNSSIGQDPNSKSLIGVLDIYGFESFKHNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAINWSYIEFVDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYQTFAKNKRFIKPKLSRTSFTISHYAGEVNYLADQFLDKNKDYVVAEHQSLLTASKYPFVVGLFPPLPEASSKSSKFSSIGTRFKLQLHSLMETLSSTEPHYIRCVKPNNVLKPAIFENLNIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPDVLDGNYDEKIACQMILDKMGLKGYQIGKTKVFLRAGQMAELDTRRVVVLGDAAKVIQRQIRTYIARKEYILIREAAIQLQSCWRGLSASKQLDKLRREAAAVKIQKDFRCFVASKSYLTLRMCVITLQTGLRATTARDEFRYRKQTKAATFIQVHFRRYSAYSYYMSLRKAAIVTQCGWRQRVARKELRILKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRSELEQTKIQETAKLQDALRMMQIQIDEANAKVIEEREAAQKAIEDAPPVVKQTPVIVQDTEKVDTLTAEIKSLKALLLNQKQTAEEARKSYIEADARNAELIRKLEDAEKRADQLQESTRRLEEKLSNIESENQVLRQQALIMSPTGKSIPPRPRTTFFQSIWMNLQRTLENGNIQNGEARPTTPEMSIVLSNTREPELEEKPQKSLNEKQQENQDLLIKCISQDLGLHGGKPVAACVIYKCLLHWRSFEVDRTTVFDRIIETIASAIEVHDNNDVLGYWLCNTSTLLTLLQHTLKASEAASMTPQRRRSSASLFGRMSSQGLRASPQSGGLPYLSGQILGRLDDLRQVEAKYPALLFKQQLTAFLEKIYGLIRDNLKKEISPLIGSCIQVPRTSRASLVKGRSQAKGVAQQAVNAHWQSIVKSLDSCLKIMKANYVPSFLVRNIFTQIFSFINVQLFNRNVKINPISNILPNHDCYCPYGDSLLLRRECCSFSNGEYVKSGLAELEQWCFYATEEYAGSAWDELKHIRQAVGFLVIHQKPRKTLKEITNELCPIISKMRVMMTEDSNNSVSTSFLLDDDSSIPFSVDDISKSMPRVEIADIEPPLLIRENSGFVFLHQRKE >KVI12241 pep supercontig:CcrdV1:scaffold_19:447336:449969:1 gene:Ccrd_009370 transcript:KVI12241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MDTHLKLNRIPHQIPFNLRGSLHGVFTLVFIVFCFHQNTHTWNHSGANRVGLNSGTPRNLGITTPPDCTGLSRHEGYASECEFLIAFPQCDSDGFFNYLTFFYCSCQNHAFLGYILLFIWLAALFYLLGNTAADYFCCSLEKLSHLLRLPPTVAGVTLLPLGNGAPDVFASIAAFAGTDNGDVGLNSILGGAIFVTCVVVGTISICVADQLVSIDKKCFIRDVSMFLFAVVSLGVILFIGEVSVGGAIAFVSIYVVYASLIAANESFRRKDDGVLKGDTFVPLLAVARMGGMENRDQESLDVSFTESDDVPQLVESKVVPQWMWGSNVAIYSDVVGHGTEDGTDHPLWGWKGAETENDNENSSFSCFKLLGWLEFPLALPRRLTIPIIDEGRWSKGYAVASVTFAPLLLALLWNTQHDGTRLVSGLIYSACAVIGCVLGCVAFICTKWNHPPQKWLLPWLLGGFFMSIIWFYMIANELVALLVTFGLIFGINPSILGLTVLAWGNSMGDLMSNVALAVSGGEGVQIAISGCYAGPMFNILAGLGICMLIGSWSNRPVSYTMAGDIGLFCNIGFLVVGLVWSLVMLPRNGMQPSRRFGIGLMAIYVVFLGSTVGMSIGSGSLNNNGRAREAELPTLTTKREVEWXFCATVAIRTTELESPL >KVI12217 pep supercontig:CcrdV1:scaffold_19:623673:626779:1 gene:Ccrd_009357 transcript:KVI12217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2838 MSSTEDTEENSYAARRFQRVKQRFKDRSKVTHFLGVFAYGGFCFILGARPQDVRYIYCLFYITFVPLRWIYYRYKKWHYYLLDFCYYANTIFLIMLLFFPRNQKLFMVTFSFAEATMHPEETEQEVSWRQVESKSFLCTWLFTVPLIAYVLWQVLYFLIVNVLRRQRLLKDPEVMTSYRGLSKKAQKANNIWWRLSGILGDQNRMFMYILLQALFTVATMALTVPIFLSYELHLIFQILKVSAAVWNGGNFLLEVMPRQVVLKERKKLEVAQPPVEDRSQENQPVSGE >KVI12209 pep supercontig:CcrdV1:scaffold_19:457962:458225:-1 gene:Ccrd_009368 transcript:KVI12209 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MGPLSWLLLATAISALIFRLLNVRHGRKNLPPGPRPWPLIGNLNLIGPLPHQSLHALSQTYGKLMHLKFGSCPVVVASSPEMARQFV >KVI12198 pep supercontig:CcrdV1:scaffold_19:51787:59390:-1 gene:Ccrd_009417 transcript:KVI12198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-/4-kinase, catalytic domain-containing protein MAVVVNHPHGFKPLARPPRCRLQSYSHFDPTMLDFTHNSHTSDVTTNFHRSYSTPCLSLANKAKEEFESPKPRIEIINGXKAPMVHALVAEVAIALASGVEPKPVPSGLGGAYYLQSRKGNTIAVVKPADEEPLAFNNPKGFAGRMLGQPGMKRSIRVGETGLRELAAYLLDHGGFAGVPPSALVKICHVTFNVNDKGLASSPPFKITSIQRYVEHETDAGDLGPSGFSVGSVHRIGILDIRLLNLDRHAGNMLVKNFHGSYAAGVADLVPIDHGFCLPESLDDPYFEWLHWPQASMPFSDTEAEYISNLDPLKDAKLLRSELPLIRECSVRVFVLCTIFLKRAAAAGLSLADIGEMMTREFIGGEECWSILENICVNAKANLDADIITDGSDEVKEDDEMFAFDEEGLNLKKDVSRHPTSGARSQLSDAQLARLVQECDIKDGCGPTGIPILRSVSFAAHNNNHDTGVCVSFEEMKEDEWSSFLEHFEELLPEAFEEKKSMSLFKQRSKHIGRASLVATPKETESWKPSFCMLQKEEFGAWVAYSATASVNLPPTKSSSSSSSSSSSSSSSSMERLPGASTDEVVGVLGAAPISGTLSLMMVPRFPDWTDVIGVDEEAASRNGERAIDCGDNTLFASTLEDETAFHEDRPGAAC >KVI12180 pep supercontig:CcrdV1:scaffold_19:814682:819811:-1 gene:Ccrd_009348 transcript:KVI12180 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein MAASSSSSFSFNIILPTSTTTLPFSRTHTSNFKFFCSDQRIQLETQQINPKIKKQRKPRPSFSDQVLEKWSRKPTSLLEKFPWQKEEIRQQEGINSIKSVEEDEKKEEEEEEEEEEEEEEEEEERGLDESRIGSNSFAGDSVRFNPIKYVKLAPWVQETKPQKPKFDFEDRNSQKDSNGGKTDDRFVLSELRTASNSLLSDSMISNHSNSRIPQKDGGTTTIDGISSQKGNIQGVFLKEDEIQSGFVEEDDDMQMKIDEMVSNKGGESKVPLERGRDLFKSSSNTPMADKLIPEFELKRLRNKAGRMVERFRVGAGGVTQELVDSIHEKWERDEVVKLKFKGPSAMNMKRIHESLEVDDDGDDRLSRTGGLVIWRSGSSVVLFRGMAYKLPCVQSFTEQNSKDIENDSSMNYPARYVKDLSEEELLDLKELNLVLDGLGPRFKDWSGREPLPIDADLLPSLVEGYKRPFRLLPHGTKPGLRDKEMTFFRRTARTMPPHFALGLAVAMAKLWEQSAIAKIAIKRGVQNTCNERMAEELKRLTGGTLVSRNKDYIVFYRGNDFLPSNVTRTLIEAFDVRTNRQEDEDKAREKASTFINLTPKDAIRGSLVAGTLAETIAATSRWGGQPSHEEIEKMRRDSAVARHASLVRLLEKKLASIKKAEKALAKVQEYLQPSQLPTDLETLTDEERFSLRKIGLSMKPYLVLVFDGTIENMHLHWKYRELVKIMVERKSFAHVKHVAISLEAESGGVLVSVDKTTKGYAVIVYRGKNYERPNAIRPKNLLTRRQALARAIELQRCEALKHHILELSGRIKKLKSELEEMKIIDEIDEETLRSRIEDDSDLESDSDDHDGMEEDEEAYLEIYEDGDQN >KVI12195 pep supercontig:CcrdV1:scaffold_19:94877:97473:-1 gene:Ccrd_009413 transcript:KVI12195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex NAC domain-containing protein MTAQTQEELLASHLEQQKIDHDEPLVEDEDDDDEDDDEDDAEGQGDASGRSRQSRSEKKSRKAMLKLGMKPIPGVSRVTSPASDTYVIFGEAKIEDLSSQLQSQAAEQFKAPSNLSNVVSKPEAELRVVDDEEXDIDENGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTN >KVI12229 pep supercontig:CcrdV1:scaffold_19:393471:394638:1 gene:Ccrd_009380 transcript:KVI12229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF581 MATDKRRRPVIGKLITPGLRSGFLEVGTSPTTPLEFKVLSPRGLIHCDMTGIGLGIVAALENIPAKKPNFSCRNPIGSDPIAVNQAKSVGDLEXDNETGSLEEEEYTIVTRHKANKSYTKVYHGGFVTNQGIEISRRACIFNISPARFSDDVKVSQTSGFLSSCFLCNKRLHGRDIFMYRGERAFCSPECRSRQMELDEKREKNCSSEAESKSTSNGCPNHQMFATGIYAI >KVI12188 pep supercontig:CcrdV1:scaffold_19:842701:843504:1 gene:Ccrd_009346 transcript:KVI12188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MAAVNSGETQSKQRIPRDAVRKAVISLLKWKKKQMSDQKNPISGDVDDFIYLLLTLKKIPHKDFTTSPKKIPLLHSLHTSPESSPSCLIIDDRPKPNSQKLTFEYADKKIKSLGIPITRIIKLSKLKSDYRSFESKMELFNSFDVFLADRRINEMLPKILGKVFYNKKKKIPVPVELRRDGDWKEEIEKGFCSSLLWLSNGTCSVVKVGKFGAMEVEEIVDNVVAAAGGVVEVVPKKWVGLKSFHLKFFDSLALPIYQKGVKHESKT >KVI12205 pep supercontig:CcrdV1:scaffold_19:489398:499237:1 gene:Ccrd_009366 transcript:KVI12205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDRHAASRXASPDDNYLFLDISNEAPLYGQRKHTSIIGSILYCLLLASYATLAVGAPWVFQSKQYLILKLLSTCDVALLIITGLLYFQSKDEAYYSPTLCQYVIWHRGDATYHGLGAIYKNSSNSYDSQGLFNDMEGLFALPYLSVCLWLNDXAISNFAYGFSPSSRLFYWIIMVVEAIFAASFMSIYIGNVHQYNTLESQPDVLKSLYSPLQPPSSLEALRLQECLSKFERSGDGTAPQVDLAHLLAARDQELRTLSAEVIRMSRSIFIPSPYQVNAYESLGRKI >KVI12219 pep supercontig:CcrdV1:scaffold_19:723823:730017:-1 gene:Ccrd_009355 transcript:KVI12219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MDGCSNDVPALDWIQILFGTPEKLWMLFPLNDVIYVAVIADAAMEEGKVMKYGLEFVRSVSDKHLDLLRPSARCFSLFKGNTTNNDNNEKDRYSLIRDADDFQRGIYDKPLPCFGCGVGWFSFLLGLAFPLMWYYATFLYFGNYYQRDPRERAGLAASAIAAMGFSVVLLIIAAILLF >KVI12231 pep supercontig:CcrdV1:scaffold_19:363943:369237:-1 gene:Ccrd_009382 transcript:KVI12231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl synthase, active site-containing protein MVVVSSKPSTESARKPSHPPNRRRVVVTGMGVETPIGRNPDEYYNNLLEAVGKKALADGGLTASQMEEMDKSRCGVLIGSGLGGMKIFSDSVETMYTISYKKLNPFCVPSTFTNIGSSLLAMDLGWMGPTYSISTACATSNFCILNATNHIIDGDADMMLCGGSEAPILPLGVAGFVACRALSERNDDPTKASRPWDSKRGANIYAEVLGGSFTSDAYHPTKPHPEGKHDACSILYSKSCVMELDELGPLLGTGAILCMEKALSQSGVTREDVNYINAHATSTPAGDIKEFQAIVHCFGKNQEAIQTGWVHPNMNLDNPDKDVDMNVLVGQKKERLDVKVAMSNSFGFGGHNSTILFAPFR >KVI12210 pep supercontig:CcrdV1:scaffold_19:455673:457870:-1 gene:Ccrd_009369 transcript:KVI12210 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNLSVMSRIVLGRKYFSESKDEKEIVTLEEFQEMLDELFLLNGVLNAGDWMPWIGFMDLQGYVKRMKALCKKFNRFHDHVLEEHRGRMKADGERFVAKDMVDLLLQLADDPSLEVKLDSDGVKGFIQDLIAGGTDTAATTVEWAMSELIKRPDLIKKATEELDRVIGKERWVHETDFSNLPFIDSILKETMRLHPVAVLLAPHLALEDCQVAGYDITKGTTVFINSWSIGRDSSIWVDPESFRPERFLGRDIDVKGQNFELLPFGSGRRMCPGYSLGLKMMRSLLANMLHGFNWNLSETTRDEDINMEELYGLSTQRKFRSNKGYPIKNLLELKLNSNQHDNIYARPLTSLSPSSPFILHETHCDQSRGLRPTKPKKAMEKRSQTGAASCAGF >KVI12184 pep supercontig:CcrdV1:scaffold_19:877829:881747:1 gene:Ccrd_009342 transcript:KVI12184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MANRFPIILQHHRLPNLAAVHPNASISCSQLLKRTRNLSSYRLTRRLVFGIGVSFWAQFMSMAGKSGTSSFIASARTKGAVEQILQNVEWPEKFPFKEEDFQRFDESSDLVFYESPRFVTHIDDPAIAALTKYYKEVFPPSNTPGVALLDMCSSWVLTEYIVQDLNMNPKLPFDDNTFDVITNVVRIVNNSHDVSVDYLTKPIEVFKEMCRILKPGGKAIMSFSNRCFFTKAISIWTSTGDSDHVMIVGAYFHYAGGFEPPQAVDISPNPSRSDPMYIVHSRKLAAA >KVI12166 pep supercontig:CcrdV1:scaffold_19:150318:156985:1 gene:Ccrd_009405 transcript:KVI12166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin reductase-type FAD-binding domain-containing protein MDFLQVKEIQVGLAVAVVVVAATAAYFYSSKKTKGCIDPESFKQFKLVKRTQLSHNVAKFRFELPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDTDVGYFELVIKMYPQGRMSHHFREMREGDYMAVKGPKGRFKYQPGQVRAFGMIAGGSGITPMFQVARAILENPKDKTQVHLVYANVTYDDILLKEELDGLISNYPGRFHVYYVLNQPPEEWTGGVGFVSKEMIQAHCPAPASDIKILRCGPPPMNKAMAGHLDALGYSSEMQFQF >KVI12234 pep supercontig:CcrdV1:scaffold_19:394747:401162:-1 gene:Ccrd_009379 transcript:KVI12234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQQQQQRIRQQQALMQQSLYHPGLLAHPQIEPILSGNLPPGFDSTTCRSVYVGNIHPQVTEPLLQEIFSSTGALEGCKLIRKEKSSYGFVDYFDRRFAALAIVTLNGRHLFGQPIKVNWAYASHFNIFVGDLSPEVTDATLFACFSAYPTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWAAKGAGSDDKQRSDAKSVVELTNGTSDDGQEKTNEDAPENNPQYTTVYVGNLAPEVTSGDLHCHFHALGAGVIEDCSWGSKPTPPGSSSTPLPLPMTGNMLGFSATDLAAYERQLALSKMGGLQALMHQQMGAAASQGAIYDGGYPGIAATQAPMYYQ >KVI12173 pep supercontig:CcrdV1:scaffold_19:248048:254481:-1 gene:Ccrd_009396 transcript:KVI12173 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MAAAATTTSSFQRTPALHLTLTPNRLSKLPSCAFPQRSRQSWPSQLPLISSSSIYFSYKLSRLPVGFFLCSASSHDETLVTDEQKDVVTDESQPQPEDSEGMSSDDDSSQGDDTIVEESPATILASLQSYKEALAVNDESKIAEIETHFKSIEDEKLVLERQLATLSDELSNAKDRVLRISADFDNFRKRTERERLSLVANAQGEVVENLLGVLDNFERAKSQIKVDTEKEEKINNSYQSIYKQFVEVLGSLGVVPVETIGQPFDPLLHEAIMREDSTEFDEGVVIQEFRKGFRIGERLLRPSMVKVSAGPGPETADPVSPSEPEASVPGAESLGEPEDVDNYTEDQSEPAPSDDE >KVI12204 pep supercontig:CcrdV1:scaffold_19:571640:573864:1 gene:Ccrd_009361 transcript:KVI12204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYLAKYEALSTVKGHAGASRIGSSVAYCKFQDSIRYQNKNVLYESSKRLTEATRDTVKTDSLVMGAEQMEATCRLEM >KVI12175 pep supercontig:CcrdV1:scaffold_19:266382:268658:1 gene:Ccrd_009394 transcript:KVI12175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDPRYEKTFSSEDGYGDGNGNGIQFGQGIMPVIQDNVNLNTDPILENTFSIYDPVNEGSSGYQSSIYNQSYRGLKFPHLNNPPVPVVGSIPEDDYLHEDCDFSDAILGYISKVLMEEDIEDRSCMHQESLDLQAAEKPFYDVLGKKYPPSPWPSHSSEGVLTSVDHSRESLDDFPSLAHNTYVGSFSSCSGYSHDASNLQNVGALNVSYASSGSSNNTNYVTDGGLDDLCNENQMMWQFRKGVEEANKFLPSGNSFLVSSNAGGFLPQDNNLGNVEGIISSNSRVRKNSDGDDIAEVEKQERSIKQAAIFPDPTLRKISNFASNRTIREKSENATRIHIVDFGILYGFQWPTLIQRISDRKNGPPRLRITGIDFPQPGFRPAQRIEETGRRLEEYARHFNVPFEYISIAKRWENVKVEDLMLDEGEFLVVNCMYRSKNLLDETVVVDSARNIVLNLIKKINPDIFIHGILNGSYNAPFFLTRFREALFHFSALFDMLETNVPRERAERMLLEREIFGREALNVIACEGWERSERPETYKQWHARNLRVGLVPVPLSRFIIKVAGKKVNLYHKDFLIDEDNHWLLQGWKGRIIYAISCWRPA >KVI12235 pep supercontig:CcrdV1:scaffold_19:403520:404559:-1 gene:Ccrd_009378 transcript:KVI12235 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO/COL/TOC1, conserved site-containing protein MSTSSEIVDSGRFSGQNRAPEKSNFSHTCNLFSQYLKENAFPDLTLGRRVTSPSTATMNLFPMTETPRLATQKQQDQSRSMTIFYDGQVMVFNDLTPEKVKEIMMLAEKGIPHKPTTFSSSSIATPKPEEPSNKTGLPIASGSDLPIARKASLARFLEKRKDRITARSPYQMEGAPKQEDSKTWLGLGAQSEVQFQPRS >KVI12226 pep supercontig:CcrdV1:scaffold_19:338931:339467:-1 gene:Ccrd_009385 transcript:KVI12226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MDENGGAKLNGIRQIVRLKEIIQRWQHVTLGAKGNDDDHTPPPPPCNRSVSGINPAISMRLKGYNVYSDSDIEDGCQSPDLPNDVPKGYLAVYVGPELRRFIIPTSYLSDPLFKVLLEKVEEEFGFDHSGGLTIPCEIETFKYLLNCMEHHQKDHPEGKNNLSFYPLCFHSDHALEIG >KVI12237 pep supercontig:CcrdV1:scaffold_19:422576:427656:1 gene:Ccrd_009374 transcript:KVI12237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MLAEGMSYMNQIAYRDLHSLALIIAACFVLIALLLSSVLIFQHLKSYTNPEEQKWIVAVLFMVPVYACDSILSLWKPVFSLACDILRSCYEAFALYSFGSGERRVVELLESEKEKLLNKPLLDGKDVKPDLNRTAICKFFRRPHILGEELLQIEKFGLVQYMILKSFCSFLATVLALFGVYGDGEFKWYYGQMWALYCLVKFYHVTHERLKPIRPLAKFISFKAIVFATWWQGVGIALLCYLEVLPNQGRFQTALQDFLICIEMAIAAAAHVFVFSAKPYHFLSASQYGELSSETTKEVKVEESNEENSAVIEKTETKVEAPGTSVRQSVQDIVVEGGQHVVEDVKLTINQAIGPVGKGMTKIQETIHHLSVGDDDDDKKSEVEVDEYEQDVKRVTSDDNNEKLEVRIEKNEQVARVGTPK >KVI12201 pep supercontig:CcrdV1:scaffold_19:557086:570568:1 gene:Ccrd_009362 transcript:KVI12201 gene_biotype:protein_coding transcript_biotype:protein_coding description:dDENN domain-containing protein MEKNEESDSPGWGASYFMQTTEDVARAVLAAATAASSAPSRPSVVYSSKEDSGSHLQKLQNQVFKVLKGLSYPTEEKRTYNPEVLTSQKRQWASFQLQALHRRILKEPSRLFESMVVVGLHPNCDIQSLEEQYFGRRSEGSGRLQSPINVQRQSASTVENIEPQVLFVYPPDKQLPLKYRDLLSFCFPGGLEVNAVERTPSMSELNEILLGQDHLKQSDLSFVFRLQGADDSILYGCCLVADELVQKPSRLISMMSDGQPVRPALSRHILTTRRCYCILSRLPLFDLHFGVLNSIFTEERLERLTQSIGDLDLECKLLHDNEVYLEDKTNGISAELGAQGMQHRTTETVQLSGRNSTTETATGDRRADEEYQSLMVDSLSSDKGASDVPADPSIITDSSKQESIAAEPISNYCDSPVYDMVGNNQPTQRHIPNAILPLLRYQQYDSSESSSSFQGSPCDDRNFRSELDLAEMEVTSFSSQEDNEHDEILDWAKANDHGSLQIICEYYRLRLPARGSTVRFHPLEHLHPLEFHRPDETLLHIAGSAIDFMSCSTSFELAEAHNALAVEEEATALSVWAIACLCGSLRLEHVLTIFAGALLEKQIVFVCSNLGILSASVLAIIPLIRPYHWQSFLMPVLPNDMLDFLDAPVPFVVGVKHKTAEVQSKSANVIFVDANKKQVKSSSIPHLPQHKALFTALSPYHAQLVGESYLGRRRPVYDCSDVQVEAAKGFLRVLRSYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIESFPSRDRPFMKLFVETQLFSVHTDLVLSFFQKD >KVI12189 pep supercontig:CcrdV1:scaffold_19:834506:840863:1 gene:Ccrd_009347 transcript:KVI12189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf19-like, C-terminal domain-1 MAPPRILLCGDPLGNLNQLFKRVSSVNKSTGPFDALLCVGQFFPESTERLEELNEYIEGRKNIPLPTYFIGDYGVGAAKVLSAAAKESCNQGFKMDGLKIRDNLYWLKGSGKFTLNGLSVAYLSGRQSSSGLIYGTGILNRASTSDIPLGISDSAGSDATISEIVAEIKPRYHVAGAKGVYYAREPYMNVDAVHVTRFVGLAPVGNASKQKFIHAISPTPASTMSSTEISTKPPNTTSSPYTFMEGAADTQEADKRSAESLSDSQYWRYDVSQKRRKVGGGDGDGDKLCFKFISSGSCPRGEKCHFRHDMDAREQSRRGVCFEFLNKGKCERGTDCSFKHSLQEEGDVRAGSGRTNSSRSKECWFCLSSPNVESHLITSVGENCYCALAKGPLVQDHILILPIEHLPNTLSSPPECEIELVRFQNSLKAYFKNQRKEVVFFEWVYIRGTHANLQAIPIPLSRASAVQDIFNLAAEKLGFKFMLLKSDKSSDGRKLLRTQFDGKCSLFYVELPGGTILSHAVEENEKFPVQFGREVLAGLLNMADRADWRNCKLSKEEEIKMVERFKSGFEEYDPNR >KVI12197 pep supercontig:CcrdV1:scaffold_19:60703:71787:-1 gene:Ccrd_009416 transcript:KVI12197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold MVNLGLFSEIKPLLSVNVRFRIPYYTQWGQSLVVCGSEPVLGSGNVKKGLLLTPFHEGDELIWGGSLAVPAGFQSEYNYYVVDDERNVIRWEVGNKRKLVLPVGIENGKVVELHDLWLNGSDSLPSKSAFKNVIFRKSSKLAIEKPLGTIQNKLDDEDSIILQFKICCPNIADGTSVIVFSSNLSSKLLYKHIYVIGSSEELGRWKVHDGLKLNYVEYGSNREITVDISASGPNYLILSDGMMREMPWRGAGVAIPMFSVRSEDDVGVGEFLDLKLVVDWAVDSGFHLLQLLPINDTSVHKMWWDSYPYRDTEGNERARRKDYEATMATKLSIAKKIFDLEKDLILNSSNFKNFLTENEEWLNPYAAFCFLRDFFETSDHSQWGTFSQFSKDKLSEAAEYAKEKGVVLKGDLPIGVDRNSVDTWVYPNLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLSQMANYFTAYRIDHILGFFRIWELPEHAMTGLVGKFRPSIPLSQEELEKEGVWDFDRLSKPYILQEFLQEKFGPSWIVVASHFMNEFQKNRYEFKDDCNTERKIAAKLKSLVEKSLLLESEEKLRRDLFDLLQNIVLIRDPEDPRSFYPRFNLEDTKNIFRRLYYDYYFQRQESLWRQNAMKTLPALLNSSDMLACGEDLGLIPSCVHPVMQELGLIGLRIQRMPSEADLDFGIPSQYGYMTVCAPSCHDCSTLRAWWEEDEERRRLFFKTMVGSDSLPPKQCVPEIAYFVLRQHDLLALKEEYTTRPAVEETINDPTNPKHYWRFRVHVTMETLLKDAELTTAIKGLVCGSARSYPGEQVQNLSAVTEKQQEIGIKQEKIRLPNQDPKITSIAVL >KVI12191 pep supercontig:CcrdV1:scaffold_19:28090:30077:-1 gene:Ccrd_009419 transcript:KVI12191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MVKDRKVGVAMDFSNSSKAALQWAIDNLADKGDTFYIIHVKSHSGDESRDKLWSTSGSPLIPLSEFREPEVMAKYNVKTDIVVLDMLDTGARQKEIQVVTKLYWGDAREKLVEGIEDLKLDTLVMGSRGLSSLQRIILGSVTNYLVTNAPCPVTIVKDKH >KVI12200 pep supercontig:CcrdV1:scaffold_19:904078:905800:1 gene:Ccrd_009338 transcript:KVI12200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MAIEIVQPSVGLSKIAVSETHGEDSPYFAGWKAYDENPYHETQNPSGVIQMGLAENQVSFDLLEEYLEANLEAANWGQKVSGFRENALFQDYHGLQSFRKAMASFMEQVRGGKAKFNPDRVVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVQIVPIHCESANNFQITPEALEAAYDHARSMNIKVRGVLITNPSNPLGATIQRKVLEEILDFATRKNIHLVSDEIYSGSAFDADEFVSIAEVLESRNYKDSERCHIVYSLSKDLGLPGFRVGTVYSYNHQVVTTARRMSSFTLISSQTQFLLASMLSDKEFTQKYIKINRERLKKRYEMIINGLKEAGIECLQGNAGLFCWMNLSPYLEDATVKSELAIWKTIMHEVRLNISPGSSCHCSEPGWFRVCFANMSEETLEVALSRIHEFIKRRKQDRQVL >KVI12170 pep supercontig:CcrdV1:scaffold_19:211042:215259:-1 gene:Ccrd_009399 transcript:KVI12170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MGLKFFLISFILTSVIFTMLYISTHLTSFDMKILNQSSTTTLIIPKSINDSRPYPVSFAYLISASKGDAAKVKRTVQALYHPANFYLIHLEREATEDEHGEIARFVRDDPVFQIVGNVLVVGKPNRVTYRGPTMLATTLHAMAMLLRIDAKWDWFINLSASDYPLVTQDDLISAFSSLPRNLNFIQHSSRLGWKMNKRGKPIMIDPGLYSSNKSDIWWVIKQRSLPTAFKLYTEYCIIGWENLPRTLLLYYTNFVSSPEGYFQTVICNSKDYKNTTVNHDLHYITWDTPPKQHPRLLGPRDYRKMVLSSRPFARKFKHKNSVLNKIDRELLKRHPSHFTHGGWCSKSGSRDKMCSSLHIDGFGVLRPGPGARRLRTLLTKLTSKPNFSRHQCK >KVI12222 pep supercontig:CcrdV1:scaffold_19:129938:134535:1 gene:Ccrd_009408 transcript:KVI12222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MARMVRLMVTDTILSFMWVWAAVIIRWIVNGVLGLRHDGYASEFLRSSLSVFNMFLFAYLVKLTNGGAYNPIVVFTSAITGNFASFLFNIGRIPFQVFGSIISVRLILVTFPAIWRGPELVINLHQGALTEGLLTFSQVLITLGLDRNLQGSFFRKTWINSILKHTLHILGSDLTGGCMNPAAVIGWAYALGVHKSKEHIVVYWLAPMEATLLAVWTFRLLVSPSKPDKQKTN >KVI12190 pep supercontig:CcrdV1:scaffold_19:55220:57315:1 gene:Ccrd_009418 transcript:KVI12190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF309 MAATIWSPFLLPPPSSSLLASKSICPSFLYKNQFSFSSKYKPSASYRFPPGRRSIEEEEEEEEEEDDDDDDLVGGKFTEAVALFNTRDYHGCHDFLETIWNDSEDPIRSLVHGILQCXVGFHHLFNQNHKGAMMEMGEGVCKLRKLNLDSGPFHQFEREMSAVLDFIYQTQLEFAACEFSILRNEDMCVAMDQSETSYKLLGGYGAGQHLYWLEMDGSASLYIVYCPDRSLTATAANQPRVRLPILYASQEHLMELEDT >KVI12172 pep supercontig:CcrdV1:scaffold_19:241445:247103:1 gene:Ccrd_009397 transcript:KVI12172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAELFRKYVSSSVMICYRSVVKHPFLVGMGFLLILMYRLFPLLFSLLVSASPVIVSTAVLLGTLLSFGQPNIPEIEKESKSDRDEIQKLETKVMGHTEIVGRDGSFASVETNGSRIEIGERTSVNDDPSVGLVDDNGFITEEKSENLQFREEHLVEEAKNEMGDHGIDVDEEKDVLGSHYTPLQQSESDDKLWEGSADPLNSDSGLLWKRMEGDDSEDDEGSDSGSDLAESSSPDASMADIIPMLDELHPLLAEESETRESDHISTHIPAIDAVSEHSVESSDSSSESHDDNDDGGGDIENHEDLEVGDDEDKESKHTDKEDEIRSVITWTEYDQKNLMNLGTSELERNRRLENLIARRRARKTMRMQAEKNLIDLESSDIPWNIPPISTTRNNPFDISYDSNDNVPGSAPSVLLQRRNPFDLPYDPSEEKPDLVGDTFQQEFAAIQPKEPFFRRHESFNVGPSIFGASRSERQETKLRPYFVPERIASDGTSFSTFQRQLSELSDSKVTDTESISSAGDLENKGHIEDDLSLEPEPISEKEHEHVSDDVIQVTPYFVPEQVASAFHRQMSELSDSKVSSIPDTESISSDGNLENKDPIEDDLSQEAELISVIDHEHPFRIREHLELISTGEHAPKANEHVSEHVSSEDDGNVESIQVEKEDNELDEAEISVGNLTSQQEVALHFPETENVAHLNLGGQELHSSASSLSSSYEVSDQIYDEKEDDEMPTSVAETDYYFMDRDSSEPDAAEEIESRSITDVREFNTQIFGMLRCDANTLSVQNNLIDFQHAEDQHQESSFVADLKWNSTDSSSPETRDTQNQIPAVPDNEEPLSAGDSISTSLLNKPLFETEDGLLDMDAYHQLEQVEVPPSNYHERFVEGTHQEEVISQPEESSLLGRSMEKPSAEDHEELQGHELDGGHFVSSNSEVQSEEVVHGETYENPFPTHHQFESEEEAILQPHKEFPSANKPSEENPEVLQIQEPENNISPSINCVLNPETDVVEVAGIETKAPETLAYTSDVHSIESKNTPNNVDDVDEINEIDENLLVELDAVGDFSFKGLGSTSNEMKEDQHASEHDLENKHSAHEDSYSNDLGSILNEMKQDPHASELDLEDNPSVDEDSYSKNLGSTLNEMKQDPHGLEHDPETNSYSKDLGSTLNEMKQDPHASEHDLETNSSMDLGSVSNEMIQDPHALEHDLQTNPSVDEDSYIEDLKSGLNEMKQEPRASGAHSLETFYSVDENAFIKDIESTLSEIKQDPRTLERDHSTDISETKIKTDAEERTKAEDEKEISNTEVEGASEASSTAMVNHVGGCFGVHEPHGVFSVVSVKELIKKTQEHGNQFRMSKK >KVI12221 pep supercontig:CcrdV1:scaffold_19:126707:128518:1 gene:Ccrd_009409 transcript:KVI12221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MLLNLTKDETAFGYGVLAAGIILTLLDLTFLFMTSGRNPGIVRRNTRPPECEDSFNYRSQSMDWLNSSPLSLRIPRIKDMLVNGHTIKVKYCDTCMLYRPLRASHCSVCNNCVQRFDHHCPWVGQCIGVRNYRFFILFITSSTVLCVFVFTFSLLDIIRQHGSSWNSLSKDAVLVCYFLCRILQTTYENFRYRYDKKKNPFNEGLWKNLKDVFCTKLPPPINFREWVTVEDDDPSTIGSITRSFGESFRSPKGKFDRQPSILLKRDATLSHVHKNHSCSTTETDKDLKGNNGVKNEPQFLVNRQDSDFRNEEGINQIE >KVI12182 pep supercontig:CcrdV1:scaffold_19:887215:891549:-1 gene:Ccrd_009340 transcript:KVI12182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr MADSIDSIAVDMETVYLGGKILIWDISCFQGLFFCPEASSLLLHNFCIYHITPPGHELLQLGAASICADDPYPSVDDLCDQILEVLNHFRDRVAGLILISPLCKAPSWTEWFYNKEVRGSPEIPESDIVQACRKVRLLMRPDITEGLERLKCRTLIFVGDSSPFHSDSLHMTTKLDRRYSALVEVQGCGSMVTEEQPDAMLVSLEYFLTGYGLYKSNGSPRSPLSPTRSISPELLSPESMGLKLKPIKTRAIF >KVI12168 pep supercontig:CcrdV1:scaffold_19:194709:202316:-1 gene:Ccrd_009401 transcript:KVI12168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 1/Shy1 MASLSKSFLKARTIDRASLLRWVPGQLSSSLSYSYSSTVAVSEIQPPLPTPPPPPQPQPTSSSRPQGSPLLLGTSKKGLDLNIHHLSEKLRGWTRFLLFVPGAITFGLGTWQIIRRQEKVKMLEYKQSRLEMEPINCNYITPSGENLNSLEFRRVVCKGVFDEAKSIYVGPRSRSISGVTENGYYLITPLMPLPSSPESLQMPIIVNRGWVPRSWRDKSLKVVQEDGHPPNVESTAIHESSSWWRIWSKKPNTTEKGQIPEIMLDEIVGVIRGSEKPSIFVPANDPTSFQWFYIDVGAIARTCGLPENTIYIEAVNENVNPSNPYPIPKDNNALIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLQPKKKMR >KVI12179 pep supercontig:CcrdV1:scaffold_19:305920:307396:1 gene:Ccrd_009390 transcript:KVI12179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MKDMFGSPGSVSGLMLRIGQFLCAAASIGWMVSAAGFSNYTAFCYLIASMGLQVLWSFGLACLDVYAIRIKKDLQNAVLVSLFVVGDWVYILFIHTTFFLFILHH >KVI12178 pep supercontig:CcrdV1:scaffold_19:293488:298070:-1 gene:Ccrd_009391 transcript:KVI12178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MATQIEFFDLIISKSQIPSVGLGTWQSEPGLVGQAVSXAVKIGVALKQLFDDGVVERQDLFITSKLWCNDHAPEDVPLALDRTLQDLQLDHVDLYLIHWPVRTKKGAAGFKPENFIPTDXPATWKAMEALYDCGKTRALGVSNFSSKKLGDLLEVSRIRPAVNQVECHPSWQQTKLREFCKSKGVHLSHPILTMVAERLGKTPAQVALRWGLQMGHSVLPKSTNEARIKENFAIXDWSIPDDLHDXSEGNFLSMRPTASIKPLKNSGTVRSEK >KVI12181 pep supercontig:CcrdV1:scaffold_19:806494:813567:1 gene:Ccrd_009349 transcript:KVI12181 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein RecA MARLLRNVSILKRSTPKRCLLGSSSQISSFSTKGKKKSRSDGSDSGEENMSKKDIALKQAIDQINTSHGKGSIMFLGQCASPRQVPVVSTGSFALDIALGVGGFPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDPSLAEAIGVNTKDLLLSQPDSGEQALSLVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRSKLQTFGFGAPSEVTCGGNALKFYASIRLNIRRIGLVKKGEETLGSQILVKIMKNKLAPPYRTAQFELEFGKGICRESELIELGLKHKFIMKAGAYYSMGDMKFCGKDAFKRYLAENLTIRDELETKLREKLIEGPKMEKDAETTDAEDIVSTDEEVTAVEA >KVI12203 pep supercontig:CcrdV1:scaffold_19:577639:579929:1 gene:Ccrd_009360 transcript:KVI12203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ METMRNHDSNSRFPFTSSQWQELEHQALVYKYMISGMPIPPDLLFSIKRSLDPSTKLILHHQSPHQSIGWNCFQMGFGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVNLSSTQPLSMCNKPPAFLYPQLSSSSCSRPVLSSHQDRMFMLESRAYYSHSEKDQSYGMKEDQADEHPFFSENSCGTMSASMADSWHLEPLAINNSSSSSSKQQTFSDYKNGYSYDLQLQNTPKQQQQNQDQLGLEIERKDEPQKMMHHFFDESPRDANDSSSTQLSISIPSCAHDFFLTHNDK >KVI12167 pep supercontig:CcrdV1:scaffold_19:169581:176106:1 gene:Ccrd_009404 transcript:KVI12167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystinosin/ERS1p repeat-containing protein MVLDFEAHILTWGGVALMPPTQPFLDAMKIFKSDLPMCPTRQPCSQWARNYMKYCLCSRKDWISLILGIVSIVSWGVAEVPQIITNFKEKSSEGLAIGFLMTWILGDILNVLGCYLEPATLPTQYYTAVLYLVTTLALSSQSIYYGHFRHHGTSKRQGHEIESADKKRECSHDGSKKQLANGDIPDESTVPMLSKFTQSLPILAVDDDASPERAYYTYLHSNSVKQHEGIVMQVGRKLLQGMESNGIGTFLGWGMAAIYIGGRLPQIFLNGLNPLMFMFALLGNSTYVASILVSTLEWSKIKPNLPWLIESSGCMLLDTFVSLKK >KVI12199 pep supercontig:CcrdV1:scaffold_19:893030:896641:-1 gene:Ccrd_009339 transcript:KVI12199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIETQNPVFIGDEYELAINQSIQSVLDSLQNPNHDLSVFTSTFLKLMQAKPDPLLETIWVFSGLVFQIDNPPKDDILDEVLAVKDLFQSITAYSASCSPSVSIALIAPVIFKLYGLAVDSKRKDADLKRGKKAKREIRSLLDVILGYFNVCCEGPNGDEDSGLVRPLNDLVSIWVHGEGNGNGAGKNGLKQFFPLFSDEIIDCVTEESAGVLGLLAGAVILEAFLLKLCLKFSDGSSRQELQNDLRNWAVCSITGFHNFYFFDMLVKMLLEPNLTVMSLLSSEDESFLRKLIYDVVILPDYSFLNLEKVGHLSNNHVKNNILSRLMVTHEAIELSRKNKDHTKAISYTNAFSGSHLPTVVTKLVTSELGAQGIESQRKGSSPAAFLKWMLDLEDQGLRLCDGFMSKHRERLVRHSSRLDLDQPSSEKTDNNLLFFIDNKGNDEDENINDSMNDVFVAAAHEMQSGKKRKEIKTKDKDGVKFQRHNLSGPRAAGEFKTDDSDSASEVDDPYSDEDLE >KVI12242 pep supercontig:CcrdV1:scaffold_19:416225:433234:-1 gene:Ccrd_009373 transcript:KVI12242 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MNDDEFTTGVQDGLRLAKRIYFGNDRSVAPPKTVTPMEKASRSLFPTSPMVYAVISNPVIVDNPDMPSYQPHVHGRCNPPALIPLQMNAISFEADCYMDTVFVTMTGSWRVHCVMGNASCACRIAIPMGEEGSILGAEVEVPRRTYSTQLASMDEQEENKQIKITYKDGEFILNVPYSFPEYVTPVGKKLAKKEMIQLNINSGLTTEVVCNTTSHPLKERKREPGKLSFLYEANVLTWSSTDFVFKYHVSTINPFCGVLLQSPSTLGIDHREMFSLCLFAGPDKGIKVCRKEVLYVVDISESMKGKTIEATKNALVAALSKLDQEDSFGIMAFNDQTHLYSSTLELATKESIRNATEWIGANFVAGGGTNISIALDQALEMLSKTSKSVPMVFFVTDGAVENGKEICEVIQKQLRNKGSDLCPRINTFGIGSICNHHFLRMLAMIGKGHHDASYDADSIEVGMEKWFSKASSTVLANIVIDGLDDLDELEYLINIRQVYPSTIPDVCFERPLMISGRYKGGFPDTVKIRGVLADMSNFTMNLQVLAKKHIELYTAQAWFSQDKELEEKGKKEGDPKKMEAVKLTQQVKVLHHLGLGFGSVRATVENIPPGFGPRLHSQTEKIAIAAGNCCSDACGKCCCMCCIQSCSQVSNQCSVVLTQMCGLLTCFGCSSCCDCEDDTIGHKDGIIDQEEWKHKDSDVCKKKCLQDLQEAKDLLA >KVI12165 pep supercontig:CcrdV1:scaffold_19:137111:145196:1 gene:Ccrd_009407 transcript:KVI12165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKTARAWHTGIKDFLTVSGSRQRPHLKRPNCVIVFLCLVSIFVIGVYKYWPRNSAACHIFFSDSCFKYDQVPTVPSRELTDEEIASQVVIREILMSSPVQSKNPKIAFMFLTPGLLPFETLWDKFFQGYDGRFTVYVHASREQPARVSPYFVGRDIRSEKVAWGKISMVDAERRLLTNALEDPDNQQFVLLSDSFDDPGPHGGGRYSEHMLPEVEYKDFRKGSQWFTLKRQHAILVMADSLYYRKFRLYCRVWTESIATPMSIISRHFSMSASENSCFLYFNSMTSLLIYLSISFSQMVESGGIANWSVTYVDWSEGKWHPKSYWPHDVTNEFLKNITALDYSLHVTSDEKKTKMIKECMWNGSRRPCYLFARKFYPQALDKLMQLFSNYTVS >KVI12183 pep supercontig:CcrdV1:scaffold_19:884169:885531:-1 gene:Ccrd_009341 transcript:KVI12183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MAVSNNIIATINFIALICSIPIIFTGIWLASKPDNHCIRSLPWPIVFVGILFLLLAFTGFVGAHWNKPGLLSFYLFCNAALIVTGIILLILAFIVTHPSGAFSVPGREYDEYRYMGYSEWLRDHITDPENWGSIRACLASSSTCTKMPPTVCGYQYVNPITWINPTNPMGDVDCIIWNNDPNQLCYNCDSCKAGVLGNLRKEWKEANVILIIAVIALICLYLIAFNAYKNSQTGSKK >KVI12223 pep supercontig:CcrdV1:scaffold_19:317437:321254:1 gene:Ccrd_009388 transcript:KVI12223 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein Rad51, C-terminal NTQSGVRVKLAPVKSFQILPFFSCPLASSPFSFISSDFGSNSVMEQRQRTQKTAHQEQQQQQQDEQLEEMQHGPFPEAGIAALDIKKLKDAGLCTVESVAYSPRKELLQIKGISEAKVDKIVEAGMSRCSFSSKLVPLGFTSAGQLHAQRLEIIQISSGSRELDKILDGTYYLMFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQVKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQISAEGVNDVKD >KVI12243 pep supercontig:CcrdV1:scaffold_19:436365:442063:1 gene:Ccrd_009372 transcript:KVI12243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQWPEKKNSSEMETHLAGRCLRRRTPHLCIGMCQLVVMKKGLLPVKNICGKEKKFSGKEALVKLLRWHFGHSDFRGKQLEAIEAVLSGRDCFCLMPTGGGKSICYQIPALAKPGIVLVVSPLIGESALVLIWMFIIVEACTTQCFLLVLSVKSLTCLNALFYLITALMENQVMALKEKGVAAEYLSSTQTSQVRNKIHGDLESGNPAMRLLYVTPELIATTGFMAKLTKVHARGLLNLIAIDEAHCISTWGHDFRPSYRKLASLRKRLPDIPMLALTATAVPKVQVDVIESLNMENPLVLKSSFNRPNIYYEVRFKDLLSDPYVDLTDLIKSCGDVCGIVYCLERATCDDLASHLSKNGISCAAYHAGLNNKLRSSVLDDWISAKTQVVVATGIDRKDVRIVCHFNIPKSMVSFYQESGRAGRDQQPSRSVLYYGIDDRKKMQFILNNAESKKLQSSSLQDVSPKKSVAEFNSMVEYCETSGCRRKKILDSFGEQVSSSLCKKTCDACKDPNLVEKYLEELKTMCSLRNRNGSSQIYMNSEKIELLQKAEEKYYQKDKQSNKLDKNAISEMTRESCKQRLLNAVKQMQQRLHNLPIDPETSSMFFENECYKKYGKTGKSFYLSQVASTVRWLSTATAADLTSRLAATHQSPASKNATEVEPSSSISPPVSDQATKMRKEKDDGNFKSGDPSSPSLSTCNDIKLPPIPSFSEFINKKGSKDGKPSKLKRESPYGVNKQPDKRSRLQ >KVI12202 pep supercontig:CcrdV1:scaffold_19:533361:538008:-1 gene:Ccrd_009363 transcript:KVI12202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein MERLALAAESAMTGMQTHLKNTGILKTLGHHESLWPRQQGAQLKKHIDATLGSGNLREAVRLPPGEDLNEWLAINAVDFFNQVNILYGTLTEFCTPSTCPTMTAGAKYEYRWADGVSIKKPIEVSAPKYVEYLMEWIETQLDNESIFPQKLGAPFPPNFQDVVKTVFKRLFRVYAHIYHSHFQMIMSLKEEAHLNTCFKHFVLFTWEFRLIDQGELAPLYELVESILKL >KVI12211 pep supercontig:CcrdV1:scaffold_19:591771:598212:-1 gene:Ccrd_009359 transcript:KVI12211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKASGSAYRSCNPCTGATMMMTPQQQLQKSNDVESDLSTSVSERFRWSYRRNGSKNSSSGRVWGKEMEARLKGISMGSGGGGDVSVGTLSASASGRRPDPIVLEEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQKWWQENYDKVMELYNVQRLNRQAFPLPTPPRSEDESSLKFESIGDSPITPPLSKERLPRTLYRPMGMGYSSSDSLDHPSSVMVRSNRDSSGLTATPKLSSISGAKTETSSVDASIRTSSSREADRSGELSISNVSDVENEWVEQDEPGVYITIKALPGGLRELRRVRFRFVDVSRKIRGGARKIVVGRE >KVI12239 pep supercontig:CcrdV1:scaffold_19:413493:415027:1 gene:Ccrd_009376 transcript:KVI12239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MNTISMTFTIASTSHSSLPTRRESAKKLRSAGRNATPSFLFRSSSHGLKFQATKGGVSSVCEPLPADRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPELLKWFAQAELMHSRWAMLAVAGILIPEWLESLGFIENFSWFDAGSREYFTDPTTLFVVQLALMGWVEGRRWADIINPGCVDIEPDLPNKKKPKPDVGYPGGLWFDPFMWGRGSPEPVMVLRTKEIKNGRLAMLAFAGFVFQAIYTGQGPVENLVAHLADPGHVNIFSAFTSQIR >KVI12187 pep supercontig:CcrdV1:scaffold_19:844552:857415:-1 gene:Ccrd_009345 transcript:KVI12187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MSGSAQPPRMFGMPPPLSNQQSMATISPAMGAGGAAVTGPSKIDPNQIPRPIPNSSVLLHETRQGNQANPPPPATSEFIVRDTGNCSPRYMRCTINQIACTSDLLTTSGMQLALLVQPLALPHPSEEPIQIVDLGESGPVRCSRCKGYINPFMKFIDQGRRFICNFCGFTDETPRDYQCNLGPDGRRRDADERPELCRGTVEFVATREFMVRDPMPAVFFFLIDVSMNAIQTGATAGACSAISRVISDLPEGPRTMVGIATFDSTIHFYNLKRALQQCRQHLDLLLESIPTMFQNNKTADSAFGAGIKAAFLAMKILPSVGIAALSAREAEGRTNISAGEKEPHKLLQPADKTLKEMAIEFAEYQVSVDVFITTQSYVDIASISVIPRTTGGQVYYYHPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRSSQGLQVQEYSGNFCKRIPTDVDLPAIDCDKSIMVTLKHDDKLQDGTECSFQCALLYTTVYGERRIRVSTLSLPCTTMLSNLFRSADLDTQFSCFLKQAANEILSNPLLQVREQVTNLCINILHAYRKFCATVSIGLRTDGRIDERSFWINYVFPLSAQLAIPLVYPRMISVHDLNLKETDGSAIPQAIPLSSEQVSENGIYLLENGEDCLIYIGSSADPDTIRQLFGISSAEEVPSQQYDNPLSKKLNEVINEIRSQRCNYLRGAVFLTHGGRQVSEWSLLCRVPSTCSSANSKQNDLMFTSSDSLYEFGSGDEKEAKLRMRCFFFLMKTS >KVI12164 pep supercontig:CcrdV1:scaffold_19:205357:208504:-1 gene:Ccrd_009400 transcript:KVI12164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MAETLRPDIQIKVHSRRGLGVIHPVEVESSPTPLLRGTTAGNQSQTPSPYREIKHFKKWLPWLIPSFVIADTVLFIITMYVNDCPKNSVSCVATFLKRLSFQPFKENPLLGPSSSTLEKMGALDVERVVDGHQGWRLITCIWLHGGLLHLLANMLSLLVIGIRLEQEFGFIRIGLLYVISGFGGSLLSALFLQSNISVGASGAVFGLLGAMLSELITNWTIYANKVLLLNENVLFLLLDDEPECFSDSDYVVQLAALVTLVIIIVINLAVGILPHVDNFAHLGGFSSGFLLGFVFLMRPQFGWVSQRYTTPSVYSRSGTKPKYKTYQRVLWIVSLIVVVAGLIAGLVSLLRGVDLNDHCSWCHYMSCVPTSRWSCNTDPVTCVSEQTGNQFTLTCSNSNKNSTYLLTDPNSSQLRSLCSQLCR >KVI00046 pep supercontig:CcrdV1:scaffold_190:303016:309293:1 gene:Ccrd_021705 transcript:KVI00046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLNVRASKVSRSNFSLVSSLGTTRFQFPFFFDQLAEIKSQMPISEASPAEGGGTHSRKSSRQMVVASPGRSGGSSGKNTHIRKTRSAQMKFELDDVGSGAALSRASSASLGFSFSFTGFTMPPDEVADSRPFSDDDIPEDIEAGTRMTTSTEEKDILNGISGAVNPGEVLALMGPSGSGKTTLLSLLGGRLTVPSAGGSITYNDQPHSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKTLTKQEKEKRAADVIRELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSVLLFHKFDKLILLGKGSLLYFGKASEAMVYFSSIGCSPMIAMNPAEFLLDLANGNMNEVSVPSELADRVQLGNSQRETKNGKPTPAVVHDYLVEAYETRVAEETKKELMIPTPLDEEIKSSVQTMKREWGASWREQYSILFWRGLKERQHDYFSWLRITQVLVTAERAADMYRLSAYFMARTTSDLPLDLFLPMLFLVVVYFMAGLRQTVDSFFLTMVIVFLCIVAAQDVPIFISWLRYLSFNYHTYRLLLKVQYETISPVIDGIRLDSGLKEATALAIMVFGYRLLAYISLRRMKVG >KVI00042 pep supercontig:CcrdV1:scaffold_190:149484:159558:1 gene:Ccrd_021718 transcript:KVI00042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MCDDPWLVMEHINLLYGQRKNLQSPNRKLSKSGISKDLWVVVREGSVVDVESALVLLKKNGGNINSRNAFGVTPLHIATWRNHTPIVKRLLEAGADPNARVALHFGHLAVASMLLKSDASIAIEDSKSRTPVDLLSGPVLQANGNRDNSEYFAKSVLHYAAYNLHIFDVLNSLLCVHLVVTELYSWGSGVNYQLGTGNAHIQKLPCKVESLHGSFLNSISSAKFHSVAVNARGEVHTWGFGRGGRLGHPDFDIHSNEDLLIYNRFLLLLSLQNHILQHLFAFSSGQAAVITPRQVTSGLGARRVKAIAAAKHHTVAATVGGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLKSKIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSGSNYTPRVVEYLKGKVFTWGHRLVTPRRVVVARIIKKSGSTPLKFHRSARLHVVAIAAGMIHSSALTDDGALFYWLYLLCGKSLVSISAGKYWTAGVTGSGDVYMWDGKKRKDVPPVVTRLHGVKRATSVSVGETHLLVISSLYHPPYSLEIVDDSQSSKPKPREELLDDEDFAFNDNGSDEVSATNNVESKSIPTLKSLCEKVAAESLLEPRSALQLLEIADSLEAHDLKKHCEEIVIRNLDYILTVASHAIASASLDILWNLEKSLDSKSSDAWSHRRLPTTTATFPAIINSEEDSDNEIFRTRDNHNGKLALKKDLNQKFEGFFQPYDDANHEIVKQVRAIRKKLQQIEMLEVKQSKGYLLDNQQMAKIQSRLVLEGSLVELGVPIDAIGLKSTSLLTVEKGNKKAEGSKKQKKKSKQKSAQGGVEKVIEANGKQVDTAVKETPIGFKKDVSCIPESKRSASSSKKKNRKGGLSMFLNGALDDILKKDPSPPPTPKSEAPAWGGATILKGSTSLRKIQDEQGKTMGNKPAARKKDPLEVLPDVSIGDEYMLSSFFPSKPIPVASITSQVLDSERSTPPWVPSGTPPLGSRPSLRGIQLQQGKKQQTLSHSPKTRTTGFSPTSGQGAPSDSVGLNRWFKPEVETPSSIRSIQIEEKAIKDLKRFYSSVKIIKNES >KVI00055 pep supercontig:CcrdV1:scaffold_190:30060:36427:-1 gene:Ccrd_021729 transcript:KVI00055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLGKFSHQAIGHTGGKAILLSSSSSFDFSTTAGNNGGGGGGGGRGRGSDFPKFSLIDSVPGKREQTNPEDDTSSPPPFGHGRGKPLSSSPNIPPFSLIGASGANRGTVAGRGRGTFQSPQFEENSRQTVPPPPQSEEKLSPRTPVFFRKDGLNFPPKALNQDQSENQEKDNLPKSILSVLSGAGRGKPTQSTTHVEKVEEVNRHIKPRQSVQPRPTSPKMSQEEAINRARGILFKEGDGGAEYGGSETQGGFRGMGARGGGGGGRGQRGGFVGRGRGMGRGRGRGRGRGRGMSYRDDDGDDEDEEETADDKANAEKLARYLGPEKMDLLVQAYEEASASFDSNPDIDENPPISLRDALEKMKPFLMAYEDIQTNKEWQELERVAKTLPASTPPSVKRFTDRAVLSLQGMNSTCYIQRSSSENAVLPAKSNPGWGFDRKCQFLDKLVWEVSQSYK >KVI00063 pep supercontig:CcrdV1:scaffold_190:317393:317878:1 gene:Ccrd_021703 transcript:KVI00063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQKIVIHQYTVERPQPLLEVQYSSHNRLAEVAGGTTAECAAVCCCCPFALVNLLVLAVYGVPAGLCRKALRKKRRRRLLKKGLLVQDGDVSSHRGSSSYDAAELLIHEAIRYDVTEVLIDSPAERFMMAADSDVIEIENEMWDKFYGTGFWRSASQRVD >KVI00047 pep supercontig:CcrdV1:scaffold_190:87195:100423:1 gene:Ccrd_021724 transcript:KVI00047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDRLISLEPSNVVAIRIEQGQKCYGEVTLRNVMYTMPVAFRLQPMNKARYTIRPQSGIISPLTTVVVEIIYDFPPNSSLPGSVPYCDDSFLLHSLVVPGAVVKNPTSTYDSVPSDWFTTRKKQVFVDSGIRVMFVGSMVMAQLVKNGSMDEIRDVLEKSDPDWKAADSVDSEGQTLLHLAISQSRADLLQVLLEFEPDVEARSRSGSTPLEDAAASGESLIVELLLAHRANTERSESFTWGPIHLAARAGHLDVLKLLLLRGAQINAMTRDGKTALHLAVEERRRDCARLLLTGGAQPDIGNSAENETPLHIAAALGDDKMVKLLLQKGANKDIRNRSGKTAYDVAAEYGHTRLFDALRLGDALCVAARKGESRTIIQLLEGGAAINGLDQHGWTILHRAAFKGHTDMVRLLIEKGVHIDAKDEEGYTSLHCAVESGNADVLELLVKKGADVKARTNKGVTAMQIADSLNYAGITRILINGGANKDELTDVRKNSMVFANKTGRRSDKEMGSMKKKTTRTRALRGSFGRSGPDFKALCNVARNQKQNPLSLQNTIATSLCLLSSFGIASSTVDLGGYLSVFRQFSQQERKMLGDGGESPSRYELLSMVKKHSNLIGRTIVDEQDASDVEMDQRFWHDVMDLYFIGGRESKDQQDDDLLFFVKKMSLHQHGNDNLEGNAPYFVRRWAVKIVKTVYASPSRVNFHLDSRKADDLITFHEVETKPAYPEICFAVDDFDSTFDAVLTLFSGFVSYQMVREAYDAGKSGFESLLPFGHSSGKTDRIYMKDRSLDESGPHSSLNTSSQGGNKFGALVRKAASVAQVAAKNAYAAASSNRNSDGGMVPLKCCLMSISLPWEHIAYDLLFKVRF >KVI00048 pep supercontig:CcrdV1:scaffold_190:55805:64297:1 gene:Ccrd_021726 transcript:KVI00048 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MTMYSDASSSIVRLNIGGKKFCTTVDTLTQREPHSMLAAMFSGRHTLCKDSEKGYVFVDRDGKHFRHILNWLRDGVVPNLTDLECSELLREAEYYQLLGLVDGITEVFNKRKEDEEMDTELTRTDIIKCVQSEKVRLRGVNLSGLDLSKLDLSYVDFSYACLKNVFFSRADLHCAKFRDVDAENAIFHNATLRECEFTGANLRGALLAGANLQSANLQGKNLFFYACLIDCSFXGADLRSAHLQTADLTNANLEGANLEGANLKGAKLSNANLKGANLQRAYLRHVNLRDTHLEGAKLDGANLLGAIR >KVI00059 pep supercontig:CcrdV1:scaffold_190:260066:264602:-1 gene:Ccrd_021709 transcript:KVI00059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSITQFRDGIARFCTHLESSSAALLQSINRLPIPFDSASLSFVQCLNRRVSTATSDLNLLESMTSDTVSFEELLGHCNEVFKKNQNDIVELEDRLSVFGYVPEAEIDESEEDWNESQPNTSLDLKNPMEDDSLFDDTLSLQNLGISDASLATIVSEEDDRNELKAFEGSQSLIDVPKDDMLAAVEKMNLRLKQKIRNRNFFTQDEVSSLELGPKTRSYLLLLVKMNRLVVETIDGLISYRVL >KVI00057 pep supercontig:CcrdV1:scaffold_190:352606:356821:-1 gene:Ccrd_021700 transcript:KVI00057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLNGFNSIYHAFCGPQSQSPNKVHPGNGEAGKQPDPADYHPKDPPPIQNTQPQTVIXAKEETQNEVKEEAKKEVKFETKPSRKVSIVKQQSKHAESVKKPQEMKRVLSAGLQSGGVLKTRTGNLKDYYRLGKKLGNGQFGTTFLCIENATGKEYACKSIAKRKLLTDDEVEEVRREIDIMHHLAGHPNIVLIKGAYEDAVSVYMVMELCAGGELFDRIIDRGYYSERKAADLARTIVGVIEACHSLGVMHRDLKPENFLFVDKDEDSLLKTIDFGLSIFFKPGQVFTDVVGSPYYVAPEVLLKNYGPEADIWSSGVIIYILLCGVPPFWADSEEDIFEEVLHGKLDFSISPWPNISESAKELIRKMLVRDPKKRITAHEVLCEFLFSISLTDNIFQREVVISRINPFTVFIRFSLIFLLLIPVLVLTAVGHPWISVDGVAPDKPLDSAIFARLTRFSAMNKLKKMALRVIATKLSEEEISGLKQMFKMIDTDNSGYITFEELKDGLSSFGANLEESEIHDLMQAADINNNGAIDYEEFVAATLHFNKVDKEDSLYAAFSYFDKDGSGYITVNEIQQSCKELGIDDAQMEEIIKEADQNNDGRIDYNEFVAMMQKGSTTIGKMQLKNSLDGGLGKALSVR >KVI00044 pep supercontig:CcrdV1:scaffold_190:203967:207588:-1 gene:Ccrd_021713 transcript:KVI00044 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methylase, N-6 adenine-specific, conserved site-containing protein MEQVSDRNAGTADDDDTPMLSSHALEALREFLSEQNSSLTADNDGATVAEEDKVVALVTEDWRLSQFWYDRETAETVAREVHALYTSMDSPPSVACIACPTLYVYLKKMHHNLPVQLLEYDNRFKQYGSEFTFYDYNQPLELPSSMKHAFRIIIADPPYLSIASNHHWMNRPFMLAGYASSLAFPVWTCAVQQDRAAELLGLRPCGFRPQHSSKLGNEFRLFTNYDPGLRLGGWEQDQ >KVI00060 pep supercontig:CcrdV1:scaffold_190:281554:288942:1 gene:Ccrd_021706 transcript:KVI00060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNNCVGPNMGRTGFLQSVTAAVWKNRPAENTLPPPDSSNQNKNNTNNNNANENKTDDPSSKGSEKPRSVQNTPPDTVKMSGQEAAKPAEKAKAAEAKGQEKPKAANNFKRMASAGLQANSVLQRKTGNLKDVYSVGKKLGQGQFGTTFLCVEKETGKEFACKSIAKRKLTTKEDVEDVRREIQIMHHLAGHPHVISIVGAYEDAVAVHVIMELCAGGELFDRIIQRGHYTEKKAAELARTIVGVVESCHSLGVMHRDLKPENFLFINEQEEAPLKTIDFGLSMFFKPGEMFTDMVGSPYYVAPEVLRKFYSQECDIWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGDLDFASEPWPSISESAKDLVRRMLVRDPKRRLTAPEVLHDSQIMVFLILLNLFSSASPILSLPSFSLLGHPWVQADGVAPDKPLDSAVLSRLKQFSAMNKIKKIAIRVSFFNNKSWRVPRVIIIVIAENLSEEEIAGLKEMFKMIDADGSGQITLEELKKGLEKVGADLKDSEIVRLMEAADIDNSGTIDYGEFVAAMLHINKIHKEDHMYAAFSYFDKDGSGYITADELQQACEQFGLGDIHLDEVMRDIDKDNDGRIDYSEFVAMMKEGEFGKHTKSIRL >KVI00034 pep supercontig:CcrdV1:scaffold_190:358315:361282:1 gene:Ccrd_021698 transcript:KVI00034 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MVVKMMKWRPWPPLLSRKFQVKLAVKKMEGANCDPEKDDRTIVEIKWKGPKITLGSFRRTVKRDYTRDGKVVDSDGVVWWDEEFHTVCTLSGYKENDFLPWEIGFTVLNISLGLKEMRAESMQSQSQTSETSSEDHSALKAGLRKVNIFKEYVSIKRGKKAAHVDDHETRSDDGDVSNLDESGEGIRKSFSYGTLAYANWSGGSLFEDDVYYRNHKSDADCSPEEDSTASVSETYVVQNHRRSILPWKKRKLNFRSPKAKGEPLLKKGYGEEGGDDIDFDRRQLSSDESLLGVKSKSDEDSCVNRRSVSEFGDDSFTVGNWEPRDIISRDGCMKLQTHVFFASIDQRSEQAAGEGACTALVAVIADWFQNNRDLMPIKSQFDSLIREGSSEWRSLCDDEIYMVRFPDKHFDLETVLEAKLRPLAVIPGKSFVGFFHPDEADEGSFDFLHGAMSFDNMWDEISRESEASSNGEAKVYIVSWNDHFFVLKVDSEAYYIIDTLGERLFEGCNQAYILKFDQNSAIFKLPASASSERNPAAEQLGDSEGSVEKTTEENDIICCGKESCKEYIKNFLAAIPIRELQADIKKGLVSSSTPLHHRLQIEFHYTRSPPPAPAAVDGDPVTATSAPATVVVAT >KVI00036 pep supercontig:CcrdV1:scaffold_190:380302:382630:1 gene:Ccrd_021694 transcript:KVI00036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEARWGFCLKACTIFNFRFMHLFLLLLLSFPRTFSSVVNVDNVFDEIPLHIQDRASLLLFKSQLRDPTQRLLWVGSSCTNWTGVSCSRWNGRVLALNLTGFNLSGQLHSSLCKLSFLETLNLSHNNLDGLIPACFSSFWNMKNLDLSHNLLSGVIPNTLMKLSRLSQLDFGHNLFDEMLPYWIGNFSLKLEKLEMGYNSFRGEIPEHLLHLRSLKYLGLSHNNLSGNLPDFGQSLEHLNLESNSFSGSLPCLSSSVESLSLLNFAENSLEGGVPTCISSLRALVHLNLSFNHLSYEISPRFVFSNRLVVLDLSYNRLSGNLPRKILESSEKSGLMVLDLSHNQFSGEIPLDFTELKSLQALFLSNNLLTGEIPSRIGNLTYLQVIDLSHNSLSGSIPLNIVGCFQLLALILNNNNLSGEIQPELDALDSLKILDVSNNKISGEIPLTLAGCKSLEVVDLSYNNLSGPLNDALTKWSNLRYLSLAHNKFNGALSNWIFMFEAIQTIDLSGNKFSGFIPDGIFNVSLHFNNGGETPKMPFLDSKLSVVMGDDLHLNYNLSSTVTIDLSDNLLHGEIPDGLFGLHGLEYLNLSYNFLDGQIPANLQSMWSLKILDLSHNSLSGQVPEKLSGNLTQLNLSFNYFSGIVNKTKGYWRFSGAFAGNPNLCVESSGSGGCLTKNLPAEPERVYEERKEDGLISVWVFSVSACVSFYFAGVALFCSSRTRSFMLHTIV >KVI00054 pep supercontig:CcrdV1:scaffold_190:41249:46032:1 gene:Ccrd_021728 transcript:KVI00054 gene_biotype:protein_coding transcript_biotype:protein_coding description:CS domain-containing protein MRSHPEILWAQRADKVYLTIDLTHAKDIAVKCEPHGSFNFSAVGANDETFDFSLELYGTILPEGCKTQMGLRNILCTVQKEEKSWWKRLLKSNEKPAPYIKVDWNRWCDEDEELANSKFTNHDIELSFFSSSMRSSRLGKGERKLENRSTKNQVDIRLLLLTLT >KVI00061 pep supercontig:CcrdV1:scaffold_190:285115:287636:-1 gene:Ccrd_021707 transcript:KVI00061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTISESFKSAPTFSKPFFSSSNVIWPLPSASIILNISLSPAISSSDRIASVGKEQQNQEAYLEPHHQPEPKGAVSLLLGSRTSILLTKSFALSDMLGHGSDAKSRSPFNTCSNIPCSVSDSDHNSTTKK >KVI00037 pep supercontig:CcrdV1:scaffold_190:374049:379379:-1 gene:Ccrd_021695 transcript:KVI00037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVTSILLIAAVTFLSTTPYLVESSSDNNHVFSPCADTTVQESDGFTFGIAFAARTAFFFNSSVQLSPCDRRLSLSSSNSQLSVFRPKVDEISLLTVNSSSFSPDSVGGYMVAFAGRKYAARSIPAFVANSTYIVTSFTLNLYWKRDSCSSCSGKSNFVCLNNQDCAMRTNTCKNRGGNVDCSVGIQLAFSGTDKHESVFNSWYEVKNLRQYSLFGLYKNLKDSLTSQYNSFF >KVI00028 pep supercontig:CcrdV1:scaffold_190:1564:8478:-1 gene:Ccrd_021730 transcript:KVI00028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteamine dioxygenase MTIEVRLADMSKSIRKKKCCRKTSSKRKRSPPSPAAISFPLQRLYISCLDVFKGVGTVPSPTDVQKLCHILDRMMPEDVGLSRNLQFFKPRSNVGLSIFFLPANAVIPLHNHPGMTVFVKLLLGKVHIKAYDLVSTENSDDSNSILPSQHERAVMSGEESERYWWLEEIEVPKESEMEGIEYMGPQIIEMSSS >KVI00049 pep supercontig:CcrdV1:scaffold_190:47143:53745:-1 gene:Ccrd_021727 transcript:KVI00049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF639 MLPPDTSGCYDVTHKIGGKCQNAQLAFLHVRHVSPPLTIPSTHSAMSNCSIHRLQMEKKKHLSTVANDVLQGCAVRLETSVNVLVEEFENRWKADTGGYSRKLVEYCASKVLNEICRNLEEAIMEGTFNRFTFDMMLAWETPSSADEESHQECVAKEKEERKTPRDTKLTDEKDDIPLFYTDVMPLLVDNEPSVGDDAFLWFGSLVPLVADFVNGRFTFETLTISTGNRLHFPAYDRFLKEIIKCVTHLQKQATPKGVVMADDEFILHVEGTATSQRVIRHIGGQSWPGRLTLTNYALYFEASAIVSYEDAIKLDLSKDIEQKDDVHIEFPEMTSSTRRDHWLALVKEIMLLHKFLTKFKVESPLKAWEMHARTILGIIRLHAAREMLRISPPDPKSFLIFALFDELPTGSNVLQELAESLKTGETGHPCSATSILRNLNVSHVWTQNTEIKVGSGSNRPENLSSLETAVEQVREEAKEINVAKAKADELKDEGIGNSALVLKELVKPLKDAVPWFQGVIQWERPASTLAVAMATFLMWVVSKMIWARRNEVGKKTKFVVCTASDQTTRESIVSAQHGLNSVYSIMQLANISILKIWSILLSNAPEHTETVIIAMTGCAIVLAVVPLKLIIMGVVASVFASTSKLGKVVKSKKRDMGNRRLKGWWDSIPVIPIEIVDKVEDIPKATKRD >KVI00043 pep supercontig:CcrdV1:scaffold_190:235565:264533:1 gene:Ccrd_021710 transcript:KVI00043 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATH-like protein MTMMTQQPLDQEDEDMLVLQTELVEGPIALDGTPPVEGPRPMEVVGQAEVVSTAENQPVDETQASRFTWAIENFSRQNSKKLYSDVFMVGGYKWRVLIFPKGNNVEHLSMYLDVADSPILPYGWSRYAQFSLAVVNQVHNKFTIRKDTQHQFHGRESDWGFTSFMPLGDLYDPSKGYLVNDTCVIEADVAVRKVVDYWTYDSKKESGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNETSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYGINYVESIGTVLYSFESVLINGIIMLISDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEEYGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDREDGKYLSPDADKSVRNLYTLHRFKFDDERVTKEDLKRALEEQYGGEEEVASYFVQCVSASNNLSLYLGKEQHTYHLVVLCLSQQLPQTNPGYNNAPFKFTKYSNAYMLVYIRESDKEKIICDVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVAREVDLLEQIGKDTYFDLVDHDKVHSFRIQKQMPFNIFKEEVAKEFGIPVQYQRFWMWAKRQNHTYRPNRPLTPQEETQSVGQLREVSNKNHNAELKLFLEIEIGVDLNPLPPPDKTKDDILLFFKLYDPEKEELRYVGRSFVKSFSKPVEIIPKLNEMAGFNPDEEIELYEEIKFDPSVMCERLEKNASFRSSQIEDGDIICFQKLHQSLNVEKYRHPDVPSFLEYVKNRQIVQFRSLDRPKEDDFCLELSKLHTYDDVVERVAQKLDLDDPSKIRLTPHNCYSQQPKPHPIKYRVADHLLDMLIHYNQISDILYYEVLDIPLPLLQGLKTLKVVFHHATKEEPVVHNIRLPKQSTVGDVLDELKTKVELSHPNAELRLLEVFYHKIYKIFPLTEKIENINDQYWTLRAEEIPEEEKNLGPLDRLIHVYHFTKETAQNQMQVQNFGEPFFLVIREDETLEDVKVRVQRKLQVPDDEFAKWKFAFLSLGRPEYLDDSDIVSSRFQRKDVYGAWEHTLYEIKPSIVSTTKRFIFTSNSKYDLVFGPTFTNSRTYEGQVLNRRKKKKKTKKRAAHRYSLSISEISVAGSDSPCNSILLLPVVGDEDTEVYSDMNMQIARKSSKCVNVTERDGKAVDGLEESGGTGFKVGAESSYAVAKLRNRILHDLKFLGSSIS >KVI00053 pep supercontig:CcrdV1:scaffold_190:106810:114318:1 gene:Ccrd_021723 transcript:KVI00053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin (vitamin B12)-independent methionine synthase MetE, N-terminal MASHIVGYPRMGPKRELKFALESFWDGKSSADELLKVASDVRSNIWKQMANAGIKYIPSNTFSYYDQVLDTTAMLGAVPSRYNWKSGEIGFDTYFSMARGNESVPAMEMTKWFDTNYHYIVPELGPDVAFSYASHKAVSEFKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKTFNLLSLLDKILPFDEATLVKDLEPHQLEAFTKAYSELNSACSGLNVLVATYFADITSDAFKTLTSLPAVTGYTFDLVRGKKTLDLIKSSFPSGKYLFAGVVDGRNIWANDLAESLSILDSLQGLVGKDKLVVSTSCSLLHTAVDLAYFSANAAAHASKKSSPRVTNEAVQKAADGLKDSAHRRATNLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSTAQKMTKRPMKGMLTGPVTILNWSFVRNDQPRFKTCYQIALAIKDEVEDLEKAGIKVIQIDEAALREGLPLRKAEHAFYLDWAVRAFRITNVGVQDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGIKYSAGIGPGVYDIHSPRIPSMEEIAERINKTLAVLNRNVLWVNPDCGLKTRKYAEVKPALSHMVSAAKKIRTDLK >KVI00050 pep supercontig:CcrdV1:scaffold_190:131833:132690:-1 gene:Ccrd_021720 transcript:KVI00050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MDSKTGSTQLQALFTYDEFEPLCTWQREDGQDILMLHVPEFKKDQLRIQMNNMGILKITGENVIDGKRRSRFQKEIKVTKDYDSSDIHAKFSQGWLRVTFPKKVATPSTPERPSVAVPSSPQDGKSSSVNTDGKTTGANIRARVSQVMKSKEFTQVVVNVGSVVVAAFSAYVAYKYWTSYVQVDED >KVI00051 pep supercontig:CcrdV1:scaffold_190:122608:130998:-1 gene:Ccrd_021721 transcript:KVI00051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MKTRAKETERVEIESDKTVLASAEKTLGEKTEKVGTTTPVKKKVRVVKKIVKKKVIKKVPKRVSVTPNCNDLENVASPNTNDNAPMEIEKPNVDDDDLREAENLKEFEVNDREKGVRLVETNNSCRDECEGSGLLTGSLLGDQNKTEMYDREHDINTMDIEEHEGEVGVKEQAEKSSEMDDGVSKGTEEDESEKKEAETSECVPVKIEVGLSKGEDGMKEQVEKRKHIDLKPSDIVEDQEGVKEVFENSETDSLRAEQGVSERRVLSGQMVASGWHMKQRTKIFIHGLDKETKEEDIRKVFEEVGEVVEVKIITNLKTGKSRGFGFIRYASEGHAKLALMKYHNVEICGRPCRTAAVEGSGTILLNNIDKKWKSEYVLALLQKIGIEKIDEVSVVADPENTELNRGFAFLELQTKRDAQIAYSKLQNKNVFGKHSKIKVEWAELLADPVEEEMHHIKSVYAEHIPSSWDEEEVKDHFRIFGEIESIALSKNLRSTKRSDFAFINYKTCEAALSCIETFTSKQSTNDDGSKAGVKVSLAKSIPKGKPIKTISESAANEPSKVKQKANQSRSVYKPHKPINTRVSSISRHEDAGKGGGSSTTAELVRLLREQASWKHGMSTVHRQPPSGGKEPFIELGRESLYHHDPRTYHQTRLEIPSAAHPRSIANSVAMTSFPRYDQQRVNHAPVLFCSSRATKSGLLCRQETKSLTMMEVVSYIGKCISSKIYRTRRLLRKRHLRRLSYSSGNRILMHECETDDFTGLTKTNLFSSWKLHFQPFVNCRKAFSRQFVQCYAYKS >KVI00031 pep supercontig:CcrdV1:scaffold_190:188306:198979:1 gene:Ccrd_021715 transcript:KVI00031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MNDLLSDSFENHRGQDYGGGDLEMGTQQNVNSGDSGLDNFFKKVQDIEKQYEKLNKLLKKLQDAHEESRAVTKAAAMKAIKQRMEKDVDEVGKIARFIKSKIEDLDKENLANRQKPGCGKGTGVDRSRTATTLSLKKKFKDKMSEFQALRESIHQEHREVVERRVYTVTGTRADEETIDRLIETGDSEQIFQKAIREQGRGQVLDTLAEIQERHDAVREVERKLLELQQIFMDMAVLVDAQGEMLDNIETQVSSAVDHVQDGNKALYKAKSLQKNSRKWMCIAIIILLIIIAVVVVGVLKPWKSGKGA >KVI00039 pep supercontig:CcrdV1:scaffold_190:363041:368267:-1 gene:Ccrd_021697 transcript:KVI00039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLFVHLTLRLKNPMEGIQSKIFIVLLYSLFIIAPSIYATDFKYCNKKKEYAIKVSGVVITPDPITRGTETSFTISAYTEKPKSGGKVVIDVAYFGWGVYSETGDLCDKTSCPVPAGDFAISHSQFLPAFAPPGSYTLSLKMQDGNKNELTCIKFDFSIGFFDSEGVANS >KVI00041 pep supercontig:CcrdV1:scaffold_190:385456:391242:1 gene:Ccrd_021693 transcript:KVI00041 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSTVTSSCSLIPQFRTNDLPYSSASKPYRFSSNPKVCRIRCQSTSTDEKKNRSRNVLDNASNLLTNLLSGGSLGSMPVAEGAVTDLFGKPLFLSLYDWFIEHGSVYKLAFGPKAFVVVSDPIVARYILRENAFSYDKGVLADILEPIMGKGLIPADLETWKQRRRVIAPGFHTLYLEAMVKMFAKCSQRSISKLEKLLETKILQGGQEIELDLEAEFSNLALDIIGLGVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKFPPARWLVPRQRKFASDLKIINDCLDGLIKNAKETRQETDVEKLQERDYSNLKDASLLRFLVDMRGVDVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQVEVDAVVSQGGITLESLKNLEYVRLIISESLRLYPQPPLLIRRSLKSDRLPGGYKGDKEGYQIPVGTDIFISTYNLHRSPYYWEKPNEFEPERFLVQKDNNGIEGWAGFDPSRSPGALYPNEFDVELKGSPESVELVTGATIHTKNGLFCKLKKRSHIH >KVI00032 pep supercontig:CcrdV1:scaffold_190:169537:180366:1 gene:Ccrd_021717 transcript:KVI00032 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAAVRKLIVEVVDARNLVPKDGHGTSSPYVIVDFYGQRRKTRIVARDLNPVWNETLEFNVGKPSDVFGDMLEVDVNHDRNLGPTTRNNFLGRVRLNSRQFVKKGEEALIYYPLEKKHLFSWIQGEIGLKIYFVDEVAPNPAPPSPPPSEEVKAEPKSPEEVPDNQPKAKEEATSEAPPLTKKDKPNEEPAPEPEVAPPLEASGQDGEVSDEGLEPVQSSTPVKQERFDYGQLMTSRSMPEIRLGGEIPVGPQPIPRASSISSFTTDVSDRFPIERSSFDLVEKMHYLFVRVVKARSLPTPGNPVTKIVVSGCQVISKPARKTMYFEWDQTFAFRRDSHDSTAILEVSVWDPLVSSSMSDVAGHNFLGGICFDATEIPLRDPPDSPLAPQWYRLEGGGAHKGDLMLATWVGTQADESFPEAWKTDTAGNPGSRSKIYQSPKLWYLRAVIIEAQDVSLSSSFQIKAQFGFQVQKTKSIVTRNGSSSWNEDLMFVVAEPFTDQPLLLFLVEQRGPKESTVVGVASIPLASIERRVDDRVVVSRWLTFEDPNKEKRVYRGRVQLKVFFDGGYHVMDEAAHVCSDYRPTAKQLWKPPIGTVELGIIGCKNLLPMKSINGKGSTDAYAVAKYGNKWVRTRAISDNLDPKWNEQYTWRVYDPSTVLTIGVFDSWEAFGSDGQKESTRLDFRMGKVRIRISNLEMGKVYNNVYPLMLLNGAGLKKMGELEVAVRFVRMAPRLDFLNVYAQPLLPIMHHIKPIGVVQQEVLRTTAVKVIAAHLARSEPPLRREVVTYMLDADTHTFSMRKVRANWLRIINVLSGVIDMVKWFNDTRSWKNPTATVLVHVLLVMLVWFPDLIIPTLAFYVFVVGVWNYRFRSRSAPPHFDPKLSLAETIDGNELDEEFDTVPCTRSNETVRARYDKLRMLGARVQSVLGDIATQGERAQALVTWRDPHATVIFVGLCLLVAIILYLVPSKMVAMTFGFYYMRHPIFRDRMPSPALNFFRRLPSLSDRKCSHWSSIAAKLTLMGAKNMRKFWVFLMMVMWCWASMAEAEYMPYKDPKQPLGARINDLMKRMTLEEKIGQMTQIERSVASNEVIKKYLIGSVLSGGGSVPSKEATPETWVDMVNDFQKGSLSTRLGIPDPVLVKKIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCFESYSEDPKIVRQMTEIIPGLQGEIPSGSEKGAPFVQGQQKVAACAKHYVGDGGTHLGTNEGNTIIDSKRFYSIHMPAYNDSIIKGVATIMTSYSSWNGVKMHANRNLVADYLKNKLNFKGFVISDWQGIDRITTPAHANYTFSILAGMNAGIDMFMVPLKYTEFIDGLTHLVEHKFIPMSRVDDAVERILRVKFTMGLFETPLADYSMAKYLGCQEHRDLAREAVRKTLVLLKNGKPSMQPLLPLPKKTSKILVAGSHANNIGYQCGGWTIEWQGLSGNVTAGTTILSAVESTVDPNTQVVYNENPNKDFLKSNTFDYAIVVVGEPPYAETFGDNSNLTIPEPGLSTIKDVCSSVKCVVVLITGRPVVVQPFVDTIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLARTWFKSVDQLPMNVGDAHYDPLYPFGFGLTTAPTKTI >KVI00062 pep supercontig:CcrdV1:scaffold_190:310389:314264:1 gene:Ccrd_021704 transcript:KVI00062 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MDRLSSSPRLMIVSDLDHTMVDHHDPENLSILRFNALWEAKYRQDSLLVFSTGRSPTLYKQLRREKPMLTPDITIMSVGTEITYGNDMVQDEGWIEFLNQKWDKQIVSEETSKFSELTMQLDIKIIYSGGMDLDILPKGAGKGQALAYLHKKLKEKGKLPKNTLACGDSGNDAELFTIPDVHGVIVKNAQEELLQWHAENAKNNPKIIHANERCAAGIIEAIGHFKLGPNISSRDVTDLSDAKLDHFDPAYEVVKFFLFYERWRRAEVENHEVYLSNLKSVCSPSGVFVHPLGVHQSLHVCIDKLKDCCGDKKEEQYRVWVDQVFPSQIDSDTWLVKFQKWEQTGQEQQGCLTTVVVSSKGVKPTEGLTWLHVHQTWMEGTTKNSRNDWFF >KVI00052 pep supercontig:CcrdV1:scaffold_190:114991:118691:-1 gene:Ccrd_021722 transcript:KVI00052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MAHLYKSRLQNYAQKKNIAFPMYACEMQGPPHSRLFKARVTIDGVMYEGPEFCTTLKDAEHAAAKVAFTSLSPDGAKEDDCLYKSLLQELAQKKGLVLPVYATNRAGPPHMPSFASTVQIAGKCFVGQEARTKKQAEMNAAKVAYTDLTEGGPRVNNAAVFSDIQMVGTSSFGSSSAQMVVADKKEPTLISSTSKMGTTINDQASCSIAKEAEKAKGSGLNGKRSQQNQSSVTDLGAAKPVSTKIVIRPHVHGMTYEGPIEVADDEWVAMKVNVDDEA >KVI00035 pep supercontig:CcrdV1:scaffold_190:351375:357501:1 gene:Ccrd_021699 transcript:KVI00035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHPKQSEGFRIKRLIWSNTINADPRVAYSSEDKNWNEKQENKRKPDKNCERINSTNYYFSLENVICERNGEEKLTKNFMSNILGHGLMLKSSLPCKTSSNISSSESVDEENRKVDYSQSNRGEIILQSYNMFYLTCPKWRDPTKKNVYIHCYGVDRLRGIGAEGGGRWRKWKLDGFFSCNFRTVSMKILSR >KVI00040 pep supercontig:CcrdV1:scaffold_190:392029:392916:-1 gene:Ccrd_021692 transcript:KVI00040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MNDLLSRSFSGGRNGDIEMGNATDGDGTNLDKFFKDVEAIKEELKALELLHDQLQSSNEQSKTLHSANSIKTLRTKMDNDVAMSLKKAKLIKNNLEALDRSNANNRNLPGCGPGSSTDRTRTSVVNGLRKQLQSSMKSFNELRQKMSVEHRETVQRRYYTVTGENPDEATVDNLISTGQSETFLQKAIQEQGRGQVMETVLEIQERHDAVTVIERNLKELHQVFMDMAVLVEHQGEQLDDIESHVNRANSYVTRGTNQLVEARKKQKNTRKWTCFAILLLLIIIAIIVLSIRPWK >KVI00058 pep supercontig:CcrdV1:scaffold_190:272078:276496:1 gene:Ccrd_021708 transcript:KVI00058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESMHPSTSLVKCCECGCRYCDATDGSYYGTWLRSVKRKVDEYDEGGGKFFIPGLLIPKVARVEIENECAVLREMVSSQQSTIQDLIADLEEERYASASAANEAMSMILKLQREKAEIEMEARQFKRFSEEKMAHDQQELMALEELLYRREQSIQSLTCEVQAYKHRMISFGLTESEADGEKSFITRNNSVATNLESQFDFPSYDYPPLKCNLNENHAYQENDNETVDIEKYAFGETPRSLKDIEERINQLERSPRHSQPVLEKVIVGHSPMKKHSRRFSSDSVGSYFATVKEDLVLDSPRVGGNTRKMEFSQTDDFPSFKKVDTVSEFGDEMSDRIYTIDSIHNGNNDPKANIGTYDDFMSSPKESSSVTGIEDPEVKKLYARLHALEADRESMRQALISMRTDKAQLVLLKEIAQHLCKEMSPASKMPVKKPSGGAGSSFFSVVKWIVTFGYWKRAQRNQHIFSAVANNAGLLMVLERGPQLGQWRCLSSTQV >KVI00038 pep supercontig:CcrdV1:scaffold_190:369817:370353:-1 gene:Ccrd_021696 transcript:KVI00038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNTTAAPATDSGGFLGSQNIGGFGYGIGISFGILLLIITITLASYYCTRSIQPSSPPSNLRRRRSGGGMINRQPDSSHCTVDMGLDEATLLSYPVVVYSDVKTKRKDSGSSCCSICLADYKGRDLLRQLPDCGHLFHVKCVDPWLRLNPTCPNCRTSPIPTPLATPLAEVVPLTMTHS >KVI00033 pep supercontig:CcrdV1:scaffold_190:179879:182931:-1 gene:Ccrd_021716 transcript:KVI00033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFHLFILLALKPSTFLSADNLDIRFKFEMDQTSEHVVKEELHLKTECKMKGTNEGKGEKVAEVVDLKIKSVEKEKPKHKEDNDDQCKEKDKKISNGSDNEGEAKKKKNVDEDEGKKKEDVKDKEVGKHDENTESEGKGKKKKEKEDKEKKESEEQDKNADVKDKKKKDKKKDGAAAQSEEGEKEPEKKKKDKCAKSDDIKQEGEDKKKKEKKKKEKEDDEEGTPKKDKKEKKEKEKKVKDKVVEEDDENDKDKKKDEADGKKCDADVASREIERDENATEVKGGKIGKKETKAVKDKKDKGDKKKPDAKYKDLDKLKLKLDKMNTKIEALLEKKVEIMRLIKEKEDSHGVVKEPTETNAAATEVA >KVI00045 pep supercontig:CcrdV1:scaffold_190:200836:202820:1 gene:Ccrd_021714 transcript:KVI00045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-grasp domain-containing protein MEKEGEVGKENQEIESEKERIEIKVLFFARARDVSGMSDMKLEVSSGSTAGDCLDQVIGKFPGLKELRGCIVLALNEEYTMESAVVKNKDELAIIPPISGG >KVI00030 pep supercontig:CcrdV1:scaffold_190:208365:216715:1 gene:Ccrd_021712 transcript:KVI00030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIWKGLFDNRTVIKTLRTSRTTGECKPRINVQNTLPISSKQFAVNDEIKHCIGGFPTRFKQWWEGIPFLTSVVVAVCAVIYLVCLLVGYDSFAEVCFWPSAVISKFQVYRVFTSIFFHGSILHLVFNMLALVPLGSELERVMGSIRLLYMIVLLATSSAIFHLLITLIAAYNPIHSYYHFMDECAIGFSGVLFSMIVIETSLNGVQSRSVFGLFNVPAKLYPWILLVVFQLLMTNISLLGHLCGILSGFAYTYGLFNFIIPGSSFYSGIESSSWLSTCVRRPKYIMCTGGEPSGYIPTYSTRNTASSESLSGNMWSNLSSWMPRREVPSQSTEESSRFPGRGRTLGAPQMETVSNNSSDSSLQARLLETPPGIDNTAAATMGSPVSQVTVASDEDIQKLLAMGFDKTQVEVAIAAADGDVNKDSEVNRVYA >KVI00064 pep supercontig:CcrdV1:scaffold_190:338745:343692:-1 gene:Ccrd_021701 transcript:KVI00064 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2, C-terminal domain-containing protein MESGNEFPLEEGSGVAAIDVKEDQEKDDGEQPHSQRSNRVGVIKTSENVDDTRQQSSTKIVTNEATSKNNKVAKNGPSSSSRSSARKPRPSLSQSSSFSAKTRNPDSMRTSIDGHPVKPQVGSKSEATSTNGTVTPASRRVSGGVKLKPTELSAKNGDMATRRATLDSVPSGSKSGTRKSNGSEDYPPSEGSISTDQQLIPVKTAVPVREDDDARSTASSGQRRNSASGFSFRLDERAEKRREFYSKLEEKTHAKEVEKTNLQEKSKESQEAEIKKLRKSLTFKATPMPSFYKTPPPKMELKKLGRNKSSVAAVSRSLEGTTTGARPRVRDQTTSSSSSKANLEKDTATSKKPIRTSKTKSPPSKIQIEPEEDEEKDENFVEVAVPSVNPIEGEDWIEVSAEKNAAAAAAEEATNQDMTGGDNGVVVGG >KVI00056 pep supercontig:CcrdV1:scaffold_190:329510:332084:1 gene:Ccrd_021702 transcript:KVI00056 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MDSQVYGALNLIFFFLVFPAAINVNALPSQNSKSNSTQINSNSVLVALLDSHYTELSELVEKALLLQTLEQAVSNHNITIFAPNNEALERQMDPEFKRFLLEPRNLKSLQNLLLYHIIPSRVGSNEWPGKDVAHHKTLCVDESDNHLPLTRGNSGEKMVGGLARVVRPDDVIRPDGLIHGIERLLVPRSVQENFNRRRSLSSISAVLPEGAPVVDPRTHRLKKPASPSPAGAPPALPVYDAMAPGPSLAPAPAPGPGGARRHFDGESQVKDFIQTLLHYGGYNELADILVNLTSLATEMGKLVSEGYVLTVLAPNDEAMAKLTTDQLSDPGAPEQIMYYHLIPEYQTEESMYNSVRRFGKVRYDTLRLPHKMVAEEADGSVKFGQGEESAYLFDPDIYTDGRISVQGIDGVLFPVEDTAEAPSSKAAPTTARKLVSKQRRGKLMEMGCKIAGALGHSC >KVI00029 pep supercontig:CcrdV1:scaffold_190:138620:144485:1 gene:Ccrd_021719 transcript:KVI00029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQTRTVQVGKLSDLASEREIHEFFSFSGDIEHIQICRDSERKRTAYVTFKDPKALEIALLLSGATMVDQVVKISPVENYVPKPESLEVCAVDDAVSKAPQNSVLAKGSSIGQDAMNKAKAFDEKHQLRANASAKVISLDKRVGLTEKFSVGISVVNEKVKSVDQKLQVSDKTMAALMAAERKLNDTGSAVKSSRYVTAGAAWLNGAFGKVAKAGQVAGTKTRHKWNLAVSNLTTKDSGITA >KVI01088 pep supercontig:CcrdV1:scaffold_1901:26593:40139:1 gene:Ccrd_020644 transcript:KVI01088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding module family 25 MTRQQLSKPVARVTRTIASEFVVKIQEQRRWAAMELALQVQTPLCCGRTVLRDKALVKIKPVIGFHTTRRTNLFSQVFIIIWNLALIGYVVGFSRKRRLKMLSPRANDSAPKGFKPKPSNLRKDRKMLEGKEGLDPSTSTEYANHTKRESKFDVEVDKNLEDDDILETKMTEEISSGRKALAVAKFSQRDENGSTSTADEGTNVNSDITNEMSTLGLQIKQLDAIKNGDDRIETLRSQNEDNEEASPKLRLEVEANLRKKEMEANLRKKEMEEERRRQEMQANLRIQEIKRLASETLVKGEKVFCYPEIVTPDQDIEIFLNKTISSLIGESDVLIMGAFNDWRYKSFTVKVNKTKFSGEWWSCQIHVPKEAYKIDFVFFNGKDVYENNDMKDFCISVEGGMNVLEFEELLLEEKRRELERLAREQAERERQAEEQRRIESEKASSEADRAQARDEAERRRGILQEVLKKAVKFVDNTWYIEPTEFKGGDMVKLYYNNNVGPLSNAKDIWIHGGYNNWKDGLSIVEKLDKSVEKDGDWWYADGMQRRSLVLDWVFADGSPQHATLYDNNLRQDFHAVVPLSIPQDLFWAEEEHEIYKKLQNERRLREEEVRAKAEKTARIRAETKERTMKTFLLSQKNIVYTDPLDVQAGGLVTVYYNPTNTVLNGKPEVWFRCSFNRWTHRMGLLPPQKMLPADNGLHVKTSVKVPLDAYMMDFVFSEKEIDDGVFDNKNGMDYHIPVSGGVTKEPPMHIVHISVEMAPIAKVGGLADVVTSLSRAVQDLNHTVDIILPKYDCLNLSNVKDFGFHKSYFWGGTEIKVWFGKVEGLSVYFLEPQNGLFWAGCIYGCKNDAERFGFFCHAALEFLLQSGSHPDVIHCHDWSSAPVAWLFKDQYMHYGLTKARIVFTIHNLEFGAQLIGKAMAYADKATTVKSLG >KVI01087 pep supercontig:CcrdV1:scaffold_1901:2091:5099:1 gene:Ccrd_020646 transcript:KVI01087 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF system FeS cluster assembly, SufB MASLVTNGVFSSFSPQPISETPKISKGSSLKLENSCKLTNLKSHNSRFLKLRADAKTIQSDTLTEGNSSNLASIDDDPLQKFLKRDYKWGFNQEIDSFTIPKGLSEETIRLISSRKNEPDWMLEFRLNSYHKFCQMTEPKWSDNDYPKIDFQNMCYYSEPKKKPTLDSLDEADPELIKYFDKLGISLNEKKRLANVAVDAVLDSVSIATTHRKTLEKSGVIFCSISEAIKEYPDLVKKYLGKVVAPDDNFYAALNSAVFSDGSFVYIPKDTKCPMQISTYFRINAMETGQFERTLIVAEDRSFVEYLEGCTAPSYDTNQLHAAVVELYCNEEAEIKYSTVQNWYAGDEEGRGGIFNFVTKRGLCAGRKSKISWTQVETGSAITWKYPSVVLEGDDSVGEFYSVALTNNCQQADTGTKMIHKGKNTRSRIISKGISAGNSRNCYRGLVQVQSRADNAKNSSQCDSMLIGDKAAANTYPYIQAKNPSARIEHEASTSKIGEDQLFYFQQRGIDYERAMAAMISGFCRDVFNELPDEFGAEVNQLMSIKLENSVG >KVI01094 pep supercontig:CcrdV1:scaffold_1901:93928:98131:1 gene:Ccrd_020639 transcript:KVI01094 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb1, domain 5 MAPDKVTVMILNVDLKCSCCYKKVKKLLCKFPRQFPSLSLSLSLLFPFGFLSKSIIHDHSFFHLPSAICHLPAFLVEIRGQVFDQDRNEVRITVVCCNPDKLRDTLCCKGGKAIQSIEIVEDKPKPKPKPKPDPNPSPVQKPKPADPPPPPPPKADPPKPADPPPPPPKVDPPKPADPPPKVDPPKPVPIPDPMPGFCLPILHFQNVMARKGVVDTAIRTSDAGYLTRRLVEVVQHIVVRRTDCGTVRGQSIGEPGTQLTLRTFHTGGVFTGGTEEHVRTPSNGKIKFNEDLVHPTLKLKNHDYSELNRITCTDRCNLRYPAILDGNSDLLAKRRRNRFIIPLESIQEGENQRIPSSGISIEIPRNGILRINSILAYFDDPRYIRKSSGLTKYETRELNSIINEDDLIEYRGVK >KVI01089 pep supercontig:CcrdV1:scaffold_1901:7507:18723:1 gene:Ccrd_020645 transcript:KVI01089 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA breaking-rejoining enzyme, catalytic core MNYSSDEDDKPLVFRRSSKADKVKCSSQSLRPSPKPSNDLTDSEDDKPLSFRLSTVSKGNTNHAKKGSCMNVSPLPKPQVKDEDSDDEKPLSSKYISKPNVVSSISKHSGSNNDKTLTSKVHQNGSASRDNQSRSTGSIKRPLDEERSSSQSLAKKPKLSESSTPINRKQVPLKAETESDDDEEDDVPISQRIKNHAPSGSKSSSVKHKVTKKNSSLKETNKKSKKVVKNSKYSKPSKVPPGSGEGQKWTSLVHSGVIFPPAYKPHGVKILYKGKPVDLTPEQEEVATMFAVMLDTDYMTKPKFKENFWDDWRKILGRNHTIQNLDDCDFKPIYEWHQTEKEKKKQMSTEEKKALKEEKMKLEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIHPSDITINIGKDAPIPECPIPGESWKEIRHDNTVTWLAYWNDPINSKEFKYVFLAASSSLKGQSDKEKYEKARMLKGYIQGIRNAYTRDFGNKDLTKRQVAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVEPKPPNILKFDFLGKDSIRYQNEVEVELPVFKAIQQFRTGKQGTDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLSRETKGGDVAQNLVVYQHANKEVAIICNHQRTVSKSHSAQMTRLNEKIDELKGVIKELETDLVRAKKGKPPLKNSDGKPKRNLNPEALAKKIAQTNAKIEKMERDKETKEDLKTVALGTSKINYLDPRITVFNKSLLAKFAWSMDVDPNFRF >KVI01090 pep supercontig:CcrdV1:scaffold_1901:74217:74588:1 gene:Ccrd_020640 transcript:KVI01090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MTLRFPRFSQGLAQDPTTRXIWFGIATAHDFESHDDITEERLYQNIFAXHFGQLAIIFLWTSENLFHIAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQW >KVI01091 pep supercontig:CcrdV1:scaffold_1901:55031:65011:-1 gene:Ccrd_020641 transcript:KVI01091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKAPSWADQWGAGGIGAIGDYDNQTELIKEKNGNKPTTFSEATIERAKKLINFVGNDINDESPMDINDGSPKTYFNNIFPEPACYLSMLSTKHIKRTYFAPIESIVQMNGLSSSPKPAITVKENLPTGLDKEKSFSLVTARYVTTGIPRKMMNNNTQKTCMLEMQLAKMQVSLKNLQNGIAD >KVI01093 pep supercontig:CcrdV1:scaffold_1901:46524:49162:1 gene:Ccrd_020643 transcript:KVI01093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MRLDHIEAYLALSAKTKIYFATAVETWDADFYVKVDDDVHVNIVCEWKNLIGHTCVATLDWACSGICNSQERIRDVHKRCSEDENDLWQVIV >KVI01092 pep supercontig:CcrdV1:scaffold_1901:52576:59427:1 gene:Ccrd_020642 transcript:KVI01092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, beta-type subunit, conserved site-containing protein MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRIFKMHDKLFLGLSGLGSDAQTLYQRLMSRHKLYQLREERVMKPETFASLVSAILYEKRFGPYFCQPVIAGLGDDDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKPDMEHEELFETISQALLSSVDRDCLSGWGGHVYIVTPSEVTERILKGRMD >KVI11388 pep supercontig:CcrdV1:scaffold_1902:29633:30351:1 gene:Ccrd_010202 transcript:KVI11388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MPQEIKLKVRMQCEKCKIEVMKTVTELSGVDEISVDLEKEILVVIGDVDPVSVATRLRKKRRVAEILSVRKYRRKDKVFVNPRVYYNINSCENGYEQVFRYPPSNGSGGNCNIL >KVH87964 pep supercontig:CcrdV1:scaffold_1903:6304:35254:-1 gene:Ccrd_024654 transcript:KVH87964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MSSATTRSSSFVKNPGGVIKCKAAVAWGAGEPMVMEEVEVSPPQPSEIRIKVVSTSLCRSDVTAWLSQAQPPLFPRIFGHEASGADDQDNDERKKKKEEILDRERKGEITEIRSRYSKGRTFWVAFIVNVGKRLETYWFMWEVHIEIRGEYFDGRKYRAAGRWWSYSHETTNQANPTTTGIHKLILVGNIKVMVVKGVIIPINIEKLIVESVGLEVTEFVEGDHVLTLFIGECMSCRQCISGRSNICQQLGLERKGVMHSDQKTRFFVNEKPVYHYCAVSSFSEYTVVHSGCAVKISKVAPLEKVCLLSCGTAAGKISMSINEAVNWMGSSKGAGRNNGNEKLYEVSWEEKTSPLKTRRGSREALFIDQRDSSSVDRQFACCSLPRDRKALSHLLLLLERPLPELLCALLEALMPWSIRIEGFDTNWYERLMHAIGQNHTSENILPQLNEDLELVVEPEEVLQCRNNQARELEVLICWKGLPSGHGECTAGLGAAWNVADVSKGSTVAIFGLGTVGLSVAQGAKIRGASRIIGVDTNPEKNDKAKAFGVTDFINPNDIDETVQQVIKRLTDGGADYCFECIGDTEMINTALHSCCDGWGMTVTLGVPKTKPDITSHYGLFLTGRTLKGSLFGGWKPKSDVPSLIDKYLKEEIKIDELITHNLPFTDINKAFDLMVAGNCLRCVIHMPNQS >KVH87963 pep supercontig:CcrdV1:scaffold_1903:60293:65409:1 gene:Ccrd_024655 transcript:KVH87963 gene_biotype:protein_coding transcript_biotype:protein_coding description:disulfide isomerase MVSSRVCTLFLFCVFAILSSSVSISSATEAEKEYVLTLDHSNFSEAVAKHKFIVVEFYAPWCGHCKNLAPEYEKAAFVLSSHEPPVALAKVDANAEENKELAQQYEIQGFPTIKILKNGGETVQDYKGPREADGIVEYLKKQVGPASFEIKTPEDAGSLIDEKKVFVVGIFPKLSGEEYENFTILADKLRSDYDFGHTTNAELLPRGESSVTTPTIRLLKPFDELFVDFQTFEVDALEKFIGDASIPIVTLFDQSPNNQPFLIKYFESPNAKAMLFLDFSHEQIDAFKSKYKDVASEYKGKGLNFLLGDVQASQAALQYFGLKEDQAPVLVVQNSNGLKFINSNVEADQLAPWLNDYVDGKVKPFIKSEPIPETNDEPVKVVVANSLKDMVLDSKKNVLLEIYAPWCGHCKKLAPILDEVAVSFEHDASVMIAKFDGSNNDIPSDAFEVQGYPTLYFRSSSGKVVPYEGNRTKEDIIEFIQKNREDEETIIQPTKDEL >KVH87962 pep supercontig:CcrdV1:scaffold_1903:67030:67887:-1 gene:Ccrd_024656 transcript:KVH87962 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MSVTPNSTAFMAQAMHADNPHRETFHRFFECWISEQNTYLEELVSAADNHNRDENDDAVLCPLIERVVRHYEQYYQAKSNWEKRDAISMFSPAWRSKLEDAFLWIGGWRPTLAIHLLYSKSGIQMEAKIGDLIRGLTTGDLGDLEPNQINRIDELQKKTIYEERMLSEKFAKQQESVADRSMVELSNAVSEMIRNEDGSDEGENEEKVESTLDSKKDGFEELLHMADDLRLETLKAVIEILRPIQAVHYLIAAAELHLRLHDWGKEREAHVAENVTGAPSGLDVS >KVH87961 pep supercontig:CcrdV1:scaffold_1904:31213:40245:1 gene:Ccrd_024657 transcript:KVH87961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLVVHHCSKWNIWECKQNNKQAVRSDGFRVGVSSTQSCLSHASGHDDIESLRDVFVWGEVWSNMASSDRYVNSFPSKSNVTIPKLLEKLEKSNLYNFLKPCISICIETREIQSLLISMSNKLLGVRHFSLVRKQGDILHGERNLEVDLVIESKKTSPTTCGI >KVH87960 pep supercontig:CcrdV1:scaffold_1904:40989:51076:1 gene:Ccrd_024659 transcript:KVH87960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MFKEYYVAYVTFCKQAFGFTRNRYNCYNYELVHRHACSSKKALQVALVPTLGKPHFTFILNFPVFKCSIWFCKHANKNKHVSSTPSCSSHASGHDDIESLGDVFIWGEVWSDMTSSDGSVNSLSSKSDVTIPKLLESNVVIDVQQIACGVRHFSLVTKQGEVFTWGKESGGRLGHGIEKDFGRPRLVEFLAVTNVDFVACGEFHTCAISTAGDLYTWGDGSHNAGILGHGTDASHWIPKRVSGPLEGLVVISVACSTWHTALATVDGKLFTFGDGKFGVLGHGDRESVKYPKEVQSLIGLKTSKVACGVWHTAAIVEITNHHGGHSTSRKLFTWGDGDKYRLGHGNKDTYLQPTCISALIDYNFHQLACGHSITLALTTSGHVFSMGSPAYGQLGNPQSDGKLPCLVQDGLVGEFVEEIACGAYHVAVLTSRSEIFTWGMGARGRLGHGDTEDRKTPTLVESLKDRHVKSISCGASYTASICIHKWVSGADQSVCSGCKQAFGFTRKRHNCYNCGLVHCHACSSRKALKAALAPTPGKPHRICDSCYTKLKKSAEVGNNNASTYHRRDIAFPCSLGALQNSPRHVATTSSLPFQPQFLPPPQPPSQPQPQAANSRPASPYSRRPSPPCFGSPMFSRGVIDGLNKSNDLLNQEVSKLHNQVKALKKKTDRQDLEIRKLRTHAEESASLASKETTKCLVAVDVFKGVRSQLKELTEKLPPEISDDQTFQALNSKVDDFLHTHGTVHRTQSRSHLQSDVPNEISISSEAANRKYHKIENSEDVEGTEDRSQNADVAPLENNGSYVGKNSESASQTSTDGGSRLPKYPSGDEKKEVIEQFEPGVYVTVIQLSNGTKIFKRVRFSKRRFVAQQAEEWWKENKGRVFKKYSPAKPRSGPSTSAAQTTPNEEP >KVI12296 pep supercontig:CcrdV1:scaffold_1905:81699:94014:1 gene:Ccrd_009285 transcript:KVI12296 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit Rpc5 MDIDFDDLDGPSKAPVTKAGKFAPKNSKFKPAAKPKREQKLSVPLPESNPVTIPKVELDDSKPPQQEPPSIDSIYSKTEMDQPLHTDPTSHDANGSVKMDADATEMEIDNEQEPDDGDRVVREIDVFITSSVDADSKVYVLQYPLRQSWRPYELEERCEKVLSSSWKPPPANGYAVGILVGNESKPPGPVNEQNENTKEQWIPLKYHGAASELSDTYIGKMVAQPTSQIQFSMSPSDYIDSLCPATSNDKLRSKGPSRRHYLTLIIVSSIMIFHQGPPVHRFTALKHLAPNDNDADIFRVLQSHAQLVQGLWVAKSKLKYKKDVGKEVLLRNYVLLQFSKNPLFNEMQLPKIPSFSETIKGILDEFATRRDSCRDWKFKECPDVSFIKDYPDVVQAQKNIWDLVEPQINEFIFRQSSKHSIGDRRATSNSTNDVVPKTTNVPPSRTPMLDEAREALPKALQKVFQAYKVCSLNQIRQRLRDMAVSENTHRKGTREARAAAAAADAPQEELQKTLNQVAVNIHGNIVINLFLAEGPKGRLKKASIYAAASVKLNREITNVEYQKIYALKPQRWRAELKAHSVGLNHVIAGVLLQQFK >KVI12300 pep supercontig:CcrdV1:scaffold_1905:8808:13613:1 gene:Ccrd_009280 transcript:KVI12300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FWSLSCGGATNFVDSANISWVSDNEYITKGNTTTVDFNTSLRFFPDPGNRKCYKLPMNYTTSSSSLILVRANFVYKNYDGLEQPPLFSVSLGTAVAAFINLSFKDPWIEEFIWQQDNKDTLPLCLNSIPNGGFPVISSIEIRPIPQGGYYSGMDDFTNKYPLDQYDRIWDPDQDFSPFHTSTGFKTLGTFNTWGLKESPPQPILQTGRVLARREGLSYNFALKNLGDYYVVLYFAGILPVSPTFDVLINGDVVESNYSVKSWEVGSLYFLQKGIKSLNITFKDISFYPIVNAIEVYEIINIPPESSTTVVSALQVIRQSMGLDLEWEDDPCSPMPWEHIQCEGSSITSLNLSFNKLTSFGTDLDGLINLRVLDLKNNSLEGLVPESFGAMMNLHLLTSGNLCLSFSMSKCYDATANATIDAPQVTVFNKKKQTLHSHLAVVLGAVGGVLLVLIVVSILVFVYIRRKTPEFKHRERMKADLQMRNWNAAKAFSYKEIKAATRNFKQVLGRGSFGSVYLGKLPDGKLAAIKVRFDKTQLGANSFINEVSLLSSICHQNLVSLEGYCHEPKQQILVYEYLPGGSLADNIYGANSKRKTLSWVCRLKIAIDAAKGTNLSSSSAIMAKPYLQAGAFEIVDESLKETYDEESMRNTASIASRSVDSDASQRPTIAEVLAALKEAYSIQLSYLATAGAGLST >KVI12297 pep supercontig:CcrdV1:scaffold_1905:64956:72877:-1 gene:Ccrd_009284 transcript:KVI12297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGSLPGPAVNPFPSEFNRLPESKAHTGSMNVSHGREGPLSIDDESMRRERKEQSNKKIASQKAISVILRREATMAVIEKKKGSTRLFPGTVLEALHERIAALRWESALKVFELLREQLWYRPNSAIYVKLIVMLGKCKQPEKAQSLFQAMIDEGCIVNQESYTALLSAYSRSGIFVDMESTLVEMLRQRECKPDVWTMNSTLRAFGGSGQIETMEKCYEKFLSAGIQPNIKTFNILLDSYGKTGNYKKMSAVMEYMQKYHFSWSLVTYNIVIDAFGRAGDLNQMEFLFRLMQSESIKPNCVTLCSLIRGYAQAGKVEKIRGLLRYIESSDVTVDTVFFNCLVDAYGMMGCLAEMKEVLPMMESKGCQPDKITYRTMIKAYNMNGMSNHVKELRHALSSVGKPESRR >KVI12302 pep supercontig:CcrdV1:scaffold_1905:36222:38747:1 gene:Ccrd_009282 transcript:KVI12302 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADF domain-containing protein MATTTTSPPPSSPHEEVENDNLHQLISGSQPPAASVTTPPSPIEEVDHRQQFANSNSPYSPPQTSVSHQPENQVDRQQPPPEYVEDQREPPILPSPPLEENVTEGRQTSSPPSLSLHSQPPSPTSTGDEHPPENLNPNHESPVSKQSLSPSTPTTRPEDTVHIPNCSPNQEHKSPSPSSPPPRPEDPMESPNYGNNPSPNQDHPPPTQNSPPPGPQDPVESPNYRNNHNPNPSQDHESPSPSSPPRRSPSQSPSATTLQASPKADESPKDPYSSPPPTADSPSSPQDTLEPNTDLIPLPAPPVGVPFLLHTVASSSPSKPQASPWTHPETANLIQAYQEKWYSVKKGPLKASQWEEVAITVAVRCGYDEPTKTAKQCRHKIEKLRKRYRSERGKPRSKATVWNFFKLMDNLQKGPLPISSSQPMELVEYKNPSSSNGKKRKNDDNDGEFLVNMRNNRSKSSNHHITNGDLTGNLRFSDGGSERVMRGLRTPVVHKHKGFYQEDNGEEEEEEEEVEEGSDDGEDEEGVAVQLAAEIKGFAERFVKMENKKIEMMRDTERYRMEMENKRMEMILESRKMLLEIVNKAFNSSSHKTQKKLSAS >KVI12295 pep supercontig:CcrdV1:scaffold_1905:1006:3437:1 gene:Ccrd_009279 transcript:KVI12295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHDQHNLNPNIITLVPYTHPLHLIGDRREVRRKRESDRGEKMVSGSGICAREVVVDARHHMLGRLSSILAKELLNGQRVTVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPTPYNRKKRMVIPDALKVLRLTAGHKYCLLGQLSSEVGWNHYETIKKQLNKLRAKAEKVAEEKLGAQLDILAPVTY >KVI12298 pep supercontig:CcrdV1:scaffold_1905:98557:99030:1 gene:Ccrd_009286 transcript:KVI12298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVVVMPYPGRGHINPLLNLCHLFSSRFHHPNLTTVFTVVVTEEWLKLIGSDPKPKNVRFVTIPNVMPSELNRGSDAIGFFTAAQNKLETPFDRLLDRLDLPVNLIIADVTLRWSLDVANRRNIPVAAYWPMSASNFTLWYHMDLLEEHQHVYADLS >KVI12299 pep supercontig:CcrdV1:scaffold_1905:13817:20510:-1 gene:Ccrd_009281 transcript:KVI12299 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MAVVLSVGNSFLHHRNRTLQSPNFCFTKRPSSNLSVVCGRMMSHGGKLSLNNARSSFNRSGFCIRSFQREDAEYSDAARYIDNSERRDETTDGILGSQENKTTGTSSSLMAQLAILLGIAATITLLSICLKQPNQGSSTGIQILAGGASSSTSAVPNVGFSFNAFGYRVILPEYTPGWIYFWLLMAAGCGLFISEEALNIWVGISLSRMLSLDGTRQSFVESLSRNAPHILSTVLWVYWGVCISDMVPFYLGKLFKKSGASEDVYSKVGISKDKALGLTHIVQRYGNLIGFVERFSLGVRNPTAFLAGVLDISPECFFAGVCCGGLITLPIQLGIGFLLRERPVFALATVATVVGMWTMFPYAVAASTALFFYLRRRFSN >KVI12301 pep supercontig:CcrdV1:scaffold_1905:42107:58677:-1 gene:Ccrd_009283 transcript:KVI12301 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAS subgroup MHGCTSGSVHVVNAELDSMGGVDDSGAGISSTPSLQQSSDLEKTQAELRQTFTAAEKFRRELEYLQKGGDPLDLKPGNAASVSRQSTSLADRHPEQFVTSEAKGSFAITASPRGDSVESSGRLGAPSACEPNSADNLMLFDGDNKFQEIERKPVHPHADNIAPSKHHSQLDVGHITTGDSVVLELPKKSYKRRIRSRPNRDGARSSSTDAVPSRGGHFLPFRHASRDFKGLTHDTDHQDRNCLLNSNSKPKSPNASVAQRNSITQLENEIDGVPAVQSTLGPAHGPYSAVLDANALQNTLETHDDQPVKSNSREAPLTIASIEPEPVAVMNRVHLTDSVRPPRADTQISENLAFASPTNGFGSTEEIESIPADSNSGVAIPPKILDSESSCTQTSQRFDGYNGNGLPTSARIGKYTGPMEQNLVQKEVVEVERNDLVADKDDKILNINKANSDMCHPSHNGDDSAIKEEVDLKVSESALQNELKHSVSTKRVGSDGCTTPKTERKATIILGPNSISQDGNACSSRPQGSNDISLRESTLSVRYPTDVPEQNACSQNNLKLATKEREDSILEEARIIEAKRKRIAELSVRTLPPERRLKSQWDFVLEEMSWLANDFAQERLWKITAAAQISRRVAFASQVRFQQQCSLQKQKEVAHRLAEAVMKFWHTIQVKCKETESRCLKRDNRIGIQGYAMRFLEYNSSQVQYNATQAPATPDSIMDLSWEDNLTEENLFYTVPPGAIEAYRKAIESHLLQFERTGSSMQDEVDTSGYDAVADFKSQDNAFEEDEGETSTYYLPGVFEGSKSTKNAQKRRKHFKFYGARSHEMGGDLSLMQSAERTVGTQPSVLSGKRSASSLNVSIPTKRVRTASRQRIISPFNAGTSGCIQAPNRTDASSGDTNSFQDEQSTLHGGSQIPNNMEAESVGDYEKQLQFDSTEVSNRPKKKKKAKHPGSTFEHRWHLDSNFQNEQKDHSRRRPDTHQFESNGSSVASQMSNMSNPNKFMKLLVRDRGRKAKALKALVVLVHDMGPNWELISDAINSTLQFKCIFRKSRECKERHKILMDRNTGDGADSAEDSGSSQPYPSTLPGIPENDNQDPKQLQQPHSSHAFALSQVCPNNLNGGPVLTPLELSEAISSSPDVLPAGYQGPHSGGLPVLNHGPVPPMLPGSGSTSSAPGSSNSVHGSNLPSASAPLNPSVREGRYGIPRTGSLSVDEQQRMQQYNQMLSARNTQQASLPPGSHSVTDRGVRMLPAGNGMGVMCGMNRSVKMARPNYQGIASPSMLSSGNILPSGSATPNPASMHSGAGAGHGPGQGNSMLRPRDGMHMIRPNQNTDHQKAVAADLQMQQVSQGGVSQGVPAFGSGTSSSFPNQISQPPVQAYPLHHQQQARPISPQQSPHLLTSNSHHPHHFQGPPNPAYGMRLVKERQLQQQRLLQQQQQQFSTSNAMMLHAQPQESQLPVSSPQNKMNGFSGGQSTADKGEQQVVHHLPQSGQGSLYSGKQSLPHSSMNQPHQQKTYSSKELQQQKPSHSADNNNHQNHAPSPSTVTSSTPSVITSSNHHPHRSQPHQKSANHKQATVPPKVLHNQKVNPSDQPTSKLQAAQAESPMSMSNSSQPNTTLPMPHAPCNDANSSEKLVYSSAAPHRKTSEMIYDSSGAVPDNAGTQFGKNSMRMSPPQTSGTGMESEHSGPAVNQVAVHRQSSSESLPNPTGIEHSITVSLSGSGPDRFGWAAIPIKSNLQMKLSSMGAKDGKGMAAICV >KVH87959 pep supercontig:CcrdV1:scaffold_1906:91238:95249:-1 gene:Ccrd_024661 transcript:KVH87959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPENPNESTTAIIASTTSPQSAAFQDSPIFNYINNLSPIKPVKGTSALQVFSGLNSPPLVFTSPRIHAHQQSAELKRSQCHISSHSELSRHDRTGNITTGAVEPERLTSHMSDLTPLANSSLTNFEDDLPKVADSMNPEGSMETYANEDGKGSNVVANNASATFVEQAKRDHEGNLSSCPKSIENDGKTSSCPKSNSCQKVPANVSVDNMSVQKYDQRVAQVGF >KVH87958 pep supercontig:CcrdV1:scaffold_1906:74645:77852:-1 gene:Ccrd_024660 transcript:KVH87958 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRC domain-containing protein MVVGQSQLGKRRRLQFETAQEKIVENDPGSENPNTAGKSEILESSCADISSTSHNIQPTGSTQSTCPLSLSQNTRNASLTVSKPSGIGLHLNSIVNARPLACPLNVSVKPTDVTYSSAGRKKSISITSHMQNNMQCTSFSSNSNLVENVSATSEEYTHETPPSCPSYNNAKSMKIIEHEDKFDPGSLNSGQTGRVDELDRSNPKKKRKKTESTDDDCKHCNCKKSKCLKLYCDCFAAGIYCAGPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIIHRLTQPPRSQIVEDGDQLTPLAGRHKRGCNCKKSMCLKKYCECYQANVGCSDGCRCEDCQNIYGKKGVSGTIREMGMEVINEKLNCSFDDKMKVGSIRSRSSLSEFQKPHNLTPQTPSFQCSNHGNDASKARVLPGRYLPSPESESTFYPSYVSTPRSPKNSASFDMIMEASNMNSEMVPFGQDLYPSVEFMDECSPGCTTSGTATGHLTSMGDWSNSSRAQLLPPGGLSFSSLCPRRSSVTPVTLFGGTKLTDSQQKSIDNYDDDTPNILKDSSIQPNKVKVTSPNKKRISPPRIRLHELGSNSLKSGRKFILKAVPSFPPLTSCINSKDNTGRISNDPPADDTL >KVH87957 pep supercontig:CcrdV1:scaffold_1907:15773:17083:1 gene:Ccrd_024662 transcript:KVH87957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKLSTSTFLLLLLLHHAAAATAPSPSAAATAASPALSPPSSSTSSTLDPKQVRALQSLYIPTTHDPCTQPSFHNATVCDTATPFRHLRSLRLTNCSDDLSLSTTALSSLSTLTSLTFLNCHVPIVHFPTSLSTNLRSFTSINSLQRLTGVFLSRFSNLTELEISGDNIKASGIHIITSNMNSLNTVTLSNTNLSGLIPKHWNPKLTHMDFSDNKLNGTIPTSLTLLEGLKFLNLSSNQLSGEIPTSFGNLISLQNLSLSSNSISGPIPGSISTISRLVHLDLGSNQLNGTIPRSISGMKGLKYLNLEKNNFHGVMPFNASFIKRLAVFKIGGNDNMCYNHSTISKKVKLGIAPCDKHGRPILPPPAADEPSSSVDDISSGDYDDGGDDGKKKNRDNGDHGPNKVVLGVAIGLSAVVFLIIFLVMLSKCGGRCCGCC >KVI10914 pep supercontig:CcrdV1:scaffold_1908:79971:81538:1 gene:Ccrd_010685 transcript:KVI10914 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MDSQTTSNEQAEYIHNKMNRTTKKALLALNCIMLAIGNCGGPLVQRLYFIKGGKEIWISSWLLTAGWPFLILPLILSFLYQKRTGRNDTKLFSLKPNLLFPCAVLGVLTGLDDYLAAYGVSRLPVSTSALIIATQLAFTAGFAFLLVKQKFSAFTINSIFLLSIGAVILGLHSSTDRPAHESRMKYYEGFFMTLGASALYGFILPSIELTFKKAKQPITYSLVMEMQIAIPREARTFKLGEDTYYVVLMVNALFWQFFFLGAIGVIFCASSLLSGIIIATLLPVTESLAVLFFHERFPVEKGISLTLSLWGFLSYFYGEFRRMKKTYSNGDLEVA >KVI10910 pep supercontig:CcrdV1:scaffold_1908:64007:65411:1 gene:Ccrd_010683 transcript:KVI10910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MHCISSPAFRCPSFGKPKTQHHHILPRANVTGLQMTTTHHHAIAHSLPSEKVEIIKSLEPWVAQSILPFLKPTDESWQPSDFLPNSSQPFDQFVTEVQTLRDQSAMLPDDYLVVLIGNMITEEALPTYETIINNYDGIRDETGVSASPWATWTRAWTAEENRHGDLLRTYLYLSGRVDMLMIERTVQNLIASGMDPRTENNPYLGFVYASFQESATFVSHGNTARLAKEKGDLVLARICGIIASDEKRHEKAYIKIVEKLLEVDTTTTMLAIADMMQKKITMPAYLMNDGCDTSLFSHYSAVSXRLGVYTAGDYAXXLEFLVXRWRLEKLEXLTSEGRXAQEYVCGLAPRIRKMQERSHERAQKMKQHGVKFSWIFNKKVML >KVI10912 pep supercontig:CcrdV1:scaffold_1908:15963:17940:-1 gene:Ccrd_010681 transcript:KVI10912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MAKHSDAFIALPASTAVDIISGIEFDKTGNHLATRDRGGRVVLFEKLIDSRHPEFRYKTEFQIHEPKFDYLKSLEIEVKINKIRWFQTANSAIFLLSTNDKTILEGPRKEDQADKQLECRAFKTYELW >KVI10913 pep supercontig:CcrdV1:scaffold_1908:94103:96706:1 gene:Ccrd_010686 transcript:KVI10913 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MWDSESESVAGRDYDNGLLSSNKHGVQTDRFEQRGKSWFVATDIPSDFLVLIGDFSFHLHKYPLLSRSGKMNRIIYDSREVELSKVLMDDIPGGAEAFELAAKFCYGIAVDLTATNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWQYTGKPMKVSSPSWNEMKDSSPSRSPVPPDWWYEDVSILRIDHFVRVITAIKVKGMRYEMIGAAITHYATKSLPGLIKEGTGGGLNEEGSSSGGGMAVSGNWKGGLHMIVAGNKEDHSSITQARDQRMIIESLISIIPPQKDSVSCSFLLQLLRMANLLKVAPALVTELEKRVGMQFEQATLADLLIPCYNKTEAMYDVDLVQRLLEHFLIQEQMDGSSPGQHERKRLCRVMDCQKLSMDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASTSIKDTNAGDSHYQPMVQNRKTLLEGTPQSFQEGWAAAKKDINTLKFELETVKTKYLELQNEMENLQRQFDKVTKPKQQSAWTSGWKKLSKMTKMSTLENNDVGNQSNVAQAARKNPRRWRNSIS >KVI10915 pep supercontig:CcrdV1:scaffold_1908:74294:75295:1 gene:Ccrd_010684 transcript:KVI10915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAASSRHRKFMEDTTKATAIDRYCTTQCNLPLNPTGVCVSTCHYICPSICRVIDSSPPPSSSSFSPANSPYPSLPITKHHHPPLSLPLRISLIFLFVTFSLFFLYTLCKLSVVWCRSRCHRTPPPPSPENYETNDNELVDHPIWYIRSVGLQSSVINAIAVVNFSKDDGVVEGSDCSVCLSEFETDETLRLLPNCKHAFHVSCIDTWLRSHTNCPLCRAAIVNNIVDSPSPELNTDEVGLMGEPDLGISLPVDDNRGEASESAELRIGETDEEDANSITKAEVLIIRRSVSVDDLEVSDHHEIPIQSVDGDLGIELVKIDQITDNNHPMRDGK >KVI10911 pep supercontig:CcrdV1:scaffold_1908:40975:41736:1 gene:Ccrd_010682 transcript:KVI10911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATRAHKLHTSLRGGYEYNGPTIVDCQNYKRDCDNFVGRGDAKTFHGFCFLHVDNHNCNVVVGSTLFSCDLLNNTMFKKQFFKLV >KVH91568 pep supercontig:CcrdV1:scaffold_191:233370:240867:1 gene:Ccrd_006398 transcript:KVH91568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTVCISPATFTGIRLSSSRSRSSKSSISFLSFLTNPNRNFVSKHISSLSSSSMASSTLQVHASSSTGAASEELDSSYAATVGANDLLIVGPGVLGRLVAEKWREEHPGCQITGETITTDHHEELIKMGIHPTLKGVKRDHKFPYVIFCAPPSRSSDYASDVGGAASLWNGEGSFLFTSSSAPYDRYDNGECYEDSPVVPIGKSPRTDVLLKAEKIVLDAGGCVHKADRGMHLYYLKVGTIEANAHHIVNLIHYEDAASLSVAILKKKLRNRLFLGCDNHPVSRQEVMDLVAKSGKFDQTFVGFTGTDGLLGKKLNNSRTREEIGWEPKYTSFAHFLGVAGIFSWNNVH >KVH91580 pep supercontig:CcrdV1:scaffold_191:312158:315779:-1 gene:Ccrd_006406 transcript:KVH91580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MLWVGTIAPIITYQPLMAFFFDEFQHLKIQLEEIKSATDNFADDKAIGKGGFGKVYKGEISHTNGRRMVAFKRLDSRYGQGNSEFWKEIMMLSRYTHENLVSLLGFCDEGADKILVYEYASRGSLDRHLSAXTLTWMQRLKICLGVAKGLSYLHDPMGTQQRVLHRDIKSSNILLDENWNAKVSDFGLSKIGPANQQHTAVVSNVVGTIGYLDPLYLEKGVLTKESDVYSFGVVLFEALCGRLCFEYRNGQCQTLVRLWKQKYKESNLDEIIFQDMMQQMDVSSLETFSDIAYQCLQKDPKQRPPVAHVVEKLKIALQFQEIYEVMELPKEYSAIIKTALTPLIFRSEEELKRLLSEGILVNDGKTNSREPTFFELKYQLGDEMESSISYLAYGRENGWMTVGDEVLEDEKVDMQPTSDSDAYWEQKLPNDYKQIIKWSKDTTKWTTTQELYRLFCKGFLISKGNGFLINNGDEWFSLSKNGKKCPMLSARATLVKSDWEWEPLPESRFKEVALNCAIQFSIICKIRSQILSPQSVYACYLVYKITTNHSEFVPPLQVVDKRHGLSDRHLASLWYIYLLSPQTRVINAKDGQNTHNPSNIPTVKVLPRLRNDGWMEVQIWEFQTGTFIEMISMHFELRSAPLCFWPLKGLIVQDIRLVVQGIEFKPL >KVH91588 pep supercontig:CcrdV1:scaffold_191:81818:98485:1 gene:Ccrd_006389 transcript:KVH91588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med14 MAEIGQESVEFSTLVSRAAEESYLSLKELVEKSKSSDMSDSEKKISILKYLVKTQQRMLRLNVLAQWCQQVPLIQYCQQLASTLSSHETCFTQAADSMFFMHEGLQQARAPIYDVPSAIEVLLTGTYQRLPKCTEDVGIQSTLTDKQQKPVLKKLDTIVRSKLLETSLPKEFSEVKIFDGTVHLCVQGEFKVLLTLGYRGHLSMWRILHLEVLVGERSGLVKLEETRRFVLGDDLERRMAASDSPFATMYSILHEFCVALIMDTVIRQVQALRLGRWKDAIRFELISDGNPGQGSATGSVQMNQDGETDSGGLRTPGLKILYWLDCDKNNGTSDAVSCPFIKIEPGQDLRIKCLHSAFVIDPLTNKEAEFSIDQSCIDVEKLLLRAIWCNKFTRLLEIYKELGENSHIRRAAGDVLLHCPVDQPGAEYKACIYQVVEVVSVVGGGLHLVLVGLVGDVADNKSDSEGQEVLRVRAYGSSFFTLGINIRTGRFLLHSSRSTIAPSALRECEEALNQGSITAAEAFISLRSKSFLHLFACIGRFLGLQVAVFLACKRKKKKPILLLVYEHGFSPVKVPKHILNGSNMLLMGFPDCGSSYFLLMQLEEDFRPLFKLLETQADPPGKPESSGVLNQVTRIKNVDVNQMHMLEDELNLSLLDYGALTLPANAVGTNQTSENGLSDLSVEGSGLLSAFSSIVDEVFEQERRSSAPAFPVQSFNTTFNTTTNHGSGPTISSSPKWEGGPQMSHIDNPAGSSWNGALYPTSNYKGRIPSVSTSSIAPAPGRSTVMKKLPASKSDQDLASRSPHSAEVGSYITMEEDQLGVAGSRSSRLSSLPQSSGPRVSAASARANAFRNSVTGTPAPAPDSPMCTSSLPAVVSKYDNGSRKRALMDMLDLLPSLKCPEILEGSFKRRKITNSVSTQRSSLQTQSPLGVVNNIEKYRYVNLIAEANKGDAPSSIYVSALLHVVRHCSLCIKHARLTSQMDELNIPYAEEVGLRNASSNIWFRLPFARGDAWEHICLRLGRPGSMYWDVKINDQHFMDLWELQKGSTSTPWGSGVRIANTSDIDSHIRYYADGVVLTYNSVESDSIKKLVADIQRLSNARTFALGMRKLLIIKSDEKTEESRSSSDAKAPPGAKSTSEAVDKYLEQMRRAFKIEAVGLMSLWFSFGSGVLARFVVEWESGKSGCTMHVSPDQLWPHTKFLEDFINGTEVSSLLDCIRLTAGPLHALAAATRPARAAPVSGVPGSASSVPTLSKASVYGQMPNNSNNVGHSSAPGGNPGASAISTLGTHGHHGSAMLTAASAAGRGGPGIVPSSLLPIDVSFVLRGPYWIRIIYRKYFAVDMRCFAGDQVWLQPATPPKGGPTVGGSLPCPQFRPFIMEHVAQELNGLDPNFTGGQQSVGPTNSNNSGAPSSPQVSASNGNRVSLAGPTGMSRPGNQPVGFSRATNAMPTSSTSSLGSSGLALRRPPGTVVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHSQQQQQNSVIAQEELSQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLVQTQGGDIPPAQRSRIELCLENHSGLYTDGNSDNSSVSKSNIHYDRPHNAVDFGLTVVLDPAHIPHINAAGGAAWLPYCVSVRLKYSFGDNPSVCFLGMEGSHGGRSCWLRLEDWEYCKQRVIRMVDMNGSSGGDANQGRLRMVADNVQRALHLCLQGLRTGGGGSSGGGGGVATGGNTT >KVH91582 pep supercontig:CcrdV1:scaffold_191:286301:297618:1 gene:Ccrd_006404 transcript:KVH91582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQSTCDRFHHGYSSQTNHNGVRHTISSCSRKQQYAAQSPGQLFLHSCRHFFGLQRSSLIIAIRVNLSAIDERIENAPTQFRSMFGTSDSPPTASLIKGSGNRIIPFAPLTLRIFLFLVLML >KVH91590 pep supercontig:CcrdV1:scaffold_191:29893:30504:1 gene:Ccrd_006387 transcript:KVH91590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGGADSSQGASRRKVLVHLPTNQVVTSYEVLEPMLTSIGWERYHNDPDLLQFHKRSTTHLISLPKHFNKLKTMHMVSIICV >KVH91581 pep supercontig:CcrdV1:scaffold_191:318995:319207:-1 gene:Ccrd_006407 transcript:KVH91581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MVAFKHLDSRYDQGNSEFWKEIMMLSCYTHENLVFLLGFCNEGGGKILVYEYASCGSLDRHLSATTLRWM >KVH91572 pep supercontig:CcrdV1:scaffold_191:140500:140904:1 gene:Ccrd_006394 transcript:KVH91572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MSKSAGLLVICAVILVAHMIALSFPAVDAASSGGDHHLGWIPTTTSTVGSACRGSMAECLAEGGDLDIDDMEFAMDSESHRRILANNRRYISYGALSRNNVPCSRRGASYYNCRSGGQANPYTRGCSAITRCRR >KVH91576 pep supercontig:CcrdV1:scaffold_191:102489:103655:1 gene:Ccrd_006390 transcript:KVH91576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPPPDQEHNTPTPTPTLKYKTWVLKVSIHCVGCKRKVKKVLQSIEGVYTTDIDSKQHKVTVVGNVDVDTLIKKLVKTGKHAEKWPENPTKKEKSAAAGKKERGSESSGNSSDEETNNPPTDQNGNELKNTPNKTGGPSVKFAGVPENHPVQPSDGMSGGGGGGGGGGGGGGGGGGQSGKKKKKKRKGKQSSGAKPPGGPADTGLVAPEAGNNQVVDQVHLSPPRGYSYPMPGGPAYAVCYNEAHPSGNGGPAYYIPPTPYTYEYTERDDDDDFGTLSRPSDTFEMLSDENPYGCYIM >KVH91575 pep supercontig:CcrdV1:scaffold_191:128616:130761:-1 gene:Ccrd_006393 transcript:KVH91575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGVEKPVTPTTTIANPIINLHISVLLRQFPVAMDDHRTPATPTILEYYHDTVNVQSKATMLSSFLGDDGRHVLILDCTIFHPQGGGQPSDTGFITDVSSSRFKFFVQDVRIKNGIVYHYGVFEKIDEDLEGGVQVVLSVDESRRKLNSRLHSAGHLLDVCMQKVGLSHLEPSKGYHFPDGPYVEYKGTVPQTELQSKQKELELEANNLISKGGKVSLCF >KVH91573 pep supercontig:CcrdV1:scaffold_191:168131:169498:1 gene:Ccrd_006395 transcript:KVH91573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase MLDRIFGTRRTRQFQRILRNGKVTLLCLVLTIVVLRGNLGAGKFGTPEQDFKEIRETFYQYRGKRAEPRRVLEELQTTTEQTASSATNNYAEFDINKLFVDEEEDVKKNPNEPYSIGPKISDWDEQRGEWLKQNPSFSNFLNANKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDKNLVLHGWNEMVYDQKNWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGKVRDEAGKILTRELKDRPVFEADDQSAMVWLLASQKDRWASKVYLENHYYLHGYWGILVDRYEEMIASYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRTRNDSSNPLEVKDELGLLHPAYKVTKISSS >KVH91584 pep supercontig:CcrdV1:scaffold_191:265058:277277:1 gene:Ccrd_006402 transcript:KVH91584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQTHQYPNNNISHQQRSYGGGGGRGGSTSTASSSMEMARQPSQQHSDNEQSSGELRPLDCNLTSLCDHIQMEGFNKGSFSDVVVQAMGATYHLHRLILSRSSYFRNMLHGPWKDANAPVLTLHVDDNNVNREAIAIALAYLYGNHPKLDDSNAFRVLAAASFLDLQDLCAICTDFIISELWTTNFLAYQVFAEGQDYGIHGERVRSACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVPSEEKRLKDEGEGRDTAQTILVELADRVVDSETGPTDSKRQVQESGGDQSNMESRCQCNTEQATAIPRTNEMSYSSSYQDVSFGNGVNMLGGDTMAMEGPSEEGPCYQLNNNSWLGGGQKFGNAMSSCNDLMSNEWGRCGMPLSWGGRIVGRRELKTCAKGICGLSSDEYDTFVNIFEGGSLLYCNMTFEALLNVRKQLEELGFPCKSINDGLWLQTLLSQRVHEIGADTCKSCCLVSMACQCRPQYGFARTGYYMQEHDQNTAATGIGDVYVTDSSQGEGNGLIRPVRVHVRGTINGLVGIGRGSTCVPATAWPPRFVFSRVRFHMGNRNCQQSLGNDDPENRADHNGEHAGDGLTALVGLSQGTSNTANVHGEQIERGYEADVNGRLVGHSIASSSSSGIPVQVMDSSEHAIGVEWENESSSISLDMKTPLSHFPPFRFAVEFQEVHRLNDGQVKHSPEHFYAGSLWKVSVQAFNDEDPQGRRTLEFYLFNCSNEYPCQYSCIILFGISGLFLHRRKAEIPESLRKVHMYVDAREKVTARYQVKSK >KVH91578 pep supercontig:CcrdV1:scaffold_191:324203:328481:-1 gene:Ccrd_006408 transcript:KVH91578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MRVVRRFLCTSMHPVEASIVNLSDSPLTWTQRLKICLAVLSYLHDPNGMQQRVLHRDIKSSNILLDENWNAKVSDFGLSKIGPANEQHTADVSDVVGTLGYLHPLYLEMGVLTKESDVYSLGVVLFEVLCGRLCFEYSNGQYQILVPLWKQNYEQNKLEEIIFQDLMQQMDTSSLETFSDIAYQCLQKSREQRSMMTHIVEKLEIALQFQEMYKVIELSMEYSAIIKTAVTPLSFRSEEELKRLFSKGILVNGRKTWFSRNKNGEVGEYMPGKNSRDSTFIKLKYQLGEETESLISYLAYPRENGWLAVELYQFTSGSTHIDLNIRFESLMYDADPIHPNIIIEGIEFRPLEKVEHDVLKDEKWFSLAKNGKKCVTLPARKTLVKSDWDWKHLPESSLKKMTKGHLSFRPLEMVTGVWREVGRDGRSAYHELS >KVH91586 pep supercontig:CcrdV1:scaffold_191:246453:250226:1 gene:Ccrd_006400 transcript:KVH91586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVHRAAAVAIPSSSVTPTTSASPIPGKSFVLVSSLSSSFPSRRRRTNHLRQKILKTLENKPYPDPSNPDFPRKLPTTSIDETVQQQLAEADQLSVSETTGFVDGIVAQFSPRSFVKIGFFLVGAFILQTICAVMIFGSNDLEGEDGNLNGDDFKQPRVLDRTNESKSNSLLSKNRNLIETKDGGMVLVDESEMDNKITEIKEMAREARNLEAKKKGLVEEGDDDIDYDLIDKKLKEKEVDGRLMKLRKSLEGNYEKLPPKFPTKESDIRNNGEKGTSAAEEAFGSLMFKKKYKYKSPSIDSGDKPKGFHGEDHNVANGTLINGISSDNGVDISDSNEQIDVPNGEGSEIKVQKPIDESIPFSEPENKSVERSERAEPRVSKTESIAGSSKEPKYDKQAGRAAKYGKSSNLEARKPRGFGKESQSDNATSSKHNGSLKGKKGGNGKSAKHLGDMKSSTQTDFWWTSLPYAVLMQRGGDGEESEGLFTLRSTLETKSGLSHTVAFEDRGDATNFSYLLESFFEDLGDFSTSIIPIPTNELKEAIKSQIMKVVVVKKGQLQLYIGQPLTDVESALRKLIEENDRL >KVH91591 pep supercontig:CcrdV1:scaffold_191:6407:6730:1 gene:Ccrd_006386 transcript:KVH91591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGAGSLQGASRGKVLVHLPTNEVVTSYQVLEQMLTSIGWERYYDDPQLFQFHKRSTVHLISLPKDFKKLKSMHMYDIVVKNRNVFEVRDS >KVH91574 pep supercontig:CcrdV1:scaffold_191:115826:118848:-1 gene:Ccrd_006392 transcript:KVH91574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonyl/alanyl tRNA synthetase, class II-like, putative editing domain-containing protein MVDVVSRKNLIQKSFLGGVSGLGGTKFQGSRPRIVKLGDFPGCPCGGTHVSDISELGNMKVTQMRTKKGVTKPTAQWLNLDPLRKTDF >KVH91571 pep supercontig:CcrdV1:scaffold_191:193436:217458:-1 gene:Ccrd_006397 transcript:KVH91571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MCTINWSGISLILSYKTVQVLSFRSCSSFTKSSFSIIDFRRCSSMDLSSLFHFTYCYIYPRSAFCTLSKRRSRGPVMAAKKESKGTKQGDGKYKHTVDLPKTTFGMRANSAVREPEIQKLWDENQVFKKVSEKNNGGSFILHDGPPYANGDLHMGHALNKIIKDIINRYKVWLQHSLCTVIFETRDRKMHLILNFLVHDLIVRRVLSLDQDARKGLTPIKLRAKAAKFAKATVKAQMASFKNVSIYTVCEQRFGVWADWDHPYLTLDPEYEAAQIEVFGQMVFRGYIYRGRKPVHWSPSSRTALAEAELEYPEGHVSKSMYAIFRLLSTPTNGGLLDEFLPRLCLAVWTTTPWTIPANAAVAVNSKLQYAVVEVQSLSPDAASSSEGGKTRLGSVLKGNERPFFIVALDLVPTLEAKWYVKLAVKKTVLGSDLENCRYAHPINGQECPVVIGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLTILSPVDDEGKFTEEAGIFSGLDVLGDGNAAVIDYLDERSSIVMVEPYKHKYPYDWRTKKPTIFRATAQWFASVEGFREAAMDAINQVTWTPSQAENRISTMTSSRSDWCISRQRTWGVPIPVFYHAETKEPLLNEETVNHIKSIISQKGSDAWWYMPVEELLPENYRDKASDYVKGTDTMDVWFDSGSSWAAVLESRDGLSCPADLYVEGTDQHRGWFQSSLLTSVATKVDPRTIIEGGKNQEEAPSYGADVLRLWVSSVDYTGDVLIGPQVLRQMSDIYRKLRGTLRFLLGNLHDWKAGNAIAYDELPEIDRHALFQLENVVKNIKESYESYQFFKIFQVKNVGSGTTSYGYDLRTICSGTTSRERDTSIRGASSFTRRSCQTVLAVHLLSIARVIAPILPHLAEDVWQNLPFEYTVDNADVAKFVFESKWPAVNERWLAFPEEDVDLWEKVLELRTEVNKALESARTGKLIGSSLEAKVYLHSSDDILAARLTRMCEANIDADSLHRIFITSQVEILSSLEDAPTANIPYSGEYLIEGEKKIWIGISRAEGSKCERCWNFSPQVGSFVDHPTLCRRCYDVIADQPLPALAAVS >KVH91577 pep supercontig:CcrdV1:scaffold_191:106601:114526:-1 gene:Ccrd_006391 transcript:KVH91577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MFPWAIAIQRPQLDNDIEIEMMHENSVLDPGGEMEVSFGYQCNTTEGYDIPTGKKLQRNNSSFSCLSGAAISANATLANTNICNGLISSEILPSIDSPKSFRRIPSSPSFSKLDLLSSSFQSSMSNLSCSPSTPETLDFDSFLSKSLSAPSRNEDFLNAIEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTFYETIRYNLNSLDMEFDSFKPDDYFLGDGNMGSEKRSLSPKTRVLDSLQHALNQAENDFLYMVEQEMEDRPDIVSVGSCVLVGLLHGKDLYILNLGDSRAVLATDDDETTIEDFKGLKAVQLTDSHTVDNESERNQLISEXPDDPKTIMHGKVKGKLKKVLNDALMGILRVRNLQSPPYVSVQPSLYMHEVSSSDHFVIFGSDGLFDFFTNDEAVKLVHSYISTKPSGDPAKYLLEQLVLKAACSAGFSTEELMSVPAGRRRKYHDDVTVIVIILGTNKRTSKASTCI >KVH91570 pep supercontig:CcrdV1:scaffold_191:180558:191855:1 gene:Ccrd_006396 transcript:KVH91570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MDTLPAENMRHHQNFTVASISLLSSNPPKPVSGPLSATFGSASGFPELQFFLDPEGQHSLNFDLRTAQLFRLGEVQSLCVSEGSETIKEKTYSKGVTVQFKEEEESSSFHDAFEQWKKEVVVQGSTLPNGTVSSSKSKFDDKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGSYYAAVIENQVDFAGRVVVDAGAKHVYAVEASEMADYAQKLIAGNPILGQRITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPNGKMFPTVGRIHMAPFSDEYLYVEIANKVVAFRGWLFDLFNALFWQQQNYYGVDLTALHGTAFQGYFSQPVVDAFDPRLLVAPAVSHVINFTTVKEEDLYEINIPLKFIASVGTRIHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPIYVMPGQEISGHLHMIAHSAQSYTINLTMSAKMWGPGAEQGGILQTSSCRLDLKEPYYRMSQPQAYSVAQDQQPNQLIYNFPPWMKTGRISSNNHQMIPVSQSVEER >KVH91583 pep supercontig:CcrdV1:scaffold_191:287120:297502:-1 gene:Ccrd_006405 transcript:KVH91583 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S binding domain-containing protein MADRLTRIAIIKEDRCKPKKCRQECKKSCPVVKIGKLCIEVTSASKTAYISEELCIGCGICVKKCPFGAIEIINLPKDLDKDTTHRYGVNSFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFSNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERGVKEELCVDLQLNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPDSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLEGKVPTENLRFREVSLTFKVAETPQESAEEIETYARYKYPTMSKTQGGFKLNVAEGEFTDSQIIVMLGENGTGKTTFIRMLVGLFFLVKKAGILKPDTIEGTDVEIPEYNVSYKPQKISPKFPHSVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIHLNITFRRDPTNYRPRINKLDSTKDREQKGAGSYYYLDD >KVH91567 pep supercontig:CcrdV1:scaffold_191:371582:375215:1 gene:Ccrd_006410 transcript:KVH91567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MAFFFDEFQHLKIQLEEIKSATDSFADDKAIGKGGFGKVYKGEISHTKGRSMVAFKRLDSRYGQGNSEFWKEIMMLSRYTHENLVSLLGFCDEGADKILVYEYASRGSLDRHLSAXTLTWMQRLKICLGVAKGLSYLHDPMGTQQRVLHRDIKSSNILLDENWNAKVSDFGLSKIGPANQKHTAVVSNVVGTIGYLDPLYLEKGVLTKESDVYSFGVVLFEALCGRLCFEYSNGQHQTLVRLWKQKCKENKLDEIIFPDLMQQMDVNSLETFSDIAYQCLQKAREQRPLMAQVVEKLKIALQFQEIYEVMELPKEYSAIIKTSLTPLIFRSEAELKRLLSEGILNSRDPTFFELKYQLGDEMDSSISYLAYGRENGWMTVELYHFTSNSTCFDLDILFENLMYDLDRTPLKIIIEGIEFLPLDKVEDEVLEDEEEDMQPTSDSDAYWEQKLPNDYKQIIKWSKDTTKWTTNQELYHHFCKGFLIKGNGFLINNVALNCAIQFSIICKIRSQILSPQSVYACYLVYKITTNHSEFVPPLRVVDKRHGLSDRHLASLWYIYLLSPQTRVLNPKDGQDTHNPSNIPTVLLPRLRNDGWMEVQIWEFQTGTVTEMISMHFELRSAPLCFWPLKGLIVQGIEFKPL >KVH91566 pep supercontig:CcrdV1:scaffold_191:376681:389407:-1 gene:Ccrd_006411 transcript:KVH91566 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-containing protein MGHADHLHEEEEEEHFDVLTKTGLKTGVSKPRSAVHREGDYHRAVHVWIFAESTQELLLQRRTDCKDSWPGLWDISSAGHVSAGDTSLITARRELQEELGVNLPKDAFEFVTNDGKFIDNEFDDVYLESEVSAVKYISVEDYKHLLAKGDPHYVPHKMDGQYGQLFDIITKRYQDNTEGRTLLLQKKLNRYAPISLTAELTGLTEEDKEALVLLIQAAKTMDDIFHQQVWYSNPSLREWLNGHAQMSELDMSCLDENEAFLTTADSAVKLLLEATKPVAGWKGLEYKAAFPMLKPPGANFYPPDMDKMEFGLWVKGLSEIEYQDATGFFNVIRRHSDSDSNNIALSNNSTSDLYISPYSQEYSAFLAKAAELLHKAGDLTRSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDELFGYKATFEAFIGIRDDKATAQVKLFGDQLKVLEQNLPMDNIYKSQDVISAPIRVIELVYNSGLILQPIADLCITKEQRELVGFDSFFTHTICHECCHGIGPHTITLPSGRKSTVRLELQELHSALEEAKADIVGLWALNFLIAKDLLPKSLVKSIYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLFEKGAFVLHPDQTFSVDFDKIGGAVESLSREDE >KVH91569 pep supercontig:CcrdV1:scaffold_191:240919:241329:-1 gene:Ccrd_006399 transcript:KVH91569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KVH91589 pep supercontig:CcrdV1:scaffold_191:60257:61279:-1 gene:Ccrd_006388 transcript:KVH91589 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MADRSLELTIISAKGLNKVNLVGKMDVYAVVYIDGAGNQEQKQKTHVDKDGDSNPTWNSPMKFKIDESAALQNRLTLVVKIKTEGMFGDKDLGEVHVPIKELLEGVSSGGKPLQFVSYQVRKPSGKPKGELSFSYKFGEKAAGYGKVEEPITAYPAGKPNKAEEPITAYPAGKADKKDEPVTAYPAAGSSSAYPPPYAAQGPYPPAAAASGLYPPPTSVAGSYPPAGAAPGAYPPAGGGYYPPPAGYPQAQAGHPYQQQPGYGGYPPPPPGYGGYPPPGPGYGYPPVQQPQQAQKKSKFGMGLGAGLLGGALGGLLIGDMVSDAAGGGCGGGCGGGCGGF >KVH91587 pep supercontig:CcrdV1:scaffold_191:250688:252097:-1 gene:Ccrd_006401 transcript:KVH91587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MALRPLDNTLPLSPERPKKNLKVSNSIAKPKQLADPTANDENIAPLASVTPVVDSIDYISSEDLKPISDADVKFQSLIEGLESKDWLKICDSLNDVRRMALYHSTLLLPILEKVLLILVKAMKNPRSALIKTSIMASSDLFKSYGDKLLESTTSDAVNHMILQLLLKASQDKKFVCEEAERSLNTMVVSTTPIPLLQKLKGYVNHGNMRVRAKAAVSISRCVSKMEMEELRDYGLVSLVQMSAELLKDRLPEAREAARSIVLLIYSAVMEEEDESKEEEKQQEKWQKFCQSNLSAIDALAMAKLVASQ >KVH91585 pep supercontig:CcrdV1:scaffold_191:283442:286198:1 gene:Ccrd_006403 transcript:KVH91585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIRWACKFVALKTTLSSFPLKITPFFICNNHLLFDLPRFCHGSCHPFDEMPQRTPGHSSVGFFDVLDLVEICKVTPNVRNVGNVHVLAVKVGVLAHLPTSTSLVMAYARAGHYRSSVAVFNEISFKDVIIWNAMMTGSIENGRFRDAASVFVQMLREGIKFDSVTLVIAISALSRITYRLAYLQAVHSFGLKEGLLLDCDLYNALINAYARRGDLGSSERVFLETDVKDRISWNSMISGCLSNDHPEKSLWYFKKMVSCGKQVDNVGLSCAIASSTCLLDSHIGNIVHGLGIKLGYDESPHVSVLNALVSFYSKCGDINAAYTVFRGIYAKDLVSWNTMINGFASNGMILEAFHLLREMQFRGSVEPDTVTILAVLSLCAESMLLRKGKAIHGFVTRRLRGSDLLLINCLMNMYSKCTKMAEAESLFVSIRDKDLVSWNTMISGYAQNGESRFAQFLFKKLLYQSLDCTLSTVLAVLCSCNSPNFLRFGKSLHSWQLKLGFSNNILAVNSLLCMYTNCGDLKASYMLLLSVSTVADTACWNAMIAGCTQNGYFIEALETFKLMRGEEHAKHDSVTLVSVISACGNLELVFHGKLAHGFALKTSVDGDVRVRNALITMYGRLGDVDSAMIMFELCCNRNLCSWNCIISALSQNKEAKTAIHLFQNLDFEPDEITNATILSACTQLGTIRYGKQIHGHILRSDFHRNCFIVSALVDMYSNCGRLDMSIRIFHTSPQKTVASWNSMISAYGFHSEGQKAIEMFEEMIKSGEIPTKTTFINLLSACAHSGLVDEGLTYYARMFDGFGIEPVTEHHVCVVDMLGKCGRLTEAYEFIERMPVRPDQGVWGAMLSSCNYQGDLEMGKKVADILFGLEPKNAGYYVSLANAYVAAGSWSDAVKLRTFVQDVRLKKPAGYSLVDVGS >KVH91579 pep supercontig:CcrdV1:scaffold_191:344584:359635:1 gene:Ccrd_006409 transcript:KVH91579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MLYSSPVSILRSSAASRSALVVAWPLAQRSYLPHLFPVQQTDSKFDKIGARHNLVKVTTARTITCASASSMASSFSPEKARVPPAIELPVPPISKFKIGLCQLSVTADKERNIAHARLAIEEAAEKGAKLVLLPEIWNSPYSNDSFPVYAEDINAGEDSSPSTTMLSEVARSLKITIVGGSIPERCGDKLYNTCCIFGTDGKLKAKHRKIHLFDINIPGKITFEESKTLTAGETPTVVDTDVGRIGIGICYDIRFQELAALYAARGAHLLCYPGAFNMTTGPLHWELLQRARAVDNQLYVATCSPARDAGSGYVAWGHSTLVGPFGEILATTEHEEATIISEIDYSVLELRRTNLPLQKQRRGDLYSLVDVQRLNSQ >KVI07962 pep supercontig:CcrdV1:scaffold_1911:62465:64824:1 gene:Ccrd_013672 transcript:KVI07962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLVLRFVGQSKSKSTIAFAINDHMLNVHGYQKVIFDGNGGSGGKTRAFEVVVVALKKVGPVYEGIFEEFDGKDCEANVVLCNRS >KVI07963 pep supercontig:CcrdV1:scaffold_1911:28150:39259:-1 gene:Ccrd_013671 transcript:KVI07963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESISSTIGFLPGSFTGSRDDIVGRIMLIWAQLKAPFVVPFLRILMYVCLVMSIMLFTEKVYMAMVTLFIKLTGRKTEKRYKWEPFKDDVELGHSVYPLVLVQVPMFNEKEVYQLSIGAACGLSWPSDRIVIQVLDDSTDPLVKGLVEMECQRWAAKGVNIHYQVRDNRNGYKAGALKEGLKHRYANECEYVAIFDADFQPEPDFLWHTIPYLHHNSELGLVQARWKFVNSDECLMTRMQEMSLDYHFKVEQESGSSGYAFFGFNGTAGVWRMAALNEAGGWKDRTTVEDMDLAVKNELPSNFKALRYQQHRWSCGPANLFRKMVFEILTNKKVPLRKRIYVIYSFFFVRKIVAHIVTFVFYCVVIPASVMNVMSLHRTKATFIGLFEAGRVNEWVVTEKHGDASKAKAATKQLLMLEISVGCFLVVCACYDLAFGKYRYYIYLYIQAIAFFIMGFGYVGAQVPNS >KVI08471 pep supercontig:CcrdV1:scaffold_1914:88041:95218:-1 gene:Ccrd_013157 transcript:KVI08471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTVALLLLSFTLLFSLFSAFQSDELLVDDEQFGLGKRPSPELDLSISSSSPSIPSRSHSPPKPIRKRSADSNSDSRVQFALEHAFGDSDDFSTAGTFTARLKTYGGHGTLTKLRFTRNDLSTIEQEKFKKLLENDDFYTIRVPSDVLNPPGRDYVISSVKARCLPNDGLDENFIIHMPAKWSFNSHAVLKYSEQAPRRPMFSEDIGSGEMGEDEGWMYFIPLVIIVVNAFTQAMNMPEEQASAQTQQPVGAAMQRR >KVI08472 pep supercontig:CcrdV1:scaffold_1914:37776:39887:-1 gene:Ccrd_013154 transcript:KVI08472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLRINSERRLFNGVCNTQFNSLVNHRHLSYKSHEESHTDWFPPAPPPLSPSSSKSVVRTVCSLVCQSYYQQQTHFKSSPTQLNLSIGSEYLMAEEAITVVASLADEAGSMVALSFFYWAIGFARFRHFMRFYVVAATSMIENGNSERAHEVMRCMVRNLAEIGRLKEAVSMVIEMRNQGLEPCTHTLNCVLNVATEMGSIELARKVFVEMSERGAFPDACTFKILITSYCKLNDISQVDKWLTAMLDKGYFIDNATCTVVLAAFSEKGNINRALWFFYKMIGMGLKPNVINFTTLINGLCKKGRVKQAFELLEEMVRDGWKPNVYTHTALIDGMCKKGWTDKAFRLFLKLVRSESYKPNVHTYTAMISGYCKEGKVNRAEMLLNRMHEQGLVPNEDTYTTLISGHCKSGNLSRAYELMDEMSKEGSPPNMCSHNAIIDGLFRKGRVQEAYKQLEIVLKQGLEADEVTYTILIMQKCKQSDIKHALAIFSKMIKIGIKPDIHLYTTLISGFARIKRMEESERLFDDAVKHGLVPTKETYTSMICGYCRDGKVDSATKMFERMSEHGSVPDSITYCALISGLCKGSKLDEARLHYDAMMDKGLSPCEVTRLTISYEYCKVNDSLTAMKVLDRLEKKLWVRTVNTLVRKLCSEKKVEIAASFVHKLIDKDKNIDQITHRAFLTACYDSENYAIVSDMSERISKGIG >KVI08473 pep supercontig:CcrdV1:scaffold_1914:41609:48268:-1 gene:Ccrd_013155 transcript:KVI08473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKKFKLSLSDSLSLKEIKEGHRFNLTCKVLHICEVKEGEWMLFVWDGTDAPPLNVHTKLDDEVENPLPLQLEASPLSRDVLCTFPSVGTVLRMTAEQCNEKLGLHILKAGRWVQFRNINFKVHSGLWCGILQPFSKFSYLPKNDNLVLQCQRAYDERVKRKWDRMPLSSFPWPSHITDTDYPDVPFVTLMDILSYPEVTAKFRCVVRVLATFPGQPSNFRAPCGTYRLRLTLEDPTTRLHAFLYAEDAVKFFGGYPSLYKMIRLQNALLGVKEGAKKPRNPPWIQCCLKSYYVDKTNVWGSRKYRIFGTQLID >KVI08474 pep supercontig:CcrdV1:scaffold_1914:79048:82393:-1 gene:Ccrd_013156 transcript:KVI08474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MPILERDEYDDYKFLRIVDAMASINQKVNLIGVVIETGLPKKSKGTDCVWTLKIVDESHPSPGISVNVFAENFEKLPRVESAGDIVQLSHVVREIEPGDVEDNCFMCTELDGSIISYVHKGVKSLKEDFKSLRKDMETLNKVMNKLIRIYEEPLKKRTPTFEIEEDDERCKEGEERRKKKRSYKEEGLVRQA >KVH96965 pep supercontig:CcrdV1:scaffold_1916:22798:27256:1 gene:Ccrd_000941 transcript:KVH96965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MGFSFNRLTNLHLVSFFLLLFNLRVFSQLNNSSIRLGDVIRDGETIVSENQIFQLGFFSPRNSSFRYVGIWYYGMPDQTVTWVANRDAPISGDSGVFGIQSNGGLSISDQNGTVYWSTDSFPEVGNVTVMLVDTGNLILSTVENAGDDRNALWQSCEHPTDTYLPNMRVYVNITTGDSASFVSWRTPNDPSTGNYSMVVDPRGSPQIVTWDNSRRRIWRSGQWNQQIFTGLPQMRSLLLSGFRLVQDDGGLMYFIFNNPNRTLLMRFMIQWNGVVQQLTWDEGRLLWVVPLSLPSTQCQEYNRCGSYGICSLNNSPSVCSCMQGFELNSSDQCTRRTPLECGTNSSTSDGFLRTDGVKLPDFGNTFMAQNQGECEDGCSRNCSCDAYAYVSGVGCLIWGGDLIDIEQFEEGGETLFVRLAGSELGNFFYTCLPIPFTCNSSSITLWNWSKISAQSRTGFHRKCGGNTSQTVVIAISITGTIVLGVFIWITWRYRRNIKEFTNLCGKKMNFAPLVQQSNGLNISANSERAGGLSVEGKPFEGTLYSLATLESATDGFANKNKLGEGGFGPVHKGILPGGQKIAVKRLSKWSGQGLMEFKNEMILIAKLQHRNLVRLLGYCIDLEENMLVYEYMPNKSLDTFLFDMKKKEHLDWKTRFLIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEDMNPKISDFGMARIFGGNQNEANTVRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIISGQRNTGFHAQDSTNLIQHAWNLWKNGKPEELIDPSILDSCNKKEALQCIHVGMLCVQFSAVHRPTMSSVVYMLESENRSLPLPTQVGNTSLNADEMDLVMEGRYTTFSSNDITFTEVVGR >KVH87956 pep supercontig:CcrdV1:scaffold_1917:9003:69208:1 gene:Ccrd_024663 transcript:KVH87956 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-actin capping protein, alpha subunit, conserved site-containing protein VLVSSFSEISEDEYLDPRTAQVGKVDHVSHSHSHTYLDPRTAQVGKVDHVKQPVLLSPPPTNPPEFPISFSTSPNPIQYMAKGRLQRPFLFTTKRTSFLSSSLIEVICTEIRPARDEELPTPYIEDYRCALDAEVSKYVAESYPKGVCSVYCTSGKDVEEPGFDFELVQWKLALNMEH >KVI08526 pep supercontig:CcrdV1:scaffold_1918:31925:48192:1 gene:Ccrd_013102 transcript:KVI08526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MAASCFSASASLELSFLRPETAANKLRFSISRRNALRKLNLGARIRALKREEVVIVEKEDGKIDLGGNGSYKYVNGKNSNGSVAGENESLIKYVNGNGNGNGGIGMKIGEEVEAKRVEKKKKTIEEIGQEDAWFKRSGDGNLGKVEVSVAPGGRWSRFKTYSTIQRTCEIWGFVITFLFKAWLNNQKFSYRGGMTEQKKVQRRKILAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIIEEELGAPVNEVFDYFAFEPIAAASLGQVHRARLKGQELVIKVQRPGLKGLFDIDLKNLRVIAQNLQKIDPKSDGAKRDWVAIYDECANVLYQEIDYTKEAANAELFASNFKDLDYVKVPRIYWEYTTPQVLTMEYVPGIKINRIQALDQLGVDRQRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEVFYGVYEKDPNKVLQAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLVAQRKERELATAELGFKKPLSKEEKVEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGIEVVIKDFKKRWERQSQAFYNLFRQADREQGDLKLRVRALESERSFQRVAAVQKTIGSCSCWKPSKPGNYFISQFNQRSCYDIISLLCIFRYPSSLWPAEGQKTRSAGEIDYWNSLNKLLKHFLCCP >KVI08527 pep supercontig:CcrdV1:scaffold_1918:74305:74853:-1 gene:Ccrd_013105 transcript:KVI08527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MTGKRTQTGSTRTLSSSNVSDGSSKDQERLLPIANVGRIMKKSLPGNAKVSKEAKETVQECVSEFISFITGEAADKCQREKRKTINGDDLLWAMTTLGFQDYVGSLKSYLSKYVGTCDSSSHTDIGVTEIGCDVSDSTPAQSISQGFNDLYQIVGHGDELISMVKLHEAKTVMMTQDQRFEW >KVI08529 pep supercontig:CcrdV1:scaffold_1918:94707:94943:1 gene:Ccrd_013106 transcript:KVI08529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MYYNLYTRLAREAGAIVVSTYLRLAPEHRLPAAVDDAYYTPPFSGFKTWQTVKPTNHGCHLRETLSVFSSLETAPAGT >KVI08522 pep supercontig:CcrdV1:scaffold_1918:599:2941:1 gene:Ccrd_013099 transcript:KVI08522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRQIEAIKHAYAMRMNLGDPDFVNAEKWNQIEEDGTSHMSIVDKERNVVSMTCTINSYFGAKILSPSTGIILNNQMADFSIPTNLSKNVPPPAPSNFIFPGKRPLSSISTTIVLKVKAVLGGSGGIKIPPGTVEVFLNYFVRGMDPFSAVMAPRTYHQLFPNVVQYEKWTTVSNDHFEIDEETKAALRGKGHVLESFYGGTMVQLVVQEEAKDGKMGMLIAGKLRAREGLRSCVGG >KVI08523 pep supercontig:CcrdV1:scaffold_1918:21713:28396:1 gene:Ccrd_013101 transcript:KVI08523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MGLQKNLLNKKTLNNVVKTIWVSDKFNPSSLRRFSSLQQPEIPPFDYQPVPYKGPLADELNIVEGKMQYLFDENGKRYLDAFAGIVTVSCGHCHPEILSAIEEQNKLLQHATTIYLHHAIGDFAEGLAAKMPGNLKGEIHHVVNPNPYRGVFGSDASRYAQDVQDHIDHGTSGSVAGFISETIQGVGGAVELAPGYLKPVYEMVRKAGGVCIADEVQTGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIAQVMAQKIQFNTFGGNPVCSAGGLAVLRVLDKENRQKHCSDVGSHLIGRLKDLQQKFDLIGDVRGRGLMVGIELVTDRKEKTPAKAETAVLFEKLRELGVLVGKGGLHGNVFRIKPPMCFNKDDADFLVDALDYSISKL >KVI08525 pep supercontig:CcrdV1:scaffold_1918:50648:55625:1 gene:Ccrd_013103 transcript:KVI08525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MRMRMRLLLLLFLWLFFSDVAASPEEVRALLEFKKGISEDPLGKVFDSWNVSSSDPASVCPQFYGVSCDENGLVAAIVLDHLDLAGELKFFTLYSLKMLRNLSLSGNRLSGRLVPALGSMYSLQYLDLSRNQFYGPIPARINDLYGLNHLNLSNNNFTGGFPNGIQNLQQLMVLDLHSNSLWGDIGVLFSELRNVQFVDLSDNSFYGSLSMDVGNISSVVNTVQHVNLSRNRLSGSFLSADSLVLFRNLHVLDLGDNQLNGKLPSFGSLPNLQVLRLSNTQLFGPIPEELLESMIPLKELDLSRNGFSGSVPRINSSTLTTLNLSSNELSGSLPSSIGNCEIVDLSNNLLSGDISVIQEWESPIGILDLSSNKLFGNLPNLTSHFKGLTVLNVGNNSLKGSVPPFLISSPSLTLLDLSMNELDGSIPTALFTSMTLTHLDLSNNRFTGPIPLQGSQEKSLIVISSYPHMEFLDLSYNSLTGTLSSDIGNFRRLRSLNLGNNELSGELPNELSKLGELEFLDLSDNSFKGKIPNSLSSLLKFLNVSGNDLSGRIPENLKNFSDASFFPGNPSLRSAGGRPPSGGDFPSQAQDGAKGQNSKSSIRIAIIVASVVAALMIAFVLLAYYRAQLGDFRVKTAFGGQMAGRDIKNGISSLPTTSLSFSNAHLLTSNSRSVSGPSELGGDNVEPVVPPGYAASSTSMIPNLIDTDPAPSGRKSSPDSPVASSPRFVETIEHAVTLDVYSPDRFAGQLFFFDPRSHLSFTAEDLSRAPAEILGRSSHGTLYKATLGGGHMLTVKWLRVGLTKDKKEFAKEIKKIGTMIHPNVVRLLAYYWGPREQERLTLANYIEGDSLALHLYETTPRRYSLLSFNQRLKVAVDVARGLSYLHGRGMPHGNLKPTNIILEGPQYDARLTDFSLHRLMTPAGIAEQILNLGALGYRAPELASAPRPVPSLKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRGMDCIDRDIAGGEQQSKAMDDLLEVSLRCILPLTERPNMRQILEDLCAISV >KVI08528 pep supercontig:CcrdV1:scaffold_1918:67418:72472:1 gene:Ccrd_013104 transcript:KVI08528 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g64930 [Source:Projected from Arabidopsis thaliana (AT5G64930) UniProtKB/TrEMBL;Acc:B4F7R3] METPPSPPQPPIEADVASAAKPNFGDCNMTLKENHTGQNSTSNVIVIKKKMKNKKKRKDVQKSHVDVSSDHPQRSLNASSSSSSSIATSNLLHPRSKGIRLSSNRRNPRVSSGPVSRRQIGSEADALALPLGMSIAAFVAQVLERKDATGEKMSADYLSQICTLAVKESLFNVFGDKFDCFVSNFERSFQSTLMTLRVISESSRNKEERPYHNGEGSSTCGSGGNDFNFDTEGNRSTCQDQTTIVGEDEEIVHRDPVSRELAVLDDSRYVQQLACIAPNQLHSGGNQSMLSTLERSVSEQTRSNDLKAFEISLIMKKMKLKEAQIAVDCDSNYLERFKLSMGISKANFRAEKFKTELEDSRHAQLLKKCVDCLVAGLLIMLACLAYGTYIHSHQRLIEATESCMPVKESSSWWIPKPMASFSSGFQILQCQVQVISRMLFGVLMIIVITFLLIKRSGTTNQTMPVTFILLLLGVGCGFAGKFCIDTLGGSGNHWLVYWEVLCLVHFFANVCTSMLFFILHGPVTVTERSVSSKLFPYWFRRFVFYAMVLVWLPLLCGLIPFAGPGEWLEHFGSLIESRVS >KVI08524 pep supercontig:CcrdV1:scaffold_1918:2705:3459:-1 gene:Ccrd_013100 transcript:KVI08524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVLRMRITSTPNQSLRFHFMKLRAVVFPLSPVHPKLTVHPSTSTTTTAPPPRICYRYLQPPSTTHTTPQSLPSSQLPLVAKNLANLLKS >KVH88950 pep supercontig:CcrdV1:scaffold_192:211028:213299:-1 gene:Ccrd_024679 transcript:KVH88950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MESGRRKTLVPSLLFLLILIISLSNQSLILNATIITKCHFPAIFNFGDSNSDTGGLSAAFGQAPPPNGETFFHRPAARYSDGRLLIDFIAQRVGLAYLGAYLDALGSNFTAGANFATGGSTIRPQNTSRHQTFSHGLYTFDIGQNDLTGGLLLNLSIDQVKASVPDILGQFKTVVKDVYDKEGGRSFWIHNTGPIGCLPYVLEHLPIRAGQMDINGCANPFNELAQFFNRRLKELVGQLRKELPEAAITYVDIYKARYALISQASKYGFDHPLRACCGYGGKYNYNTHIGCGGKVENKGKEILVGKSCEDPSVKIIWDGIHYTEAANKWVFDRIVNGSFSDPSVPLQMACHRK >KVH88939 pep supercontig:CcrdV1:scaffold_192:258835:262395:1 gene:Ccrd_024686 transcript:KVH88939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMTTFFGMSLGAFVFWQSMDKVHVWIALHQDEKQERMEKEAEIRRVREQLLQENKERESLA >KVH88936 pep supercontig:CcrdV1:scaffold_192:295383:305164:1 gene:Ccrd_024689 transcript:KVH88936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MDPSTSRPAVVIDNGTGYTKMGFAGNVEPCFIAPTVVAVNESFITQPNRSSNKGSSSWMAQHNAGVMADLDFFIGEEAVSKSRTSSTYNLTYPIKHGQVDNWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTPPESREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVAEGYVIGSSIKSIPISGKDVTLFIQQLMRERGEHVPPEDSFEVARKVKETYCYTCADIVKEYNKHDKEPSKYIKQWRGIKPKTGAPYACDVGYERFLGPEIFFNPEIYNKEFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFQRRLQRDTKKIVDARSHPVEVNVVSHPIQRYAVWFGGSVLASTPEFFTACHTKAEYEEYGASICRTNPVFKGMY >KVH88952 pep supercontig:CcrdV1:scaffold_192:147967:148830:1 gene:Ccrd_024675 transcript:KVH88952 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEDALSKLSGFIGSPSEMDHRLLPNIATGTATFQKRCNTNTTPTAPAAKRATVKDNNGGMKYRGVRRRPWGRYAAEIRDPQTKERRWLGTFDTAEEAAVAYDSAARAMRGNKARTNFFYPPPLPEFLIPAAFASNKTQFQPFIPSEFLYHNSCNTSTTTTDATATTTTTTTMAINHDDYKDFFPTEPDDSGLLDEVLTGFYPKPNKKSGSEPFSPLMTTNSVPKTVESNNPIEGFLIKNQQFEMDQRLNGVGWMGFYGDQMEAFGIQESIFDDTFANHSETVSFLRA >KVH88945 pep supercontig:CcrdV1:scaffold_192:380692:382546:1 gene:Ccrd_024696 transcript:KVH88945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQKQSNTNTSLFRESIKALEADIRHANTLTFAPFLLYLIDWMDYSCTDSLPSYLGLLHILVYKVYVDGIQTMSIQERKATLREFYGLFSFTLVIVVLVF >KVH88937 pep supercontig:CcrdV1:scaffold_192:237522:242026:1 gene:Ccrd_024684 transcript:KVH88937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRNRHLRSDRNMFSASDDNAMMKHIVATHSPDGTLFNVRPLIQIIEDIMQRVDPVAAVDATAHLHVHAHATTTELFHLLSNYGWDAKAAITLAAFAMNYGEFWLVAQLYTANPLAKSLAHLKQLPDIIEHGEVLKPRFEAVTTLIKAMLDLTLCIVKFKELPSQYISVDTPELATATAHIPTAVYWIIRSIQIEAYETLLRVMDTPHLDNIKPLKHLIYLKDDQLPLYKSSTKSRVSIDVVRKKIVLLLISDLDISPDEILLLDQMYKEVGVGPDQFEVVWLPVVPNHKSLPWTEVNQKKFEVLQNMMPWFSVFHPSLLDPAAIKYIKEVWHFNHKPLLVVMDPHGNIVNPNAMYMIWIWGIVAFPFTRLREESLWRDEDWRIELLVDSIEPMILDWFTKTARSVAKAAGIQLEMLYVGKSNPKDKVRKINDTVQREKLSDVLPDLNLIWYFWVRLESMLHSKLQHGKSFEDDPILREINVMLTYDGNDQGWAVICRGSKEWMRRANGDTVLTSLTNHSEWEEDAGKRGFLPALNDHLEANRSEHHCNRLILPGTTGMVPERVVCAECGRPMERFILYRCCTD >KVH88934 pep supercontig:CcrdV1:scaffold_192:56979:57314:1 gene:Ccrd_024669 transcript:KVH88934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAEETMESETTAKKWVIAGIQFMSPLKPIYTKKEIGKTHEEEGNKEEEEEEKECCTTPTTPESRIPCLLKCPGAPMKRKAVSRSHCNGVRDYFKPPDLESVFIRCIERA >KVH88944 pep supercontig:CcrdV1:scaffold_192:230889:235969:-1 gene:Ccrd_024683 transcript:KVH88944 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MMKGKKFNNTKKNQNGHKSKRFKSDKPDPFFDGDSKRRRNFEDNDDDSIKSSDSDDYDDREVAAAVDDDDDVAGTELGAEETAAEKRKRVAEAYLEKMRAAARREEEEGEEYEVDERGGGEGGERDSLVARMLQAQQLEDSGRVRKLIASRVQNPKTMDGFDVLVKHRQSVTAVVLSEDDSKGFSASKDGYIVQWDVNSGKTEAYAWPSEDVLKSHGAKDPQGRAKKRSKHVLALAVSSDGRYLASGGFDRHIHLWDTRTREHIQVPFQTGKILNGHKGPVSCLTFRQGTSELFSGSYDRTIKIWNAEDRTYITTLFGHQSDVLTIDCLRKERVPEESQLVFRAPASLLECCCFISNDEFLSGSDDGSIEHWSVMRKKPLHIVKNAHPTLTPNKLDGVQNDNLHPVPEKLCSSAHSWVSSVAVCRGTDLAASGAGNGVVRLWEIESDAKGIRPLFELPLVGYVNSLAFAKSGKFLVAGVGKEPRLGRWGCVPAARHGVALHRFQLS >KVH88957 pep supercontig:CcrdV1:scaffold_192:80774:84291:1 gene:Ccrd_024670 transcript:KVH88957 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein YIRRHIHFTTHHSHVILVAAKSSISDAIDYLTNKTQFDPEIFLQLNQKMRERTWPYRSETSHFSTLPSNSTQKIESSPSKIPQFRPSFWPCSRKSDHRDFSALPNDVLTRIAANFSLPDLQTTSLVCKSWRDGLRPLREAMLFLWWGKRLKHGRGGVKRDMEKALDSFLKGVARGSTLAMVDAGLIYWEMGKKEEGVNLYRRAAELGDPAGQCNLGISYLQGVGLLQSHHQARKWMKRAADHGHSKALFEHGLALFSEGEMMKAAVYLELATRAGESAAAHVKNVVFQQLSPSSRQRALDLTDNWRALPSSR >KVH88946 pep supercontig:CcrdV1:scaffold_192:356294:367030:-1 gene:Ccrd_024695 transcript:KVH88946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDMVYYPHGRCSYEESLKVLEADIQHANALAAAIPRAKDGARFQMKLVYNELAPLVMFLLQWIDSSCTCLLPRYLNPVHVRVYKVFADGRPKISRHGRKATINDFYAVILPSLRRLHCDLVELDYPSGGGSKGANSRTEISDQKKPETEICFTNLDVERENECGICLEPCTKIVLPNCCHAMCINCYRDCFAPKTEVLRLPVRMKLQFKGFQANTRIYASKSSPKKLRKAKEVQKLVRPQLIEGPPDEPDGLAEKSSPTGSINNLESQASIAIASRSSVLQACTVTSVNFEMWHLQVVAGLVILISSSRFLLLKTWSDFAESSEASNQQVLTSLEPLDYALVAFLPGISENAMAVAALFGILHLGSGRKFSFAIWATFVGLAYGYATIISSSLIVPMASHAINNLIGGVIWRVTHESHHQKREV >KVH88938 pep supercontig:CcrdV1:scaffold_192:246956:248308:-1 gene:Ccrd_024685 transcript:KVH88938 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MKLRSLLSTCSATICTTTTTPQKENSEPPQPKLLFYETSSTISDATTAATSPSLDTSYNYSSGSSLHSNLSLQTLPSVPSLQKFSPDTLNLTVSHGYLTSLKPSPAAHVNFLAVHNHLLYAASGNRIHVFNTTTFALLETLTVNNSSSGSVKSVTFQNGNVLTSHQDSKIRVWKLMENKRHKQSATLPTLEDRLLRSILHKNYVNVRRHRRRLWIQHQDAVTGLAVINDNLMCSVSWDKYLKIWKTSNFRCVESIKAHDDAINAVVVAADGTIYTGSADHRIKVWGKPIGENKHGLIATLEKHKSAVNALAINDAGSVLFSGACDRSILVWEKEDSANHMAVTGALRGHRNAILCLLNVSDLLFSGSADRTVRIWQRGFDGKFCCLTVLDGHRQPVRSLVADSDSQGSGSGSESIIKVFSGSFEGEIRVWKVVVSNLASPMSSHLFKLRL >KVH88942 pep supercontig:CcrdV1:scaffold_192:223417:226250:1 gene:Ccrd_024681 transcript:KVH88942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCIFLRVHLLLFFLSKIANGDVLFSSLTHSLYVVASPTDGQVLKAGEDRITVSLGLNPTNQTDDESYKNVKVKLCYAPISQVDRKWRRTVDNLEKDKTCQFTVWDKPYDGNNQTFEWEVEKDIPTAMYFVRAYAYNSSHQETAYGQTTNDKKTSNLFKVEAQLPQRFRPSPPSSVPTVTPILPVHTQEPTAHLPISSPASSIPPRVSPPPPAAQGRQGIGLSRYPSPPLRVPPPRKTKRLTWLVAICCVLFWIVVILGGLILLIVYLAYRPRYPKFDIASASLNTVYLDLGYLLNGDLTLLANFTNPNKKVNVEFRYMVINLYFEGTLIAARYVEPLSVSRRGYELRDVHMVSSQIPFSRRHVARLNEQMRTGRIMFETKSFLRTTSTLGGFFRYSYWLHGHCKFVVSGPPSGVLVAKSCVTKR >KVH88949 pep supercontig:CcrdV1:scaffold_192:307578:314557:1 gene:Ccrd_024690 transcript:KVH88949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAEEQLDYGDEEFGGSQKMQYHGDGAIPALADDEIGGEDDEYDDLYNDVNVGEGFLQMQRSEALPSANVGNGGFHDQKVNVQEARPEAMPSQDINIPGVAAEGVYPSTGLRLPDNKEGMTVDLLQKRMVPQVTNDVQAVSMGFRGSITVPQKPSVDPISMSGAITNEPAPMLNSNAGHSIGGVPQMPGNQMGANANVNMTRPVVNENQIRPPAENGATTLFVGELHWWTTDAELENVLSQYGRVKEIKFFDERASGKSKGYCQVEFYESGSAAACKEGMNGYVFNGRPCVVAFASPQTIRQMGASYANKNQGTAQSQPEPQGRRPMNDGAGRGGGTNFSGGDAGRNYGRGNWGRGGQGVLNRGGGHMRGRGAMGPKNVNAPGFGSGPAGGAYGQGLGGPAFGGPPGGFMHPQGMMGGGFDPTYMGRGAGYGGFPGSGFPGMMPQFPGVNTMGLAGVAPHVNPAFFGRGMGAGGMGMMGTSGMEGPQAGMWGDTSMGGWGGEEHGQRTRESSYGGEDGASEYGYGEASHDKGARSNAVSREKERGSEREWSGNSEKRHRDDRDQDRERHDKDYKYKEEKDNYREHRQKERNAGYEDDWDRGQSSTRSRSRSRAMPEDDHRSRSRDADYGKRRRMRSD >KVH88955 pep supercontig:CcrdV1:scaffold_192:161696:166598:-1 gene:Ccrd_024676 transcript:KVH88955 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MKFMKLGSRPDTFYNSESVRSVSSEVSSDLVVQFPLLSKCLRLQRLCSESPESSQHQIIQLPDFPGGTESFELCAKFCYGITITLSAYNIVSARCAAEYLQMTEDVEKGNLLYKLDVFLNSCILNGWKDSIVTLQTTKPFHLWSEDLGITSRCIEAIASKVLSNPLKVSLSHSYSRRGRDKDDISCNGNTSKGWWAEDLSELGIDLYWRTMIALKAGGKVPANLVGDALRIYASKWLPNISRNLEKERDSNGEPTPSNSKSRLLLESIISLLPMERNAVSCSFLLKLLKAANILRASSSSRTELARRIGLQLDEATISDLMIPSLSNDMMYDVEVVLNILEQFMLQGQSPPTSPPRVKGRFERHRRSRSANNGDFELQESRRSSSASHSSKIKVAKLVDGYLQEIARDASLPLSKFTALAEAIPDFARLDHDGLYKAIDIYLKSHPNLNKTERKRLCRILDCKKLSMEVCMHAAQNELLPLRVVVQVLFFEQARAAMAGGQLTDLPSNIKALLAAQDDASSRPHVSLSTNRSMVQPEDQWSISGLKSPKSNLSTLRMKLAEDDNLGVNFHDGISKANSSKVKQLCSIPNRPKRMFKRSVNKTIWNE >KVH88943 pep supercontig:CcrdV1:scaffold_192:226963:230323:1 gene:Ccrd_024682 transcript:KVH88943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine MAMTIVEETGGQYHVLLIIADGQVGSVEGQLSSSQERNTINAIVRASKYPLSIILVGVGDGPWDKMMEFDDNIPSRAFDNFQFVNFTEIMSKNVDPLKKQTEFALTALMEIPSQYKATIELNLLGYVQIFC >KVH88927 pep supercontig:CcrdV1:scaffold_192:345042:352270:-1 gene:Ccrd_024694 transcript:KVH88927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MQPSWLIFFFCFLGFLSLSKLIFILSRWVFITFFRPPKTLTNYGSWAVITGATAGIGKAFAFQLAQKGLHLILVSRNLAKLRVVSDEIMSVHPTTKIKIFAVDFSGENVVAGVKEMKEVIDGEGLDVGVLVNNVGVTYPMAMYFHEVEEEVWMKVMKVNVEGTSFVTKAVIGGMVERRRGAIVNIGSGAAIVVPSHPLYAIYAASKAYIDQFSRCLYVEYKNSGIDVQCQVPLYVATKMASIKRSSFFVPSPSGYAKAGLRWLGHEPRCTPYWPHSVIWALLYSLPEAAIDAWRFNFCLKIRKRGQLKDSRKTE >KVH88929 pep supercontig:CcrdV1:scaffold_192:31363:39486:-1 gene:Ccrd_024666 transcript:KVH88929 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex, mu/sigma subunit MAGAASALFLLDIKGRVLVWRDYRGDVSALQAERFFTKFLEKEGDSDSQDPVVYDNGVTYMFIQHNNVYLMTASRQNCNAASLLLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIHYKKNEVFLDVVESVNILVNSNGQIVRSEVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRSTKGKAIDLDDIKFHQCVRLARFENDRTISFVPPDGAFDLMTYRLSTQVKPLIWVEAQIERHSRSRMEIMVKARSQFKERSTATNVEIELPEYMLRAEFSLPSITAEDIVPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRVM >KVH88959 pep supercontig:CcrdV1:scaffold_192:95308:103057:-1 gene:Ccrd_024672 transcript:KVH88959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MASTSEKATSLLLREVTSSSAADVVQDWTTDPSVGDQIRHRGTATSVGDLIRPVVAQSSAATAAAKGGTGPTSMVRAQTRHPLDPLAAAEISIAVATVRAAGATPEVRDSMRFIEVVLVEPAKSVVALADAYFFPPFQPSLLPRTKGGPIIPTKLPPRQARLIVYNKKSNETSIWIVELSEVHALTRGGHHRGKVISSKVVPDVQPPMDAVEYAECEAIVKDFPPFREAMKRRGIEDMDLVMMLMLRMPNLYFRCVGYHSEADAPKRRLAKPLIFCRTESDCPMENGYARPVEGIDVLVDMQNMVVIEFKDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLNIIQPDGPSFRVDGHFVQWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYVKYFDAHLTNFTGGVETIEDCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLVDGKIEAEVKLTGILSLGALQPGEARKYGTMIAPGLYAPVHQHFFVARMDMSVDCKPGESHNQVVELDVKVEGPGDANVHNNAFYAEEKLLKSELEAMRDCNPSSARHWVIRNTRTVNRTGQLTGYKLVPGSNCLPLGGAEAKFLRRAAFLMHNLWVTPYKSDEMFPGGEFPNQNPRVGEGLATWVKQNRSLEETDLPHGFFNCSPAVDVPPSSLADLELKENGMVEKACHNGLVSKM >KVH88940 pep supercontig:CcrdV1:scaffold_192:265187:268325:-1 gene:Ccrd_024687 transcript:KVH88940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGDPGFGRFPITLNPTAKEFRPAVPYTQNGDLLLPRLFYSYPYNFPPSPPSAAAVMVSGPHPTLPPPSPVPTRALLLSSVPTDVSESTVRRELEVFGDVRAVQMERLRDGIVTVHFYDLRQAAEALKEIQEQHMQQQFRLRKHFDGIMLSSPVNPPEPYIHHSPPLPPPAHGLLSGRAIWAQFTFPVAAGLPDGYNQGTVVIFNLDSTVSIGKLTEIFESFGCIKEFRESPLKKNQKTVEFYDTRNAAKAVAAVNGQEIDGKVVVVEFSRLGGGQKYNYKQNKFHPINSGGVPPLHINVTRKFLPAPIVPYRPQPPPSPPHYGKDRVVDYGGIGGDSVDFVGGGQKKNGKGFFKPHNQSQSPEQQGRWVGGGGGCNGGRNKIFKGSRYSREKSDPRFLIKEDGTISSFQDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQMEAAGGDQPPSSYDFVYLPIDFVNKCNVGYGFVNMTSPEAARRLYTAFHHQNWEGLEALKEHFKNSKFPREAEEYMPVVFTPPRDGRTVTEPMPIVGCSSTVTVTSPPPSSSSEVGGDDSTDGRSFLDGNVLVEDVKCDDGNGVTAATTTSTAANGGD >KVH88935 pep supercontig:CcrdV1:scaffold_192:279213:286556:-1 gene:Ccrd_024688 transcript:KVH88935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MNPLCCIAPVSIEKDRTNSPSSAVVKPQSECQLGFESSVRSVRPASFSAQVSSVGTESDNVIHEVEDSEIEVREKDSSISKSMGFCGNGGGVVAGILYKWVNYGKGWRSRWFTLEDGVLSYYKTHGPDKIVVSPVREKGIKVIGEESIRYLRKCSNGSNHNRLGAKQWKPYGEIHLKVSSVRASKSDDKRLSIFSGTKTLHLRCISRDDRAAWIESLLAAKDKFPRLSTGDLAPSEDMVISTDKLRSRLSQEGITEEVIKDCESIMLGELAALQNQMKALQLKHIVLLDTLRQLEVPPLNSKTEKIELETTVVDETKERDSSCGQDRRFSDFYSIMSEGSGTDSDADNESRYGVDIESDEENGTFFDTNEFMSADVLRCASYRSRESTGNGSTSLGNEKDSFLSGRLRGAGTEINLIQYPSIKRRDSLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSCLVDRALEWGKKVSSTELEVVLMQGNDLMRILSIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGNYSCKLKFKEQSIIDRNPHQWDEAMYYVLGDPTTKPKGYDPMTEAVLLWERDKSTTKTRYNFTPFAISLNEITPGLREKLPPTDSRLRPDQRHLENGECELANAEKLRLEQLQRQDEDGCFHYVGGYWETREKKAWDGIPDIFGQSVECAAGGGGGDLA >KVH88933 pep supercontig:CcrdV1:scaffold_192:47576:48059:-1 gene:Ccrd_024668 transcript:KVH88933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKTSLLDLLTRLIALLLVCLLVHDTLHGHFTVPGCSCDCNCDTTRQNANWQQGTIYTESNKWSKRMKPSSLPLMWSSAVIPKPLEQSA >KVH88947 pep supercontig:CcrdV1:scaffold_192:343344:344093:1 gene:Ccrd_024693 transcript:KVH88947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MKDTPTQSRSVVSRCFFLSLLLSLSCFIFLSLKSTYFLKKPISVDGGADLKIRPGYTSYDNYIHHQLRKTTNPKLRKIWTTRDWDRKIRVFTQFFSDLKHKKLLTNSSKALCVGARVGQEVEALKRVGVWDSIGLDLVPYPPLVIQGDFHRQPFDDETFDFEFSNVFDHALYPEKFVGEIQRTLKIGGICVLHVALSRRSDKYSTNDLYSIEPLLKLFNGSDFVYSRKVDGFGLDTEVVFRKRKGIQRS >KVH88928 pep supercontig:CcrdV1:scaffold_192:319516:325919:1 gene:Ccrd_024691 transcript:KVH88928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MALAFDEYGRPFIILREQESKSRLRGLDAQKSNIAAGVAVSRILRTSLGPKGMDKMLQSPDGDITITNDGATILEQMDVDNQIGKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRVAEGFEISSRIAVDHLEQISHKFDFSATNIEPLVQTCMTTLSSKIVNRCKRSLAEIAVKGVMAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIIVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQERKYFDDMVQRCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKAFGTTKDRMLYIEHCANSRAVTVFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGAAEISCSIAYAIRAFADALDSVPMALAENSGLQPIETLSAVKAQQIKEKNPCCGIDCNDAGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >KVH88930 pep supercontig:CcrdV1:scaffold_192:43252:44033:-1 gene:Ccrd_024667 transcript:KVH88930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSPLLAILIIHHLLQANSASIQPDPGTVISGRNRYLRTLPSSPPSPELDRSSHYFSFFQPPPPPTEQMQSTTTNFRVVRKGSPPAPKVSRPRNFRIPYLPKPRPPLSPLLPPPSPPLVAGPPTKYNIPPPPPKSS >KVH88951 pep supercontig:CcrdV1:scaffold_192:203389:210157:-1 gene:Ccrd_024678 transcript:KVH88951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MILSSVAPQFHHHRHHDVRGQVVYRSATTITAVHCCNPNSAAALRQVNSSNNNNSNTREDDVVTISSASAVAAAIRKASTSPVEFVQRIEQDGKNKGLVLPSSDFQTLCVEQLDLFRRIVDPDALLSVYVRPAGSYVMDRLELRRVTIYPGRNVADIVIVIGNFSIATGLRAAEASLLKQEAEFIPESRALVFPMVKHPFVVGFLVAEIPKLEIAKEENDVKQGSSSEESYAVYPYAIPKSWEIQSFVDKTLEMHNFSAEQRLNAINISRSVAIAYVMDQKAMLLQQSSWQNNIRMSNLVEQIRGPLASIRTLSKMLSVNMKKTETSYDIVEDIMANIVRYNEENLMKIDESTHESVRSQLSNVFTKDTSIAKAREYGGPFSLSSKSNDLEMPMPPLVLAPSPCNASDIVSDLVGALEPLAHKQQRVIQICELPRSLEVAVEEPALRQALSNLIEGALLRTNTQMHSLTPFGTDLLSKGMVEDNMTWNFIAGLTVAREILETYGCVLRVISPRFIEAALGAGGTRIEIWLPSSSSSPKDGPAQVP >KVH88956 pep supercontig:CcrdV1:scaffold_192:84986:88340:-1 gene:Ccrd_024671 transcript:KVH88956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDFATGEDHHHQPIEHLLSSPIVLSERIRQAVDESKSYKLECSDVGKLVDRLSQMLRSFVRLTNSTPSFYDRPVRRVFSDVTRNLNRALTLVRKCRRRSVFLRVVTIVGAADFRKVLNILDASIGDMKWLLSIFDASGDISAGVVLSLPPIASNDPILAWVWSYISSLYLSPLNVKIEVANELCSLANDNDRNKKMIAEEGGIPPLMKLLKEAASPESQIAGATALFNLANDRDRARLIAGDVGVSTIVQEFGKSPIRVQIAIAKLIARMAENDPLSQEGFARENVIRPLVTLLSFETFVDEDKLHDQYRYRYQKQSIHSILEINKQITRNYQVGSSSSSTWSMNRKERESEPPELKLELKTSCSKALWMLARGNLANCRILTDTKGLLCLAKIIETETGELQINCLMTVMEITLAAESNADLRRATFKTNSPAAKAVVDQFLRLIQESEKSEITMPAIRAIGSLARTFPARETRVIGPLVKQLSHQNPEIGLESAIALGKFVCPDNFLCTEHSKTVIEFDGVVPLMRLLRGSEKTQYHALVVLCYLALHAGNSEALVQARVLTALEGADRAIVGQHSELKELVVQAIYHLNIKTKNLLEQ >KVH88941 pep supercontig:CcrdV1:scaffold_192:216073:219253:-1 gene:Ccrd_024680 transcript:KVH88941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific eukaryotic initiation factor 4B MPKVWGNIGAWAAEAERAEAEEKEQAATAAAAPPQSYPSLKEAVSTNKGKKKTKMTLQEFTMAGSGGLGGGGSSRELAFEYKGLTPEEMMRLPTGPKERSPEEMQYGRLGGGFSSYGGGRGGPRMRDRDGDGDGSWGSGRRSYGGFGDDRRGPLPRVSEYDQPSRADEVDNWAMTKKPMAPSYDSVTRNNRYGSHGTGGVGGGFSKADEIDNWAASKKPLPPARSSSFSPGFRDSAGSELDRWQRGVVPRDGDHERPSERRRLVLDPRRSENAPVEPLAKTNKPNPFGAARPREEVLAEKGLDWKKVDLEIESKKSSSRPTSSHTNSRPGSAHSGSSVEGPAPAALQGLEKTRPKVNPFGDAKPREVLLQEKGIDYRKIDLELEHRRLGRSETEAEKNLKEEIDTLKRDYENEYGGDTNKESLETGNEKQTSLRDRIVEKERELEQLTLDLDNKVRLSQKPVESPGSGGGRSERSASQSGSVDDSRSVDFSETPRSRGTRDPWIRTGDEKRGFGGGRDRGFSGNRDLGRTKSRERW >KVH88954 pep supercontig:CcrdV1:scaffold_192:194571:197112:1 gene:Ccrd_024677 transcript:KVH88954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MAFHLSKFLNKVYSMAEGGSRYCSKKSDDVCGEDSGRSSTMSRVRCILRGLDLKTLIFLFVLVPTVIFGVYVHGQKISYFLRPLWESPPKPFNEIPHYYHENVSMENLCKLHGWKTREFPRRVFDAVLFSNEVDLLTIRWHELYPYVTEFVLLESNSTFTGLPKPLVFSGHRDHFKFVEPRLTYGMIPGRFHKGENPFVEEAYQRLALDYLLKKAGIEDDDLLIMSDVDEIPSRHTINLLRWCDNIPPVLHLRLKNYLYSFEFLLDNNSWRASAHRYRSGTTTYAHFRQSDVILADAGWHCSFCFRRISEFIFKMKAYSHFDRVRFKKFLNPDRVQKVICKGADLFDMLPEEYTFKEIIGKMGAIPHSYSAVHLPAYLLENASDYRFLLPGNCLRESG >KVH88953 pep supercontig:CcrdV1:scaffold_192:130089:133004:1 gene:Ccrd_024674 transcript:KVH88953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MVGPSLNAPKPPATATIKLLCSYGGRILPRYPDGKLRYHGGQTRVLAVVRSISFSELMVKLGELCGKPVSLRCQLPTEDLDALVSITSDEDLVNLVEEYDRAASLQSSSLKIRAFLSVPKKCSQTPSTASASGSGSSSSTGSPTLEAASPKSPPLSASYSAARFPVTTTNRCIHPTSKPPLKLPFCYNRSDGKLPYYAYRNSNRFCLVHNGNYWQ >KVH88931 pep supercontig:CcrdV1:scaffold_192:4584:9404:1 gene:Ccrd_024664 transcript:KVH88931 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MAVLKTEEPCFCFHCFHFHHISRQAGSKCKHRQQQKQQVFYSSSSLQITSVFVFILLLLSLVFPATSAKEFRPDHQLFRPREESNKMKLVKNRLMKINKPSVKSIQSPDGDTIDCVLTYHQPAFDHPKLKGTKPLDPPERPEGHDRLEMSSEIHQLWTLSGELCPEGTIPIRRTTKQDILRANSVRKFGRKIPKPIRRDTSSFGHEHAVGYVSGNKYYGAKASINVWAPKVTNRYEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQTTGCYNLLCSGFIQTNNRIAIGAAISPRSVYNGGQFDISLMIWKDPKHGNWWLEFGSGILVGYWPASLFTHLRDHASMVQFGGEIVNSRASGSHTMTQMGSGHFSGLGFGKASYFRNMQVVDWDNNLIPLSNFKVLADHPNCYDIRGGNNRVWGNYIYYGGPGKNPRCP >KVH88958 pep supercontig:CcrdV1:scaffold_192:109612:119481:1 gene:Ccrd_024673 transcript:KVH88958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MENRATEPLLDADHDAKTAITSFHHPSNASFSSSFVADADDIPPITGINDFLIQFGIESRKLWFLSGPAIFTSVCQYSLGAITQTFAGHVGTLELAAVSVENSVIAGFSFGTMLGMGSALETLCGQAYGAGQLDMLGIYMQRSWVILLVAALFLMFIYIFATPLLKLIGQTEDISNAAGGLALWMIPQLFAYALNFPISKFLQAQSKMMVMACISAVALGLHTLFSWLLMLKLGWGLAGGALMLNLSWWFIVVAQLIYIFSGACGRAWSGFSWAAFHNLWGFVRLSLASAIMLCLETWYFMALVLFAGYMKNAEAAVDALSICTNIVGWVVMVAIGFNASISVRVSNELGAAHPRTAKFSVVVVVVSSFLIGLLLAIILIIFRHQYPRLFADSAEVQHAVNKLTPLLAACIVINNIQPALSGVAIGAGWQALVAYVNIACYYIFGIPLGLALGFAVNLGIQASMAGRRIKEWGGHGMIGTAAANDFARIDEAAKQVE >KVH88948 pep supercontig:CcrdV1:scaffold_192:328090:330564:-1 gene:Ccrd_024692 transcript:KVH88948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPSIQYHNLPDQPIPTIVTSPGPSFQRQTRHCFGDATPGEFPLSANPSIVLHVLTGCNLDPQDLAKQPAHFAPDHELCLSELAALDMCQKRAIFKPMTLDQRNELKIRCGGSWKLVLRFLLAGETCSRREKSQAIAGPGHSIAVTSKGLVYTFGSNSSGQLGHGTTEEEWQPRVIRSLQGVRIIHAAAGAGRTMLISDCGQVYAFGKDSFGEAEYGVQGSKQVNTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKETKLGHQTEPTDLEPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGTKNDEKQPRLIEQFQTLNLQPMVVAAGAWHAAVVGVDGRVCTWGWGRYGCLGHGNEDCDSVPKVVESLSNVKAIHVATGDYTTFVVSSDGDVYSFGCGESSSLGHNTAEADAQGNRHANILSPKMVTSLKQVKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGVELVANQTERPTPERVELDLS >KVH88932 pep supercontig:CcrdV1:scaffold_192:26434:28822:1 gene:Ccrd_024665 transcript:KVH88932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allinase, C-terminal MYEAFWRKMGDKCTVVIKGYEHLSYFSTNKNPCWFLEPKLEDSIRSLHGAVGNAETDGYTIVVGTGSSQLLQAVLYALAPQDQPDPINVVSAAPFYSSYPETTNIVRSGVYKWAGDAHGFDKDEPYIEFVTSPNNPNGVIRGPVVNRDGGFVVYDLAYYWPQYTPITSSLDFDIMLFTASNSIGVSKESQLRVAKILQVVADGCKRFGSSDGDNLFEYGRNVLAKRWEILRETDGMEECEKVFKGVKILVRSGRRFGSDPGYARVSMMGKDEEFNGLIERLSSVIQICNNDD >KVH97516 pep supercontig:CcrdV1:scaffold_1920:35215:42071:1 gene:Ccrd_000375 transcript:KVH97516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGKGRRKTMHLSKMYSLRRSGFEDDHSQIGGPGFSRVVYCNEMSGSEGITQNYADNYVRLTKYTPFTFLPKSLFEQFRRVANFYFLVTGILAFTALAPYSAVSAVIPLIIVVGATMSTSYNSEISNLFQDHEVNNRKVKVHRGGGVFESSQWKKLRVGDVVKVEKDEFFPADLLLISSSYDDAICYVETMNLDGETNLKLKQSLDVTSLINEDSKFNSFKATVRCEDPNASLYTFVGTMQFQEQRHALSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVIQNSTDPPSKRSKIEKRTDSIIYFLFFVLFLMAFVGSVYFGITTRDDLDGDRMKRWYLGPDRSEIFFDPKRAPMAAIYHFLTAVMLYSYLIPISLYVSIEVVKVLQTLFINNDVDMYYEEADKPAHARTSNLTEELGQIDTILSDKTGTLTCNSMEFIKCSVAGTAYGRHVTEVERAMAKRTGSPLLMNGDGNDDNSHLSVKGYNFEDERITNRRWVHEPHSDVIQKFFRLLAICHTAIPDVDEDTRNVTYEAESPDEAAFVIAARELGFEFYKRTQTSVSFMDARKRMSVIVRDEEGKLLLLCKGADSVMFERLSKEGRQFEENTREHVNEYAQAGLRTLILAYRELSDEEYKEFNEKFTEAKNSVSAERDDMMSEVTDEIEKDLILLGATAVEDKLQKGVRFSRMKQIVITLESPEIVAAEKAGDKSVIAKISAGKAQLDASRSEAHALIIDGKSLVYALNDDTKNAFLELAVGCASVICCRSSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWSYRRISSMGYAAFSGQPAYNDWYLSLYNVFFTSFPFPLLYQEGVQNTLFGWRRIFSWMLNGLCSGVIIFFLCTRALDPESYDKNGKTAGMEIVGATMYTCVVWVVNCQMALAVSYFTLIQHVFIWGGIVLWYLFLLAYGSLSVSISTSAYKVFVETLAPAPTYWLVTLFVVIAALIPYFCFKAIKMRFFPGYHGMVQWIRHEGHTEDPDYCNMVRQRSIRATTVGFTARSIARDNNLYNLERQ >KVH97512 pep supercontig:CcrdV1:scaffold_1920:79008:83034:-1 gene:Ccrd_000380 transcript:KVH97512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import protein TIM15 MAXIATSVLSLSSLHTTLQAHRPTLLPCPNFTPSHLTLKFPRLGISHTKRKASKQMFPVVISCLVEDNSEAYQEPEGSSGSNEPTPGATIDLKLPRRSLLVHFTCNACGERSQKLINRLAYEKGTVFVQCTGCSKYHKLVDNLSLVVEYDFREETDMSSDTDQV >KVH97513 pep supercontig:CcrdV1:scaffold_1920:47999:48433:1 gene:Ccrd_000376 transcript:KVH97513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MFCISTISSFIASLTAYLIWAWDILIHLCFFNHHNLQQLHHLPQKSQLGLPQLQWSSKEPVECAVCLSDIEEDEEIRVLRCDHLFHKRCLDRCIEYRHTTCPLCRDILAGPRMVCELGRELLFFSFCSTNSSSHDDDFDRWWIR >KVH97514 pep supercontig:CcrdV1:scaffold_1920:57743:58132:1 gene:Ccrd_000377 transcript:KVH97514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MSIYILSFITRLIRVVDFLINHVFFHHRMLHLPSKFGSLNDEEEIQCAICLSKVEDDDEIRELRCDHFFHSNCLDKWFSYRHTTCPLCRDNLVVPPKIDGTTGSQEMLFFNFCRTTTSSDDDDGTWLLS >KVH97517 pep supercontig:CcrdV1:scaffold_1920:66380:68412:-1 gene:Ccrd_000378 transcript:KVH97517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSNYIISFLTLLKWGLDFLIDHLFFHHRMLHLQEACDGLGRKWWRKPANDEEVECAVCLSKIEDDDETRELRCDHLFHKNCLDSWLAHRHTTCPLCRDNLVVPLPLPLPLPPKINPYCQIQNWETVQKDRISYPMNDERFDC >KVH97515 pep supercontig:CcrdV1:scaffold_1920:15152:18288:-1 gene:Ccrd_000374 transcript:KVH97515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRHEISTSHVAFYLAVPNVSDAHVMKSDELKATMKESPIRHTNPFLSDEENDKVNFWNNRELELSIVEEDFTTNLESLEKAPSYSLEKAKELYIDKNVECELPELIACYHESGFHVVKDICVDEGVSHGEKIGIHKVHRGLSCHPVTVNEDKHDDMIEEGLGTQFLKHQQSISSPVEECGKNTDLFSVTKEKLHADFPIPKHSISHTNIGYNHDDMIGRNLETQFLKHEKTRSSSGEDDYTSSDSSFGTKEKTDTNVFITEPTDDHRHMGNCYDTKIHGQNSSQGKDCKEDATKVANHSVITDELENVSEDSNGPYNCASDKLPLFVESSTAHSTENCSPDKLMQTGEENIDSSFNSLDNSSREQFVSCSTLSLNQDQLPTSIKNWESSNNGVNDVGQQLSEAQGPVEEILKRHLAGSEAEELVRNSHAINTSTEIKMEENITSNSDNVKPATFSSPECIHELPPDMQSAANHQEETSDNVTESNQLQHGGGESSFSVAGTISGLITYSGPIASSGSILQTEWNSSPVRMAKVDQRRSKKHRGWKQTLMCCKF >KVH97518 pep supercontig:CcrdV1:scaffold_1920:72491:77284:1 gene:Ccrd_000379 transcript:KVH97518 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding MMHTTCLNSSVSLRCRSSRFDVPTCFLDARFETNPLLFSTSFASCCCCSCCCCCANSNTNSIYSSLPRIYPSFLCNGLRQSTLIQFSPSKRLIFHGRRRQSCNGNSAFSSLTDSDRNNYYEKLQSFKRGKQGGLRKGRYGCWALEDKRKEQFFSNEIDDDHVDDVEILLDLLTEEAGLEYLGVREQKRIEKKDPRFATSKTKVVGSVKRDLKCDNKVVEVRSRKEEDRRLSNERKEEERGTSIKGVNRERKKEGSSSSSYYSVSSTGEFESENDVEVKHDGCFVRGESSNEYKDDRKRDDYRSYDEEIEENVDRRQEFGKEESEILKKNTALKYYGNEEWRKKSEKKLNIESSQHQSHVSVNTERNSQQHSKKAETVTNPSQSRMKYTGLMEKQYSDTENNLNTSVQELAGEHRGETAENVSRQDEYRRQSNVISESSGIHKINMRESSSSSTLEARMKNRKENVTEVSGQIEDRREEKFERMDQLTTLKDARVKSQQISDTHITNTKNTRVSSQQSDIRRQKQELHMETSSSSHEARMKNGEESSTEVSGQVEDRREEKLERLDQLTTLRDSRVKSQQISETSDTRVTNTKNSRVSSRQSDIKREKQELHLETSGSSHEARIKNWEENSTEVSGQVEDRREEKLQRMDQLTTLKDSGVQSLQISGISDTHIATTENTRVSSQQSDIRNKKQELHLDTSSSAENVTSSTRTGRRTTKASSFHVGMPKETSSTYKALKLNPEPNLQETGAHGAGKSSSEISKLTHEHSSQRFETITDELQEQPPKGSRVQQSEILGGDIGGDSVMDPSSFISHEDAISSADQQQKSSSHFVGEFVKKAKHELSTSEVLQEKKTHEDEFVYEDAEQHELKTPGEDGSGNSDQKDGGRGPSDEMWHETGTSVQQPSETDAPENTSSTGNGESVKKSGRSLWNVIGDVVRLRWVAPRSETHTSKSGGGKASSNQSTSSEAWFSGHEPEESNDDNVKTGSAKGRSQSKKEVSNPSSSKDSPLLSSSSSNANQGSSSKHMSPSVIRMRRSPVVKRTSVTDETDAYGSGEMVKTEQPVPKMTEMPPADASGSGKMVIVDHSKDEELKRRKLARIDQVSKDRFDEWEEAYTVETKQRKNDELFMREALLEAKKAADFWEVPVGAVLVQDGKIIARGYNLVEELRDSTAHAEMICIREASNNLRSWRLSGTTLYVTLEPCAMCAGAILQARIDTVVWGAPNKLLGADGSWIRLFPDGDGGSGSDKPAAPVHPFHPNMTVRRGVLSSECAEVMQQFFQLRRKKKAKKTEAEPATPPPPSSCLPITHHHHSKLFSKMHDAFSIMFCL >KVH92054 pep supercontig:CcrdV1:scaffold_1921:8467:10141:-1 gene:Ccrd_005914 transcript:KVH92054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MNLLGKQAHELTMAHRRKDVNTSKERHFAEESKIIQQQKYLVPNEVQVKLALENIALDFDIADAMLRNVVLGGGSTLFIGLAQRLTKEIRDMGSQRDREAEEDPLPFLRDREADREMLGPRGIREIESFSEMELQIES >KVH92056 pep supercontig:CcrdV1:scaffold_1921:56335:56763:1 gene:Ccrd_005916 transcript:KVH92056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MASQHIEIESHRQNAQVYTGEAICKEKTQEVLAKFSLPKGLIPMNDVTEVGHNASTGFVWVRRKKKTNHLFRAIGRKVSYDSEVTAVIEDRRMRNLTGVKSKELLIWVTISDICINDSGKITFGTPAGLSRTFPASAFEEEA >KVH92053 pep supercontig:CcrdV1:scaffold_1921:8787:11384:1 gene:Ccrd_005915 transcript:KVH92053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MNDKNETRLFFLNQSQEIFIGAINIDDLEVQASKAYKTWNHHKKTENVWEHTFLKELEIDPSEHDVIITEAGNSNRRQT >KVH92058 pep supercontig:CcrdV1:scaffold_1921:5792:6366:1 gene:Ccrd_005912 transcript:KVH92058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MTQIMFETFNVSAMYVVIQAVLSLYASGRTTGYALPHAILRLDLVGRDLTDSLMKILSERGYNSPLLNGKLSVT >KVH92055 pep supercontig:CcrdV1:scaffold_1921:60910:64562:-1 gene:Ccrd_005917 transcript:KVH92055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain X MTTESNDAVSTGKPSALADRRLLLLQALPTASVSPRLRSLFALSMLLGTKLKHLSCIPIIRTFSHFSTPHHRQRHQPPPPQQDPYTLLKEDPIQVLSDLWVRTFSNQNRTHFSNLTGFLSKLDLWLLAYQRTCAHFTGSFPSRNAIQSHVLTDLLSLRNAVVQGQFQWNMKTHPYIQNPNDKTPFSKLSNRKLRTISNSDDSPFQDSVVQEVLLMILEPVFEPSFSSKSHAFRPQRNAHTVIRTIRSNFAGYVWLLRGDLTILFDNFDVDLMMGFVEKGAKDQKVLNLIKSGLKGLPKSVQPSNDQKFWRKKTKNLKRKKILDEDEAKPDPYWLRTFYDFAPEEAAKVPNYGYCGILSPLLANICLNELDHMMEEKILGFFRLSDDNSLMNNPSWPEFVRGGGKDKTKKMDYIRYGGHFLIGIRGPRSDAVEIRNDIIEFCASKYGLKLDESKVEIEHVTRGVQFLDHVISQRVIYPNIRFASNGGHIVTQKSVGVLLSVTASLQQCIRQFRRLKFVKGDKDPEPLPCSPMLYCGQAQTNSQMNKFLETMADWYQFADNRKKVVGFCAYVIRSSVAKLYAARYKMKSRAKVFKKASRDLSRPLRQSMDNRTPEYAELLRLGLADAIEGIRFSHMSMIPSCDYTPFPRNWIPDHERVLLDYIKLQDLKFFSQLQRSVKEQSLSLPQDML >KVH92057 pep supercontig:CcrdV1:scaffold_1921:6384:8765:1 gene:Ccrd_005913 transcript:KVH92057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MALDYEQEQEQELETARRTKRFCFPDILLQSSLIGMEAASIHETTYNSIMKCDVDIRKDLYGNIVLSGSSTMFPGIADRMSKEITTLAPSSMKIKVVAPLEREYSEMFQYHHAETGYVMTTCWIRNGSCMLPADASH >KVH93463 pep supercontig:CcrdV1:scaffold_1923:65922:68485:1 gene:Ccrd_004485 transcript:KVH93463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18, bacterial-type MSSISLSFLQSACSYSNLHHQQKLFVQPPLISSSWSQWKRSSLTVEASARTRQDNRKARHVRIRKKVEGTPERPRLCVFRSNKHLYVQVIDDTKMHTLASASTKQKPLSEEFDYSSGPTIDVAKKVGEAIAKSCMEKGITTVAFDRGGYPYHGRIQALADAAREHGLQF >KVH93462 pep supercontig:CcrdV1:scaffold_1923:58088:62439:1 gene:Ccrd_004484 transcript:KVH93462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MYTRQKRLERRNATKNVDYDASISSFDGGSPHTTRLLDISLLSHRTSYRLQGINGEFDLICRSIGLSGPEDFAIPAAEVSSPTSCHYLHRFRFRDPSQNETQGSLESGLSEIFGSRIRINDDKAERRTECSRLLEADEARVLGRDTVVKRADDVNGCGINKVKHIVESSESTNYVCLANVEKSTVLDDDSLSRARENCYVEGQSGIKGIRPPLQLVADNLSLSWELIRGFATEDDENSALDGPVGASNEDTHIAVDERIVIVKEEEPSERITLRNDAILSPCSSNTSSSATELEYSVSPKGPLKNNTRNWQKGDFLGRGSYGTVYEGYNEHGFFFAVKEVSLLDEGSKGKQSIVQLEQEISLLSQFQHENIVRYLGSDTMVFQDDGKLYIFLELVTKGSLANLYRKYHLGDSQVSAYTKQILSGLNYLHERNVVHRDIKCANILVDVSGSVKLADFGLAKATKLNDIKSCKGTPYWMAPEVVNRLNNGYGLAADIWSLGCTVLEMLTRKIPYSHLEGLQALFRIGRGEAPPVPDTLSVESQDFIRKCLQVNQNDRPTAAQLLMHPFVKREHL >KVH93464 pep supercontig:CcrdV1:scaffold_1923:70929:93697:-1 gene:Ccrd_004486 transcript:KVH93464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C50, separase MEDSATITESSLLSELQFSTDLSSIHHRFSSYLDPFSAVLNKPKKHSKSSKIEAEASTIIRSLAKKFLPFLSKSLSILPKRLNETPKIDSSYASELFETYRLCLSCLDAVSSQLSCKPHSVQIQRVRLIHCYENWGKYEDAQDEGFSVLEFIGKLSGKKSGKLRGRLLPELGKDNDDKDVAMLILEVVVTLVKCVSNARSKNEESFHRVLSIVKEIQELRIIDADAHEKLHRMLATYLSKCALFLVGELASFDGKLAHEFCLITFLEFTRSSMNDQMEKFGHRICSSLFSQLDNQPPFSLGILTCVLDAMAHECKIRCIFFVSGLVLAPHTSDVKETSLADFPYQSAKHNNRQVYLIGYNYACQVGKEKSLIDFLELVHYCATAIKCRNATVDFCGAVATHFDKLADDFSQVNLSSIMRLYSIILSINDLNSHSRGGNSKMPKAGKDISIPKVLLSMEDQLQRLINTHGSYKLSAEELESTYKALYFTALNFLCEPLSELINSERKDILCGLEDVSLPNIQDAFHQFRLVFLAYGDRQRNVYEDNSRAVLAVASAAFTLSYTTKQNAEESTNFLTHIIKADWVHANGLKFLFASLHNVGIVLYRTNRLKEATESFKLCCEAAWNCVLHFCKMFASSRDGCSSDVSEDVIAGFVTEACAKSAFLLDILHQCGSKEISEILAHYLRSWFVAQSLFAKIPSPEALVKQWVKELQAYKEMKSLNPKLSKTMQTTITNILLEEIYSTKDSCLQKSRILIAKGMESRACGVEGLNGCINYLSEAISTLSDLYNKSKDDRGPMCYLLAEAYCLRALCTQEAEPNSKHFIQDIDNAVKLWSSPDCSQSAEDMVFQKTLTLLHYVVDLISLKGYMVDHLLIYETMIKFSSWKNVPLNDWLALLWQFRSLSHALCASPINDEFIRALSKHCALSNSVEFWTSCMKRSKSLEVGFRQSLIVISTLSSSHSYIHDHATKADITIDEVKQSASDLIKSVPLSNTSLFLASQLYYDLGESMIARGLMVEAISHAKEAHRLRSKLFHKKFMYSIEQQNDTVGANGEVIQKRRFGLETFHMHSSVATAAWSSVKGSSDFDDGILTPWNILRCYLESTLQIGTLQDIVGNGSEAETLLRWGKDISFFQALPIFLVAFSAALGKLYRKQQLWHLAEKELESAKHILADNCSLISCSKCRLVLEVTVDQQFGDLFRSRFNSTTGNKLYEGLSNAEFFYSSAEENLKLYEWRNCLSNPEEASARNTMFCDALLSVGKAVGISSNCGDKAEHSSLSKISGKETIQSKVTRKNKKTTKPLPQEQRMTSRITRSSKQRSEYALNEVHDDVYKFSDRKQVYACTDALIGKGPQNVDHVAACGCEATCVCDEGKCWHCLPSEVMKSLSLRNIIQTKWECIRRRLLLRVLTGRGKCFGVRGEIQQAHKVFLKSISVLLRRSTFHQSHFSISFTFLAELIEKNVTGDIFAVEHASILYNICWFSLKSFRDNDTRNHDCDSFIPAPVVVSGLKLAFILCREVPMLFQKVSRLLAVLYTLPHSNKAFSMLSSSSNVLSECQWASYFHQASLGTHLNHQLFSSVEKHKDQKTTDVDIRLLLLTLLEVQMKLAPESVLDLEGFVLKFLQGLPHVTIVCISMLGDDFASLLRELLPYNPSTHAWIMLSRFNSDSIPVVIVLPIDYILSESSEEGEDSSSSFLFNKKTSVKSWHCPWGHTIVDEVAPLFKMILEENYTSSSAYPLEDTKKNRLLWWTQRRKLDECLSVFLRDIEDIWFGSWKHLLLGEWLDTKHLDSLQKKLKKDLKSKCKVDVHESILKLVLGGARHASQRTECLSDLILNNGCYVGGIECNNDRSPGECSRKQDSIDSLSLSVSELIINAVHEIEEEDFPDREPVILVPDFDIQMLPWENLPVLRNQEVYRMPSVASISFTYDRCCHYQEKVGTDSAVFPMIDPLDAYYLLNPGGDLSSTEAEFGSWFKDQNLQASGTTGTSPTVDELSVALKSHDLFMYFGHGSGVQYIPGDEIQKLDRCAATLLMGCSSGSISLNGCYTPKGAPLYYLHAGSPVIVANLWEVTDKDIDRFGKAMLDACIRTRSITSAGCAQCSQISVELEKLKIDDDKRKGKKKTSKKKSVEPCKDDICTIGCKHRPKIGSFMGQAREACTLPFLIGAAPVCYGVPTGIRKKDLV >KVH93465 pep supercontig:CcrdV1:scaffold_1923:36655:43776:-1 gene:Ccrd_004483 transcript:KVH93465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALNLALTMERSEPTFVPEWLKSSGGLSTTSHQLQSSSLHSGNSIHFISQQYMLFGISFQFCYLPDNVVLLDEQGVSKATRNKSFVNISDNELGRPSVSDRTTSSYFRRTSSNGSSHLRSYSSFGRNHRDRDWDKDIHEFREKEKPDGRLRDYSDPLGNILPSRFEKEGLRRSHSSVSAKRGESWPRKVVVDSSSANKNSHNNGSALRSGAGAIGSVKTAFERDFPSLGAEEKQIDPEIGRVPSPGLTTAIQSLPIGNSAVIGGDGWTSALAEVPVIVGSNGSNTSVPPPLQSTSISATASMATGRNMAETLAQGPPRAQTAPQLSVGTQRLEELAVKQSRQLIPMTPSLPKALALNSSDKPKSKVGQLQLQSSHLVNHTHSPRPVSTKFDVSKTSSVGKLHVLKPSRERNGITPIAKDNLSPTGASKLPNSPLAVTSVVGSAPLRNLGNNPAVAVAVKPGVAATLEKRPSSQAQSRNDFFNLMRKKSMTNNSSPVTPDTGSSISAGDKPTATEGGIDPAVVDGSGGVQVSSGNKVDLSSCNGEATERSNGKNNSSSDAIILYSEEEEARFLRSLGWEETGEEEEGLTEEEISSFYRDVSKYLNLQAASKIFKPKLLMPRMGNNGDISSDSKLES >KVH99267 pep supercontig:CcrdV1:scaffold_1924:51806:64151:1 gene:Ccrd_022501 transcript:KVH99267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFLRGIFSNTLHAISIKYMKDMELGVLSDMPDIQKKACWKLLKQQVLHHDKLVSSYKDMVATVVNMVNISTSLRCFLKGGTSSPLIQFSNSSEDINDNGDGGGIPVFTFWSISSFEKSASELVQMFKLELYLKCSDYL >KVH99268 pep supercontig:CcrdV1:scaffold_1924:39327:47240:1 gene:Ccrd_022500 transcript:KVH99268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAIPSPKTSALLAAQPSHKQNFSPKHRNNSVVLFHSDLKSKCALVAGSSSLGFQASKKNQNDGMRVSAQLDEAALQKSDSTPSSEKSVVDSTRETSSEITIPDAASITAFMNQVAGLVQLVDSRDIVELQLKQQDCEVLIRKKEALPTPPAAPMVMTQSPQPLAMLQSQPPPPQATPPSSGPAPSASAPASPTPAKPKSSHPPLKCPMAGTFYRSPAPGVAPFVKVGDKVKKGQVVCIIEAMKLMNEIEADQSGTVVDILAEDGKPVSLDTPLFVIEP >KVH99271 pep supercontig:CcrdV1:scaffold_1924:14732:21459:-1 gene:Ccrd_022498 transcript:KVH99271 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MDDYRLPEILPPAWINKNPSELAESHVFIISCFVAGIIGIVTIVYTAFQWRRNISLSLMKATAKQKKDPKSRSKVPLAAHTWYLESGSRGKSLNCCVCLKSVSSSQTLGPMVASDSFIHRCRICGAAAHLSCSSKAHRDCKCVSMVGSTRVLHLWAIRWTEVVDQPDEISFCSHCEEPCSASFLGGSPIWCCLWCQRLVHVDCHSSLYSETGDVCDLGPFRRLILSPLQVKELRRSSSGGILSSITFGANEIASTVRASIRNQSKKTKQGNQVPVDNSNGSIEESSTESTPDTNLVNDASNKTQENYNGNTNADGTSQHQDGDVVKKLGRKLSFKRSLSNIQRDESHIAGMKQKYELTDLGPDARPLLVFINKKSGAQRGDSLRLRMNILLNPVQVFELSSTEGPEVGLYLFRKVPHFRILICGGDGTVGWVLDAIEKQNFXSPPPVAILPAGTGNDLARVLGWGGGLGSVERQGGLCTMLQDIEHAAVTILDRWKISITNQKGKQLRSPKFMNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGARTIMDRTFADYPWQVKVEVDGVDVEVPEDAEGVLVANIGSYMGGVDLWQNEDDNYDNFDPQSMHDKTLEVVSISGTWHLGKLQVGLSRARRLAQGQTIKIQLLAPLPVQIDGEPWMQSPCTLTISHHGQAFMLKRAVEEPLGHASAIVADVLAHAETNHVITASQKRTLLQEMALKLS >KVH99270 pep supercontig:CcrdV1:scaffold_1924:32221:36793:-1 gene:Ccrd_022499 transcript:KVH99270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e METARTVKDVSPHEFVKAYAAHLKRSGKMELPHWTDIVKTASMARKIYLRGGLGVGAFQRIYGGSKRNGSAPPHFCKSSGGIARHILQQLQNMNIVDFEAKGGRKITSNGRRDLDQVAGRIAAVTP >KVH99272 pep supercontig:CcrdV1:scaffold_1924:6726:10421:-1 gene:Ccrd_022497 transcript:KVH99272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVQKRQLAEEEYDASPKHLKLENSCQLVSCLEFPSKDGPVKSCISETSESERRFESGKILDLPVCTEKDVGYSHLLRFPPLKEVPIGPEYQAVIPEWCGYDANNISFRSGSSKTSAFCQTSEANSIYDENKFMGSCIVSIHETDGTVCNDDAVGRGRTGCCCEDPDSLRCVRQHIREAREKIRRNIGHERFLELGFCSMGDVVACKWTEEDEQLFHEVVYSNPVSLGKNFWVHLAEAFPSRTNQEIVSYYFNVFVLQRRAEQNRCDPMNADSDDDEWQGSDQDENLCRDEIEKYGFSIGNPVFDYNEDMGFLHGFRGSINFSETQASMVDENGGDCDFQDDSCTSSDTGVEPEASEVKVDCGKLWSNRDFILEPLDSKAWDVGCFSFPRNKTDFLSTGSMIEEVFGVESWNIT >KVH99269 pep supercontig:CcrdV1:scaffold_1924:71386:79095:-1 gene:Ccrd_022502 transcript:KVH99269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNGVGDRVHNFFAQDNLSQVPRSRVGDGNWSLNDNLWVGSQKQFGGSDSNPRNYNPQQSETERGHDSQRVPDPHIFNIAQTSSRPEFARSLSHREQANSNGYMYGRQNFQTRPDEANFLGVDTEYGRNNASQRGFSFCESQQEAGSEQTPKDPFRSETSEAHGSFDLFGGQHQMNSQYPGSMQPLQQQQSGFGDIQQLQQQLMLRKMQELQRQELQRQDLHRQDLQRQELQRQELQRQEDLRQLEARQQNSLNQASSFARQASGSHPHGLVNGTPTSDSSGYAWNELAAGNTNWLQRASPAMQGSSSGLAFSPEQGQPQRSMGFVQQQVDQSLYGVPVSSSRGPLNQYPHIATDKASVQQLPSYNNSFPGNHYAVIPEQLTVQDGASVNRQGLPGKSLFGNTSGQGPSSGTRVEHIQQLKAPQSTSVQESHVAHDLIGSTEMVQDKSATEVTSSHGAASLDPDEEKILFGSDDNIWDAFGSSKNMGGVSSLLDDNEFGSGLPSLQSGSWSALMQSAVAETSTDGAGLQEEWPDLNFQSPELPSGEQPSTYEESGKHQKALSGINLPNASALTFGSVALADGANMNDKQRSNLGFPHHGKNNSYEDDERPNINYSKLMNQHSSGGSNWLNRGALEKVGVEDSQLYENSANTGFNINEKDNSLQQSQNNDWKRVMHDETGQGGRISSVNPMLHPSVEREPVNREGLAASNTAVIPNLSNLQGGSHSNQFSPNNHHLNYWKHVDSSVKSKGSENSEKSQRRLNKGPQVSESSFNSSDKEDLKTHEMESSSRRENSNDSYRSGSSHLPNTVGPRERFSSDAGDSRSLPGMQQSLSNQAGRMTSGPRKFQYHPMGNLDEDAGMPYGARQSMNTRAMPLQHSRGLGGQDQGNYVHTKTSGQGYVPELEGVPKGSDDMRFKGMIPGHVSNIFAPHDRSVGLSTSDKASQPSQNMLELLHKVDQSGERGIARHLNSLERNLSSEIPEPENSDGSFGGHQRSQSSNSQGIGLQLGPPSQRLPLPNHASPRAIQTIKPNSLSQAQASANPRGKGLAEMSPFPPFQDTSHGEFKNDRVKAQSASETSGHKMMNNFSAALGTDFPNSRSQPQNHQMIGARGQALTNRSGNESLNGHSPQIRQADETRGRSLSSGLYDNAVASERVQASQPSTGEKLPASQPHTNSGISQPGAFPKMLPNAWGNLPTQQLLSASQPRKGPSNLSPSHQLNIVESTSLGQQNLEQQEAEKRGNSLSKYGASSLNSQGFTSVGEQQSAKESPSLNLSSEKVDRAQMMNGPAGNDSINMPFSDASSLNPAASQRDLEAFGRSLKPNNLHQNSSILNQMKAMRNTEIDPNNRALKRLKDSDNNLGGQQVAPWSGKPRELKNMVGDSSICHTTASPGDSEMPRFTGPTDNVMQNVASLPANLLSKDVLASDQSGSQSCIPSNNMNSGEIEHSHISPQMAPSWFDQYGTFKSGQMLSQRADAVRTLEQPLGIGKVYGSFETHNVKEEASAAADTSQAGVILKNPLPSSMAVEQFSSPPSQTNTSVQNLVTVRSKKRKCSPAELHPWLKEVSGGFKELQSICTASMEWSGATNRLMEKACFLAYDGEVIEDAHPMPRPKRRIILTTQLMQQLFPAPPATVLSTDSTSSYGSVAFHAARLALGDACNLVSSVRSHSSISHSGSNSLSDKSRESEKNDDRLLEVVEDHMSKVRRLENDFSRLDKSASILDLRVEFQDLEKFSIINRFARFHGRAVQTDGGSAEASSSNAAAANTPKIYPQRYVIAVPLPKNLPERVQCLSL >KVH87955 pep supercontig:CcrdV1:scaffold_1926:22148:61986:1 gene:Ccrd_024697 transcript:KVH87955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQESEELKSKGRGFKKIQTQKQKPPQASAPQAPVRLFCSQGTPSDMFFLTTLSVNLREVQFVPRIGDHKMEISSSVEKRKLPEENSEVMDAEIKNQELVSKKQRFVARTCVHEVAVPSGYTSSKDKTVHGTLSDPIYSGKMAKAYPFVLDPFQQISVSCLERKESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNIHKQPCHVVYTDFRPTPLQHYVFPMGGSGLYLVVDENEQFREDNFLKLQDTFTKQKQTGANLGGNSKPSGRIAKGGNASGGSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMTKLDFNSQEEKDVVEQVFRNAILCLSEEDRNLPAIELMLPMLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETVSLGLNVQQFAMGLNMPAKTVVFTSVRKWDGDSHRYVGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMRRAEGQFTAEHVIRNSFHQFQYEKTLPDIGKKVAKLEEEVAKLDASGEADVAEYHKLKLEISELEKKMMAEITRPERALYFLLPGRLVKVREAGTDWGWGVVVNVVKKPAPPLGLLPPTMSSSRGSSYIVDTLLHCSIGSSESGSRPRPCPPRSGQKGEMHVVPVQLPLISALSKLRISIPPDLRPMEARQSILLAVQELGKRFPQGLPKLNPVKDMGIEEPEFVHLVNQIEKLEQQLLSHPLNKSQDENQMKCFQRKAEVNHEIQQLKTKMRDSQLQKFRDELKNRSRVLKKLGHIDAEGIVQVKGRTFNDLDHHQIAALASCFIPGDKSSEQIHLRSELAKPLQQLQDSARRIAEIQHECKLEVNVDEYVEAAVRPFLMDVIYCWSKLRAAAHAVGEAGLEDKFGAASESLRRGIMFANSLYL >KVH87954 pep supercontig:CcrdV1:scaffold_1926:62501:64823:-1 gene:Ccrd_024698 transcript:KVH87954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKGKNSNKSSLTQKTLPFFLCSSNPNYQNKSQKRFGEQNGVVGLGIVVALNNGSSSDHNTPNPVFSASPRSNPIPIFSKRPIKDDIEIELLEEYTCVISHVGNNLIKKREYFDDGFKTVGVNGGGSTSYWVSANGGGGVFCAPSPPVAIGGVTVFQDGDFLSSCNLCKKKLHGLDIFMYRGEKAFCSEECRYKQISIDEHKEKYALGVRKQPECADSPCSSPMQLPAGMAVA >KVH87952 pep supercontig:CcrdV1:scaffold_1927:81151:81723:1 gene:Ccrd_024701 transcript:KVH87952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IWGFCGFFVLPVKNWGLWHRDLCRYKFPLTLVLSYVNISFIDIFYDHSVCVNIPIVLCGKQVTFHRKKNLWYYEISAKSNYNFEKPFLYLGRKLDGHEGELAATASQPL >KVH87951 pep supercontig:CcrdV1:scaffold_1927:50512:52450:1 gene:Ccrd_024699 transcript:KVH87951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase domain-containing protein WVVQGAVDVNIGANPSAEGGDENEGVDDQAVKVVDIVDTLRLREQLPFDKKQFVTYIERYTKQLTPKLDAEKQEFFKENIEVATKYLLSKLSDLQFFVGESMHDDSTTVFAYYKDGAADPTFLYFGVGLKEKHADEITALEVWDNGKPYDQAAADEIPLLIRLFRYYAGWADKIHGLTIQADGLHHFRPCMNPIGVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTAEKILLSALYVSKLFLE >KVH87953 pep supercontig:CcrdV1:scaffold_1927:78760:80255:1 gene:Ccrd_024700 transcript:KVH87953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 eukaryotic/L18 archaeal MDEEYEGNLEATGEDYSIEPADSRRPFRALLDVGLIRTTTANCVLGALKGAFDGGLDIPHSEKFVGFSKDGKQLDANVNRKYIYGGHVASSMGTLMEDEPEKYQSHFSEYIKAGVDSKNIEELYKKVHSAIRANPTPKKLEKQPPMEHNRFNLKKLTYDERKQKLIERLNALNAVVGGVEANMFDFELFEKKVENSSVLHALICEQAIGHSQFESLLPLVLGRDVSGEVLQLEEETVLKLLSSTYIFLFLFRITDYCCSSRTIEVSSSGGYLFSFGSIYK >KVH93601 pep supercontig:CcrdV1:scaffold_1928:19342:21892:-1 gene:Ccrd_004348 transcript:KVH93601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHEEAECIERCPSVERCNVSEDAKKYHVERATRRELKLGDHLRSPFVIRAVDLNVTPEDRKIHEWAVAGLGGNELLFSTPKDTKLHRHAIESLGHTTTIYDLLSSAKNNWEVVQMRNEDLNPSIVVIDNRHREVSDDDHLLQMYDFITDILTGLTPESKTQENQIANLRVKYVAKILMNSYNVKKDYVIKEVEKFNSIDEVVRAKIRKRAHDTRVNARMLLIDEPRFISTKQRFLTY >KVH93598 pep supercontig:CcrdV1:scaffold_1928:88208:92328:-1 gene:Ccrd_004350 transcript:KVH93598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthase family MGDISFSNANSNGNTNVYSQRKSCWYEEEIEENLRWCFALNSILHTGATQFQDIQLLDTKPFGKALVIDGKLQSAEIDEFIYHESLVHPALLHHPNPKTIFVMGGGEGSTARELLRHKTVNKVVMCDIDEEVVDFCKSYLAVNSEAFCDPRLELIINDARAELEKREDRYDVIIGDLADPIEGGPCYQLYTKTFYELTVKPKLMQGGIFVTQAGPAGVFSHTEVFSCIFNTLKQVFKCKFSSYLLQSKLYTPCFLGYISFNWPNDEQMLCHTLLTFLPMLTFGDGSWIKDENRYLDGKTFVSASTLSKAVRKSLDDETHVYTEGTARFIYGHGHGSANKNNHA >KVH93600 pep supercontig:CcrdV1:scaffold_1928:41281:41553:-1 gene:Ccrd_004349 transcript:KVH93600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQILHYVKNQRIKRVNLASLNMVDKAKNWISSYLLMKSVSHVVEQFKKLQQHDSLEVYIDEFENLRAIML >KVH93599 pep supercontig:CcrdV1:scaffold_1928:12768:16012:-1 gene:Ccrd_004347 transcript:KVH93599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTLVSPTSMMMMIMIAYYVNCYLQKHSSINPHAHHNMTVKELTVNSSRTDWIVCLCEVVLGERYDNKTVPSFSLLKDATSSSSNSAETPSVIESLRSAPSISSKISLMNVSLKEMGSIGI >KVH97404 pep supercontig:CcrdV1:scaffold_193:341367:341850:-1 gene:Ccrd_000506 transcript:KVH97404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQRRRRQRRRVLLDSLCSSSPPSFSIYGDENGGYDLLRVLQTFDASESEIKKTVTKPRQNSNFVDSYNVQTNL >KVH97388 pep supercontig:CcrdV1:scaffold_193:180389:181651:1 gene:Ccrd_000497 transcript:KVH97388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MIGVQGNREFLAEVLTLSRVHHPNLVNLVGYCAHGHQRLLVYEYMMNGSLEEHLFDLDENTAPLDWHTRMKIAKGVAKGLEYLHDVADPPVIYRDLKSSNVLLDGDMNPKLSDFGLNKFAPREGEDHMSARVMGTYGYCSPEYAMTGELTTKSDVYSFGVVFLELISGRRVIDDTRPTEEENLVIWAKPLFKDPSKFPMVADPLLNDNYPIKSLHQAVAIAAMCLQDEASTRPYMSDVVVALDYLAMVQDHDVPSEVDG >KVH97393 pep supercontig:CcrdV1:scaffold_193:181884:182327:-1 gene:Ccrd_000498 transcript:KVH97393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISAATAAAAILPEKMGGRDPVPIEIGARGTVGNLVMKEIEYFKKLELGRGDHDNCSRRNFFHPDKKHGGDGGGSNFWPSFGFLNVTWRRAKRKGGGGGSSGRFLPRMCTVVDVAESRHHNHHRLSKIPSFGYRNLKDDIDHFEV >KVH97399 pep supercontig:CcrdV1:scaffold_193:204383:207992:-1 gene:Ccrd_000500 transcript:KVH97399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCVIKTSKPSFSCSDPIPKKGSSSIVSKFQSLRPKPIIFNVKPSSISITHKKPIYISQIQDFGGSIGRRSDEIVCNAYEADHSQPLEIKMDLQVDQSEKMKIGLYFATWWSLNVVFNIYNKKVLNAFPFPWLTSTLSLAAGSLIMLVSWGTKLVEAPKTDVEFWKTLFPVALAHTIGHVAATISMSKVAVSFTHIIKSSEPAFSVFVSKFFLGETFPIGVYLSLLPIIGGCALSAFTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAMEGPQVWEAGWQTAVTQIGPNFCRKHDETNFRYRVFDYHFSHTRPTR >KVH97386 pep supercontig:CcrdV1:scaffold_193:120425:130947:-1 gene:Ccrd_000491 transcript:KVH97386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REGVLPGGGRIKARTKGQFHRRHRGVIGDRLLLVNQMSELQKEPSPPPHPADTLPPPPPPASTKSPTSLPSAPPNQPPLHRFDPSRMIGIIKRKALIKDLAAVYHAECLAYCQELLELQKKCEEVADPVRNSYRVLQQPCLWICSQFDHLYIQTTSIQVCFVCSMVYPS >KVH97402 pep supercontig:CcrdV1:scaffold_193:268736:273146:-1 gene:Ccrd_000504 transcript:KVH97402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MFQPSMFESHHHHHLLDQMSHKTPENSLDMLRDHDDHDSRSGTDIIEPPSGDDQDPNQRPNKKKRYHRHTQHQIQEMEAFFKDCPHPDDKQRKELGRRLSLEPLQVKFWFQNKRTQVKAQHERYDNTNLRNENDKLQAENMRYKEALANTTCPNCGGPASIGEMSFDEQQLRIENARLREEIDRLSGIAAKFVGKSSLIYPDLSPHGPSRSLDLPTNFSPQHGMVGEMFGTSDLLRSMAGPTEAEKPIIIELANQWSNVFCAIVSRATTLEVLSTGVAGNYNGALQVVRESIIQNLLELYVKTRNVFVSTLISNHYMTAEYQVPSPLVPTRENYFVRYCKQHADGTWVVVDVSLDSLRSSSISRCRRRPSGCLIQELPNGYSKVLVNSGLAFGAKRWVATLDRQCERLASAMASNIPAGDVGGKSISTSSLISNPEGRKSMLKLAERMVLGFCTGVGASTAHTWTTLSGSGADDVRVMTRKTTDDAGRPAGIVLCAATSFWLPVPPKQVFNFLRDENSRIEWDILSNCGLVQKIVHIANGRDPGNYVSLLRINVNPMTFNLCTSQSANSSQSHMLILQESSCDSTGAYVIYAPVDIASMNVALSGADPDCFALLPSGFAILPDGPGQYEGGDLDVGTGGSLLTIAFQILVDSVPTSKLSLGSVATVNTLIKCTAERIKAAAASHNL >KVH97408 pep supercontig:CcrdV1:scaffold_193:12826:16085:-1 gene:Ccrd_000483 transcript:KVH97408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAFSSSSWHFTSLFVIFQLLWSGNLYANGCYTSIISFGDSLADTGNLKQLASITNRVFPVLLPPYGENFYDQSTGRCSNGRLIIDFLGATALDSSFLEPRGILNTMTNASLQVQLSWFKKSLRSICGNASGNLPIGCSSAYLTICGSEKEEYDNTTGCLIRLNKFAEYHNELLQTKLNQIRELHPDVIVIYADYYNAALQIYRSPDKFGFTNGGLKACCGGGGPFNFNSSAECGCPNTSVCDQPDTYVSWDGIHLTEAAYRLISENLFQGSYTTPRFNSLCPTLTSQVRDKLSTSM >KVH97392 pep supercontig:CcrdV1:scaffold_193:185063:197949:-1 gene:Ccrd_000499 transcript:KVH97392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MSWGLGWKRPSDVFHLSLYYGTEEALDDQTRSSSPSPESSSGSSSAAVASRDDNNNSNNSNCSNPELGFRIDLDWNAGDDEDQVTLKLQSQVMVALPLPQDTVVIRLSECDDSGRVGTGEEVGESGRVGDVNVVGVEMKVVKQREPLRAVAMSRVGGSGQQNDGMGVLTKLLKSEFAAEPGPGHAEGPRAESCADHWMNVTVVSLYNCGLSMLPVELTKLPLLEKLFLDNNKLTLLPPELGVLKNLKVLTVDYNMLVSVPVELRQCVGLVELSLEHNKLIRPLLDFRAMAELRILRLFGNPLEFLPDILPLHQLRHLSLANIRIVADDYLRSVNVQIEMENSSYFVASRHKLSAFFSLIFRFSSCHHPLIASALAKMMQDEGNRVVIGKDENAVRQLISMISSEDQHVVVEACSALTSLASDVSVALQLMKCDIMQPIKRVLTSIGPQELKSVLQVVAKLGFISDTVAQKMLSKDVMKSLKLLCAHKDPEVQRLALIAVGNLAFCLENRRVLVASESLRDLLLRLTLSSEQRVSKAAARVLAILGENENLRRAIKGRQVPKQGLRILAMDGGGMKGLATVQILKEIENGTGKQIHEMFDLICGTSTGGMLAVALGIKLMSLEQCEDIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKMCADEDGDLLIDSSVKRIPKVFVVSTLVNVAPAQPFIFRNYQYPAGTPEVPLIMSENFSTNGPGIATTGAQVGYKRSAYMGSCRHDLWQAIRASSAAPYYLDDYSDGVLRWQDGAIVANNPTIFAIREAQLLWPDAKIDTLVSIGCCSLPTKARKGGWRYLDTGQVLIESACSVERVEEALSTLLPMIPEIHYFRFNPVDERCDMELDETDPTIWIKLEAATNEYIQNNSPTFKKLCERLLLNHTDEKLPENINSKQLFKAKGPNSGEDGPSLGWRRNVLLVEASHNPDSGRVFNHARSLQTFCSGHGIRLSLLNAASGTLKQEPGTSFPTPFTSPLFTGSFPSSPLLYSPDLGVHRVGRIESVPHLSLDGFHSGRTSSPPESPTVPRQLSMPVRVLLDKLQNSPQVGVVHLALQNDTTGSILSWQNDVFVVAEPGELAEKFLQSVKYSLLSMLRGRRRRYTSIISNISSVADLVACRPYFQIGGVVHRYIGRQTQVMEDDQEIGAYMFRRTVPSMHLTPEDVRWMAFLDSGAKAVICPSSEPQEMQLTTFHGSTEFNDTENSKFVIGVDEADEESSEPTSPASDWEDSETDKGTTTGTDKGMLVWDDDEEELSRFICRLYDSIFMGGARVNVALQQALGSHRTIRYSCHLPRVP >KVH97409 pep supercontig:CcrdV1:scaffold_193:27637:31842:1 gene:Ccrd_000484 transcript:KVH97409 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase domain-containing protein MAFTSSSWSFTSCFVILQLLWSGNQYANGCYTTIISFGDSLADTGNLKQLASVTNRVISVLLPPYGQNFYDQSNGRCSNGCLIIDFLAESLGLPLLSPYVIDKGSDNVRELGHGVNFAVAGATALDSSFLEPRGILNGITNSSLQKLIEMGAQTLVVPGNLPIECHSSYLTSFILMSLSSTLTTTMMPCKSTGSPNKFGFTNGALKACCGGGGPFNYNLLAECGYPNTSVCDRPYTYVSWDGIHLTEAAYRLISKSLFQGPYTTPGLIPCALH >KVH97387 pep supercontig:CcrdV1:scaffold_193:107404:108798:-1 gene:Ccrd_000490 transcript:KVH97387 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MEVDEIQRQVCKFQRVSSNGSTTTTDNSSNPQKIATHKGNLELQNHVDDGGGGIDVVVGVGGVGGGGGGGVARLCGWPSSRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVSTAIQFYDLQDRLGCDQPSKAVEWLLKAASTSIDELPSLDPSFPGVISHNHHHQQQLSEEKKSTENSTDFEDPNYQQQNSNNNNVSQAKSPACSSTSETSKGSGLSLSRSENRVKARERAREMASKKEKDKETDSTRVAVLNQVNNISENSSFTDLLTGGINSTTVRRQNPTASPESRAHWTTPMDYFFSRPPPPSSQLIQMPQFNITAGDNHHHHNNQQHHFSFLQDSFVPAVTTAGSSGGGGGESYNLNFSMTSSSGGAALAPGFSRGTLQSNLPSSLLPHHHHIQRFQSSSIDGSTPTNLPFFIVPNAASDHFPATGSYDSQGLHLSYGGGGDGVGRNSDHHKEKAKN >KVH97394 pep supercontig:CcrdV1:scaffold_193:377685:381766:-1 gene:Ccrd_000510 transcript:KVH97394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MAIHIKRLHNLISSSTSIFNPIYRKSYIHVSHVSLPEKLISCFTSRYDFSACSAGLTGQIVSDAYSPRLEDAVERDHHEFLKWHKGGGMFHKSASIDPTALIDFGAVVHSGSLVGGNVHVGSGAVVGPNVAIGIMFHLLTAPSVIHVSFIMEFPSVKTALKAHIGNNVEIGANSCIDRGSWRDTMIGDHSKIDNLVQIGHNVVIGKCCMLCGQVGIAGSVTIGDYVTLGGRVGVRDHVTIVSKVRLAAASCVTKDINKPGDYGGFPAVPIHEWRRQVANRCRTSK >KVH97411 pep supercontig:CcrdV1:scaffold_193:53688:63584:1 gene:Ccrd_000486 transcript:KVH97411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB YAYTGSSSLHRRTESLPGSNSPTFSDPHLSPAVSLALSMGRIDEDQHMPDEKPEQNHYSKEAFPDSELWTDGLLCAFEYVKGKSRPVRPRSNKKTHSTHQANSRNMKNQVSSGTDASLQEQYDGYLSEPTSLTERRGDNIFQTHKVENFDGSHWVPIGWARISELVGTVQNNAEWVSQQFDFMDDEDDRTIADLAAPYWEKPAGPVWWCHVAASHPHISVWLNNASWLHPAVSIALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPYKDEDDVPVVLRSWQARNFLITALHQKGDTSSLNVLGVIEVQELLFAGGNNIPRTIHEVIALLVSRLARWDDRLFRKSIFGEADEVELKFINRRNNEDLNLFLVILNQEIRRLSQQVIRVKWSLHAREEIVFELLQHLRGNAAKMLLEGTRKSTREMLEEQEAVRGRIFTVQDVMQGTKRAWLQDKSTRVTHNIAVVGGCGLILSIITGLFGINVDGIPGATNTPYAFGLFSGILLFIGIVLIAVGLLYFGLKKPITEEQVEVRKLELQELVNMFQHEAETHAQVRKHGSRNKPTLTSGDRFLHDANYVVID >KVH97389 pep supercontig:CcrdV1:scaffold_193:163934:175995:1 gene:Ccrd_000496 transcript:KVH97389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MASIVKLRLLNTCTCRRFTLFRQFQARYFSSILTPDSTTPLTSKEKSRAALSLLKTEKNPERIIEICRAASLTPESHLDRIAFSIAISKLADLKYFEGIRDFIEELLKTRPDLNNEKFIAHAIVYYGQAGLLDNAFQLFDKMPHLGVAQNAKSLNALLFSCMLAKKYDELERVYLEFPEKYGVTPNVDTYNTVIKSFCESGSSSSCYSVIDEMVRKKWKPNATTFGILISGFYKEEKLDEVGKVLEMMKKLEVPIGIGTYNTRIQSLSALMFCKQSMKKDWVPNFSTMKLLVEGLGNSLRVHEANELVQQMKESPQMTTIFTNEYSLFNFVVNDGNGVKGLVDSGLTEVPARYIQPLDQRINKQDAAVALQNMTVDLSELDGPNHEQVVKTIAHAAETLGFFQVVNHGVSLELMESLKVAAHRFFSQPAEKKAVYLKGVSPSPIVKYGTSFVPEKEKALEWKDYVSMVYTNDANALEFWPNECKLLRALIGNLEVKLDESTLDALVGLRMVNMNFYPTCPNPELTVGVGRHSDMGTLTVLLQDGIGGLYVKKGEASSSANEEWIEIPPVHGALVINVGDALQSAEHRVRTTSVESRVSVPIFNAPLPVAKIGPLPELVARDGVARYRELVFEDYMNNFFGKSHEGKKSLDFASIFSQMAPSFNDENSLFNFIVKEGNGIKGLVDSGLTEVPGLYIQPPDKRISKQDEATSLENMTIDLSELDGPNHDQVVQAIVHAAETLGFFQVANHGVPLELLESLKVAAHSFFGQPAKKKAVYLKGVNPSPMVEYGTSFAPEKEKSLEWKDYVSMMVVNMNYYPACLNPELTIGVGCHSDLGMLTVLLQDDIGGLYVKKGENEEWIQIPPVHGALVINIGDSLQIFSNGRYKSAEHRVLTTSVKSRVSVPLFISPLAVAKVGPAAELVARDGLARYKEIIFRDYMNNFFGEAHVGKKSLDFASI >KVH97413 pep supercontig:CcrdV1:scaffold_193:85746:92546:1 gene:Ccrd_000488 transcript:KVH97413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MASRRSLQLVLNKIKSSGSRPSVRCFATATEGIASPPASSSDLIHLEHDYSAHNYHPVPIVFSHGKGSAIWDPEGKKYLDFLSAYSAVNQGHCHPKIMKALVEQAQTLTLSSRAFYNDKFPVFAEYLTKLFGYDMVLPMNTEKGEHIAGFLFEPIQGEAGVIIPPDGYLKSVRELCSKYNILMIADEIQSGLARSGRMLACDWEGVRPDIIILGKALGGGVIPVSAVLADKDVMLCIRPGEHGSTFGGSPLGSAVAIASLQVIQEEKLAERSDEMGEELRRLLRRTQQQFPDIVMEVRGKGLFNAVELISKALFPATAYDLCIKLKERGILAKPTHSATIRLTPPLSMSLEELREGAKAFHDVLEHDLPKLVKEKPKTGEPKEQNQCDRCGRNLYG >KVH97410 pep supercontig:CcrdV1:scaffold_193:34411:48550:1 gene:Ccrd_000485 transcript:KVH97410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1296 MGSRGGGGSNGGGSQGIPAASRKMVQSLKEIVNGVPEAEIYSTLKDCNMDPNEAVNRLLSQDTFHEVKSKREKKKEFKDTTEPRPRGGGSTSTRGARSGTDRYGGRSGSTQFNSSESGGLHGKPTYKRENGMSSYAASTAPVSKAAPRNANWHQSTFSINQQLAPPPPPNVSRHELPSEDYASQVPEIHPDYGVAADQYASPDNEWPSIEQPQAVGVHSVLDNHAESGFNSGQSSLPFERSNQYQGYETNEVEEQDESCSEDDIENNVGPASIPSRKTQEDTSVTAPLYDNDSYGNMDSYHPHDAACEHKEVEEGDPPISSVSANIQNLSIQEEKHMEEPEEDGPSVVIPNHLQVHTADCSHLSFGSFGASMNSGFSGPFASRTLMNNIEEAPAEPVTSSVDHSENTNLEYYEDGSTRTSESNLVQRTGASAENYDLPSASQSAVLKQEDPEVIHGNQYGFPPSTHAHTFNAPQLLNSSFPQSQTPAQTQISTPFLNVMQGAHTNSLPSTLLAANGHPVRESDLSYSQFSVGQSLPTRYGNSVSSISDPTISMTEALKTVGLSSSQPAQHTPAGNTLPTGPTLPQHLAVHPYSQPTLPLGAYANMISYPFLPQSYTYMPSGFQPAFAGNSTYHQQLAAILPQYKNSVSVSSLPPQSAAVASGYGSFGNSTPIPGNYQVNQPAGPAGSTLSYEDNENSPMWVHGGGSRGVPASAYYGLQSQNQQQASGFRQGQQSYGGAGLNYQDFFHSQGGGEHQNPNPRGGEGSQGQPKLQSQQLWQNSY >KVH97397 pep supercontig:CcrdV1:scaffold_193:364843:370246:1 gene:Ccrd_000508 transcript:KVH97397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSTSNLSNLPPSSTPPIPVAPPVPKQTVHRPPESDNRKLNKGSSQLLRWSRARAIRSGVKLDRPTQRTLTEVVDRPVRDSSSTTSPYQSSEEEEDGCVAEMEEAAAAGAKHIYMVSDGTGWTAEHSVNAALGQFEHCLVDHGCPQAAREGAMLIYTLADENMAASALHACKRWGVPSTDLLSPITEAIALHLGVSPSGLPRGAPGRKFPLTEDYFKRIDAIEFTIKQDDGALPGNLHKADIVLVGVSRTGKTPLSIYLAQKGFKVANVPLVMGVALPKTLFEIDQEKVFALTINPVVLQTIRRARAKSLGSNGKSNRRNCSYRIETLPRPKNQVLDATNLETLLET >KVH97407 pep supercontig:CcrdV1:scaffold_193:5257:11291:-1 gene:Ccrd_000482 transcript:KVH97407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPVLTVPYPHLGFFPFDQNAVTPSNYTQRLYFPSRFQTIVLDVTGKRRRIGKIVVLRAQSGMVIEKQQEFKPSFDEYLKAMETVKTRREKRSASTGTPPSPPPKIDEGTVDLSDTEEQISVQKVVNLKQDEVKKTWIRTKLDSREARSGASARVKDTNIVGKNAQKYVKFQGYVKDAAVDQGRVLQVKKLSGSGAIDQPSVLLNSNQGEIMKIWTRKNSDSKRVKAMDTNGFKNLDSKRVKATDTNGFQETETRQAKFQEKSFNMKWGSEVRSVRNGSYKMDAVVDKERVVHVKKLSGKSDQVVKYDNYDSMEVERAAFKSLEEFQDVCDQPRVSRVDMEERIQSLNGASIDTPEWNFSKMMRSAKIRFADFSMIRLIQILGNYGNWRQVLQVIEWMQSRERFKSNRIRNIYTAALDALGKARRPVEALNVFHTMQQHMPSYPDLVAYRCIAVTLGQAGHMRELFHVIDSMRSPPKKKFPTGVLKKWDPRLEPDMIVYNAVLNACVRQKSLEGAFWVFQQLKQQGQKPNSITYGLVMEVMLECEKYNLVHEFFKKMQKSFIPNSLTYKVLVNTFWREGKVDEAILAVEDMERRGVVGSAGLYYDLARCLCSAGRCEEALVQVANKPLVVTYTGLIQASLDSGKIKSGIYIFNHMHKFCFPNLVTYNVMLKGYLDHHLFEEARELFHKLLEKRGHVTSSADYRHTVLPDIYTFNLMLDACLVNQRWDDLEFFYTRMLQHGYYFNTKRHFQEMFCMNLKQEDYASAFSCLICLPSTESHKYSRNSWLHRFNDDPHLFREETLLQLIDKITILSIRNEEPNTIFLNLLKS >KVH97384 pep supercontig:CcrdV1:scaffold_193:137897:138441:1 gene:Ccrd_000493 transcript:KVH97384 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKYTWRVASETMRINPPVIISFRRTVQDIEYGGYMIPKGWQVRQITHKNKHVIENTIEKTAYLNLHTVLLCSSMTHMDNNIFQNPTTFNPTRFEKTAPSPPPFSFVAFGAGPRMCPGAELAKMETLAMIHRLVTQFTWVLLDKDEPFKRIPMPEFDKGLSVQIKPIKAASTSSEATM >KVH97400 pep supercontig:CcrdV1:scaffold_193:252032:254268:1 gene:Ccrd_000503 transcript:KVH97400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase, beta chain MELATALKDYVGRETPLYHAQKLTDHYKNINGEGPEIYLKREDLNHGGSYKMNNVIAQAILAKRMGRKSVITATSADRHGVATAAAAASESMREWLGNLETEYYLSGTAVGPHPIPTMVREFNSIIGQETRKQAMEKWGGKPDVLVACVGSGCNALGLFHEFMSDESVRMIGVEGGGGDELHCASLVRASHALAYLEKLCPTLPRGTKVVVNCCGSGYNDAPIVLNDMP >KVH97390 pep supercontig:CcrdV1:scaffold_193:153226:160490:-1 gene:Ccrd_000495 transcript:KVH97390 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1084 MTRVPLGIPSSLSSMKMIDRWSSSDWWEEINESRYWQDGIFFALCASYALVSAIALIQLIRIELRVPEYGWTTQKVFHLMNFVVNGVRAVVFGFHLQVFSLHPKAKNLPTDKLRIVYASVNAGVYLIQYGAIPRKDICCSCIAYGSRRLPALWRKVGSVTGICFTCFLVRCFVDVLSAFDSKASLDVLDHPVLNLIFYMLVEILPSALVLYILRKLPPKRVSAQYHPIH >KVH97405 pep supercontig:CcrdV1:scaffold_193:371989:376542:1 gene:Ccrd_000509 transcript:KVH97405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MHTQNTADDHRGGRHLRQHHNAQYNKHQAQESLTTRITKLICAVFLSIIFTIGLVMFVLWLSLRPHRPRFHIHEFSIPSLAEPNGLSNVHVTFNVTAQNPNLDIGIYYDTMNLTLYYQDQTIAETPLLFPFYQSSKNTVVVYGTLSGPTLRINYARWIQLLAARKRGSVPFRLDVASSIRFKVSAWDSRRHKMHANCEIGVGLTAIVVWLSTKPKHPEFTLTDIRIPETCNQNSSRIQENDPKGLVFVLEITNPNNGMAICYVEIDMKLHKGGFVVGNKSLEAFCQGRKKTVTEEVVIGCDQLLRGGGVAGDGGLMVTVETVIKYRYHLAE >KVH97412 pep supercontig:CcrdV1:scaffold_193:66328:73563:1 gene:Ccrd_000487 transcript:KVH97412 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MGESGRRQRNKRERDGGSGDNKNQRRRGNERDDRSNNNRDNAELVVYRILCPDGFIGSVIGKSGKVINSIRQDSRAKIKIVDPFPGSKNRVITIYCYVKEKEDIEPDEEFGDFEPVCAAQDALLKVHNAIANVVGSAGDSDKKWKDKEECQILVPSSQSANIIGKAGATIKKLRSKTRANIKVTPKDVGDPAHSCAMDFDNFVQVSLYSKKQLSKVALKEHTITLITGEPEAVKKALFAVSSIMYKFAPKEDIPLETSVPEAPPSIIIPSDVPIYPAAGLYPSVDSYVPSRSLPSVLGASHVPELQGYADTGNTWPIYSSALPVVSGYAGASLSGELIIRVLCPFNKIGRVIGRGGASIRSVREASGARVDVDDTRRDECIITISATESLDDIKSMAVEAVLLLQGKINEEDEENVSIRLLVPSKVIGCIIGKSGSIINEIRKRTKADVRISKGDKPKCADANDELVEVIGEVGSVRDALVQIVLRLRDDVLKDRDSSGNPSSGNDSMYAGGSGLQVPSVLPSVSSVAPLGYEHRAESGSSLGLLSSNYGYGSLPMSDNGYGSLSSYSSKLYGGLPPSASEMLIPAHAVGKVMGKGGANVDNIRKISGAAVEISDSKSFRGDRVALISGTPEQKRAAENLIQAFIMAT >KVH97398 pep supercontig:CcrdV1:scaffold_193:213405:222064:1 gene:Ccrd_000501 transcript:KVH97398 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein MEGASFLSSRSIGYRPAPNDSVAALASNNNQKSLTPILDRFKVLLKQREEEIRVSSGGDDDIESPVLSTEEIVELYEDVLSELIINSKPIITDLTIIAGEQRGHGAGIADAICARIIEVPVEQKLPSLYLLDSIVKNIGREYVRHFSARLPEVYCAAYRQVHPSLHPSMRHLFGTWATVFPSSVLRKIETQLQFSPPSSYQSSGLKDSESPRPAHGIHVNPKYLEARRQLESSTADSKIQHARANSAPKILGQPCTGFDEYESDNGEQSLGSTSHIARPLFGLSHARPPSPALEEFAIADSPRRVVEGASPSYHGYGYRPGGVTAGDDEPRDWRRSHLSGASRQLEASTTHSVSNGFDIQRPRDLINAYGTDERNKTTNQKLQHVKNLTINGLGSKVGGQTWQNAEEEEFEWEDMSPTLADRGRGGDLFSLPGSSKAGHARGANRSIPTKTDFGRRDWSSQELLPSVAPNNRVSLPERGLKRKIAGFQNEASDIPVPHYPQESSNLSHDQPWGAQHHYNPHGRRPPPLIDSFLGANPQHHLSSLPRLNSSSHGMMNPEASIPASKGAWRPQVNIQKSQPLPVLPSLSFQKHGRSQFDTLNASNSAVNDNSSFLHQQQFDTSESRLSSQLPQLPNQQAGFAPHQQIPGQNTRFSSQDLRNMVSTTLGFNPSHLASRPMIRGYTPQRFDGSGGASLNPVPGMQSSMPFHLHGVGVPPLPPGPPPIPQLPLSIGPTQPGGGALSGLFSSLVAQGLLSLTKTPEQDSVGLEFDPDVLKTRHESAIIALYADLPRQCKTCGRRFKLQEEHSSHMDWHVTKNRVSKSRKQKPSQKWFANVSLWLSSAEALGTDPVPGFLNQSENVVEKKDDEDMAVPADEDQNVCALCGEPFDDFYSDETEEWMYRGAVYMNAPSELTVGMDRSRLGPIVHAKCRSESTVAPPDDSGNNERVFNEDRMRS >KVH97403 pep supercontig:CcrdV1:scaffold_193:355071:363082:1 gene:Ccrd_000507 transcript:KVH97403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MEPFDPPFQQFYKNLFDNHFIDQSSPKTNQIEAFHEVEASEFELPLIDLSRLNHGGFDCEQCKREIAEASQQWGFFQVVNHGISWEILEKMRHEQVKAFKKPFHDKVNGNGHRELNFPADSYRWGTPSATCLQQLAWSEAFHKLAEILAEKLGQTSDFFKKNCVPSMCYIRMSRYPPCPVTPQVLGLMPHTDSDFLTILHQDQVGGLQLQKNGKWINYERFSTAYFLCPSYETVIESCGESSVYRRFSFREFRQQVQDDVKRFGHKIGLSRFIL >KVH97406 pep supercontig:CcrdV1:scaffold_193:1300:4178:-1 gene:Ccrd_000481 transcript:KVH97406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MGRRILNDALRTMVNAEKRGFASAQLQPISNVISSFLSIMKHRGYVKNFEVYDPHRVGKIKVELLGRIKDCRAITYRQDIKAHEIENYRLRTLPTQQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFY >KVH97391 pep supercontig:CcrdV1:scaffold_193:147565:152228:1 gene:Ccrd_000494 transcript:KVH97391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin MAQAVEDWYKQMPIITRSYLTAAIVTTIGCSLEIISPYNLYLNPRLVVKQYQIWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTSIVLVGGMIPYVSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWGMIAGHAYYFLEDVYPKMTGRRPLKTPSFIKTLFADEAVVVARPAEVRFAAPPVDGVRR >KVH97414 pep supercontig:CcrdV1:scaffold_193:95992:98688:1 gene:Ccrd_000489 transcript:KVH97414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSLRPDLTNKIYPEPSSFTFSSPEEPVDHFDLLPDSLLLLVFNNIGDVKALGRCCVVSKRFHTLVPQVENVVVRVDCVISDDDATSASAASDKSRGPFSSLVRLVVGGIVKPIQALGQFLGPKRSSSSSSSSPSSSSSSLSVGNNVDGDDDLEQGGGVTHHSPTQVLKNFNEIRFLKIELPSGELGIEDDVLLKWRADFGSTLDNCVMLGASSVIHPENFTSSDSSFDGVCGINGIGVGNDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDADRQGVLCMNKEQLEELRVKPLSASSASKRTLVPALNMKLWYAPYLELPNGTVLKGATLVAIRPSEQSGQKEVSDGSWVSSAFEEPYGTAARMLFSWLPKGISFMMALGVEDS >KVH97401 pep supercontig:CcrdV1:scaffold_193:291143:294569:-1 gene:Ccrd_000505 transcript:KVH97401 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MGTKIKGVFKGFKFISQIFVMKEREMEIGYPTDVKHVAHIGWDGSSGSAPSWMNEFKTAPDFAATSIGNSGSALSTWSSQDFGEAMGRRHTDDTINDLPPIDLPNIPKKQRRRKSKSTCSPKSSSSSKSSRKAKANIEIV >KVH97395 pep supercontig:CcrdV1:scaffold_193:387602:396069:1 gene:Ccrd_000511 transcript:KVH97395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLRITEKIMLFFIVIVINLFPLFVSQDIIYPTCDESANFTINGTYQRNLDNALLSLISDTSITYGFYNRSVGETPDRVHVIALCRGDVDQDDCRRCINGSITRLREICPNQKGAIGWYDTCMLRYSNLPIWGNPGSMDTRFQANPQNTSNVDQFNQGLYPLLDQLIVEASSGGSLRKYASNYTRGRGGSRIFGIMQCTPDLSEFQCYNCLDRTIQLIPVCCDGRRGLRVLYPSCNLRYEDYSFFDEMVVLPPPPWPQSPPRPSPQLLPPPPSPLGKTNNTSITVIAIVATLSLVILVVLFVFVFIRRKRKLEGRPANNFVYEDVDINEISTTESLQYSFGIIREATNDFSESNKLGQGGFGSVYKGKLQNGKEIAVKRLSKDSRQGEQEFKNEVLLLARLQHRNLVRLLGFSTEESERILIYEFVQNGSLDQFIFSKLNIIQKFSLLMVNLCSILTFSDPMKRAALDWERRYKVIQGVARGLLYLHEDSRLKIIHRDLKASNVLLDAQMNPKIADFGMARLFTCEESQGNTSQIAGTYGYMAPEYLTHGQFSVKSDVFSFGVLVLEIVMGQKNSSFQDEMVTEDLLSHAWKSWKAETTSSLIDPTLIMKDGPISLRDMIRCIHIGLLGVQEDAIERPTMASVVLMLSSLSITLTFPSEPAFFLHTGMNPENPLFEEYASSTSNSSYSKNKSKSSRGSIARDISLNLDNALSTLTSNTTITYGFYNRSVGENPDKANVMALCRGDVERDDCRRCINDSRRRLRESCPNQKGAMNLWSDGNCMVRYSNEPILGNPDINSPVIYMNPNNASGVDRFNQALNQLLNQLRNNASSGGSSRKYASGTSTNGPWLTTIYGAMQCTPDLSEGQCENCLNSAMQQIPNCCDGKLGVRVIYPSCDLRYENYSFFKATLALAPPPPPTELSPPSPPPSDKSSNTSIIIIIVVVATISLVILVATFVCIFKRRKKNIEGRLSQNLVYEDVDVDKITTAESLQYSFAVIRAATDDFSENNKLGQGGFGSVYKGKLPNGQEIAVKRLSNNSGQGEQEFKNEVLLLARLRHRNLVRLLGFSLEGSERLLMYEFLQNASLDQFIFDPVKRASLDWEXRYKIIHGVAKGLLYLHEDSRLKIIHRDMKASNVLLDVNMIAKIADFGMARLVTLEETQVNTSRIVGTYGYMAPEYALHGQFSVKSDVFSFGVLVLEIVTGCKNHSFQNGTMVEDLLSHAWKSWRDGTISSLIDPTLKDGSNSLRDMIRCIHIGLLCVQEDVTDRPTMASVVLMLGSLSLTLAVPSEPAFFIHTSKPELPLFEEYTSSTGSKVA >KVH97385 pep supercontig:CcrdV1:scaffold_193:132954:136346:1 gene:Ccrd_000492 transcript:KVH97385 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MSLVAGSYERFIWGFKLKTLKHSTETLTLSPIFSFPSHLSPIKCVAVAGTVAVSGGSDDTIKIYDLSTSSEVGSLNDPTATVTSLSLFTPPSLSSFPRNLFSAYDDGNISFYDADPFVHLKTLKIHKKGVNDMSVHPSGKLALTVGRDSCLAMVNLVRGRRSFYCKMGKEASVVKFDCSGDKFFMGMDEKISVHEAEDAKLIVELEANKKVLCIAPGMNGLLYTGGEDKNLTAWDTVSGKVAYCIEDAHSARLKGIVVLSKIDGTSDDEPFLVASASSDGIIRVWDVRMANKAKPNPLAEANTKSRLTCLAGSSIKSMKRKEEQQQQQQQEEEPKGL >KVH97396 pep supercontig:CcrdV1:scaffold_193:228473:239463:1 gene:Ccrd_000502 transcript:KVH97396 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein LLHDAHIHPLPKRRVSLPLNIWKPLTQKLLKSFIKTLKQTLTHYPFPLSISIFNTDQATPMEGTSFLTSRSIGFRPSHNDSVLPLANNCNQKSLTPICDRFKGLLKEREEDIRVSSGRHDEIEIPSPSSEEIVELYEIVLSELTINSKPLITDLTIIAGEQREHGGGIADAICARIIEVPVEQKLPSLYLLDSIVKNIGRDYVRHFSARLPEVYCLAYRQIHPNMHPSMRHLFGTWSTVFPSSVLCKIEAQLQFSPSASHQSSGLKASESPRPAHGIHVNPKYLEARRQFENSTTDSKIQQARATSTSEILEQPSSGFDEYESDSREALSSHTGSRKLDLSSNVGRNPFSLGHARPPSPSLDEFALDDSPKKVVEGTSSSHEYGRRPHGMAVKDEDLRDWQRPQQSDGALPQIETTASHCISNGFDIQRPRALIDAYGTDERNKTTNPKLQHTKNLITNGLGGKSAGQTWQNTEEEEFEWENMSPTLVDRGPATDPAPGAYRANQSTFAKTDLSRGNWSNQELIHSVAPNHAVSSSDHGLKRKISGFHNEPSDNPVSHYPQESWNLSHDQPWATLHHYNPRGQRPPLIDSFPRANAHHLLSLTPRMNSSSVNMMNPEVSIPSSKGSWRSSLNMQTSQPVPPFKKHIRSQFDMLKTGNSAANENVSFLHQPFDVNENRVPNQLPQMHSQQAGLVSNQQRPKFPPHLSLPQEVRSNMVPPALAFNPSHTTIQPMFRGYTPQRFDTSGGASMNPVHGMQSSMPFLGVGFPPLPPGPPPSSFPLKQIPQFPPSVAPTPPAGGALSGLFSSLACVGLEFDPDVLKTRHESAITALYADLPRQCKTCGLRFKLQEEHSNHMDWHVTKNRVSKNHKQKPSRKWFPNASMWLSGTEASGADAVPGFLNPSENVVEKKDDEDMAVPADEDQNVCALCGEAFDDFYSDETEEWMYRGAVYMNAPTGSVLGMDRSQLGPIVHSKCRSESAVAPPDDFGNNGRGGSCLSVFGMEHKIDDIPFLLAANAPRLVVYSF >KVI11224 pep supercontig:CcrdV1:scaffold_1933:81125:83275:1 gene:Ccrd_010368 transcript:KVI11224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQSPRQQFRRLRVFINDRSCNNDAFRRLRVLLLNLEGTILWRLYYLFPPSSTDSGVLIIGNVIYNAQFSLLALNIVFRREDENFRPYHTSGEGHLKPKNSLSDWNCKDPTRLFSLILELRYLPLTKKRVGEVADERLKFEINTIYSRE >KVH96756 pep supercontig:CcrdV1:scaffold_1934:54194:56426:1 gene:Ccrd_001153 transcript:KVH96756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCDACAQGLQRRIRKIPGIESVKTDLANEEVVVTGVVEPEKLVKDVYKRTRKQASIVVKEEEKKEETKKDEEKKEEEKKVEVIVELKSEEDSNTDPKKIEDWPPKYYMDYAQAPQIFSDENPHACSTM >KVI04498 pep supercontig:CcrdV1:scaffold_1935:25318:28002:1 gene:Ccrd_017186 transcript:KVI04498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MEALVCRKLGDPTTPPDSSEDSSLTVSTSHPIPKLDSPTSVRVRIKSTSLNYANYLQVLGKYQEKFALPFVPGSDFSGIVESVGPDVTNFKIGDPVCSFAGVGSFAQFIVAEEKDLFGVPDGCDLVAAGALPVAYGTSHVALVHRANLKSGQVLLVLGAAGGVGLAAVQIGKVCGATVIAVARGAEKVEFLKSMGVDHVVDLSKGGVIESVKAFLRTRKLKGVDVLYDPVGGKLTKESMKLLSWGAQILVIGFASGEVPELLSWLAKGLITIYISQSYKLR >KVI04496 pep supercontig:CcrdV1:scaffold_1935:3609:13189:1 gene:Ccrd_017185 transcript:KVI04496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIESSVQTVSCTEEQNDYAGLLSAATAHGSPRPIDEERVTSRCRPVAYKVQVKKEEAEGGSIVSLLLTAHSTNRRLQGSRDKGEPPNRGRNNEGDGRLTTSMMAFLDANKKKD >KVI04497 pep supercontig:CcrdV1:scaffold_1935:56662:59958:1 gene:Ccrd_017187 transcript:KVI04497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MEALECRKLGDPTIPPDSSQNSPLTVSKSYPIPKLISSTSIRVRINYTSLNYATYLQVLGKYQEKLPPPFVLGSDYSGVVESIGSNVTKFKIGDPVCSSAAKGSFAQFIVAEENELFGVPDGCDLVAAAAIPLAYGTSHQALLHRANLRSGQLFKSERFVVRLSLPGSKKVEFLKSVGADHVVDLSNGGMIKSVKAFLRGKKLKGVDVLYDPVGGKLTKESMKLLNWGAQILIIGFASDEVPLIPANIALVKNWTIHGFYWGNYEAIKAGGIEESVKELLSWLARGLITIHIYHSYKLQEVNLAFLDIKERKVIGKVMITSTSTSTSTSTSRL >KVI04495 pep supercontig:CcrdV1:scaffold_1935:61476:66123:-1 gene:Ccrd_017188 transcript:KVI04495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVSSPMSLAVSTSTEDFKFQQSEFAAFEPLMDAINDPSGNSNPEIDESEIDLLSVSWNQDYGCFAAGTTHGFRIYNCDPFKETFRRDLKSGGFGIIEMLFRSNILALVGGKANTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDRIVVVLEHKIYVYNFTDLKLLHQIETLANSRGLCCLSHQVNTSVMACPGLRRGQVRVEHFGLNMTKLINAHDSRIACLTMTMDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYGIALSPNVQWLAVSSDKGTVHIFSLRVRVVSEDLSTQSKAIDSPALSHQNSSSSLDALISPSIGANPGSSLSFMKGVLPKYFSSEWSFARFHLPESTQYMSAFGSQNTVIIVGMDGRCIFDPVNGGEMVQHEYVRFLKTQLKNPTTTTSR >KVH87950 pep supercontig:CcrdV1:scaffold_1936:52509:56366:-1 gene:Ccrd_024702 transcript:KVH87950 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MMEVGEELTREKTRIYVGGLGGGVTEDDLRRTFSALGEVVSVDVVRTKGRSFAYLDFLPSSDKSLLKLFSTYNGCMWKGGKLRLEKAKEHYLLRLKREWQEDSEHASKASDSCNADPSESVGTLEKSKKPPSADKTNLNIFFPKLGKVKSLASAGIGKHKYSFQRLQVPSIPTHFCDCEEHSLAFVPTNKKQSSEFVNASDGINEQELNIMNSVMNRIFEKANPSKQANGKSESVNGNGVSMNSNDELAALENDEEDHLTDEDNLIINMATGRSDSMDLLGEMRTMMVNREPVANRLVTSGGKPKNVQDSREKKTKSVQDSREKKTKSVHDSQEKKTRSVHDSQEKKTMLLSKKRKSPHSVENHSSGSVISEALGNSQIHLDTREDSKTEIKSGTKQSGSNSLRSLKSTWKDLIGQQGNMPFSISSIIATVPQEKSEKLKGDDTPKKSLVSSKKRKRECDRIELVSSVPETRSSTSHINKEVVLDILANDPQQKEEPRADDLDMPLTKIADQFMISDVLSKEKEDEPTTDDIDVENIHDLENADNQSGKSKELTEALPAKHDEDLIRTARGDAWRHKSSWTQLISNTGHTSFNISQIVPNLSFEKQADAFDLQPANKNLDKCAAETANNQRPAGVVTMKKSSERRKSLSLGNTESEDSCLFMRTEASLKEWKKAKASLSSSLNKKKKPVKESA >KVH87949 pep supercontig:CcrdV1:scaffold_1937:21561:22928:1 gene:Ccrd_024703 transcript:KVH87949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFRLQICGSQDDCAYNQGFGVLIFNNDFSEGSCVVKKDISLERPGIAGTSIRSDSKIFGTVGSQLPLRLHLLNHRCDVKALEDCLCKRVIVTRDETITKWLDLESVAVSRDALAKIAYSRLFDWLVDKINSSIGQDSSSKYIIAVLDVYGFASFKTNRCFT >KVI08079 pep supercontig:CcrdV1:scaffold_1938:58202:70840:-1 gene:Ccrd_013553 transcript:KVI08079 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MESSRRAVESYWRSRMIDGATSDEDKVTPVYKFEEICELLRSSHVSIVKEVSEFIFRRLQHKSPIVKQKALRVIKYAVGKSGVEFRREMQRNSVAVRQLIHYKGQPDPLKGDALNKSVRETAQEALSAIFAGEESSKPPPKEGLTQRIQGFGNTNFDMPSDYKKSFLSEVVGIGSATIKQGLNSLTQAQTQNKNDTGTYRSPNLRRSLTNEISYSDSKELHSQPVLSSRLSVNASGSWGQDLKTSQADSENGSASSSFSHDKSREERLIETIVTSGGVRLQPTRDAIQTCLMEASKLNALALSHALETKLQSHMWQVRVKAMCVLEAILRKKDDEHLFIVNSYFTENIDVVVKCSESPQASLREKANKVLSLLNGEQSGSRMSQPDKNLKTQKVCQMPDLIDTNDSYGNENSVAMQRDESIASLSTSTTSLINDFFTDGASTDVHNEDLTNTDDPFADVAFHGQNNKDEHEATDIFSGMATVDKPGIVTPTSAGGSGPELFDIFGSNSEFPQSQGNSKNDVDDLMAGLSINGNESLPKQNGNSQMEQPEIIFSNSTTNSIHQPNDALNNIFKSQAPGIAANPMFPMDPMTYNALSSGLMLNPTPFASQTVNYGAMSSLLAQQQFLSAMSNLQQMGNLQSQNIGASNASGTQVAEHTSALPDIFNPSIATQTPMMNNSKKEDTRAFDFISDHIAAARRVN >KVH87947 pep supercontig:CcrdV1:scaffold_1939:46509:54547:1 gene:Ccrd_024707 transcript:KVH87947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MGGEVSYHINRRFFVAVHVGAGYHSPINEKALRSAMKTACLAAASVLRTGSGRCVDAVSAAIETLEIPSSSPVILSAYDNDPCTNAGKGSNLTEDGHVECDASVMDGKSGVFGAIGAVPGVKNAIKIAAMLVKEQMLGSSLLGRIPPMFLAGEGARTWAKSKGVNFFESIEEANEWLVTTKAREQWRTYKAMLDDAKARISSAESSSSPQQIDNASDNSNQSVAGSKDAIRPAPDEDSIMDTVGVICIDKEGNIASGASSGGIALKVSGRVGLAATYGCGCWASSKGPFGAPSIVGCCVSGAGECLMKGFAAQECCTSPSLSQDGPGAACSKVLQSVIEDSNRNGSDKSAGILLVQADAPSLGPGSSPQLKAVEIAAGFTTLSFGIGFFSNSMEQPKVSILRSTKQGKRTDICQFAASVNLSYSPIRKET >KVH87943 pep supercontig:CcrdV1:scaffold_1939:83216:88196:-1 gene:Ccrd_024709 transcript:KVH87943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MSFGGFLDNSHGGGDGGGSGGGARIVADIPYHMRGGAMSKSMFSSPGLSLALQTTMEGGGGGGGVGEMGRMPESYETSGGGGGRRSREEEHESRSGSDNMDGGASGDDPDADDGKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLCLETRQVKFWFQNRRTQMKTQLERHENSILRQENDKLRAENMSIREAMRNPICTNCGGPAMIGDISLEEQHLRIENARLKDELDRVCALAGKFLGHPISSMAPPMPNSSLELGVGGNHFGGGGRVLSSTASALPLGPPDFGVGISSASSVPSSRANNNVMGIDHSLERSMYLELALAAMDELVKLAQTEEPLWLRTLEGGREIMNQNEYSRAITPCIGLKPNEYVSEASRETGMVIINSLALVETLMDSNKWAEMFPCMIARTSTTDVISNGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRENSSAFSSCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESAVHELYRPLIRAGMGFGAQRWVAALQRQCECLAILMSSAVPSRDHTAITASGRKSMLKLAQRMTDNFCAGVCASTVYKWNKLCANNVDEDVRVMTRQSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGNRGVSETDNGGVGGGSGGGAGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALPSDS >KVH87946 pep supercontig:CcrdV1:scaffold_1939:7580:9171:-1 gene:Ccrd_024704 transcript:KVH87946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MMPNRHKPETNQKPWEVALKDIKEGNKRVKWKERVPYAYWKGNRNVAPVRADLLKCNHTPHVDWATRLFSQAQENGDASSRFIQEFVKMENAYDYMLHLLTEYAKLLKFKPTIHPNAVELCSESMACLADGKWRKFMADSLVEYPTDTTPCNMPPPYDPSALKAIIDNRRRTIKQVEMREDKFWKNKNLK >KVH87944 pep supercontig:CcrdV1:scaffold_1939:56981:58616:-1 gene:Ccrd_024708 transcript:KVH87944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MSIEEDSDFLATRRYAIVTGSNKGIGLEVCRQLASKGVLVVLTARDEKKGKEAVKTLKDCNFFQHENLVFHQLDVLDPYSISSLVDFVKTKYRKLDILVNNAGVLGLSVNMEALEASTNGDDSRFIKWEDVSTQPYDLGEECIQTNYYGAKRMIEAFTPLLSLSDSPRIVNVSSSSGKLKFVINEWARNILSDAKALSEKRIGEILEVFLRDLKENSLEANNWPSFLSAYTLSKAAMNGYTRLIAKKNPSFMINCICPGYVKTDLNHFLGHLNVEEGAHSVVRLVLLPTGGLRHSGVFFYREEISSF >KVH87948 pep supercontig:CcrdV1:scaffold_1939:42482:45274:-1 gene:Ccrd_024706 transcript:KVH87948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MSFTGTLDKCKACDKTVYFVDLLTADGVTYHKACFRCTHCKGTLSIQLQMSNYSSMDGVLYCKPHFEQLFKESARISIKHVTMEGEPYHKSCFKCAHGGCPLTHSSYAALDGVLYCKHHFAQLFMEKGNYTHLMIYNM >KVH87945 pep supercontig:CcrdV1:scaffold_1939:35824:40999:1 gene:Ccrd_024705 transcript:KVH87945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MCPDYFRWIHEDLRHWRETGITRDMVERAKASAHFRLVILDGKAYVESFRKSIQTRDLFTLWGFQQLLRRYPGRIPDLELMFDCDDRPVVNAKRYFRRPNPGPPPLFKYCSDIWSLDIVVPDWSFWGWPETNQKPWEVALKDIKEGNKRVKWKERVPYAYWKGNPNVAPVRADLLKCNHTPHVDWATRLFSQNWENESAQRYKNSNVEDQCTYRYKIYVEGWAWSVSEKYILACDSPTLYITPHFYTFFIRGMSPLEHFWPIRETDKCKSLKFAVEWGNNHTSKAQEIGDASSRFIQEYVKMEYVYDYMLHLLTKYAKLLKFKPTIPPNAVELCSESMACLADGKWRKFMVDSLVEYPTDTTPCNMPPPYDPSALKAIIDNRTRTIKQVEMWEDEFWKNKNLK >KVI08601 pep supercontig:CcrdV1:scaffold_194:364846:366735:-1 gene:Ccrd_013053 transcript:KVI08601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MADNVQSGIAIAANRVRKRSERIMIRTSFSKFKNTVANPVVLDEDELDDFEMNQATFQPAEPVLPFLPADDSMNFDDAVQVTGPFHTVEEADDSTHCNVKELTVNSSRTDCIVCLCEFFLGARLAMLERCGHGYHVKCLEAWLKEHPNCPLRRIPVSSSHNQDNTTHNHNLYLKKFYDMVSRYGTSALETMVDWLTSHMRHPLASSALT >KVI08591 pep supercontig:CcrdV1:scaffold_194:260280:264823:1 gene:Ccrd_013043 transcript:KVI08591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHWFFKIRICQRREIKIKKGSSSEISNCCDGTSEHATAGIEMMEYQLRSTFSYLRVLDLHGGRQNRRIVEGTVSSESAPSAANFDSPVTAVSSFRASCFLTIPHTFSTVSCLACPKTWDYEFL >KVI08603 pep supercontig:CcrdV1:scaffold_194:336661:337868:-1 gene:Ccrd_013051 transcript:KVI08603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGCKKRSGSSKKLAPTSSTGVYGMTGMHLKRMKPAEKVVADGSREQQSRRRKMSRAHDAILKYVLKIMEVCKAQGFVYGIIPEKGKPITGSSESLRKWWKEEACFDQNGPLAVSEYHSTMAAVEQCDDVFKNSIEGEETLLKKLSPNQKIEPELDHFKNSMEERRKNTDRCLYHANDDGHGAISWMNMIETTEKEHSKEKESGIANRSRNVYLGFRI >KVI08597 pep supercontig:CcrdV1:scaffold_194:159385:161378:-1 gene:Ccrd_013033 transcript:KVI08597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVLEERNTFYKGSISLCKNSKNLVGCDDNRFSLRHFSLCISLSPCVHSSHRNAVMAAASSPREDNVYMAKLAEQAERYEEMVEFMEKVVAAADGSEELTIEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEGHVSTIRDYRSKIESELSSICDGILKLLDSKLIGSASSGDSKVFYLKMKGDYYRYLAEFKTGSERKEAAENTLSAYKAAQDIANGELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQVDDSAEEIKEAPKADE >KVI08584 pep supercontig:CcrdV1:scaffold_194:264275:266554:-1 gene:Ccrd_013044 transcript:KVI08584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MATFTGASFTTLLSSQQISRQCLAPTRISGLKLVSFSRSGRSNLPLSFHRLQVSCAAKQETVEKVCGIVRKQLALNDDTAVTGESKFAALGADSLDTVEIVMGLEEEFGISVEEESAQSIATVQDAADLIEKLIEKTSTA >KVI08588 pep supercontig:CcrdV1:scaffold_194:222658:225005:-1 gene:Ccrd_013040 transcript:KVI08588 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MSLPLLLFCLLPAAFSAASPPLLPEYYAKSCPNAELIVRYVMTKAMIREPRSGASVMRLQFHDCFVNGCDGSLLLDDTPTMLGEKLSLSNINSLRSYEVIDEIKEALETACPHTVSCADIVIMAARDAVLLSGGPNWDVKLGRKDSLTASQKDSDDIMPSPRANASMLIDLFARFNLSVKDLVALSGSHSIGKGRCFSIVFRLYSQLGPGQPDPSIEPKFREKLDQLCPLGGDGNVTGGLDFTPQVFDNQYFKDLVNGHGFLNSDESLFTYVKTRPYVRRYSEDESEFFRDFVEGMIKLGDLQSGRPGEIRRNCRVVNGRGRRPVDVVGGGLKDRKIFGYER >KVI08589 pep supercontig:CcrdV1:scaffold_194:230398:235089:-1 gene:Ccrd_013041 transcript:KVI08589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MATGGGYSDASQKIDYVFKVVLIGDSAVGKSQILARFSRNEFSLDSKATIGVEFQTRTLVIKHKSVKAQIWDTAGQERGAVGAMLVYDITKRQTFDHIPRWLEELRNHADKNIVIILIGNKSDLEDQRSVPTEDAKEFAQKEGLFFLETSALEATNVEDAFLTVLTEIFRIVNKKSLAVNEEQNGNTGSLAGKKIIVPGPAQVIPEKRTCCTS >KVI08590 pep supercontig:CcrdV1:scaffold_194:245516:257791:1 gene:Ccrd_013042 transcript:KVI08590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MAASSTSSTSSLCFPATSAAGTRNSFPTNDTFLRCRRSRQFTQLKVHKSVVRSDLDRDVSDMRNNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYDSRTFIGGKVGSFVDKHGNHIEMGLHVFFGCYNNLFRLLKKVGAEKNLLVKDHTHTFVNRGGEIGELDFRFPVGAPLHGINAFLTTNQLQPYDKARNAVALALSPVVRALVDPDGAMTQIRNLDNISFSEWFMSRGGTRTSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRDYIIEKGGRIHLRWGCREILYEKSANGETYVTGLAISKATQKKIVKADAYVAACDVPGIKRLLPSKWRDWEFFDDIYKLVGVPVVTVQLRYNGWVTELQDLERSRQLREAAGLDNLLYTPDADFSCFADLALASPEDYYIEGQGSLLQCVLTPGDPYMPLPNEEIISRVAKQVLALFPSSQGLEVTWSSVVKIAQSLYREGPGKDPFRPDQKTPIKNFFLAGSYTKQDYIDSMEGATLSGRQASAFVCDAGEELAGLRKQIASIQSIGVDEQLTLV >KVI08583 pep supercontig:CcrdV1:scaffold_194:330185:330586:1 gene:Ccrd_013049 transcript:KVI08583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EERNYIFSHIYYGDEESNYHYIYSFFCFFFQVQDNPKRLCFFLPIEALPSPVTIPIWMIRLKLLWFTPTFTTCLTIAEQFLNTKRTSPEGNFNVADFPSFAIIFATVPAALANCPPFP >KVI08577 pep supercontig:CcrdV1:scaffold_194:8216:9529:-1 gene:Ccrd_013023 transcript:KVI08577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAFLWKRPRVSRLAGKKFLHKQNSKIELTIPTHFRCPISLDLMKDPVTLSTGITYDRESIEKWMQDGNQTCPVTNQVLTSFDQIPNHTIRRMIQDWCVANRAHGIERIPTPRTPITSSDIVEVCSKMMDSSSNGDEKRCLDLVEKINAWAKESEHNKSLIIDNGLGYVLASSFESFSSLSFEKHQVLLRDIMFLLTWMFPLGIEGRSKLGSASSLRCMIWFLSKEDLLLKKSCILTLKELLSSDQSHVNTLIAIDGLSEALINLINLQDCPSATKSSLTVIYHIISTPAGFNKVSSRFVELGVIESSLEALVGADKGLSEMALGVLERISDTKEGRERMQTNALTVPLLVKKILRVSCLASDFCVSLLWKLSNNGDGSELVEALHVGALQKLLLLLQVNCGEETKVKATEMLKLMNQIKNKLDCFDSGHYKYLRKSY >KVI08600 pep supercontig:CcrdV1:scaffold_194:207783:211283:1 gene:Ccrd_013038 transcript:KVI08600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYPLPAEQQWRNIAMHEQNLTILRMLVTVRTMAVKYGGKFVDSFLKVFEFLEKHFQGHKPLIIQLFKDFQKGTRTIQTLCSEAKGSKQTSITSKIPATKRSLERFLFRNLKHKNLIGEVVSSQAYIDDPDENNAQDFFEDQIVTNVEEEDDDGGGGGGGGGGGDGGGDDDGEAE >KVI08598 pep supercontig:CcrdV1:scaffold_194:153897:156247:-1 gene:Ccrd_013032 transcript:KVI08598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MFHRKLLNKSMQTFVVPSPHSHEAYQPPSPPPPPPPRPLPPPSFSANSSLSPFLILMFCGLTVSFSFICYLALSRRCRRGRNPDRIDEPHVFFDQDLGPVIPHPILLINSVGLNQSEIESIAVFKYKRDGGLIEGTDCSVCLSEFQDDESLRLLPKCSHAFHVPCIDTWLRSHTSCPLCRAPIFKNSSVQTNAITDSNLIDETEETPGDDSESIEHVERNQDVEIENNREVAKTSGIQNETSCAIRVCSDLADHLRVQREASVALRRSVSMNESSVANLHRPVQGKHSSSRFVLSTKPDRTPKGGHKHRGSGSGSGSGSSSSISIRCRAMKRKG >KVI08592 pep supercontig:CcrdV1:scaffold_194:179120:181141:-1 gene:Ccrd_013035 transcript:KVI08592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGYSEFGQKKHSSALIRTISGSKICRGDALASQVDKQALVSLDRNSISLSQNRIVKAAMMQKQMADLEEELKNTKARLDAAERERNRTLAELKETKERGLSPEKAERVFSELKSLKDELSRSRKEMEVRDKEIASLLESKKKIRELEEKLKKAEESESHMLESFMLQTRQITETKMDLEESKLEIAALQEKLANVEKNKGLRNESMVDRKGNFEGETKALKDEIRRLKSEVKLATEAEENSKMAMDGLASALREVALEASTANEKLKSTEAELAQLKEMLKTQEDKYKQRIDELERELELQKETVDQLRVEAEEAHLAWNGKEMGFISCIRRADEECALAKHENNRLKEAIVAAENSAMTAKEEAFKLRDILKQAISESNVAKEAANMARSENSELKDLLAEKEDALHFLTKENERLRINEVAARENVKEFKRLLAAKAEVISKPPCDDKDQADVFNSPLSSLYEDHLDGRTTPQQTFSFDLDDLKMYNKEDEEREPELLEEEDSVSDILVDDDDPEKAEALKGSIFDTAASPKSEPQTPRPKYEHRRALSIYNDSAGTAARAEEGENAHNESSSHTEETEDKSYYSYYANYTNISKKKLFRKIGDIMSLKIITTSKKDVPTEPTKEPAKEPAKEPAKEPAKEQAN >KVI08599 pep supercontig:CcrdV1:scaffold_194:214070:216755:1 gene:Ccrd_013039 transcript:KVI08599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLVRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGESDLPGLTDVEKPRMRGKECSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQREKRSESLAKKRSRLSAASKPSIAA >KVI08586 pep supercontig:CcrdV1:scaffold_194:290448:291726:-1 gene:Ccrd_013046 transcript:KVI08586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVILLISNLCKVDEDIEIEVSRRGSRLVETVKNEQSIERKHTEELRLSNDVEEMKSKLRELESILRQDSKLRTERSTEPRAQIGFQLVFVFVVAVASLYLGYLLHG >KVI08587 pep supercontig:CcrdV1:scaffold_194:301624:309011:1 gene:Ccrd_013047 transcript:KVI08587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MVKVAARKPKVAKKPDNVNKKKIVKTKKKKNIAERSIIPKTSAIQAADDSSDSDGEDYSPEQIQKLIEPYTKDQLIEFIINAAIANPSLYTRIRDAADSDVSHRKIFVYGLGWDTTKETLTLAFKPYGEIEDCNVIIDRVTGKAKGFGFVQFRSRKGAMKALEEPKKKINNRMASCQLASLGPAVAGSMDNSNRKIYVSNVQPHADPERLRAFFSKFGEIETGPLGFDTSTGKSRGFALFVYKNQEGFRKALEEPYKVFEGHQLHCQKAADGKNKGTATSAPVTVTTPVVQQPTPQMLAAVAATQNFGLFSQSHLGLNPMYGGLFSNPNAGFLAANPLYASGVLGQMGAVGGAPAGLGSYYAGASHGLSMGVDNGSLLGEYGGATLPVIQGLGLQSSYPKKKVGAASASASGSRSQGAGGSFSGYPSHL >KVI08604 pep supercontig:CcrdV1:scaffold_194:332273:336343:1 gene:Ccrd_013050 transcript:KVI08604 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSITKPHAVLVPYPAQGHVNPFMKLAKLLHSHGFHITFVNTEFNHQRLIRSKGPESVKGLPDFRFETIPDGMPHSDRDATQDIPMLCDMTRKTCLVPFKELLKRLNSSSAGVPPVSSVIGDGVMTFAIKAAKDLGIPEVQFWTASACAFMGLLHYRDFIQRGIVPFKDDNYLTDGTLEKRIEWIPGMNGIRYKDIPSFIRTTDPDDIMLDFMGEEAQNNLNAPAIIFNTFDALEDKVLDAIASKFNYSNIYTIGPLPLLAKYVSDSSPVQSLNSSLWKPDSNCLHWLDQKKEGSVIYVNYGSVTTMTDQHLVEFAWGLANSMQPFLWVVRPDVTMGDSAILPEEFVEETRDRGLMVSWCAQDQVLAHPSVGAFLTHCGWNSTTESIAEGVPLICWPFFSDQQTNCRYSCVEWGIGMEINRDVKREEVEVLVTEMLQGEKGKKMRREAIEWKRKAKEASDVGGSSYKKFQRFIKEALLDVSHV >KVI08578 pep supercontig:CcrdV1:scaffold_194:40952:43906:-1 gene:Ccrd_013026 transcript:KVI08578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHVGYKLLPSILIILSSIFLHGCSQSDTNDAAVMLSLKKSLNPPPTLRWSDPDPCKWDHVVCSDEKRVTRIQIGHQNLQGTLPESLSNLTQLERLELQWNNISGPLPTLNGLSSLQVLMLSNNMFSSIPPDFFTAMSSLQSVEIDNNPFSSWVIPESLRNASTLQNFSAVSANITGTIPHFFGPDDFPGLVNLHLALNNLEGELPMSLSGSQLESLWVNGQKLSGKIDVIQNMTFLKEIWLHMNSFSGPLPDFSGLKDLEVLSLRDNSFTGPVPVSLMNLESLKSVNLTNNKLQGPMPKFKDSVSVDMAKDSNNFCLPEPGDCDPRVNTLLSIAKSMDYSPKLANNWKGNDPCADWFGITCNNGNITIVNLQKMELTGTISPDFSALKSLQRLVLANNNLTGTIPEELTTLPGLTELDLANNNLYGKVPVFKNNLIVKTDGNPDIGKDKSSSSDTNSPDHPGLTNSNGVSLSGKKKAKNWLGVVVFSVLGGILVVFLIGILAFCLYKKKQKKFSRVQSPHAIVIHPRNSGSDNESVKITVAGSSVSVGALSETHTIPTTEGNNIQMVEAGNMVISIQVLKTVTNNFSQSNVLGQGGFGTVYKGELHDGTKIAVKRMECGVIIGKGLSEFKSEIAVLTKVRHRNLVALLGYCLDGNEKLLVYEYMPQGTLSQHLFNWPEEGLKPLEWTRRLAIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVGDFGLVLDVFSFGVILMELITGRKALDESQPEESMHLVTWFRRIHLNKDTFRKSIDQTLDLTEENLASISKAAELAGHCCAREPYQRPDMSHAVNVLSSLVEMWRPSDQSSEDIYGIDLDLSLPQALKKWQAFEGGNQSHTTDDSSSSFLPRLDNTQTSIPNRPYGFAESFTSLDGR >KVI08596 pep supercontig:CcrdV1:scaffold_194:119605:120615:-1 gene:Ccrd_013030 transcript:KVI08596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNGSSISILRQLFIVLPALLFPRAAAQSIRGSSSTSAYPYEIGGNVSAPVKIILSVLIFGMFLIAFFSLYIRKCIEDSAIESRRPILIANNHRARLKFPCGLDRSVVDSFPVFLYSDVKVLKIGNGALECAVCISEFDDDERLRFLPKCHHVFHPDCIDAWLASHTTCPVCRDDLTTANLNDNSESNDESTHSQINDSTSENGSQNHSIIHVEEERAAPKFPRSHSTGHSLILPGENCDRYTLRFPEEVRKQIVTLKRAKSCGCGVDLPADGSSRRGWRLQSDGSRGGRRWNPDRLCGRSDLWNMRVTRMPAFIAKSDSAGKMDPAQSSSSNTLPV >KVI08595 pep supercontig:CcrdV1:scaffold_194:147028:147741:1 gene:Ccrd_013031 transcript:KVI08595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MAYPTVAPPNFTTVECHKQVRSWRLLRSILELLIQACTCTLVEKQDYNDVDDSYPIHSYHHRKSSSLVFPTAATAITGTIFGSRTGKVNFCIQTNPKSQTPILLLELTISTTFLAREMKSGNLRIALECSTSSCSDHKSLLSIPSWTMYCNGKKVGFAFKRQPSSSDIKVLKHMETVHVGAGIIKAKEVEREEDIMYLRGFFNRVTGKSMTRSETFHLIDPDGNIGQELSIFFFRPQ >KVI08576 pep supercontig:CcrdV1:scaffold_194:106662:107675:-1 gene:Ccrd_013029 transcript:KVI08576 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MGGITTMRDLIGIVKDKVSLSKLALLSKPHTLSLHLAVLRTTSHSPSTPPHDHHLATLLSLGDGSRATASIVIHSLMNRLHRTNDSYVALKCLLTIHHIINRGSFILKDQLSVHPSTGGRNNLKLSDFRDSSSATTWVLSAWVRWYARYLETILSTSKFLGFFICSSYSVLERENQQDSISSILNSDLIRDFGSLVGVVEELCKVPDNLLVERDRMLAVVMELLANDYLSTVNEILLRLSEFKERVNLLSFNDSVELASALDRLASCKETSLRVFCNRKSSMDFVWEMVDELNNRIGMVHLRKLERRDSGSESARFLDRVGKTSEYMRFSSGRLTMK >KVI08585 pep supercontig:CcrdV1:scaffold_194:277643:285701:-1 gene:Ccrd_013045 transcript:KVI08585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MKNESHNQFWRVDLESSIGGSTSMEGSKAEEGNQTMKLYSHWMSSCSRRVRLALHLKGLKYDCINITSVGDPELLKVNPMGYVPALVDDTTVISDSYAILLVASIVSSSIQPLQNTSLVNYIGEIVSPDEKIPWAQYHIRKGFVALEKLLTNYAGKYATGDEVYLADLYLAPQLDNAINRYKLDMTEFPLLSKLNKAYSELPSFQQMLAETQNLDADKIFGLVKKLAA >KVI08579 pep supercontig:CcrdV1:scaffold_194:50705:56737:-1 gene:Ccrd_013027 transcript:KVI08579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESPNGSTAESLTKKSDVSDRDHLQISVKLGQDPELNPKEDPASRQRDNAEITDEYSSSTTIPVASSPSTTANRSKKSVRWSQDLVEERTLPPLENGDDDYESSNPYVNRTRESSNSPAFNINNSMVNIKDAFGRWRKKVGEATKKAEDLAGNTWQHLKTAPSLTDAALGRIAQGTKVLAEGGYEKIFRQTFDTVPEELLQNSYACYLSTSAGPVMGVLYVSTAKLAFCSDNPLSYKANDKTEWSYYKVIIPLQQLKAVNPSCSRGNSGEKYIQVISIDSHEFWYMGFLNYDGAVRCLQDALQARISRSV >KVI08582 pep supercontig:CcrdV1:scaffold_194:317452:324012:1 gene:Ccrd_013048 transcript:KVI08582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITFQNLLTRYSHTHLSFKLKPPNLKTPNFDVTIYPHYQTLNTRVLIPFKSHSLHTRHHSSIRALESDGVVDKQYIVAMDTFDIDAFLSIAEFFCLASSAVLSVGFVINSTFSTSQKPVLEWFGNRVSVWQSLLLVVGIVIGAAIRRRQWRRICVGFSKPGSSRVNLVERIEKVEEDLRNSATIIRVLSRQLEKLGIRFRVTRKSMKEPIAQAAELAQKNSEATRALAVQEDILEKELVEIQKVLLAMQDQQQKQLELILAIAKTGKLWDNKPAPNQDQKKTEISNLTGGGSKSDGNR >KVI08593 pep supercontig:CcrdV1:scaffold_194:168575:178966:1 gene:Ccrd_013034 transcript:KVI08593 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein, eukaryotic MVEFPSSSDSSSSHDEGHEQLEFLHPTTAAVANLSLSSEAFLGAAIALKEQVVEATWTDGCAGGGDIVDPTVYTGLLGTAFTCLRLYEAIGSHQELQLCADIVHTCAAAIANTSTRYMTFLCGRGGIYALGAVVANLCGDHHRRNFYLGEFLELAQERALPVGPEEGGFGMSYDLLHGRAGFLWAALFINKHMGQETVPSDLLNPIVEAVIAGGRAGASDHAMCPLMYRWHGTRYWGAAHGLAGILHVLLHFPLSEEDTEDVKRTLRYMMSKRFPHTGNYPSREGNPRDSLVQWSHGAGGMAITLCKASEFRDAAIEAGKVVWKSGLVEKVGLADGASGNAYAFLALYRLTGDSIYKERAKAFGGFLYHNIKKLTSITNSHGRYSLFQGLAGTACLWCDVARSEKSRFP >KVI08580 pep supercontig:CcrdV1:scaffold_194:19288:21644:-1 gene:Ccrd_013024 transcript:KVI08580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MICFRQPMATELNRSAAHTYCRSIAVLLLLWRNILIVRPEDIAVDDGVTSRKTPRDSSLRVAGDGEGSSFKVALFADLHFGENAWTDWGPQQDLNSVNVMSTVLDVERPANNLPIHNASFYWDQAISPTRARNIPWASLFGNHDDAPFEWPIDWFSSSGIPHILCPRVNSSGGDECSFKGTTRLELMRNEIMHSLLSYTGFGPRNLWPSVSNYVLTLVSPKRDPKSPLMFMYFLDSGGGSYPEVISSIQSEWFHNKSQEVNPDSSVPEIVFWHIPSKAYEKVAKKAVGKRTQCVGSMFQERVAAQEAEMGMMKLLKERPSVKAVFVGHNHGLDWCCPYEKMWLCYARHTGYGGYGNWARGARILEISDEPFSLKSWIRMENGTFHSEVVLAT >KVI08602 pep supercontig:CcrdV1:scaffold_194:359214:363315:-1 gene:Ccrd_013052 transcript:KVI08602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINGVPSKLGFYVVDNLDVKKMELKVVNGGIPITIESIHKLLGLRMGGVGILEMDEVEDSKNMTATWKKQFDKKKMRPKDIMKIIQSSGDAGFNFKLNFLLLYVEETRSPKVVVEHKGHAISAWNLDLLKKRQSTWIKDRGLGLLPLRSNVESSEDVHHCYASNQENIGETSTPTHLNKEILIKIAVVLSARVEAEMEIKEAMSKFPDDEEFKQYKKQLDDMFNEGACNTTHDTHSNGLKDHSTAKNDGQPSLDIVVSQPSGFNLPKIWLSPGFIEAVDKVVENTISTSKTKRSYATITPPKFDLGISPIKQSEPLSMVLHEEAECIERCPSVERCNVSEDAKKYQEERATRRELKLGDHLRSPFVIIVVDLNVTPEEKSMNGQWLGLEESSNELLFSTPNDTKLHRHAIESLERTTTIYVSVIDAWATLLNYEEWYRNRDSLRRYFFNTEVMQRLMIRHLNTIGHASGKELDETGQERLRLDWQTQYNFDDCGVFTMRHMETYMGDMKSWKTRLAPESKTQENQIANLRMKYVAKILVNNYPQNEIRRKDIGEQQ >KVI08575 pep supercontig:CcrdV1:scaffold_194:95783:100362:1 gene:Ccrd_013028 transcript:KVI08575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MAANGHSVRVEESTLVPLLDHSSSNVDLGAKLPDQELDLSFRQRYLIESKKLWHIVGPAIFSRIASYSMFVITQAFAGHLGDLELAAISIATSVIVGFDFGLLLGMASALETLCGQAYGAKNYQMLGVYLQRSWIVLFICCILSLPLYIFASPVLKLLGQPADIAELSGVVAMSLIPLHFSLCFQFPIQRFLQSQLKTYVIAWVSMTALVVHSAISWLVVYRFQLGLVGTVVTLNISWWLIVIGLFSYTVCGGCPETWNGFSMEAFQGLWGFVKLSAASGVMLWYSSSLSNLENWYYRILIVMTGNLENAKIAVDALSI >KVI08594 pep supercontig:CcrdV1:scaffold_194:206346:207238:1 gene:Ccrd_013037 transcript:KVI08594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFSVLSLLDPAQMLTCPDFILIKQSVLLDLLKSFQPKKVPDTVGTKSLSSTGGIDCLYGGVYAFLEGVLDAALCFSFMLASEVVLAMESVVISLQKILDKPLEGTGKHTLTTIQELLATLRSKLSSSAQKLLSHNWHNDIENGWKGKVNFYVVPRSYESPLIEAMILSILLGKWFRRSSTFTWKIASPLLNHWRNLHALFCLQLSPFSADENLVEQQ >KVI08581 pep supercontig:CcrdV1:scaffold_194:29899:36431:1 gene:Ccrd_013025 transcript:KVI08581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEKSCSLLVHFDKGTPALANEIKEALEGNDDIAKIDAMKKAVMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKTDSRGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRINEAEIIEPLIPSILANLEHRHPFVRRNAILAVMAIYKLPQGEHLLVDAPEMIEKVLSTEADQSAKRNAFLMLFTCAQDHAVNYLLTHVDKVSEWGELLQMVVLDLIRKVCRAKRGEKGKYIKIIISLLNVPSAAVIFECAGTLVSLSSAPTAIRAAANTYCQLLLTQSDNNVKLIVLDRLDELKSSHREIMVDMIMDVLRALSSPNLDIRRKTLDIVLDLITPRNINEVVLTLKKEVVKTQSGELEKDGEYRQMLIQAIHSCAVKFPEVAGTVVHMLMDFLGDSNVASAMDVIVFVREIIETNPKLRVSIVTRLLDTFYQIRSARVCSCALWIISEYCLSLSEVESGITTIKQCLGNLPFYLASEEGDTNDSSKRSQQVNSITVSSKRPAILADGTYATQSAASETAFSPPTVVQGTSTSGNLRSLLLTGDFFLGAVVACTLTKLVLRLTEVQASKIEVHRMSTQVLLIIVSMLQLGQSSYLPHPIDNDSYDRIVLCLRLLCNPDAQIRKIWLQSCRESFVQMLVEKQLQETEEIKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKEGAAANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERNVVVLNDIHIDIMDYISPAVCSDAAFRSMWAEFEWENKVAVNTIIQDEKEFLDHIIKSTNMKCLTPPSALEGYCGFLAANLYAKSVFGEDALVNLSVEKQADGKLKVKGKGSRRFPYRATK >KVI06315 pep supercontig:CcrdV1:scaffold_1941:82650:84970:1 gene:Ccrd_015343 transcript:KVI06315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MWPFYWRARXHCIEEIACALRVFDEMAQVKYYELDSFVIRSYSKLPNSLEPISLFCRLNALEDDPPVIADKFTFTFLITSCTHQTSELHGMIVHGIVTKNGYLSNLYVGNSLINLYGVFARLDDACKVFDEMPERDIFSWTSLLGGHAKQGEMDKASEIFAMMPLRNMISWTVIISGFLDCGKYIKALECFHDMLTESHDSLMPNEAVLVCALSACSPLGALDQGNSIHAYINKNGFTKRSNISTALIDMYAKCGMINSAYQVFHKISQPDVCNYTSMISVFSSHGLGGNALLVFHQMLTENIAPNEVTLLGVLTGCSLVEEGSSIFHKMASVWNIAAKVEHYGCYVDLLGRAGYLKTALVIAIKMPLDADIVIWRALLSACRIYKDVSLADKIIAYVRQGYFSQFDGSEVLLSNLYASLNTWERVGEVRKLMSQRKHESESESKSNFGCSWIEVNGVVHDFRVDDQLHPQIVXVRDKLXKVKTTALD >KVI06317 pep supercontig:CcrdV1:scaffold_1941:90910:91949:-1 gene:Ccrd_015344 transcript:KVI06317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNCQAVDNASLVLQTQNGRAQRFYSPISAAQIMKLHPGHYVALLLTTTFYSSSDHHQHPNTTSSDHHQHPNLINPTTTTTTQQPLRVTRIKLLRPTDNLVLGHAYRLITTQEVMKGLKAKKNGKLIDNNNTSSQPPESLGVSEANSDCKAAASRSHQSGKTHHQMKKPDKHRPRTAVPAKSAAAGSKPRSWHPSLNSISEATN >KVI06314 pep supercontig:CcrdV1:scaffold_1941:35178:39600:1 gene:Ccrd_015340 transcript:KVI06314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MTEFWLRLRHHCSPPDTDAPKPVSLQFTIFHYYLALLFEFFPQGNSHHHHQRIMSQKKTSPPPEQPSSSSSSASGRRKRPSFRNVVIEAMRYAKFNKYVEPILEPLVRRVNEKDSYVPRRLQLQFLSSLSLPVFTGTRIEGGDCNTLKVALIDASTGKTVSSGIESSATVEIVVLEGDFDSNEGDNWTLEEFNNNIVRERQGKKALLTGNALLNLQEGFGLVGDLSFSDNSSWTRSRKFRLGARVLDNCNGDRVREAKSESFVVRDHRVYKKHHPPYLSDEVWRLEKIGKEGAFHKRLNKEKIKTVKDFLVLSYVDPARLRNILGSGMSTKMWEVTMEHARRCVIDDMKLYLYCPRSLNRDGVVFNVVGQVLGLLSDSKYIVADKLSETELAEAHKLVISSFQHQEEIICYDDEASLKTGTCSISEDIYPTNALTVAGDSECNKTIASHTKGRFDYPQMSAPSPDVMPSIMYPPMGDIGSLDEYGLNSMESDDLRFDQPVDLHCQVSDTLICDSESLKSQDVDMQYFGTSSEADLQCAVDGFLFPHSAIVKAQRRWKIVSSVLKWLSLMLEIRERDIIKTMN >KVI06316 pep supercontig:CcrdV1:scaffold_1941:72546:77184:1 gene:Ccrd_015342 transcript:KVI06316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MAFDRQRLEEGNTGKDGSELNGISTDDLAAFSGLRREALEVMILQTLKRLDGPTLVTFFGPSIRQIVREEFALAQQELLTSKKEIPVNKASTSSVLKNLKTQFRTRVSQPVFTGMPLVGENKTPIEIALVDADTEQIVNTGTESALKLEIVGCRVGDDDCEKRSWTFEELQNSILGEKKGKRILQGDTCVQLKEGIGFVGEISFTFNSTHTKNGWYKLGAIVGDAALMNGVEVAWTESFLVKDRRATYSVKHPCPSLFDKVCHLQQISYCGNRYKRLKDAGVNTVKDLLTLLHTDPERLKDVEAKKLIKFACEHLEVLLPVKDETSLIEHLQSGTGFSSLPSNQSLGTSMINDTSSRATCSFPSLIGAPDNLDSSNLHTGESTNQAPAVTSQSERGKEKAPFDDELIYCPDDYQEHFCFHPSDLEGPNENHAHYPPTETGTSFSPLLSSNQSSIDRPRRPSECNIIVASHKKRRFDYPQIAYSPEMDMQYLLESWICDSSQDVDMQYSGRCDLEVDQACALAGFSLSSIVKAQRRWKIVSSVVEWFSLMSEIRKRDVIKPCSDGNMVGVIAAGFN >KVI06311 pep supercontig:CcrdV1:scaffold_1941:18732:25857:1 gene:Ccrd_015338 transcript:KVI06311 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MKSLPEEAGPIMRKQEGRKRKLAELKCSPSKLVRNATGINLTVNDPYPNHLPPTSEECRAVRDDLLAFHGFPSQFAKYREQRLNRLSSEDEYGSTTSPPTATVVSPAESVLDGLVSTILSQNTTDANSQRAFSSLKSAFSTWEDVLAADSKCIENAIRCGGLAPTKASCIKNMLNCLFEKRGKLCLEYLRDLSVDEIKMELSRFKGIGPKTVACVLMFNLQQDDFPVDTHVR >KVI06312 pep supercontig:CcrdV1:scaffold_1941:24395:29460:-1 gene:Ccrd_015339 transcript:KVI06312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCDEWRNCLVVAIKSEDTVLGPIPLNRESSIFISSTDKSRRYSKHNFPLFSNRQFNIFFIQEAFVGANPPHLIAFSMHFESAART >KVI06313 pep supercontig:CcrdV1:scaffold_1941:44835:56097:1 gene:Ccrd_015341 transcript:KVI06313 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC7-like protein MKPGRRMSERLMKKHTFSNFKNTIDNPVDVDAETSNTETSSRIGLNQESMEVKQTSPVLNESQKDPITPPYQPDCEMALEELQEYNQLHFLVIPLVSPGHYIPTIDIVKLVAQHGVRVTLHGEAQKIDRIMEKFAERYCKCNPNSFTSADTTYVLSYSVIMLNTDAHNSMVKDKIVYYNQSSVPQLVYVPFFGN >KVI11043 pep supercontig:CcrdV1:scaffold_1942:8134:39553:-1 gene:Ccrd_010548 transcript:KVI11043 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP phosphoribosyltransferase, catalytic domain-containing protein MFLLQTFIQQCPVVAGGFPQFPPTPSLLSSTKHSVNFTVSCCSVASPVAVVNENVDRKSIERSEIRLGLPSKGRMASDTLDLLKDCQLSVRHLNPRQYVADIPQLANLEVWFQRPKDIVRKLVSGDLDLGIVGLDTVSEYGQGNEDLILVHDALAYGDCRLSLAIPKYGIFENINSVKELAQMSEWTAEKPLRVATGFTYLGPKFLKENGLQHVAFSTADGALEAAPAMGIADAIVDLVSSGTTLKENNLKEIEGGVLLESQAVMIASKKSLIQRKGLLDITHEILERFEAHLRALDQFTVVANMRGNSAEEVAERILSQPSLSGLQGPTISPVFRKGDGGVTPDYYAIVICVPKKMLYKSVQQLRAIGGSGVLVSPLTYIFDEETPRWRELLLKLGL >KVI11046 pep supercontig:CcrdV1:scaffold_1942:57325:75874:-1 gene:Ccrd_010550 transcript:KVI11046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDGEQSMEERCKLWEKMETRSTMSLLVAVIVGSINMSVITSASNIGWCLIFQLANSEEYIDGQFTGNLGEILISSSSGASELFNCYNRAANNAILEHDMANPIMNPQQEFLILMGAFENMYKKGLFGWSLNNKKQTYSLVIMPTHHRGSYKYEYPPTPENKGSILFGIVWQEIVKFEQKLKKETVGDKRFDIRVWNERRSSSSVRWEQLESDVVFASDRGRDGGD >KVI11045 pep supercontig:CcrdV1:scaffold_1942:79288:87571:-1 gene:Ccrd_010551 transcript:KVI11045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MANSKGPSTMRSMMYNGKHSLLPPKSPFPSIAPSYADYVSNSAIGPKGPPKYRDGNSLHQRTSSESFLIEEQPSWLDDLLNEPETPVRRGHRRSSSDSFTYMEAANAANNEYRAQDEYRLRNLSSALSWGSQDFDIFTDSRNPSFYAEPNAMMKNKNRAWDSSQNALTHSIGSASPRDNFVLQNSISLAASREVDGNTSIATEKKDAIESLRQDADTSERKDSSSNKASASETDTKRAKQQFAQRSRVRKLQYIAELEKNVQALQAEGSEVSAEVEFLNQQSLILSMENKALKQRLETLAQEQLIKYMEHEVLEREIGRLRALYQQQQQPQQPPTAPRHRRTTSMDKLDSRFADLSLKKDSGSSHDVSGPLHT >KVI11044 pep supercontig:CcrdV1:scaffold_1942:57166:66031:1 gene:Ccrd_010549 transcript:KVI11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MASHSWKDAYRGMSVDNIKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAAILSIQISFRMYVLWLAFSICFDNTVDLVPLTNFTLFSGVGGYSYLYEPLWWVGMITSDAVLAHIILREKLHIFGVLGCVLCVVGSITIVLHAPQERPIESVTEVWDLATEPAFVLYAVLVLIAVFILVFHYIPSYGQTHIMCYIGVCSLVGSLSVMSVKAIGIALKLTLSGMNQLVFPQTWAFTFIVLLCIITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPSQIITELCGFVTILSGTFLLHKTKDMVDGPIPLSVRSPKHMEDEEDGIDSESIPLRRQDTSTRSP >KVI08006 pep supercontig:CcrdV1:scaffold_1943:69990:93753:-1 gene:Ccrd_013629 transcript:KVI08006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTISLHSVILNSLSCLLVIIRGSSSNSSESQVRLVYDYYVGMLVESSSKPTLSGSIRKLLKRNNQVLGQILANISSLKLNNMNNIS >KVI08005 pep supercontig:CcrdV1:scaffold_1943:44721:63038:-1 gene:Ccrd_013628 transcript:KVI08005 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGATSSKVEEDKALQLCRERKKFVGRALDGRCSLAATHFTYIESLTIIGNALKRFIEPEAPMESSLYTSNSATPEPLTFIEKSLSQLSFSSSMSQHVGAAGNRSPSPSPPTSNRYHANHMKIRGSFSRKVEERPSVPVMGSITSSSTPMNNTHPIDEPEIPSDATPVLPETQPWDYFGLPHPIDNDFSSQEARELNQGLENYTDMQRPREDEGVPEMEDKEEKSPSQGSGEAQESEDEFDEPSTDGLIRSFANVNRIADNVSGTALPSMSSAESMTSQTEILNGDKCNSPQLSPLKRKSSGVSNVATPQDEKIQTVKEGPENKAVPKDLISSMRDIEHLFIRASECGREVPRMLEANKLHFRPIFPEKERGSFTSKILKACLACGDDPSQVQEEPAQNDTKYLTWHRATSSRASSFRHDPSANNRENQEDLANSLFDNFCMNAGSHASTLDRLYAWEKKLYDEVKANQMIKKVYDQKRKLLRELESNGESSSRIDKTRAIVKDLHWRIGVAIHRIDSISRRIEDLRDKELHPQLEELIEGLRRMWEVMHECHKSQFLIIAAVQNNAHTKVLLQSDSGHQITVYLESELSTLSSSFTKWIGAQKAYVQSLDGWLHKCVPLQQSTRKKRRNQPSLRDYGPPIYVTCGVWLDKLENLPTKEVSDSIKDLAAEIDHFLPQQEKGQGVHHRSMPWQHVNKKDDPAGVNLLREEVSEDRNVSFDRLRSRLEAFLAQLSKFSGLSVEMFTDLQKAIQEKKSRYAQIHSQS >KVH87942 pep supercontig:CcrdV1:scaffold_1944:29262:34692:-1 gene:Ccrd_024711 transcript:KVH87942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydrolase FSH MKWCTLVTTTVWNVSPSMIPKSRIKFEHATSNSGINSHNPPHKSSHPSGIIHHRTMGSEGSDMMVVTRKPRILCLHGFRTSGEIFRIQSKKWPESVLEKVELFFPDAPFPCNGKSEVEGIFDPPFYEWFQFSKEFTEYENFDKCLEYIEECMIKYAPIDGLLGFSQGAILAAALPGLQAKV >KVH87941 pep supercontig:CcrdV1:scaffold_1944:17211:23139:1 gene:Ccrd_024710 transcript:KVH87941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin-like domain-containing protein MLLAVLIANSEGNILVERFNGVPGEERLHWRSFLVKLGADNLKGVKNEELLVACHKYVFTPCFPLTLTTLFSPCVIKYAKLLIIFLYCFNLVSEAMFVVTSAIKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTDKDRIKRLIRLKPPTEF >KVH87940 pep supercontig:CcrdV1:scaffold_1944:51777:63420:-1 gene:Ccrd_024712 transcript:KVH87940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MDVEKQVMINQAISMFDLIIGHELLCVSELESVDILPSTGIPIKGCGIGKHLVGMSKRASINAKKPKILCKTCEKMGWHDSRSCSSKGDGDDSTVLVGLIPKSNCGGLSSLPRWPTHMPLDAPTTAEATLSGIASRLDSCFVKDLKISLSSPISTSLSTFLVSSNNSADPNPPLAISQASRFLNISKLHPLVAGLMWSTTSSGHIVDSTYKTASPPPPSAPIANWKISTPDDHPEDVKARLKYWAQAVACIVRS >KVI03314 pep supercontig:CcrdV1:scaffold_1945:12475:13068:1 gene:Ccrd_018386 transcript:KVI03314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small hydrophilic plant seed protein, conserved site-containing protein MASGQAKRSDLDARAKQGETVVPGGTGGKSLEAQEHLAEGRSKGGQTRKDQLGTEGYQEMGSKGGQTRKEQLGTEGYQEMGSKGGQTRKEQLGSEGYQEMGSKGGQTRKEQMGSEGYKEMGRKGGLSTGDKSGQERVEEEGIEIDESKYRTKT >KVI03316 pep supercontig:CcrdV1:scaffold_1945:56091:65667:-1 gene:Ccrd_018388 transcript:KVI03316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLDETQRKPVIPAYVKALSGSLGGIVEASCLQPIDVIKTRLQLDRTASYKGILHCGTTTVKNEGVRALWKGLTPFATHLTLKYALRMGSNAVFQSALKDANTGKISDGGRFVSGFGAGVIEALVIVTPFEVSHELLKYKGPIHCAGLIIREEGLLGLWAGAAPTVMRNGTNQAVMFTAKNTFDGLLWRKHEGDGKVLQPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQSRSGKYYKGMFHAISTIYAEEGVLALWKGLLPRLMRIPPGQAIMWGVADQLIGFYEKKYIHNIPFQA >KVI03315 pep supercontig:CcrdV1:scaffold_1945:37511:40088:-1 gene:Ccrd_018387 transcript:KVI03315 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MLLFFIVVYLSYLPFSQAATTNVTTTTNNNNIAIPGCPSKCGHLTVPYPFGIGSNSGCSLGPWYDITCNTSFNPPRAFLPAILFSYTGDNNFHQVEVVDISDEHVRVKNTVATKCYSQIGETIYQSSSGLVVAESCFTLSELNKLIVVGCDDFSLVSPVGAIEGKNFSYGCVTICSSVQDVLVGMGCCATSLPTGLTTYLANVRTLKNHTEIWSFNKCGYTFLGEESAFTFRGASDFTDPDFVARTIETVPLVLNWVIGSRSCDAYKNTSDYHCQQNSVCVDFGSGNGGYRCSCNNGYQGNPYLYPGCYDIDECADDMKNPCNGSCSNLPGSFNCSCPHGYEGDGRKDGNGCRATNSKSPAL >KVH87938 pep supercontig:CcrdV1:scaffold_1949:62517:64260:1 gene:Ccrd_024713 transcript:KVH87938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYRAELKKLAEKLMEAMDENLGIPKGYIQKTFNGGDGEKAFFGTKVSHYPPCPHPEMVNGLRAHTDAGGVILLFQDDEVDGLQILKNGEWIDVQPIPNSIVINTGDQIEVLSNGRYKSVWHRVNVIPNGTRRSIASFYNPSYDATIEPATQLIKKENKEVKYPKFVFGDYMSVYTKQKFLPKEPRFKAVRTV >KVH87939 pep supercontig:CcrdV1:scaffold_1949:101262:101763:-1 gene:Ccrd_024714 transcript:KVH87939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEREQKSLVATNHLKRTYICREKACIHHDSLRALDDLTSIKKHLWRKHVEKKWSEFLRNHFNGVVDDEAHMMMVSHMKCYNELLKK >KVH91944 pep supercontig:CcrdV1:scaffold_195:186310:191746:-1 gene:Ccrd_006031 transcript:KVH91944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pex19 protein MAEHADDLDQLLDSALDDFQTLNFAAASSSSQRDGGENKADASSLPSGVQGLGLGLPNLKSKNKGKQKAAPKESHASETLNKLREQTRETVKGMESIAGLKQPSGIEGLGDDKMMEDLVKQFEELAKSQDMESIVETMMQQLLSKEVLHEPMKEIGERYPKWLEDNKSKLSQEDYDRYFHQHELIKDLNIVYDNEPGNFNKIVQLMQQMQECGQPPNDIVQELAPDFDISALGQLSPEMSDSQNCCIM >KVH91947 pep supercontig:CcrdV1:scaffold_195:135671:140438:1 gene:Ccrd_006036 transcript:KVH91947 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain-containing protein MIEPEMEELTELERLQAQILNRIAKLELSILSPSNNSPNNDLPTITTTTTEARLSAVLVDGGVKDFSFKRVPSDYYDWSFESRRDILGAASIHHLCKSIVLVNTQAASNITDCSDRQNSKYYVVVVQYTARFSAEAVKNFLYSLNNGKIPKKRFNLRLAPEEISHKLTGYEHNGVTCVGMKTDIPVILDEAIVKLRPDFFWLGGGDIDLKLGINTSEFINFAKPFIVNCSGS >KVH91949 pep supercontig:CcrdV1:scaffold_195:157073:163346:-1 gene:Ccrd_006034 transcript:KVH91949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MSTGELVSVDPVELKFPFELNKQISSSLQLTNKTDNHVGFKVKTTNPKKYCVRPNTGVVLPRSTCEIMDAPPDMQCKDKFLLQSVVASPGATPKDITPEMFAKDSGRVVEECKLRVVYFPATPSTSAAIAQGTVDDVFSPKASPRASASRRYVRALISQLTDEKNAAIEQSNKIRKELEVLRQASNKSEGGGVSLIVAIIIALIGLILGYIMKN >KVH91957 pep supercontig:CcrdV1:scaffold_195:325136:328075:1 gene:Ccrd_006018 transcript:KVH91957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKVLLEVEPPSPGRYMIGALIMMIGVVLPVGYMMFRNKRVPSSSSFAKHTSYAAKLLLVDMIKKGCNAKSPPDYEQSYDIEVRMAIL >KVH91955 pep supercontig:CcrdV1:scaffold_195:349363:359271:1 gene:Ccrd_006016 transcript:KVH91955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QVGYWSVGGRNYDEVIFQGILDKSRVILAVERQLAVGSSFRSNWMLFVFGEHLGRSVGAARSYGRIHSFSYSKEYKKLTNWLMNYGQQTVRAARTRKLLTGDDCPLYCRYTMVQLSTSPFAGLFGVEIGLIIKITMCKGYVPVGARTCGRGYVPVGARTCGRLSVTAERQVPGYAFDMLASRLFLQDDQGHDTGGWIRALVLSVKNDASNGFTLGVSYTASDDDSFKAVIWVVVELMSISMAGYIHQDTAIRFGRTVASLVLELQEVRTGDVNQGFRDDASSKFVMNGYWVHHHDSYCQFLWVVMLVGRVMLVFFVDSIMDFPCCLGLICVSLAKAVFLDGMPVTIARYGVLSGLATDVLLIPITIWSNDQDHHV >KVH91948 pep supercontig:CcrdV1:scaffold_195:154864:156261:-1 gene:Ccrd_006035 transcript:KVH91948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MATSVALKRGITAVTPLNRLFAPIRSVSAAPCLRRSFNTDSSQVSAYEDFNRSVDADRRPDSSVYRRRDNDFFLDLFDPISPTRSLSQILNMMDKFVDNPFMSASRGIGFGPRRSWDANENEETLSLRFDMPGLDKDNVKISVEQNTLIIKAEAEKESEDDDEPPRRYSSRIDLPMDVYKLEEIKAEMKNGVLKITVPKVKTEERKEVRQIQVE >KVH91942 pep supercontig:CcrdV1:scaffold_195:165537:176120:-1 gene:Ccrd_006033 transcript:KVH91942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEEDGTSNRELYALLHVSPEASDEEIRKAYPTENFQRICQAYEILSDETKRQIYDIYGMEGLTSGLELGPKLNKAEEVKEELERLRRRREEEKTSAQFQPSGVILAQLSVPSFLAGHGIMRAMSMNSEIQSQISKNNVVAVGGTLAVKGNNGDAAANAVFRHQLSSVSSIEIMASAGLHGLIGLQTTRQLSRHASATMGLAISLRDGSINLSNSWNRQLSTTSNGSIELILGSDSSVAVGWRKKEQKMAAAGEIRIGTSAIGAVARYTRRFSSKSHGRISGKLGSGALELEIGGGRKISNFSTVRMLYTIGIQGIYWKLELHRGAQKLVVPIFLTRHFNLGFATGAFLIPTSLYLMLKSLVFKPYYRKREKEQALENMEQTRAQVQEAKAAAEKAQQLLQNVANRKRNKQSEMGGLVIIEAVYGSPNALKSINNPEEKKDELASQIMDVTLPLNFLVTDSGQLKLHEGVKKSGIMGFCDPCPDETKKLYVKYTYGGNRYETP >KVH91934 pep supercontig:CcrdV1:scaffold_195:289684:290910:-1 gene:Ccrd_006022 transcript:KVH91934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22A, presenilin MDQNPRPTGILHSLGEEIIRIITPVSICMFLVVILVSILDTNSSNFSSFNTIATIAYDESTSDSEWDKFKGALLNSLVFVAVVTVVTFLLVLLFYFRCTKFLKYYMGFSSFLVLGFMGGEIGLFLIQVFKIAIDSFTYVLLLFNFTIVGVLAVFMSKIPILVTQSYMVIIGVLTAYWFTMLPEWTTWVLLVAMALYDLAAVLLPGGPLRLLVELAISRDEDIPALVYEARPIVDPRRNSIVQRRIWRNVDLESTESSQNQRIIANAEEGQRIITNAEEGQRIIANAEEGHELTAPLIERIRDHGLVGENLGLEGIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLMLLALYQKALPALPVSVLLGVLFYVLTRVFLEEFIVQCSSNLLMF >KVH91950 pep supercontig:CcrdV1:scaffold_195:76303:81534:-1 gene:Ccrd_006039 transcript:KVH91950 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MDLSSANASPVLADPALINQTRLGFHSSVFTRSSGTSFSLIPKKKPGKFDDVLSNGWLDAMQSSSPPSKKMLKDVGVELASDDNDLVYYSWMLKYPSAIKSFDRIINRANNKEVVIFLDYDGTLSPIVDDPNRAFMSNDMRSAVKGVAKYFSTAIISGRSRDKVYELVGLTELFYAGSHGMDIMFPAKNTLSINHTNYIRSTDKQVYRTLVEVTKDIPGALVENHKFCTSVHYRNVDEKSWPTIAQCVHDVLKDYPRLKLTHGRKVLREKNRGYGILVSSVPKESKAFLSLRDPSEVKDFLKSLIKWKEHISGTDKRSKEAVKHTSPQFVFGGF >KVH91931 pep supercontig:CcrdV1:scaffold_195:59825:64600:-1 gene:Ccrd_006041 transcript:KVH91931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructofuranosidase MRPQTKPDVENPTDPTCLLDADYGYVPSLPSERQENERQRPSKSILVLVSALLAIGLLVVLIVGNVHIETQPDSDPLVERLNPVEQGVSDKSFSLPSSTSSSEWTTEMLSWQAPAFHFYPEKNWMNDMVRWRHLPIAMDTDQWYDVNGVWTGSTTILPNNKLVVLYTGSTNESVQVQNLAYPANPSDPLLVHWIKDPENPVLVPPPGIGVKDFRDPTTAWLTADDKWRMVIGSKINKTGIALLYDTKDFKSYELQKEWLHDVAGTGMWECVDFYPVSEKDSGLDTGAYGPDVKHVLKASMDDDRCDYYAIGKYDQVAGKWVPDDPKIDVGIGLRYDYGIYYASKTFYDQNQKRRILWSWIKETDSEASDIQKGWASLMAIPRTVALDPATGSNLIQWPIEEIDKLRYDLKIFDEIQLEPGSLVPLSVGPTSQLDIMVEFELGKKVANRLRVGAAVPYNCGGHGGAGMRGALGPFGLLVLANKNLTEHTPAYFYIAKGIRGDLDILFCIDQSRSSIATDVDKSIYGSTVPVLEDEKLSMRILVMHKVEEHASLHVYIQQKRSTMMLKCSYSIMLRI >KVH91940 pep supercontig:CcrdV1:scaffold_195:220272:224467:1 gene:Ccrd_006028 transcript:KVH91940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 MEFNRLHEDGNRAVASKGRRHVAIDTVWISSGHCNTVNKYLSSILISLCGVSCFFSCFTDSYEDDDGTTHYGFATAKGFWPSPAPSSAVDVSQYKLRVGDFVHAVFTVVVFAAVALLDDNTVECLYPAFETNEKMLMMVVPPVIGAISGSVFMVFPTTRHGIGYPSTRPAKASK >KVH91930 pep supercontig:CcrdV1:scaffold_195:66613:74232:-1 gene:Ccrd_006040 transcript:KVH91930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MATASFHAPSLSSSSALLLDKKSSRFQVRSLHPIASFKSHRSYGQIRASFTDFSASPNTGGRTELDALSRYSEVVPDTVVFDDFERFPPTAATVSSSLLLGICSLPDTTFKGAVDTALADKECYGVETPNARMTCFVNKALVNVGAELSRLVPGRVSTEVDARLAYDTHAIVAKGIEAARILESEGIQTHMTFVYSFCQAAAAAQAGASVIQIFVGRLRDWARNHSGDPEIEAALKRGEDPGLALVTKAYNYIHKYGHKSKLMVASIRNKQDVFNVLGVDYIITPLKILQALKESVTPDDEKYTFVKRLSSQSATAYNFTDEELVKWDQLSFASAMGPAALELLTSGMDGYSNQAKRVEDLFGKIWPPPNV >KVH91951 pep supercontig:CcrdV1:scaffold_195:85952:86134:-1 gene:Ccrd_006038 transcript:KVH91951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDYRLVLLVQMSAELLKDRLPEAREATRSIVLLIYSAVMEEEDESKEEEKQQEKWQKFC >KVH91945 pep supercontig:CcrdV1:scaffold_195:194194:204857:1 gene:Ccrd_006030 transcript:KVH91945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MLFHHLSSGEVVEKSKTESTDERVGEFRKRLRIVDIKGGESEGCDRLGETLTVRGWVRTLRVQSSVTFIEVNDGSCLSNMQCVIDSDAEGYDQVESGLIATGASICVHGVVVPSQGKKQKIELKIHKLVTVGKSDTSFPIQKKKVTREFLRSKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSKEAIDSPADAIPRTKNGLIDWSQDFFEKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVDVVEKSFVRLTYTDAVELLLKSKKKFEFPVKWGCDLQSEHERYITEEAFCGCPDIKAFYMRQNDDGKTMNSTSIRRAFGVPHAGFGLGFERLVQFATGLDNIRDTIPFPRAPGSAEF >KVH91953 pep supercontig:CcrdV1:scaffold_195:369382:384398:1 gene:Ccrd_006014 transcript:KVH91953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MDLNSLETFSDIAYQCLQKTRGARPLMVDVVVKLEIALKSQEIYEGVKLSEEYEVIKLLEEYEAIIKSRLPQWCTAHVPTTTSIVGCGAPVPLLGPSLSIGFVERGPTIKTTIMAFFLDEFQHLKIQLQDIKSATHNFSNDNLIGKGGFGNVYKGEISHSKGRSMVAFKRLDLDSGQGNAEFWREIMMLSRYSHENLVSLLGYCNEGDEKILVYEYASRGSLDRHLSADALTWVQRLKICLGVARGLDYLHDPVGTQQRVIHRDIKSANILLDENWNAKISDLGLSKIGLANQKNTFLVSNVVGTFGYIDPLYLEMGVLTKESDVYSLGVVLFEVLCGRLSIKYSNDQYHSLVRMWRKSYKEKKLDEIISRDLLQRMDLNSLKTFSNIAYQCLQKTRGDRPLMADVVGKLEIALESQEIYEREKFAEEFAEFNLVALSGEFKAHLKPQFLSPGITYTVNLVFKLTNRNGNSNEPTYVALDYKLKWETKCSTAYLAHEREDRWMTVELYQFTSHKRDFNLEILFDGIQIHLNALVVEGIEFRPLERWFFLAKNGKKCLFLPARALLDTNRWTFQSLPNLRSYFHILGEIKFQMLSPGTIYACNLVYKITGDVDKIEEPIEVRNWYLPFSDSDEINYRYIYLLGPQLPVIRPNVDENTHNPPISQMPKFKGLPRLRNNGWMEVEIWEFETSVRVDKFEINFLLQRKSGSGFQGISVQGIEIMAFFLDEFQHLKIKLEDIKSATHNFSNDNLIGKGGFGNVYKGEISLSKGPSVVAFKRLGLHGLQGNSEFWREIMMLSSYSHENLVSLLGYCNEGDEKILVYEYASRGSLDRHLSADALTWMQRLKICLGVARGLNYLHDPVGTQQRVLHRDIKSANILLDENWNAKISDLGLSKIGPANQKHTFRFSNVVGTPGYIDPLYLEMGVLTKESDVYSLGVVFFEVLCARLCYKYSNGQYQSLVRMWKKSYKEKKLDDIISRDLLQQMDLNSLETFSDIAYQCLQKTHTERPLMADVVGKLQIALKSQEIHEVVKLVEAEKFPEFNLVPLGGEFEAHVKPQFLSPGIQYTINLVFKLKNRNGNSNGPMYLVLDYILKGETKYSTAYLAHERKDRWMTVELYQFTSHERDFDLEILFGGTQNHFDALVVEGIEFRPSKRWFFLAKNGKKCLFLPARALLDTSKWTFQSLPNLRFEEVALDCVNSHFTIRGEFKFQTLSPGSTYTCNFVYKITGDLDEFEEPVKVRNWNLPFPDSDGIHYRYIYLVNPQLRVLRPNVDENTDNPPILQMPKIKGLPHLRNDGWMEVQIWEFETSIRVDKFNIDFRLEKEYHPWFQGISVQGIEIKPRRLSSL >KVH91952 pep supercontig:CcrdV1:scaffold_195:368804:369355:1 gene:Ccrd_006015 transcript:KVH91952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MQRLKICLGVARGLNYLHDPAGTQQRVLHRDIKSANILLDENWNAKISDLGLSKIGTFGYIDPLYLEMGVLTKESDIYSLGVVLFESLVRMWKKSYKEKKLDEIISRDLLQRMDLNFLETFSDIAYQCLQKTRDHISRSVAANGFEFLAENS >KVH91932 pep supercontig:CcrdV1:scaffold_195:300306:312412:-1 gene:Ccrd_006020 transcript:KVH91932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MEGGSDTFSSYSTLTSSGGTGLELLDIVRLILELEWVFEYTLKLMVNLTLKHHLDDQAIHVKSEVLLCRFGYPVSKFVTMMFSAGEGSSKVSPILQPYALPKFDFDDSLQGHLRFDSLVENEVFLGITSQEDNQWIEEYSRGTSAIQFSSSAVESRRKNVWSEATSSESVEMLLKSVGQEERVVEETTIEETVACDKSGSLTNVMDPILKQCDGTDDYVNTYDAPVPDEFQENFVGSKASSYCEQSHAASTPQSQETPISGGKLDSVVIGNKCSLSVGEKKVDKICDDVNQEPVNVANESYVKDSQEDPSVSKIECENAGSSVNVNASVEECQENPQEVPKRCIENVSGLSKNNYNSEPEHTNKSKENIMDNPTNSGTIVETCTYSIEKLSVVSNVGSLENPLVEGSIPVDDKPTCSSPIGSCDMQITEGCNAHVCSAEHSLGRKSEISASLICSKIDQELNDNIHVESLATSPNGGCVKRQAIEDADTQSDIPGSPKSNVGSLSSLSHVQNHSFGETENGVVSDGQQVSSGASMVSLGNNRFELSEGSDINNVGKTSIAAGSSAEMPAEDISIKDEAAGHAPDVHTGDSNDEYRSLPAKISSLVQVGDEIQSSEPDAISMDQDVTFNERGDARLPLDFKDADMHVVGALDSQKNVEPSSSAEGCKGVMTLIQGSEPNMTVLTDAVFEEDAVSDDAEKVVHKLDGDCDPPVGNCNASPSEQIAEDNPGDLKCSKTLETIPLPCESSAEGGNDAEAGGLLTPRESIAGDGVEPQNLAVNMSDEQQASVDVAGPSERDANHVVQNGVGSTLLDKPQIFSFSDIKSIELSQTASDKHEVPKDTRYVNAPLSDASNDKEGLQSVSSSSATKEEKSFTFEVIASAGPGQTGIQNRSLSKNTKVSSVSSHASLLDPNKLHEDSLFSQQTPSSAAIEAGSNGNSERKPRRKSAGKETAKKGNNLKETTPRRRSGRVEKSPGVLNPPAIGHVTSVEGLKPSESVECSNQRPGDIPPILTSNLPDLNNSTSMFQQSFTDTQQVQLRAQILVYGSLISGMAPEESHMIAAFGQSDGGRRAWEAAWHACLERVRGRKSQANNPDTPMQPRSDVGNRDPDQGIKVGSAQNKVLSPLTPRPSNMGISSTVVSPMIPISSPLWNISTPCDGLQSSVMPRSALLDYRPTLSPLHPYQPPRVQNFAGHNPSWLSQSPFIGQWVASSPVTAFGARFSSLPITDAVKLTTVKESGAPGVSVIPVDHTAGPSVSSGLSSLSNKKKAMVSSGQPSSDSKSRKRKKVAASGVVSEMPVSSQAQVASLCTPVAHLPWAPQTEGYNQISFLAQNQTISGTALAVSSHSPTSAALLTPVSVASKSNPGSSLSAVSPASTHGHPTRSDQNVEKIVTREEIVSKIEESKLQAADAAAHAAAVVSHCQSVWSQLEKQKSSGLVSDDEAKLASSAVSIAAAASVAKVAAAAAKIASNVAEQARLMADEVFLSSRTEKYDQSSMIANVDPNFDKGTPASVWKSANRSDHPTSIISAAREGARRRIEAASAASKHAENLDAIVKAAELAAEAVSQAGKIVAMGNPLPVKELVEAGPEGYWKSPQPSNQHGYSDKQNVEAAASDKDIQNLKHGLSLQEGSRDMAKNQMVIDGISTTSYENDRPQKGSDFSKTGEAFPEPEFVSIHTSDVDQNMLQSTSGTWEENGINEGCLVEVSNLVESSDASLCMLLTLMHYVYKDDNKNKGAWFAANVLTLKDGKAFVCYTEVQSDEGSGQLKEWVALEVESTEAPRIRIAHPMTKMQFERTRKRSRKAFSDYAWCSGDRVDVWVQD >KVH91956 pep supercontig:CcrdV1:scaffold_195:322795:324170:1 gene:Ccrd_006019 transcript:KVH91956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MVKLAIGSIGDSLSVGSIKSYLAEFIATLLFVFAGVGSAIAYGKLTKDAALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAIGGNITIITGLFYWIAQLLGSIVACFLLQFVTGGLAVPTHGVADGMNGLQGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFSQNWIYWVGPLIGGGLAGLIYGDVFIGSYEALPASEDYA >KVH91929 pep supercontig:CcrdV1:scaffold_195:35323:37197:1 gene:Ccrd_006043 transcript:KVH91929 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNTFSHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCNLGTEEQNEWYFFSHKDKKYPTGTRTNRATKVGFWKATGRDKAIYSKHNLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENAMTQASSISIFFTLSVSYLEEGWVVCRVFKKRITTVRRMDEHDALSWYDDQVSFMPDYESPNRISHPYTANNSYQHQLPGKSELDQMHYNLPHEHSFLQLPQLESLRFPQSAAASVSASYGSAFQPSIQQSNINLLYGDNNNNNHNNNNNGEQDLQVTDWRVLDKFVASQLSNDQDAVTKENTYLNPPSSSLQMAEHMNMLLSDSKSDEIASEGASISTSTCQIDLWK >KVH91946 pep supercontig:CcrdV1:scaffold_195:110563:110850:1 gene:Ccrd_006037 transcript:KVH91946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MSRNTPSTNPTCPRDALQLGVCVNLLENLLGIVIGNPPTQPCCSLIAGLVDLEAAVCLCTVIRASLLGINLNIPLTLSLLLNVCGKNYPSGFVCN >KVH91943 pep supercontig:CcrdV1:scaffold_195:178171:184508:-1 gene:Ccrd_006032 transcript:KVH91943 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit F MANRVPIPNNNSALIAMIADEDTITGFLLAGVGNVDLRRKTNYLIVDSKTTVKQIEDAFKEFTAREDVAIVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSTESVASDRR >KVH91928 pep supercontig:CcrdV1:scaffold_195:42970:52235:-1 gene:Ccrd_006042 transcript:KVH91928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MATSSTFLFCLFFIYISCSTFTSAEDPTVSYKFEISYITASPLGIPQQDQIGSYFYFPSLNFQRASGGFGSFIINPRSVIPIPFGTPAGDITILIGDWYIRNHTALRRALDAGRDLGMPDGNHNLLLAETEGSYTVQQNYTSLDIHVGQTYSFLITMDQNASSDYYIVASARFVNESIWQRVMGVGVLHYSNSKGKASGAYKLDFPTEPLTGPSRMETSVINGTYRGFMEVILQNNETKMHSYHMDGYAFFVVGMGYGEWTNDSRGTYNKWDGIARTTTQVYPGAWTAVLISLDNVGVWNLRTENLDSWYLGQETYVRIVNPEKNNKTELPIPDNALFCGALSRMQKPQDISSGLRGHGSMVGNRITRKIRKKWRTSAAATGAGGRAVDGASIQARGARGRAARAERTTHSRDEDVSS >KVH91938 pep supercontig:CcrdV1:scaffold_195:237215:243500:1 gene:Ccrd_006026 transcript:KVH91938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKKVFAQITRTYLPNYSHIQYLFFLQKHFIAGTESAQIHNSKSCFKETSDLYEDGDNSEMANNGENPNGYDLVYKIQECRNLGLCNHGQQIHCYALRSGSTFNGFVSSALIDLYVKLDMLKDAHKLFDEIPEPGLVAWNSLISGYVRSGKFRKALNLFLELERSRIRSDSYSFTSVLSVCGQLSLIRFGKAVHSRIVRFGVECSTFVSNCLIDMYGKCDHIEDAIKIFDEMWFKDTFSWNSVIGASVRNQRIELAFGFLNRMPNPDTVSYNEMINGIAQFGNMEQAIEILSTMPNPNSSSWNSILTGYVNRNRPRNALEFFNKMHSSDIRMDEFTFSSILSGIARLGALTWGTLIHCCVVKSGLDESVVIGSSLIDMYSKSGQVNTAEFLFESLPGKNLVTWNAMISGYAHNENFTKVIQVFKQLKHAKDLQPDGITFLNVLSACWHNKMPLEVANRYFESMICDYKIDPTVEHCSCIIRLMGHEGEVRRAEGMIKRLGFESCAGVWRALLAACGVCGDIEVAETAASKVIELEGDGEFVHVMISNIYAQFGKWEDVGARRKMMSDNKFLAISMMTGAHLYKQHNVSRIHDPSIASGKRRILVTIIL >KVH91936 pep supercontig:CcrdV1:scaffold_195:273250:279719:1 gene:Ccrd_006024 transcript:KVH91936 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MGVAKAWRYSGGVLVLAKSHHLNHKHNKLKQQKQQKWVGQDHHCWLWQPAETAVVGGGGQRRRISWTVVCGMMLFVLGLISFFTGHIASDLEWYSHRIVKRRLWKNKVGRISSGPVDIWKSKFSKFYYGCSERSPYYAPPTRQLLSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVIPELDHHSYWKDDSDFANIFDVDWFISFLAKDVPVVKRVPDKYMRSLEKPPYTMRVPRKSEPRYYLDEVLPILLRRRVLQLTKFDYRLASDLDEELQRLRCRVNYHALRFTKPIQDLGKKIVMEMRKMASSFIAIHLRFEPDMLAFSGCYYGGGDKERYELGEIRKRWATLPETSPDGERKRGKCPLTPHEVGLMLRALGFENNMHLYVASGEIYGGEETLKPLRDLFPNYYTKEMLASQELEPFLPYSSQLAAVDYIVCDESDVFGLGSMKPWSLMSHVPREGVEFSQQGLEFQIWPALPASRALRFSSTIMVVGPVWWGFSGGSANPPFFPVMMVLGLLYPLPILGLFGLVRRYMGHKRTIRPNAKKLNALFLKREKLPWETFAKKVKASQQGFLGEPNEMKGGRGEFHEYPSTCICKKPFKFSYLDTGNQTVTKSLEYGRNEEDQGVHNV >KVH91954 pep supercontig:CcrdV1:scaffold_195:345079:345348:-1 gene:Ccrd_006017 transcript:KVH91954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLPGLGEKNEIAPYGLVENDSSLVRGLLEVEGALLCEDVDYLHRKALPQHSPFIRLLLSSFRISNGPPHK >KVH91939 pep supercontig:CcrdV1:scaffold_195:230158:234566:-1 gene:Ccrd_006027 transcript:KVH91939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKRRFVEDVAIIGGLIGVQFLYAGNSVLQSYIMFVGLPPTPLIISSNFVTFLILSPLAIFFERKSWPKRLSVKLLVQLGVDLTSPSMATAMPNLAPGLIFIIAWAFRLEKVDISCTYSRVKIVGTFLCVLGAITMSLMQSTKKNPFSEDVFLHDPLSSRSEHFYIFNKDRIIGCFYLVAAVIVLSSDVVLQAITLVDMPAPISLSAITSLIGVILTATLEFIEKHAIPTPWPIWSLKQLICYALMKRGPVLVSMFNPIGTVIAVIISCFLGQSIALGSLTGMFIMFTGLYFVLWAKGKEGFLQKDEHAIQSECHDVEKPLLQ >KVH91935 pep supercontig:CcrdV1:scaffold_195:281928:286770:-1 gene:Ccrd_006023 transcript:KVH91935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MASESVKRHWSVEDFEIGKPLGKGKFGRVYLAREAKSKYIVALKVIFKEQIEKYRLQHQLKREMAIQTSLRHPHVLRLYGWFHDAERIFLILDLTQALAYCHDKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSTNKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGVLCYEFLYGVPPFEADSQADTFRRIMKVDLTFPSTPCVSAEAQNLITRLLVKDSAKRLPLQKILEHPWIIMNADPNGTCPK >KVH91933 pep supercontig:CcrdV1:scaffold_195:294539:298203:-1 gene:Ccrd_006021 transcript:KVH91933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSLMPQAPGPSGSRNNSKNDAKEKQVAEIKSKVLKSRKPPIPSIRTLAQKDKSKSSKPTSRDAVLSSKTIKDSVNVDENVSPKAKYVIEDSSASALPSDPPKGTLTSKAITQRLNKGKVAAGGSKATKVEVKEKSTSESEPRRSNRRIQPTSRLLEGLQSSLSVSKTPTGSHASQKSHNKVMSKGNG >KVH91941 pep supercontig:CcrdV1:scaffold_195:206227:219722:-1 gene:Ccrd_006029 transcript:KVH91941 gene_biotype:protein_coding transcript_biotype:protein_coding description:COPII coat assembly protein, Sec16 MEDTDEDFFDKLVDDVDDQFNITSSSSGKAAQLDNLNDSDKAKAGATSSIDYGRNALKEQKAGATSSIDYGRNALKEQKAGATSSIDYGRNALKEQKAGATSSIDYGRNALKEQKAGAGGGEEDDKASSTISGVLKYESTEKQVDPGELLVSSNSFAFDNVNNEPNNGIGMAEQLDSTASGYHGIGGASGVKEVQWSAFTAEPLDDSNNGFGSYSDFFTDFGDNFVDQTRKGDSFVNSEPNSIGNSPHVDGADGYSHQFQEGQSYTTSGRQSTDGQDINSSQYWENLYPGWKYDANTGQWYQVNEGYDATANAQGVDNSTSEWTVSNTKSEAAYLQQTSQSFVGDAAEKGTTESVMSWGSVSEVSHTNDSLSNWSQASQGNNGYPSHMYFDPQYPGWYYDMNAQEWRALDAYNSMQTAHAQDQVDQNGFSATNSYYGNEQKVFGGQGQIGKQSGFEVFGSQGQDYNWNGSFSKQQGSSMWQPDNVNKNSSMSYPRGNQHVQNHYGANFPLDNNVNRQQSYDDGGTASSFNKASQVRNEFPAVSGSHSFVPSGNFSQPFHQSTTEQSEMMNATKAYGNQNLLSYSQPSVHQRGHQRSASTAGRSSAGRPPHALVTFGFGGKLLDSSAGSVSVLNLTEIVPGGADASSSATGVGGYFHALCRQSFPGPLAAGNVGGRELNRWIDERIAHPTDIDYRKVGVLRLLLSLLKIASQHYGKLRSPFGTDTTSKENDAPDVAVARLFASAKRSSAEYVDYGAFTNCLQQFPSEGQSQATAAEVQTLLVSGRKIEALQHAQEGQLWGLALVLAAQLGDQFYVDTVRNMALRQLVAGSPLRTLCLLIAGQPADVFSTDNRTDGGTAGAVNMFPQPVQAQLGANAMLDDWEENLAMITANRTKDDELVLIHLGDCLWKETSNIIAAHICYLVAEANFEPYSDSARLCLIGADHWKHPRTYACPEAIQRTEVYEYSKLLGNSQFTLLPFQPYKLIYAHMLAEVGRVSDSLKYCQSISKSLKTGRAPEVETWRHMISSLEDRIKTYQQGGFSTNLAPGKLVGKLLNLFDSTAHRVVGGLPPPVPSTSGAGAQNYHYHQPTGPRVSASQSTMAMSSLVPSASMEPITQKSAEGNNRRIMHNRSVSEPDFGRSPRQVESSKENSADSQSKASGTSRFGRFGFGSQLFQKTVGLVLKPRQDKQAKLGETNKFYYDEKLKRWVEEGVDPPAEEAALPPPPTMATFQNGTSDHGSKSAAKSEGALSNGSLEFSSGSSVGHSSGIPPIPATSSQFSARGRMGVRSRYVDTFNQGGGNPSKLFQSPSASSIKSTAKSNPKFFVPMAVPSAEQPAETFPDNMHHQTTTADENPSPPSIHSHFQSPPYTSTMQRFASMDDISKGGTPSFPSNSSSPRSRRTVSWGGSTDERFSVSSEVKPSGEFLGMSQLSFMPSERAVMPPATNGDSFSDDYLHEVEL >KVH91937 pep supercontig:CcrdV1:scaffold_195:243657:250202:-1 gene:Ccrd_006025 transcript:KVH91937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein MFFFLSLLHGVFPARIVPPTSPPESTVKHISGNDTWHSFAKFMDAGKGANFSGISELKNYFHRFGYIDDVNNFTDTFDETLETAVLNYQERLGLPITGELDSDTVTQIMLPRCGVSDGLNRKKHKIHVNKHYAFFSGEPRWRKPANSETMTLTYAFSNNHMIDYISYSDIRAVFLRSFSRWSSTIPVNFAEADDYRKADIKIAFYQGDHGDGEPFDGVLGVLAHAFSPENGRLHFDKAETWAVDFKSSKSNLAVDLESVATHEIGHILGLAHSSVKESIMYPSLGPRIKKVDLKIDDVEGIQALYGSNPNFRYTPSMESDISSGTRTGRGRWFKRVTSLISDLAILAMASRCNRFMNKASISNLKSAFKSASMPKSAPSSPKFPLPTRSNTSPVPRFSLSSKIDILPEHNFKELQITFTGHMNFPMPETMNWDLRDLSSSQV >KVI11728 pep supercontig:CcrdV1:scaffold_1950:13572:16606:1 gene:Ccrd_009859 transcript:KVI11728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGSDQATIAVPNEHHKLMNINIKHSSFVQPSQPTPSSTIWTSNLDLVVGRIHILTVYFYRPNGASNFFDADVMKKALADVLVSFYPMAGRISRDQNGRLEINCNGEGVLFVEAESDSTLDDFGEFTPSPELRRLTPTVDYSGDISSYPLFFAQVTHFKCGGVALGCGVFHTLADGLSSIHFINTWSDMARGLSIAIPPFIDRTLLRAREPPTPTFDHIEYHAPPSMKTISQNPESSRKPSTTVLKLTLDQLNVLKASAKNDGSNTTYSTYEILAAHLWRCACKARGLPDDQLTKLYVATDGRSRLSPQLPPGYLGNVVFTTTPVAKSGDLTTQSLSNAASLIRTTLTKMDNNYLRSAIDYLEVQPDLSALIRGPSYFASPNLNINTWTRLPVHDADFGWGRPVFMGPACILYEGTIYVLPSPNNDRSMSLAVCLDADEQPLFEKFLYDF >KVI11726 pep supercontig:CcrdV1:scaffold_1950:39626:44734:-1 gene:Ccrd_009863 transcript:KVI11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate decarboxylase-like domain-containing protein VDEEGCLRENGFCWPCASASIGRSVKLIAPRGKVCKDFDADFDEDQMAVHVPFIWEGSIRGMFIYAQSEACLLMFYNMNLLSPTTGDLISALTQDMLSGLYVLMSGNCRSICVNRYNPCNRRNYQNEDYNRNTYDVIGAYWQKRINLGSSLAQLGHPKFEPETSSVK >KVI11725 pep supercontig:CcrdV1:scaffold_1950:19148:21769:-1 gene:Ccrd_009860 transcript:KVI11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAQSYNCENPPVGSLVMPQGYLHPMGNKPRSKMNYPSYWHGNCRKVGLRRLVLPDTERFSIPSYQELGVGDSVC >KVI11723 pep supercontig:CcrdV1:scaffold_1950:65535:69324:1 gene:Ccrd_009866 transcript:KVI11723 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLEQLLIFTRGGLILWTCKELGNALRGSPIDTLIRSCLLEERSAAASYNYDVPGASYTLKWTFHNELGLVFVAVYQKILHLLYVDDLLAMVKREFSEIYDPKRMVYDDFDETFRQLRKEAEARAEVIKKSKQVMCKPVTSLGKKQGQMQQSGFEGGNKKKSGGGGGESGKDGDADGDNVKTGILDNGNSNGEVASKGRVNGKENGNANTNGGAFDVNKLQKLRSKGAKKANPVSKVSKEEPKKKPVKKNRVWDEKPPEAKLDFTDPVSENGDGNMAVAQVVEGESMMDKDEIFSSDSESEEDDETEMDTKVDSKKKGWFTSMFQSIAGKANLEKADLEPALKALKDRLMTKNVAEEIAEKLCESVAVSLEGKKLGSFTRISSTAAMEEALVRILTPRRSIDILRDVHVAKEQHKPYVVVFVGVNGVGKSTNLAKVAYWLQQHDINVMMAACDTFRSGAVEQLHPAIVAKEAIQEAARNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNSPDLVLFVGEALVGNDAVDQLSKFNQKLADLSPSASPRLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKSIVKTLLK >KVI11724 pep supercontig:CcrdV1:scaffold_1950:30336:31499:1 gene:Ccrd_009861 transcript:KVI11724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteolipid membrane potential modulator MAGDTAATNGRRHRLEGGRRKEEQAWEERSTQQQLMAGDIDWKEEGHRLDGGRRNDCLRSRTIMTSGCALLCEILFALLLPPLGVCLRYGCCTAEFFVCLLLTLLGYLPGIIYALYAIVLVNPDRDDYRDRYYTLA >KVI11722 pep supercontig:CcrdV1:scaffold_1950:44591:45411:1 gene:Ccrd_009864 transcript:KVI11722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADAQGQQNPFSLKQPSSSTCTYFREQAIRVGSQSGNFGGGGHVGLGVFGGPSEMREAIQREREKERIRAEIIAEEMARTRILEAEVRKELMMEREMMAMRSGRGFSSPFMSLSMQPNHNNIERRILHQQPIGLEGRIVMSLEEKYPHGGNRLAFRGFEVAPFQRLRDSPKIERIPTPLPEDTKKEVILL >KVI11721 pep supercontig:CcrdV1:scaffold_1950:52369:54888:-1 gene:Ccrd_009865 transcript:KVI11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKDGFDSYPHEDARFRHQTLVQDYLQLQKETETARTKLEAMKLKKLTLQAQVRFLRRRHKFLLKNKSSTRQEHTIVKPRFVETSRYRKSMNEKVYSKNEAALQNMNHNAQKKNVLLGIPSHMLHGAMEDAYYSNQAVRTNPSDLFDSSKETPVKSRPPTFDLNQISREEEEELQEGNGTKDLVRSVIDEKLNDLNLLTCGNVGLDSSTSQARKRKISWQDPVALHV >KVI11727 pep supercontig:CcrdV1:scaffold_1950:33721:37332:-1 gene:Ccrd_009862 transcript:KVI11727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLVKRLTSNPLRSLLYLRLKTTSSQYVASRVRDATFEKLMDKYKNLLKVVSIQDLILANHSNNPPSVSVDFLNRLSQKLHLNRGATAFLRKYPYIFEIFYDPSLSQPFCVVLPALTFLQYGVQISWFSCGNSLLYDFTMPSDS >KVH91411 pep supercontig:CcrdV1:scaffold_1953:58560:106711:-1 gene:Ccrd_006566 transcript:KVH91411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 2 GRYGFVEDRTRQPSDADPDGAVVLGSPVAPPVNGDIYTDISTENAMLPGSRRLSKGVEYLVEASAAEAEAIAKTLAAAKARQSNGEVELPDRDRGAEATSSGKQISSLIKPESDVGINSAPAGIRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSSERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIESLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGAILVLGRDLVVVPKLIHPLPPAISSPETSPEHHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWIYYFLRWQMLIQLCHHSESGQTISSSTLHALTQSSLSTPPHCSRRFPLHLPTALAAAGALLLSSSPLRPLPHCSRHFPTARAASPLLSPSLVIAIAGALSSSSPPSLINQQVWAVADSKIHHFYATTLVATTITLSEVPLPSLDPSSTNLSTNPTPSGSKKTSPVNISSEFAPDSEIPRSLSPSAPFSPNASPKSPPGSSDSETESLAILKKSIDNRGRNEMDVDSSMASSLEADDGSSNNLDTNGPASEEQKEESPAAVEESPASSSQPLQSQVQQTSGNPVGGPRHAPNYTVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPNYMGPRLILFGGATALEGNSANAGTPTSAGGAGIRLSGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAEMTSAASHAAAAAASSNAQVGRLPGRYGFVEDRTRQPSEADPDGAVVLGNPVAPPVNGDMYTDISTENAMLPGSRRLSKGVEYLVEASAAEAEAIXKTLAAAKARQSNGEVELPDRDRGAEATPSGKQISSLIKPESDVVINSAPAGIRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSSERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIESLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPALSSPETSPERHIEDTWMQELNANRPPTPTRGRPQVVNDRGSLAWI >KVH89955 pep supercontig:CcrdV1:scaffold_1954:52417:55370:-1 gene:Ccrd_008051 transcript:KVH89955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGLGQVLEWRLEKLLKENEKNCDTFSDLIHLCGKIQNAQTAMHVFTSMENHGLKPTSIILEALISAHLSSGNVITALSIFQTMQNSEDHKPTSHTYNLFISAFANLGNSKSMLAWYSAKMASGYSADLETYEALILGSIKLKRFKDADRFYTEMLGAEITPNTSILHGMLVGFCEQKDLDKIRGFLKGILDYRWEINGYMADKLVGFYCELELVEQLEWMLVVLTETNPNQDLDIISQIHNGLIRVYAKLDRLDDVEYSVGRMLKQGVSFSSHKDVEKVLCSYFRKGAYDRLDVFLEFIKNSYKLPRSTYELLVAGYRRARLYEKVDLVIKDLKMVETTC >KVH89956 pep supercontig:CcrdV1:scaffold_1954:46881:51646:1 gene:Ccrd_008050 transcript:KVH89956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MLRIWRWYQNCLAVHPVKTQVISSGLIWGLGDVAAQAVTHATAAKKKTLFLSEEERQLQINWRRVITTSLFGMAFVGPIGHFWLVILSNLNNNFMYEGLDRFLRLRLLYQPNSLRFVATKVALDGIIFGPVDLFVFFTYMGLASGKNVDQVKEDVKRDFLPALVLEGGVWPIVQVGNFRFIPVRYQLLYVNLFCLLDSCFLSWLEQQQDAAWKQWFKSFPFKEHKNRDG >KVH89952 pep supercontig:CcrdV1:scaffold_1954:58022:61554:1 gene:Ccrd_008052 transcript:KVH89952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAGLFKFIKPNNRPQPVDIQSAVGWGVVALTGAVWVVQPFDWVKKTFFEKPEPEN >KVH89950 pep supercontig:CcrdV1:scaffold_1954:2724:5123:1 gene:Ccrd_008046 transcript:KVH89950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGCIPSIKTSTFVSVLVVLMLLSQAGSTGMYSCWGGCLNQCVLVADKNGEARIPCYWDCSTKCFPRSDQNSTSPTSLSKIPSDQMAKLRSSSASEFPGSTLADDTNTPVNSKSKPRQVGSHKKYYCIIGCSLQSCLMSNHVGADLKTCLVRCNHKCK >KVH89953 pep supercontig:CcrdV1:scaffold_1954:70419:72749:-1 gene:Ccrd_008054 transcript:KVH89953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae/L37e MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRNVPRRFKSNFREGTQATPRSKGAVASA >KVH89957 pep supercontig:CcrdV1:scaffold_1954:80579:96141:-1 gene:Ccrd_008056 transcript:KVH89957 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase, family A, palm domain-containing protein MHGSQSGEEIEFSGDNALLATKAATKVAWASVSSCCIRGMLHFMAVFFSSKKKNKLVSLLSKSGIVEQDAKLALEASPSAKGSLSSYLVSSQNENHPLSTLLTARGSSDRQDQVRRNLTSEIESSSRNENVEIISSDQGHVHRSQGEKPNPCNLVEPIAGDLQCNSSDSMLGVENPELQQFAANFLSFYCSELPTTKVNTNKRQGSPSVLDLDGNLVKKRHLSSVGYQSHEGEAVVYIENPSDQVRIDNSKETSMGNNPELQLGLRKCNKTSTEAVDQILCDTPGIASDKVGTEKTPQSMHGSSMFSPGDTFWKEAIQVVDGMAYRNKDLPAQVVEESKGAKRDFRGGVDASMTSTGKNGISMEKEVSPLPVKHFDFSFEDKNKDVDSPCHRIVNNMDRLVECPITGDNPTTMHMSTPCNQVQPLSNLQETSSSCVITKRRVDVLNQPNDNRTSNTPGTDIKGSNMNCPHSVESTPSSSLLPNDRLDISNWLPSELCNIYKRRGISKLYPWQFTVKFTFYFPGGLPSGRRGVTKKEPCVLCIYKLITFSQSFVLSSHSAGKSFVAEILMLRRVLSTRKMALLVLPYVSICAEKAEHLEALLEPLDKHVRSYYGSQGGGTLPKDTSVAVCTIEKANSLLNRLLEEGRLQEVGIIVIDELHMVGDQHRGYLLELMLTKLRYGAGEGGVEFSSGESSGTSSGKTDPTQGLQIVGMSATLPNVGAVADWLQASLYQTDFRPVPLVEYIKVGNAIYDKNMELVRTISKRADLGGKDPDHVVELCNEVVQEGHSVLIFCSSRKGCESTARHVAKYLTKFSVNTLNGESEYPDIASAVDALQKSPAGLDPILAETLSSGVAYHHAGLTVEEREIVENCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMSGRAGRTGIDTRGESVLICKPEEVKRIVALVGDSCPPLHSCLSEDKNGMTHAILEVVAGGIVQTASDIHRYVRCTLLNSTQPFEDVVKSAKDSLKWLCHRKFLEWTEDTKLYSTTSLGRASFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPTNVDVEPDWELYYERFMQLSALDQSVGNRVGVQEPFLMRMAHGAPIRTSERSRHVIKGLGVSTNGILTDDQMLRVCKRFYVALILSRLVQNSFLILFCMLSCYFWMKEVPVAEVCEAFKVARGMVQSLQENAGRFASMVSVFCERLGWHDLESLVAKFQNRVSFGVRAEIVELTTIPYIKGSRARALYKAGLRTPQAIAEASNSAQKRIQLGVAKKIMNGARKVVLDKAEEARAAAFSAFKALGVDVPQFSLPKLPLASVNFTKKEAVTSSREETTSCFVCVDQGIQISTKSLIEGSDYQNNKKSKSEGATEEKPCDVGVGTSAEENSDDTTLSYSGAVNLVATVNGSRAIAYERKSTSDHINDMKEKTSDQHLPQKEHERKDVCVGSKERNPEKGPMNAVNAPGGFDSFLDMWEATQEFFFDIHFTKRSEFNSIAPFEVHGIAICWVDSPVYYISVPKDLFSERNKNLIGNSLRAPEDQLEMAKQRWCRVGMIMGKSQVRKFGWNLKIQNQVLKNPAVPIQRFGSMFRPVKTIGVELIESSYYMFSPVHLKDAIDLCVVAWILWPDEERSSNPNLEKEVKKRLSSEVAAAANQNGRWKNQMRRAAHNGCCRRVAQTRALSCVLWKLLASEKLHEPLVTIEMPLVNVLADMELYGIGVDMEGCIQSRHLLGKKLRYLEKEAYKLAGTRFSLYTAADIADVLYTRLKLPIPDGYKGKQHPSTDKHCLDLLRLEHPIISVIKEHRTLAKLLNCTLGSICSLSKLSMRTQRYTLHGHWLQTSTATGRLSMEDPNLQCVEHMVEFEINHDENEDGDSDTDHCKVNPRDFFVPTQENWLLVTADYSQIELRLMAHFSKDSSLVDLLTKPLGDVFNLITAKWTGKSESSVDPKERDQTKRLVYGILYGMGANSLAEQLECSPDDAGDKIQSFKRSFPGLASWLKEAVTLFQKLKQEFKQHKLNPCIIALVSTFEALLTSILLCSYVETLMGRKRFLAKINFGNNEEKSKAQRQAVNSICQGSAADIIKVAMITIHSVIADGVEKSESSIQFAERFHMLKGRCRILLQLSPQVHDELILEAEPSFVNEAGLLLKLSMESAASLLGLSSDALYYCFSCFYCKCVVPLIVKLKCGRTWGSLEPLLPG >KVH89951 pep supercontig:CcrdV1:scaffold_1954:14741:16478:1 gene:Ccrd_008047 transcript:KVH89951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPRPSHADNTQLLPLSDAQSPLSTVVYELSQQVQAAMDNMLKMITEIDQSSAGIIEDIEKCKDSALERKRTLEEEKERFQKAAYSVLDMLNNRESS >KVH89948 pep supercontig:CcrdV1:scaffold_1954:16686:29704:-1 gene:Ccrd_008048 transcript:KVH89948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MSETRSPMPLMYRRHSSGEMMNNLASVSSSLLPAFGTIVGGDSTPLRKYVIAPYDRRYRWWQAFLVVLVIYSAWSSPFELAFKKVATGSLLYVDLAVDFFFATDIILTFFVAYLDKSTYLLIDDHKKIATRYVTHMMFPMDVASTLPFQSIYRLLTGRLHRGEVFGFLNLLRLWRLRRVSELFSRLEKDTRFSYFVTRTIKLICVTLFAVHSAGCFYYWIATHHKHSSDTWIGSIIDNFEDRSIWLGYTYSMYWSIVTLTTVGYGDLHARDSINEILRYASKNRLPEGLKEQMLAHMQLRFKTAELQQEEVLGDLPKAIRSSISQHLFRKTVDKTYLFKGISEDLSRQLVTDLKVEYFPPKVEVILQNEIPTDFYVVVSGAMEVLTHKNGMEQFLTKLGPMDMFGEIGVFFNIPQPFTVRSKKLSQVVRITHHHFKQLVQPLDGDGKTIMSNFTQYLRDLKKEVQEELPFLTDLLLDLNIEQTTPVDESQNHEASNYGREDGEGTPTSVLSNAIPVRVVIHGHHPHEETTDGKRTGKLVHLPDSIEDLFNLAEKKFGKRGTTILMADASQVEDLNALRENDHLFIF >KVH89949 pep supercontig:CcrdV1:scaffold_1954:37495:42301:1 gene:Ccrd_008049 transcript:KVH89949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MAGLRVIHGQLFSNPSTFSSSCKVMPMTLDYRPLKFNIDPQSVSRSFGRFNRPLLIHGIPRSRLLCKMKDSGNETKNTRELGRNMTSQLELGKLGEKRKPEKRVNGIFWILLLNIGVYVADHIFQATFSTRQAKDPTRLLICWATGKLVEEEEGNFGLWLSYILTGAGANLVSWLILPRNAVSVGASGAVFGLFAISVLVKLSFDWRKILEVLILGQFVIERVMEAAQASTSFANSSNALQNVNHIAHLSGALVGATLVWLLSRVPSQSVDQDK >KVH89954 pep supercontig:CcrdV1:scaffold_1954:64507:69287:1 gene:Ccrd_008053 transcript:KVH89954 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MAFLTHFPVAPIHHHSSSLFTRFFLSPKPKTLLVLSALSSPESVATSHFSSTSTIIPSSSPEILQEISLPEETPLRIAQEKLFIPPETEISSEKPPRILRGSNIVLSKYARDAQVISADFVKSSVETEACPSDGSPEFALVGRSNVGKSSLLNSIVRRKKLALTSKKPGKTQCINHFRINDSWYLVDLPGYGYASAPHELRRDWNKFTKDYFLNRSTLVSVFLLIDASIPAKKIDLEYASWLGQNKVPMTIIFTKCDKRKKKKNGGKHPEENVQDFQELISEYFEGVPPWIMTSSITNQGRDEILLHMSQLHNQGAGCFRLNELNG >KVH89958 pep supercontig:CcrdV1:scaffold_1954:76913:78364:1 gene:Ccrd_008055 transcript:KVH89958 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein MEFLHFLTFLSMAVIVSHAAVAPETYWKSVLPNTPMPKSLTQLLNNDKSMDIYVGVGKGGVSVHAPGTSVGVGGGGGVSVHAPSTNVGVGKGGGGVSVHAPGTSVGVGKGGVSVHAPSTNVGVGKGGGASVHAPGTNVGVVGKGGESVHVHAPHPKPKGECTKVSVGKGGVVVRCHDKKKPVHVEVSPFSYKYAATDNQLKDDPNVALFFLENDMHHGHGMNLHFTKTIGPSSTFLPRKVADTIPFSSKTLPEIYARFDVKPDTTESESMKKTISECEDKGVEGEEKYCATSLEAMVDFSTSKLGNKVKAISTEVHARKTTPLQKYTIEGAKKLAAKRAVVCHKQNYPYAVFYCHKTTTTEVYAVSLVGEDGTKAKAVAVCHTDTAKWNPKHLAFRVLKVKPGSTPVCHFLPEDHVVWIPY >KVI01045 pep supercontig:CcrdV1:scaffold_1955:26706:32078:1 gene:Ccrd_020687 transcript:KVI01045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MVSITGKPLLFFCLSFIYLINVHVKAQPEYLYHICPNTTTYTPNSSYARNLDATISSLSNTNSGYGFFNSSQGQQPNTANAISLCRADVDRALCRRCLNESTVRLRQACPNQREAITYYDICLLRYSNATILGNFEMNKDVVYMWNVNNATNRSQFNAALRPLMNNLSSEAAAGGSLLKFAMGSTIGPDFSRIYGLAQCVPYLSEQQCNTCLESATNQIPSCCDGKIGGRVLTASCNIRFETYQFGINTSLIPSPPSPPPPPPPVQRFPPVLPTPGTNSNTTRTVIIIVIPTVGVIILATICIFMVLKRKKKYVHKVDDETVDISNVESLQYDFGLVKEATNEFSEDNKLGAGGFGSVYKGKLQNGEEIAVKRLSKDSGQGETEFKNEVVLLAKLQHRNLVRLLGFSIEGTEKLLIYEFLTNASLDQFIFGDPSKRTFLDWDRRYKIIRGVARGLLYLHEDSRLTIIHRDMKASNVLLDAEMNPKIADFGMARLFNPEETHGSTNRIVGTYGYMSPEYAMHGQFSIKSDVFSFGVLVLEILTGQKNTCFRIGKGIEDLLSYAWKSWRDGNVSDMIDPALMVGPGSLHEIMRTLHIGLLCVQNNVVDRPTMGSVVLMLNSFSLTLRLPSEPAFFMHSGIDSEMPLQGYISTSGTSGSGTTKRRSSSSQVSINDASISEIIPR >KVI01047 pep supercontig:CcrdV1:scaffold_1955:45095:47928:1 gene:Ccrd_020689 transcript:KVI01047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbQ, oxygen evolving complex MAQAVASMAGLRGSSLAVLEGSLQLNGSSRLTPVGNGRVGVARSGLVVRAQQSQGEGETSRRAMLGLIATGLASGSFVQAVLADAKPIKLGPPPAPSGGLPGTLNSDEARDLDLPLKNRFFIQPLTPTEATARAKESAKEIVNVKEFIGKKAWPYVQNDLRLKSEYLRYDLNTIIAAKSKDEKKQLKELTGKLFQDMADLDYAAKIKSPAEAEKSYVAVVSSLNDVIAKLG >KVI01048 pep supercontig:CcrdV1:scaffold_1955:92927:95255:1 gene:Ccrd_020691 transcript:KVI01048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MAATPHHYNLPIDTLIVSIFIFLPFVPLTTCNSIHDLLKSRGLPAGLFPQNVKSYDLDQNDCLQVYLEEPCVAKFETRVFFESVVRANLSYGGLIGLEGLSQEELFLWLPVKGIKVIDPSSGLILFDIGLAFKQLSLSLFEEPPVCVPKDVQLEAYGRKELGFQNLRR >KVI01046 pep supercontig:CcrdV1:scaffold_1955:36652:45550:-1 gene:Ccrd_020688 transcript:KVI01046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MNGVGRSHRRLTKSAGRCGRWAELDWFSVGKHSLNKRTRSQTGGNEPQHGSTAGFAFTLTLLGSNHKSRPSHTNPTISNRGQAARAEPKGNIRVFCRVRPLLLEDGPGAEATISYAISIESVGRGIDLIQNGQKHHFTFDKVFAHNTSQQEVFIEISQLVQNALSYNHQVHSTPVSDSDKSVI >KVH87937 pep supercontig:CcrdV1:scaffold_1957:32317:41358:-1 gene:Ccrd_024715 transcript:KVH87937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLPSMVVENIATEVLSHRRGITQGQSTKHWRPTLAAITEDGVAREKVHMILMVWRMIRFLVTLFITSFETLIEIVCRC >KVH87936 pep supercontig:CcrdV1:scaffold_1958:81926:82165:1 gene:Ccrd_024716 transcript:KVH87936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFFSPVVLPSPTATTSPYYQEQYYSTIQDLAYVAIPPGSQNSIAGAQYFSMAQTGPSVTIPISSQNSCVKSLLIKLQ >KVH87934 pep supercontig:CcrdV1:scaffold_1959:33793:61239:-1 gene:Ccrd_024717 transcript:KVH87934 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF attachment protein MAGADPEKLIAKADKLTKLTLTRWTADWRNATQYYEQAANAYRFAKKYEKAKVAFEKASKGQEMLSSYPFMLKLSLPFKLKPWDAAKHMESAAALAVELSIWNEVADFYRRASELYNECGRSQPASDALAKGARALEDAQPDEAVAMYTDACSLLEEDGKENMVSDLYRAITSVYVKLEKYMDAATFLLRWAVASNKCNALHSQCKAYLSAIIVYLYAHDFKQAEQCYNDCCQIDTFLSSDQSHCASKLLSAYREADAEEIKRVAKSGTISNLDHVIIRLARKLPTGELIAMEANSLEHGEALDEDDLT >KVH87935 pep supercontig:CcrdV1:scaffold_1959:73316:77466:-1 gene:Ccrd_024718 transcript:KVH87935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECNRMGCCNFGFLLRIESEDDHIQHILIKMAVVVSSRIEAKVEIKDVISKFPDDDEFRQYKKELDDMFSEGARKTTHDTDQLTSKKDGQPSLDIVLRQPQGDDDCKNRGFNEEPLPRIWLSPGFIEAIDKVVDNTISNSKMKQSYAGITSPTFDLGISPMKQAEPLNMDWHEEIEGVVLNKNRKGVEGSPSLKKRNVRSPRMQMPIRWSERLVENLSWVTISGPHIELLFSMPNDMNLHRHAIESLARTTTVYISVIDAWATFLNYEERFRNRDSLRHYSLTLKLWYTDTKLRSKCVNHNTQYALFKKGGLSSAKNNLEVVEMRNIDLVRTLLSCGVHPLVVVIDNKYREVSDDDQLLQMYDFITDILQRLMIGHLNTVGHAAGRELDEIGQERLRMDWQTQHNFDACGVFAMRHIETYMGDMRTWKTGLTQEGKTQESQIANLRMKYVAKLLVSNYNKKKEYVIKEVEKFQSMDEAIRKKLRKHVDDTKTERLRI >KVH88921 pep supercontig:CcrdV1:scaffold_196:286062:286625:-1 gene:Ccrd_024743 transcript:KVH88921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MANNLISATFALVLFSLLLAGKSQNFSTSLPRSALRLGRQNLTHLHFFFHDVVSGPNATAMRVATSRITNTSATGFGAVVMMDNLLTVGREPNSTRVGRAQGMYASADLNDMSFMMVQNYVFDEERYNGSTLSILGRNPIMSPVREFPVVGGSGVFRFARGYAEARTIYLNETSQDAIVEYDVYVLH >KVH88899 pep supercontig:CcrdV1:scaffold_196:31157:34147:1 gene:Ccrd_024722 transcript:KVH88899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/S7 MEVAANVEDGKARSDVLLFNRWTYDDVQVPDLSVEDYITATASKHPIYMPHTAGRYQARRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDANPIQIIVDAVINRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNRPNVSESDRITVLNNWKNERWKYMPLSRSPEEDGVELTEMWTKCFLRAPNYWG >KVH88917 pep supercontig:CcrdV1:scaffold_196:344975:349135:-1 gene:Ccrd_024749 transcript:KVH88917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF677 MVFWSYPPTPKQLAGSAIVFVTGAALFTAGAYLSFANIAPQQARAKARSDYVRARNSADITSRSGSQASPSVSLTNEYALALQTSSYSEIRTLLDIETERSDEITQDQLLAQVLRPNREFVEEVLQRAKPSFVTNLLWSFFDHSENKARMCLDLTQAIRRVRTLISPLQDLLLVLPLNSETDALSSPQRNRAFDLLLKYEQLENPFPDPGSRNVRGMRHCFSDLKDQLESRINKSYSRIRWLRRVTIGSAICLIGTTVGAVIAGVVIASHALIALAATMFFPAFIPSKMEKKERTLQAQLEAAQKGSYVLHEDIITIERLVNHLYSEIEGNKRFIHSGLWRGNDKHSILEVSRQLRRNNLYFQKQLTDLEEHLCLSFAAINRASSIEIDQPTEAFLQLLTVEVLPDSAAASEIQDICLVLKKPKEQGKLPLTLLIIVE >KVH88896 pep supercontig:CcrdV1:scaffold_196:89449:94282:1 gene:Ccrd_024729 transcript:KVH88896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-1-phosphate uridyl transferase, class I MATANRNPEIRTDAVNNRWVIISPARSRRPSDFKSKSQSKSDSNPSSNAQPQCPFCAGNEHQCAPEIFRVPEDSTSDWKVRVIENLYPALSRHPEVKSDHEEYCQANGTAGEVTLGGFGFHDVIIESPVHSVHLSDLSPEGVAVVLLAYKKRIEQLCAVDSISYVQVFKNHGASAGASMSHSHSQILALPVVPPTASTRLDSMKEYHNQTGRCSLCDIHTDKFLIDESTHFISIAPFASTFPFEIWIAIDLGGLLKLTLLKLSLQLNDPPYNFMIHTSPLQMTSSQLPYTHWYIQIVPQLSTIGGFEMGTGCYINPVFPEDAAKILREVEVPKKD >KVH88915 pep supercontig:CcrdV1:scaffold_196:171069:174693:1 gene:Ccrd_024736 transcript:KVH88915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFQTYFTILDILNGLGDNIPLATPTTATATPLASFGGGAAVTNAFQITDKPSLFENFQQIDNTPMNSIFSPSSPSCLPSSYRNPTPCFQLWPNYKCLSHFSFINHRLSSLSIQSTSASHKSTTYAVTPSSEGAIPVMNFEDFVEKDWSFLDADDISSDEVYKQNTDRIISAGKVGAESKILISTGSEGFVDRVVDTCSYKQLLVVHDSLFVLACIKEKYDKVICWQGELIFLPEKWAPFDVVFLYFLPALPFELNQVFGALSKVCSPGARIVISYPKGKELVEQQKVEYPDVVVSNLPDKPTLECAASDHSFTMVEFIDEPGFYLAVLAYKSS >KVH88924 pep supercontig:CcrdV1:scaffold_196:290287:292100:1 gene:Ccrd_024744 transcript:KVH88924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21e MPAGHGLRSRTRDLFARGFRKKGTIHLSTYLRTYHVGDYVDIKVNGAVHKGMPHKFYHGRTGQVWNVTKRAIGVEMNKQVGNRIIKKRIHVRIEHVMPSRCTEEFKQRVKKNDQLKLEAKARGEVISTKRQPLGPKPGFMVEGTTLETVTPIPYDVVNDLKGGY >KVH88912 pep supercontig:CcrdV1:scaffold_196:140475:142644:-1 gene:Ccrd_024733 transcript:KVH88912 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC type transcription factor, LHEQLE-containing domain-containing protein MYHHHHQGKNIHSSSRMSITPERHLFLQGGNGGGDSGLVLSTDAKPRLKWMPDLHERLEANGNSRAYFIPLKESSPDMVAPTEDAMGERNGIPPSNSSVCPQTNKNLQISEAIQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSNHCLNSAFSGMKDASTQTNQPTDCSIDSCLTYCEGQQTEQEIIGLTLLKSKKVDNDPEKVWCEETKRNKKFLSASDLSMSVGGLKGSEWNTSRSYNEERFTDRGEVILMNQITQSKTDSVKPEKVEMPQKFQLPNFGQKLDLNSHDGSDAASSRKQFDLNGLSW >KVH88922 pep supercontig:CcrdV1:scaffold_196:270375:270740:-1 gene:Ccrd_024742 transcript:KVH88922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MTNTSRTLFGATHVIDDSLTVGPEPTSKIVGRAQGIYAFTDLNEFGLLMVFNYAFVEGKYNGSTLSVLGKNSFLSKVREMPIVGGSGLFRFARGYVLATTHSLNLSSGDAVVKHDVYVLHY >KVH88897 pep supercontig:CcrdV1:scaffold_196:75698:86685:-1 gene:Ccrd_024728 transcript:KVH88897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MPQLRSGPRRSKRLGDIQPAAQPENIIAPTQIRTRRKTGGGRGRGNAAADTKGPSAAIPVRPSAAGRGRGIRLIDLDPEPPCEIVPNTAAVGVVEPIVNGVADKLVAMEGGSADKIMGVEEEGNTTPVPEKVQVGNSPTYKTERKLGKGGFGQVYVGRRVTGGTGRTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYGTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSVDEKKLYLIDLGLASKWKESANLHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPPPFKEFLEEVTSMKFDEEPKYAKLISFFETLIEPVTSLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKGRLGSPATQWISVYNARRPMKQRYHYNVADSRLRQHVEKGNEDGLYISCVASASNLWALIMDAGTGFFAQVYELSSVFLHKDWIMEQWEKNFYITSIAGAANGSSLVVMSKGTPYIQQSYKVSESFPFKWISKKWKEGFHVTSMTTAGSRWGVVVELDFLYPSEGIHRRWEYGYRITSIAATADQAAFILSIPKRKKEKWSKNLYIASICYGRTVC >KVH88911 pep supercontig:CcrdV1:scaffold_196:113114:119865:-1 gene:Ccrd_024732 transcript:KVH88911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein LLLLLFSFFTHTHTHTRTDFTSRFADFYTFDIYNIHTHRAPRSPFFFLKKSPRKNRRFSIPFCLTSQLPFLQLISSISSTVIPTFRLHRLQFLKTPNFFVEKITPKRSDLHSVEIPGCFKFNQIMSGVETVVWVLLGGLFLLWSEVEGIGVNWGTMATHKLDPKTVVQMLKDNGINKVKLFDAEQSTMSALAGSGIEVMIAIPNDQLLAMNDYGRAKKFVQRNVTRFNFNGGVKIKYVAVGNEPFLKAYNGSFMKTTFPALQNIQNALNEAGVGDVIKATVPSNADVYGSPVDSPVPSAGRFRADINDQMTQLVDFLAQNNAPFTVNIYPFLSLYGNDNFPVDYAFFDGVSQPIVDNGIQYTNVFDANYDTLVSSLKAAGHADMPIIVGEVGWPTDGDKNANINMAYRFYNGLLPRLTSNKGTPLRPGSIEVYLFGLIDEDAKSIAPGSFERHWGIFRYDGQPKFAMDISGRGQNSFLVPAQNVRYQEKRWCQFNPDAKDLSKLGENINYACTFADCTPLGYGSSCNGLDANANASYAFNAYFQVQNQDEMACNFQGLAMVTTLNISTETCAFNIQIVGTYSSSASRSSVHLFVYSLILVFLLFAIVYILFGPNVDGLGVNWGTKCNHQLPPDTVVQMLKDNGLKKVKLFDADGIVLDALADTGIEVMVAVKNVELDSLTKKSNAEKWVKKNVVKYVEKKVNITSVAIGNEPFLKDYKDKYVNSTLPALQNIQKSLDEAKLGDKIKASVPFNGDVYMSPAWKPVPSAGIFRPDVSDQVEDIVEFLHKNNAPFIVNIYPFLSLAIADDGFPIEYAFFDGNYTIQDGDVEYNNVFDANYDTCVSALKQVGHGNMSIVIGEIGWPTDGNRWANKSLSSRFYNGILPRLAEHKGTPLHHGHIEVYLFGLLDEDAKSVLPGDFERHWGIFDYAGQPKFHMDLAGKDKNKTLVGAKHVQYQPKKWCVVNHDAPTDHKKLNESALYACDRADCTPVSHGGSCSGLDFADKMSYAFNSFFQVANQSKASCHFGGLAIEVDKDPSKGPCKFNIQIKPYEPPSSSPSSSPSDSLSPSDSSAPPPAAGAPPMVLGLFAMLLFIFF >KVH88900 pep supercontig:CcrdV1:scaffold_196:18031:19726:-1 gene:Ccrd_024721 transcript:KVH88900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med7 MATATYPPPPPYYRLYKDYEQNPSSAPEPPPPIEGTYLLYGANYTTDDVLPTLEDQGVRQLYPKGSNVDFKKELRSLNRELQLHILELADVLIERPSQYARRVEDISLIFKNLHHLLNSLRPHQ >KVH88907 pep supercontig:CcrdV1:scaffold_196:193835:211277:-1 gene:Ccrd_024738 transcript:KVH88907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MASRPAGLQQPRKSTSKYANSPSSSTTSSSKQFPEASVDNLSSPASSARSKPQYFYSESLPLDCERSKENVTVTVRFRPLSPREIRRGEEIAWYADGETILRNEQTPSIAYAYDRVFGPTTTTRHVYDIAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNHEFLLRVSYLEIYNEVRNLFTDSLVVNDLLNPAGQDLRIREDGQGTFVEGIKEEVVLSPAHALSLIAAGEGLDVSHRACTPFQIILGFDIGQSLTKHRHVGSTNFNLLSSRSHTIFTLTIESSPCENSESEAVNFSQLNLIDLAGSESSKAETTGVRQKEGSYINKSLLTLGTVISKLTDGRNSHIPYRESKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEVQAAQNKIVDEKSLIKKYQSEIRSLKEELEQLKRGVVTVPQLKHTGSDDFVILKQKLEDGRVKLQSRLEEEEAKAALLSRIQRLTKLILVSTKSSHSSGFSGHPGLRRGHSFGEEELAYLPHRRRDLSLDDENIELYVSLDGSVETNNDVLKEEKKIKKPGLLNWLKLRKRDGALTASDKSSGAKSISPLSTPSAGHNHPIESVHSHFFPTECTSAESLSVAKQDRQIDDDACSQQETPMAEKTRLNDEIKLKNEQISFLESQIADSVASSHHTRDEAEQSRSVSELEAQLNEKSFELEINECEGLQETIASLKQLLSDTHDSRNVSLVKMEDTKEDLLRQAQAFEIEELKQKVVELTESKQQLENRNKKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELAAPKNSSAQRKTTGPTKNGRRDTRPKEQSPTQMELKRELALSREREMSYETLISEKDRRESELRRRVEESKEREAYLENELANMWVMVAKLKRTQGAETEASESSKESQRIDGWEIWDGIGETKEFV >KVH88926 pep supercontig:CcrdV1:scaffold_196:297821:307435:1 gene:Ccrd_024746 transcript:KVH88926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dcp1-like decapping MSQNGKLMPNLDQNSTKILNLTVLQRMDPYIEEILITAAHVTFYEFNVDLNQWSRKDVEGSLFVVKRNTQPRFQFIYELQVPYLLYRNAAQEVNGIWFYNPRECEDVANLFTRILGAYSKVPPKPKVNKSEFEELEAVPTSAVIEGPLEPPFTTSSSTDVPEDSSFANFFGNAMNLGHHASNSVNSMQSYHSLTAVPLHSRVASSPALNGTALQIPSLSSSTPGMPIHDNPDTVNSSNHVHVTNLIKPLSFFTPSSSSSPLMPQPVPKSMPVAPLQPPLNTQRNHGIPLLQPFPPPAPPPSLTPGSGSAPNYGSLSREKVRDALLILAQDNQFIDMFHQALLKVQQQ >KVH88908 pep supercontig:CcrdV1:scaffold_196:230452:232050:1 gene:Ccrd_024739 transcript:KVH88908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MLHSSKHFSSPPSRRRSTAITTAISMEESGAETHDFMNIESFSQLRFIPHLPPKKNSIRLFGKEFGGSDPTTIITDEFSSADDSTATVIHHETKDNGESRRKFECHYCCRDFQTSQALGGHQNAHRRERLHAKRTHIHSVMIHGSSAVNHHHHHVTTTSTPSYQHHHHQSTNCITNNGTSIRFQEKITSYTSHQTRAIAGRPFASTAVRSSTDFNGGGGLLNSSGAGSCSPILYMHESSRNKDTNKVAFEVISRKEKPPPLGGMT >KVH88925 pep supercontig:CcrdV1:scaffold_196:313340:314755:-1 gene:Ccrd_024747 transcript:KVH88925 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSPTTTPPLLATLNDGATTGISSLPTDILESHILTRLDGQTLASATCVSTSLSAGRHNHHLWSNICHSTWPSTANDCLTKFISDFSDDGKNGPRSFFSHTFPLPTPDPTTVPPPPQNQSPSSSPVAASELISAVDIYYRNNPILTKIEETKTTTDWFRCSPFRIDLLDPKDVVPIQSPLPAGGDTAALMDDMTLSWILIDPINKRAVNLSSHKPVSVQRHWLSREVQVRFVSILRGRRRGGGGDAGVVVQCGIVVNCGRSEDGEMQVREVTMEVEDMDGKHLNGRDSLVIFQRAMEAKRGNGVKREEEARRRYRRIANEYM >KVH88901 pep supercontig:CcrdV1:scaffold_196:10781:14599:-1 gene:Ccrd_024720 transcript:KVH88901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSYSPSPPRSRSRRYRSPSPRGRYGGRPRDLPTSLLVRNLRLDCSIPQLACGWFALLVLLTTGADHMIVEGHPSVTLVHPHVEDHIIAVQDTILPQDRIITQGPFHLETAGTEKETGLIQGLHMGQGAGVQPRSGAGVRKVIR >KVH88904 pep supercontig:CcrdV1:scaffold_196:53672:56517:1 gene:Ccrd_024725 transcript:KVH88904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MDKIEKRGVVGVGVGGGCKQPETTTDLFLKWGNKKRLRCVRMRDSDDAADDPSYAVSGRRRIRRRINSRFVSFPSDNNNNNNKAPSHPPPCTRLTRNSETGVHLRSDNNRKSSPEKEVYTTRGASAAVSMAEKPSVSPVDGGGGGGGGDQKVKHVWPKLFITLSNKEKEEDFMAMKGCKPPHRPKKRPKIIQRSLLLVSPGGWLTDVCQERYEVIEKKSTKKRPTGLKYMGSSMDSDSE >KVH88906 pep supercontig:CcrdV1:scaffold_196:371316:373043:1 gene:Ccrd_024750 transcript:KVH88906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MASPARRLEGKVALITGGASGIGECTAKVFAEHGAKIVIADIQDELGQAVCKAIGVSNSIYVHCDVTNEEDIRNVVDTAVATYGKLDIMFNNAGIADPNKTRIMDNEKTDFERVLSINVTGVFLGMKHAARVMVPARAGSIISTASISSNVGGAASHAYCCAKHAVAGLTKNLAVELGQFGIRVNCLSPYAMVTPLATSFLGLEGEALENVMNSLANLKGPTLKTDDVAKAALFLVSDEAKYISGQNLFIDGGFSIVNPSFGMFKYPEDL >KVH88895 pep supercontig:CcrdV1:scaffold_196:7133:8182:1 gene:Ccrd_024719 transcript:KVH88895 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEPNHDFTELIPGLPHEIALECLTRLHYGAHAVASHVCRRWRRLLQSQDFYYHRKQNGFTRKVACFVQSLPIHPESAGLNEKPEKQPKYGLSVFDPTTCIWVQIDPVPKYPDGLPLFCQLASSEGKLVVMGGWNPVSWEPLRDVFVYEFTTRKWTQRMDMPSTRSFFAAGACNGKVYVAGGHDENKNALRSAWMYDIGSDEWTELTPMSEERDECEGVVIGSEFWVVSGYDTDSQGRFKNSAEVLETSTGTWRRVDEAWRVSRCPRSCVSFEQSGNLTSWDGSEPAVQVGTCGVDLGDRSLVTGSAYQGAPQAAFVVEKTNQGHNGKFVKVDVPNEFSGFVQSGCLVEI >KVH88898 pep supercontig:CcrdV1:scaffold_196:35498:38235:-1 gene:Ccrd_024723 transcript:KVH88898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVSIHIGQAGIQVGNSCWELYCLEHGIQPDGFMNRWYLTLRVLIMCYEFRGSGNSRGSGDDSFNTFFSETSSGKNVPRAVFVDLEPSVIDEVRNGVYRRLFHPEQLISGKEDAANNFARGHYTVGKEIVDTCLDRVRKLADNCTGLQGFMVFNAVGGGTGSGLGSLLLERLSVEYGKKPKLGFSIFPSPQVSTAVVEPYNSVLSTHSLLEHTDVVALLDNEAIYDICRRALDIDRPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISSARAYHEQNSVPEITTAVFEPSNMMAKCDPRHGKYMACCLMYRGDVVPKDVNTAVGSIKTKRTVQCPTGFKCGINYQPPTVVPGGDLAKTNRAVCMISNNTAVAEVFNRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGQDAEEEEEQAEEY >KVH88909 pep supercontig:CcrdV1:scaffold_196:95177:97302:-1 gene:Ccrd_024730 transcript:KVH88909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGRNLSPVLRRELENLDKDADSRKSAMKALKSYVKDLDSKAIPIFLAQVSETKETGLTSGEYTISLYEVLARVHGTKIVSQIDNIMSTIIKTLTASAGSFALHQACSKVVPAIARYGMDPTTPDDKKREIIRSLCKPLSDSLLSSQENLSSGAALCLKALVDSDNWRFSSSDMVNEVCQRVAAALEKPMQANSHMGLVMSLAKHNGLVVEAYARLLVRSGLEILNMGIAEGNSQKRLSAIQMVNFLMRSLDYKCIMSELSFVIEELEKCHDDQMAYVKGAAFEAIQTAKRILIEKGSRYERKRDQSPVTRLPRSPRSPQSQTMDSYDDYASMAYSPFSISFASRDENSDRSVNRKLWRRFEDGTVDVSLKDGLFSGEMGTPRSVIENSEHNDYADGFSGFMQSSTTNGDSRSATPSPQRSRSYLNIDNMNLFATPRKLVKSLQVPSENQSRRFKSPYYDQNGIESESLTNEDEQFNGTSESVSSTEDIIPPITNGNTNLQPSEEVVPETDVKPRTWSGVSIASGLFVVLIAVVCFLLVRDLDDGCNLVPT >KVH88903 pep supercontig:CcrdV1:scaffold_196:58442:64905:-1 gene:Ccrd_024726 transcript:KVH88903 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2470 MASSAQTPSQSVSPGDATDEILELINVHQEKAARLPSIEEVRTILHHSIRGTLSTISQFGSLLIVHLNYQVIVATSCTVQKHEGYPSGSMVDFACDAYGSPILAVSNLAVHTKDLLANPKCSLLVAKDPEDRTDLIITLLGDAVSVSETDRDAIRKAYLARHPDASWVDFDDFRFLRIQPKAVRFVSGVATALLRSGEFTNEEFREAKSHMNKDHSNDTKLIVQHSTSVPVDFAYMLDVDSLGFNVKAGYKDSKFKLRIPFPRPAEERKDVKTLIIVMLQAAKSRDHGKHQLPFTLGNVV >KVH88923 pep supercontig:CcrdV1:scaffold_196:294667:296436:1 gene:Ccrd_024745 transcript:KVH88923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MEADSTTINVTETPKERKGKAPLLAAPPASSGIKRVFQKAPKGGSKRGLAVFDVILRLAGIATALGAAIAMGSTDQTLPFFTQFFQFKAEFDDLPAFTFFVIANAITAAYLALTIPISIVCIIRPHLVAPRVLLIFLDTVMVALTTAAAGGAASIVYLAHNGNSDANWPAICQQFNDFCQKVSGAVVASFLTVVVLMVLIVLSAFAL >KVH88919 pep supercontig:CcrdV1:scaffold_196:250139:257623:-1 gene:Ccrd_024741 transcript:KVH88919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAQTLPILTILLLSLLLQTQSHKFSSKLSPKSFGFKKEKLSHLHFYFHDIVGGDHPTAVRVAAAATTNTSQTGFGATVMIDDPLTVGPERTSKIVGRAQGIYASACLTETRLLMALNYVFVEGKYNGSTLSILGTNSVMSPVREMPIVGGSGLFRFARGYALAKTVFFNISNGKYNGSSLSILGRNAVLDPMREMTVVGGSGLFLFAKGYAQAKTHSLDLETGNAVVEYNVVAEATVTNTSASLFGAVVMIDDPLTVGPERTSRIVGRAQGLYASADLDEFGLTMVLNYVFIEGKYNGSTLSILGRNKVMSSVREMPIIGGTGLFRFARGYALAKTYSLNFSNGDAVVEYNVYVIHY >KVH88910 pep supercontig:CcrdV1:scaffold_196:103311:109795:-1 gene:Ccrd_024731 transcript:KVH88910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inhibitor of growth protein, N-terminal MAIARTGVFVDDYLEYASTLPAELQRLLNTIRELDERSQSMINQTRQQTKNCLDMASQNSNKGNHEDGDMAFEKMKKDIEANQDNALSLCTEKVLLARQAYDLIDSHVKRLDEDLHNFAEDLKQEGKLPADEPAILPPLPLVPKTEKRKPIYITPQSKKIDYRDREWDHRDRDFELMPPPGGFKKDYPTPLEMDQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYSCVGLTPETRFKGKWYCPTCRQLPL >KVH88914 pep supercontig:CcrdV1:scaffold_196:165351:169593:1 gene:Ccrd_024735 transcript:KVH88914 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MRTSWADVVDNAASGSENYGNAASGAPVKPAYVPPHLRNRPPASEQAAGPAMSHSSLPSTNDRLGPNGQTSGSRWAAPRSDYSRPGYSTGGRSGGRGGWGNRGGREWEANPFGNDDIDAVEEIKSEQESNGINFDAYEDIPVETTGENVPPPVDTFADIDLGDALNLNIRRCKYVKPTPVQRYTIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRAQSVQKPRGTRTIHTEAQKFAYQTGVKVVVVYGGAPISQQLRELERGVDILVATPGRLVDMLERAKVSLQMIRYLALDEADRMLDMGFEPQIRKIRLASDFLSNYIFLTVGRVGSSTDLILQRVELVQEEREQALRSFKSGNTPILVATDVAARGLDIPHVSHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNIYGGGGYGGGGYGGGGGGGGYSGGVGGYGGGGAGAPSAWD >KVH88913 pep supercontig:CcrdV1:scaffold_196:155397:160588:1 gene:Ccrd_024734 transcript:KVH88913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIIPTWQVSFLCGILTWIVVSSMFNVTQKIRSSIQPWVSRHVISGTPAILQIQKYQRGSLDAFFSGLSCVVSVPFYTGFLPLLFWVNSLFLYVETSLAEPIIKRVISIWSKFIGNSFQNNQPSCEIAKRKNTIFCRFEFLFVNFVIFAFFCWDLSGHGKLARQMTLLMAFCDYSGNCIKDVVSAPRPKSPPVRILTATKDEKENALEYGLPSSHTLNTVCLSGYLLHYVFSCLENTDASYRVASFLFVCLLVGLIGFGRIYLGMHSVIDIIGGLVLGLTILAFWLHLHESIDNFVTGVQQTYHQFHHEKVARVFTPELTIPAFAGRVLVGIPTILLVKFCSKALAKWILPITASALGIPVRSSGYVTALTVSPAVKRSNEVKQTSGYLQKMFFGSQDSFDVDTGIRLLQYAGLAWSVVDLVPSLFSHLNL >KVH88918 pep supercontig:CcrdV1:scaffold_196:320561:342332:1 gene:Ccrd_024748 transcript:KVH88918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSQFHGLPNASCSPSISQWKSLNPWVSCKHYQSCFFHKDVVDLGYLPFSRVHSRKRYQINLSLLEDGSLTSSSRLVDLQFLCLSHRKSRRTGHLLSFASVDDSITVNGSPQASTSGDIEEMQVELDKSLQNEDYNSALVQSLHDAARVFELAIRSQTSVSQLPWFPTAWLGIDQNAWLKTLSYQASVLSLLQAACKISSRGNGRDSDMNVFVRQSMSRLCATLEIVISEALSEKQPELYEWFWSEQVPMALSGFVNHFEEDERFAAVTGMINRRTSSAMDPGESLLPVFALSCIAAITKLGPLKISCAQFYTALPDITGRLMDMLVELVPIRKAYHSIKDMGLRREFLVHFGPRAAACRVKDDQGTEEVLFWVSLVQKQLQQAIGRERIWSRLITSEGIEVLDKDLAIFGFFIALGRSTQRFLCANNFEGVPEPFEGLIRYLIGGSVLHYPQLSSISSFQLYVEVVCEELDWLPFYPGVENLKLSHGHGSKQGPPNEEAISFALNVCSHWIRSFIKYSKWVENPSNVKSARFLSRGHNILTRSMEELGLPKKLMIENSATNMLEIAGSRSYAPSREDLDSFDKALESVDEALLRLEELLQERHVSSSDPGKEHLKAACSDLEKIRKLKKEAEFLEASFRVKADSLQQGNDAGRPESSVSNKRQSNIEMDGSSRKPGGLWSFLIRRPSPSPTPNLQSATVYRSDNTHVPEANEIQRFELLRNELKELERRVERSAKRSNNEEEEINMAGDLVMYSDKAEGIQVIKAQEKENTIGKYLNKLKETTTVTLDFGQRKYVESIDAAAATGLLIRVLTGDGLMEKEKQALRRTLTDLASVIPIGFLMLLPIPSTYGPERLALLRQLKKVKEMGTTEVNATANADES >KVH88905 pep supercontig:CcrdV1:scaffold_196:43889:44188:-1 gene:Ccrd_024724 transcript:KVH88905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMKMNLFAIVIVMLMAISAISNVAAQEAPAAAPGPASAASVYVPTALISLIAISFVIVRSFSFFDLRI >KVH88920 pep supercontig:CcrdV1:scaffold_196:238834:239406:-1 gene:Ccrd_024740 transcript:KVH88920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MVKIHLTTQFIITILLLHILTSIQSKSFSRNLSRKSLDFKKEKLTHLHFYFHDIVIARHPTAIKVASSPTTNTSSSFFGLVMMMDDPLTLTPEPGSKVLGRAQGIYASADLKELGFLMVLNYCFTEGKYNGSTLSILGRNAAFTSMREMPVVGGSGLFRFARGYAQAKTHSLDYKTGNAVVEYDVFVLHY >KVH88916 pep supercontig:CcrdV1:scaffold_196:176397:186254:-1 gene:Ccrd_024737 transcript:KVH88916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYERLRKRMPELAHDCQFSGGDTTTSYGPVSSTGFWSKHRDDVSYNQLQKFWSELSPQARQELLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGTVGQGSGNRLQNSKCHAVEDVCLTIGCNDDVQDPSVHPWGGLTTTRDGTLTLLDCYIYSKYLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMVGYGRGHGTRETCALHTARLSVDTLVDFWSALGEETRHSLLRMKEEDFMERLMYRFDSKRFCRDCRKNVIREFKELKEHKRMRREARCTSWFCVADTSFQYEADWHQNYADSTGIYQHYEWAVGTGEGKSDILEFENVGLNARVQVNGLDLTGLNALYITLRAWRFDGRCNEVSVKAHALKGQHCVHCRLVVGDGFVTITRGESIRRFFEHAEEAEEEEDDDSVDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSMFVSLALKLLEERVMVACKDIITLEKQFKLLEEEEKEKRDEEERKERRRAKEKEKKLRRKERLRSKEKEKEKKCSQPGQIPAVPDANEELTSVHEDLNDKSEAYCEEREDALFSIPALEDCIQEEQILNYDESSNAEFSYEKDGNASFASDQSKHPRRRLKSWKDYQLDQSSKWSDRRRFTAGSENGSMVSKPAPRFYSDGFETSSRNGNFNGVNKPARRSNGARYNERSYCSHNRMNSRYDPPDCNCYQQDYRPKVGKQEPDTDVSKPYFRGNKYNNQTEFVREACGRSKSKIITGNNAAGRDSPYTKKVWEPMETQKRYAPRSVPDPDDITDRSATVTEPPVKIISSSDGTNSAPANRDDNDLKESKSRIHIEADATSSVSGTTDLSTSSNSNSDSCSSCLSEGDGNTSFSSNPQNPESSFTSDSEYASHHSEVIKETSLCLENDFPVISKVQNTKENDVLRKENSGEFSSKTAESCKSGKRNDVTGSHPNVIPPPLQPQSLHFPVFQAPSMGYYHQGPVPWTTAPTNGLMPLPHPNHYLFTSPFGYGLNGGSRFVQYGVGGVQPLAPPLMNHGQVPIYQPGPQNSGGKDQTKVDENSEKLQQSSGVEEGGGQNGNSSARGFSLFHFGGPVDVSKGGGFKPKEEIDVNAVEEYNLFAATNGIKFSIF >KVH88902 pep supercontig:CcrdV1:scaffold_196:73609:75006:-1 gene:Ccrd_024727 transcript:KVH88902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTLSEEVEVKVSADKAWQVYGTLKLGDLAAKYIVDGLEVIEGDGGVGTIVKITFKPVRFEVKDNPNDEPGSSCLMKTTIEYDVKEEDAANASLATIDPFVALMK >KVH99279 pep supercontig:CcrdV1:scaffold_1961:77833:88956:-1 gene:Ccrd_022492 transcript:KVH99279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNLDHILTPIPSVPAITDIHYHDESSSPPSYSYYNQSNCHGLLYTAALSIPAALFVLYLGFHLKKNIKKLSHRRSHVMIAYYVLLWFSAILNLAWCSLQAWQCIPGKEVSWNLLSLLTESGTLCLEISLVAFLLQENYASGLETLAHTFMVSGLIVGADILLKAIFVFGFHVPLFMDGITTHSGKWGMWIFDDLLLTCTYGYILFVHYSKWRDKLPRCIVS >KVH99280 pep supercontig:CcrdV1:scaffold_1961:38944:76442:1 gene:Ccrd_022491 transcript:KVH99280 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MLIELPRLTNSLRDPFDADKAYLNRKHILQSLNSRRSSAKSLEEFEVARKIVHRWDEASIEVRQAYRQFVGAVVELIDGEVVNEEFKEVALAVYRLFGACVEEEEENRRIIEKKSELQKLLGHDVPDAKLQKLVNAVESLSSLQPDNHEAMSLAESQVNGSDGSQEFGANLVFHHPTRFLVDASFEDGEMWGECTNVPSTSLHGEWYDHSESSKHRPVGGTFDLGWLRDECNRILNGSTSQLPQDELAMAICRVLDSDKAGDEVAILLIYWILSVLNVDVLKLFCLRIPKLINAVIFVLKIAGDLLDLVGDGAFETVQDLISHRKELVDAIHHGMLNLKSEKVVSSSQPRMPSYGTQVTVQTESERQFDKLRRKEEKKHKRATDHGFDTELSVTSFSSLLQASAKKSPFDDLIGHGEGSNTLAVTALPQGTTRKHHKGYEEVSIPPTQTAPMKPGEKLIEIKELDEFAQAAFQGYKSLNRIQSRIFQTTYHTNENILVCAPTGAGKTNIAMIAVLHEIGQHFKDGYLHKNEFKIVYVAPMKALAAEVTKAFSHRLAPLNMVVKELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYMEVAQFLRVNPDAGLFFFDSSYRPVPLAQQYIGITEPNFQARNELLNEVCYKKVADSLKQGHQAMVFVHSRKDTGKTADKLVELARKYGELELFKNEEHPQFHLVKMEVSKSRNKELVQLFTSGVGIHHAGMLRADRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVTLGTVTNVKEACAWLGYTYLFIRMKMNPLAYGIGWEEVIADPSLGLKQRALVADAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMSDSEIIDMVAHSSEFENIVVREEEQNELETLARTVCPLEVKGGPSNKHGKVSILIQIYISRGSIDAFSLISDASYISASLARIMRALFEICLRRGWCEMTSFMLEYCKAVDRQIWPHQHPLRQFDRDISSEIVRKLEERGTDLDHLQEMQEKEIGAMIRYAPGGRVVKQYLGFFPSILLSATISPITRTVLKVTFLVNTPSLNSLVDLVITPDFVWKDRWHGAAQRWWILVEDSENDHIYHSELFTLTKRAAKGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLQAEAFYTISFKNLALPESHTTHTELLDLKPLPVTALGNKAYEALYKFSHFNPIQTQAFHVLYHTQNNILLGAPTGSGKTISAELAMLHLFNTSPDMKVIYIAPLKAIVRERMNDWKNGLVSKLGKKMVEMTGDYTPDMMALMSADIIISTPEKWDGISRNWHSRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERPVRFVGLSTALANAQNLADWLGVEEIGLFNFKPSVRPVPLEVHIQASDNSVPAITLFHLLKYTRYFQLIKKIKRFSHGYPGKFYCPRMNSMNKPTYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDEHPIQFLAMPEEALQMILSQVTDQNLRHTLQFGIGLHHAGLNDKDRSLILVCTSTLAWGVNLPAHLVIIKGTEYFDGKAKRYIDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLRDQLHDHINAEIVSGTISHKQDAVHYLTWTYLFRRLMVNPAYYGLEDCDPESLSSYLSSLVQNTFEDLEDGGCIKINEENVEPLMLGSIASQYYLKYLTISMFASNIGPDTTLEVFLNVLSAASEYNELPVRHNEENYNEALSAKVPYAVDKNRLDDPHVKANLLFQAHFSQVELPISDYYTDLKSVLDQSIRIIQAMIDICANSGWLSSSVTCMHLLQMVMQGMWFERDSPLLMLPCMTQDLVSLLQKGGISNIQQLLDLPKSTMQSRFGNSAPRLQQDLQHFPRIQVKLKVQGRDVGSDNNPNEAWWLVLGNTSTSELHALKRVSFTDRLVTRMKLPHTTTSLQGMKLLLVSDCYLGFDQEYSIEEIMEP >KVH99281 pep supercontig:CcrdV1:scaffold_1961:22355:27990:-1 gene:Ccrd_022490 transcript:KVH99281 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MAVLVVFMLILSMAAQSSGNWCVCKKGGQDKVLQEAIDYACGNGADCTQTHQGGKCFNPDTVIDHCNYAVNSYFQNKGQTPGTCDFKGATMVVTTDPSSNGCTFPSTASRTTGSTSPTTNTGTTSSPGSTSTSSFGNNPSNNGGMLGGGMGGGLGPSASSMDTDVSHGVGQETNILGLLLGMVGAGVVVML >KVH99276 pep supercontig:CcrdV1:scaffold_1961:1459:2996:1 gene:Ccrd_022488 transcript:KVH99276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPLPKEISSLSSCFNPMYLQVIFIKPTKFLNKSTTLALLPGIKSLEEIGDLKMGRWIHSYIHRSWHMKNEEHTVCLNNALLHMYAGCGLIDDAYELFKRMPIRTTVSWTTMISSFAKQGRGKDALSLFQSMENAKDDSPKPDAITILAVLNACSHSGFVEQGRRVFKNMNLIWGIKPKIEHYGCMVDLLSRAGLLDEALTLLESMPMDPNDTIWGALLGGCRIHKNVKLASLIAQKIANLNLEDDKVAGYLVLLSNIYAGAKKWKEVANAREKMVQLEVRKPPGRSWVQIGGSVHEFLAGDTIHKRTSLIYKMLLLVTIEAGLSGYEPDVYEAAAQT >KVH99277 pep supercontig:CcrdV1:scaffold_1961:8757:16698:1 gene:Ccrd_022489 transcript:KVH99277 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MNSSGCAIVTFLLLFIGCSARTLHPLPSDKNEKRKEPLQTFRPFNVAHRGSNGELPEETGPSYLRAIKEGADFIETDILVSKDGVLMCHHDVILDDTTNVAEHKEFADRRRTYEVEGANVTGLFIFDFTLEELKTLKAKQRYSFRDQQYNGKFPIITFEEYISIAINAPRVVGIYPEIKNPVLMNQHVKWPKGKIFEDVFVEILKKHGYEGSYLSKEWLKQPCFIQSFAPSSLVHIHNKTDLPKVFLIDNVDVTTQDTNQTYWEITSDSYLDYIKEFVVGIGPWKDTIVPVKDNYLQTPTDLVTRAHARNLQVHPYTYRNENQFLHFNFCEDPYMEFDYWINVIGVDGLFTDFAGSLHHYQEWTAPRSADDLDANKLLQKISSMILNADLGAITSKTGEHVVRRPRGRPAGSKNKPKPPIIITRDSANTLRAHAMEVSPGCDVGESLATFARRKQQGIWVLSAAGCVSNVVLRQPSPSPTNPASGPIVTLHGRFEILSLIGSVLPPPAPPGVASLAIYLVGPQGQVVGGAIAGPLMASGPVVIMAATFMNATFDRLPIDKDEAVAVAATTTTTAAHDQRHHRVIGDIYNPPQNLLSNTSLPPPEIYT >KVH99278 pep supercontig:CcrdV1:scaffold_1961:96730:97051:1 gene:Ccrd_022493 transcript:KVH99278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTVNKYWEVVSGFNAWVAKPFTSLIIHFKGLESSFETRRLVKPLIVVVIEDLTNNHRRELVEELTERKHLF >KVI07909 pep supercontig:CcrdV1:scaffold_1962:19918:20462:-1 gene:Ccrd_013724 transcript:KVI07909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIWAREERDRIPVLFNEYGQPVDKETSNSLSHFMGSLARSGKYCPVDISWHQVSTTKKGMLVNFIETKFDLPPGFDDWILKSFAKKMRNWRARIKKDYYDPSLSLQEQIKSNPRRVRPDQWMNLIDNWNKEEAKVYYYNELFQNKLKVSILCFKIFLY >KVI07911 pep supercontig:CcrdV1:scaffold_1962:69151:70605:1 gene:Ccrd_013726 transcript:KVI07911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDLPLMEDDSLIQQFPDPNQTPSKSSVNYFNCSRFKLPPSHTKSLKDDVHLEKPSSSSFETSNNKENINSNNVEVPKLGTGPMQMKRKKKGGGYNLRKSLAWDRAFFTDEGILDPLELTLITGINANTCGGGLHTISEEGNSSFSSDTRCTKGPKDKETSEEDLLKELPDKSRTSKVKGEKTGCSVGNHDSSPHCKVTHKFYAIDHTSRAGSKVGVPEPLAASSYPFCG >KVI07910 pep supercontig:CcrdV1:scaffold_1962:72012:77915:1 gene:Ccrd_013727 transcript:KVI07910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNRKIGQLRPPAVPARTPMKGNDITENVCSMVPTSSGRCLAPSALHAHCGSTPLIPLPNYLRSTTKGVADSDIYPNLERKITQREDIKMQYDCRGSEAQVHKAIEQEKRTIGHDGIMKMEKFAWNNKEIKATHLTEQLGKGIGANIHLVGNEGNNKIDVENLVMSQGNDERVPLVPETKGGFVLHNEEDRLQNYTAISMSTSGQSIQHQEQNILTAIDGNTSFMQDFAQTSSEQADTEKSDAPKVNPEYHLDVDSLKAEDQVCKVFAESQKDGSGKSEDDVGATKQVSPGLQNCSVVLQHINIGQENDVAKVVDDIYKSSHTEDNAGCSVDSPCNETKGRISIPSRESLKDEAGNGGNTDARELEGTVTMLDTSTIPFQSMDTAQGNDDADNVPVFRTRPSITDAGTLVVHDHSSPEGFYINPSTSIENEVQLLDSPVRDAVMLVQHDNPSAESFHINTSTFFMNNAQLQDSPVRDVVPMVLPDNQYSEGFHVESNTFITSKVQLQDSWTANQSAKCFETAAPCVSALLNSQFYSEGGSVNCIMPTESSSLDDGPCDNVTEINDHFFQGRSNSSKAILIEVLDQKQDGVEVALIPGKPFAEARFGFRKVAPLIDDHNHSSLSCLRPEVELISATASVDDDTFFKTESVLQVAQCANTCPQSLEKEGLDLAAAAASEAELKIHEKNQKSQEMGTIIRSLSKKSIVAEESQHSCGNMMEANATLQGCTSGKVVPSIDDHNHYSLSCLRPQVELISGTAFVDDDAFFKTESVLQVAHCASTYPQSLEKEGPSLAAATEAEFKTNEENQKSHEMGMVIRSLSRKSIVAEESQHSCSDMEANATGCTDGLGTCNEFQSENKILECSETFNNFPKTHLEDAQMQLLDGDILVQPCSSLTSVLHNHSIKNVDHSDISEEQSEFSDNSMKLGKDLISNHQLFPTTDTVLQECVCKGVVNVVNQNDVYECDRKPSNTTVHSPPAGQAVGLHRNNNGQLCLEYGTSGSTAIDSIAEHNENYSDRQLTYNTKCSDLALLSELKSSDNDEIECVDTCMEYCSAWEESSSFLRKVADSMLEEKPTLFGEFGQVAADNKKVCIKSLSPEKNANLDKPHNALGIVISVPEQNIGHGRDRDLQNSNASALLPTVQGNVSDLNGEDQPNEPQGFDGIMPFQETYHYVTNEWSGYGLESSGQDPSESNSNFPVSQHPTTDGKFTSTAFCSATVESCSAAGGDKSLRTSTCRIGAEESKISFEEEVETEALQEGKHPIVCEFHHELDGGSDLKHNKDATVLTKRSNNIKKQDNSLVIHPPNAVPFSDEWLAAIEAAGEEILTMKHGAVQHSPPDKSVPEPSPWSPVKKKGNQIGPYDCTKYTNAMPSNPD >KVI07908 pep supercontig:CcrdV1:scaffold_1962:33967:44337:1 gene:Ccrd_013725 transcript:KVI07908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MAASIRRTPISLPHNPIRSTSNPKLPSNAKFPLNVRRCSTSVSASSSSIQGGTNEGKSSSLPRPLSSTDLTGLPPEGSRLRVAYQGVGGAYSESAAEKAYPNCEAVPCEQFETAFEAKALLIDSKSKKLGQSSAHRFQVQKASTARGFFSIPQFHGFSTGAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKLAIRHCLLANHCVKVEDLKRVLSHPQALAQCENTLTKLGIVREAVDDTAGAAKVALVFAYIMITKNDNEHVALHKLKDTGAVASAAAAKIYGLNLLVQDIQDYSDNVTRFLMLAREPIIPRTDRPFKIESRPLQRQALPAHNESTSGFPGSFPYLFYVDFQASMADQRAQNALSHLKEFATFLSVLGSYPEDISI >KVH92148 pep supercontig:CcrdV1:scaffold_1963:28170:33801:-1 gene:Ccrd_005818 transcript:KVH92148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MMEIHKCLVLALILIFSVIGSVTSDASNHKYKNGDVVPLYANKVGPFQNPSETYRYFDLPFCLPGDLKEKKEALGEVLNGDRLVSAPYKLDFLVDKDSEFICTKKLTKQEVAKFRKAITKDYYFQMYFDDLPLWGFIGKYEKTESNEQKYYLFKHLQFEVLYNKNRVIEVNVRTDPSALVDVTEDKEVNVDFMYTVRWKETNIPFEKRMEKYSHNSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAAEDQEETGWKYIHGDVFRYPKFKSLFAAALGSGSQLFALTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTASSFYCQLEGTNWAEFQAPCRTTKYPREVPPLPWYRGTLAQMGMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILVIVTAFITIALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYGRSDMTGFMQTSFFFGYMACICYGFFLMLGTIGFRAALFFVRHIYRSIKCE >KVH92146 pep supercontig:CcrdV1:scaffold_1963:6785:7353:-1 gene:Ccrd_005816 transcript:KVH92146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MSKRGRRGSAGNKFQMSPSLPVVNCVDNTGTKTLYIISMKGVMGHSNRLPQQLEIWYLEDKSSVIVNKKGELKGTAITAPIGTECGDLWARIESATNAII >KVH92151 pep supercontig:CcrdV1:scaffold_1963:83337:89350:1 gene:Ccrd_005823 transcript:KVH92151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMNGGSTEKPNGGVSPPSVVEDEKKDNSKARLLSFEGGVGLGEEVTLSGVVNHLIESISDPNPDENSTAARPPMANRMIRIKKSLMEAAPMFREAIMNTRREVLLWTRRGSPLRALLVVSVGIATLLGLTGMLVFTLFFVAATVNAIVISLLISLAAVGGFLAIFFSCLTAIYITLLCIAAFVTFTVTISSIVAALVAAGWIGLIWMVWLAVSKSASLAKRSLAAPDVSSEQKHSQL >KVH92150 pep supercontig:CcrdV1:scaffold_1963:64679:66417:1 gene:Ccrd_005820 transcript:KVH92150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF775 MFGVVFPNRSFPIDISTFTQIDTFHWLLDMNTFVGEAYDSIREVCIFLLNNFTLPADKALAVYIQSPGSPFLFVGAVTLARPSAVLSLPWPDPGGEGINKQLTAPDAAPLSAKIGVSVEDLSSLPSLDVVAEKRIERLAMKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQERAKRDPEYLKGFAF >KVH92152 pep supercontig:CcrdV1:scaffold_1963:70967:81677:1 gene:Ccrd_005822 transcript:KVH92152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MKEGDTELILRNLIACEQFSPQVHHQITSHHIYAAAMDMVINTQEDVAILVESKVIVNCMASNEEAAKLINHNQLSVAVQGRRRSSSEQHTSSIHPINYKGKIPFGMANATDDVELGSIQDPTVQFLLRCTQKQHKEFSPTSIQIIPSRIDYGNVNAVMIEHFVVTVGYRLKL >KVH92147 pep supercontig:CcrdV1:scaffold_1963:16779:17768:1 gene:Ccrd_005817 transcript:KVH92147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MPFCIEVGYFDTMKEIKEKVAKYHGIPVYEQTLIFKDKTLPDDLNIHNSDILDRSRIQLHIINNTTDHPHPHPPPQKVTVKTEEETTTPSSSSSSQVQNLKNKSMMIKLMLKSSSLGGVATTMMELDMNDSVLKLKEKIYEMELVNSRMMIIHANGIELHDDNKTLHECQLVDGSEVEIITPPLNKQQPTTTTGLISSSSSSSSLSSSNSMMMMSMGNNTNSNSNSNNNNNKKLKVNVMSKCGKKITLEVNPLNSVGELRKELHKVIRNNNHNNNNNNNNQGGFRLPEDGYFFIYKQNVMEEDQSFRWHRVAQGDTIEIFNGCVTGGGS >KVH92145 pep supercontig:CcrdV1:scaffold_1963:5614:13111:1 gene:Ccrd_005815 transcript:KVH92145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor, TFIIS/CRSP70, N-terminal, sub-type MDLEEFRAILSNSGVDVWEIMDAAISVASTDYARELKHRRDGIVERLYTQQCSNCDLNLNEEQPNGVVQTITKEVDDDCIGGGDSPLTPQSVPQDDEDEDHDPYGGLFDDEQTKILRIKEQLEDRHQTEDAVIELLQTLADMDLTFTGLKETDIGRHVNRLRKHPSNEVKRLVKHLVSKWKDLVDEWVGSKSSHGDLAPATLTDGDSPVVHNVPRSGQSGNHQGPDFGYSPNPHNGSSGSERKNSEPEQRPKAVVPKKAATSSRPAPQSQSRPAMVASASAPPPNEFPFRVVDSEEDILKPLRHELIQIAAHPLVTVQHLVSDMATAGVPLSHLFLFTQNPSSPDSLCHPRPTKLVAARPLVVVQHLESDMATDGADVPLIHVVK >KVH92149 pep supercontig:CcrdV1:scaffold_1963:40887:55769:1 gene:Ccrd_005819 transcript:KVH92149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MTTTKVFQFRRRTSFYLFSIPAVGAAAVLTYHHHTLNPDRHSPLHYITTLFNGVLRSSRSLYTITANVVDYKYSLQGISPDTDQYRTILSQVHLRSAKRILKLCEINQGFYIKAGQFVASMRQVPKEYSSTLASLQDQAVPCSFEAIKEVLISNLGSDLKEIFLSIDEQPIAAASIAQVHRALLKDHQEVVLKVQYPGLKDRMRMDIATMSLLAKCVTWIQKHDCSGKLGISLQLPDFAVTVTSNVMVHIPDSGLFQVGVEVAVRVEMAAGDDETRFFPEYRFQWMVSEFSEVIALELDFIQEARNSVRTAINFKQSSRIKVPMVFQVEETSDDEGPFGIYIEIEVDDLDYIWKMKIDPRKVAKVLVEAFAEMIFVHGFVHGDPHPGNILVALDEREGFCLVVLDHGIYRSLDEEFRVKYCQLWKALIALDSHKIQEIGEEFGIGKYARYLPLIFTGRTIDSKAGLGQGMSVEEKANLKQEVKRLSIGDISEFMECLPPEFLTVLRTESLSSKLGSPQRVRLLVYAHYALEGLSSKPNPDSGLLQGLCWVEDRRQGLVTWSKQVISAINTYLLALYLTPAASHHHLN >KVH87933 pep supercontig:CcrdV1:scaffold_1964:5868:8702:1 gene:Ccrd_024751 transcript:KVH87933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAGKNMMKLAILSLSFVVLVAMVIGLIVTVNKYSEEKPESTKLDEDVKASIKAVCENTDYKRTCVESLSGASTKSTNPYDHIKTMFEITIKQLDAAVKNSSFMNEIHRDPRTNDALKGCKELAGLAVMDLRRAFDKMSGFSLNEFGQALVELKIWMSGAITYEQTCLDGFEKTQGNAGEKMKKALKKSMELTSNCLAMTTDISQALQEVAVPQTTNRRLLTLFSTDYNIPEWVDATDENVLEKTSMKIDRDLTVAQDGSGDFESINEALKFIPVRGKERYVMYIKEGIYKEIVQFPKNLTHIMIIGDGPSKTRITGNLNFIDGVTTYHTATVAGPEKHQAVALRVSADRAIFYNCHMDGYQDTLYVHTYRQFYRDCTISGTIDFLFGDSAVVFQNCIMVVRKPMDNQNCIVTAQGRKENRQPTGIVLQNCSIVADPVYFPVRKEIKSYLGRPWKQYSRTIIMESFIDDLIQPQGWLQWNQTFAFDTLFYTEFNNHGPGSSKLERVQWPGVKELPASRIKRFTPGKFITGDSWIPPTGVPYTSDFIYEPPKDDPKNDYSKKSNEEDRDYTPTPEKEKKQKKPKKKKKPKKEKDESSDKQKAPAEGPSSMAESEISAPYASPAQPPSQGASPTPKNGSFLRKVFGLFTKSNH >KVH87932 pep supercontig:CcrdV1:scaffold_1964:68256:72660:1 gene:Ccrd_024752 transcript:KVH87932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSTTTTPSSSDLSSSSDRDRRSRRRKDKNIDKHKKDSLKISKKSRPHTKRRRRRSHSLSSSSSSSEEYSSSGSEQGPSSRSRKHKHEHRHKKPKDKEKGKARHHHKRDKRKVKEIVDGTVFCSFVNLLLLFCFSGMLNWLILHHVSDFLGRDKDDGVRRSAVSGKKILLKLDKTKEDKKAENNRNQLLRFLNASYD >KVI11860 pep supercontig:CcrdV1:scaffold_1965:66391:75322:-1 gene:Ccrd_009722 transcript:KVI11860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLEGPKFPGIMGVNNGNDNFVDLSQVFYHKLGEGSNMSIDSFNSLQMSNGGGSVAMSLDNSSVGSNDSHTRILNHQGLKRVKNNYTDAHSVNRGRVSQGLSDDALARALLDARFPTEGLENFDEWTIDLRKLSMGSAFAQGAFGKLYKGTYNGEDVAIKLLEKPENDLDRAHLMEQQFQQEVMMLARLKHQNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVAKGMEYVHGLGLIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRSYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPTIPHDCLPVLSEIMTRCWDGNEDVRPPFTQIVRMLEHAETEIMTTVRKARFRCCMSQPMTTD >KVI11862 pep supercontig:CcrdV1:scaffold_1965:7289:8294:-1 gene:Ccrd_009720 transcript:KVI11862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Src homology-3 domain-containing protein MWAKTLLEPSELDDDESSSSSSPDSLASAETSISSQFGGMNYPSLFSSKEEPTPYSSPFHQSYESFVNLLAGTDSGYKYIDEDRSSSVNMEFGTALFDFTAGGDDELSLVSGEELEIEYEVDGWFY >KVI11861 pep supercontig:CcrdV1:scaffold_1965:81504:83143:1 gene:Ccrd_009723 transcript:KVI11861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAETQNQETSNQRQEPISSTKASSINTHTRLPDQGSNSDMEDTRNDGSKNQANQSNLNSSITQGPKLGEVIGNPHQHSSRLTKIDFAHFSGDDLKAWLYKVDQFFQLDMVKDNTKGYMKRRNHVHPSWEQYVTDITRRFEELFDDPIAELMELKQKGTIKDYHDEFDIIISRLQLSLENTLSCFITGLSEDLRSLVPMFMASGLGVKFFRIWEKNDYNTV >KVI11864 pep supercontig:CcrdV1:scaffold_1965:86507:88287:-1 gene:Ccrd_009724 transcript:KVI11864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MDWNLNTPTEWDWENLAIYSSKEIEVTKNLQLSSHESQEHEPVDNVDFSFSASADSSSKETIKTFGVFDDLHKSFLEKEEPSWVGENGRFSNMVEEASVFSGEAMIGLKLGRHGSSSSSNNKTATASVSLFPTTSPMIKRSRASYLSSQSPRCQVEGCNLDLSSAKDYHRRHRICANHSKSPKVVVAGMERRFCQQCSRQAILTSTFHDLSEFDDRKRSCRRRLSAHNARRRRPQSEDIQFSSTRMSSSICDRRPQMNFLLNRASIPIMDSTVPPESSCNFKGEESLLGLAKDGGIDVLPTNGALHFSSERFMPRNVNHNGMDSTSNPLLSGDVRHAFSLLSTSSWSSNWPDQASSFDQFAHGNSTISLGQPGMPLNLQNTTSSSTHLQDFHSFRSPHEFE >KVI11863 pep supercontig:CcrdV1:scaffold_1965:20084:63805:-1 gene:Ccrd_009721 transcript:KVI11863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MADPSGKTFIDLISSDPKSIYTPSSTTPQPSSPSFQLPRSPFKKSALPTLMTIGDWKYKKPNLMQIQNDAVSAAKAAVRLPQKDGKKPVSYALLARSIHELAASSDQKKSQRQLVHHVFPKLAVYNSVDPSLAPSLLMLGQQCEDRTILRYVYYYLARILSDIGSQGLTSGGGIPTPNWDALADIDAVGGVTRADVVPLTVDRLSSEAQNADVEFHSRRLQALKALTHAPSTSSEILSKLYEIVFDILEKVADAPDKRKKGIFGASKESIIGSNLQYAALSALRRLPLDPGNPLFLHRAVQGISFADPVAVRHALEMTYELAKKDPYAVAMALGKLVQPGGVLQDILHCHDVLARVALARLCHTISRAKSLNGLYCLHCLTSMLIIEWCNERMDIKSQFNVLLYQLLIDPSDTVCFAAITCALGKSDNADRTEGRALGWYNLTREVIKVPYTKDKSKKKCPQLLIKVVMTRLKTCFRSSSRPLLHAAARVVQEMGKSRAAAFALGLLNIEGDVVNTFSESNDWDHGTSESEGDCHVSMLFLCVEWADGEDTIGSLLASLMEVVRTTVACECVYVRAMVIKALIWMQSPEDTFDELESLIASEISDPSWSATLLNDILLTLHARFKATPDMAVILLEIARVFATKVPGKIDSDVLQLLWKTCLVGAGPEGRHTALEAVTVVLDLPPPQLGSMSGLTSIDRVSASDPKSALALQRLVQAAVRIVAAQALTTLAIRSGEPYRLQIYEFLHALAQGGVQSQLSDMHISNGEDQGASGTGLGSLLSPVLKVLDEMYNAQDELIKEMRNHDNAKKEWTDEELKKLYQNHERLLNLVSLFCYVPRAKYLPLGPTSAKLIDVFRNQHNVSPLSGTNDPAFAAGLSELIYEATKPAPVVEPENFDDDLVNAWATGLANDGLWGNNAPAMNRVSNKSSYMDFLDINFLLWNRIYIA >KVH93259 pep supercontig:CcrdV1:scaffold_1969:36199:39944:1 gene:Ccrd_004694 transcript:KVH93259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNLLKPYRRNLQFSTLSSLPSPPPGPDVHLVGKSIAILKRHHLVNLDSLSSQFTPQSASYLLHNVQFDKTLTLSFINWARRRPFFDLRCNCISLHLLTRFKLYKTAQIIAEDVAIHNPDDEGGDLVFACVKDTYSECSSSSAVIDLLVKSYSNMKMVKRGLNTIHLAISYGFMPGVLSYNSVLDAITRSHEPIKLAEEMYLHMMRIGVSPNVFTYNILIRGFCAVRELEKGLGFFAEMQKNGCLPNVVTYNTLIDAYCKLRRLDDAFKVFKTMSTRGLEPNVISYNVILNGLGREGRMKETGEVLEEMKRKRIIPDEVTYNTLVNGYCKEGNFHQALVLHDEMTSNGVSPNVITYTSLINSMCKARNLHRAMQLLEQMRIRKLFPNARTYTTLIDGFSQQGFMDEAYRLLDEMKTNGFSPSIVTYNALIHGHTVDGKMEDALGVLEYMGTNGTSPDVVSYSTIITGFCRNQELDKAFQMKRQMVEKGVLPDAVTYSSLIKGLCDQRKLTEACDLFQDMLRMGLPPDECTYTALINAYCVEGDTANALRLHDEMLKKGLFPDVVTYSVLINGLSKQARTREAKQVLFKLYYDNAIPDDVTYNTLIENCGNMELKSVVALIKGFCMKGLMNEADKVFESMLQRRQAPTEAVYNILIHGHCKGGNLRKSFDLYKKMINHGFVPHTATIIALIKELIKGEMTSESSEVIENLLRSCCLTDAELAKALVEINHKQGNMDAVFKILSEMAKDGLLPNSGRTAYAQ >KVH93261 pep supercontig:CcrdV1:scaffold_1969:47890:53596:1 gene:Ccrd_004696 transcript:KVH93261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFASQSWTSQTVSSKALTYWVSQGKKWCDLCKIFISNNPSSIKNHELGQRHKDNVTKRLANMREEKVAKDKEKKETARVLTQIEEKASRSYQKDISTFQRGRDSNDNSLGAQTSSEAIGSGSTTSGEWEHDASSGYQYNRSNGCYYDPNSGFYYTDALGKWVPKEEALAAAAKLSSGPIQKKPSFTTMSSTLKSHTDSKTQTRPVNPTRSVNRPSSLAINKRKRPDAKSKVVSEEEAAALKAREAARKRVEEREKSSLGENETEIRGPMEMRGFANNVRVDPTPDILKIRDSQNRYVLLVHILFLFILST >KVH93254 pep supercontig:CcrdV1:scaffold_1969:77502:82876:-1 gene:Ccrd_004699 transcript:KVH93254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQPTNGSGGDLTQQQQQQQQQQWLAMQQYQQQQWLAMQQYPAAAAASMAMHHPAMMYQQPPPHYMPYHYQQQQYQQQSPQQQRGNQIQSSSEDNKTIWVGDLQHWMDETYLQSCFAQTGEVSSIKLIRNKQTGQSERYGFIEFVSHEAAEKVLQSYNGTMMPNTDQAFRLNWASFSTGEKRADTGSDLSIFIGDLAPDVTDTILYETFAGRYPSVKGAKVVVDTNTGCSKGYGFVRFGDENERTRAMNEMNGQYCSSRPMRIGVATPKKPPTQQQYGQGQQQYSSQAVLLTGGSGSFGAMPQNSQSDGDSSNTTERASFLVGISVHRSSAEDAIQNMHGTVIGKQTVRISWGKTPANRQRMGSNGNYYVKQGYGGGGGYGYGVPQNQDAGMYAAGDASAYGSNGYDNHQQPVS >KVH93255 pep supercontig:CcrdV1:scaffold_1969:70299:74380:-1 gene:Ccrd_004698 transcript:KVH93255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MDTIQLLLLLLVLLLVTCKGHTHGSRANQANTLMSFRRSRNRTQVNEEWISELEFSSRIKSDDNGRKMEDDLIAGGLPGQPSSKPFRFKQYAGPGCSSLGVGAMLEIGPFGVNPDGKTLYSRRFSWNKVANILFLESPAGVGFSYSNTSSDYDLSGDKRTAEDSYVFLVNWFRRFPHYKNNDFYIIGESYAGNGIMNEDTDDRGFSDYLWSHALISDETYKKLTRQCINGNTSKYCNDLEEELGEEIGNIDFYNIYGPTCMPLPDGLLARKKRRRRSGGPDPCEGEYVENYLNLPHIQNAFHANVTKLAYRWETCSKLIVKWKDSPSTMFPIYKRLIALGLRILLYSGDVDAVVPVSGTRYSIDAMNLKVIKPWRYKVVYDGLTFATVRGAGHEVPRFQPHQAFALLKMFLADRH >KVH93257 pep supercontig:CcrdV1:scaffold_1969:14820:20391:-1 gene:Ccrd_004692 transcript:KVH93257 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK, C-terminal MLTDSDLLKRLHDVLGTSDLDTATAATVRRRIEQDLGIDLSDRKPYIRQQIDLYLQSHYTNDKPEAEESEDAKHKKTPANAKKRGGGFSKPCALSPQLQKFVGEPEMARTEVVKKIWAYIKEKDLQNPQNRRKILCDEMLHEIFRVKSIDMFQMNKALTKHIWPIEEEDEAAASVKPTEKNKQNKRGKEDEPKEKGKRQKAKGSGFVSPHPISEALVQFFGTGENELSRAEVVKRMWEYIKQNDLQDPSDKRRILCDDKLRELFKVDTFIGFTVSKLLTAHFIKEE >KVH93260 pep supercontig:CcrdV1:scaffold_1969:59563:64303:-1 gene:Ccrd_004697 transcript:KVH93260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MKSAFVFFIQLSLLICAQCVRNRHDFITKLLEVEHSRKTYVSEELIAEDLHIHTSSQLGLKEADKILKLPGQPRKPYFNQYSGYVTVDPQHGRALFYYFAESSDKSSEKPLVLWLNGGPGCSSIGSGAMMELGPFRVNKDRKTLSTNDYSWNKVANILFLESPAGVGFSYSNKSSDYTTGDTKTAIDSYTFLVNWLERFPEYKTRDFYITGESYAGHYIPQLAELILKSNNNTNRTFINLKGISIGNAYIDDETQNTGTHDFLWFRSLIPDEIHEGIVANCDYSWNSSLSKACKSYLQQEGLAQGNIFVYNIYAPLCSNVSSSQTDGFDPCTDAYIQDYLNIPEVQKSLHANLTGLPGIWEDCSGDIDSSVAVTTTKYAIKKLNTTIKTPWYPWDMDGEVGGYVVGYENLTFVTIRGAGHFVPSYQPARGLALISSFLLGKLPQPLGSNA >KVH93256 pep supercontig:CcrdV1:scaffold_1969:26503:29050:-1 gene:Ccrd_004693 transcript:KVH93256 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAGLIDADG DNA endonuclease MPTSLSILRSLSTYLPNHRHPRLLICTTCSLLNKNQPPNPPRISLFHTRSPDLSSVNNFVDQLACEDENLDFDKETKVFTFDASFGTSSVDSKNLNVSPSLDVKELDELPEQWRRSKLAWLCKELPSHNPATVIRILNAQRKWVNQEDMTYLAVHCMRIRENETGFRVYKWMMQQHWFRFDFSLATKLADYMGKERKYLKCRDIFDDIINHGLVPTEYTFHILIVSYLSSSNRGCLDEACAIYNRMIQLGGYSPRLSLHNSLFKALLSKPNDSPKQYVNQAEFIFHQMVTSGFKIHKDIYGGLIWLHSHQDKIDMERIVSLRSEMQLAGFEESKEVLVSVLRACSKDGDIEEAEKTWTKLVSSTDTIPSLAFVYKMEVYARIGEHMRSLEVFRGMQEHSGSASTVAFHKIIEVLCKAHTTELAESLMKEFIGSGKKALMPSFINLMEMYLTLGMHDKLEYYFFQSFEKCRPNRTIYNIYLKSLVHSGSLDKAEEILRQMQSDETVGVDTKSCNTILRGYLDGRENVKAEQIYGLMREKKFQIEPALMEKLEKVLRANEEAVKNPIILKLSKEQREALVGLLLGGLQIESDEQGKNHKLVFKFNEDSGVHKVLKRHIRNQYHKWLDSSKKQDGNEDKSCQFTTISHSYFGFYADQFWPQGQPVIPKLIHRWLSPRVLAYWYMYGGYRTSSGDILLKLRGSEDGVDRIVKTLGKKSLSCKVKRKGRFFWIGLLGSNSTWFWKLVDPYIVGDLKDLLKPENISSDLKEEARTINFDRSDSDYSEDDIT >KVH93258 pep supercontig:CcrdV1:scaffold_1969:41774:43093:-1 gene:Ccrd_004695 transcript:KVH93258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MDQSFLIMLSNLLHLQNQLDPTTSLLSDSSTSSASATPSSLLTSTSAAPLLFFTIASVLSYISTTRKPASSPSPPPSPSTTSQYSVAAFRALSTERIWAMEAPLRDAQWRSLYGLSYPVFTTVVDKLKPYIAQSNLSLPSDYAVAMVLSRLSLGLSAKTLAKRYSLEPYLVSKITNMVTRLLSTKLYPEFIKIPAGRRRLQETTAAFTEITSLPNICGAIDNTHIRLHSLPYNLPNPNIYTNSHGFRSVQLQVVADHKKIFWDVCVKAPGAFDDATHFRDSLLYNRLISGDIVWEKVVNVKGHPVRPYIVGDWVLETEKSYYYFGESLRQVLADDLYQRLSSR >KVI07615 pep supercontig:CcrdV1:scaffold_197:172996:177485:1 gene:Ccrd_014031 transcript:KVI07615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MALEVTQILLNAQSVDGSVRKHAEESLKQFQEQNLSGFLLSLSGELASDEKPVDSRKLAGLILKNALDAKEQHRKFELIQRWLSLDVGVKTQIKTCLLQTLASPIQEAREASNDVRLAATRSLYNALGFAQANFTNDMERDYIMRVVCEATLSPEVKIRQAAFECLVSISSSYYEKLAPYMQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDVLEEYGGDFTTAVSDVPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGNDIVPLVMPFIEENITKPDWRQREGATYAFGSILEGPSPNQLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTMETPIITPANCQQIITVLLQSMKDAPNVAEKACGALYFLAQGFEDVGPSSPLTPYFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETVPMVLQLVPVIMMELHQTLEEQKLSSDEREKQNELQGLLCGCLQVIIQKLGASDPTRYAFMQYADQIMNQFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPDFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEEKVLPWCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDISLAIGENFEKYLMYAMPMLQSAAELSSHTSGADDEMIEYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDLIYMEKDMDEVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHLIKESAEWAKLAISRAISV >KVI07629 pep supercontig:CcrdV1:scaffold_197:152056:155018:-1 gene:Ccrd_014028 transcript:KVI07629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MEAADDDECPIKEVELTVPKTDDPSIPVLTFRMWVLGITSCVILSFVNQFYWYRTEPLSISTIAAQIATLPIGHLMARTITTRLFFKGRWFWGLVGLDFSGCFSLNPSRYGGRRTWFRSLHEKEKRPKSGTTCTQFFVIVLICSFAYYIFPGYLFQMLTSLSWICWINRKSVIVNQLGSGLNGLGIGAFGLDWTTIASYLGSPLASPWFATANVAAGLSYDVCGHTHKLLAQYLPSQELSILLNGTLQSRCDILDKMKGELGKKKKKKKKEEVDVHTMLMRAYKPVPLWWFLVILVLNIALILFVCSHYAATLQLWWWGVLLACAIAISFTLPICIITATTNQTPGLNVITEYMIGYMYPGRPVANMCFKVYGYISMHQALTFIQDLKLGHYMKIPPRALFMAQVLGTIISVIVYQVTAWLLMAGIPNLCNTELLPKDSQWKCPMDHVFYDASVIWGLVGPQRIFGNLGVYPAINFFFLVGAILPIPVWIAHKCYPNKTWIRFIHFPVLLGATSMMPPASAVNYTSWMLVAFLSGYVAFTYYPKDGFHGYLVVSWAANHRGFG >KVI07602 pep supercontig:CcrdV1:scaffold_197:232171:234058:-1 gene:Ccrd_014041 transcript:KVI07602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEREVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLRGLLRLSQNDQGVW >KVI07584 pep supercontig:CcrdV1:scaffold_197:334421:340983:1 gene:Ccrd_014052 transcript:KVI07584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMLLRSLLHRVTRKMKDKSVVTESPSGSGVSKSSFSSTLFVREEEESDRKKTTNDLPLRIVTHSGSSRYDFVKVFPTPLVNLSMREQKHGEYGPKLEIIAVWMGKRTCINVKVWLGDDADHYYVLSRFLLSRMLTVTKIPNHVALKIALELKKLLVDNSLLDVYVIILHLLAIHFGYFRFVSSKISFISQSDLETNLFKLMKWRGYGQEYINRYKMMTRFHHRRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTEYVNAPLASTPFLARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGMHVDPGIYLIDEERKLPNNLPVKAEEAKSTEAIGNDVTWVENDASASSIHDENSHKNPENLKAEKATSAGAMNNDPDGLKSVPAVGTVPENITNNMKDQVAERKPIHRRENSGAPEPIIIPIVLKMAEFDHKALLEEWISTRKFSNNYPIKVSVASLRRLVVPVLTKILGTKDKDKLISNLKTIQDYLCSFQSQGLTVTNISATTFPQTLDWLHNHLLQ >KVI07627 pep supercontig:CcrdV1:scaffold_197:72823:73583:1 gene:Ccrd_014020 transcript:KVI07627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGGLTPANARIEEGRIHNPSPQIKPEATAGTIRKNVRGATTHPMAVPIAWLTSIDLGEVRRKSRPLILSNHIQQVQPQHHFAFRTLQQAQKEQPSRNYMPYSCLLNQHHRHYKSPKMVQ >KVI07590 pep supercontig:CcrdV1:scaffold_197:357190:358654:1 gene:Ccrd_014054 transcript:KVI07590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAAVAGHYIDGVFEHGGSSEHKKVPLMVLCQNCFKEIQDDALHDQHHPSKSRKSISRYKYYLCVMAKPELK >KVI07626 pep supercontig:CcrdV1:scaffold_197:72831:75873:-1 gene:Ccrd_014021 transcript:KVI07626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MEDSREIDETERMEEETTTAGQNDGSSKRIQPWNKHITIRGLVASLLIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLVHKAGFVTTPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGVDTEGNSAGSYKEPGIGWMTGAVLSYGVMWPLIGDRKGNWFPASLSESSMKSLNGYKVFISIALILGDGLYNFLKITFFTARNIYTTSRKNPKTTIGYVIFSVVSIIIIPIMFPELKWYFVLVAYVIAPSLGFCNAYGAGLTDMNMAYNYGKVALFVLAAMSGKQNGVVAGLVGCGLIKSMVSISSDLMHDFKTGHLTLTSPRSMLVSQAIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPHHCLQLCYGFFTFAMMANLVRDTSSKKIGAWIPLPMAMAVPFLVGAYFAIDMCMGSLFVFIWHKINKQKANLMVPAVASGLICGDGLWILPSSILALAGVRPPICMSFLPTKN >KVI07605 pep supercontig:CcrdV1:scaffold_197:237899:242367:1 gene:Ccrd_014042 transcript:KVI07605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSHKALMAQTQTLMMPMNEEGAETLSSLALIDLNTAAKDPMEIIGSFFRGDSLLFSSKKVEDDIESQGKKILQHEDNIKYFKNLIRKSLDPLIAQMQASLGNHPYRTKHMSEGHVHHLITRLEETVEHILQHDDKSAAAVICDLTRRYHQANPPPAPVAPILSDVLGVLATLGTVDDINLSTVLSEYLGLDTMLALVCKTYDGVKTLETYDEEGLINRTTGLYGLGASIGRNLEGRFHVICLEQLMPYGGEFMTNDPQRRLALIKPKLPNGESPAGFIAFAVNMIYIDSDYLSFVTENGHGLRETLYYKLLSHLQVYRTRKDMMQALPCISTGAISLDGGIIRRPHAMFSLGSTRKELDVSFGVCCGDDCFPSEQYIERENKIKKLKWKKERMMSDMEREEALLAHEKHKFEVKKEELLKFMAQQSLDQMQQQQQQQQQQQEEEGGEGRSTCS >KVI07623 pep supercontig:CcrdV1:scaffold_197:92584:101423:1 gene:Ccrd_014024 transcript:KVI07623 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MKGSTTETKFLQELVLYAASAALSCLVLFVGLKHLDPNREASKKALEQKKEISKRLGRPLIQTSPYEDVIACNVINPDHIDVEFNSIGGLDSIKQALYELVILPLRRPELFSYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISDLMSKWFGDAQKLGECSNIIVFSRVFVPQTAGDQDLRSFSNHLYCAVFWSFFYFFGNKQMKIAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDRKERAQILKVILKGERVEDNIDLDYVAAMCEGYTGSDLLELCKQAAYFPIRDLLDDEKKGKSSHTRVAASEYSGLGSQPEVWSGPRQTDDYQVQAAISELSKIVVSQFLNIRSADSQDHPTIIMFLATLVVFLVGVIGFWLVPRVLSRTSVGHFLQNKWRFLEEGFHGHQLYKIPRFNQHMQENQVYRKVFVYLNSLPSAEDSDFVNLFSGNSKPNEINLVIDAAHDQIFSDTYLGSRIFWKFDKDCLVLKMRKKEKRRILSSYLQHIHNVADEIEKKTKQTRLYINAENQPEKNGRWISVPFTHPATIDTAVLDSDLKNKIKSDLESFLKSEQYYHRLGRVWKRSYLLYGPSGTGKSSFIAGMANFLHYDIYDVDLSKVANDSDLKLLLLQTTSKSMIVVEDLDRYLVEKSTAVNLSGILNFMDGIISSCGEERVMIFTVSSKDQIDPTVLRPGRIDVHVQFPLCDFPAFKTLANSHLGIKEHKLFPQVEEIFQSGASLSPAEIGEIMIFNRGSPTRALKTVMNALKSNSDTKVTSVASSKMEEPMRLTHSVSVGGALGVPSGLMGHGGSSGLPPRLMHSGSARTVEESGDSGFFRRDSVPSVKEFRKLYGLLKTKNSKKEFLDFDRSEKQNSRHEIGL >KVI07604 pep supercontig:CcrdV1:scaffold_197:253397:257686:1 gene:Ccrd_014043 transcript:KVI07604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MNHEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQILIFHLRGQCSGTLLDKKGSGQLPIVYDVTDLESFNNVKQWLSEIDRYASENVNKLLVGNKCDLAESRAEFADEIGIPFMETSAKDATNVEQAFMAMSADIKNRMASQPGANSMRPPSVQLKGQPVGQKGGCCSA >KVI07603 pep supercontig:CcrdV1:scaffold_197:224217:229972:-1 gene:Ccrd_014040 transcript:KVI07603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch synthase, ADP-glucose type SLSHILIIGLRISWPKLKSRTLYVLNWVFHLYLSVSLAMDTLLQSSPIFISKWTHINPRQLVFSTFKQQHRSCNLKLRAITKSSQDGSSPVSKDGVLLDTEKDESGSVVGFRFIPQSADETSVVDDDDDNQLILELPDDTEISNSHDDISTNDKAEEVIVDDDLGETRRAEVTYNIVFVTSEAAPYSKTGGLGDVCGSLPIALAGRGHRVMVVSPRYMNGGPSDKKFAAAVDQDCRIKVACSGGVQDVAFFHEYRAGVDWVFVDHPSFHRPGNPYGDAYGAFGDNQFRFTLLCHAACEAPLVLPLGGFTYGEKCLLLAAKYHPYGVYKDARSIAVIHNLAHQGVEPASTYSNFGLPPEWYGALGWVFPTWARTHALDTGEAVNILKGALVTADRILTVSQGYSWEITTPEGGYGLNELLTSRKTVVNGITNGIDVTEWNPSSDEHLPSHYTLDDLSGKVVIFLFLFYFNKGVTAMNLQKELWGCLIECKIALQKELGLPIRPDCPLIGFIGRLDYQKGIDIILSGTPDLLQDDVQFIMLGSGEKQYEDWMRAMEATFKDKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTIPVVHETGGLGDTVKTFNPFADEGRGEGTGWAFKPLTRECMLDALRVAIETYRKHKPSWRGLMKRGMERDSSWDNAAVQYEEVFKWAFIDPPYVS >KVI07583 pep supercontig:CcrdV1:scaffold_197:4583:6807:-1 gene:Ccrd_014009 transcript:KVI07583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKRLLLFLVTILHIFYENWVPTPSCDVVPGVSNQEIHQGKVEDDLKVMMVADLLLSGHESRSGYLDLHFKDFYFSRFFRVRDVSAKGSELSRSKWASVLQEFHSLLGPFLDLPYHVVPGDRDIGECSQLNDISVNKITRSFPGLDSAGCGAFDIGNVNFFSLNSVALLCGDNDLRFSVEKALEREHIELQTGSGNDSAGIKIPQYDFSWRENAMSSGSGPVLDGSYGLSQTLPPNATEYIFHALRPRMVFSAHTQTFSDHTHPDGIREIVVPAMSWDAGKKPAFVAVTFRRNGTSAIVSHCKLAGRSHVLLFYISLLFVLVLTVQTTLRS >KVI07597 pep supercontig:CcrdV1:scaffold_197:13912:19794:-1 gene:Ccrd_014011 transcript:KVI07597 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MRKVTDDEKQILNDQPLPFSKLLSYADGLDYTLMALASLGSIIHGLAQPLEVGGWMYASERQVARLRLAYLKAVLKQEIGAFDTELTNGKIITGISNHMGIIQDAIGEKLGHFISCFATFFTGVVIAFISCWEVSLLTLFVVPMILMIGATYTKKMNSISATKTAYLAEATVMVEQTISQIRTVFAFVGESSAIKSFSDCMQRQLIISKGEALIKGVGTGVFQTVTFCSWALIVWIGALVVVAKRAQGGDVIAAINGNIEIRGVHFAYPSRQEKLILQGFSLSIPAGKVVALVGSSGCGKSTIISLVPRFYDPEKGEVLVDNHNVKDLDLKFLRENIGAVSQEPALFAGTIKDNMKVGKKDADDEQIQIAATMANAHAFISQLPNQYLTDKQRIAIARAILKNPPILLLDEATSALDSASEKLVQDALESAMKGRTVILIAHRMSTIVNADMIVVVQNGQVTETGTHSSLLQTKTERVDQQVVEQVEPVDKHIESNKILKDAPKQEDQHDGSVKKDVFFRIWFGLNNREFVKIGVGSCAAAFSGISKPVFGFFIITIGVAYYKHGAKEKVGWYSLLFSAIAVLRNELAWFDKPENSVGSLTSRIINETSTVKTIISDRMAVIVQCISSILIATIVSMIVNWRMGLVAWAVMPCHFIGGLIQAKSAKGFSGDTAAAHSELVSLASESATNIKTVASFCHEEHILERAKLSLDGPLRKSRKQSVHYGFIQGVSLCLWNIAHAVALWYSTVLVEKKQASFEDGIRSYQIFSLTVPSITELWTLIPTVISAINVLTPVFQALDRQTEIEPDEPENPPSERIKGEIEFRNVNFTYPSRPEVTILDNFTLRIEAGSKVALVGPSGAGKSSVLALLLRFYLPLGGLILIDGRDITRYNLRNLRRQIGLVQQEPLLFSCSIRDNICYGTEGSSESEVIEVSREANIHEFVSNLPDGYETVVGEKGCQLSGGQKQRIAIARTLLKNPAIMLLDEATSALDAESERAVVSAMESINRNGISQSTQITVAHRLSTVVHSDKIVVMEKGKVVEIGTHSALVAASEGIYSRFYRIQSMK >KVI07596 pep supercontig:CcrdV1:scaffold_197:10006:13272:1 gene:Ccrd_014010 transcript:KVI07596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWVLEDPSIIDLLFSHRKPNPSERTKPTFSSSLQSQKQHKSFKLFVFLIATTMVEGKQEVLVVHAPKDLMQNPITQLQTKFKELENGFKGWLAQQSLPVEAAVVTITGAAQGAAIGGFMGTLTNDVATSFPAAPPPGASLNPQTMASFQQAQALSGGPFIQARNFAVMTGVNAGISGMGGPNQAANVITSGVFFALLQGGLFKVGEKFSKPPVEDVLYNKTRFMLSSLGLENYEKNFKKGLLSDNTLPLLTDRNKEVKKGGRWT >KVI07630 pep supercontig:CcrdV1:scaffold_197:21062:21657:-1 gene:Ccrd_014013 transcript:KVI07630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICNHLYLQDTTASLFLSFPSILLPSCRGCFSAFPVQLYQVDLPSMTMPLNSSVSLEIIEQQPDCAQNQKQKGIRLFPKRAIMQGVVAML >KVI07613 pep supercontig:CcrdV1:scaffold_197:214562:216172:1 gene:Ccrd_014037 transcript:KVI07613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MDPPATANPNHLHWFHHFDEENPPLPGDDQTMEQDHYMEMLDHQNYINYDQLGFQDFDPFEDLSLSDWCDDNGLPLFSCNHDRNDVITDVKPIVHDCNVTSGEANISSASAADHGGRKRERWDDDRSYVVTDVHRIDHDCHVTSIKDNSVTAAASDHGGRNLGWWELWDDSLPLLSCTDEIIKGGDFKPIDHDCHYVTSEAYNGRASASVGAADDGGGRPGRRWRSLELEEIEKLFEMPIVMAAKELNVGLTVLKKRCRELNIKRWPHRKLKSLKSLIQNVKEMGLTEEMEMVEENKRMMEKVPETELTERTKKLRQACFKFNYKKRRLVITN >KVI07617 pep supercontig:CcrdV1:scaffold_197:220859:223473:1 gene:Ccrd_014038 transcript:KVI07617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRCLCQSSSIRLAKFIFSPPTRGCQALQQCEEMIAKLGLFSTNETKQDIATANLKYILDDRIKILKVSQAKLQEFLSFCEAMGLVPEDELETSLHGGHNTTTDRRARKISRFKHQRASELKLLEIKEWKERRGRSTKATALSTPVDARDDDVLDDDGEEEREAWLPTISLAIYKALDLLEMLKKEEEILSAIKEQQEQEGGKEISQAVFDVRAKTVDDWHRNAVARARYTIPAPPITCATFAQDVLEGRAKVSQEHDHKHQPLMFGSASLVGGGLTSERERMIAWVFQPSHRYQIKMTKPPIASGDRLPTMSIEEAGLKEMEIMNK >KVI07622 pep supercontig:CcrdV1:scaffold_197:105273:113727:1 gene:Ccrd_014025 transcript:KVI07622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MGLIPVWFFLDQNYILGAFKPSCNISITLNDAKTRKQVPLKKENSQTAMVPLFQSRESIAGKISVEPVQGKKVEHNGIKIELLGQIEIYFDRGNFYDFTSLVRELDVPGEIYERKTFPFEFSTVEMPYETYNGVNVRLRYVLKVTISRGYAGSITEYQDFVVRNYSPAPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKLKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRSSCG >KVI07620 pep supercontig:CcrdV1:scaffold_197:143012:151522:1 gene:Ccrd_014027 transcript:KVI07620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSTHVPASGTLSRAISTTLETVHSTKATNVQKENFKKLSSYLERITFILQESTKLDIHDSGTLKNTINVLTKHVSEANIFAHECSNKNKIYLLLNCKKIVKSLENHTKEIGNALNLLHPLISVNGLDQDIIKLSKIMLNANYQESATEEEIIEKIKVGIRERNVDRSYANSLLVSIADVIGISTEQAVLRKEYEEFKHEMENVELTEDVAEALQIEQTVALLGKADIISTAEEREKKYFSKRNSLGRQPLEPLQSFYCPITQELMDDPVETPSGHSFERYAIEKWLAVENNLCPITKTPLKASALRTNKTLRQSIEESCILTILRILATDNDDRKESIAKTDNAIKLIVCSLARKIEESKLALQLLMELSENDVARNIIGSSQGCILLLVTLSGSDDAQAAEDAKQLLENLSFLHQNIVQMANANHFGPLLRLLSSGSESVQRVMAETLSEVEMTDHAKLTVCENGAVESLVAMLSHINIEMKKAAILALEKLSGVPQNGLKMMKQGVVEILFGILFRESLSMPNLVEKVVATIVNLALSLTSHKGDHEDTPFLESEEDIFKLFSLISLYGPNVQQNVLRTFLAVCQSPSDGDDETFVEHVGSKCIETLLRIVNISDNIEEGIAVMEIISSLPRTPQMTQWIVDAGTLQAIISILSSRIQKPELMIESASGALCRFTISTNQELQKKVAETGIIPTLVQLLDYGSPLTKQNVAISLAQFSESSNNLSGPVVRKSHFFSCCVSTPDTSCTVHSGICTIESSFCLLEANAVTPLAKVLDEPDFGAVEASLDALLTLVNNELLQKGSKVLESGDAIVKMVKLLSSPSVKLQEKALIALERIFRQPEYKQKYKTTAHMALVEITQRGSHSMKSLAAKILAHLNVLHEQSSFF >KVI07612 pep supercontig:CcrdV1:scaffold_197:201360:207406:1 gene:Ccrd_014035 transcript:KVI07612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MPLCDVGSYQTGVDAVINNGIKIFYRTYGAGPVKILMIIGLAATHNSWNPQIEGLIGTLKPNDDDNRSSFDDGYNPKGIEVCVFDNRGVGRSSIPTKKSEYTTRIMAKDAIALMDHLGWKKAHVVGHSMGGMIASKLAAVFPDRLLSLALLNVTGGGYECLPKLDRRTFSIAIRFLRAKTPEQRAAVDLDTHYTQEYLEEYIGPETRRAILYQEYVKAISASGMQSNHGFDGQINACWTHKISRIELEMIRKEGFLISIIHGRFDVIAQVSHARRLAEKLYPLARLIELHGGHLVSHERTKEVNEALLELIRASEGKTSPHDWTNLSGKSTGFWSVSWMSSNAYKVEGGSNNGSIMTGIAGTLSRIMLYIFSILVLVFEYIRRVPRRIRPVRVGSALT >KVI07587 pep supercontig:CcrdV1:scaffold_197:314192:333779:1 gene:Ccrd_014051 transcript:KVI07587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MVALFSRQYFPQSHTPHILLGTEKKKKKGRPIIASSSSSPLKPINKYTSPYCSLVYTFLLLHKTLKMADARVFNAPDRALQAGVITKVRLENFMCHSHMEIDLGDRVNFITGQNGSGKSAILTALCIAFGCRAKSTDRATTLKEFIKTGCSYALVHLEIKNQGEDAFKHDAYGDVIILERRITESTSTSVLKDHQGKKVATRREEIRELVEHFNIDVENPCVVMSQDKSREFLHSGNDKDKFKFFFKATLLSQVDDLLKSVKDNLDKANGEVLELERSIAPVEKELNELQGKIKSMEHIEEISQQVQLLTKKCAWSLVYDIDKQMHEETARIRKLEERIPQCQARIDQKIVREFICFLWDFWFIAKVEELQDRLNKKKAQSAIMMENTSKARKRKNELEEKLSLATKERIELEQEYGRRSDNIGKMAKRIKLLEQQISDVNEQHMKDTQAEEFEMEKKLKEFQDEINVADLEFQRCLVFHKLKKIEDDLSESLGIARDELRMITSEETCALCLYCSDFWVSVGHLLTRFPKILTSSLSRAKETEKEEEAYASKVFLLAFGLFLFPQVTAFGGYKVSNLLQAIERNHHRFKKPPIGPIGAHVALIHGDKWAVAVENAIGKLLNAFIVTNHKDSLLLRSCAREANYHHLQIIIYDFSIPRLQIPNHMLPQTNHPTTISVIQSDTPTVVNVLVDMMYTYSAFDHNKNIMLHPTPDVRHCFDLPGGAERQVLVRDYEMGTTVAFDQRISNLKEVYTTEGHRMFSRGSAQTILPPGKNARTGRLCSSYDDQIKSFEREAIHMQELAQQAQGKKRKKHLMSKNLGLEDLKNSYAAEAITSSVSSVDELQHEVSKVQHDKTEGEILLEKIQERLKEAEAKANELKVSFEELRESAKADINALEEATRQLTQIEEDLRSAEAEKRHYEGLMVQKVHQRIEDAKQQFQDLENERKVSYEKASIICPESEIEGLGGCGETSSEQFQAHLKRLKLRLEQESQRHQESIDELRMLYDEKERKIKKQRQTYKAFREKLCTIHVALDKRWSKFQRNATLLKRQLTWQYEPPTYFIWQVKMPQDSSTSNVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTILEFALAQGSQWIFITPHDIRFKDDELIKGINRPSIRNRFSMSSCSVENQNPNHSSPLNWLKNKKAALDHVVFSTIRRRSLYRKPPSTPKSPRLPADHLTGLVSPTYQQTHHNEPLLSDSPQILTSHDFISLLSDETLLQILSRLPQESTSLVSKSWLNLQGRLVRSLRVFDWSFLTSGRMFLRFPNLIDVDLLHASLVSSSRTKIWGLSFPHLFGPFSVDSDIFLSENHTLLPVDQVDFGLKALASVYPNLQRLVVVNCSEIGLLDVAEGCQTLQELVLHRCHDQVLRGIAAFRNLQILKLIGSVDGFYCSLVSDIGLTILAQGCKRLVKLELRGCEGSYDGIQAIGQCCHMLEELTFCDHRMDDGWLSALSYCKNLKILRLVSCKRIDQSPGLDEHLGCCRMLEILHLERCQLKEKQSLRALFLVSQSVRELVVKNCWGLKDGMFLNANLCRRLKMVSLEGCLRLTTEGLESVLVSLKELESLRVISCNNIKEDEVSPALSTLFSGLKNLKWTPDNTSLLSTNLSGSGMGKRGDGENNPRTTRDGLPLDWPSK >KVI07595 pep supercontig:CcrdV1:scaffold_197:26284:30078:-1 gene:Ccrd_014015 transcript:KVI07595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MTANLGSMSRNFADKSKERLLSRKGYSELGLFSESYTNINNEDVSFLGRIKKWWSNLRLFLVNAYEMGRSDPRQFIFAAKSGLALAIVSVLIFFKEPLNYISQYSIWAILTVIVVFEFSIGATLSKGFNRALGTFSAVLVSGTSHFVQTAVSRLLLIGVGAGVCLIVNICVYPIWAGEDLHKLVDVLIVTFGMSNTKEYLQRFLYIRLQMILYTLGFAVWEPPHGRYKMLRYPWSHYVKVSGALRHCAFMVMAMHGCILAEIQAAAELRNMFKNEIQKVGTEGAKVLRELGRKVEKMERLSPDVDLLEKVHEAAEELQMMIDQKSYHLVNSEKWAAAGKQLKEFEDADRLQELKEDEIKPNVINSLSEANLKPPLPFKNLNMSTHPSMVHWGSSEDVLKQQTHWPSRLSILGDTILNEREVRTHESASALSLATFTSLLIEFVARLQNLLSSFEELSEKAKFSEPVNPLEAKEEVGIWTRLLKCIGIKD >KVI07598 pep supercontig:CcrdV1:scaffold_197:280070:280729:-1 gene:Ccrd_014045 transcript:KVI07598 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MMQAEASAFPATNIGDLPAFCRTASFSSLMPCLSENWGDLPLKVDDSEDMVIYAFLHDALRTGWTPFNFSAKEIKPESMEPSDPQVEETAANSAPKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAAIAYDRAAYRMRGSKALLNFPHRIGLNEPEPVRVTSKRRSPEPSTSLSSNSESGSPKRRNTKGSAGEVVEPEVQSRSSTSINK >KVI07621 pep supercontig:CcrdV1:scaffold_197:114293:128624:-1 gene:Ccrd_014026 transcript:KVI07621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory pathway Sec39 MEIQEQGRSVSQVLYETRRHASRPYSSNYPPIHHQLNEGTKGSLLRFLSTQGLSEFREKWTTNRHPQKLSKWISMFISPSGEHVGIAVGNEITILQRDDNYQEPCGIFTSSHPVTYMLGAWSENHGVLGVFDNTDTLYFIRANGEEITRVTKQHLKVPLPIISFVIHDDKDMTKSCLCTFSILASDGSVHDIEISQDPSASISTMSSSNVGSLLQKQFPQNVFCCGYHPDSSLLAVVSSTVSITSTSSVSIGPYSISLWQWCRQSGLLQLASAEFEGLYARTKGYADQISSPKVLFSPQGEFVATLDLRGCLVIYKLDEERLLSAVYFKQKKDLSNIVDFTWWTDHILVIATRSGNISMIDIHSGVKVLENDCLYSLPILERVPKLPGCIFILETRSSEKNFQPSDLLLFEPVTMEKYKQFDSAKLQWSLMSFSKRSVEELYDILISSHQFQAALELADRHRLDKNEVLKAQWLHSLHGVNEISMLLSLIEDQDFVLSECLERVAPTEEAVRALLTYGLRLTNHYIFSEVEDEEGSPSWDFRLARLKLLQFRDRLETFLGINMGRFSAQDYSKFRSLPINEAAYALAESGKIGALNLLFKRHPYSVSPCMLEVLAAIPETVPIQTYGQLLPGCSPPQVTALREEDWVECERMVSFIKTLPENHESRIQVRTEPIVKRLMGFTWPSTDELSIWYKHRARDMDTLSGQLDNCLSLVDFACRKGIKELQQFHELILYLHHLVYSAGDDHDMNFSMSLTTWEQLSDYEKFKLMLKGFNEENVIKRLQDRAVPFMQKKIGIVALPCRDEVADVPADSFLVKWMKEVATENKIEVCLIVIEEGCKEFGGSNFFRNEAEAVDGALQCLYLLTATDKWSTMASILSKLPHLHGSEVEDLKKRLKLAEGHVEAGRLLMVYQVPKPIAFFLEAQSDSKSVKQILRLILSKFIRRQPGRTDNDWANMWRDFQSLQEKAFSFVDLEYMLMEFCRGLLKAGKFSLARNYLKGSGSLVLPTEKAENIIILAAREYFFSASSLSCSEIRKAKECLNILPSSRNVMLEADIIDALTVKLPRLGVNILPMQFRQIKDPMEIIKLAITSQGGAYLNVDELIEIAKLLGLKSQDEISAVQEAIAREAAVAGDLRLAFDLCLIMAKKGHGPVWDLCAALARGPALENMDISSRKQLLGFSLSHCDKDSIGELLHAWKDLDMLSQCEKLSMLTGKDVPELSGNGSFTPDPMHNTHDIVDLIDSSGQINANLNVEQKAYFSTIKKRLSDVANFLSSEKDSDYDSLLRENGKILVFVGLRLPWLLELGEAEENGKKFVAGSVSGRLHMSVGTQAMAIILSWLARNNFSPRDNLIASLAKSIMEPPVTEEEDILGCSFLLNLNDAFYGVQIIEEQVRSRVDYNEICSMMNLGMIYSLLHNSGAECEGPAQRRELLLRKFQQKYTSLSSDERNKIDQAQSSFWREWKVKLEEQKRVADHTRAIEQIIPGIETARFLSGDLDYMESVIFSFVESVKTEKKRILKDVLKLASDYGLDQTKVLLKFLCSTLLSEIWTIDDIKSEISEFESKILDCAKDVFKTISLSVYPKIDGRNKERLAYIYELLSTCFARVEEKKGLLAVIGADPEHLSIDELDSFYKIMEQECSKLSFIEDLNFKNIAGLCGPNLERVTNEVYAHVNENNVEPLAEMMKTLTGIFRDTVPEGLVPWPYVYGYYVSSSLTALESKAKSEIHFQSPEALQSFISELELTYDRCKKHIRLIAYPGASVMGILKKFFKITPSLNDSFDSLSYDLKWNDGLLMLLNFWLRLIDDLQKFVSSNDLEGKFSPACVMICLEAFVKLVKDGKISTTEGWASIFAYINFGLVGDVYTEILNFCRSMIFSGCRFKAIAYVYYEAISHFSPDPSLSGEIRRHYENIMDLPHLYIRILETILRDLAGGALDHQYLYRVLSSLSDLDGDLEDLKKVRSTVWDRLARFSDNLEISSNIRVHMLELMQFITGLGTNSTSFSTELKVNVVPWDGWDNLGSVSSSSEDAANHEAQRVTDASNRFTHTLIALKSSQLLSTISPNLEITPNDLSTVDSATSCFLKLSDNAVSRSHIDALIAVLGEWEGFFATTSAVEEMKDSEQIPNTPGSEWGNDDWDEGWENFQEEESVKKETRNVDNTPSVHVLHECWEEIFKKLASLSEFNDMLKIVDQSGPKGNGILLNEDATRNLTQSLLEVDCFITLKIALLLPYENIQLECLGAVEDKLKQGNILGPVLNDHELFVSLLFSGLISTIITKPSYGNTFLYLNYMVGSLSRDCQEAHFSRLQHRNGDDKKDIWSVFKTVLLPCYLSELVKANQVVLAGLVVTKIMHTTSSLGLINVAEASLRKYLEKQLNILQADDFKLKECEPIVNTVAGLSSRLESLIKSALALLSPNDVK >KVI07614 pep supercontig:CcrdV1:scaffold_197:164716:169096:1 gene:Ccrd_014030 transcript:KVI07614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MFDWQQCAQSVIIGLIFSFLLAKLFSIIFSFRDENLRITRANSDEDRSYEIVSQSESRSVQEEKKPGAEIPRDYSTSDDEEPLLVEEKENAGISSGSDDEDSDDDWEGVESTELDEAFSAATAFVAATAADRSSQKVSNDLQLQLYGLYKIATEGPCSVPQPSAIKMTARAKWNAWQKLGAMPPEEAMQKYIEIITELYPTWAAGSTSKRRGGNANEPSSKDTRPMGPVFSTFIHEEESDELKLDAIHAFAREGDTENLLKCVEGGIPVDIKDSEGRTPLHWAVDRGHIEAAELLLNRKADDNEGQTALHYAAVCERESIAEMLVKRNAAKDIKDDDGNYPCDLCDSKWSWIQPQAPLPP >KVI07606 pep supercontig:CcrdV1:scaffold_197:307772:310435:1 gene:Ccrd_014049 transcript:KVI07606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15e MGAYTYVSELWRKKQSDVMRFMQRVRCWEYRQLPSIVRVTHPTRPDKARRMGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWLNEDSTYKYFEVILVDPAHAAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLNHKARPSRRATWKRNNTLSLRRYR >KVI07628 pep supercontig:CcrdV1:scaffold_197:161763:162020:-1 gene:Ccrd_014029 transcript:KVI07628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEKPSSAEAEEKPAGLAKRVGSNVASAFFASLESCSCVNLTTADSDDEGDLDEEDQVIVMAHLQSTASDSSKTCPASVENLPV >KVI07616 pep supercontig:CcrdV1:scaffold_197:186011:193448:1 gene:Ccrd_014032 transcript:KVI07616 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MDRSRSKRYYYDQDYDHMETLPRTKQRYNNNNNYSGGHHYGPNNHHQRRNIGGGGGGGGGGGGGGGRRPQDPSSLMVTTSYRILCHDAKAGSVIGKSGSIIKAIRQHTGAWINVHELMPGDEERIIEISDTRRRDPDGRMPSFSPAQEALLLIHERILESDGGGYSLGYGGGDEDEDIYGPRGGLGANRLVTRLVVSRMHVGCLLGKGGKIIEQMRMETKTHIRVLPRDHTIPRCVEMSEEIVQVVGEMTSVKNAIEIISSRLRESQHRDRSHFHGRLQSPERFFPPEEDFIHHPNNIARRPSMDGPNYGSRIPGGLNNARSFNHASRPSSYINDAGASPVSDNAQPPFAEDLVFRILCPKNKVDTVIGQSDGFMELLRDEIGVEVKVADLVIGSDEQIIIISSEESPDDELFPAQEALLHIQTRILDLVPEKENIITTRLLLSAGEIGCLDGRDGFLSETKKMTGADIQILPRENLPQFVSVNEELVQIVGEIKAAREALVVVTLRLRSYLFQGFFQKDAQPPPFHAPSPVGSALNLEAASSNNTTPRETYAGNDATVASQTTAAPVPAKDAPSAVNRVAVPLVTRSILEVVIPDYAVPKLITKSRNKLAQISELSGANVKLLDTSTEAVEHIIQISVQRRNERSLIKRTATACMDATCKLVHCVYKRILSSPTPTTLHVHLAVKRDVKDSFLDHMSSIVFTETTTLLCKG >KVI07609 pep supercontig:CcrdV1:scaffold_197:61671:64710:-1 gene:Ccrd_014018 transcript:KVI07609 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5, heme-binding site-containing protein MATDQKTFVFAEVSKHNKTKDCWVIISGKVYDVTPFMDDHPGGDEVMLAATDKSTVPAKRAYVLSTDKIYNSDNTPEFIKILQFLVPFMILGLAFTVRSYTRENSA >KVI07600 pep supercontig:CcrdV1:scaffold_197:290097:295626:-1 gene:Ccrd_014047 transcript:KVI07600 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MKRNGDYSMPHDDSQPHIQYDIDAADKPSILASNFFMDQVGEILLTLKPDGLSWKLMESLSNEDGSSCWGIRLASKGDTSIKISDVYAVDFIDWGLVHETRHTNAGCLFDHVSEMYRFRVHGIQKSKAQPSLWTSIIHTFGHDDKQTCQMWVKQINMFLGLETNRPKSLLVFVHPRSGKRNGCRIWENVAPLFSRAKVKTKVVVTERAGHAFDAMNSITNRELTSYDGVVAVGGDGLFNEILNGILLSRHNAPYPPVPPDHSQTVDTHNEIVDPGPTVTMAEPLASGEDESPLLSTPLESHVANPKCDGYMFCSTTGARDPVTSALQIILGERLQLDIAQVVRWKTSRTSKDEPRVRYTASFAGSYDAEVSYIKVEQDKTNTRANQRRIKAFWDLSKEPERALCHAKCNVCNTNQTSDTLQESKLTRVRGRFLSIGAAVISCRNEKAPDGFVADAHLSDGFLDLILIKDCPPRKGGTPLDFDFVEHHKTTTFTFTSFGDESVWNVDGEVLVAHKLSAQVFRGLISLYASGPETR >KVI07607 pep supercontig:CcrdV1:scaffold_197:298955:306643:1 gene:Ccrd_014048 transcript:KVI07607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 MVLSQKLHEAFKGTVERITNPRTVSAFKEKGVLSVNEFVIAGDNLGFLSAEKTRMNEYKLMIGQDSFIPSLEEEWVISYMIFELTTHFRFVYFFVRESGDSNKRKSYLPADKQFLITRNVPCLRRVASIQEEYEAAGGEILLDDDDNDGWLATHGQPKDTKGHEEENVPSMETLEISKKNTIQSISSHFGNEEEEDIPDMEEFEEPDNVIESDPATLQPTYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLEPELVLEDVSQDHARKTVTIEDHPHIPGKHASVHPCKHAAVMKKLIEPLIAGGVEPQVDKYLFLFLKFVATVIPTIEYDYTMDFDLGGSSST >KVI07585 pep supercontig:CcrdV1:scaffold_197:348238:354672:-1 gene:Ccrd_014053 transcript:KVI07585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MDNGLYYAETQLCGVVSSRNTRVQGVLQAADSNSAWISVEIVNLLRSLLNLPSKITCVSHTDCGFDQLLSSVKVVSDQMADGDNPSRYVKLNKDQAPVDISPGELNQPIEVPQLNVRKCNECGQPLPESFEPPAVEPWSTGIFGCAEDTESCKWSFQLSDANVIEQNYHATSITMPFYTSWTGLFCPCVLFGRNYETLRDDYASATTPCVLHAIFIEGGLAVAATTAALHGIIDPRTSFLICEGLLFSWWMCGIYTGIVRQMLQKKYHLKNSPCDPCLVHCCMHWCALCQEHREMKGRLSDNFVMPMTLVNAPPVQQMNSDQDSTSAAAAAAATSSSSANGHDHHTNLEMQAL >KVI07625 pep supercontig:CcrdV1:scaffold_197:82573:83933:1 gene:Ccrd_014022 transcript:KVI07625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MVNLVRDASPKKIRGWVPLPMAMAVPFVVGAYFAIDMCMGSLFVFIWHKMNKQKAKVMVPAGLICGDSSFLNPCFGWNQTSNLYEVFAYKQVMELKEVLKSGNQSPLRSPMSGHMTPYDSTAPASNDKFTRCEHIIPAPM >KVI07588 pep supercontig:CcrdV1:scaffold_197:374705:381607:-1 gene:Ccrd_014056 transcript:KVI07588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 7 MMIDLGSFSDEKFDAKKWINNSCQSRHPQDPLDKHLVDLEMKLQMVSEEIAASLEEQSSAAILRVPRATRDVIRLRDDALSLRQSVASILLKLKKAEGSSAESIATLAKVDTVKRRMEAAYETLQDAAGLTQLSSTVEDVFASNDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDSMVQPRLTDAITNRKVNVAQDLREILIRIGRYKSLESHYTKVHLKPIRQLWEDYELKQQRTKTGSERNQVESISSAHDYQSSLPTVSFPSWLPSFYDELLLYLEQEWKWSMLAFPEDYKTLVPNLLIEAMVAVGASFVSRINLATGDVVPETKALAKGVLDILSGDMPKGVKVQTKHLDALIALHNMTGSFARNVQHLFGESDLKVLRDTLKAIYLPYESYKQRYGQMERVTLSAEIAGIDLRGAVTRGIGAQGIELSETVRRMEESVPQVIVLLEASVDRCISFTGGSEADELVLALDDVMLQFISTLQDILKTLRIVCGVDVAPDGVGSKKEIGSEKRGKFELSSEEEWSYVQSALQILTVADCLSSRSAVFEASLRATLARLNTNLSSAVFGSSIDPNSSHEAIDGTGDLSMAGRAALDMAALRLFDAPEKARKLFNLLEQSKDPRFHALPVASQRVSAFVDTVNELVYDVLISKVRKQFNGISNLPIWSAVEEQSAFHLPSFSAYAQSYXTTVGEYLLTLPQQLEPLAETISNNDTNAEEAQFFATEWMFKVAEGAAALFMEQLRGIQYITDRGAQQLSVDIEYLSNVLSALSMPIPPILATFHTCLSTPREELKDVIKTDSESLDLPTANLVCKMRRVNLE >KVI07586 pep supercontig:CcrdV1:scaffold_197:312302:313594:-1 gene:Ccrd_014050 transcript:KVI07586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MDRLPPSPSPSPSPSPSPSPRLLRCNSQNPASSPPATILYNKSNPVVTKSRSTSTAKPPTYKDANFMIHFQNRMTINTKKKPAHRNKKTAWALSPGRSAPCSKSPSPVGGGGSGIGGVLNYFRPKKASSEEEADRRWSKLMNNRLLQWRFANARAETAMSTLQIVAQKKVFNAWIKILAIRNSNMAKRMEVQKLQNDIKLYHIMNSQLFLLEKWSRLEAKNFEAIGRVVRKLSVASVNIPLVDDCKVLLKL >KVI07592 pep supercontig:CcrdV1:scaffold_197:38699:49374:-1 gene:Ccrd_014016 transcript:KVI07592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASVAVAARGVSITMPSSQSQSSRKEWRVVSDHPVQSSGNEEVDRSKLGQGDERLMYEGREPVNVDFFSISVDGGSDHELHLDDVVKQREQLQHLEVNLKARLIARSEVMGMQERFDSQIKEQITATVKLQEQLHEREKAIMDLQRTLDDKDKELHAIRIDHEAAWAKEDLLREQNKELATFRRERDNSEAERAQHLKKIHDLQEHIQEKERQFIELQEQHRVAQETLIYKDEKIREAQAWIARVQEMDALQSTTNHSLQAELRERTEQYNQLWIGCQRQYDVCQFSEMERLHMHTLQQLEIELSEARERSGTYSDGSRTTQTHVKDTSHFLHSNGSQLEASGGSSPAGDSRGLQNGDAEIVSAQTDNIPAVQMAPQSLHGLPTYFPPGQLAALHPFILHHQGLPQNANSHLVQSHVGQFHSVPANSSLPHWQNQQAFTESLQMQNHEQYPSHNDQNSLRPETNYNYEASVDGQALRSDFLDSDISQGLEAHSQSLQQISSQFHASLRLNHSDNVHQEINGNFLSNHGSEVQNSTTEFLGSAAIASEVICSVKASDPTVNKATTPEGYVSTGQKSPLLGGKTADMALFDEETLLACVVRTIPPGPGGRIQISSTVSNNCLLSRLNKMLAPLHWSDYENKHGKLDVFLGSHPELFVMEGDHIQVREGAQEIIAAMVAHAKVRLKLAMEAGVKAALSRWFSAVYITVVNGHHPETHLCLKLWLLLPKLQPGCFLPSLPLPLGFSTPKELIGVDGGCKFDFSMEAAASVAVAARGVSLTMPSSQSQSSRKEWRVVSDHPVQSSGNEEMDRSKLVQGDERLIYEGREPVNVDFFSITVDGCSDHELHLDDVVKQREQLQHLEVNLKARLIARSEVMGMQERFDSQIKEHITATVKLQEQLHEREKAIMDLQRTLDDKDEELHAIRLDHEAAWAKEDLLREQNKELATFRRERDNSEAERAQHLKKIHDLQEHVQEKERQFIELQEQHRVAQETLIYKDEKIREAQAWIARVQEMDALQSTTNHSLQAELRERTEQYNQLWIGCQRQVVFSCRSDIAVYRDLLILVTLLYDHRQFSEMERLHLHTLQQLEIELSEARERSGTYSDGSRTTQTNVKDTSHFLHSNGSQLEASGGSSPAGDSRGLQNGDAEIVSAQVDAGIFCLIFMIKLIHNFGFQVRVNI >KVI07593 pep supercontig:CcrdV1:scaffold_197:53314:57907:1 gene:Ccrd_014017 transcript:KVI07593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGHCCSKGAVKEDVNTGSIAGVNYQNERPSRKPPTPAGDTPVHSFTGSPWQIPFPEGVGATPSPAGTPRRSMLKWPFPPPSPAKPIMSAIRKRREIKKEKSKIPEEEGKETEISLDKTFGYSRNFRSRYELGKEVGRGHFGHTCVAKCKKGALKNQLCEGGELLDRILSKGGRYTETDAKSIVMQILSVASFIHLQGVVHRDLKPENFLFSTKDEASPMKADMWSIGVITYILLCGSRPFFARTESGIFRSVVRADPNLNCSPWPSVSPEAKDFSYVRATPLRRAALKALSKALTEDELIYLSAQFNHLEPKDGCVSLDNFKTMKPLSYTQMTFEEFSAAAISPHQLEALQGWEAIANTAFQIGYGAVMENSIFLDIRSFCMVCQFVVPTRDNNNNTANSSFLSCTIVLYVGRSSCPTVVGIYDDGYDDDGDDDDDDDADD >KVI07624 pep supercontig:CcrdV1:scaffold_197:85430:85796:-1 gene:Ccrd_014023 transcript:KVI07624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MEASNERETMEIETPVVQSVIVTKLNLTTGLVPNLNVSAALLAFVFITTWTKLVRKAGFLTTPFTGQENTIIQTCAVACYNIAYGGLILRFDFNDL >KVI07594 pep supercontig:CcrdV1:scaffold_197:23251:25732:1 gene:Ccrd_014014 transcript:KVI07594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30e ISHRPLAHSATQKESSCSPPRRDVFAVPGANMVSGKKTKKTHESINNRLALVMKSGKFTLGYKTVLESLRSSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIIDAGDSDIIKSLPGDS >KVI07610 pep supercontig:CcrdV1:scaffold_197:69467:72140:1 gene:Ccrd_014019 transcript:KVI07610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MAKSSTAGSISAVSYSPSSSLSSSPALRFLGHLTVPEKSSDLNNGGIEEFHESDVFWSSSSDVSDIPSPSNVSSSPPIHRQYNSGLYAALSDDQHPLVRRKHAMSPSQSAATAARTIPPVALRRSSEHSVGYHQSAPVNVPVWPKNKTNNYLGQFDEVVDNVVEEEDEGEMVPPHEIVARSYVTFSVFEGAGRTLKGRDLCRVRNAVFQKTDIQAFGYSMRRYAKMKGMVAADVDLCENGIMMEHLVLDA >KVI07599 pep supercontig:CcrdV1:scaffold_197:260860:278591:1 gene:Ccrd_014044 transcript:KVI07599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGITLVARETMNSVCNCSYSRGSGQMCRQEPHIQLSQEEQNAAEESLSVYCKPVELYNILQRRAINNPLFLQRCLRYKLQAKNKRSYASVDSRIQISVSISGAINDGMYAQNLFPLYVLLARPLSTTNMEMQHSAVYRFSQACRLTAFNGAETQSAAHAKFLLPEISKLSAEVKSGSLAMLLVSCGMALFATMFEGNCLLGKIPMDLLHLSWERSPNLSLGGRAEMMASVSMRYCDMKLSGLDEEKCISFRFQYNSEAVSILQQVPVMVSAEELGAKDISAYDLYSYSDIPDNSLPHIVRLRAGNVVFKYKYYNDMLQRSEVTEDFTCPFCLVRCASYTGLRFHLTSSHDLFRFQFWVGMPPKLNELKEKTDCLTSLCSTSIHVPEGAKCDTYSPNATSVSIATGVSFAEPESGQSVPGSNLAPPAMLQFAKTRKLSVERSDPRNRALLQKRQFFHSHRAQPMALEQVFAEQDSEDEVDDDVADLEDRRMLDDFVDVAKEEKHMMHLWNSFVRKQRCWKLLIVKLWNHGLVDSRTMNKCNQILDHIHPTTTTTTTRSQ >KVI07601 pep supercontig:CcrdV1:scaffold_197:282988:287599:1 gene:Ccrd_014046 transcript:KVI07601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) NPFPCLLHKQAIRLINPVFSTFSHPHSSIFDLITMSFFTHSLLLCFLSVAFSHLLPRSFSIQLPQPPFHTLTQQLPLRCTDWRVAVEANYLTPWNTIPQECIEYVKEYMLGPSYQFDLQIVSKEAETYAKTADLKQDGMDAWIFDIDETLLSNLPYYAHHGYGSEIFDNIQFDKWVIEGMASAIEPSLKLYNEVSRLGFKIFLLTGRSEDKRDITINNLIHVGFQKWDRLILRASEDHGKTAQEFKSEKRKEIMEEGFRILGNSGDQWSDLIGSYISVKSFKLSNPIRKGCSKDESWNKEANFEVKEMEMKGGELIKFEGGEDLTCFDILDAPGEVIGKSSYGTLYRANLVTSDSVVVLRFLRPACTTDKVQDMMHVVQLIGSIRHPNLVPLCGFYVGPRGEKLLVHPFYRRGNLAQFIRDGNGGSQKWSVIYRISIGIARGLDHLHTGLQKPIIHGNLKSKNILLGRNQQPYVSDFGLHLLLNPCTAQEMVEEVAVEGYKPPEMVRMNDTSVENDIFNFGVILLELLTGKEPMNNEKADSDQDFHSNAILDHPISDFYHHDSPVDEEQIRKLSQLAMVCCSPSPSLRPNIKHICKKLQEIGSYGPNPRQ >KVI07619 pep supercontig:CcrdV1:scaffold_197:15212:17191:1 gene:Ccrd_014012 transcript:KVI07619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1602 MVAIKIDEMHCTMTAIRSDIMVLTVEVSFMIREVREPTLFSGLSNHANSLRSTAKPKTGFEMPENAAAQDPTPIFTNSRKLLCVPVSVTCPFCTTTIISAFTMVDILCAIKMTVLPFIALSNAESSALVASSSKRIGGFFNMALAIAILCFCPPDN >KVI07611 pep supercontig:CcrdV1:scaffold_197:199884:208549:-1 gene:Ccrd_014034 transcript:KVI07611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSGQRLLFALEFSPSRIELQWKKFDDQAAKRKKKLRHEKKEKNKYKAGAAYQRVKRHFQMLSHESATPQAPFARLLHPASGYRELQPAVTLQR >KVI07618 pep supercontig:CcrdV1:scaffold_197:223492:225249:1 gene:Ccrd_014039 transcript:KVI07618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEANTSWHNDKWKPRGNGSDDDDDEDDEDDDAAQDKARAFDDWKDDNPQGAGNKKLIPCALSEIDLIFTHMEDPGANQVLPF >KVI07591 pep supercontig:CcrdV1:scaffold_197:363059:369060:1 gene:Ccrd_014055 transcript:KVI07591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGSARNLTEEERRGHKEITWDDKEVCGFYMVKFCPHDLFVNTRSDLGPCPKIHDPKLKESFESSPRHDSYVPKFEAELAHFCEKLASLLLKFWRMLVAYLYQLEIRRFIMLHICTITLFQVMDLDRRVKRGRERLAQEVEVPPPPPVPVEKSEQLSVLEEKIKNLLESVEALGEAGKVDEAEALMRKVDLLNMEKTALTLQPQSDKVLMLAQEKKMALCETCGSFLIANDALERTQSHEAKEKAREEERLAREKEAEERRKQREKEVEGRRRSGSADRDKNRHRECDRRDRERSRDRNSRGSRDMGRASERRHNSFRNGGDRSRDRYRERDRSRSHSPARHGGRRRKVDLCDDHPFVDSLALLLIGALEVGIWSCTATVNIKQSVGLIDIKP >KVI07608 pep supercontig:CcrdV1:scaffold_197:208882:212661:-1 gene:Ccrd_014036 transcript:KVI07608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSSSASMPFPREPANYDEIAMHQSLLFSDGLKDLKNLRKQLYSAAEFFELSYTNDDQKETVVDTLKDYAIKALVNTVDHLGAVSYKVNNLLDEKVEEVSGTELRVSCIEQRLRSCQEYFDHEGISQQSLLINIPKYFKRYKQDGAKTKLKHQGCLLDDEDDRHEHKNGVVEAMIREKRKTLVRKGRSPSPPRDSQQPELFSFVGTVARKDIERRSVSPRRFPLLRTGSFSSRSTPNSRPSSRSSTPNPSRPTTPTSIARQQGRKSVSSDRNGDGEMHKEADEIPSKSKRLLKALLSRRKSRKDDMLYTYLDEY >KVI07589 pep supercontig:CcrdV1:scaffold_197:383270:387222:-1 gene:Ccrd_014057 transcript:KVI07589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHSNHQYIKAMFHHLLQSSFVISLIVLPSQKSSFVISSIFHFQGTIKDGDIAAIKEYSVQLNASRVKVLQACDDLFSAMRETTSKDLLNICKLLFAQVVA >KVH96916 pep supercontig:CcrdV1:scaffold_1970:8967:9188:1 gene:Ccrd_000991 transcript:KVH96916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVRGSRTIKNDRSAGHGRPVPRRGQVKVGIVLGLAHSVASLFSFNNARFQSGSQPWIWLSIPFSPSFRERD >KVI11181 pep supercontig:CcrdV1:scaffold_1971:77186:83379:-1 gene:Ccrd_010411 transcript:KVI11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MEKKKKKRLEGIWDVKHMFESSLIIFFIIGALATTAVYYVNSDEQETSPPPLVHNAVNYDSLDGCDLFSGKWVYDNNSYPLYQELQCPYIPGEFACEQHGRINSNYQQWRWQPHACNLPRFDAREVLERLRGKRVIFVGDSVNRNQWISMVCMLQTVIPIGLKKMQKVANASLFSFKAFVSGSTRLFYDLSVYTTITSLQQRYTYINTNIQEYDVSIDFYWAPLLVESNADHPAKHKRNERVVHVQSIENHAKNWVNADVLVFNSYLWWGTPTLKILYGSSEDAKESNIVSNHRGYRMVLKIWSNWLRTHINHTRTRSYFMSMTATHKRGADWGKEGNANCLNETEPIMKDGFWESGSDMKMMRILELSLNKLKAKGVNVQMMNITQLTQYRKDAHPSIHRLFYSALKAKQLSNPLNYADCTHWCLPGVPDIWNELLLTYILRGVK >KVI04690 pep supercontig:CcrdV1:scaffold_1973:15445:25313:-1 gene:Ccrd_016988 transcript:KVI04690 gene_biotype:protein_coding transcript_biotype:protein_coding description:CS domain-containing protein MFHWFLDIGCLSFPHYVKNLKSSNHHSISSSSSASREFSASQWRPISSPKLMKLSLTTTLNSPLTSTRRPSSSTLPTPTSYPTALRLTSNSKTSLVVFLAFQLLLILILLLLKYRFLLWHTELVSFGFYVEAVSDANKAIELDPSISKGYLRKGIACFNLEEYQTAKTAFEAGASLVPEDTRFRDWIEKCEKCIAEENGELPTQSLDATPTEVVATPSQAPEEPDADPKDAEQVKSIPSEVATRMPAKPKYRHEYYQKPEEAIVTIFAKGIPAESVSVNFGEQIVCWHFNFNSTFNGLSVTIDVPGEEAYIFQPRLFGKIIPAKCRYIVLSTKIEIRLAKVEPIHWTSLEFSKDTLVVRSSSVSSGGNQRPVYPSSKPTKDWDKLEAQVKKEVCLLTDSLLRETNASLGCKANYTVLCFQEKDEKLDGDAALNKFFRDIYQDADEDTRRAMRKSFSNGTVLSTNWKEVGSKEVEGTAPDGMELRKWEY >KVI04691 pep supercontig:CcrdV1:scaffold_1973:68920:72078:1 gene:Ccrd_016989 transcript:KVI04691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIMSVLKETSENGTDLSADDIGTIEEIPEDTILSRQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGITRRDFTCHRGGYPQIKPSEDGKVQRNRKSSRCGCQAYMRIVKRADFDVPEWRITGFSNIHNHELLKSHDVQLLPAYCTMSSDDKSRICMYAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVDHDNDAIDLLKMCKDKKDKDPNFKYNFKIDANNRLEHIAWSYASSVRSFESFGDVVVFDTTHRLDAYDMLLGIWLGVDNYGTNCFFSCVLLRDENMQSFCWAIKTFLGFMNGKAPETILTDQNMWLKEALAIEMPRTKHAFCIWHIISKFSDWFSLLLGSQYDQWKAEFHGLYNLHSVDEFELGWREMVDTYGLHGNKHIVSLYALRTFWALPFLRCYFFAGMTSTFQPESINVFIQRFLSAQSKMIRRNQKVSLKTGSPIESHAATVLTPYAFCKLQEQLVLAPQYASLLVDESYFIVRHHTEMNGGCKVLWAPHDEFISCSCHDFEFSGILCRHVLRVLSTNNCFHIPYQYLPIRWRDSFSPPSNYTKPLLIPTSGQPTGNIQMLQSMVSTLVSESMETDERLNFACGQINLVLSRLKEFPGPSNGVNDLSYDHSPPDSLILPEVEDSDGIVQSFTGNPHESLTIGKLKERRSDPLRKRRRCSVACCGQFGHDSNECPLMESDDINGDGLGFL >KVI04688 pep supercontig:CcrdV1:scaffold_1973:74223:75724:-1 gene:Ccrd_016990 transcript:KVI04688 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDDEWDLYAVVRSCTTNSTDAATSGNVTVDDYEDGYDYNMETVADMINPYSYSNSGDNPSEGLEEVYKEGCSQRLPTTTTTTITTTTTTTGGFFDEQEQPSVSFDASDHSLSNESPSKKRNYYKCSTTKACGARKQVEQSHMDPTVFIVSYSGEHIHPRPTHRSPLAGSTRSNKFTPPPPDLDAAGGGDGGL >KVI04689 pep supercontig:CcrdV1:scaffold_1973:82216:93655:1 gene:Ccrd_016992 transcript:KVI04689 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel TVNHEFQGLLSCFTCGGYKKRYTTKVNQREGPCFCAKLWRWLLGIEFGFKFKLNHTKGLARRRRRRPDMLVIEPATAVRIPTGGFPRDCRFHSHASNFFSIPLNKFSRRNNLIKSRIRASLGELSGPVKQVKPQLYHPSEDISDCEELDENGYAILRPAEASRTIIEFFIFLVGLIETPSKSSLLLYHRWELICYFQANSQALLMFSGLVSDGVYENIFLPDLPYVKGEHGSIYFQVKNDEDILQTLASGDNLVVHIFLHHAWMLQDWVSILEDDKDSDGSLGDWAKLETMRSYHPMDFAKQLAEVSICYRVLYVPVNYMDQPPAGLAIQGLLRPAFVEEDSIINEHMFDYQSNDEEANQIAESKEEDVGVINGLGHVVGPSQDVKILEEESQTDQSLESGNSYYKLEVIKMQLISAHGNQAAVEVEDFSRSQPDAIAHSAAKILSWVKSGGDKTTQALKSLCWRCKGIQVEVMLTHSLVLSLNIEVALIGVDSLGFDVRVCSGRQVQTLRFAFKNRASSEYSVESQLNCLLFPIAPGKQQKKEVVHQSEQLS >KVH97051 pep supercontig:CcrdV1:scaffold_1974:33885:39768:-1 gene:Ccrd_000855 transcript:KVH97051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MADSSTNTIFLLLTTLIFTTSCTFYVNGCYTSIISFGDSLADTGNMKALSPKSNGQAPHFLFPPYGETFFHKPTGRCSNGRLIIDFIAESLGLPLIPASEAGKTTELAQGVNYAVAGATALDSSFHEARGVYNPMTNASLRVQLGWFKESLPSFCSTVSGNLPIGCSAAYLTIFYDSDKVQYDNSTGCIIRLNRFAEYHNELLQTELTQIREVHPEVNIIYADYYNAAMQFFRSPNKYGFTNGALKACCGGGGPFNYNPSIACADPSSNSCAQPDTYFNWDGLHLTEAAYRLVFKSLFEGSYTTPRFNSLCPISRSQPVGGLSSSI >KVI07148 pep supercontig:CcrdV1:scaffold_1975:19761:20390:-1 gene:Ccrd_014494 transcript:KVI07148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MFSQFEFVVASEKPSGAPHMPIEVRAELLSQAAGFSEAEVQDIELVICMMPSITVEVTCGTEGEEGVQEGGIITVQAWWACNKPTVWSVLFPMCNSTLSTRKKNCWFLLADENSNNVWFSQKVSFMDEASAVTAASKAIEETMEGSGANAKETSKAVREAVEKVKSGSRLVMGKFQAPAEGNYNLSCFLLCDSWLGCDKKTGVKVKVVK >KVI07144 pep supercontig:CcrdV1:scaffold_1975:12285:13578:1 gene:Ccrd_014492 transcript:KVI07144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVDRLFECFKCGISPPQSAARGRKTKKQKVKQEKLHEDPSTSTDFTSAGSDGKKQQSSTNMQNLCQMDWHALFQFCTPTVGKRNFNNGSQFSPVVFYGSPQGVPPKRPARLLRLLHEIRVELAAQHKSRCLQFFDDFTKSISLLFRMNCAITY >KVI07147 pep supercontig:CcrdV1:scaffold_1975:48446:53461:1 gene:Ccrd_014497 transcript:KVI07147 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETC complex I subunit MFLRRFARPSSLMMMAKVKETTGIVGLEVVPNAREVLIGLYNKTLNEIQRVPEDEGYRKAVETFTRHRLKVCEEEEDWETIEKRLGCGQVEELIEEAQDELKLIDKMIEWDPWGVPDDYECEVVENDAPVPKHVPLHRPGPLPEEFYKTLEAIMNGTKKDESAAAAAAAAAPPSTSS >KVI07143 pep supercontig:CcrdV1:scaffold_1975:59500:97361:1 gene:Ccrd_014498 transcript:KVI07143 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGHLIPMVELGKLILNHHPSFSVVLLTLIPSFNPASTASYVRHISHTFPAITFHHLPDIPLDTDLYPTMGAAMFELFRRSATNIEHALQSISLSSHITVFIIDLFCTPAMTVATKLNIPVYSFYTSGACCLAQYLYFPTLHRTTTGSFKDMNTLIHSPGLPPIPSSELPSPLLDRTSAVYSDLLVFCEQLPKSAGIIVNTFDSLEPKAIKAITDGLCVPDQPTPPIYTIGPLIAAGGDGSHECLNWLDLQPSQSVVYLCFGSLGLFSSHQLKEIATGLEKSGQRFLWVVRTPPSNNKMDRFQPPPEPDLDLLLPEGFLDRTRDRGLVVKTWAPQVAVLNKDSVGGFVTHCGWNSVLEAVIAGVPMVAWPLYAEQRLNKVVLVEEMKLALPMESAGGKVSAAEVEKRVRQLMEDKGIREVAMARKEEAAMAMSDGGSSRQALANLVASWCCSLSSHPFLFDFDFDFGLLPSSYPICSTISVPFFNPIPLPSTPTTTMATIVLYPSPGMGHLVSMVELGKLILNHHPSFSVVLLTLIPSFNPASTASYVRHISHTFPAITFHHLPDIPLDTDLYPTMGAAMFELFRRSATNIEHALQSISLSSHITVFIIDLFCTPAMTAAANLNIPVYYFFTSGACCLAQFLYFPTMHRTTTASFRDMNTLIHSPGLPPIPSSELPSPLLDRTSAVYSDLLVFCEQLPKSAGIIVNTFDSLEPKAIKAITDGLCVPDQPTPPIYTIGPLIAAGGDGSHECLNWLDLQPSQSVVYLCFGSLGLFSSHQLKEIATGLEKSGQRFLWVVRTPPSNNKMDRFQPPPEPDLDLLLPEGFLDRTRDRGLVVKTWAPQVAVLNKDSVGGFVTHCGWNSVLEAVIAGVPMVAWPLYAEQRFNKVVMVEDMKVALPMEELDGGMVAAMEVEKRVRQVIEDKSLRDAAKARKEEAAMAMSDGGSSGVALTKFLASCGQI >KVI07149 pep supercontig:CcrdV1:scaffold_1975:26415:41614:1 gene:Ccrd_014495 transcript:KVI07149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSATEHRRLPRHNHCVNNISHFIQSTASNISSIFIPKSPNSSNSASSPPKIFLPLPASLPAVSLYDSSLSGMESSSSSTSPSASSSLVRSMASESTSSGFPSSVRISNLSSSANGGGPAFVGQVFSMCDLSGTGLMAVSTQFDIPFISNRTPQWLKKMFQAVMKSERNGPVFQFFIDLGDAVSYVKRLSIPSGVVGACRLDLAYEHFKEKPHLFQFIPNERQVKEANKLLKNAPPNTLKRRVEGVPVFTAQNLDIAIATGDGIKWYTPYFFNKSMLDDILEDSVDQHFNSLIQTRHLQRRRDIIDDNMGSDLLDDSADNVWEPPEVQEVLDEIGTPSIPLSVITKAAEIQLLYTVDKVLLGNRWLRKATGIQPKFPYVVDSFEKRSAASFQRASMLPSPVPNSESHPEKKQLQHYNPSKDEVQCAHDHKSDFHYPFGD >KVI07146 pep supercontig:CcrdV1:scaffold_1975:45814:46047:1 gene:Ccrd_014496 transcript:KVI07146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIVTVAISESLLLPLFQFVASGICTASALLFLNATWLMSFLNRFISLSVVRDVQLFQCLSLTCTAIKYVQDFVGN >KVI07145 pep supercontig:CcrdV1:scaffold_1975:13590:19497:1 gene:Ccrd_014493 transcript:KVI07145 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, UL52/UL70 type, Herpesviridae MLYWLFWWYTYRQDVWATFPRQDEAMKYAKDYVGVRIFSYQDHASGQRRFLVSTYKEFWRRYKNMNAKFRHHYEVIEEGLPCHLYFDLEFNIRENAERNGDEMVDLLILITFEALLEKYAIEGDTNWVVELDSSTKDKFSRHLIIRLPGIAFKDNIHVGAFVNEICLRIHSARGRDKRFDQLFISKDSSSADVPCQLFVDTAVYSRNRCFRLHLSSKAGKSSVLLPTGRFKCKEMRNSTFCKPSTREFVPDACTHSGYLSGKSPFPRLDEFVEYVATIGNVQGCSSYKYGLMVYSMSRNRFCERIGRQHKSNHVMYVVDLQKAAYYQKCYDPDCRGYRSPLRPVPPEVFPDSSNQNESQELILPDGDENSMDSCQKDELWREAMLVADKVENMPRMLDLGKEDQVWDEDDEWWMAVESTASQIELAYCGHA >KVH91404 pep supercontig:CcrdV1:scaffold_1976:6430:9317:1 gene:Ccrd_006573 transcript:KVH91404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MLSRSWSITAVALVTIVFLLDFGVAELPPNYTFMHEATEAPRVSFYDYIVIGGGTTGIPLAATLSANASVLLLERGGSPYGNPSITNVANFGTYFFDTSSSSPSQQFITEGVVNARSRILGGGTSINAGFYSRGEEQFNIEARLTDETLIEDSYQWIEKVMVFEPFLGGWQTALRAALVEVGVTPDNGYSYDHVIAGGTTPLAYGVAFEDSFGNMHKAFLKGGENDEIIVSAGALGSPQILILSGIGPKEQLDGQNIKSVLQQPLVGKNMSDNPRNGIFIPSPIAVEQSIVQVVGITEFGSYIEESGGINLKINGPISTGELKIENRNPFDNPSVTFNYFKEPEDLQKCVKGLETILAVIETEAFSNYTYANMTAQEILDLNMKLPYNQIVHANTSSSLEEYCKATVGTMWHYHGGCQIGQVVDDEYKVIGVDGLRVLDASTLLNCPGTNPQASLMMLGRYMGVKMLAQRLAVTKSYADI >KVH91405 pep supercontig:CcrdV1:scaffold_1976:61820:65484:-1 gene:Ccrd_006574 transcript:KVH91405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNCCSQKEPETADGAGKSSENAQNDPTGSTPPTASPSPRTKSGRPSPIGTVLGRPMEDVRTLYTIGKELGRGQFGVTHLCTSKQTGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVDLKGAYEDKHSVHLVMELCAGGELFDRIIAKGYYTERGAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNNDENAPLKATDFGLSVFYKQGEEFSDIVGSAYYIAPEVLKRKYGPEVDIWSIEHGIFNAILRGHVDFTSDPWPSISPQAKDLVRKMLNSDPKQRLTAYQVLSHSWIKEDGEAPDKPLDNAVMGRLKQFRAMNKFKKVIAGCLSEEEIMGLKEMFRGMDTDNSGTITLEELKQGLSKQGTKLSEKEMEQAIREYGMNDGREIKEIVSEIDTDNDGRINYDEFVAMMRKGNKETSVNPKRRRDSFVPVI >KVH87931 pep supercontig:CcrdV1:scaffold_1977:31769:40457:-1 gene:Ccrd_024753 transcript:KVH87931 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MENNYQGDLADIFRPGSVNSGDPPGVSDWQYFDQSSDQETQMIQKSIRTHEQGFGQPFTSLLTDPLIHEGTSTPCFFGTNLDDLEEQVVSAARTPLEYEDHKINDNNNNHNHNHSHNIFSKMLQISPNAVKSTLSSNSDIMITSSPSPSPSSSSVVPPIKRCLLETSSATTLQISSPRNPGIKRRKSQAKKVVCIPAPAPANSRPTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRTPQPPKTTAEQPSSEKHTTTEDVAAGSHVKDESSQAILLETEKLGLGSFPQSYRPELPEHGSGVDQDFFSSLGEIEVDPISMLLNQGFLGGDEEEAENYNKDLDPFG >KVH98860 pep supercontig:CcrdV1:scaffold_1978:93017:93895:1 gene:Ccrd_022910 transcript:KVH98860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAAITQAPVAVFRPYTRFLTGSQGKLNRELATRPSDTYPSASFKIEAKKGEWLPGLASPGYLDGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVFTSIGILNVPKWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKNYSLPPNECGYPGGIFNPLNFAPTAEAKEKELANGKEKTPILPILQPTIDNLTTQKQPLYSLG >KVH98861 pep supercontig:CcrdV1:scaffold_1978:95746:99206:-1 gene:Ccrd_022911 transcript:KVH98861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRVKLKIKRLENISNRQVTFSKRRNGILKKAKELSVLCDIDIILLMFSPTGKPTLFTGQRSNIDEVIAKFARLTPQERAKRKLESLEALKKTFKKLDHDVNIQDFAGARLLIILVSFLRILSLFPLNATSDLSFFWFASVCSSQSAEDLSNHAMMLRSQLADIHKRLSYWSNPDKIDNIEHLKQMEDSLRESLDRIRIHKENFGQQKLIPLDCMSQFQNGLHLPLMMANTQEDQNLPWHPNNENQNLILPEKQNYMPHRDGECSGMSITNYSGLFGAGKQLEMDGAGKVDCTRQDGGLAELCSTSNLRPQLSEQFPFHPYGNLNFQQSKEVKPETATSLHGFLDYSMNCNFEMPRPVYND >KVH98863 pep supercontig:CcrdV1:scaffold_1978:63506:64897:1 gene:Ccrd_022904 transcript:KVH98863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIPNPHGRSYSKKEQALLSVFKKSCTKRDIEQLHAHLVQTGFTQSLFVVGKIIVFCAVSDECSMDYAVSIFKTIENPDGFLWNTMIRGFGRMNQVHQVFSYYKRMLDHGEIADNFTLSFLIKSSAQSGSILLGKQTHCNAVKHGLDGHVFVRNTLIHMYGMLNNLRIACQLFDEMPNPNLVAWNTIIDSHVCCGKHQEALELFSQMLDAGIKPDDATLVVILSACAALGALELGRWVHSIVDQNCSMNDVSIANSLIHMYARCGELEEAHEIFNRMKSKNTVTWNTMILGLAAHGHFREALDVFSIMINEKDAPPNDITFLGVLSACSHGGMIEKGRQYFDKMTKEYQTKPAIKHYGCMVDMLCRAGLVIEAYLLVQSMPMKCNAIIWRTLLGGCRIHGNVKLAEKVRMHLLEVEPDHSSDYVLLANTYASLEDWNQVSRVRRSMTANGVQKPSPGNSFIGVP >KVH98865 pep supercontig:CcrdV1:scaffold_1978:90604:92301:1 gene:Ccrd_022909 transcript:KVH98865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MTWTGIFYLWVHSNLVKWIKLLSKEKETLKTPTNPFLSHSFPFQITTSIFLSHHSKKIHIFSGFSRILHFITSSRCFFVIWILYHLIWSFLWFFWGVESWLTSLYVQQHLSMAASSSSFNPISFPASFEEALTNSNGFLSPSIVSTDFLKKGSQIPSDHKGLTSSCWNAKTPVLTHASKSLSKPMRWWEKTLPPNMMEIKSAQELVDKLSNSGDRLVILDFYSPGCGGCKALHPKICQLAESNPNAIFLQVNYEALKPMCHSLRIHVLPFFRFYKGSEGKLCSFSCTIATIKKFKDALSKHGTDGGDVGAAKGLEESELLTLASIVKSHIICH >KVH98866 pep supercontig:CcrdV1:scaffold_1978:85154:87163:1 gene:Ccrd_022908 transcript:KVH98866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18730) UniProtKB/Swiss-Prot;Acc:Q8RXS1] MKGWLQMGSLSSLPPNKITRLDFEVNLSSSSSSSSSMAEAIMSFSILKPCIHHKPSFQTTRRLLDLNPLSVSKFSGSRFTNAFHLYSTEDTKRSRLNAIPDLTLMAVLVEHMEGQRDLITHKSIWHLNDQTIKNIYTLYIMFTVWGCCFFGATKDPYYDSEQYRKDGGDGTGHWVYEKQEDIEEKARAALWREELIEEIEQKVGGLRELEEAKEEELV >KVH98869 pep supercontig:CcrdV1:scaffold_1978:22638:23347:1 gene:Ccrd_022902 transcript:KVH98869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAMKTFLDMLQHRISPNLVTFNTLLSWHCKAGDMSEAREFLKMLLQRGFKPDTYTFSSLTHGLCRTHQIDDALDFLNEMVGWGVHPNTITYNILIFSLCIIGDVFKAKALMKKMQTNGVKPDVFSFNALIQNFCRMKKVEKAHRVLLTMLTLVLNRPSFITSIAISVDLFWKVSNSRNLARSEAGSLVLP >KVH98862 pep supercontig:CcrdV1:scaffold_1978:65464:70862:-1 gene:Ccrd_022905 transcript:KVH98862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF962 MAKIGLFDLEKHFAFYGAYHSNPVNVFIHMLFVWPILFTSLVILYFTPPLVDLSLPYSLIMNYGFFFTVIYAGFYVSLDVKAGSLAGFLCFLCWVFGSFLAHHLGFSLAWKVIMRSGLSTMVIPAKVDAGIQEWKEKKQKKIS >KVH98867 pep supercontig:CcrdV1:scaffold_1978:75398:76315:-1 gene:Ccrd_022907 transcript:KVH98867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MQQMEANVIVNCVHPGIVRTGQTRDHNGFITVVLALQQGFAIGSAALVSLALFGAFVSRAGIETVDVLTPKVFIGLLIGAMLPYWFSAMTMKSVGSVALKMVEEVWRQFNTIPGLMEGTTKPDYATCVKISIDVSLKEMIPPGALVMITTLVAGTLFGVELLAGVLASSLVSGVQVHVLYAIFLVTSIIVFARALGPKGSEAHKAAVIGDTVGDPLKDTSGPSLIWF >KVH98868 pep supercontig:CcrdV1:scaffold_1978:45682:47078:-1 gene:Ccrd_022903 transcript:KVH98868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDFPDEQMNLPPGFRFHPTDEELITHYLIHKVLDDTFSAKAIGEVDMNRIEPWELPRLAKMGEKEWYFFCVRDKKYPTGLRTNRATDAGYWKATGKDKEIIRGKSLVGMKKTLVFYKGRAPKGEKTNWVIHEYRLEGKPSIQTPPKTAKNEWVICRVFHKNSGEKKVNGSGMMLANSGGNELVPAPMPPLMDSSAPFAGGRATVIKSDSVNVPCFSNPITIQTPHNNFLNNPSYPFDPSSDGNNYCFPATQSSLPAMQDNPESQFQFQFQFGSGYTFPGQDQTILKGLIEDHHGFEMDGEMVTGSQETGLSSEMNTENSSVMSNLEIGKVGFQDQEAPSTSVAPIDDMDCIWNY >KVH98864 pep supercontig:CcrdV1:scaffold_1978:74263:74607:-1 gene:Ccrd_022906 transcript:KVH98864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MKVKADMDESSLYAAMLAAQDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALRALAWSGMKIGRIGISFFTFFFLKQVLG >KVH92107 pep supercontig:CcrdV1:scaffold_1979:38989:42615:-1 gene:Ccrd_005860 transcript:KVH92107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSVCCVECFVGLGCLRWGWNRCTHIGADDSATWPLATADEFELVPHLCRLTLAVYEENLRHPQFPPLGGYRLNPDFVVKRVTYEQTLGHAPPYLIYLDHTRLEIVMAIRGLNLKKESDYKMLLDNQLGKHMFDGGFVHHGLLKSAVWLLNEESENLRRLWVENGSCYKMVFVGHSLGGGVAALLTVLVVNHLEMVGGISREMVKCYAIAPTRCLSLNLAVKYADVIYSIILQISFSQFSGVDALDSLPCLLFVICMRDTFIPEDTKLKDPRRLYAPGRMYHIVDRKFCRCGRYPPEVRTAIPVDGRFEHIVLSCNATSDHAIIWIQREAEKALQILNEKSSESVTIPPKLQRFDRLQSIEKEHKVALERAVSLKIPHAVTTKTPDEEEKEPLTKTSEECYNQEELSKNDLLVEPRQNGDGPNSKPTQANWNDLVERLLGEQGSSHKRPLYPVA >KVH92109 pep supercontig:CcrdV1:scaffold_1979:77411:80414:1 gene:Ccrd_005862 transcript:KVH92109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MKYAPFFFFFFSFLLAGVKPDLAGDRLAILAIRSSVGGRSILWNISQPSTPCTWPGVVCDNTTNRVVELHFPGMGLSGELPLNTLGNLTQLTTLSLRYNALSGQLPTDIFSLVNLRNLYLQNNLFSGPIPDLFSPLGNLVRVSFASNNFSGNIPSSIGNLTRLATLYLENNALSGSIPDLNRPSIALFNVSNNQLTGGIPSKFVGFPESAFSGNSLCGGPLVSCNGSETGSSSSDKLSGGAIAGIVIGSLLGVLLILLILFFLCCKKRKQKDEIKTKDLSEVKQVEIEIPADSSSSGFPSLTAAVGGGGAKGKSGEVNKKLVFMGKNKIGKFDLEDLLRASAEVLGKGTFGTAYKAVLEVGFTVAVKRLKDVTMAEKEFREKIESVGRMDHENLLPLRAYYFNGEEKLLVCDYIPTGSLSALLHGNRGAGRMPLNWEMRSMIALGAARGIAYLHSQGAKVSHGNIKSSNVLLTPSYESRVSDFGLAQLVGPNATPTRVDGYRAPEVTDIRKVSQKADVYSFGVLLLELLTGKAPTHALLNDEGVDLPRWVQSVVREEWTSEVFDLELLRDQNVEDDMVQLLQLAINCSAQYPDKRPSMAEVSNQIEEICHSSGSQQDRTPPSDTVSDS >KVH92110 pep supercontig:CcrdV1:scaffold_1979:3448:7100:1 gene:Ccrd_005859 transcript:KVH92110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MLQRYTKSFHGFSARLTQEEAQKISGMEGVVSVFPSRKNQLATTSSWDFMGFPLTVDRSTTESDIIVGVFDGGIWPESASFSDIGYGPPPAKWNGTCEANFPCNNKIIGAQYYKGDGTYDPKDLQSPRDSDGHGTHTTSTAAGNLVRNANLLGLHSGTARGGVPRARIAVYKVCWTDGCSDNDLLSAFDTAIADGVDIISISLGPSRAVELFKDSLAIGTFYAMMKGILTVQSAGNAGPRPQTISSIAPWILSVAAGTKNPDLITPVRLGNNMVVDVSIIFNLLGVSINPFTLDGMYPLVYAGDVPNIEAGFNGSTSRFCINNSLDKNLVQGKIILCDWLSTGEVEMLVGAVGSIMAYGGLYFEATGSYPLPVSVVNFDQADSIFQYIRSTRNATAVIMKSEDVRNASSPFVASXSSRGPNPINTNILKPDLTAPGVRILAAWSPLSPISRAEGDHRAVPFNMISGTSMACPHVSGIAAYIKSFNPTWSPATIKSALMTTGNISTSIMSAQINTDAEFAYGAGYLNPLKAIRPGLVYDANEVDYITFLCQQNYSSQVIRIIXGVNISNCSELKKQTKDLNYPTFVIPTMPNEAIDFNFSRTVTNVGSATSTYRALITQPRVSGLRIQVEPSVLHFEEYGQKLSFKVSVQATIQKLDNPILSGGLTWDDGVHQVRSPIVVHVP >KVH92108 pep supercontig:CcrdV1:scaffold_1979:81699:91243:-1 gene:Ccrd_005863 transcript:KVH92108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MDSRRAPRTVIDPKVRQVGFFAPPDRSQSVPLLQNSNSPPSPPLSDLSPSGNSLSPVMIPPPRHLSDLSSRAVPVGFPPNRLLSPLHRFSGESVIPVGSYNPSEFASPSVGDFFEEKNAQSPGPRRSRGVGGSGKFASSLPAGGFELPPEVKPKSLTTVSVVSNLQPNVTEKVGEQSNESQDGRGANSKPLKEKTSKAERRALQEAQRAAKAAAKAEGNAGSGVANSVNTKAGKASKAPVQKKDNVSVAASEKRGGERMTDKDRKKDVPHPRMQFDDTSRVEKAKKRAVVKQTEVRNRVELFRHLPQYEHGTRLPDLEKKFFRLNSVHPAVYKVGLRYLAGDISGGNARCIAMLQAFQESIMEYSPPKEKALNRDLTAKLNSYVSFLIECRPLSISMGNAIKFVKSRIANLPLTLSESEAKTNLLSEINKFINEKIILADKVIVQHAVTKVRDGDVLLTYGSSSAVEMILLHAHELGKKFRVVIVDARPKLEGRLLLRRLLGKGISCTYVHLNGVSYIMSQVTRVFLGASSVLANGTVYSRVGTACVAMVANACGVPVIVCCEAYKFHERVQLDSICCNELGDPDAILKVQGREEINDLKDIANDENLQIPPTSVPVIVREFRKDQILI >KVH92106 pep supercontig:CcrdV1:scaffold_1979:44882:49195:-1 gene:Ccrd_005861 transcript:KVH92106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor PRP21-like protein MLGSLPILPLPAPPADGDLGPLPPSQVQNDSKDEHMQEAENDQNKSKSTPASIATHTRTIGIIHPPPDIRTIVDKTASFVAKNGPEFEKRIIVSNAGNPKFNFLNTSDPYHAYYQHRLSEFRSQNQTPSELSQPSDAPPPESTLTAAPGDGNDPTEKTDPSAKFRSIRKVLDPPEAEQYTIRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSRENNNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLTDKLKKSVIDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERLLMSMIDWHDFVVVETIDFADDEDEDLPPPMTLEAVIRRSKMAAVEEEEIVEAGKEVEMEMDEEEVQLVQEGMRAASLNENGENGENFKDVRVITEENEPPMRIVKNWKRPEDRVPAERNPTKYVVSPITGELIPVNEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKNDEQPKQVIWDGHTGSIGRTATQAMSQNAEDQIDGVNDGRNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNAGQYSTVTSGGLVPPPSMPSIHTMVTPLRPPPPPMQMNYGQQPYMMNRPPPPPMQQSMSVNPPSIPVPPPPGSQFTPLAPRPFAPLSMPQHGMPMVPPPPMPQGMPPPPPPEEAPPPLPEEPEPKKQRLDDSLLVPEDQFMAQHPGPVRITVSVPNVDEGNLKGQMLEIVVQSLSETVSSLKEKIAGEIQLPANKQKLSGKAGFLKDNLSLAYYNVGAGESLSLSLRERGGRKR >KVH91668 pep supercontig:CcrdV1:scaffold_198:105045:108826:1 gene:Ccrd_006295 transcript:KVH91668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMVLGDDIGMASNAEIFCSPQIPTCSFEAYLSVVQTWSLFQASCRFLHFSSIVLDVFVNLYFE >KVH91682 pep supercontig:CcrdV1:scaffold_198:257675:269436:-1 gene:Ccrd_006308 transcript:KVH91682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKKMRQMGGDICMELKDVRSATNNFGDKPIGKGGFGKVYKGQLFLAQGPCTVAFKCLDRKFGQGDTEFWKEIMMLSKLRHQNLVSLLCFCNDDAERIIVYEYASRGSLDRYLGDASLTWTQRLQICHGAACGLNYLHDPMKTQQRILHRDIKSSNILLDEKWNAKVSDFGLSKIGPANQPHTYLISNVIGTPGYCDPLYWEVGLLSKESDVYSFGVNFHRDKPTLVKTPTSYKSKQEFHHLQIQLKYITSATDNFSIDKLLGRGPFGPVYKGELYLQDGRSMVACKRLDRSLGRGNTEFWREVKMLSKYKHENLISLLGFCDESDERILVYEYAPRGTLGRYLSDTNLTWVERLKICIGVARALSYLHDPVEIEKPVIHRDVKSAIILLDENWTPKVTDFGLSKIGLPNEPQENIVGTIGYCDPSYWDIGFLSKESDVYSFGVVLFEVMCGRLCFEYGKGKKIRILVPMWKKYYEEKRLDDIIYHDLKEQTEHNSLYTFSAIAYRCLKKAREERPPIAEVVKELEIALEQQTCFHMIPYKILRFSNVVRGVMHDGFKVEVKTQFLLPRITYTVNLVFKHSGMDHGTHIPFKFKFDADKCYSNACISHVREDGWLMIELRQFTSYRKEHEFKIEFLPLFRISSSTIQYFIDGIEFRPVEYYGMEFQWKMELVTGRTPCCVFKGL >KVH91679 pep supercontig:CcrdV1:scaffold_198:255283:257253:1 gene:Ccrd_006307 transcript:KVH91679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFTCSSSPRLQIACIILFICSSFFFLPLSESIHFEIHDFDARNMVYSGDAVPFSGDIWFNRVDNDISVGQAKYSDAVQIWDSKSGKLVDFTTNFTFIIDTKGQSLYGDGFAFFLAPVGFEIVPNSAGSYLGVFNTTTTDSPGSQMIVVEFDSFVNSWDPPFEHVGINMNSIHSVEYIPWNASLHSGRSADASISYSATTQMLSISWRYAVESTSTENNVLSYQVDLREALPEWVTIGFSGATGSFVETHILRYWEFNSSFNTISEDTSNKSKLVLGLAVPVGVLVIGGIVACIVLWRRQRRSTEESLETVTVAPSINDDLEGGAGPKRFSYNDLTLATNNFSDDHKLGEGGFGCVYKGYLFREGMPIAVKKISQGSKQGKKEYLAEVKIISILRHRNLVQLLGWCHDQTQFLLVYEFLPNGSLDSHLFRKKTTLEWAVRYKIALGLASALLYLHEECEQCVVHRDIKTSNILLDSGFNVKLGDFGLARVSDHELGLQTTGLAGTLGYMAPEYAITGKASKESDVYSFGVVALEIACGRKAMDNVDKDPDVGLVQWVWRLLGKHELFSGVDHMLNNKFDAKQVECLMLVGLWCAHPDRSMRPSIRRAIQVLKFEGSIPNLPKVMPVPMYFVAPDALEDGSTGATMTTSSIDLAR >KVH91673 pep supercontig:CcrdV1:scaffold_198:142384:145587:1 gene:Ccrd_006297 transcript:KVH91673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L38e MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDKDKADKLKQSLPPGLSVQDL >KVH91680 pep supercontig:CcrdV1:scaffold_198:244194:250293:1 gene:Ccrd_006306 transcript:KVH91680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MGSQVPTGNDRSRTYWTPTMERYFVDLMLEHIQKGNRVGHTFNKQAWTDMLAVFNAKFGSQYDKDVLKSRYTNLWKQFNDVKNMLGQGAFSWDENRHMVVADDYVWDSYIKAHPDARPYKTKAVQNFSDLCLIYGYTTADGRYSRSSHDMDICDETHGVNLGDGLGSPTPSNTERSRTDWTSAMDQFFIGLLLDQREKGNKLDNSYTKEAWTEMLTSFNAKFGPQHTKRVLRHRYKKLFKYYTDMAVLLKQDDFSWDAKEQKVVANDDVWDAYIKAHPQARSYRTKSMPNYTDLESVFGSVIINGSQSNNLDKYLEEDIVGSKTGNERSRTYWTPPMDRYLIDLLLDQVNRGNRIGQTFVAQAWIDMAKSFNANFTSNHDKDVLKNRYKHLKRQYNDIKTLLKETGFSWDDAREMVAASDHIWDAYIEVHPDARSYRVKTVPNYHKLCIIYGEDSSEGRYSRLARNVALDVAPISSIGESNDSHLFSETKEDNDWTSEMDECFIELLLEQVHGGNKIVHGFDDQIWTVITTSFNERCRLQHDNQSLRNRYTYFMKQFNEVECLLNQNGFSWDDTCQTIDANDEAWEAYIKEHPQATSFKGRVLRHHKDLYTILGGDTMYEGFCSENITTNSDYRSGIENVEIGKNCQILPKETETVNKKQKRVTEMPLALGRFVKVQKNEKDDITEAFTDMANVVSKLLNGKTEKNYVSIERAVDALQAIPDIDDDLLLDGCDILEDEKKAKTFLALDASLRKKWLLRKLGR >KVH91675 pep supercontig:CcrdV1:scaffold_198:205629:211250:-1 gene:Ccrd_006303 transcript:KVH91675 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MKARACIRLITYPDELVNGEAIYVSSNSLPIKASHFEPAGHSFHDVALKLRGYFEEENVADDNEKLPKDKEHEYMQSSDSYSSKGKKKSGDGANQQDHYALLGLGHLRYLATEEQIRKSYRETALKHHPDKQAALLLGEETEAAKQAKKDEIENHFKAIQEAYEVLIDPVRRRIYDSTDEFDDEIPTDCSPQDFFKVFGPAFMRNGRWSVIQPIPSLGDDNTSLKDVDAFYDFWYGFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEEHARIRSLVDNAYKRDPRVLRRKETLKAEKQKKKEAKFMAKKQQEEEAARVAEENRRKKEEEDKQAAEAASQQKKVKEKEKKLLRKERTRLRTLSTAVVSERLLNLSKDDVESICMALDTLQLRNLCDNMEGKEGHNQAELLKEALSLDHSEKDHVVKISQQNGTVKPNGNVPKPKSTPEKKEKPWGKEEIELLRKGIVKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPAVSISSPLTTREEVEGVPLTSMPTEPNGVSSSPAQTEGVSSKPSQDAEKVEPAASSSSDQDVWSAVQETALVQALKTFPKETNQRWERVAAAVPGKTVNQCKKQFTLLKEKFRNKKK >KVH91677 pep supercontig:CcrdV1:scaffold_198:226708:232866:-1 gene:Ccrd_006305 transcript:KVH91677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSSEEFHHLKVHLKDIASATDNFDRQKLIGHGGFRSVYKGELSLPKGKCMIAFKRLDPKYGQGNDEFWKEVIMLSKYRHENLVSLLCFCDEGHERILGYEFASRGSLDHYLSDVSLTWTQLMCGRLCYKYRDGRINEILVGVWKNHYDENRLDDIVSSDLKKQTDSNSLMTFSAIASRCLNRNRKERPTMLEIVKELEVALAKQKLSQTSRSLVELGRLAKPPLVYESREELLSLLSNGFRHNDTTWLSINKDKRVYETISIIKCITDDEIEDLEVIEDGNSRFDYVIEDAPDFKLKISTQFLIPSVTYNINLVYKFEQSDHGTCVPYKYKLDEEADYSGPFIAQVRKDGWLVTQLYQFTCTQREHHFTIECLTLASVECQILEGIEFCHVEYETEEKKKVNIQSMSNVSNTDWEQKFPIDYIDLIKLSKTTIQWTTKEELYSVLRQGFLIDNGEKKRLMISPRAVLDVDEWILKSSLFLESRSRFDVVAESRGRKSFTVKCKIRSRVLSPQTTYSCHLIYKLPENYSLLQCVVEVNRWNLRDLLRSPWYIYLAPPHTPIIGSNVDGIPSCTRKIEGHPKMRKDGWMECRIWKVSPSIMGTIRMNLLFSKAEEENENETIVDLIVQGIEFRPM >KVH91681 pep supercontig:CcrdV1:scaffold_198:271667:291276:1 gene:Ccrd_006309 transcript:KVH91681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily LQIRVHIILFVFLFFLRLSESVYFDILEFEPDTTDMVYSGDAVVASGDVELNRVDGGIGVGHVKYAHAVQIWDGKSRKLTDFNIRFTFIVDTRGQPFYGYGFAFFLAPVGFEIPFNSSGPYLGVFNATTTDSPRSQMVVVEFDTFRNNDSDHSFGHVGINMNSSRSATLTPWNASLYSGRSADARIAYNATTQMLSVSWRYPSETNTENNNISYQVDLREALPEWVTIGFSGATGSFLERHVLRHWKFSSTLNMVGESEDSSKRKLAVGLTVPLAVLGVGGLVACTVYWSIRRKFRQESLDTVALTSINDDLEGGPGPKRFSYHDLTLATNNFSYDQKLGEGGFGCVYKGYLSREGMVVAVKKISQGSKQGKKEYLAEVKIISILRHRNLVQLIGWCHDQTQFLLVYEFLPNGSLDSHLFRKKNTLEWTVRYKIALGLASALLYLHEECEQCVVHRDIKTSNILLDSGFNVKLGDFGLARLMDHELDLHTTGLAGTIGYMAPEYAITGKASKESDVYSFGVVALEIACGRKAMDNVVTNPDVGLVQWVWSLLGKQELLSGVDQMLKNKFDVKQAECLMSVGLWCAHPDRSLRPSIRQAIQVLKFEGVVPNLPKVMPVPMYFAAPDSHESVLPSPSFFPFGFLLLLPSIGINSLFFLVFSESVYFEILKSSADPTNMVYSGDAAPSSGDIEFNRVHDGIGLFNTTTSDSPESQMIVVEFDTFVNHWDPPFAHVGINRNSIRSVEYTFWDATLNSGMSADAWISYNGTTQMLSLSWRYSAENTSRGMTTHLSYQVDLREALPEKVTIGFSGASSSFGERHILRYWEFNSTLNIVGESEDISYKTKLALGLAVPLSILVVGGLVACTAYWRRQRKSTQKSLDTAALTSISDSDLERGAGPKRFSYSDLTLATNNFSHDRKLGEGGFGCVYKGYLSREGMVVAVKKISQSSKQGKKEYLAEVKIISILRHRNLVQLIGWCHDQTQFLLVYEFLPNGSLDSHLFRKQTTLEWAVRYKISLGLASALLYLHEECEQCVVHRDIKTSNILLDSGFNVKLGDFGLARLMDHELGLQTTGLAGTIGYIAPEYVITGKASKESDVYSFGVVALEIASGRKAIDNIDRNPDVGLVQWVWGLLGKHELLSGVDQMLSNKFDEKQVECLMLVGLWCAHPDRRLRPSIRQAIHMLKFEGPVPNLPKVMPVPMYFSAPDAHEHPNLFFPVLFRVFGDWKFLKDRIIMGYWLFGLDTSTTKNYWIGGAIVLVIVLTIILKKWAVYVDSQAQARSLAKAQGDHDQKNIGLSSLSTSKSIRTYALDEIKVVTRDFRIRIGVGATSYVYLAELGDGRFGAVKRVMEDRGGSQKMFLDEVSILLRISHPNLVGLLGFCLDKGEQLLLLEYIPNKSLFDRLHTRKGKSSGSLSWSSRLSIALDIAYALDYLHSVADPPVIHRDVKSSNILLINDDHAKLADFGLCKLGHDTQSAQTPTIIRGSLGYVDTNYLNTGLVSPKSDVYSFGVMLLELITGMKSLQGSVPLAEWTNECRKHQDVDVLMGMLDPKLNGQVNVEQLRVLVSVANMALLENSLARPNMAEIAYRISSCVEYLPETDLPV >KVH91666 pep supercontig:CcrdV1:scaffold_198:11323:18740:1 gene:Ccrd_006292 transcript:KVH91666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MGENGVFAAIVAGDTFKYYSEGEWKVSASGKSVPIINPTTRKTQFKVQACTQEEVNKVMESAKIAQKSWAKTPLWKRAELLHKAAAILKDHKKPIAECLVKEVAKPAKDAATEVVRSGDLVSYCAEEGIPLGVVLAIPPFNYPINLAVSKIAPALIAGNSIVLKPPTQGAVSALHMVHCFHLAGFPKGVISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIILEDADLDLAVANISHELTIYPPLKTPSNDLPVEKQQSIGYGEKSSVPSIGTVEKRRMPIGTRRRCGQRCTAVKVVLVMESIADTLVKKVNEKIGKLSVGPPENDCDITPVVTESSANFIESLVMDAKKKGATFCQEYKREGNLIWPLLLDNVKPEMRIAWEEPFGPVLPVIRIGNAEEGIHHCNASNFGLQGCIFTKDINKAMLISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGVTSSINMMTKMKSTVINLPTPSYAMG >KVH91665 pep supercontig:CcrdV1:scaffold_198:23859:35263:1 gene:Ccrd_006293 transcript:KVH91665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MASTDVELEQQLKEAGKQLSHPPDSVDELLPVLDQVEKLLSKVDQSPKKSMLDALKPSMKALIQDGLSRHSDVDVKVAVASCISEITRITAPDAPYTDDQMRVHHTQQLLLHVLYFLPSYSKTLLHAQDVFQLIVSSFEHLADRSSRSYEKRASILETVSKVRSCVIMLDLECDGLIVEMFEHFLKSVRDYHLDSVYSSMENIMVLVFEESEEISVEMLKPVLASVKKQSEGVLPVARRLGEGVLLKSAAKLRPYLMPALISLGDTVDNYSQVVASVCEGTTATFEHNDENASGPQLADESKLTTASSDHTDQVVKEGTSPEEVDPTLDRSPKSVMSNGVNVMGNDEASVDQESSKKPEEEINEQHLNLTSKEDTDDSDAEKLAKVESKPEQTAKKRGKKVDTVINSAEPSDSSHVEGEKETEKLPESQRSGSKDVRSSPVKESSVEAVVPSEIEKDSDVQVSSPKAIESKSANVSSPSQSGSLPDESCVKKTVRSKKKQVPEQDEIETANAASPSKSGSPPDERRLKKGGRSKNKGSTQEETPTVDAASIKAPEVTSDSDIKPQRRAGKKAVVAKEVKLPATIDESEDGEATSDSDAKPLRKSSKKLDTVNSGSKSTKQLGKKGDAGDSEAKKPKQSGKKMDAGESDSKKPKQTGKKVDATEAEGKTSKQSLKKADESDSDTKPLKHSVKKGEKSGVEAKHNKVTVEDEEESDSETKPLKLPAKKGNTSNSGDVKSSSKKKDDGRKRGSGKNVTEKDPAKSLCIDDEMNSSPKTAAKSTKGEGNVKDTPVNSSKRKRSTGKDKISDAIKYDKSLVGLKVKVWWPEDKMYYEGLIESYDSGKKKHKVSYVDGDQEILNLKTQKWEILQEFSVRDEEQATEAQSAEASPETHKKKKSKTDPAPSASQEKNKDSAKRVGEASSSGKTKGTASKDHKSKDMSAAKSTSQKTSGKSTDAAASKESGKSKEDEETPKASSKTKQETSKTVNKSKGKTPQSGGKSNTNGPSKAKSGQSNVKESEKANDSVKTPESTKGKLAESTKGGGSKSGKKRKKRS >KVH91674 pep supercontig:CcrdV1:scaffold_198:183595:189586:-1 gene:Ccrd_006301 transcript:KVH91674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol glucosyltransferase, N-terminal MTTTAHGTHNTITTTSRLDGYSSIVSNFVHYDRKAGDFWSGGGRRKSSSKVVASLSSGSRGGSSSINKFFNEFNKFVRFHCDRIPLGFGSIKLSSENICGLGEGNGGVLEDETLPLSGFESNGKKKVLILMSDTGGGHRASAEAIKWAFNEKFGDEYEVFVTDLWTDHTPWPFNQLPRSYNFLVKHGSLWRMTYYASAPRVRGCERSYEVPARYYHQCTSSNATCTSSHLEVKGCYCPTEEVAKRALKAGLQSSQIKVYGLPVRPSFVKPIRPKDELRRELGMDEDLPAVLLMGGGEGMGPIEATAKALGNALFDENLGEPIGQVLVICGRNKKLANKLLSTEWKIPIQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQSPKEIAHIVGQWFGPRAHELQAMSQNALRLARPDSVFKIVQDLHELVKQRSLIMQYSTAT >KVH91671 pep supercontig:CcrdV1:scaffold_198:323259:326231:1 gene:Ccrd_006310 transcript:KVH91671 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFISITIFFSLCILFASFCCFFIFFFTSSAADGRRKSLPLPPGTLGWPYIGETFELYSQNPNVFFTSKVKKFGSIFKTHILGCRCVMISSPAAAKLVLVTKSHLFKPTFPASKERMIGKQAIFFHQNDYHFKLRRLVLRAFTPESIRHMVSDIESVIFTFNVALLSILGKDEMRYREDLKRCYHILEKGYNSMPINLPGTLFHKSMKARKELAQILAKILSSRRETKKEQDKDLLGSFMEEKERLTDEQIADNIIGVIFAARDTTASVLTWIIKYLAENPTVLQAVTEEQEAIKMGNEDKGLSWGDTKKMPITSTVIQETLRVASILSFTFREAVEDVEFEGYLIPKGWKVLPLFRNIHHSADNFSDPEKFDPSRFQVAPKPNTFMPFGSGVHSCPGNELAKLEILVLIHHLTTKYRWSVVGPQNGIQYAPFALPQNGLPIKLSLK >KVH91670 pep supercontig:CcrdV1:scaffold_198:156608:157960:1 gene:Ccrd_006298 transcript:KVH91670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MEEQAHILLGGKYEKGRLIGTGTFAKVYYAREISTGDSVAIKVINKDQVKDQGMVEQIQREISATRLVRHPNIVQLREVLATKSKIYYVMEYVSGGELFAKVANGGRLKEDVARKYFQQLISAVDFCHSRGVSHRDIKPENLLLNGNDDLKITDFGFSALPEQKRYDGLLHTQCGTPAYVAPEVLRKKGYDGAKADIWSCGVVLYVLLAGFLPFQDENLMNLYRKIFKAEYEFPPWFSPETRKLISKLLMADPERRISIQGIMRVPWYRRGLCRQNSFRLKKEGISPPDPAPGSLKKSTSSPAFFNAFELISSMSSGFDLSTLFESKEKKKVASIFTSKFSAAAIVERIEAAARGLRFRVEREEDFKVRMEGTEEGRKGALAVTAEVFEMAAEMTVVEFSKDSGDTLEYEKFCEEDVRPALKDIVWTWQGDDGSSNGDGEVNVNVNVDSD >KVH91672 pep supercontig:CcrdV1:scaffold_198:357752:384499:-1 gene:Ccrd_006311 transcript:KVH91672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex AP-4, epsilon subunit MGSQGGFGQSKEFLDLVKSIGEARSKSEEDRIVLHEIEMLKRRINEPDIPKRKMKEYIIRLVYIEMLGHDASFGYIHAVKMTHDDSLLLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCKLINEETIPAVIQQVVELLGHPKEGVRKKAVMALHSFYQRSPSSVNHLVSSFQKKLCDNDPGVMGATLFPLYDLITIDVNLYKDLVVSFVNILKQVAERRLPKSYDYHQTPAPFIQMEYYWIHDYVATSTFKIKLLKILALLGSGDKRSSEHMYTVIGDIMRKSDTTSNIGNAILYECICCISSIQSSPKLLETAADAIAKFLKSDSHNLKYMGIDALGRLIRLSPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYMISINDSHYKTETASRCVELAEQFAPSNHWFIQTMNKVFQHAGDLVNPKVAHNLMRLIAEGFGEDDDTADSQLRSSAVESYLQIVDQPKLPSAFLQVICWVLGEYGTADGKYSASYISGKLCDAAEAHSDDDIVKVKKKEKRTKISKVLKTRLVNPQAMLVLGAQQTTASYARAYAVTALMKVYSFEKAAGRKFNLLPECQSLLEELSASHSSDLQQRAYEFQAILDLDANAIENIMPLDASCEDIERESRAYRPAIVVIPGDKVKAFDPLPHTLRCSSPPIKQRRCSNRSNSSRNKAIDKSLSFLDSYVHKSLEEGAEPYIPEHERSGTLSISNMGNQNQYDTSSHSLRFEAYEVPKPVIPIGIPAPISYPTELVPLPEPSYQRDVQPIASLGPVSDAGSTGLKLRLDGVQKKWGKPTYSSAASSSTSNSDSHRTTVSGATQPDTVVSKSRESSSYDSSSRRQPHVEVSEEKQKLAASLFGGTSSRSEKKQSGGTAAGGGGHSKVSRVSNHTEEKVRPTTTVVQPPPPDLLDLAEPTGTMSSSSSVIDPFKQLEGLLDMSEDGITATPSNGPDLMSLYSENGQNLNQNTILNEKDDPSSENTTKRTASLTKGPSLKDALNKDALVRQMGVNPTSQNPNLFSDLLG >KVH91669 pep supercontig:CcrdV1:scaffold_198:166086:173609:-1 gene:Ccrd_006299 transcript:KVH91669 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein VPYWARDCSQEIKSLFHQAQVLLLNPQFPTTIMADDAERRLLEDQLELQLQEQRDSIAELDEALASDPNNPEILELEVVINSMGNMITRLDAFTKCCKLIIGMHMVYISIEDLLSFILGILITPQVKGRYPEFTEIEVFVSIGGLKFSMVDVEVHSSESTIDSLNQLKTASCFFCVPWTMKLLSCLISDTALLCHMNTGSCLWLYAAAIIVTQYFLELTVHSELLQAIKDAEEGLFVLKRARLLQEVDFSLQMAKDGAKGVNQDSLASKDVEVEPLEEAEDVNQDLLDSKDAEVEPLKEYSVGSKCRFRHSDGRWYDGLIVAMEGSTGAKVSFLTPTSENQLVRLVLSLLVCKFFLQQRCRFGMKCRSSHGIDLPLSSLKKYNPTIWNQSLVGSTVWATSDSKTGIWREAELESWDDKLKLGRVVFRDDGSSLNVGNESISLSEYAQMSDEDDSDDSYSESDHYDPTDYEIEDSSEGIGFLGSSNLQRGIQTETAIFAKWENHTRGIASKMMANMGFREGMGLGLKGQGRIDPISVKVLPPKQSLDHALESVENRDTQEKKRSRGGKRKRDKKFAAAVRAAKQQEEPSSDIFSLINTQLAMHDEALKSGSGKKQQKKEFQAGKNGERRALVAFDDEVKELRARVQKLEEMASRNKKEKVVYDAALRKLHETQKTLAEVEAAHASASNAVANKEKEKRWLKF >KVH91667 pep supercontig:CcrdV1:scaffold_198:116162:131804:-1 gene:Ccrd_006296 transcript:KVH91667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G25150) UniProtKB/Swiss-Prot;Acc:Q6S7B0] MEEEVLDKAVFMYLKKKGFTQTEQIFQQEQQSKNKNSSSSINATDVSLSDPDLAKQILTFSQSESIPALYHDGYSKLRSWTYSSLDLYKHELLRVLYPVFIHCFMDLIAKGHLQEARTFFNSFREDHEMMHSRDLTKLEGVLSPSHLEEMEFAHSLRQSKVNIKICQYSYELLLQYLHKTQSITMLGIINEHINFQVSPGQPSSISDDAEAFSLVGSGQDAANLINQKEIHWGVSQSCSLLLEDSLEERLDKAGGLLSDSEKTEGDAKEGDLDENKKKSTEGGKQGGPLKKLKKDKVAGAAGKGARGEGEKASAAPRVKPELTLPTIPTDVEHSILEDLRNRVQLSNSTLPSVSFYTFINTHNGLNCSSISHDGSLVAGGFSDSSLKGHSGPVYSASFSPLGDFLLSSSSDSTIRLWSTKFNANIVCYKGHNYPVWDVQFSPFGHYFASASHDRTVRIWSMDRIQPLRILAGHLSDVDCVQWHMNCNYIATGSSDKTVRLWDVQSGECIRIFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDVSNGRCVTPLVGHTSCVWSLAFSCEGSLLASGSADSTVKLWDVNTSAKMPKSEETSGNTNRLRSLKTLPTKSTPVYAL >KVH91678 pep supercontig:CcrdV1:scaffold_198:221674:223579:1 gene:Ccrd_006304 transcript:KVH91678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPNAYLKTLHPCETCANISCVAHVSRGCNFYRYALMLFVDENIFIILCGWKPMISLSHHYVSKK >KVH91676 pep supercontig:CcrdV1:scaffold_198:203000:203302:-1 gene:Ccrd_006302 transcript:KVH91676 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MELTLDQLKQYDGTNPSNPIYIGVKGRIFDVTTAKSFYGPGGSYAMFAGKDASRALAKMSKNDEDVIGSLDGLTEKEIGILNDWEKKFEAKYPIVGSLAA >KVH91683 pep supercontig:CcrdV1:scaffold_198:53751:54290:-1 gene:Ccrd_006294 transcript:KVH91683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 10 MPMKKACHWKILPVTCRYEIILWQGTTPIVVGAPIIQYFAPSPGSILHVKELTDVELVVKTMKQLAENLMAYNESLRQVLFSVFSFYIFDNV >KVI10984 pep supercontig:CcrdV1:scaffold_1981:69864:75251:-1 gene:Ccrd_010610 transcript:KVI10984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MPKSKRDRAVTLSKTKKKGREHKENIVNSIRDAVEQYNSMYVFSFENMRNLKFKQFREQLKSTSRFFLGSNKVMQVALGRSASDEIRTGLHKVAKLLRGDSGLCVTNMSKEEIQRLPLQSLFFTNMNCIWLFECSIFRLLVTYIITYGFIFGRIFDEYEDYDFARTGSIATETMELKEGPLDQFTHEMEPFLRKQGMPVRLNRGVVELVGDFVVCEEGKPISPESSRILRLMGMKMATFKLHLICRWSPEDFEVYQEGLEGSDIESS >KVI10988 pep supercontig:CcrdV1:scaffold_1981:2273:16606:-1 gene:Ccrd_010607 transcript:KVI10988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEDDSLTKKTIYSSKGVFLLPVVFATSVKLSLEGEPELELLFLTPTMPEEVPLVLHLEPQALHSTGFSGGPFLHNGVSVQHSNPSVSWRIHFTLRHCRMKGAIAAAFACDQVHYHVVFITHSAHEAN >KVI10987 pep supercontig:CcrdV1:scaffold_1981:57382:63183:1 gene:Ccrd_010608 transcript:KVI10987 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein QSSLSLFSSLRFSDLQRTPKIKSFNCDSHSLHIKIYCNFKKIMGKDEDDMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDRDEPAGKDYSVQKLILGTHTSENEPNYLMLAQVQLPLEDAEYDARHYDDDRSDFGGFGCANGKVQIIQQINHDGEVNRARYMPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGACSPDMRLRGHNTEGYGLSWSKFKQGHLLSGSDDAQICLWDINGTPKNKTLDAMQIFKVHDGVVEDVAWHLRHEYLFGSCGDDQYLHIWDLRSPSVTKPIQSVMAHQSEVNCLAFNPFNEWVLATGSTDKTVKLFDLRKINTALHTFDCHKEEVFQVGWNPQNETILASCCLGRRLMVWDLSRIDQEQTAEDAEDGPPELLFIHGGHTSKVSDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDMPAEESRGA >KVI10985 pep supercontig:CcrdV1:scaffold_1981:84997:85983:-1 gene:Ccrd_010611 transcript:KVI10985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1644 MAKGTRGRRRMASRHCRATPYPLVTCKQNLSDLNEKKSKKDWEDINCSVCMEHPHNAVLLLCSSHDKGCRPYMCGTSSRYSNCLDQYKKAYTKITAPYHAPSLAVMDNSPVLEPLLVEKDGEVAELACPLCRGQVKGWTVVESAREYLNCKKRTCMHDNCSFVGAYKELKKHVKSEHPSAKPREVDPDQEQKWRRLEREREREDVISTVTSSMPGSVVFGDYVIERNSYGSDSEDEEGFDVGALGRNNNGGTDNSLVNVFLLFHAFGAGGNVGSFGSERRHDDGDSNDDDGGGGGGMSLVNRLRRQGRVLLGRSGRRRRNREANGSER >KVI10986 pep supercontig:CcrdV1:scaffold_1981:64628:68307:1 gene:Ccrd_010609 transcript:KVI10986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exon junction complex, Pym MATNAAVHRRGGEEQVKVQAAEISKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQDEVAVYKSKGSQLKKEIESLEILPPGYDPQEDVVNKSKTKSAKRNERKKEKRLQAAFDNGINEVSSTGDVEEAALGPVESIVSQIDEITISGNRSVVAPPSNSTECSPDIDKRIRALKKKIRLTEALQQKTGQDLNPEQLEKVAKLEDWQK >KVI11218 pep supercontig:CcrdV1:scaffold_1982:76963:78159:1 gene:Ccrd_010374 transcript:KVI11218 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MECRKLELTINSANDLREVRRIFKMKVYAKVFIGGNQIMEKRTPVDKHGQTNPAWNYTMKYSISESWIHHHGTMLVIKLYCKRKLGDRYVGEVHQSLKQLYDYAYPMGGSAMVCFPVQMGSAESQGQLCFTYRFGEKVAIEKQMLAESIASFLLTSPSGST >KVI11115 pep supercontig:CcrdV1:scaffold_1984:92781:98451:1 gene:Ccrd_010479 transcript:KVI11115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNSFSKFKNTADNPVVLDEDEPDDFEMNQATFQPAESVPPFTPSDDSTNFEVDKIEEAGDQVNPVAVELNSIDVQVKPVADHVNPFDVQGNXVEEQLNPVAGPFHTVEEADDSTHCAVAGSVAGGSTIRNVSVDAPSFLVTLRGILDQVAGPFHKVEETDDSTHSKVNPVAVQMNPDDVQLNQVADQVNPVPVQVNPVDEVNTVDVQDVQNVAGPVLTVDEADESTICTGQNRRKSIAVDKTKDGGKDETNKCKERLEDSDSSEENLCGLENLRTRTSPRTLYQTIVGLTEAQKIAVKQMGLGALLEMTINGVPTKLGFFVVDNLDVKKMELKVVNGGIPITIESIHQLLGLRMGGVDILEIDEVEDSKNMTAN >KVI11114 pep supercontig:CcrdV1:scaffold_1984:78056:79524:1 gene:Ccrd_010478 transcript:KVI11114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 35 [Source:Projected from Arabidopsis thaliana (AT1G56210) UniProtKB/Swiss-Prot;Acc:Q9C7J6] MASTEVEEPSQPLESKKRWVLRVSIHCEGCKRKVKKLLQSLPGVHEIDIETKLQRVVVTGDVSPESLIRKLVKAGKHAELWPENPPEIPNQKTQKPPTSSHGEESVVSRKDIKSPAIRTEIPVADPSKASSTTVSGGCVTAGDGGKGGGKVDEGVGKSEVTGGDGKVAIEVTVEEKKPETGSAGNQASQPVVEKKEEQTDQTDGGGAGGGEGRKKKKKKKKAENSYENGIGETSSPPVFGGSGNDGGRPPVTASNQSPPRHQYPPPYYYTPPPPPPPVYTVSYNAAHPPINSYTASYYATPPPQSYAYSHYRSEMEPQAHHQPSDFDSYSDQPPLDSFEMFSDENPNGCLVM >KVI01586 pep supercontig:CcrdV1:scaffold_1985:13791:29002:1 gene:Ccrd_020139 transcript:KVI01586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease domain-containing protein MLGTMAGGAADCQFCHRNIGIKSGLEEEGLFLVWMLLHVSLVRMLSHLHRLKTNLAKAWFHSCQPMIISRSSELRMAEAKGRTQTPATSSDMQSLRSAVKILRRKKDLEQTKQIEELQKQHEEMRDEKQRLLEEIERILSEPDKMIVGMAGIGGSLAVVALCGFCTFLTLVSLSEIATKGAMKVETHYFLLSLLPIGGGPYYLIGHALGPEVGVTIGLCFFQGNVIAGSMLPMLSTLISLLSESSRHFALESVATKGLLLI >KVI01595 pep supercontig:CcrdV1:scaffold_1987:58478:61225:-1 gene:Ccrd_020130 transcript:KVI01595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLILINLIFSTITMLSILLSHLIFNTTAYLLVIAIQGLXVPGEALQSAMEQIADLIRTCIGYVLEVVMEVISGIVGLVFDLVKEGVFGSVSATGAAAVGVVEKMKSGFDGLTEEIPAVVEGVVEMVTTVVSNLWRVVHAN >KVH93654 pep supercontig:CcrdV1:scaffold_1988:64989:66069:-1 gene:Ccrd_004294 transcript:KVH93654 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase, chain M/4 MYSGQIGSFSSPDLLLFFIMWELELIPKRLYSATKFILYTAGGSIFLLMGVLGVGLYGSNKPTLNFETSVNQSYPVALEIILYIAFFIAFAVKSPILPLHTWLPDTHGEAHYSTCMLLVGILLKMGAYGLIRINMELLPHVHSIFSPWLMIVGTIQIIYAASTSPGQRNFKKKIAYSSVSHMGFILIGISSITBTGLNGAILQIISHGFIDVVLFFLAGTSYDRIRLVYLDEMGXVAIPMPKIFTMFSSFSMAFLALPGISGFVAEVIVFLGIITSQKYLLMPKIAITFVMAIGMILTPIYSLSMSRQMFYGYKLFNTPNSSVFXSGPRELFVSISILLLKEYLRLKR >KVI01574 pep supercontig:CcrdV1:scaffold_1989:173:1452:-1 gene:Ccrd_020150 transcript:KVI01574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPQEHSSPYRLPSPRIGSQDDDSLPKMVFGEPRHCRLQIDSSKPSIHSPVSNRSALGRILFLHLIWHYHHTRVVCTSSSTLFCYSNGHDSYCKAGEAYNQVRKPSFLEGLLF >KVI01575 pep supercontig:CcrdV1:scaffold_1989:1135:61975:1 gene:Ccrd_020151 transcript:KVI01575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDLKPVNGWKVCSNRFEVDSAVVHQRPANDGRCGENAKLKIQTKRVVKREKQKVVVESEIQISSVSVVEHSTTPSISSCKSIFKGSACFIGLIPKSHVGGAMPAYDRFTFEFDIVLSTTLSIASMIPGLNQIPGDYRFIFTNHSNVLRHLLLGLEELVQNLVLHLGSIQYVDARAGRVVDMKKLSYLHETGNFSLHLGSLQYVDARVGGLDDMKNLSYLHEAGVLQNLSTKFQLNEIHSKKSLMLVASMSYQTLARKQRWQQLPPNNNNLDEVPALTAKEKVSGFIAITNSQIEGFRPQIYLSTFRDSEDT >KVI09705 pep supercontig:CcrdV1:scaffold_199:50214:52534:1 gene:Ccrd_011897 transcript:KVI09705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >KVI09717 pep supercontig:CcrdV1:scaffold_199:158430:174963:-1 gene:Ccrd_011905 transcript:KVI09717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar pre-ribosomal-associated protein 1, N-terminal MENGEAMNPESIQSTNFIIKVSHEAKLRELLRNITSVDLQLCSEASKEFMKLLRGDEGGEMLREYVQTSSSCSELLQAWNLRQSKTGLSYIMSLINVILSQKDGLYKPNDVARLSVSRALDKFARLILEEKLGDVYKELNSKEGKGKNAALLLMASVVKRGSGLASDVAKSFNFKLPSFLKLAEYDRRRKNEEKLKRKSSTRRSYVRFAMSFLEIGEPRLLRWVLQQKEMFSGLLRGLGSDEEETVVYVLSTLMDKVLVPESLVPVGLRSVLFGSVTLEQLVNISGRGGGGVATEVATKVLFMVCTDPSNGLMPDTKASPFPLKGNPTRLLGVMKKLKATEIEFHRDLLLGIVRGRPFAAVSLAANLISSVNAGLFFDFLDSQPQEPPSFNSSEVQSIIKCIGPRPFNRIVMNKGLLHSESQIQHGTLRLVLESLKLLDSLFSALSRRSKSSKQIGCKWASLMQDIQNEVQLLLPDLQVLLSLISSLSSRYKSEEQTLKRVAETTVLHEHSSSNKKFKTCTSNEETDIVIGGIGSSLDTATPGDDGRIQEEHNVEESDNLKGDAMLIKELWESHEINDPNIVAEDEESYFYSKLLDALKIYHRTMPSVVEGSFDFFKVLPNNALSLPTVLQQSLLSLLVEHIGGSSKQDIPIRYPPLMYKHLMHFINFVVNSPVKDIKDQAYVLARAAMLSSGAFDNNAREIDGWLIIKIVEKYTKQSFTGQDYAKIGIIVERRLTILEIHDNVYPRFSPLFVCVLEKCLRLLGSKSETFNLPEKSMISMYVSNTLRYLLQTQVEAGLLSSLICHSLSERLEAVDVDFCEWRPLKSLLHFSRSISNQESCMLSSVDGKRGVLDDSFVNLLAEVTSLAESEHNARITTAFSSSMLCAAPGLILQHFPAVISISQNLRGVPFSILLSICFSERGFLNDIFKSWPELSFAGLEKVVTAVLDQKKGDSCSIDSSNSSEAFSYFLKQAPFYVLFPAIIGIECSYLSDSSKFQDMLLAKLSREMSDNFVSSFRLVFFWFHRVQSSYRNGPAKELVQISEICFTLIKRMLLHLSAGNNGSDCSKILSAPGFNHYAQEIAETVFCHPAVIGALESPLSVNKELKGNIVEHLADNFLCLAREGIHRMDHHVLEVLAICCNQMSSFSNDVKFPGDFGYANKQIARVFKCLLQKLVQLLKDRFDQVYFHVVQIASSSELVVADLCLLKAVKIANTCSGPTLLSIRQCMVVSRIVASTPMNLLSKCFQSISMIKAQLLFHLTESSLLHLSFFALPFMEMVNKSLVKKKRKSGVCDEDMVMLLPVALSFLDFISMRYGEKCYKHLTSVHSLYWEILLDGFSNWKTFVSRDLFQVKLNKSVPSTVEELCNLLNNSLLGRAVAVMRHHLVSSGATVKRSKRLKLFDSVLPSGSDKLLDCDAVEIDRYSIDQSLNLILKSVAKVLLCKMVLFPKENIQVLAKGEEADGEIELTSLEAMSSKEYLRSVLFINTLVSTWRLIVKIFPSKSNDRSEVEGTKCALFRLLEVFIFRNFIEVTAEMHKNSDRMSNSLVNLEQIARSCLLHRFEDPATLSMLRVIFTGQADDKSLHIQILQLLLAHSQFAPTIQSATKSSSSLQFGIIFRPMSSILRSLTFNSTDHNVLKVDRSQSSELYMKQLEIVKLLRVLCHIRAQLGTLGFEQDIGINSKELLFLLLSSYGATLSEKDLEIYKLMHELESNDESGSSYIADMDYLWGTAATRVRKVRETEQAFFSDDMDDTEAQQKRRKSQFRENLPIDPRICAATVLHFPYDRKMRPGDLFPNKLPVNNAEPLDEARTANIETIHIYDPVFILRMSLHGLSMDYIEPVEYASLGLLAVAFVSLSSPDDEIRKLGYKVLAVFRNALEISQKRKDVNRLLLLLTYVQNGIGEAWQRIPSIHAVFAAEASVLLLDPSNNHYKIISKLLMHSPMNTKMISFFDEFFWSNSVDFKSGRIWMLRLLYSGQNLDDDAQIYVRSSVLEKLLSFYSSSLSDNESRELILQIVKKVVKFDKTSRYLIEHCGVISWLSSLISNFCGSTYQEKRGGLLAQLAVILEVVNDVVTSRSTIQWLEAHSVEQLTQLSCHLYALFADSFELVKEIHLVDSVLEVLASTLKISQKRDAFQPHLTFSVEGLYQLSQAIDVCCNGEHSPTAELGLEVLLMSTPQAALFSMDLEKLQKFILWAVSIALQANSSTHLPSEGSDYNIQSSLVKEQTNDSLLSKLLRWLTASVILGRLSWQSRDVESKYNLDNSLPETLQSFLEAEWPVHVSSQAGSGSEELLAAIIYYLQQILGRKCEVLPSVVSSLCLLLFPDSSHTGSDALPDGGSTLTSLLSSIPCPAEANPSWRWSFEQPWKNPSTKSSSVDELQACQSILLVVSNVLGKKSSLSHFISILDVENLDVFKWERNLVVETE >KVI09720 pep supercontig:CcrdV1:scaffold_199:20181:23950:1 gene:Ccrd_011893 transcript:KVI09720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MYKNQLQELAQRSCFNLPSYISIREGPDHAPRFKATVNFNGETYESPNYCTTLRQAEHSAAEVALNALASRGPSNSLAARILDETGVYKNLLQEVSQRVGASLPTYTTFRSGLLHLPVFTCTVELAGCTFTGEQAKNKKQAEKNAAMSAWSSLKLLTQQTENLSLQKGILEEQEHVIVARALQKFRLKARMSNIPFPIQFPAPNPKPHNAQSTPSTTSKILPLICPKTTPRSRPHLTTLLDNDEAHNKTPQNNRNEIQKSAPQKPPFKINETPSQSAETPRPHKFPAVGAAPYIPVRHFRAHHHGIAPPVTIRNAIPVFSAPPLPIPPHMGIAQPNQLPPQFMCGVAPPVTIRHAVPVYSAPNIPKSPIKPVPTNSIPPTEEKGHAVPEDSKPVPVPVAGLSTPTEEGKFEEAKVEEKLKELQI >KVI09707 pep supercontig:CcrdV1:scaffold_199:70041:76902:-1 gene:Ccrd_011899 transcript:KVI09707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I MEVFEARSRSTLILSLLVFFSLSFRSSSSLSSSSSELQILSAERRIDLASHIIRVVLSLKVQNDGEFPASNVLLAFPPPQAKHLSLIQAAELTGKKKKKSLQPLDVKPSDHADGPNGAKFFSISLRNPLSTGGSVSIEVSYILTQSLEPFPVEISQSEPQLVLYRDGALILSPYTIKQQTTVIRTPTTKVESFTRVEPTTQMRTELKYGPYQDQPPFSYAPILVHFENNNPFAVVEELVREIEISHWGYLQITEHYKLVHNGARHKGGFSRVEYQSRPSISGVSSFKHLLAKLPPRVHSVYYRDNIGNISTSHLRTSYSKSELEIEPRYPLLGGWKATFVIGYVLPLQDFLFESDDGKRYLNFSFGCPIAETVVNKITNKVVLPEGSKNPFAVVPFSVERHAETSYSYLDIVGRPVVVLEKENVVPEHNSHFQVYYDFHLMFMLAEPLMLTSVFFFLFVAAVAYLHMDISIRK >KVI09714 pep supercontig:CcrdV1:scaffold_199:82668:85022:1 gene:Ccrd_011900 transcript:KVI09714 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold FPLLSRSKTLENLIGDSSDDEKGIKLEDEKQSCVLKLHDLPGGPKTFLLVAKFCYGVKLEVNSTNVVPLRCASEYLQMTEEYGEGNLINHMETFLGEVFGSWIDTLKALDSCDEVMQHAEELHIVSRCVNSLALKACAYDKDLFGWPVSSTKNDPFATVIWNGIQSSSTNQDWWYEDVSKLRLPLYRRFILAVDSRSMDQEQIAGSLMFYAKKHLPLLGRQPSFPNSNLCSSFDSNSDQKTLLEEIVDLLPSQKRIVPTKLLLRLLRTSMILHCSPLCRGDLERRIGLELDQASIEDILIPNMGYSSETLYEIDCVQRMLDHFVVAHPWLTDSDRELVCRLMDCQKLSLEASTHAAQNERLPLRFIVQVLFFEQLRLRTSVSGCLYLSDNYNSQIHLSSSMMLPESGNVNLLTAGENDRRIVAVDDMRDRVSELEKECFTMKKEIDKLVKTKGISWNSLCKMFGIRLRLKSKTRDACASSNDPGGKRAASPARIKDGRKGKNQDQENGESGGRN >KVI09704 pep supercontig:CcrdV1:scaffold_199:25021:34048:-1 gene:Ccrd_011894 transcript:KVI09704 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA decapping protein 2, Box A MSPAAITGASSAPLKNGIPPKELLDDLCSRFVLNVPEEDQQSFERILFLVEYAHWFYEDNSVEKNPSLKSFTLKEFTSLMFNSCDVLKPYVPHIDDIFKDFTSYKVRVPVTGAIILDQTYERCVLVKGWKGTSWSFPRGKKNKDEEDHTCAIREVLEETGFDVSKLINKDEYIEMIFGPQRVRLYIVGGVKDDTEFAPRTKKEISEIAWQRLDELQPVNGDVISHSVTGLKLYMVAPFLKSLRSWCSLHQPPISPKLDAPARGISVWKAKGSSPGPGMTETPSNKSKVKGPGPGRSFRSFQFNTRPILQAMETAFSSS >KVI09699 pep supercontig:CcrdV1:scaffold_199:307904:310759:1 gene:Ccrd_011914 transcript:KVI09699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MANQEGGDLVTQLVDLVKEISGLPDCRNAVRKMYGNLMRRVKLLSPLFEELKDNEPQLDDGDRKGLQALRNALNLALELLKLVNDGSKIFQALQFDKTAGKFHVVTTQIEEALSQIHYDRFNLSEEVHEQIKLVHGQFRRAKGRMDSPDLQLQRDLAMVSKGNDPDPETVKRLSEKLYLTMVNDLKRESIAIHDMAILSGGDPEERFEMMSFLLKKIKDLVMLGNPEVDTSDGEKSMKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSSIQKWLDAGHKMCPKTQQTLLHTALTPNYVLKSLIALWCDSNGVELPKSDNKPRRTGSECDRTVIGSLLQKLVIGDSDEQRSAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSHDGRTQEHAVTALLNLSINEANKGIIVSVGAIPDIVDVLKNGSTEARENAAATLFSLSVVDENKVAIGAAGAIQPLINLLQDGTPRGKKDAATAIFNLCIYQGNKVRAVRAGIVTPLMRLLEDASHGMMDEALAILAILASHHEGKMAIAEADPMPVLVEVIRTGSARNRENGSAVLWSLCAGDVRSLKMMKELGAEEVLKELSENGTDRAKRKAGSVLELLQRVESVETVIGS >KVI09711 pep supercontig:CcrdV1:scaffold_199:130524:135383:1 gene:Ccrd_011903 transcript:KVI09711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine kinase MAGYEGILLGMGNPLLDISAVVDEDFLKKYDVKPNNAILAEDKHLPMYDELAAKDNVEYIAGGATQNSIRVAQVINFFFPGLQWMLQIPGATSYMGCIGKDKYGEEMTKNSKSAGVNVHYYEDETKPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSTESIQLVAEHAAATNKIFTMNLSAPFICEFFKDAQEKALLYVDYVFGNETEARTFSKVHGWETDNVEEIAIKISQLPKASGTHKRITVITQGDAFVGGFLSQLVKEKPIEECVRAGCYASNVIIQRSGCTYPEKPDFS >KVI09700 pep supercontig:CcrdV1:scaffold_199:311997:315686:1 gene:Ccrd_011915 transcript:KVI09700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MAVAAITTALSTFHISKSYSLTRNHLTCRPSFTYSLSSRSSEFITTSGLSLTDKKLVVSWIEDASSVRLFHVMADASAVRSPTQKKVRSNKVLTSEPRYSKAARRFYNENFRESSSQRLSKVLAAAGVASRRSCEELIFDGRVTVNGSVCNTPQTKVDPKTDMIYVNGNRLPKKLPPKVYLALNKPKGYICSSGENQNKSVISLFNDYMKSWDKRNPGQPKPRLFTVGRLDVATTGLIIVTNDGEFAQKISHPSSGLSKEYIATIDGSVHKRHLLAISEGTVIDGVHCTPDAVELLPQQPDKSRARVRIVVHEGRNHEVRELVKSAGLQIYSLKRIRIGAFRLPPDLLFGKHIELKAAQLKALGWDDK >KVI09716 pep supercontig:CcrdV1:scaffold_199:182003:184980:1 gene:Ccrd_011906 transcript:KVI09716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERCRSHGPFQLDERQVPHPWVYLEEWMNIVPRSCRLRLAHFWILMISQILDQTIEEVEDRNHLRSGYSWSYKMQVQDTPTTNFLMSDFAPEY >KVI09696 pep supercontig:CcrdV1:scaffold_199:237092:241562:-1 gene:Ccrd_011911 transcript:KVI09696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MKMTFHEQDPDVVRWGLHHLMDICSVSNAGSPGAFTHYDKDFSRVEYVSEGYCVSNHANIENDEMIARAFQEELSRLTLEENHGSPEEDHQKESVLAQDWLAPSKRHELDSIHEQDLENVNRTCNLSLNIEERSGDDDNQSCSPEMADESTLDGEHVPKVNGEVPSADEATSDHQRLMDRLQLYDLVELKVSGDGNCQFRSLSDQIYRSSEHHKLVREQVVHQLKFYPELYAAYVPMAYDEYLKKISTSADHGAEKEEKVVDAWELNGCNRTSDQESVELLEASGANLSYRRQTCWLSAFGFL >KVI09712 pep supercontig:CcrdV1:scaffold_199:94933:98454:-1 gene:Ccrd_011902 transcript:KVI09712 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MGSKWKKVKMALVSNLCVYVPKADADDSPPHSDGFSDAALLSPAPAAWSMSGSATRPASPSLRLSKSFNRSSKKTCTICLASMKRGEGQAIFTAECSHSFHFQCIASNVKHGNQICPVCRAKWKEVPLQGSTGSGPPLGRTRINPVNWSQDNPVMTLLRPIPPRPNSPRHAAAPVFPVPEPTVFNDDEPLDLKFSNRTSSDSCSLKRVSVKTYTEVPAVPRFSAAADFTVLIHLKAPVSNSGPTVSQANRIPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGPADRLAVIAFSSTARRLFSLRKMSDMGKQHALQAVNSLVANGGTNIAEGLRKGGKVMEDRREKNPVSSVILLSDGQDTYTVTSGPNPGGGQNRSNYQLLLPQSIHGGEGGSGIKIPVHAFGFGSDHDASSMHSISEISGGTFSFIETESVIQDAFAQCIGGLLSVVVKGAQVILESVNPNVLLGSLKAGSYKNYLMADGKSGCIDVGDLYADEERDFLVSVNIPTELTSSNKTSLLKVRCHYTDPLTKETVNLESEVVGINRPENLGPEVGVSIEVDRQKNRLQAAEAMVQARTAAEEGDLAQAMGTLEKCRRILAETVSAKSGDRLCAALDAELKEMQERMASRHMYEASGRAYILSGLSSHSWQRATARGDSTDGSSLVQSYQTPSMVEMLTRSQASLLGSPSAQRPIRPVWSFASQPKPR >KVI09695 pep supercontig:CcrdV1:scaffold_199:223678:226555:1 gene:Ccrd_011910 transcript:KVI09695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKAMKCFSFSHGDKNGEPKSTKSTSVRSPISMWNGQEGRRSGSEFMSMDISDISTESSTRISFTSLTQNPSGRSNNNLREFAFAELKAATRNFSRALMIGEGGFGGVYRAVIRDTDDSSKKIDVAVKQLSRRGLQGHKEWVTEVNVLGFVDHPNLVKLVGYCAVDDERGIQRLLVYEYMPNRSVQDHLCNRFRTPLPWSTRLKIAQDAARGLAYLHEGMEFQIIFRDFKSSNILLDGNWNAKLSDFGLARLGPSDELSHVSTAVVGTVGYAAPEYVQTGRLTYKSDVWSYGIFLYELITGRRPMDRNKPKNEQKLLEWVRPHLSRDLRKFEHILDPRLAGNYSLKSAQKLAAVANKCLLRQPRMRPKMSEVLEVVNKIVEDASVESLEESSPCFESVSRPKYGVCEKSIRSGLKRRLVDPIVGENKWLICLIGSPKVMSTR >KVI09698 pep supercontig:CcrdV1:scaffold_199:251190:254654:-1 gene:Ccrd_011913 transcript:KVI09698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MKNSVSDHAFFIESDDEDEDKDSIRGEDDGNDSDFSNYSDENPQHQRKPSSLNPSQSIDLYSSVPSPSLNFLGTPNLSRLGSSFLSSSLTRRHTPEILPSLSKPFLPSATDNQQPQERRSSHSLPPPRGSSAKKLPHYQKSSKVSHEIPLSRQSSYGQSVLNGINVLCGVGLLSTPYAVKEGGWVGLSLLFVFGVLSFYTGILLRYCLDSQPGLETYPDIGQAAFGTVGRLIISIVLYVELYACCVEYIILESDNLSSLFPNAHLNLGGLVLDSHYLFAIMITLAVLPTVWLRNMSVLSYISAGGVIASVLVAVCLFWVGLVDDIGFQIETTKTLNLSSFPVAIGLYGYCYSGHAVFPNIYTSMAKRSQFPMVLLASFGSCAVLYAVVAVMGYMMFGESTESQFTLNLPTNLVASNIAVWTTELIPSDRMKSHIYSILIRTSLVLSTLVVALSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILREKTTRFQRSLCAVIITVGLVSSIIGTYTALSEIAQQLF >KVI09708 pep supercontig:CcrdV1:scaffold_199:58733:60814:-1 gene:Ccrd_011898 transcript:KVI09708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MINVMDHVNKPMNEVDKSLDGQLWHACAGGMVQMPELNSKVFYFPQGHAEHAAAGNGDFRDLPRIAPYVLCGVSAVSFMADSDTDEVYAKIGLVPLGNHNDCDFDNDGGFLGFDHNKNENQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTAEPPVQTILAKDVHGKLWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRADNGDLCVGIRRAKRGIGRGFLDSNSSGWNTTIAGIGNSGKVTAESVIETANLAAAGRPFEVVYYPRASTPEFCVKASTVKAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISSIDVDDQTRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPSIHLSPFSPPRKKLRIPQPPNFPLLPMPSMITNSIPTSIQGARHNPQFGFNHHQKYFNKIQSFPFWSPRFPPRFIETSQETDENVSCLLTIGNNTNLKNNDDEKDDKKPIFVLFGKPIFTEQQLSESSSGDTIANQSDGSVVVQNGPVESSSDEAGPWCKDQKSEFGLETGHCKIFMESEDVGRTLDLATFHSYEELYRKLADMFSIEKPIMLNNLIYRDSAGAVKHTGDEPFSEFSKTAQRLTIVMDSGSHNVGLLATN >KVI09713 pep supercontig:CcrdV1:scaffold_199:87271:90859:-1 gene:Ccrd_011901 transcript:KVI09713 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 16kDa subunit (p16-Arc) MEMFPDYPFDPLTELLLFLVASSLLSSPLNLTARTSAHRLHCSRCGRSVSRDLRFAISLDLFRASICDLRFTCAWIWDTVGDLRGLVSVGRAREDCAKMGEHVVADNAEAIITRIEHKSRKIESLLKQYKPVEALKTALEGSPPKTRDERCKSANWIVVHRALMAIKDVDLMFSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTQKAGLGCILRALSDTVNTV >KVI09719 pep supercontig:CcrdV1:scaffold_199:379529:381449:-1 gene:Ccrd_011920 transcript:KVI09719 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MHHTHSSNTYILLFCLLTSLFSVIRATFNLTLPHQHPNPEDVVQEVQRRLNVSIYRRDMLDTGGGCLTGNPIDDCWRCDPNWANKRQRLADCAIGFGKAALGGKGGQIYVVTDSSDHDVVSPTPGTLRYGVLQTEPLWIIFSSNMVIKLKHELIVNSYKTIDGRGANVAITGGGCITLQYVSNVIIHNIRVYDCKPSGNTNIRSSPTHVGFRGKSDGDGISIAGSRNLWIDHCSLSHCTDGLIDAVLGSTAITISNSYFTHHNEVMLMGHDDGYMPDKGMQVKTLLCINDLYISDMLLLLICVTFAFNHFGRGLIQRMPRCRHGYFHVVNNDFTEWKMYAIGGSANPTINSQGNRYIAPPEADAKEVTKRVDTDEGNWAGWNWRTDGDIMVNGAFFVPSGEGMSTTYANAASYEPKSAALVTQLTMNAGVFGGP >KVI09715 pep supercontig:CcrdV1:scaffold_199:187780:194399:-1 gene:Ccrd_011907 transcript:KVI09715 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter, conserved site-containing protein MVGGKLFVAVAIVVVLQLLLHSPEFVQCQVIGDEFAGQINDTAFLPFITQRVYSSLTNLTSTILDSDVGEQSSFCVKNREVEWDKAFNYSSNLDFLTSCIQKTNGDVTQRLCTSAELKFYFEGFFLGNSYERPNRNCNLTSTVSGCEPGWACATLSTDDVNMRDSPCPLGSHCPSATLDRASGICRPYSYQLPAGRPNHTCGGANIWADLRTSRELFCSSGSYCPSSTQQLPCSSGHYCSTGSTSEKPCFKLTSCDSHSSTQNIHAYGAMLIAAIFTILIIIYNCSDQIITTRERRHAKSREAASRRAKEKVKAHERWKMAREAAKKHAAQISRTFSRKKAAPQDEELSILSESRADMRENPVTSNVSHSSSPALEDSRLELRSQTYARDGIEEDLYSYDTSMENKDTHDKKKMPKEKEIHTHSQIFNYAYGQIEKEKAMQQNQNLTFSGVISMAVNTEVRKRPKIEISFRDLTLTLKGKGKHLLRCVTGKIMPGRITAVMGPSGAGKTTFLSALAGKAHGCRITGSILVNGKPDSIHSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSANMLKQDRVLVVERVIESLGLQAVRSSLVGTVEKRGISGGQRKRVNVGVEMMFDDLILLAKGGLTVYHGSVRKVEEYFSGLGITVPDRVNPPDYFIDVLEGMVKPSTSSGVNFEQLPVRWMIHKGYPVPPDMRRNAGGLDIFPEGRLSGNELNDTESTAEDHSFAAEIWQDVKSDVELRRDIIRHNFLPTKDLSNRKTPGILMQYRYFIGRVAKQRLRESKLQLVDYLILLLAALLCKVSALRTFSLDKLQYWRERASGISSLAHFLAKDTIDHFNTVIKPAVYLSMFYFFSYPRSSFAENYTVLLCLVYCVTGIGYMLAIFLAPVLTLVSTQTQNSEFLEHISNVCYPKWALEAFVIANANRYSGVWLITRCGSLLRFGYNVHDWGLCIFVLILIGVLSRISAFFGMLIVHKR >KVI09694 pep supercontig:CcrdV1:scaffold_199:367553:376294:1 gene:Ccrd_011919 transcript:KVI09694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MADKGKGIADDSSSGKRKRDGDEKSGHRKRKNLSVLQFFEDSAFEIEESGSSDDDDFINDVFLEDDFGPDLEVKTEPKTPNLPFFPKEEDMDEEELEKVLEARYQPGSNFVKYSEDRTEAARSDDRNTSMPFVLDPTIWKVKCTVGRERHSVFCLMQKYVDLQSLGTKLQLVSAFAVEHVKGFIYIEAEKQCDINEACKGLCNIYPSRVASVPMSDVPHLFSVRSKYSGVSVDTWARVKSGKYKGDLAQVVHVDEAKRKATVKLVPRIDLQAVAEKFGGGVTGKKSTIPAPRLITSSELEAYRPLVQYRRDRDTGDMYEVFDGMTLKDGYLFKKVPLDSLSFWDVRPTEAELIKFTPARKEESNDVEWLTGLFGEHKKKKQTIKNDKVGGKGEGSSSSSIENGFEVPDLVFHGRKAFGIVIGREKDDRIKVVLEEGSERPVVVAVEARLLKKAAFDKKFNALDKHKKIISTNDSLRVLEGPLEDKKGIVKQIYKGVVFLHDENETDNCGYFCAKAQICEKMEFSADLLKGKGGKSDASGFDDFPSSPKSPLSPTKPWEGRENSRNCKFKIDREEKDGFSVGQSLRIRVGPLKGYMCRVMAIRYSDITVKLDSQHKILTVKAEHLAEVRGKTSGASMGYGGLNSILGWMDGGVATETGGWNTGGPSTERSSWAPFPAAGSSLSSSSYFIYPMDNNDFLVQLQPESGSANPFNSGDNEANKGIVHILYAHIYNELFFFLVVMFQFLVIDVGGSAWETKTTQDQSSAWGAAASEKKDGGWGAGPSGVKNDDAGWGGAKLKDTPGDTTAWGNAGATQTDENKSAWSSAAAPTTQTGGWGAMKKDTEDSKGWGKPDAASADGGSWGKQSGGSSWGADKNQDSGAGGWGKAPSATGGESGKWGSADNKTEVATGWGNNKEGGSSWSKPDQDGGGSSWSKQDGGSSSWGKPAGGGGSSWSNQDGGSSWRSVLKVVAVAVAAAAENLVLNVVRVDICQGNALKVGVVAVTEAAINVVSLDICPGSALKAAAAVEAVVTEVAINVVSLDICPGSVLKAAAVEAAVTEVXVLKAAAVEAAVTEVAINVVSLDICPGSVLKAVVVVVENLVSNVASLDICHGNALKVVVVEGEAEGGGEVIKRRMVKQQEGDGEVQRPRMKVVMVGGVDPKEAAVGAVVVIKVQVQVQVKRRVVGDLERRKLVILRSSKRFQELMEGVKSFTFYFDHFSMNFT >KVI09701 pep supercontig:CcrdV1:scaffold_199:315665:331576:-1 gene:Ccrd_011916 transcript:KVI09701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec8 exocyst complex component specific domain-containing protein MVLSQTEIGQAPPSSSSSLSSLQILRSPSSSSCFPLFRAMGLFDGLPVPKDKEYLRDDLENVDESWTVARFDSLPHVVHILTSKDRETEIQALKDQSDIVEEVVDEVVQTYHGGFNRAIQNYSQILRLFSESTQSIRTLKVDLGNAKRVIGARNKQLHQLWYRSMTLRHIISLLDQIENIAKVPARINKLIDDHQFYAAVQLHVQSARMLEREGLQTVTKASGINRMLLKTLDIREVGALQDVRSELTKLRGVLFYKVLEALHAHLYNTGEYSSATPSMDEKDDVIPTTTVAAFSMNNSQSLSRRTRLQKGDSHVGASGFASSVDGGSSYDGHDDDGTLDIQDGADNNGKDMKNIPRLPTWLSESTPDEFVETMRKSESLPHVKYLQTMVECLCMLGKVAAAGAIICQRLRPTIHEIITSKIKAQADYVNSSRPGVGQAARTATTGLHYVKGQLQSYQLPKQKQKNGTLLAGTLLAVSPVSPVMAPMGAAQSAAKELLDSILDAIVRIFDNHVVVGELLESKSSQQAPMNTPKSMVAEISGNPDSEASRDTGGYTIGFSMTVLQSECQQLICEILRATPEAASADAAVQTARLANKTPSKEKRQETININTCGCREDGLTFAFRFTDATLSVPSQGMQDCSLPLWLQGRNRKGQNVQEGYGSASLLPEQGIYLAASVYRPVLQFTDKIALMLPEKYSQLGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSNFVILLHGGANFQLGFFYVGPAAFRPRANPAAAYSPSVSKGRPVLQGLLTIDFLAKEACSFIFSFLFSLTRKCLGGLKQCQNFLAVLEKQSYMLIGRHDIDNLMRRHPASACLPTSLAQANADGHVTGTDAETDGIELEMSELLMKLRPIKQESLIRDNNKLILLASLSDSLEYIAESIERQDTFTLLTLPGPSSLPQSYGLIGSCVHKLKPAKVFGNASGKASDQVENDVKPTPFHHKRSSSLPPKDLASFAEDYRKLAIDCLKVLRVEMQLETVFDMQVMATREYLEDQDAEEPDDYVISLITQITRRDEVIAPFIAPQKRNYVFGGICGVASHASIKALSAIPSINSESVQTKLDHVRTYYELLNMPVEVLQSSESASAWSGSSRRCQS >KVI09710 pep supercontig:CcrdV1:scaffold_199:206873:209455:-1 gene:Ccrd_011908 transcript:KVI09710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTNREMVQIFFLPNFPIIVFAKTLFPMVNSSMSGNVSIDGFDSMKDQCRIHGRTLRRVVFQFTNSVKMYQNPTMLISCCSKRRTLNFLIGRVET >KVI09709 pep supercontig:CcrdV1:scaffold_199:219071:219910:-1 gene:Ccrd_011909 transcript:KVI09709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVIGDCEEFRKLPPAKGAKKNEEREDRRTLGLVLLRGEEVISMTVEGPPPPDENRAKAVGAAALAGPGLGRAAGRGIPTAPLIQAQPGLAGPVRGVGGPAPGMMQPQISRPPVPNMSAPPMNYPQAPVIRPGQMPYPGQGPPPQMPRGPPPQMPPQFAQRPPGQYQVPPPGQYGQRPMAPPPQMMRGPPPPGGAPRPGMPGPPPSARPGMPPPGGQIPVYGPPRPGMPPPPNSQQQQQQQ >KVI09706 pep supercontig:CcrdV1:scaffold_199:38859:48679:-1 gene:Ccrd_011896 transcript:KVI09706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase, alpha/beta/alpha domain I LYFSLSLALASASSFQLLRPFFISFSLSHRASVIMAELTGKIVQNLLSEQCCQQNRQFGTLYQRDRCTQYKRNLLLFQGRKLAWTGISAMQLRSVSNVNRGVVYCNAAPSTTAVPSLEKVDFLKLQNGSDIRGVAVDGVAGEPLSLTEPVTQAIASAFAAWLLDKKTADSSKRFKISIGHDSRVSAQMLQVLASTPAMFNSTLTKNEDFQCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKDILERAANIYNGFTPESLKEAERKASSTISKVDYMTVYASDLVAAVREASGNIEKPLEGFHIVVDAGNGAGGFFAGKVLEPLGAITSGSQFLEPDGLFPNHIPNPEDKAAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYVMVKLLNKLASARASGQTGGSKVLTDLVEELQEPAVAVELRLKIDQNHADLKGGSFRDYGEAVLNYLENKSESDPKLQKAPVNHEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNEDAVKLGLAVLSAANEFSALDTSALTKFVQK >KVI09702 pep supercontig:CcrdV1:scaffold_199:335379:339875:-1 gene:Ccrd_011917 transcript:KVI09702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MENLMLLLPLLLLLLAPLASTTTLSLDNPSFPSTLAKKMIRDFNLFPERSINIVEDDDGLRSSSFQQKKIVEKRFKFPNLVDPNGISVDDLGHHAGYYQIEHSHAARMFYFFFESRSRKTDPVVIWLTGGPGCSSELALFYENGPFKIEKNSSLVWNEYGWDQASNLLYVDQPTGTGFSYSSDNRDIRHNEQGVSDDLYDFLQAFFKEHPEFAKNDFYITGESYAGHYIPAFAARGFAIGNGLTDPLVQYKAYTDYALDMGIIKESDYKHINTKLPACETAIKLCGTDGTIACMAAYLACNTIFSSIKSVAGNINHYDIRKECEGSLCYDFSNMENLLSKKSVKEALGVGDIDFVSCSPVVYQAMIMDWMRNLEAGIPHLLEDGIKLLVYAGEYDLICNWLGNSRWVHAMEWSGQKEFGASADVPFMVGGSEAGLFKTHGPLTFLKVHDAGHMVPMDQPKAALNMLKRWAKGSLSESTTGVSSM >KVI09703 pep supercontig:CcrdV1:scaffold_199:34897:37962:1 gene:Ccrd_011895 transcript:KVI09703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTIPLGISVNTLSPNFHKLPLFYPSRAHQSSISHHLSRSDSVGICKASQVVEIFPIVSPEIVVREARIEDCWEVAETHCSSFFPEYSFPLDFVLRIDRLLALVFGLSVPSGCRRTCLVAVTGTSEDDTFFIGDEELKIAGFGAKISLNKGYVAGILTLDTVADFLPRKGPLRQRRKGIAYVSNVAVRERFRRKGIAKRLIAKAEAQARSWGCRSIALHCDLNNPGATNLYRSQGFKSINIPEGAKWPQPRTAPDMQFNFMMKLLHDKNRTNC >KVI09718 pep supercontig:CcrdV1:scaffold_199:147781:157031:1 gene:Ccrd_011904 transcript:KVI09718 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MRDVDIPNWLKELPLAPVFYPTDTEFADPIAYISKIEKEASAFGICKVVPPLPKPSKKYVIGNLNRSLLKCPELGNDVKVNSVEKVNDGEVRAVFTTRHQELGHSSGKRTKEVGVGTSQPPPPVVNKQVWQSGEIYTLEQFESKSKNFARSQLGMIKEVSPLAVETLFWKAACEKPIYIEYANDVPGSGFGEPVGSSRFVHRHGRRRRRTFSRNNEDCSAIREQLNDKSNSCDDQKGESIRCSPSSSVDTLKKDTSSLNMLSDRVPRQRGSDAGHEIEGTSGWKLSNCPWNLQVIARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHIGSPKTWYAVPGDYALTFEEVIRSKAYGGGIDRLAALTLLGEKTTLLSPEIVVASGIPCCRLVQNPGEFVVTFPRAYHIGFSHETVIGAISLLEDVCFNCGEAANFGTPKWLAVAKEAAVRRAAMNFLPMLSHQQLLYLLTMSFIPRVPRSLLPGVRSSRLKDRQKEERELLVKKEFIDDILKENRLLTCILRKSSSYRAVVWDPESLSPSVIKESDLTNIGMDRTINPPTENVNAENGNNLDMFSQMRQDTETLLHIEDEDMSSDFQIDSGTLPCVVCGVLGYPFMSVIQPSAKVVVDDMPVKDHGVLQDLGVENSVSGIEPLSFSPCNTGGLCDNRKSSKDTICFHHPSIASSELVNVQDRCLKDSTYSSKVNIEGWNTSSGYLRPRIFCLEHASKIEELLDSIGGAKLLIICHSDFRKIKAQASVIAEQIGGTFRYNEVQLDDATQDDLHLINLAIDNDQEDESVEDWTLKLNVNLRQSVKLRPKLSPDKIHHALTMNALFADTTPTSSVVSCAMLLQWQATKSRSNRKLNCSLKKSSKGISVGKDAELKEKSSEPQMPKKEGRLIQYSRRSFKSKRQDSATNLSKNTNEDPFLVLGDLSTPEKPDKQHEKQVVLEDSILSQAVMEVSATPVVEDAKTYTSMEMEGGYEEQHDKCSRENSPGSVSCATTIENTGIEKNDRSAEETGMQNETSFVVTKSDSGDRSSSEDGLMQEGSELAKPDESSADEPVTTSKVRVKKGPITDRNEENSPFSSCHTSTSGSKRKREVELLQREEQSDFDGFIRSPCERLRPRGGKDAHKGGFSITPKTITEKPTNKAKASNVAEKPAKKAKASSVTEKPAKKSKASDVKIPEKDKQGSHRCDHEGCKLSFQTKTELSMHRKNRCPHEGCGKKFSSHRYAVLHLRVHEDNRPLKCTWKGCKMTFKWAWARTEHLRVHTGERPYKCTVEGCERTFRFVSDYSRHRRKTGHNVHVKA >KVI09693 pep supercontig:CcrdV1:scaffold_199:358605:367056:1 gene:Ccrd_011918 transcript:KVI09693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGETCVVAKHACTSRYLSLLKPMAGKDSDYRIFVGGLSWDVTERQLEDAFSRFGKIIDSQIMLERDTGRPRGFGFLTFSDRRGMEDAIREMHGSDFGERVISVNKAQPKMGGDDADHGYGGSYPSGGRGGGYGGGDRSLGQDECFKCGRPGHWARDCPSAGGGRGGGSQFSSRSRFSGAGGRGDRYADRDRYVDDRYDAGRFGDRDRYDSRDSKYGSRSRYANDRYPPSGGDRFGGDRYGVPDRYPPPPSGGYGRERDYDRDVGPRRSSDRYGGGGGGGGGPARYEGRNYRDRADVRYDLDCVMVGKKKEKWMLRWGKTDLLPGKKRL >KVI09697 pep supercontig:CcrdV1:scaffold_199:245133:249986:-1 gene:Ccrd_011912 transcript:KVI09697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEEGMMKALDNSLESELELILRQRDSRAVGVQERDLNMNRSGSAPPTVEGSLSAVGSLFRNSDHLQIDNRNSLGINGVLTEEELRSHPMYLAYYYAHGNFNPRLPPPLLSKEDWRVAQRFQVGGSNGEGNRDWWKKNVSSDGGSSSLFSMQPGLAIHKDAESELMEMRKAATGKTQTLPRKNSDEWIDRGDGLIGLTAPGMGSRRKSFADILQEGLEQPVSSSNRISRPASQNAISDVMNIRGISNSHSSSLCNEKETSEVMHSGAVSPDLSRFRNLNSAVPHSFAPAVGSSLSNSRKREPQLVRRAPSPGIPPVGSSMVRSADKQTVVGGPTLNDLRMSELTDVASSLSSLNLSKSRLPDGDNHSQSSYLDAINDQRLSYQHRVGDSSRKDNLGTNTKYLDISRENANIVDMNVRKSGIDGPVNFHRRAASSADLNSNRNMYEFAKLDGPSIHNQVDNLPGMDLSAQMPTRYPAANNHLASASSCLHSPAMDSVRGQYMMKSSEYANHGATSSGNLPRGKNNYVVSHGHEDMQALQKVYLEALLAQQNQQYGSPVFGRSGSLNHLYGNPTYGRGMPYQGNLVDNSALAGSRTLSQQERAIHFAPPFRNSVGAVPGSWNPQADINLDRRYASSLLDELKNNKTKSFELSDVVDHVIEFSTDQYGSRFIQQKLETATVEEKNLIFPEIIPHARSLMTDVFGNYVIQKFFEHGTKSQRRELANQLIGHVLPLSLQMYGCRVVQKALEVVDVDQQTQLVAELNGSVMKCVRDQNGNHVVQKCIECVSQDQIQFIVSSFFGQVVSLSSHPYGCRVIQRVLEHCDDPNTQVVMDEIMNSVCTLAQDQYGNYVIQHVLQHGKPHERSAVISKLTGQIVKMSLQKFASNVVEKCLTYGSPEERQILVNEMLGSTDENEPLQIIA >KVH96865 pep supercontig:CcrdV1:scaffold_1991:16509:17372:1 gene:Ccrd_001044 transcript:KVH96865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MNPKDVGRQSHQLLNHPRAPPSIPPPSCPRCSSDRTKFCYYNNYSVSQPRYFCKDCRRYWTHGGALRNIPSGGTSRKRGRTDVASSSSQILQSPSSRNLLPSPPPPPPPLAWSLGSSPDNTSGTAVARSSIGRGTFRPPVTETMQYFNGGGFVNQTPFKFSPGGDQLGGVNTAFGAVSMGFGGGDPSPPPVPSTQSLPQFSPLDNFLDVGFPFLQRPPQHPPPPTVLGSQNMGLNNARTTITGSNYFQSVARDATAAAHVVSIDEWSELNDMDNEGDPFQSYKPPSP >KVH96864 pep supercontig:CcrdV1:scaffold_1991:58039:61129:1 gene:Ccrd_001043 transcript:KVH96864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNFDLGLVDSLHLSCTRRSTNMEDLLKLNRHWVEDLLLLQVLRVLQRTRAVI >KVH92027 pep supercontig:CcrdV1:scaffold_1992:38156:48945:1 gene:Ccrd_005944 transcript:KVH92027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MNASTGNQHHQINIVNASHSQPSQLPPSNLPRRDLLDGGEDFNAICVPLYKAITTNNFEAAKLILDKRPELVQFSITESGETIIHAAVLGKSCLFVKYLMSLMTKEGLKLLNGNGETTLCLVARTGNVEIAKTLVEKNEGLIDIPNSQGKMPLQVAALYGRHNMVEYLYKSSQQMIGQSWTHQNRSLVFENCVEANLFDVALRIVSDLPELAINGSVLRLLAQKTHAFLPIERNPFQEANHIGKNLNSFDLYYILWIHDIRRGPPKLKSFFGFLVYSVILLHKDKEREALLLLRIILKEIMKLPKAEIDDLIRGPPEDDTKQKYPSRLLFLAVEMGNTAFIVEFIRQYPHLALELNDDNHSIFHVAVMHRHVGIYNLLLEKDHIRDLIITLEDKNGNNILHLVGECAKGNRLPNISGLGMLKDLELRWFKRVESILPGYLYKQKNAIGLTPYELFVKNHKDIFFEEEKLMNGTASQLMVVAALVATISFATIFTFPGGYSQEDTGIPIFLPKTISKIFIIFDGLSFLLSATSILMVIAFIMLDHRGHNVMISSSLQIRSSIVLVYYSIASIIITYLISLFILYQSHSQWVPILITIFAFMSYMVFAIAIFVVDQLPFKSLSF >KVI08099 pep supercontig:CcrdV1:scaffold_1993:38384:38926:1 gene:Ccrd_013534 transcript:KVI08099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSIYAKAGHWKDFSKVRALMKEKGLIRTIGCSFIEHGHKIHHFLMNDQAHPESESINAKLDEVIKKIQKAGHVPNPEFVLHDVEEEVKESLVSKHSEKLAIAFGLLVYNDSKPLIITKNLRICGDCHNMAKFVSSVEKRKIIIRDTRRFHHSLMVYALVEITGNLHFSSGGGAIDAWR >KVI08097 pep supercontig:CcrdV1:scaffold_1993:4972:21636:1 gene:Ccrd_013532 transcript:KVI08097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFVSFVEKRKIIIRDTRRFHHFADGLCSCGDYIILWRLLHMLSSIYTKAGRWKDFSIVRALMKEKGLVRTASCSYIEHGHMIHRFLVNDQAHPEIIKKIQKAGYVPNLKFILNDVKKEVKESLVSKHSENLAIAFGLLVYNDSKPLIITKNLRICGDCHNMAKFVSFVEKCKIIIRDTRRFHHFVDGFWTWQWKKAFIYLKEDGSAAAAACDLLRQQF >KVI08094 pep supercontig:CcrdV1:scaffold_1993:73825:75200:-1 gene:Ccrd_013537 transcript:KVI08094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRERPCIASWSMDLLRRRESIELSTGGFGIDNVAETLVDEQHEDIPRKNEEIDIKRYLDEVEHTFNMFKTLKSDFDRILKKGRIRYPTSVEFDVWEKN >KVI08098 pep supercontig:CcrdV1:scaffold_1993:15756:21429:-1 gene:Ccrd_013533 transcript:KVI08098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEIVAAIVSPLVESLVVPVKKHLGYFISYKKYVSDMGTRMGELEATRRGVEGHINHNKSNYLMVPMEVSGWLEKVGNIEAQVRETSLSDVDSCFNLKVRHRLGRKAFKITQDIHRLKEEKSRIDWTDHPIPLGKVDSMKLSTSTPSSHHNDFKSREPTFMEALEALQPDNKTHMIALCGMGGVGKTIMVQKLKKVVSEKKLFDFIIEAVIGEKMEVLLIQQAIADFLSLDLKETTTAARAIKLHKSFEARSDGGKKKFLIILDDVWQFIDLNDIGLSPLPNQGIBFKVLLTSRDRGVCTTMGVELDSILNVKVIENSEAYNFFLQFVKVSDDDDLBLELREIGEDIANRCHGLPIAIKTIAXTLKGKSKHVWEDTRSRIENKDVDEAVHQVFEISYRNLHDEETRSTLLLCCLFPEDFDTPVEDLVRYGWGLRIFNKVDTITKARNRLNTCIERLIHANLLIKSEEVGCVKMHDLVRAFVLDICSEGKHASVVGDMSKWPAKSVSEFCKRISITCTGMSEFPRDRKYPNLLLLKLMNGEKSFPEDFYQEMKKLEVIEYERMTYPLIPISLQYSSNLRMLSIHECPFMFDCSPVGDLLNLELLSFTYCKIEKLPSRIGNLKELKLLDLTGCRNLCIDDGVLKSLVKLEELYMCGVRRHADGDELVECSKNLDEIAIEFFGNNALPKNMSFGKLKRFQISLGCSLDDYDLRNKDSLENTLCLVTNKCELLDSRVNDLFGKTKVLVLQVDGINDLGDCLGESLHRFGCPFFSLRVLHICKSAHLRYLFTVHVANGLNQLEHLKIIGCPALETLIDDENCEVEVIKFPALKLLFLSNLPMLMSLCKLGGNVIEFPQLEVLELDNLPNFTSIYQDFLKKEVMSSKLKDLTITEMEKLTEIWPSQYLSSNEVSQLRMIQVKKCDSLVNLFPSNPMSLLCHLEELKVFNCGSIDVLFNIDLVSCVGEIEEGNNNLRSIKAIGLRKLREVWRVKGVVNSSLPILGFQSVECIVIIRCKRLRHVFAPTTSNFDMRALKKIIVDCSDGWGENKSNTEEINVTNNQEMSEVDDDIHNVAFPSYLMHNLHQLHRLKLLYFEGVEVVFKIESSSCRKLATTQNNHQPQLLPHLKDLELRGLKRMTHVWKYDWNQFLVSQQEPKSSSFVNITSITLSSCGRIKYLFSPLMAKLLSNLISITIQSCDAMEEVVSNIDDEYEEMATTISCHKNTTFFPHLDNLTLGFLPCLKCIDGGGNNCGGKELMTSTVTASSVYDKSQCYQVGGAYWSSFQYAKTIDIQKCHALLALIPYQAVGDNANIGEGSGDTVTIPTPINMTSLELPNLKTLYIAGCHSLKYIFTASVLKSLKKFQELKISDCSAIQVIVKQEDNGEHIIGLEDVVFPRLTTLTLVGLPSLKGFFLGKNDFQWPLLDKVEIYRCPQMMIFTSGHSMASKLKYIHTGVGKHSLECGLNFDWTNAPHEGQLYKSSSCSTAADIIELLQFPWSFSNLVELYIIDFDSKAIFPSHELFNVENVGDIEEAEKVFEVVEGTNGETQSADDVILISKKKQVIWKSNWWIPSKLSNLTSVSLHSCTNLEHVFSHGMAASLVQLQHLSIYGCPNLKVIVEAVRDSTNEVVSFPCLKFLQLAELERLEGFCLGSDQAFQWPSLDTLIIGRCPRMTVFTKGQSTTPKLEVIGTSFGWCEAKDDINSFIRKKTQEVGL >KVI08095 pep supercontig:CcrdV1:scaffold_1993:75603:76502:-1 gene:Ccrd_013538 transcript:KVI08095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEKKKKESKFSNTAENPVDVEDESNEVAILNVSQAKQRATDCSTRRETICKKPPKSREDSKDDDDFEVQDQNIRKKVKSVKGDTKGREDNVKGTIKTHLPLRTRTSPKPLYTMIQNLSPPQIECVKEMGFEGMLNMKTDGIPAKLGYYVVDSFDSQNMLIKLENGVIPITVKKIHEMIGAPIGGAPLDSLVNDNCGVSIVEQWKSRYSKLSLRVTDI >KVI08101 pep supercontig:CcrdV1:scaffold_1993:55415:61529:-1 gene:Ccrd_013536 transcript:KVI08101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKVDTIRKARNRLNTCIERLIHANLLIQSEKVGYVKMHDLVRAFVLDMCSEGEHASVIGDMSKWPAKPVSDFCKRILITCTGMSEFPRDRKYPNLLLLKLMNGEKSFPEDFYQEMEKLEVIVYERMTYPLIPISQYSSNLRMLSIHECPFMFDCSPVGDLLNLELLXFSYCKIEKLPSTIGNLKELKLLEFTGCSNLCIHDGVLKSLVKLEELYMRGVRRHADGDELVECXKNLDAIEIEFFGDNALPKNMSFGKLEWFQISLGCSLDDYDLQNKDSLENTLCLVTNKCELLDSRVNDLFGKTKVLXLQVDGINDLGDCLGESLHRFGCPFFSLKVLHICXCAHLRYLFTVHVANGLNQLEHLKIIKCPALETLIDDENCEVEVIKFPALKFLFLINLPTLMSLGKLGGNVIEFPQLEELELDNLPNFTSIYQDFLKKEVMSSKLKDLTIMKMKKLKEIWPSQYLSSNXVSQLRKIQVKKCDSLVNLFPSNPMSLLYHLEQLRVSECGSIDVLFNIDLGSCVGEIEQGNNNLRSITAIELRKLREVWRVKGVVNSSLPILGFQSVECIVIRECKMLRNVFTPTTSNFDMRALKEITIDDIDGWGENKSNNEEVEVVFKVESSSCRKLATTQNNHQPQLPPHLKDLELRGLKRMTHVWKYDWNQFFVSQLEPKSSSFINITRITLGSCDRIKYLFSPLMAKLLSNLIRITIWSCDAMEEVVSNIDDKYEEMATSISCHTNTTFFPRLENLELGYLPCLKRINGVGNSCXGKKLLTSTXIASSVYDKXQIFEIEGVNEDVGDNANIGEGXGDTVTIXTPINMTSLELPNLKTLYIXGCHSLKYIFXTSVLESLKKLQELEIRDCSAIQVIVKQEDNGEHILGLEDVVFPRLTTLTLVGLPNLKGFFLEKNDFHWPLLDKVEIYRCPQMMIFTSGRSMASKLKYIHTGVGKHSLECGLNFDWTNAPHEGQLCKSSTCSTGADIIELLQFPWSFSNLVEVDINYFHSKAIFPSHELFSVENVGDIEEAEKVIVEAVRDSTNEVVSFPCLKSLQLTKLLRLEGFCLGSDXAFQWPSLDTLIIETCPRMTVFTKGQSTXPKLEVIGTDFGWCXAMDDINSFVREKIQQVENGPKRFDFQLNLLLSRGPIIEFDLPDWVTGLSLDFGIKGLKLRY >KVI08096 pep supercontig:CcrdV1:scaffold_1993:4628:4961:1 gene:Ccrd_013531 transcript:KVI08096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRIYAKAGRWKDFSIVRALMKEKGLIRTVSCSFIEHGHMIHRFLKIQKAGYVPILEFVLHDVKEEVKERLVSKHSEKLAIAFGLLVYNDSCQASSNHKEPEDLR >KVI08100 pep supercontig:CcrdV1:scaffold_1993:48380:61525:1 gene:Ccrd_013535 transcript:KVI08100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 MDEIDDVMRISFNSSNFQICSNEKGNIKYQLDRYILKSNSHGLIRKYGLMCCRQCFHNNAKEIGFIKEKGLVRTAGCNFIEHGHRIHRFLVNDQAHPESESIYANLDEVIKKIQKAGYVPNFADETTSSIASHDHIVMRIKLDRSLAMRGENKALDNFEVLKLIQTICHMDCEEYPLC >KVH92087 pep supercontig:CcrdV1:scaffold_1994:89109:90802:1 gene:Ccrd_005883 transcript:KVH92087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEKQAKENSIDSGGGCPTKDNNYIHMRAKRGQTTNSHSLAEMVRRERISERMKLLQELVPGCNKVPDMXCQVLDILSFHLFVPNVKSSLERATLELKYQKLYEPLYSKVYEFSYVWSLSD >KVH92088 pep supercontig:CcrdV1:scaffold_1994:84069:87331:1 gene:Ccrd_005882 transcript:KVH92088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Parallel beta-helix repeat-containing protein MGVFSFHKMMVVLGLLACFIMANHVEIGHSLHVERLASGGARDRDSHVRKLQEFKSYFFRREHTTVSLSSSSSPSPSPSPSSPGRTGSGVFPVTAYGADPTGKTDSTDAILEAISDAVSGNGDGYEFITLRDLMVDCNFKGGGIRLIDALRTTIDNCYVAHFTTTGILVQGGHETYIRNSFLGQHITAGGDPHERNFTGTAIDLQGNDNAVTDVVIFSAEVGIAISGQANMITGVHCYNKANGFGGIGILLKVPGITQTRIVNSYFDYTGIVAEDPVQLHISDCFFLGDSFIVLKSVRGVVNGINIINNMFSGSNKGIDIVQLDQTNEEFKEIGHVVVDKNNVNGMNLKATIAKGVTRVNGTSWIVDFNEILLFPRRIRFVQHALLTGASNSFPNTVLRNISNNQVLIESDTIVEGSVSVSVDQSPSISS >KVH91612 pep supercontig:CcrdV1:scaffold_1995:22130:25966:-1 gene:Ccrd_006369 transcript:KVH91612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQCGVCCPNYSPALLSSIITTFSTTRSWKLIVVEFGGYLGRAGVVRLNCRLGERIEMMFLSMSLVPVLMIIKGLFRRYERWNPVHPTSGAFWGMGIGVGCGIGWGPGFGPDVIGYVGAGCGVGFSVGITLAGVGIVDFARSSGLLGTRRPVDISGMQRWMTTFNHEQSVDKRVDFSGINRLMSSNAKLVLEYFDQLKNRR >KVH91613 pep supercontig:CcrdV1:scaffold_1995:28602:32084:1 gene:Ccrd_006368 transcript:KVH91613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKDSSKSWQPFIANCCSVEDHTVFGNFSKSRTSRSDFSKNVTPSPSFRHVSFSDLSQSSSVRLNEDIAHTFGPDLFDFKLSELRAITQNFSNNFLLGEGGFGTVHKGYADDNMRHGLKAQAVAVKLLDIEGLQGHREWLAEVIFLGQLKHPNLVKLIGYCCEDEERLLVYEFMPRGSLENHLFKRISVCLPWGNRLKIAIGAAKGLAFLHSADNPVIYRDFKTSNILLDSDFNAKLSDFGLATMGPEGSNTHVTTRVMGTYGYAAPEYINTGHLTTKSDIYSFGVVLLELLTGKRAMDKTRPKSEQYLVDWVKPYLTSSRRLRCVMDPRLAGQYSVRGAKEVALLALNSVSLNPKDRPKMTEIIETLESVQNLKDMAISSGQWPVASQKTARNAVFSPQGKKEINGDRIYWKQTPVVTKKPKG >KVH91611 pep supercontig:CcrdV1:scaffold_1995:69154:75650:1 gene:Ccrd_006364 transcript:KVH91611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGSSTSKAIDPEAAVTPVERRSYSRNVFQSSCIRPASGSSDGSEIDDEEVIDDEQVSDHRAKESGIEGNRSNMSTSKSKGKTERNKDVKMKRTDKRASETQLGEWGESSLSGIASRNGSSSSRNRSNRFLSRFSLFPGSISSRSSRAYPESSSSSIISNDDDLHSNRFGNRTKTRQGCELFPSCFLPMSPGPRGHDSDSDSDNSDVSSIHELGNNNNNGVESNGNLFSPRNASGYGGIETRNVDRRNGAREPVEQNVRFSRTLSVGRLRDRVLHRPTFPELAYLNFQPEMEVVTGSEARETGSHLSNENAMVSTTSTYSSSTISNSLYGNQDSEVRNMGPREIRYNSLLEHRSNFLERRRRIRSQVLDEIHQQSVVSSSRPALSSIGSVPAPVEAVEALPVKMYHKLPMHLTEEDAQCYICLVEYEDGDEVRVLPCHHEFHRLCIDKWLKEIHRICPLCRGDICKSGPLTAESSHL >KVH91610 pep supercontig:CcrdV1:scaffold_1995:61832:66588:1 gene:Ccrd_006365 transcript:KVH91610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MVSKGVGPWLCIILVGLLSLLTTEGYDVGLTFLDSAVAKGAEFVSEKFSQGFVFRINYQGGGWCNNVTNCLARRDTRLGSSKQMLKLETFSGMFHNKPKYNPAVDPAMLAGCSAGGLTSILQCDNFRSLLPPTTKVKCLSDAGFFINAKTVIGTSHIEGFYADVVKTHGSAKVLSPACVAKLGPGLCFFPQNMVQYIKTPIFLINAAYDSWQVKNILAPGVADRKGTWRECKLDITKCSSAQLNILQGMFINSCYSHCQTGIQETWLRNDSPLLGNTTIAKAVGDWYYERNAFQKIDCPYPCDKTCHNRVFD >KVH91606 pep supercontig:CcrdV1:scaffold_1995:77889:80194:1 gene:Ccrd_006363 transcript:KVH91606 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase A complex catalytic subunit NAA10 [Source:Projected from Arabidopsis thaliana (AT5G13780) UniProtKB/Swiss-Prot;Acc:Q9FKI4] MVCIRKATIDDLLAMQACNLFCLPENYQMKYYFYHILSWPQLLYVAEDYGGKIVGYVLAKMEEESTECHGHITSLAVLRTHRKLGLATKLMAAAQNAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDMEAKYYADGEDAYDMRKQLKGKHQHQHQHQHQHQHHHHHHGGGCCSGEAKTE >KVH91607 pep supercontig:CcrdV1:scaffold_1995:86641:89185:1 gene:Ccrd_006362 transcript:KVH91607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MSKISTAGYGGVPTTSTAPATSPSTFFDRAKLHTENFVAKRRPWRDFFDYSAISRPISYDDAMARIRQNLNYFRVNYAMLMLLIIFLSLIYHPISMIIFLFVFVAWFFLYFFRDARSPIMIFNRVVDDRAVLIGLSLLTIFALAFTNVGMNVLLSLIIVVAVVGLHAAFRSTDNLFLDEQDAAEGGLLSVVGSDSSPRTTYSLH >KVH91615 pep supercontig:CcrdV1:scaffold_1995:8209:14629:-1 gene:Ccrd_006371 transcript:KVH91615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein GASLIGQIPPPSLPLFSIPLFSPFSFNQSNTFFCPLSVVMSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGAPFPHNFRDVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDNKELAPLQELIESIVVPR >KVH91608 pep supercontig:CcrdV1:scaffold_1995:34058:39618:1 gene:Ccrd_006367 transcript:KVH91608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQRLRISKCSPLKRNPFLSISTQYNHSKPPSKQPPLQLKNQDLIKWVTSILSNPSLDSSKCKDIVTHLSPQQFDSVFSDIRCSVKPRTALNFFYFASKSCGFKFTLKTYCLLIHLLVVSKLASPARLVLIQLIDDKLPVLVHDPKNRHTEMATAFIDLQLTSESVFGLQTFDLLIHVYCTQFKGFGLDLASDVVRLMAEKGLFPSLNTCNVLLSSLVKANQLQKSQEIFKIIHKDVRPDVCMFTTMVNALCKDGKISDAMKVLLEMEKMGVLPNVVTYNNIIHGLCRIRNLEEAFRLKEKMIKKGLTPSLITYSVLINGLLKMEKYNEAGSVLMDMTANGFVPNEVVYNTLIDGYCKMGDMKKALDVRDDMLSKGLNPNSVTFNTLIKGFCKTNQMEQAERLLEEMLSIRLTINVGSFTSVLHWLCKSLKMNSALRLVNEMLLRDLRPNDSLLTTMVVGLCKQGKHSEAVDLWFRLVNKRFSPNVVTSNALIHGLCESGNVGEAIKILKEMLQRGFHLDSVTYNTLISWHYKEARFEEGFQLSEEMAKQGILPDILTYTSLINGLCKKGKMDEAVMLLEKCKLQGLTPDVYTYGVMIEGFCKAEEIQKGKDMFNELLNKKMELNSFIYNTLIRAYLKNGDMAEARRLYSDMKSKGIRPTSVTYSSLIHGYCNSGCVEDAKLIIDEMRGEDLWPDVVCYTALINGYCKMGQMDKVVTTLQEMSSYGIHSNKITYTIMIDGYCKLGQTEVAAKLLAEMEEKGVIPDIVTYNALVNGFSKEGKIEEALRLFDHMSQRGVDLDEIACTSLIHGWSQKAAVKNHE >KVH91614 pep supercontig:CcrdV1:scaffold_1995:16780:21316:1 gene:Ccrd_006370 transcript:KVH91614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MSGSTTVAVAAAAAGSGISGYRPPFTPVQWQELEHQALIYKYLVAGVPVPYDLVVPIRRSLEALSASFFNHPTCKFTNLGYCKFDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQSASQSLSTAVSLVTTVSSTGSGNRSYQGLGSGSFQNSHLYPTASSGSFDFGGNVSKLQMNANTYGINNSFRYNQGLTADVDDRNYSSGASASARGGLGMESNADSSTWRLVPNQVPTTSLMESRNDSYLHTKSPQLTMVNAFEPVIDATTMSKPSQQHCLFGSKIGSPVEVKHEQHSMRPFFDEWPEAREPWSSLDPDSKNSFSTTQLSISTAPEYTARSDCTPNDG >KVH91609 pep supercontig:CcrdV1:scaffold_1995:46064:50154:1 gene:Ccrd_006366 transcript:KVH91609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MVIKKACRWLCVMLVGVLSMLNIDGFYVDITYLRSAVGKGAVCLDGSPPAYHWDMGSGAGINNWLVHIEGGGWCNNVTTCLARSSTRLGSSKKMVKQVAFSGILHNEPQYNPDFYNWNRIKVRYCDGASFTGDVEKVNSVSSTMKTNLHFRGARIFHAVIEDLLAKGMSYAENAILSGCSAGGLTSILHCDNFKALLPPSTMGSAKNLHHSCTSKMKNSLCFFPENMIQEIQAPVFLTNAAYDSWQIKNVLAPGVADIHGTWHNCKLDIEKCSSNQIDIMQDFRLEFLSALDGFFSSFSSRGMFINSCYAHCQTEMQETWLMSDSPMLNNKTIGEAIGDWFYERSPFQEIDCPYPCDKTCHNRIFE >KVI08221 pep supercontig:CcrdV1:scaffold_1996:14544:15029:-1 gene:Ccrd_013408 transcript:KVI08221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSSKRVAGKLRASPEFNSACDRVYQQSLSLAQHAFPGIPRYQLASTSDRLYQNLSELHLTLIDNWVTYPPTRSQIDKALPDNEGDAIGEADFKEFAVDLYTDAIVSNARKDVLLKVPVGVAGIVGVGVATRSGMEVVGTVIGVYAAGVATSVYLSLGG >KVI08222 pep supercontig:CcrdV1:scaffold_1996:4592:14457:1 gene:Ccrd_013409 transcript:KVI08222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MASASLLLPLGSSFAGSLSNYHPITRGRPRRVTAKYLSSEIRMKASQIVGYEDELILIKRRDVIGLALSASSLRINSLNAEAAGLPPQEIPRLCDDTCEKELENVPMVTTESGLQYKDIKVGSGPTPPVGYQVAANYVAMVPSGQVFDSSLEKRQVYIFRVGSGQVVKGLDEGILSMKVGGKRRLYVPGSLAFPKGLASAPGRPRVAANSPVIFDI >KVH87927 pep supercontig:CcrdV1:scaffold_1997:76427:77063:1 gene:Ccrd_024758 transcript:KVH87927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPVRWLFSINHKDTGTLYFIFGAIAGVMGTCFSVMIHMELARLGDQILGGNHQFYNILITGHTFFNDIFYGGSGNWSVPILIGAPVMAFPRLNNISFWLLPPSLLLLLSPTLVEMGNGIGWMVNPPLSGITSHSRGAVDSAISSPHLSGISSILRSTNFRTTISNMRGPGMTMHRSPLFVWSVLLTTFSILLSLLVLAMAITM >KVH87929 pep supercontig:CcrdV1:scaffold_1997:39927:42776:-1 gene:Ccrd_024754 transcript:KVH87929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain X NIYLHKLDQEIGRIQQKYEIPIVQRIRSVLLRTGRIDDQEDSGEEASFNPPQDNRAIIVGRVKSIQREAAFHSLVSLWHTLPTSTPRLRGVQKRSLVFPPSLALVAFLNKPSSLLCTAFSTEAVGLTPKVKFYGRERCNNNWAMRYFKKYCKRKGLLIELGGEAILVIRSERGLACKLSPIKSHYLIRICYVRYAKDLLLGIVGAXELLIEIKKKTYRPLPTIWPEPLGTLRRINNNSCTEYGRIPRYGHSGSPFEDDSHTILVRAGEASTSKAPYTYNCLPPTLXHPFQIKELAKRMSGIGSLLDVVQLADILGTAGVRSPQVSVLWGTVKDIRQGTRAISLLYSSGQSKVPLDVQQAVSRSGMSVQKLTLYNLVGRKATEEGKGDWARSIRSLISRRRPWPIHVACLTNVSDGDIINWSVGITISPLSYYRCRDNLYQVQTIVDHQIRWSAIFTPAHKHKSSARNIIPKYSKDSNIVNKEGGKTLAEFSNSIELGKLGPSQDPNNKEHSTTSIVYCGSFRASKIGLSQSNTKREKPFLSSYSFEKGVIPPPIRPDERSTELHPYSPGPCTSLSPGXWPPILDLPISNKIPGSIRFSIKVILFLFLYIWVRAAFPRYRYDQLMGLGRKVFLPLSLARVVPVSAIASFAPMMAFLISSVFRS >KVH87928 pep supercontig:CcrdV1:scaffold_1997:71091:71573:-1 gene:Ccrd_024757 transcript:KVH87928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYSRRRLVMIFEKIARKANCLLAGSCMSGNVHVRFHEKGGGEKWPCCTSLSSSIGSALSFVGEYANMILMRCGALHLTFVGLPSSGACVPAFLCNKTLRPKTSGRWSRGAFGKG >KVH87930 pep supercontig:CcrdV1:scaffold_1997:40384:41668:1 gene:Ccrd_024755 transcript:KVH87930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRGPLVGPDRWWYHTLLKGTVHETLASYDSIPKSGPPFSLTNGSSNQLVLPFYFSVAFACFQVQALAVEASRQKRTSGPGRSQKSIALAEPYLAGAEATATQIKNESRNKTLALERVQRHSGPTTIQVMSDSEAKLDRLFYVVAAPIVGQRTYRDAYGPIYDVSIADVRQASHMDWPGSSSANETSISKPSEYLFDRRLYLYVEFTSDRSRPVSLSFLRRLPTHEIIQCQLLDTHARS >KVH90018 pep supercontig:CcrdV1:scaffold_2:727762:729421:1 gene:Ccrd_007938 transcript:KVH90018 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MGSQSNGSSAKPYKFLIYGKTGWIGGLLGKLCQSQGIQYSYGSGRLELRDTLIADINAINPTHVFNAAGVTGRPNVDWCESHKVETIRANVVGTLTLADVCREKGLILINYATGCIFEYDSNHPLGSGKGFKEEDSPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLTNPRNFITKITRYEKVVDIPNSMTVLDELLPISIEMAKRNLTGIYNFTNPGCISHNQILEMYREYINPKFTWKNFNLEEQAKVIVAPRSNNELDTTKLKTEFPELLSIKDSLIKYVFEPNRKTPVAA >KVH90100 pep supercontig:CcrdV1:scaffold_2:27167:28015:-1 gene:Ccrd_007992 transcript:KVH90100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLLSQSLKDEIESKSKDQAKTLEEKESHESKVRDLELELGSLNSLKNESEMELQKKCLEISELVILSQNLKEELESKSKDQAKTLEEKGGYESKVKDLELKLESLEKLKHESELHLEKKGLEHSALTVLSRSLKEELESKSKDHAKTAEEKEGYAT >KVH90010 pep supercontig:CcrdV1:scaffold_2:823111:824955:1 gene:Ccrd_007930 transcript:KVH90010 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MAGGGVIASANSKAYPGNLTFNVFITCLVAACGGLIFGYDIGISGGVTSMTPFLKKFFPAVYMKQMETIASSNQYCKFNSTTLTMFTSSLYLAALVASWFASFITRAAGRKKSMLVGGCSFCAGALVNAFAQNVLMLIIGRILLGIGIGFANQSVPLYLSEVAPYKYRGALNVMFQLSITIGILVANAVNYGFAQIKGGWGWRLSLGGAVVPAVIFIVGSLVLPDTPNSLIERGKIEEAKERLLKIRGVNNVDEEFNDLIAASEESKKIKHPWFNLLRRKYRPQLTFAILIPFFQQLTGMNVFMFYAPVLFKTMGFGDNASLFSALITGIVNSLATLVSIFTVDKVGRRFLFLEGGIQMLICQAVITVAVATKFGLQGNPGELEKWYSILVVVAICVYISAFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMIFTFFIAQIFLEMLCVFRFGLFIFFMFWVLVMTVFIHKYFPETKGIPIEEMAGIWKQHPFWKRFVPAVADEEEVEVTEKNQEEEEPKTTI >KVH90101 pep supercontig:CcrdV1:scaffold_2:25350:32775:1 gene:Ccrd_007991 transcript:KVH90101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISLLCPRDHLLSRLTFSKDSSFDSRSLTFDSYPSFSSIVFSRSFDLLSSSSFRLSLRIKSSEISRSLRDLSICFQVLPSDFDSGLFSKDSIFNSRSLTFDSKLSFSSKLRVSTLRTSSPFLVLCLKQPDILNPVLPFLLLQQPIFLSTRQYLYPMLQRYGCLDMNA >KVH90083 pep supercontig:CcrdV1:scaffold_2:918660:922193:-1 gene:Ccrd_007923 transcript:KVH90083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MGSGDLESRGSSKNRGPPPPPPASNYYTSSSPYYTESLEKQWTSWLVPMIVVANVAMFVVIMIVNDCPKNHNSSLEGNCVARFLGRLSFQPLKENPLFGPSSSTLEKLGALEWKKVVNDNQAWRLVSCIWLHAGVIHLLANMLSLVFIGIRLEQQFGFARVGVIYMLSGIGGSILSTLFIKNNISVGASGALFGLLGAMLSELLTNWTIYANKAAALTTLVIIILINLAVGILPHVDNFAHIGGFLTGFLLGFILLVRPQFAWQERRHLPVEARGKSKYTVYQYVFWILSMILLIAGFAVGLIMLLKGENGNDHCSWCHYLSCVPTSRWHCNNR >KVH90023 pep supercontig:CcrdV1:scaffold_2:1315837:1323793:-1 gene:Ccrd_007887 transcript:KVH90023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGGCCCCFSSKGVELNSSPRFYHYPIVSEERQPLSSNSGAASSLSTGLLVDTNLETSIPDTYRPPPAPIPYDVNVAHPLNNRETCGIKQETPVQSNNTDAVGEISISNSGETVSKEPNSDCTNIELVATKEVDAELEKSGELKKSNGPLLPPEECPTCLEEYDEDNPKIITKCEHHFHLSCILEWMERSDTCPVCDQEMIINFPVNNE >KVH90056 pep supercontig:CcrdV1:scaffold_2:62967:64855:1 gene:Ccrd_007988 transcript:KVH90056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide, AGP MATSKFSFGVALIFAIAFPAAVHAQPLAPAPAPTSDGTSIDQGIAYVLMLVALVLTYVIHILDSPSTTLIT >KVH90061 pep supercontig:CcrdV1:scaffold_2:1107538:1110525:1 gene:Ccrd_007905 transcript:KVH90061 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MNTNLKLGVDVVGAHNLLPKDGQGSSSAFVELYFDGQKYRTTVKEKDLDPVWDESFYFNISDPGILPNLTLDAFVYNNVKGTHSRSFLGKVSIAGTSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDPTIKSSDPVVSMARNSQTHPESVQEPVKKSETRHTFHHLPHPTQAPANAAVPAAPPPMMRYGYEQIKPNPPPQPPKLVRMYSESSNQPVDYALKETSPYLGGGRVVGGRVIRTDKASSTYDLVEKMHFLFVRVVKARDLPAMDITGSLDPYVEVRIGNYKGVTRHVEKNQNPMWNIVFAFSRERMQANVLEVVVKDKDLVKDDFVGFVRFDLNEVPLRVPPDSPLAPQWYRLEDKKGERIKSELMLAVWIGTQADEAFPDAWHSDAATPVDSSGAASVLIRSKVYQAPRLWYVRVNVIEAQDLVPTDKTRFPDTYVKAHIGSQVMKTKSVQARSLNPLWNEDLLFVAAEPFEDHLILTVEDRVGPGKDEILGRVIIPLNMVEKRADDRMIHSRWFNLEKPVVVDVDQLKKDKFSMRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKPTIGVLELGILNAVGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTIVDNLCPKYNEQYTWEVFDTATVLTVGVFDNSQLGDKGSNSKDLKIGKVRIRISTLEAGRVYTHSYPLLVLHPAGVKKMGEVHLAIRFSCTNFVNMLYTYSRPLLPKMHYVRPFSVMQLDMLRHQAVHIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTIFAPLFAVSKWFGDICMWRNPITTVLVHVLFIMLVCFPELILPTVFLYMFLIGIWNFRYRPRYPPHMNTKISQAEGVHPDELDEEFDTFPTSRNPDLVRMRYDRLRSVAGRIQTVVGDIATQGERMQSLLSWRDPRATAIFVTLCLVAAIVLYVTPFQAIAALIGIFMMRHPRFRHRLPSVPVNFFRRLPARTDSML >KVH90115 pep supercontig:CcrdV1:scaffold_2:671347:672509:-1 gene:Ccrd_007942 transcript:KVH90115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MATNKWSIIFLIAIVAALATSVSAKVFIVGDHKGWTLNFDYQAWANGKKFVVGDKLVFQYAAGKHNVFRVNGTVFQQCMIPPAIEALTSGYDVITLATPGRKWYICGVGKHCELGGMKLFINVLPQSMPPVPSVSPPPSGKVFVVGDDKGWTLNFDYQAWATGKEFVVGDRLAFRYPMGKHNVFGVNGTSFQQCTIPSSNEARTSGYDVITLATPGRKWYICGVGKHCEMGMKLFINVVPQSAYAYPPPPYHRTRKLAPPKF >KVH90017 pep supercontig:CcrdV1:scaffold_2:705747:712074:1 gene:Ccrd_007939 transcript:KVH90017 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELK-like protein MIINMQHQGLSTVGTGGGFSDMVVSLSADQHRQMKVEIANHPLYQQLLSAHVGCLRVATPIDQLPLIDSQLSHANHLLLSYISDHHHHHHQPLSPEDRRDLDSFLAQYLVVLCAFKDRLQHHVRVDAVEAVMACREIEHNLQAITGVTLGEGTGGTMSDDEEDEMTMNFPLDQSGDVLGFGPLHPTESERTLMDRVRQELKIELKQGFRSKIEDVREEILRKRRAGKLPGDTTSVLKDWWQQHSKWPYPTEDDKVKLVEETGLQLKQINNWFINQRKRNWNTNSNSNSKRKR >KVH90027 pep supercontig:CcrdV1:scaffold_2:1358076:1359798:1 gene:Ccrd_007883 transcript:KVH90027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MTGGAQSCRNDIPSINYRYNPHGGASFDFGIQIIILSTADLISGAGQLWNFASSSLLVLRHKSTSTQKNDSLQKENTFYYPAEEGNIIASISSEGQNVSVNLEADSHVSPTVNVQKDSDSSCLLTAYGKMMLYCKEKGDSSVMIYELRNIYGWMSNIQLSSPTYNVNSTEHDKKRTDYCFNARETASVERSNPEKTRLDDGMTTKTGLAETQESSSREYANNMMKTSTEYLLRPIQDVEADGNGSIVPTSDLQPDSNMKYQGLGTSPYLEWQHGKEDELSKIKEGQERQVVKQESSAMQIFSLTPYKLQYGLTKQEHAFAGAFAGIFVSLCLHPMDTVKTVVQSCCTDQRSIQYLGKSILHERGLSGLYCGIASNIVSSAPISAIYTFSYESVKGALLPLFIKVKGFLPLFAISLPIIHSCFTVFCFVSILRHSDKIFALKSWKIVFLLS >KVH90074 pep supercontig:CcrdV1:scaffold_2:262992:267654:-1 gene:Ccrd_007973 transcript:KVH90074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKEKDERQMGDNLKKMQGGRGRGNPLFGFGDPFAGFGGMPSLFGGRDPFDDPFFTRPFGGIFPPGPVGSPFMGLNPFGSSLFGPSASPFMVEQAPRINESRPSLPNNSRGPIIEELNSDDEKEQPDDGENKKENPRKHGRSEIQPYVEHPDDESEGQAAHRLLRGIHDKGHAVTRNLKSDGQVETMQLLHNINEDELAGFEETWKGKARNHLPGWTGGASSHEGLGDASSRSRGGWALPSSGASSPSHIGVRRRAEGGEHGQSSSKQLRRR >KVH90066 pep supercontig:CcrdV1:scaffold_2:1141770:1143734:-1 gene:Ccrd_007902 transcript:KVH90066 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-tubulin MPPFRSINWLKMPTNAWYLIMKHFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQHYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLAMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVSEYQQYQDAVADDVEEEEYEEDEEEN >KVH90049 pep supercontig:CcrdV1:scaffold_2:108412:115307:1 gene:Ccrd_007985 transcript:KVH90049 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1/A1 complex, subunit E MIRGPVGVTPPDVVDWVAGAAGEITQVSPGGIINIGVDFMDDGISVLMMRWGRSSINDERSFCVYFNDITVISPKIIEPLIQKSLTEDQAIDFPPNSGRKMNDVDVSNQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVGAEKKKIRQEYERKQKQVEVRKKIEYSMQLNASRIKVLQAQDDLVTSMREAASKDLLNVSHHKFQHQHNYKELLKALIVQGLLRLKESSVLMRCREDDIHTVESVLHLAKEEYAGKAHVHPPEIIIDHINLPSAPSPDDPHALSCSGGVVLASRDGKIVFENTLDARLDVIFRGKLPEIRKLLFSQVAA >KVH90022 pep supercontig:CcrdV1:scaffold_2:1350904:1355320:1 gene:Ccrd_007884 transcript:KVH90022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPININLFCQIRCRKLLSYSSSFHYHKLSQTVSSLIPLYRSYFNSPILKFSPVSLPTSFPQMVDCKFALIHSYSFKSDCDAFDQTPGGHVSVLSGDDELYEKDGDYSSDNNSLDEKTNARVPISSVAENLYNIIIDCKPNNPENGDMERSLSQTGVQLTTPLVMEVLGSLRFQEKLAFRFFTWAGNHENYNHEPHAYNEMIDILSNTKYKAKQYRIVCDLLDYMKRNDKVSVSTEALLKILRQYADKHLSHLHKFAKKKKVKLKKMQPEIDALNVLLDAFCKSCLVEDAEAMFMKLKNKVKPNANTYNILFFGWCRVRNPTRSMQILDEMIEMGHTPENFTYNTAIDTFCKSGMFXEAAELLEFMRAKGTLMSAPTAKTYSIMIVAFARSNRMNECFKLVDDMVSSGCLPDVSTYREMIEGMCLAGKIEAAYRFLEDMGNKGYPPDIVTYNCFLKVLCDHKDXQEAVKLYMKMIEVGCVPSVQTYNMLLMMFFKMDDPNGAFEIWHEMDSRRCIRDTDSYCVMVEGLFGCERTEDACALLEEILNKGMKLPYQKFDXFLMQLSSXGDLRAIHRLSEHMRKFYNPVMARRFALNQKRKSVSLRGK >KVH90072 pep supercontig:CcrdV1:scaffold_2:273235:296858:1 gene:Ccrd_007971 transcript:KVH90072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MSDWDTSFQGGNDHKNFRQISRDRLLYEMIRSAKRQDAKSNWKVLIMDKVTVRIMSHSCKMADITDEGVSLVEDINKRRQPLPTMDAVYYIQPTKENVVMLLSDMAGKTPLYRDAYVYFSSSVPKELIADIKKEPTIKSRLRAMKEMNLEFFAIDSQCFITDHGRALEEFYGDEEISRKGDECLTAMANRIATVFASMLVPYKLLFLQEFPFVRYRAAKSLDPTTMTTFCDLIPTKLAAAVWNALMKYKSLNNFPQIETCELLILDRSIDQIAPIIHEWTYDAMCHDLLDMEGNKYVYEVPSKTGDFEKKEVLLEEHDPIWLELRHSHIADASERLHDKMTNFVAKNKAAMMHQGSRDGAELSTRDLQKMVQALPQYSEQMEKLSLHVDIAGKINSIIRETRLKDVGQLEQDLVFGDAGTKEVIEFLRTQPDVEYEYKLRLLMIYAATHPEKFESDKLTEILELADLSPDDKNAVYNMRFLEGATDTTQSSNNAFSLKFDARKKKRGLRKDRPGEEAVWQLSRFYPMIEVLIEKLSKNDLPVNEYPCMNDPSPTFHGASHSVSARVLDTPSAHSMRSRRATWARRRTSDDGYSSESVLRRTTSEFQRMGKRIFVFIIGGATRSELRACHKLTTKLRREIILGSSSLDSPAQFIEKLKSLTPKEEISLDDLEI >KVH90098 pep supercontig:CcrdV1:scaffold_2:712:1155:-1 gene:Ccrd_007994 transcript:KVH90098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQPQTLKRQVWDCESSLYDSFELKSFERRLDSAISSRTMSMPHLSSSIRHQPPPSHHKPTSKKPFRLTRSLHKLLRSVFRLRPNHHSSSRDEAFYVYDTSTALSTIPELPEMVPEFDGLSPDMKSLVTRTGSDRFMPTSLGISCA >KVH90019 pep supercontig:CcrdV1:scaffold_2:1293034:1296679:1 gene:Ccrd_007889 transcript:KVH90019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein MDLREESSRLGSTLRNLSSSSSVFFSANQSPFFSPRSSSWQPSDLSGLIPCDDGPVQAASTSSDLQNFEQTTSSNGLSTSNPLLYAPCHESEAFDYREKPKKVTRSPISSFTRPSTSYCPTRLKSFDVYIGFHGRKPLLLRFVNWLRADLEVQGLSCFVTDRAKCRNSRKHGIVEKAMDACTFGVIILTRKSFRNPFTIEELRFFSSKKNLVPVYFDVGPDDCLVRDIVEKRGETWERHGGELWLLYGGLENEWKDAVSSLTRVDEWKLEARDGKWRDCILRAVTLLALRLGRRSVVDRLTKWREKVENEEFPYPRNENFIGRKKELSELEFMLFGDVIGDRERDYFELKARPRRKNLIHNWGRSNSIDEHRREARTELRSRRRKGKEPVVWKESEKEIEMQSTDFSPKLKTGRRKRAVKVVYGKGIACVSGDSGIGKTELLLEFAYRFHQRYKMVLWIGGESRYIRQNYLNLWSFLEVDVGIETGLEKSRTKSFEEHEETAVARIRKELMRNIPFLVVIDNLESEKDWWDHKHVIDLLPRFGGETHVLISTCLPRLMNMEPLRLSFLSGVEAMSLMQGNVNDRPVSVSVSEIDALRTIEEKLGRLTLGLAIVGAVLSELPITPSRLLDTINRMPSSGRESHSLRRNMFLLQLIEVCFSIFDHADGPRSLATRMVLASGWFAPGPTPVPLLALAAKRIPEKHHRTRLWRKILRSLTCGCSSLYDKKSETEASSILLRFNLARSCMKEGCIQFNHLTKLYARKRGVAGTAHAVVRAIVTRGTIIHNSDHIWAACFLLLGFSKDPVLIETKASELPFLVKEVILPLAIRTFIRFSRCSAALELLRLCTNALEAADEALVTPVEKWLDKSLCWKPIPTDAQLNPSLWQDLALSRATVLEIRAKLMLRGGQFDIGDDLVRKAIFIRSSICGEDHPDTVSARETLTKLTRLLANVQMHTSP >KVH90048 pep supercontig:CcrdV1:scaffold_2:138420:143790:1 gene:Ccrd_007984 transcript:KVH90048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein MPDGVSIHASLSRILTSPASRDTAVRNSFSGVEFKYLSALVGCIRELLARRDNDTVGLQTPKKGKFKKRPVNAKPPVETPYVPPKLQKTAKTSSDRMVEIFEGMTTLELAKRCGQSVATLQNILVNVGEKVGSEFDPLSIDIAELIAMEVGVNVKRLYSYEGSVVLPRAPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVSMPSGSSITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTIEAMSHARAADVPIVVAINKCDKPASDPERVKVQLASEGLPLEEMGGDVQVVEVSAVTKKGLDKLEEALLLQAELMDLKARVDGAAQAYVVEARLDRGRGPLATALVKAGTLVCGQHVAVGAEWGKIRAIRDTAGVLTNRATPAMPVEIEGLKGLPMAGDDITVVDSEERARMLSAGRKKKLEKDRLNKMNEEKISAPEPSEEEFVRVELPIIVKADVQGTVFVNVIHVGVGAICQSDLDLAQATGACIVGFNIKVHKVIYHLLEDIGNFIVEKAPGTFETEVAGEAQVLNIFELKGRSKAKGADVKIAGCRVMDGQVIRSSTMRLLRSGEVVFEGCCVSLKRETQDVETVQKGNECGLVLRDCFDFQIGDVIQCLHQVNKKPKFISSESGAVRIEC >KVH90084 pep supercontig:CcrdV1:scaffold_2:946540:949425:1 gene:Ccrd_007920 transcript:KVH90084 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal SLPPSRSVSPPAVYQNICIPVGCLPNFHSFSVTGISPEYSQDLEEPLGNMAGLVATRFLFLVGDALSVKKLPKISKAASFHKSACILSQFGSSNGPLTLKSLGFKNGIETVPKFEKDKVRNKVSTLQGEVEQVKSKRVIVKVKAVAMGNPLVIESAPFSAKSFSELGLPPLLVEALEREGFNVPTDVQSAAIPTILNNHDVVIQSYTGSGKTLAYVLPILSEVGPLKVSPDDAKKKPDIEAVIVAPSRELGMQIVREIEKLLGPENKKFVQQLVGGANRSRQEEALRKNKPVIVVGTPGRIAEISSAGKLHTHGCRFLVLDEIDQLLSFNFREDMHRIVEHVGKKADSGPAKYTESTIKRRVERQTIMVSATVPFAVIRAARSWGRDPILAQAKKVSPLESVPRPAGPINLATGPNSGSDLQQSNAVSDSLPPSLNHYFCISRLQHKVDTLRRCVHALDAKTVIVFMNHTRQLKDAVFKLQARGLNAEELHGDLGKLTRSTILKKFKKGEIRVLVTNELSARGLDVSDCDLVVNVDLPTDSVHYAHRAGRTGRLGRKGSVVTICEESEVFVIRKLQKQLGVSIQSCEFAEGKLVIEEKK >KVH90102 pep supercontig:CcrdV1:scaffold_2:29679:33977:-1 gene:Ccrd_007990 transcript:KVH90102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHRFRNKIKSILGSDIDPDKDEELKGSNIEMEDNYQKILELLKEEDKDDKNKLVGLIEDFHKHHQSIYERYDHITGELRAKVHSKKEKDSSSSSSSDSDSDDSSSKKGSKNGKMKVADILKEELQASHTDIDELTRKLAVATEEKEALGSEYQAALNKEQETEKKFVELKLEAERLHEENSKLLADNSDLNLKSENARILEAEFIQKLEDMSREKSSLLSEKETAAGTLEELRAVIDRLEGENEDFKSELGVVKAELPKMKEKLETTEEELSSISQTLEATEADKKSLADEIKMKHVEIQELAAECSQLREKLADKEKELLSHAEMHNSHKSETEIKMRGYEVDFESLHSQKREIEKQKEEELSTLAKKSEDKERELLSQIENLTENVSNLQKELESFGIQKSQLDEHVQALLVKVNEKQEEIAILCRQKLESERQLEKSSQEISGYLIQIDSLKQELADKMADERKFLEEKEVHVAREKDLEEEVKWLHSLKAESETEVSKKTQEIAEYINQIENFKEEAAKMTMDQSKTVEEKEGYESRVKDLELELESLNNLKAESESQLEKK >KVH90120 pep supercontig:CcrdV1:scaffold_2:631763:635562:1 gene:Ccrd_007947 transcript:KVH90120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MGFLRTISTTTAVTTTAAALALNSLAPSSTSSSSYNYNFTKPFLNSSSPASSRFGLMKTLAAPPPALHMDAKPALKHDAELPEVMTEFMVDMSCEGCVKAVKNKLQTVDGIKTIDVDLSNQVVRIFGSSPVKIMAEALEQTGRKARLIGQGSPGDVFISAAVAEFKGPEIFGVVRLAQVSMELARIEANFSGLSPGKHAWSINEFGDLTRGAASTGKLFNPIKQQLSDEKKGEAFFSGVKHNLTIGDLVGRAIAVYESEDRSDTGLAAAVIARSAGVGENYKKLCTCDGTTIWEATNADYVTSKV >KVH90042 pep supercontig:CcrdV1:scaffold_2:512310:514905:-1 gene:Ccrd_007957 transcript:KVH90042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASATVNMLASLLQSAVSTRSSVVGRATHAKIIKSVDFPFPTFICNHLVNMYSKLDLLDSAHLMLSLIPAQNRSVVTWTALISGSVQNGHFSAAIHQFSNMHHDSISPNDFTFPCVFKACNSLRSPRTGRQLHALAIKAGQIHDVFVGCSAFDMYSKTGLKEDANKMFDEMPEKNLATWNAYISNAVLEGHPRKAIDAFIKSRRLGGEPSSITFCVVLNACSDAFYSQLGKQVHGFAIRYGYERHVSVANGMIDFYGKCREISSARLVFNDISSPNDVSWCSMVAVYEQNDQGEKACMLFLQAMKNNIEPKDFIISSVLSACAGIAGLEMGRLVHALAVKACIDFNVFVGSALVDMYAKCGNIEDCERMFDEMPERNLITWNALLGGYAHLGHADMALALFEEMKSEKLEMVPNYVTFVSVLAACSRAGAVKMGMGVFDSMRSRYGIEPGVEHYACVVDMLGRAGMVERAYEFIKTMPGRPTVSVWGALLGACKVYGHNELGKIAADNFWISVKNSVHAFQAKDTSHERNSDIQAMLAKLKREMKAAGYIADTKLALFDLEEEERESEVWHHSEKIALAFGLCVIPTGVPIRITKNLRICLDCHSAIKFVSGITEIIGDRTVADSRKLKALHNDQGYSTFDA >KVH90093 pep supercontig:CcrdV1:scaffold_2:1210711:1219369:1 gene:Ccrd_007897 transcript:KVH90093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKEASSSETLGSGLDHNHQISQQHYEEDHHGISDPSIRETEDSLLSSTSSSITQKKILRVVEVVERDSIAIADSFSSLFASLRVTSRSVDHVQCFSDAAGRLQESVF >KVH90078 pep supercontig:CcrdV1:scaffold_2:879732:881711:-1 gene:Ccrd_007926 transcript:KVH90078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MLAGCSSTLLSPRHTKPSAQFQACHFPSMSTQRLDLPCNTNFPRKDSARSQPVRPVGSLSVDNNPIESRTSSCSLKHNVVEKRPEIWENSSKGLKRLYDDEQDESFRAKRKRGCKKVEEFGENEENLSLGQLGSGNFWFSKQGFVLDPATSLPFSLSTAEEKACFAPSNVNSPQLRTSPWSFVNELADLGEKGVASSSHRQQVVKETSSGSGSRSSSPESHQSLDPVAQEGGLNGVGAPNPNQSLDFLAIGNENHDEEVGFELISLLLACLDAIGMKNIATINHFISKLGELASPRGDSSISRLAAYYTEALALRVSRIWPNIFQISTPRDLSQNEEENGTALRLLNQATPIPKFIHFTSNEILLRTFEGKDKVHVIDFDIKQGLQWPSLFQSLASRNNPPSLIRITGIGESKQDLIETGARLSEFAESLNLEFEFHPVVDRLEDVRLWMLHVKEGETVAVNCILQLHKMLYDGSGGALRDFFGLIRSTNPSILVMAEQESEHNESMLEKRVSNSLQYYSAIFDCIDTVFPLQSHSRMKIEESFGREIRNIIACEGLERFERHVGFDQWRRSMVELGGFKNVEINEREFLQSQMILKMYHHPFGPNSFKVKKRWSDGGATTAGVTLSWSDQPLYTVSAWTPSDIAGASSSYQQQQQPAA >KVH90119 pep supercontig:CcrdV1:scaffold_2:633992:645394:-1 gene:Ccrd_007946 transcript:KVH90119 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-containing protein MMPLWCTTSMTMLSFSCINKLAVSSSLHCRSVISRRISAKMATGSSSFQITHTIKLPTQPNQSVTVVAAPGWLKNIQSEKGLLADGSLSLRQVLIQGVDMFGKRVGFLKXKADVIDKETGQKVPGIVFARGPAVAVLILLNSEGKTYTVLTEQVRVPVGRPVLELPAGMLDDDVSDVVGTAIREVEEETGIQLHLDDMVDLTSFLDPSTGCKVIPSPGGCDEELSLLLYRGSVSADVIKHLQGKETGLREHGELIKVHVVPYDTLWCMTPDAKVLMSIAIYEMAKKEDDDADD >KVH90105 pep supercontig:CcrdV1:scaffold_2:983840:984790:1 gene:Ccrd_007917 transcript:KVH90105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MSIYTQDSLSLTKLALNSLLLRSMARVYPQTSSSSPSPYISLKPESFTIWMKSLVFNTHGCTVYDSKGDIVYRVDNYDNKCGQEVYLMDIRGKVLFSIQQKTKFRLFGCCDGYKWDDCSSEKELWFRVRKHRSVCVNSCDHKARGCAYRIVRMDGKSEFKIVDEDQEGAVIAEIKQKQTTTGINFGNDVFTLTVQPNIDHSLIMAIVMVYGLINNQI >KVH90067 pep supercontig:CcrdV1:scaffold_2:1115570:1118501:-1 gene:Ccrd_007903 transcript:KVH90067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREGMTSFYLNRGGVSGSGNQTGGLHVSPPGFKTQSNPNMPHGHTNIRMPSSMASSFQVEHNSSPSLPHGINMAAGGGGGGGVVSITTPGSGNASVVKKKRGRPRKYARDESDMELGLTPASLSASLGSITPTMRKNRGRPPGSGWKQRLANVGEWMNNSAGLAFTPHIIHVSTGEDVAEKILSFAQQRPRALCILSANGAVCAVALRQFTSSGGAVTYEVRALDFFILAGHFDILHLSGSYLLSENGGPQKRTGGLSISVCSGDGNVIGGAIGGRLIASSLVQVVVCSFVYGGNNVKAKTKTDGPSTDDGIELNETSPTASQHQTQSPVAIGWQPDSRASLRNSRTEIDLTRG >KVH90087 pep supercontig:CcrdV1:scaffold_2:832775:835096:-1 gene:Ccrd_007929 transcript:KVH90087 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG domain-containing protein MLATVKSRNLGKLAAAKGGASTAGAGGGGREVGVSGWELRPGGMLVQKRNSDLNQSQTVVPTIKVKVKYGSTYHEVNIKSQATFAELKKILGGPTGLNPLDQKIVFKDKERDSKAYLDVAGVKDGSRMVVFDDILSREKRLLENLKSTKVDRAKKEIVGILEIEVYGGKKVVEKVVLNLIELLMSQLIKLDGIVADGDVKWQRRMQVKRVQRYIEILDSLKIQNSKIVSSGDRANVQGSLQRRPNKISFEQRLITPMEKQRDSMKWPIVVTTEWEKF >KVH90091 pep supercontig:CcrdV1:scaffold_2:1261645:1263057:-1 gene:Ccrd_007891 transcript:KVH90091 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MPPFSQSNPLSIGFSHNHHKFDNPKSTNVVTIDVGGQLFQTTKQTLTLAGSNTLFSNLFDSYDQIPFIDRDPDLFSILLSLLRTGNIPTKAKSFDLQDIIFEAQFYGIHHLLVQSRSNPSQFEAFNLQKSTILPLNGRDSPSVIATAPYGSVHVSHGSKITSFDWSLQRKSTILTQFTAIDSLLSLSSNVVAAGATDFSGLQIIDLDMGSVRQTLNWENMTKSSSTVQAIGTSPEFLFTSFESGRRNSNSILVYDINDGLRVVSEIARNEIFGADLDSAIPSTKLNWVPSLNLLMASGSHSGPSGVSGNIKFWDIRSGNVVWEIKEKADCFSDITVSDTLSAVFKIGIDSGDVSYIDFRHIDSDNTWKSLGGTKKPTNGKKGGLGCKIESHGNQVFCSKEGELEVWSEVLMGSSEKGKDGKNESVFRKNILGRTKDVGGNRVTNLGFSGNRMFVTKKDQQCLEVWESSRR >KVH90099 pep supercontig:CcrdV1:scaffold_2:11425:18390:-1 gene:Ccrd_007993 transcript:KVH90099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQLKQQLLAPGLNFFFVVVWLGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFFCLTIMGVVTFYSYYLLSLVLEHCEKAGRRHIRFRELASDVLGSGWMYYFVIFIQTAINTGISIGAILLAGECLQIMYSSVSPDGPIRLWQFIAMVTVVMMVLSQLPSFHSLRHVNLASLFLSLGYTFIVVAACIHAGISKNAPPRDYSLEDSNFSMVMSAFTSISIIAAIYGNGIVPEIQATLAPPVTGKMFKGLLMCYSVIFLTFYSAAVSGYWVFGNKSSSNILKSLLPDEGPALAPTWLLGLGVIFVLLQLFAIGLVYSQVAYEIMETKSADVNQGMFSKRNLIPRIILRSLYMMFCGFFAAMLPFFGDINGVVGALGFIPLDFILPMLLYNMTYKPSRSSFTYWINIIIMVVFTGVGLLGSFSSVRKLVLDASKFKLFSDDVID >KVH90054 pep supercontig:CcrdV1:scaffold_2:166363:168684:1 gene:Ccrd_007982 transcript:KVH90054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFFANPASNAFKNLRNSICLKGPEKGPGYATDTVLRLDSPGSLNCSISTSKGVKRKWSLRDGSMDMEAELPLCLWLGHSSSSSDSKASSATGCTSTTSSTKETDEEASMDLELDFSLHLGGEKAPPRKKISSSNKLSLDLEDQVDLELSLLSTPAESDFSTVQLNTSEKIPPTVGGDLYMNEGSISSHLRTQSPFPLLQSSENTKRSCFLKQAPSSSIVTVPTSSVTCLSGIAQQQQRNSITKMCQFDGCEKGARGASGRCIAHGGGRRCQKPDCHKGSEGRTAYCKAHGGGRRCEFLGCTKSAEGHTDYCIAHGGGRRCSHTACTRAARGKSGLCIRHGGGKRCQMENCTKSAEGISGLCISHGGGRRCQVPDCTKGAQGSTMYCKAHGGGKRCTFEGCNKGAEGSTPYCKGHGGGKRCTFDLEGGACPKSVHGGTLFCVAHGGGKRCVVPDCTRSARGRTDCCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGDDVCNLFARGKTGMCTSHDALVQDNRVHGGATIGTLVHETVPNPSGEMEKLMTTDDMNVDAIMASSSSCLKAFGVYKGSVSVSVPEGRVHGGSLIALLAV >KVH90088 pep supercontig:CcrdV1:scaffold_2:1258195:1261279:1 gene:Ccrd_007892 transcript:KVH90088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGRKGDSGFSASSTAEQVTDGINGSALTAIVTGATSGIGAETARVLALRGVHVVMAVRNTKNGVLSPPFTLSKEKIELHFATNHLGAFDYVIVHESHFLLTNMLLDTMKTTSYEQKREGRIINVSSVGHRFANKGTFSDQINDESSYSHVYAYGLSKLANILHANELARRLKKEGVDITANSLHPGFIVTNIFRNFNIFTVLCNMILRHFVKDISQGAATTCYLALNPKVNGVTGKYFSDSNLTEPSERAKDPALAKDLWDFSLRLTNSK >KVH90068 pep supercontig:CcrdV1:scaffold_2:252499:253443:1 gene:Ccrd_007975 transcript:KVH90068 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEISDSIIRLIAASYETTSSTVTFVLKYLAELPDAYTTCGSFREVVADFTYADFTIPNGWKYDAFTLVQTFWTVHTMHNSPKYFPDPEKFDSTRFEGSGRPVPYTFIPFGVDRGCVQEKSMR >KVH90080 pep supercontig:CcrdV1:scaffold_2:914379:918100:1 gene:Ccrd_007924 transcript:KVH90080 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKASKFFRALFGFKTIEPTDPPPSRSDLNKQPKRHWSFIKSHRDKPTRHHLSNTFDASLSRPFDTPLHHHASIETTGDDDATTGSSNHAIAVAAATAAVAEAAVAAAHAAAEVVRMTSRATGGYGLRGERAAVKIQSYFRSYLARKALRALKALVKLQALVRGHILRKQAADDLRRLQALQALLRARANRLQVADSHSPQPTTKKSSYSHQYGPPTPEKCEHVLRSRTMKHHQPSPIKNHGSKSFADERNEKILEMDSTKPHIMQQPRRRNLFQPDHISYSHSQSLTTSRGSSIQPPALSPSESCEVNSLTTPFKCLTHDIDEETSFCTAQNSPPFYALSFKGNSSMRVGPFTPAKSDSSRSCLSGYSDHPNYMAYTESSRAKVRSLSAPRQRPQLEFPKRYSMYGYGSGAQRSSNLRDSFMNKAYPGSGRLDRLGMPVGGMGVRETEFSGSYWN >KVH90114 pep supercontig:CcrdV1:scaffold_2:674921:676600:-1 gene:Ccrd_007941 transcript:KVH90114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MATNKWSIIFLLAMVASLATSVSAKVFIVGDDKGWTLNFDYQAWANGKEFVVGDKLVFRYPTGQHNVFRVNGTVFQQCMIPPAIEALTSGYDVITLATPGRKWYICGVGKHCELGGMKLFINVLPQSMPPVPSASHPPSGKVFVVGDDKGWTLNFDYQAWASGKEFVVGDKLVFKYPAGKHNVFRVNGTVFQQCMIPPAIEALTSGYDVITLATPGRKWYICGVGKHCEXGGMKLFINVLPQSMPPVPSVSPPPSGKVFVVGDDKGWTLNFDYQAWATGKEFVVGDRLVFRYPMGKHNVFRVDGTSFQQCTIPSANEALTSGYDVITLATPGRKWYICGVGKHCEMGLKLFINVVPQSAYAYPPPPYHGMRKLAPPKF >KVH90107 pep supercontig:CcrdV1:scaffold_2:1013817:1016703:1 gene:Ccrd_007915 transcript:KVH90107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MGEEKEESKKDIASTETESKSTPPPPEPKSTSVAAEPPEKLKPVHGGDDAGLEIVVTEKRMALIKAWEENEKTKADNKAYTKVLAIGAWENSKRAEVEANLKKIEVDIENEKVKQREIMKMKMATVQKEAEEKRAAIEAKKGQDIINAEQLAAKFHATGTLPSKLFKCFGY >KVH90040 pep supercontig:CcrdV1:scaffold_2:565797:572861:-1 gene:Ccrd_007951 transcript:KVH90040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MPLPSIIHHLPQMTRFSFLGFTILCFFLPISAQLHNTQTFIVRIQNDHKPSVFTEVQQWYTATLSSLSSTNRDFLHVYKTVFHGFSASLTPQEAQQLESQPGVMAVLPDQIRRLHTTRSVQFLGLVNDQPNDLLKESDYGSNVIIGVLDTGISPESSSFHDQDLGPVPSGWKGECIEGEQFAGNLCNKKMIGARYFTAGYEAAIVNGKSNGSAIVRSCRDTDGHGTHTASTAAGRLVANASMFGFAEGSAVGVAPKARIAVYKICWGEECRESDILAGIDKAVEDGVNVISISIGGTSSRSYDLDPIAIGAFGAMARGVLVSASAGNGGPELETVTNTAPWITTVGASTIDRRFPADLILGDGTVITGASVSNAPIVPSSKFFPLIHGRNASQGRFANSNAAVCMPESLHKDLVRGKIIICDRGGNARVKKGEVVKNAGGIAVIVANVAPQGEGLVSDSYTIPGMLITESASKKLLGYLNSTRNPVAKMIIHGTRLGVKPAPVVASFSSRGPSLDSVYVLKPDLIAPGVDILAAWPNNVPPSEQPSDLRRTRFNILSGSSMSCPHVSGLAALLKGAHPDWTPAMIRSAMMTTAYNDDTEGKPLLDEQSYNDSTVWSRGAGHIDAGKAVDPGLVYDITANDYLQFLCAMNYSTQPFQQLAPEPLTCNRKQNKPWNINYPSISIFYGEPRGLSEPEVVVTRTVTHVSEGASNYHVILTSPKGANITVEPQTMSFSEKGEKRTFKVTIVSETVTGSWGSMETRSGKLVWTDGKHRVVSPINNKSHLFPSWTIIISLLTFTTIFHLSTVDATKETDTYIVLLSSPHNRIFAHSEDLQSWYNTFLPATAANSDEKPHMVHAYRNILMGFAARLTVQQVKEIEKKDGVISAQPQRVLSLQTTHTPNFLGLHQNLGFWRDSNYGKGIIIGVLDTGITPGHPSFNDTGVDPPPTKWKGKCEVAGCNNKLIGVRNFVTASSGSALDEEGHGTHTSSTAAGNFVDGANALGNDKGTAVGMAPLAHVAMYKVCDENGCAESDMLAAMDAAVGEGVDVLSLSIGGPSIPFYRDAIALGAFGAIQQGIFVSCAAGNSGPFNSTLSNEAPWILTVGASTVDRKVKATVKLGNEDLLDGESLFQPKDFPETLLPIVYPGMNGNQNAAWCAPGSGPSLATPGILKPDIIGPGVSILAAWPISVDNSSTTDPFNVVSGTSMACPHLSGIVALLKSAHPDWSPAAIKSAIMTTADLVNLNSQPIEDETELPASLFAVGAGHVNPSKASDPGLVFDIQPDDYIPYLCGLGYSSAQVMAIVQKQVSCSNISSIAEGQLNYPSLTVTLSAGVTRSYTRTVTNVGDAKSSYLVKIFPGPGMGIAVSPAQLDFSAVNQKLSYQVTFDTTSGFDPTIGFGEGAVVWNSAKHSVRSPVSIIYV >KVH90116 pep supercontig:CcrdV1:scaffold_2:667854:668908:-1 gene:Ccrd_007943 transcript:KVH90116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MATNKWSIIFLLAMIASLATSVLATSIAGKSFIVGDDKGWTLNVDYQAWANGKKFCVGDELVFKYPVGKHNVLSVNETSFQKCIISPTSEPLSTGEDLITLDTPGKKFFICAIGKHCELGGLKLCIDVLPQSKPPGPPATGKEIMQCIIPPPDANQALISGYDIVTVETPGKECFICGIGKHCELGMKLCVNVHPAPVPASPLLQGTRKLATTKNLGSK >KVH90058 pep supercontig:CcrdV1:scaffold_2:1073462:1077370:1 gene:Ccrd_007908 transcript:KVH90058 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-tubulin MSRAIPKRKEKLEISLLKTNIPPLVLLKTHRYSRFLCLKSSTNIMREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYNGDQDLQLERVNVYYNEASCGRFVPRAILMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSWNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEGYEEEA >KVH90062 pep supercontig:CcrdV1:scaffold_2:1089402:1099427:-1 gene:Ccrd_007906 transcript:KVH90062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MALKVYADRISPPSRAILIFCNHAILIYLSCAFPGVANHWYPGDLFKRAKIHSILDWHHSNLRRGSAGLSFNTIVAPLKGLPSYAQVTKESEQLQSEEDGHRILGPYKKVLQWMEDTKSATAPHFDEVHGVLFKSQKGIREWMATQSGKTELKSKMVNGIDFEEIRVDILKNQQYSPEYKAINPMYQVPAIADGRFKLFESHAILIYISCAFPGVASHWYPSDLSKRAKIHSVLDWHHSNLRRGAAGLVFNTLLAPIKGLPLNPQAAKESEQILIRSLSKLENFWLKDGRFLVGSSQPSIADLSLVCELMQLELLSEEDRHRILSPYKKVLQWMEDTKSATAPHFDEVHRVLFKAQKGIRERMPTQSGKPELKSKM >KVH90026 pep supercontig:CcrdV1:scaffold_2:1403877:1404374:-1 gene:Ccrd_007880 transcript:KVH90026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGFPVGYTELFLPKLLIHILTLLGFIRKLISFVFRFVGLGDFLEPEFSTDPTRSEPVTHFHSVSAVLIREHLPVVKFSELVDPPESCAVCLYEFEAGDEIRRLTNCRHIFHRCCLDRWMDHDQKTCPLCRTPFIPDDLQDSFNERLWAASGIADYYGDSPLVSSS >KVH90097 pep supercontig:CcrdV1:scaffold_2:1180659:1203969:-1 gene:Ccrd_007899 transcript:KVH90097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MEFKKLMMTFPKSCYGFVEIEQCARFGSANGQSDGSNNVNGGGVKYKENNIGFTEVGDRPAAKVPEMMNLNNLENASSQSLFNIVHKILDDSIENRKDDVPDRVACLLKKLVQMIEQRISKQAEDFKKQNNLYKTREERYQLRIRVLETLATGAAEENEAEKINIEEKKRVEDVELVRLRKENDDANLEISELKQELDVTRDTYEKRCLQLETDAMEIKSELEKKMLDLENLLTGSRKKGLRTGSESIKQEILKTQQIYSEEINQFGTKIQGLVDAAQNYHAVLTENRKLYNEVQDLKGNIRVYCRIRPFLKGQSQKQTTIEYIGENGELVVTNPSKQVKESHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPNISSPEDWGVNYRALNDLFHLSQSRRSSFEYEIDENTYSAVYFRCADVYLDFHTLGIWNSTQPNGLAVPDASMHPVTSTADVLELMHVGLMNRAVGATALNERSSRSHSVLTVHVRGTDLETNGVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIYALAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESFSETISTLKFAERVSGVELGAARSNKEGRGVRELMEQVTCLKDALTKKDEEIALLQVRKTNSSGEKGSPRFGSSSPRRHSIGGLQIQPNGTPPYVKSLGFRSKAVSGIDNCSEFSDKQSESSSQRSTDEFRHHKEVVDGGLGLSEDGESRFGIVNAIQDLNDDIELVGLGDADSDERLSDISDGVLSMGTETDGSLSSIVEFTFFPETAKAPVDHQEKPNVPAKLPRPPQKMASSSRKPVRPASSSQRQGLATPSSQRQGLTAPSSQRQGXTAPSRPSLTKGVSSNSEVKDVETTLALRIEDQLSINRSAFVSFKCHRYFNSPMLKFSPVSLPTSFPQMVDRKFALIHSYSFKSDCDAFDQTPGGHVSVLSGDDELYEKDGDYSSDNNSLDEKTXARVPXSSVAENLYBIIIBCKPNNPENXDMERSLNETGVQLTTLLVMEVLGSLRFQEKLAFRFFTWAGNHEKYNHEPHAYNEMIDILSNTKYKAKQYRIVCDLLDYMKRNDKVSVSTZALLKILRQYADKHLSHLHKFAKKKKVKLKKMQPEIDALNVLLDAFCKSCLVEDAEAMFMKLKNKVKPNASTYNILFFGWCRVRNPTRGMQILDEMIEMGHTPENFTYNTAIDTFCKSGMFSEAAELLEFMRAKGTLMSAPTAKTYSIMIVAFARSNRMNECFKLVDDMVSSGCLPDVSTYREMIEGMCLAGKIEAAYRFLEDMGNKGYPPDIVTYNCFLKVLCDHKDXQEAVKLYMKMIEVGCVPSVQTYNMLLMMFFKMDDPNGAFEIWHEMDSRRCIRDTDSYCVMVEGLFGCERTEDACALLEEILNKGMKLPYQKFDXFLMQLSSXGDLRAIHRLSEHMRKFYNPVMARRFALNQKRKSVSLRGKCPGDVLELMHVGLMNRVVGATALNERSSQSDSVLTFHVFGTDLETNGVLHGNLDLVDLAGSERVDRSEATGGQAKTLVFVQLNPVAESFSETISTLKFAERVSDVELGAARSNKEGRGVRELVEQVTCLKDALTKKDEEIALLRIRKTNSSGEKGSPRYGSSSSRGHSIGGLQPNGTPPYDKSLGFRSKAVSGIDNCSEFSDKQSESSPQRSTDEFRHHKVVDDGLGLSEDGESRFGVINAIQDPNDDIELVGLGNAGSDERLSDISDTILSMGTETDGSLSSIVEFTFFQEPAKAPVDHQEKYNPHGGASFDLADVTREDYAPSSHDNGKHPAKQAEARSSILSTADLISGAGQLWNFASSSLPVLRHKSTSTQNNDSLQKENTFYYPAEEGNIIASISSEGQNVSVNLKADSHVSPTVNVQKDSDSVCVAKKHSSFDCCSVSRSCLLTAYGKTILSCKEKGVSSVMTSYELRNIYGWMSNVKLLSPMYNVNSIEHDKKRTDYCFNARETASVERSNPENTRLDDGMITKTELAETEESSSREYANNMMKTSTGVMSLCSEYLLRPIQDVEADGNGSIVPTSNLQADSNMKSLGLGTSPYLECRHGKEDELSEIKEGQERQVVKQESSAMQIFSLTPYKLQYGLAKQEHAFAGAFAGIFVSLCLHPMDTVKTVVQSCRTDQRSIQYIGKSILHERGLSGLYRGIASNIVSSAPISAIYTFSYESVKGALLPLFTKVKGFLLNALLGIVGKGGFSSLYNGWGAVLCRNVPHSIIKFYTYESLKNMMFSSHQAHAQPTTILTLLCGGLAGSTAALFTTPFDVVKTRLQTQVPGSVNRYHGVFSTLKDISRHEGLKGLYRGLSPRLAMYMTQGALFFASYESFKRLLSTQLEPSSKDL >KVH90103 pep supercontig:CcrdV1:scaffold_2:953175:960685:1 gene:Ccrd_007919 transcript:KVH90103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimeric alpha-beta barrel RSTQFHTCNLLLSPSVPFICKQLIHTHTRTNNMHFHAHTFTCSTSYPTKYYLTKAAVFKTLASAKKPLYDYGTGVSFRHTGSRGFPLGLKQMNRRGLMNSASEGQSTSNSAEKKREVVEHICLLKAKVDLSDEDEKDMLDFLYTCQYQMRGILAISYTHAVFMRFQKREDLAKFYENPFYLGVLKDHVTPYCHEFTYVDYESEVEDDILPIFRKGEEFNFGVEFLLLIAFKESSLEEAADDALTSFTKLLMEFPSLIVQATKGLNFNPGSKDYTHAVVIRFRSCEPLFQLYDSQTIYFCFFSQLTTRSWSSIADAYDIFMGSSEYKEIWRSKFQPITEKKLSISFSVDPEQLLLVVVMKNWNWNGGGGGGSET >KVH90013 pep supercontig:CcrdV1:scaffold_2:766407:772080:-1 gene:Ccrd_007935 transcript:KVH90013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology-like domain-containing protein MKGTKRLGVSESTVDTNNLFSKKIMVGPQFDFQRAASTQQNSVGAPSLDMHRAESSRQHVRALNNQFASWVHAQLQNHPDELWEDGVQDYLTHASNIMEKFSDVVNWLKSNSTKSESLLEHGSHAVQKKLVTESKDNENTSFQEKILKAPFSATTPVFATSSFATSWSTGSLFSNQSSFSFGGQSTISVKPDASNDADGDDEIEQPSSPSLKKTEETGILVVHEVKCKLYVKSTDPADKDAWKDRGTGQLSIKCKEGVTKGTKDSKPTILVRNDVGRLLLNALLYPGIKTSVQKNSIVAIFHTAEDRDKLAATIQEYAPAAS >KVH90035 pep supercontig:CcrdV1:scaffold_2:372297:375960:1 gene:Ccrd_007966 transcript:KVH90035 gene_biotype:protein_coding transcript_biotype:protein_coding description:TFIIH subunit TTDA/Tfb5 LKSDDGRLWLTESGLLLAGTTATATTSSQFLSFSVIDTLITRSITSELLFMDYFDKETETIGLLSHIKVMPLGYQLLIHYIHIMVHAAKGIFISCDIPMAQFIINYNASLPQSQKFIIHILDGTHLFVSSNVDGMIRSAIQEFREKNTYEKPA >KVH90032 pep supercontig:CcrdV1:scaffold_2:452227:453105:1 gene:Ccrd_007963 transcript:KVH90032 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MDPSAGAAHGHSLPPPFNTRNFNLHQFQQQNSEDEQSGTSGLNMGGHKREREDKSNDEMLNNSGGGGSSEGKDGEMGRRPRGRPSGSKNKPKPPIIITRDSANALRTHVMEVSDGCDVMDSISTFARRRQRGVCIISGTGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGALLAAGPVVIMAASFSNAAYERLPLEDEESTLPMQGGSLGSPGAITPPPSQQQLLTDQSLFQGMPPNLLNSIQLPNDAYWGNNGGGRPSF >KVH90079 pep supercontig:CcrdV1:scaffold_2:848810:851112:-1 gene:Ccrd_007927 transcript:KVH90079 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGSGISSFLAMCNTPSAPAVAPETGLGDLPESVVGSVLVHLNPQEICRLAALNRAFRGASSADFVWESKLPENYESVISRVFDEFPSDLCKKDIYAILSRPNSIDGNTKKVWLHKGTGKPCVLTSFNGLSITGIDDRRYWSRISTEESRFRSVAYLQQIWWFEVDGEVEFPFPVGTYSLYFRLQLGRSEKRFGRRVCNSAHVHGWDIKPVRFQLSTSDGQQAISQCYLTQPGTWNLYRVGSFVVEDSKIPMKIKFSMMQIDCTHTKGGLCVDGVLICPK >KVH90071 pep supercontig:CcrdV1:scaffold_2:243839:251984:1 gene:Ccrd_007976 transcript:KVH90071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maspardin MKGGFSAPGDYIYFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGTAGTADVYYKQIMALSMKGYRVISVDIPCVWNNQEWVQAFEKFLDVIDVHHIHLYGTSLGGFLALLFAQHRPRRVKSLVLSNAFLETKYFAAAMPWAPVVGWTPSFLLKRYVLTGIPSGPHEPFIADSVDFVVAQVETLSREDLASRLTLLSDAASVGPLMLSDSFITIMDTNDKCAIPQQLKDHVSERYPGARRAYIKSGGDFPFLSRPDEINLHLKLHLRRVGVEAREDLVPGIPKAGDGADGGSGGGAGGSGEPKDDQEKTDDASNPDGPSSNEGQPPLDPESNDSQNPDNQPLGDDSLPPLLLKAFFEKNEVVLATSFQHFMLKFFVLYYQLPVSLLTLYIKDEFRFVVGCFRFGGGWRWAMAAGGDDQSRCSRWVPERGGGGTRE >KVH90059 pep supercontig:CcrdV1:scaffold_2:1066478:1067245:1 gene:Ccrd_007909 transcript:KVH90059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MFIENVKANAASGMAVHDACKLKFLELKAKRNYRFIVFKIENRTHQVVVEKLGQPDDTYEDFQKSFPANECRYAVYDFDFTTNENCQKSKIFFVAWQVHKSRLDHHKNTLTLHIHVSVFKWSPDSSKVRSKMIYASSKDRFKRELDGIQVEVQATDASEMSLDVIKSRAL >KVH90060 pep supercontig:CcrdV1:scaffold_2:1111030:1115506:1 gene:Ccrd_007904 transcript:KVH90060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MLSKRKSKIPKRVESRRGCFRSCVAMFVYPLPAALFFMILMLVWSSSTTYISGRIVHVCVSSRKLSNLYCLSATTQPNAEFHLPFTNSSSQEFLENGIPVLEEKLEKEKGFTIPDNSVNRNLKGDSEEVSDVVENPTVFLEKRVGNEGVINPVSKEVPDVENSTAFLEKGVGNDGVNPISKEVSDVENATTFVEKRVGIDGVQVMDDLSGDSKELSDVVLKNPNSLDDGIEEIKKAKKVVEEQLQVHRSWTAKRNQNRNQCEGRGIYVYELPPKFNKDLMAQCHDMVPWVDMCKYFSNNALGEPIPELGNRWFRTHQYSLELIFHSRVLKHLCRVYDENQAKLFYVPYYGGLDILRWHFKNVSNEVKDSLGLELVNWLEMQKPWDKNLGKDHVFVLGKISWDFRRRNVSSWGTRFLELDEMQNPIKLMIERQPWELNDIGIPHPTHFHPNSDEDIRSWQRKIISSNRRSLVSFAGAARPGAQDNIRSILIDQCTSTTEEHCRFFDCKSGLCDEPQSLLGLFTESEFCLQPPGDSPTRKSVFDSLVAGCIPVVFDPFTAYYQYPWHLPEDHGKYSVFVDQEEVREKKVNVVERLMKVGLKERDDMRRYIVYELMPRLVYGDPDAKFEMFQDAFSITIDNLVERVKTLNLDQAMANHPKSINDFTVKDSNGMDVDLSIYNGKVLLVVNVASKCGLTNSNYAELNQLRAKYKDQGFEILAFPCNQFGDEEPGSNDQILEFIEVNGENSLSLYKFLKSGKWGIFGDDIQWNFAKFLVDKNGQPVQRYYPTTSPLTIERDVQKLIGLLDASS >KVH90122 pep supercontig:CcrdV1:scaffold_2:597009:604164:1 gene:Ccrd_007949 transcript:KVH90122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 MESKDVGFFAVVAVLGIISAATGFAGEATKIKASEVYILANSCIYPSSPALALGIVAAVFTIINRIYISVTFGGCSCCRNDPNSTPISKLLAVLSWVATVIAVSLLLTAAGLNNREGGQIDSYGYITCYVVKPGIFAAGAVLALLSAVFAIAAYITLTPAPQPTTTNPAVAFPVGTNVDLEKNPVQYPPQHKAVGFCAVVAVLGIISAAAGFAGEATRVKASEIYILTDSCVYPSSPALALGIIAAVFTIINRIYISVTFGGCSCCRNDPNSTPISKLLAVLSWVATVIAVCLLLAAAGLNNKEGGQVDSYGYFTCYVVKPGIFATGAVLALLSAVFGIGAYITLTPATQTTTTNPAIAFPAGTNVDPEKNPVQYPPQHYPPQKY >KVH90064 pep supercontig:CcrdV1:scaffold_2:1155402:1165445:-1 gene:Ccrd_007900 transcript:KVH90064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MTKMRNRRYLASSDDEEDDAPPQPSPKEPEQMRSSQRKRKRMKIYDDDDDEEEEEEAIKQVTKTKRDKRKPKDDEQEEKEVSPPPLPPPLDDEEEEEAVVEDAKPIGEVVRVSGKGKSRRNHYKSFEFDGLSYELEDPVLLVPDPEGPNKKPYVAIIKDITETKDGSVMVTGQWFYRPEEAEKKNGGNWQSSDTRELFYSFHRDEVPAESVMHKCVVHFIPANKQIPSRKVHPGFIVQKVYDTIFKRLFKLTDKDYEDSMQHEIDLLVQKTMSRLGDLPDIKIDEDTADAEDQLKTKRMLRRKNMSPLDVTRDDEATNRSGPLSRSETPGSCTSNPSEYYNILAKFDAVTSDQHRDRWLEKLLEGVQFVCNNVDGSQSGAKENDGADNNPPNGTEDKSPKTGMGSSPLWPDDAVHAVAALEKASHESLSSDYQKYNQKMRQLCFNLKKNAQLARRLLKGELEPSKILNMSPNELKEGLTAEEIASKEPEEDAQVQMTDARCKRCTEKKVRLIEIISAGHADRYQLECTACGNMWYASRDEASMLTIDGPNSAKTVGSAPWATAKFEDVEKKLVSPRDHATNESLKKAAEPHHGTLLEKQKSFNKSRPEEQENPPPPTADHVD >KVH90020 pep supercontig:CcrdV1:scaffold_2:1300838:1304245:-1 gene:Ccrd_007888 transcript:KVH90020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNSELKDGSDLNKVEIPQACRNAEFSVNPDLYVKDGGGDGCIDENELRALFDQVLSFFLKEVSVNKDAFRPFPPKLGDGQEADLFKLFLTVRRIGSYELVSENDMWEFVAMECGLEIGLVGSLKLLYVKYLKELDQWLIKGGFKDEKMENVEIGVIQKLDLLSRQLDGHGCSLSDQIGKSLSNGEIVGSKDGKKGIESDYNEGMLGEKKNEGTLGLNQNEGGMNLDVAKTSVSFLRINGDDEELRGGEVKTCELSPENVVKKVGFSVINDDNRRSELSPEKVVKKVGLSVIDDNDDDEEFSVEDEKQVGLSIKKVVNEVLRFHSDNDVTLTAKDDDGVNVVSHDVNIVENVVSSQKRKREEEHLSLSEMLDWVANAARDPHGTAIEASKGSSKGKNDRSDKLWKQVLSARKALFAELNVDSGNEAGGSQKKNQRMHPAMYEDKASEGTRCSGRVVKPSSRIKRQRAESGKAPVDRTSKTNEPQDHEYNLGSRYQAEVPEWTGPVYDSDSKWLGTRMWPPPDDHDEKNEKAIVPVGLGRRDSCECLVPGSAECVRFHVAENRLKLKIELGPLFYKWKFNLMGEEASLSWQPEEEKQFKSLVIKARQDLAHSNKSRHEIMNKFWKRASDSIPAKPKQKLVCYYFNVFVLRRRSYQNRVTPKEIDSDNDEQEVGTVGDRFGYEKIHGLSLKCSENKQCTDLES >KVH90051 pep supercontig:CcrdV1:scaffold_2:67408:71458:-1 gene:Ccrd_007987 transcript:KVH90051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine alpha-hairpin motif superfamily MEKSEAVCAQEALELLNCVTSSSFDQEKCITLLNSLRKCVLDKKVKKFSLAEEKTEEMVPKLPSNQTITTRVRHEYLSMKLRLVGPLQGPLEGPSALTSSSTATGRTSLEGPSFLT >KVH90109 pep supercontig:CcrdV1:scaffold_2:1045433:1045933:-1 gene:Ccrd_007913 transcript:KVH90109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family, basic secretory protein MVEVDSQESHEYIISLSPSVFMETSKNDEAIVLAVLHGMARVWLWNGKGATPPVLLNGMVEYISSLAGFTVTQVWKSGGGATMWPPENNEICWKDRDPRRVAGFLRYCDQSKQAAGGGGEVIRRLNQRMSNNWHDGMMDDAIGMAGQHACASYDMMMWQLHPSSSM >KVH90096 pep supercontig:CcrdV1:scaffold_2:1175684:1204249:1 gene:Ccrd_007898 transcript:KVH90096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MGQDLELDFEKYCVVDGSPKTVLLSPRHLNVEKRKVRKKPKCRNEVSLSQNKEFTEISFNRYRSASCRTVPSIRLEVDESPRRGSVYQSSNQVGKMNRIREKETEGRKKIELSRRSAASFSFEIVDSLCSSDEDNSSLGSFTSSSLGISRSSVNKENLSMSNDDKRPHAIPLHKSLSARLAMPHSPTKTESDSSKPTSPKTRFSPFRKMFDPFTKPKSQKSPLGSAIEEPDEPTSSSNPPVNTRNVTLRKSLLNDFSNTEGDTQSIKKDSCSSIVSSSSSPAHLSGFLKLRDKNGVPYFEFSVKNPHDVWVAKTWKTGDEFVLYDLVRPRQSVAQMPEGLNPKESSNEVIDQAKVNGQSNLPSSNPWPVADLHPDLETAAIVKLEESTTASDCLTASKVSVVIPSGNHGLPSSETRGASPLLDRWRSGGGCDCGGWDMGCPLVVFGSSDLKKDDACNLPVEIFLKGTKENTPALTMKLTEEGQYAVDFHAQLSSLQAFSVCVAILHGTEASIAIGGDMNRKIMQCDSLRVFIEDEVKHLIDAVAEGEKRKTSKNLDEIPPTFVSFKAFVSGYIFKSAEYAVIAVYGSRVKAAEKR >KVH90028 pep supercontig:CcrdV1:scaffold_2:1379652:1381401:-1 gene:Ccrd_007882 transcript:KVH90028 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MSSEIYEFDGCFFNDPFSPFNDSSSTIDILQAFQEHNYNYTPLLPSSSSSSPPLTTQENLDTPFDEIDQIATTLLSSSPPSHQLENLSLYQMGISVNSSNLEVKTEESQLPLYDNYSFYGGSDNALKMMQRSYSSNSFQQGKSSNGVLYQPKLDGFIESPNLHSQHLSSPDNCFSSTHMRRVCSTGDLQVSPLTSFTPKLATDRFILKIFYQRSPKVNQTSQRLSSSPLATETSFMEDANFKVGRYNAEERKEKILRYRAKRTQRNFNKTIKYACRKTLADNRPRIRGRFARNDEPGEIPKTTTFLRYGDDEELWMDGFHEDDDERMIGRGQIFNTYPSAGQFHQFGFIHN >KVH90037 pep supercontig:CcrdV1:scaffold_2:415996:418550:-1 gene:Ccrd_007964 transcript:KVH90037 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein GCRERKWKKRCIFHDDLVDKANNFFKSVCFSLSFFHSIKSFFSGGIDSFAGGITENMMQMYRRPILEEYEKLVVRMNTPRVMIDNAGCVNATRIMIDSAKKDGILLDAVQVLTDLNLSIKKGYVSSDGRWNMDVFHVTNLDGSKLTDDSIINCIEQSLGTIHRTRSKSIDGMTALELTGTDRVGLLSEVFAVLSDLNCDVVESKVWTHNGRIAALIYLKDCDSGFSIEDSQKIDRIEARLRNVLKGDNDIRSAKTSVSVAVTHTERRLHQMMFADRDYDRTPIAKTQHSLLVSVQNCLEKEYSVVNIQCKDRPKLLFDVVCTLTDMQYVVFHATIDTTEDGAYLEFFIRHIDGTPISSEAEKQRVILCLRGAIERRASEGVRLELLKPDKPGLLAEVMRTFRENAINVMQAEISTSMGMALNVFYVTDAVGQAVDMKVIESVRERIGSGYLRVKEVAAYGKAGSEEENRGSLGSAVLVSLGNLLRRNLYNLGLIKSYC >KVH90070 pep supercontig:CcrdV1:scaffold_2:238048:239337:1 gene:Ccrd_007977 transcript:KVH90070 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MIPLKPILSHIDNIPSTAGKFKPDKSSVYYIHHRLPKFTLWPIIFLSFILFFFFLSPTSTTSPRRRGLRTTSSYGGPAWENRVKLSAKPRSCTGHTVLVTGAVGFIGAHASVALKRRGDGVVGLDNFNRYYDITLKQSRKSKLVDRAGVFVVEGDINDEVLLRRLFGLVHFTHVLHLAAQAGVRYAMINPNSYIKSNIDGFVNLLEVVKSTIEQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHAYYHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILNQKQISIFEGPNHGTIARDFTYIDDIVKGCLSAIDTANKSSKKKGEFRIINLGNTSPVSVHKLVSTLEKLLKMKAKKKVVLMPRNGDVPLTHANISLARMELGYKPTMDLEMGLKKFVKWYLDYYDAKKKSSW >KVH90029 pep supercontig:CcrdV1:scaffold_2:363247:364864:1 gene:Ccrd_007968 transcript:KVH90029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKRLRGRVPVSRRIRPPHPSPSTHRLRRRVVIVRRSSSKKPLKFINRCNSVPALLTVDVGGATEDPGGLMSISRSRPDIFSSAPELVLPYSPSKIQRDSKELHMHQYNKDAKVVVNVTVEGCPGAIRAMVRLGSTVEETMKIVKHQYESEGRCPRLDQRSISTFELHPSHFSLRCLDKSDKIGNFGSRSFYMRKRSNENSIIGPEIISTRRNGSPSPPPSPTVLFPNFICRNFKKVIRLLGKLLGCLDG >KVH90045 pep supercontig:CcrdV1:scaffold_2:552337:555530:-1 gene:Ccrd_007954 transcript:KVH90045 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MNNQSSSQNQNPTTPSSKISSLNYYHPSPSRTIYSDRFIPSRSASNFALFGISPPPTSDGGHGDDTSSSSSNYTALLRNALFGPDLGFVPPSTPDKKNFTIATTTPVSRNIFRFKSETRQSLHSLSPFGVDNQLPGVSHRPAKSPRIVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLLNAFSSKVTQLCDLGVDDTVCSVGWAHQGTSLAVGTSNGKVQIWDVFHCKRVRTMEGHRSRVGALAWSSSMLSSGSRDKSVLQRDPRAQQDFVSKLNGHKSEVCGLKWSHDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLYGLLASGGGTADRCIRFWNTSTNSHLNCVDTGSQVCNLVWSKNGNELVSTHGYSQNQVIVWRYPSMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNAFPSPKSQNRESKIGASSFGRTHIR >KVH90024 pep supercontig:CcrdV1:scaffold_2:1330684:1334193:1 gene:Ccrd_007886 transcript:KVH90024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRTKESRRFTFDTMETTADADRCVVQCWKKCCCKQIYTNLKARKHAKEIKRKKRKPNQGKLLNASKNNGAISGFGVLMAHLSPGTPMTAVSSIKKGIPRGILADRYKTSWLAFLRLAIKNMFEGANCSMVASTLFITMPGMSLETSLESGNDFHVCNHRCKLQTECWHYKFVVN >KVH90030 pep supercontig:CcrdV1:scaffold_2:342721:349439:-1 gene:Ccrd_007969 transcript:KVH90030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MLPYASIQDAETAIGRSLTTAETFWFNYSATKSDYFLYCHNILFLFLVFTLVPLLYVFIELVFSKSILPYKIQPKVKNSFADNLNCYFDVMRMFLLVVGPLQLVSYPSIQMIGIRTSLPLPSLMEIISQLVVYFLVEDFTNYWIHRFLHGKWGYEKIHKVHHEYTAPIGFAAPYAHWAEVLILGIPSFLGPAMVPGHMITFWLWIALRQIEAIETHSGYDFPWTFTKFIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYQKKVLRQLKDGLKSGGNQNDESAQDIKTE >KVH90118 pep supercontig:CcrdV1:scaffold_2:654885:655732:1 gene:Ccrd_007945 transcript:KVH90118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MEAVVGLVMNGVEGSASSTDSSSFLLQNSNYMSVISRNGGLGFTFMQMEELKLQALIYKYVEAGLPVPSHLILPIWNSVLTSLSGSGCDRSLYDNYKNSMEAEPGRCKRTDGKKWRCGKEVVIGHKYCERHLHRGRSRSRKDVEADAIAATAIDASHKNL >KVH90086 pep supercontig:CcrdV1:scaffold_2:838190:844301:-1 gene:Ccrd_007928 transcript:KVH90086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase, MBOAT MEMESMASAIGVSVPVLRFLLCFVATIPVSFFHRFVPGGPAARHLYAAFTGALLSYISFGFSSNLHFFVPMLISYASMVLYRKRCGIISFLSAMGYLIGCHVYYMSGDAWKEGGIDATGSLMVITLKVISCSINYNDGLLKEEDLRESQKKNRLIKLPSLIEYVGYCLCCGSHFAGPVYEVKDYLDWTERKGIWTKSEKGSPSPLGATLKALLQAAFCMGLYLYLVPHHPLSRFSEPIYKEWGFVHRLSYQYMSGFTARWKYYFIWSISEASLVVSGLGFSGWTNSSPPKARWDRAKNVDVLGVEFAKSSVELPLVWNIQVSTWLRHYVYDRLVQKGKKAGFFQLLATQTVSAVWHGLYPGYMIFFVQSALMIAGSRAIYRWQQSVPPNAVILKKTFMLMNFAYTLLVLNYSCVGFMVLSLEETLAAYGSVHYIGTVIPVVLILLGNIIKPKPARSKARKEA >KVH90039 pep supercontig:CcrdV1:scaffold_2:557724:565100:-1 gene:Ccrd_007953 transcript:KVH90039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MASKLCFTVTNSRFFTAPLPRPSVSLSVSSTLSSSSRVQSNKLIGRDVLSRRRLVIISPRATTDQPGQVKDDVVDSNIMPYCSIEKQQKKSLGEMEQDFLQALQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEAAMAYVSGNPIMTDAEYDKLKMQLKKDGSDIVVEGPRCSLRTRKVYSDLSVDYLKMFLINVPAAVVAVGLFFFLDDITGFEITYLLEACVLPEPFSFIFTWFAALPFILWLSFSFTSLIVKDFLILKGICPNCGTENNSFFGTILSISSGGTTNNAKCTKCISCIGCLSAEHLDPGPRHVVWGRVCRFSHGQKLVTNGYGTNTAALVRRSLAMDMRVGSVIRFVVSNLHGVPMVWPRSQRQTSAHPTDPRTLNNGGWCNPPRTHLNMAKPAFMQIARWKA >KVH90076 pep supercontig:CcrdV1:scaffold_2:198620:200069:-1 gene:Ccrd_007979 transcript:KVH90076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPEEGEEGGGEEHAKDGETGNGAEYGEEDEEDSDGSDELEDERELKFTLLSGSSGDSTTDANVSVSPSDDLFFKGSFVPVDQTTATNSKPPQFRVSLMKSATKFRVMMLKFKKSKNAESVEGTESNASGSSNSKDEQKKEDDGEKAEQKGESEDSGKSMTVKFKVEEVPIVSLFQRHNSSKVPKKRDNSDESSSASSEEKKFSKEAMQRYLRKVKPLYVRVSKRYGEKLKFSGQLSFQGATKPNIAPSPATEEQKQSPAANHKREKESTPEVSEPPLLPSNAKGLKQGNLPAGLRVVCKHLGKSRSASTAVAASPPGTISANRRDDSLLQQQDGIQSAILHCKRSFKASRDSDCSSRSSSSPAAQYAKCLNSWSSDETEKGDDYGQKAGI >KVH90043 pep supercontig:CcrdV1:scaffold_2:525533:533275:1 gene:Ccrd_007956 transcript:KVH90043 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2, central domain-containing protein MEVEMEDDNSSSNTVVEFTFTAVEIDLDYEFEAARFFDFKREESLEEAREAEMWFDSVESYPPSPFAVRLLSRELSENADVASQSKGFDDASQLDGVSDVRAPEDSAMALRNTAVNSLADGDGVNEGVPLELKSYYLQAFQKQQSTSTIPRGLGFKDSTRNDNYKSKTKPSWKPSFPRTSTLMKPTASQLAKQNQERLVDHFRFQKLGNSSSGIESQAAKRQKLEGGHLCKVTETKQQANFVHKPPKREGTFDGNAGHGRLRITVPRPPDLATAQRAQRIRPKGDIGSEHVASRAPGFRALPLNRKIFEAPSSLHQKRSTPQLPEFQEFHLKTTERAAQNAAAVPSTSACGNNLKVPQKPSFAFAAESSNRESKGSHVASVSKQEDCETIHRFKALPLNKKIFSSKGDLGVFRSSKRETTVAMVVAHNGIYFHLPTLQLLIDFIDFHLLIFPQTFNFQTEKRAHHAPPVDLFNKLSLASELQQDSGSQLNRPRSRPRPSVFTKGSKENRVCSFQQQSEMKHLSTGKLLRMGSKQVLFDCDKTITEGTPLLTISRNLVIR >KVH90012 pep supercontig:CcrdV1:scaffold_2:799313:800266:-1 gene:Ccrd_007932 transcript:KVH90012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSNNDNDVAGEDEDEVSSIFQRRHSNVEGTKRLMHKTRRIEGVPQLEPIKLEDRMTRLVVEPTHACHEFEQEDKQLENKAVALLQLMISTNSHRFFKSEAVKSLLLGFFKERMFEENISDYEMLHTTREWMDGQTQELFLDWESQKNRQTYARAMEKGVKWSDYGEEDEKKDIGLELEHEVFTSLVDDILLDFHL >KVH90057 pep supercontig:CcrdV1:scaffold_2:52816:61282:1 gene:Ccrd_007989 transcript:KVH90057 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MASREGDPALGYLTRKETEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELADYRLRKRKEFEDLIRRVRWNKSVWVKYAKWEESQKDFNRARSVWERALDVDYRDHTMWLKYADVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWEPDQQAWLSYIKFELRYNEIERARAIYERFVQCLPKVGAWIRYAKFEMKNGEIGRARNCYERAVDKLADDEEAETLFVAFAEFEEKCKETERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEEEVRKNPLNYDSWFDYIRLEESVGNKERVREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDIPRTRDVYSECLKLIPHKKFSFAKVWLLAAQFEIRQLNLSGARAVLGNAIGIAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLSETERARAIFELAIAQPALDMPELLWKAYIDFEIAEGEFERTRQLYERLLDRTKHLKVWISYAKFEASAMEEEEQHEDLPEDVLQEQRQLCIQRARRVFENAINYYRTSSPELKEERAMLLEEWLNMESSFGELGDIELVRVKLPKKLKKRRQIEIDDGPAGYEEYIDYLFPEESQASNLKILEQAYKWKKQKIASDDDDDDNDDDDDDID >KVH90031 pep supercontig:CcrdV1:scaffold_2:474002:474420:1 gene:Ccrd_007962 transcript:KVH90031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTNNIECMSPPSSLHFLTQKTKSKQARFRIRIQVRIQRLNRVNKFIEERMKHEMELQNLKLYMENLSILKENEMLRNKATQLHQENLELLSIFDKKRFKL >KVH90038 pep supercontig:CcrdV1:scaffold_2:388228:391734:-1 gene:Ccrd_007965 transcript:KVH90038 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MIEGREGMNSSGVTVVGSDAPSDYHIAPRTEAPSKLATTGSAPQSITSQMAASSPTYPAAPPPAGVTPLTEKKKRGRPRKYAPDGSVRKALSPKPISSAAPPVIDFSTGKRGKIRPTSAGKLQRQPPKETEALGDWVSCSVGANFMPHIINVNTGEDVTMKVISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLAGSFIPSESGGIRNRSGGMSVSLSSPDGRVVGGSVAGLLVAASPVQIVVGSFFTGVQQVQHEQKTKKQKPENITITVPTTAAVPVAVPVPVPVQAPAATNEPPYSTTAKQNPSFRGDNWSSFNTPESRSKATDINVSLQ >KVH90082 pep supercontig:CcrdV1:scaffold_2:926932:927639:1 gene:Ccrd_007922 transcript:KVH90082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MGEPIDSNHDMTTKPNPLRPPPPPPPYPPLKAHRQSSKKKNRPKVFRVVRSVFRSFPVKTKRFPFYSSHFSGGSSSDHVTATLFGYRNGGVSLCIQENPYAFPVLILEMGLETSMLEKEMSMGFVRIVLECENKETMRLVEEPSWTVYCNGKKSGYGVKREATEEDLGVMEKLQPMSTGTGALPGKSDVEGGDCEMAYVRTHFDRVVGSKDSKTLYMVSSDRNNGPELSIFFVRV >KVH90034 pep supercontig:CcrdV1:scaffold_2:472767:479215:-1 gene:Ccrd_007961 transcript:KVH90034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf49 MAILPTLLSSSNPLFFCPQNTQKTLNPKSCNPNTHHTKITRKILISSKFHNKKAIAALMGAGFALTFTTPLFVGAAELPSLVGSSLQLVEPPNALSLPTWAIHVSSVVEWVTAMILVWQYGEASGYQSWKGLSWGMVPLLGGAFCACTWHFFYNAESLEVLVALQGALTFIGNATMCIAAYRIYKSSQERSTDA >KVH90073 pep supercontig:CcrdV1:scaffold_2:323824:326235:-1 gene:Ccrd_007970 transcript:KVH90073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MMAAETSTTTTQPPPESTDEMVVKKVHKRYEGLMMVRTKAIKGKGAWYWAHLEPVLVQNPDTGLPKAVKLRCSLCAAVFSASNPSRTASEHLKRGTCRNFNSDSNPRPISSISPTGIVALSSPTSSSSPQPQQNHRKRGSSGKHSGRHDGGGANTNTSATPSPTYSVAPVAMIEPARYPVDVSYPTRTDSIPALPTGNVTTGGLYSQQHHPQQLQQHMMLSGGKEDLGALAMFEDSVKKLKSPTSLPYQTLTKSQIDSSLELLADWVYENCGSVPFSSLEHPKFNNFLNQIGLPSVSRRDLAGERLDSKYKEAKTESEARIREAMFFQISSDGWKSNSDNRHPGEFENLVNLSVNLPNGTGVFRRAIFTSGYVFSNYAENVLWETVNEICETNLQQCVGIVSDKSKALRNLENQHHWMVNLSCQFRGVYGLIKDLSKEMPLFDNVTDNCLKVANFMNTKSQVKNSFLKYQLQEYGRARLLRVPICGGDNRFAFAFEPVFNMVEDILSSARALQLVLLDESCKIVSMEDQIGREIEEMMRDSQFWKELEAVHSLVKLIRGMAEEIEKERPRIGQCLPLWEELRLKIKNWCGEFQINENHVDKVFDKRFKRNYHPAWAAAFILDPFYLIRDTSGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYAQAVQLKQRDPITGKMRMANPQSSRLVWETYLTEFKSLRKVAVRLIFLHATSCGFKWNWSFSKRTQSRSSIEKAQKLIFVAAHSKLERRGFSNVDDKDSEFFAVANGEDDVLNDVLFDPSSL >KVH90033 pep supercontig:CcrdV1:scaffold_2:487313:490055:1 gene:Ccrd_007960 transcript:KVH90033 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MTYEDDDVVTLLKIAIEDPKDDYLILNEPEGLRGGGGGGGGSLASCSSARCSTSVGGGFWWSIWWWAKLVLVFVFLAVLGVCFFLWIGPFLMNKEVIPILNWETETFTQPALAVFIFVSVALFPTMFLPSTPSMWVAGMSFGYGYGFLLIIGGVTIGASIPYFIGSLFYHKIQGWLERYPKKASILKLAGEGNWFNQFRAVTLLRISPFPYMVYNFCAVATDVKFGPYLLGTLVGMVPEIFVAIYTGIMIRTLADASNDQHSLSAPQIICTVVGFLLTIATTVVVTVYAKRRLSELQKDEEQLLLQ >KVH90113 pep supercontig:CcrdV1:scaffold_2:688179:700002:1 gene:Ccrd_007940 transcript:KVH90113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF544 MALPPEEQRNREDSPTTKEMLHKTKLIQFLGRTTPIILQNDNGPCPLLAICNVLLLRNNLNLSSDVAEVSQEKLLSLVADRLIDSNSNVNNKDTGYVENQQQNIADAIDLLPRLTTGIDVNIKFTRIHDFEFTRECAIFDLLDIPLYHGWIVDPQDSDTSSAIGLKSYNTLMGELVALETQNPASGLKNSEEDSVDFVAATTASLGVPSPSLSRDKSFDDSPRSVSEEKKERKGDLEEEEELLRALKLSESESSPTANDVNVVNTVGNNLSECSSDSYLKKQEPAVPGEVHMGAENDELCPQKPSTADDNNTMNYDGDLKPFEIVPRQEVCSSSQSDNDKLHGQIEASSEKHDSKDEIEKASIDVLIKSEKVKESLDESDLPPPVNTLVHNSGDEKIQGQAVLSNDVNVVGNVESAGKTLDVSCSSAPIAGLESSKDMAQPIDDSKSLTSNADGNEPIYEGEEHIQETSTVNYENREPMYEGEVVLAEQVDKVSGSADETKAKDGITPQQGELIRNFLKNSASQLTIYGLFSLQEGLKERELCVFFRNNHFNTMFKFEGELYLLATDQGYINQPDLVWEKLNEVNGDTVFMTSNFKEFNAENHDARSWDEQNALANTAEYLSSIDNAAQENTSFNSDLQLAIALQQQEFEQQQQQQQAPPQRNLPQPNTSGEAGLVTGPQVGNAGVSINGVRDMKGILFDKILFGRESSCGEILASRKPENPFISAELYKGGLPLLMCKEECKKLRKSRKLGKQHVQPSRPRNSSSSSSKQESKSSKEKCIVM >KVH90075 pep supercontig:CcrdV1:scaffold_2:296803:301001:-1 gene:Ccrd_007972 transcript:KVH90075 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase, class 2 MATAAASSSIIESTAVQLRRIRVLKERIHHHPPPSSSSSNVGPVVYWMFRDQRLRDNWALIHAVDQANRLNVPVAGEATETIPSFLKECGASLLVTDFSPLRQVRGWKEEISKRVSDSVSIHEVDAHNVVPIWVASDKLEYGARTIRRKINRLLPDYLIDFPTLKPQSRKWPSTNRFIDWKMLIENVVRKGAEVPEIEWCEPGEVAALETLMGNNKGFLTTRIKNYSADRNNPLKPEGLSGLSPYLHFGQISAQRCALEACKVRKVNPQAVDAFLEELIVRRELADNFCYYQVHYDSLQGAWDWARKTLMDHASDKREHLYTKEQLEKAQTADPVNYYTFLMNILKHGFLYILTFFQLWNASQMYWAKKILEWTSSPEEALEIAINLNDKGWQERAVFGKIRYMNYAGCKRKFNVDGYIAYVKRLVGDIKKRKGQALLNNKAKQLTNIQRLHTKAN >KVH90112 pep supercontig:CcrdV1:scaffold_2:1061551:1062337:-1 gene:Ccrd_007910 transcript:KVH90112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MFIENVKANAASGMAVHDACKLKFLELKAKRNYRFIVFKIENRTHQVVVEKLGQPDDTYEDFQKSFPANECRYAVYDFDFTTNENCQKSKIFFVAWQVPKSRLDHHENTLTLHISVSIFKRSPDSSKVRSKMIYASSKDRFKRELDGIQVEVQATDASEMTLDVIKSRAL >KVH90050 pep supercontig:CcrdV1:scaffold_2:101005:105891:-1 gene:Ccrd_007986 transcript:KVH90050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KVH90121 pep supercontig:CcrdV1:scaffold_2:618011:619306:1 gene:Ccrd_007948 transcript:KVH90121 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPH3 domain-containing protein EIYGKSKKKIEPQQEHEKRVVLETIVGLLPREKNAISISFLSMLLRAAKYLDTTVVCKLDLEKRIGLQLSQAVLDDILIPSFCFDGDTMFDGDTVQQIMMNYAYPALSDMD >KVH90081 pep supercontig:CcrdV1:scaffold_2:887119:894274:-1 gene:Ccrd_007925 transcript:KVH90081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MEANAGLVAGSHKRNELVRIRHDSDGGPKPLKNLNGQICQICGDTVGLTETGDIFVACNECAFPVCRPCYEYERRDGNQHESQQPLPLLTNGQQVSGEIPSITPDNLSVRSTSGPLGPGDKHVHSLPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNLMQMTNRHGGEGKGGEIERTGSNGEELQLADDARQPMSRVVPISSTHLTPYRVVIILRLIILGFFLQYRCSHPVNDAYPLWLVSVICEIWFALSWLLDQFPKWSPVERETYLDRLALRFERDGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVEKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLAEVDLEPNIIVKSCCGSRKKARHSNKKYVDKKRAVKRTESTIPIFNMEDMDEGVEGYDEEKSLLMSQRSLEKRFGQSSVFISATFMEMGGIPPTTNPATLLKEAIHVISCGYEDKSEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLKLLERIAYINTIVYPLTSIPLLAYCVLPAVCLLTNKFIIPEISNFASMWFILLFISIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYIFKWTALLIPPTTVLIVNLVGIVSGVSSAVNSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDNTLDATRGQCGIDC >KVH90106 pep supercontig:CcrdV1:scaffold_2:992968:997668:-1 gene:Ccrd_007916 transcript:KVH90106 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MFKSARWRSEKNKIESIFKLQFHATQLKQLTGDTLIISIIPADTGKPTTRLEKAKVKDGSCYWEKPHYQTLKFVRDPKNGKFNEKVYHFVMATGSSKSSCVGEVSIDFASFAEATKTTSLSLPLRNGNCAAFLHVLIQRVQESLDQREVDGTENAKRQDRSLRAQLSNDDMEESISSSPNEDHGTLSDNVKRESRASSGSEITLSGSDSSSGLDDTPREPEPKKTKPTHEPLATTIYEERRERASHWDWLDGSPPDMSTDDSSPSPGEPEPELLPGDTSEEGSPDSVIKTLKIELEVLTRQADVSELELQTLQLNYEKDLNSNLRLQLQKTQESNTELILAVQDLDEMLERKDSEMSKSKCCKARELQKVKPETDDDDEDQKALEEIVREHSGMQEGYLLEQKITDLYGEIELYKRDKDELEMQMEQIALDYEILKQGNHDMCCKLEQSQLQEQLKMQYECTSYPVVNELEAQIESLDNELKMKSIELSESVLAIEELETHVKNLEKDLEDQGRGFEADLEDLMNAKVEQEQRAIHAEENLRKMKMQNANTAERLQEEFRRLSAQMTSSFEANDKVALKAMFEANQLRLEKRHLEEKVKQDLDLINVRCEEKLVDLLSQVTQKSTELEKMENQIEYMSAEIETLRADKQNLENERKGLTNEVHLAKMELESSRKEFFELMDANKQKDGNYERLQSEMEALKSRYNDMKLSLIEDESEKEKLRKQISELKCDLKNSKDAISSMEQKIKESSNRVKALEGSKAASRNNRCSSKEVTNLKNMIELLEEKDLMNQIKELERRLEVLDQSIAISQACRGEEIASLKRLNTSMEVELMEMQERYSEISLKFAEVEGERQRLVMTLRSRIKKC >KVH90108 pep supercontig:CcrdV1:scaffold_2:1015897:1031033:-1 gene:Ccrd_007914 transcript:KVH90108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLVHCTSLTGVPNPRSENTHSYAHTLKRIISPNKTSSLINDHLQMNDNSGNNSNNRRYPPGIGNGRGGGGWYGGGGGGNFQANPNYHHQPRNANQIQQHQHQHQQQQQSQYLQRQQPTQQQYNQKQQWLRLNPNIPGSSTANSNNYEVEKTVQSESSVDSSSQDWKAHLNIPAVDSRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDTDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTKKGVCILNDCAMLVMDEADKLLSPEFQPSVEHLISFLPESRQILMFSATFPVTVKDFKDRYLKKPYVVNLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRNAETYRIEQELGTEIKQIPPQIDQAIYYDCGE >KVH90094 pep supercontig:CcrdV1:scaffold_2:1250190:1251726:1 gene:Ccrd_007894 transcript:KVH90094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MEGKEEDVKLGANKYSERQPIGTSAQTDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFIATFLFLYISVLTVMGVVKSPTKCGTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQGDAQFTTLGGGANVVAHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKSHAWDDHWIFWVGPFIGAALAAVYHQFVIRAIPFKSRS >KVH90063 pep supercontig:CcrdV1:scaffold_2:1082811:1088333:1 gene:Ccrd_007907 transcript:KVH90063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MATKFLSLTCIRGTGAGDGYSRGLSPRPHYPSMPKYPKGVSSESDVEGNMERLESRVLLSVTGMTCSACAGSVEKAVKRLPGIKEAVVDVLNNRAQVMFYPSYVDIVVKKSVELPDLHELREFRTEETIRETIEDVGFEATLIMEETNEKSTQICRMLIKGMTCTSCSSTVESALRAVHGVHRAQVALATEEAEVLYDPMIVSHGQLLETIENTGFEAILISTGEDRSKIHLQIDGPWTDGSMRMIEKSLQALPGVEKIEIDTNLRKCSLSYKADLTGPRNFIQVIESTGSGRFKAKIFPKGGGRDSHRQEEIEQYYRSFMWSLVFTVPVFLTSMVFMYIPGLKHILDSKIVNMMTVGHLVRWILSTPVQFFIGRRFYTGSYKSLRHGSANMDVLIALGTNAAYFYSVYSVLRAAASPHFEATDFFETSAMLISFILLGKYLEVMAKGKTSEAIAKLMDLTPDTATLLSFDSEGNVINEEEIDSRLIQRNDVIKIIPGAKVASDGFVTWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATRLGSESALSQIVQLVESAQMAKAPVQKLADRISKFFVIALSLSTWLAWFVAGKFDGYPKSWIPSSMDSFQLALQFGISVMVIACPCVLGLATPTAVMVGTGVGASQGVLIKGGQALESAHKTGTLTIGKPLVVNTRLLKNMVLREFYGLIAAAEVNSEHPLAKAIVEYAKKFRDEENPVWAEAQNFESITGHGVKAIVQNKEIIVGNKSLMLKKKIDISIDAEEMLTEIEGLARTGILVSIDGKLTGVLAISDPLKPGAREVVSILKSMKVKSIVVTGDNWGTANSIAKEVGIDDVIAEAKPEHKAEKVKELQASGLVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFTRIRLNYIWALGYNLLGIPIAAGILFPFTGFRLPPWVAGAAMAASSVSVVCCSLLLKNYKRPKILETLEIQGIATA >KVH90053 pep supercontig:CcrdV1:scaffold_2:168696:170889:-1 gene:Ccrd_007981 transcript:KVH90053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MNGKEGSFGIKISSNTKDKLPETKNKFKDWMYTIWEFAKEDTSRVTFPLKVGLAVLLVSLLILFEAPYQVFGTSIIWSILTVAIIKGFNRMLGSLLAGVLAIAVGELALMSGQVVEPVIIGISIFMIGTITSFIKLWPTLVPYEYGFRVLLFTYCLIIVSGYRMGNPVRTSIDRLYSIAIGAIIAVAVNALIFPIWAGEQLHKELVQNFYSVADSLEECVNKYLADDGSERPEFTKTLIDEFPDEPAYKKFRSILNLSTKLESLALSAKWEPPHGRFRHFFYPWSEYVKVGTVLRYCAYEVMALHGVLHSEIQASYNLRFAFQTEILETTSKATGLIRCLGKDICDMKQTLKCSSLKSVHNSTTRLQRAIDMHLYLHASGYEQSDNSSKPFPKLSHTLSSTISNLSNQLAEFNTEITEDETRRKQSTRQYSWPSRDTSTFENDTNDIPRIRAWESTAALSLATFTSLLIEFVARLDHLVEAVNELSKMAKFKHESL >KVH90025 pep supercontig:CcrdV1:scaffold_2:1387447:1390999:1 gene:Ccrd_007881 transcript:KVH90025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQAHLDKMQLRQNYRNVWHTDLMSTISADTPYCCVSLFCGPCVSYLLRKRALYNDMSRYTCCAGYMPCSGKCGESKCPELCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACICSIVACLVGNEELSDASQALNCLADMVYCTVCACMQTQHKVEMDKRDGKFGPQPMAVPPAQQMSRFDQPYPPNVGYGQPTYGGYPPGPPPAAYPPPGYPAPS >KVH90052 pep supercontig:CcrdV1:scaffold_2:174997:181438:1 gene:Ccrd_007980 transcript:KVH90052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MCLRSQVDFCKSGWHGLKYPFVSLRTALHIEGQFGNSRVTSKLFQTPHSSHASSHSSTHALTLILTILTSTTAMGNCNGIPAAADGGATTTISSNPINSNEPPPQKRSPPNGLTILPASEPAPPRHPLPATVGRVLGRPMEDVRATYTIGRELGRGQFGVTYLVTHKSTGQKFACKSIAMRKLINKDDIDDVRREVQIMHHLTGHHSPLKATDFGLSLLVAAGDVFKDLVGSAYYVAPEVLRRHYGAEADIWSAGVILYILLSGVPPFWGETEQSIFDAVLRGNLDFVSDPWPSISSSAKDLVKKMLKADPKERLTAVEVLNHPWMREDGDASDKPIDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFISATMHLNRVEREDHLYTAFEYFDNDKSGYITVEELEHALKKYNMGDEKTIKEIIAEVDTDNDGRINYEEFAAMMRKGNPEMIANRRRK >KVH90041 pep supercontig:CcrdV1:scaffold_2:580858:582017:1 gene:Ccrd_007950 transcript:KVH90041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 MESKDLLYCAITAVLGIISAAMGFAGEVTRVKVTEIYIVDDTCVYPSSPALALGIVAAVFAIIARIYISVSFGGSGCCRSGPNSTPISKLFFVLSWVASVGAVLLLLAAAGLNHREGGEIDSYGYITCYVPKPGIFAAGAVLALLSAGLGIVAYIVILSSTAQRTTHPSIGLPAAHANVDVEKYPQQYTPQQYTHQQYPPQQYTHQQYPPQQYPPQQYPTHQ >KVH90095 pep supercontig:CcrdV1:scaffold_2:1224635:1227775:-1 gene:Ccrd_007895 transcript:KVH90095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSPKCPPTVEPNQFGCVWNFSDVFDHRHHHHGRPHRKMLSDESHGSKGVPGAEHTRNLVRVLTFDEQLRNINIESKKKTASVKQSLKGETSSSDHTNKNNEVWKNTMDKSFWKRIKSRYENPNKKKENPSIVDRIVVLKPSQHVVECPVDVGCRCSYLQTHNRSTSKQQIVKHTQVSFNDVRKNLKYAKKLKSIRKTRSCEAFEGGEIDGSASKMEKVSLVPFSKQGEPEIFMEARRHLAERLRQVAMGESKPSTSSSKRGSRTLERILLSSPIHESMATFGRKSEEIFSLQTRKEKTWTGSSSLNQIEDSSATGFFEIMDADDLLRGVTKFSNKDSVPNNAGVMGRDGCIKRSLLEFSLDVSSPKADCKSQNMDHDQFRENPSPVSVLESFFTDNVSSPTSTVESVELQIQPHRLDFEEHSSQTSSPPYQKTSLSSFMEDRGFISSYVNAIYQTSQSNWEDFLVTDYPSESSCDHKLLHDCVKEVLIASYSPWMTLFNSKVRPFSLEKDVVDEVIEQVGWHTSQPMVPRTLDNLVRRDITKCGQWVDVISHRNDIVFEVVDETLQVLIMEAISDIHA >KVH90090 pep supercontig:CcrdV1:scaffold_2:1264601:1267290:-1 gene:Ccrd_007890 transcript:KVH90090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVNDTRETINAAASAIASEENRPPHSSSVQKRRWGSFWSISSCFGSQKQKKIGHAVLVPEPSSSRTESSTIVNPSQPSSLVLPFIAPPSSPASFLQSEPPSAAQSPGGLLSFTAASSGLYSPSDPTNMFAVGPYAHERQLVSPPVFSTYTTEPSTAPVTPPPESVHLTTPSSPEVPFARFLGSANQNGEVDRKFSSSHQEFQMYQLYPGSPMGQLVSPSSGVSNSGTSSPLPDGGSVPHFLRYPTGAKRSPSEWEWREASGTMTPDPSGTQSVLDRQDSDVGPLTKFSNDDQHAIDHRVSFEITPDEVVRCVERRLTADVAGGGGDSSGDEVASHHRSTATLGSGRDFNFDSRDGGDANWWKVVGKESGGGPIKNWAFFPVGVN >KVH90104 pep supercontig:CcrdV1:scaffold_2:961562:966281:-1 gene:Ccrd_007918 transcript:KVH90104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKQLISFQLFCLTLGFLLPVYEAVQPVEVLALNSFKEAVFEDPFLVLSNWNSLDSDPCNWFGVSCSGSQVTKLNISGYSIKGFIAEELFQLSSLQELILHGNNLIGSIPMAIGSLKYLKVLDLGKNKLSGPIPPEIGNLDNILKINKLHGTVPGSNASGVSSGSRGMDKQGFCRSSSLKVADFSYNFLVGSIPKCLDYLPGTSFRGNCLHFKDAKQRTPEKCGIAPPAKTHTAGETRLRPAEDHDKSLTHDSKHVWILVIEVVIGVMVGSLFLIALLAVILRCKSKPSAMNLWKKSTNEKDRTSIYLDSNMLKGVARYNRQELEVACEDFSNIIGSSSDSLVYKGTMKGGPEIAVISLCIKEEHWTGYLELYYQKEVADLARLNHENTGKLLGYYEDGCNLSWSRRMKIVIGIAKGLKYLHTEIEPPFTISELNSSAVYLTEDFSPKLVDFESWKTILARSENNSRSISNEGDICVLPSSFEERHLDIQGNIYAFGVLLLEIVSGRPSFCKDKGCLVDWAKDYLEKQEEMGSVVDPALKYFRDEDLKVICEVVSLCLHLRPRDQISMKDVCGMLERTIDTSISSEAKASSLAWAELMLSP >KVH90014 pep supercontig:CcrdV1:scaffold_2:775092:778379:-1 gene:Ccrd_007934 transcript:KVH90014 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MKGEEQVRTLFGISLTDKPIWQQFFICSSGFFFGYLVNGICEGFTPKQMVNPWNMYVKLSAVLMGSHGLTKGSLAYLNYPAQLMFKSTKVLPVMIMGAFIPGLRRKYPPREYLSAVLLVVGLILFTLADAQSSPNFSIIGVVMVSGALIMDSFLGNFQEAIFTINRETTQMEMLFCSTIVGTPFLVPPMIVTGELFKAWKSCYDHPYVYGVLIFEAVATFVGQVSVLSLIAIFGAATTALPMSEQHGIGLILIAAGIMMKLLPDHKIPPQLRLSNSIVKQVKSFPKEETSESEDEKRPLV >KVH90016 pep supercontig:CcrdV1:scaffold_2:756626:763065:-1 gene:Ccrd_007936 transcript:KVH90016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase, C-terminal QQGLLASRIANLGEEQDLLQTEPDLPKIACLRESYRDIGRDLLKLLFFVEINAIGLRKILKKFDKRLGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLAELEDRRGSFLSIYDQPTRPLQDPVIDSIKAAVDRLSYSTNFLTFLAQHALIMHEELPTPTEEPVDDQRYHFMSLFLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAGAQIFSSIYFSAWSNKSYFQPLVFSSIVLLVGNVILGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQVEFKIYMITVNQNTLPGRTEGDALEKGPTQPLLLGSEAHQDEENDDQECDGSEEPSEDSRAPVQLLIYFMLKYAMEILLAESSVITSYYFNWTTGKVSIFLVCLGLTVLPVNMIVGSNISNMFEDRYISWPTIPFNYLLPVHGNTIPVKTTSNIQILLASEIMVCLGIIANFHIIVPYTVTQYVTSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTFNGGLLSTEAGTIARVIADATITLGGWSEKMAAHLSALLLLHGFCFAHQDSGFTFMHQATVAPMVAYYDYIIVGGGTAGCPLAATLSQTSTVLLLERGGSPFGNPNITHLSAFGAALSDLSHKSPSQRFVSEDGVINARARVLGGGSSLNAGFYSRAGSEYITSAGWDAKLVNESYRWVERAVAFQPPLRQWQSAVRNSLVEIGIRPYNGFTLDHLYGTKVGGTIFDGYGHRHSSADLLHHANPDGLTVLLHAPVQKILFTTEGKPKPTANGVIFTDASGLSHWAYLTRGLYNEVIVCSGSLGSPQLLMLSGLGPEKHLEAHNISVVLDQPLVGQGMSDNPMNAVFVPSPRPVEVSLIQVVGITHNGSYIEGASGENFASETQSPQDFGMFSPKIGQLSTVPPKQRTEEALNRAIEAMRFLPRSAFVGGFILEKIMGPLSRGHLELRSLNPNDNPTVTFNYFKDPSDLERCVQGIKIIEKVIESKSFSRFRYNYLPIATLLNMTANAPVNLLPKHANASRSLEQFCKDTVMTIWHYHGGCQVNKVVDRDYKVIGVDALRVIDGSTFDYSPGTNPQATVMMLGRYMGMKILYERPGQNGTVFSKHTKT >KVH90046 pep supercontig:CcrdV1:scaffold_2:494084:497572:1 gene:Ccrd_007959 transcript:KVH90046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVVLCWVGLVDGINAATDQCRDYSGVFCDSFGNVYKIVLWNTSLGGVLSPALSELKSLRILTLFGNRFTGNIPPEFQELTTLWKINVSSNALFGPIPEFLGDLPNIRFLDLSKNGFEGQIPSSLFRFCEKVKFISLSRNNLTGSIPAFISNCLNLEGFDVSFNSLNGGFPSEVCEIPGLVYLSLRNNLLTGSVEEQVSRCQRLELLDLGSNLFTGIAPFRVLESSNLSYFNVSYNAFEGQIPVTQSCSEKLEVFDVAGNGFQGEIPMSIVSQCSGLKVLDIGYNRLNGEIPVEISKLKRLTVIKLGNNSINGEIPEEFGTIELLQVLDLHNLDLEGGIPDTLSNCRFLLELDVSGNSLQGQIPETLYNMSYLRILDLHKNNLNGIIPPTLGNLSRIRTLDLSENSLSGSIPSSLTNLSNLNHFNVSYNSLAGPIPSFSLQNFDSSAFSHNPGLCGAPLDQSCSGDSTNSKSRRPKLSVSTIVAIVAASLILTGVCLITIMNMKARRRRRRREDETMIIESTPLASSDSNLIIGKLVLFSKTLPSKYEDWEAGTKALLNKDCLIGAGLIGTVYRADFEGGISIAVKKIKTLGRIKNQEEFEQEIGRLGTLRHPNLVTFQGYYWSSSMQLILTDFASNGNLYDNLHGVGYPGTSSGAGNRQLTWPRRGQATDCFDRSLNGFVENELIQVMKLGLICTSESALRRPSMAEVVQVLESIRSGSESES >KVH90110 pep supercontig:CcrdV1:scaffold_2:1031782:1051543:1 gene:Ccrd_007912 transcript:KVH90110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MVMQKVMARSATGFTGFGGEDVPDGEEFSYPRSRYLLMADHNSDEGVSRTNVAIGSFESTGMTDQHSDERVSRDDDDVERFETIDMESSDEGVSRTNVVVGSSESPGVAKQHSDKGLSTSNVAVRSPQLTGGADQHSDAGLSIADVAVESLKLIGTADKHPDEPATTNVENSESTVEINIKTLDSQLHSFNVDKNMPVSMFKEKIARELDLPVEQQRLIFRGKVLKDGDLLSEYHVESGHTLHLVARQPSESQAASGSPNAETTASISNTGQDANTTGTRSPVVGHVSHSVVLGTFGVGDQNEGGNPDISHFKPFSPFKVIGAVLNSFGMGGQTPMGGIGGAQPNMQFSIPVQVAQGNETGASVSNHGQSGNQSQPRSPSQSMPQGLQNPLGAATIVPTLATPIPDSLNTLSEFINRMEQALSQNAYQPNHPSLSTEGLPAVELPSNGHGLLSPTALAVVLRRTQRLLSGPAIDSLSHTAGRLEEEEHITNVTVRTQIQTEAMQSGLAMQHLGALLLELGRTMLTLRIGRSHAESSVNAGPAVYISPLGPNPIMVQPFPLQTNSLFGGNATAVGNPGAFGPIGVGAVPRHLNIHIHAGVGPRATNIETNPGELANRNGQADVVLPEVQTDTGNATSSGTRMKSLSGMESGSSDLKSNTPRNSSSIPLGLGSGGLQPKRRHRQTRSEAGGSGSSTSYTHNAANQSLTSAGGQLDPATIMNQVTQNPALQGLLAGVSNQPGSGSPDFFRNMMSQLAQNPAMMNTINQLAQQMDGNQDMASMLAGIGGCGGGGGGSGNLDMSSMVQQMMPFVSQALNQGSSSSNLLQSVPSRKGTLHRRYSSVKSLNVNERSSDLQVRSDPTQVSNPCEMHDVVMMNLENAAQKIEEQYPALEIFSSIAETAAALYDNVFDTGALDELCSDEELAQNTITLDLEREKKEQQGREMSTEKCIVCDETIDSDELLSIGRVSYHNTCFKCSQCHGTLMREAGDTISEANGDDASASNALTTTSRSLPSAGGQLDLDTILNQSLPSDGGQLDPNTIMNQLMQNLAGVSYQTDFESHDFLRNILDQITQNPQVMDAINRLGQQMDGNQDLGSMFATMNGCQGGGDFDMSFMVQQMMPFLSQALHRGGSSSNLLEHDPSLKHEHHRRCYSDSASLNGLPMDCQANLKEAAQKIEEENSAVEVFSCMVETAALLQDNVHDIYGLAELCSEEELAEEFMEMLKRDVCRRLGKRYVRCNTVP >KVH90011 pep supercontig:CcrdV1:scaffold_2:780115:789451:-1 gene:Ccrd_007933 transcript:KVH90011 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex, subunit THOC1 MDLFRKVITQPGKPEDFALQTVQEAIKPQRQTKLVQDENQLLENILRTLLQELVSAAVQSGENTMRYGELIGDGESTQGQIPRLLDIVLYLCENEHIEGGMIFQLLEDLTEMSTMRNCEDVFGYIESKQDILGKPELFARGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSAVNIKGVFNVSNETKYEKQAPDGISIDFNFYKTFWSLQEYFSNPASISLATTKWHKFSSCLTVVLNTFEAQPLSDEEGSADNLEDEAATFSIKYLTSSKLMGLELKDPSFRRHILVQCLIMFDYLKVTRAFHLLVHSQKLRSCAPGKNDKDLPSETMKEEIKSCEERVKQLLVMTPPKGEKFLHSIEHILERERNWVWWKRDGCAPFEKQPTEKKTVRDGGKKRRPRWRLGNKELSQLWKWADQNPNALTDSQRVRTPAVTEYWKPLAEDVNSRIIRMDASAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTEFGVEGVVPMELMPPDVRSKYQAKPSDRSKRAKKEETKITANQAEENQIATPASEVDGEVNRPDLEASTAPMDTDAANVSLGGTPTPEEQQKQTPDTDGGQEPGQIDEPEPDQEPEPGMIEGETDAEVDTIVVG >KVH90085 pep supercontig:CcrdV1:scaffold_2:940847:942962:1 gene:Ccrd_007921 transcript:KVH90085 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated interaction domain-containing protein MEEDNQSLMELPKVNLEGVLARLPLQSLLVCCCVSKSLLNLIENDLDFAKEHFAISESQLMIHSPETTSFHLIDLDADATPGFGTRVEIKPNFNLPLHGFEVADSCNGLVLLESVDMVNGSHRCIVCNPVTGEYIMLPETKLLSRYATCALFYCPRTNQFKILRAFHQVFSLEGHDLGPGLDASLDSDPDLAVELDPSSDSDLDSDSASELNLFPDYDGNLDSGANTDDSSLSSDSDSDSDSSSIYSESDTMGELLVGGSDSWESLGNLPFSPLTIYSPCYLDKATHWLCVEESVQNLIVFFNFESHQFGEIPAPAHLGKTYINAYWLVALGGFLSIFDSFTTKEKFDIWVMKEYGSWTKDYVIDTTAWGAFHRDLFYKPAMCRRNGEVVMISEEGYILFYDLSKKNGRIIKHRRIDFPDRAVVHTPSIMSLRDAMRDSNMRVLNVASRFGRPELNPHSYLEFAGTFSE >KVH90069 pep supercontig:CcrdV1:scaffold_2:253985:260321:-1 gene:Ccrd_007974 transcript:KVH90069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor class I/class II MAAIRTTATIFGREIFRSSTSLTLIRTHALRIPTRFSFISRSISYTPLRCAAGSDSGGGGRNKASARMSQVQQLLHDAKERSAGRDEPIPKITLDHVSVSFARSGGPGGQNVNKVNTKVDMRFNIKEAYWLSERVKEKILQMEKNRINKDGELVISSTKTRTQKGNIQDALEKLQEIIDAACYVPPPPSEEQSCHIRIEKAAGQEGPFTKESIQKKPRQRARFETYRHRFYVIGATSAAKVCSIHLEGVLHRSYGLV >KVH90015 pep supercontig:CcrdV1:scaffold_2:732350:738313:-1 gene:Ccrd_007937 transcript:KVH90015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase, eukaryotic MAPQNVGILAMEIYFPPTCIQQDTLEDFDGVSKGKYTIGLGQDCMAFCSEVEDVISMGLTAVTSLLEKYEIDPKQIGRLEVGSETVIDKSKSIKTFLMKVFEECGNTDIEGVDSTNACYGGTAALFNCVNWVESKSWDGRYGMVVCTDSAVVDGKLSQTCYLMALESCYKGYCRKYEKLEGKQFSIADADYFVFHSPYNKLVQKSFARLVFSDVVRNASSVDESAKEKLGQFASLTGDESYQNRDLEKASQQVAKPHYNKKVXPXTLINKQVGNMYTASIYAAFASLIHDKNSSLDGNRVMMFSYGSGLSATMFSLHLTEGKAPFSLSNIAKVMNVDEKLKRRTEVVPEKFVELMQLMEHRYGGKDFVTSKDTTLLAPGTYYLTEVDSKYRRFYARKTTKLANGH >KVH90089 pep supercontig:CcrdV1:scaffold_2:1252455:1255841:-1 gene:Ccrd_007893 transcript:KVH90089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase YITIDRYYLYPDLIILILVLIRFRIKFKQQSKGLIRIMTWLFSLFNGASGFSGNSKAEEVTNGIDGTGLTAIVTGATSGIGLETARVLALRGVHVVMSVRNVKAGKEVKESICEKIPNAKLDVMELDLSSQESVRQFAADYISKDLPLHILMYENNFLPSSFTIFVEEGANVTVNSLHPGVIKTNLQRNQGFVASTISRVIYTFMKNIPQGAATSCYVALHPQVKGVSGEYFADSNIAKASKYALSPEMAKKLWDFSLNLTKLK >KVH90055 pep supercontig:CcrdV1:scaffold_2:145315:146778:1 gene:Ccrd_007983 transcript:KVH90055 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal MEAASLSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETLDRAHTEGQLPLTGHYTPRDFVLSIQKPRSVIILVKAGAPVDQTIAALAAHMEPGDTIIDGGNEWYENTERRMVEASEKGLLYLGMGVSGGEEGARNGPSMMPGGSYEAYSNIKEIVEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNDELAEIFDEWNKGELESFLIEITADIFKVKDEHGDGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRFLSGLKDERVAAAEVLAAAGMKEEVGTIRSGIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKNWNLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLVVDPDFAKEMVQRQAAWRRVVGLAVSAGISTPGMCASLSYFDTYRRARLPANLVQAQRDLFGAHTYERVDRAGSFHTEWTKLARKNA >KVH90021 pep supercontig:CcrdV1:scaffold_2:1333020:1343955:-1 gene:Ccrd_007885 transcript:KVH90021 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-1, 2,4 complex subunit beta MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVLNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDTQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLDALKDLISDNNPMVVANAVAALAEIQDNSSTPIFEITSHTLSKLLTALNECTEWGQVFILDALSKYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSPDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQFDSSLLDELLANIATLSSVYHKPPETFVTRVRTLQKTEEEEFPEGSEGGYSETSSAHAADNGASPPPTSSTPPYATTKQPAPAAPVPDLLDLMGLDGDGGGDNAVVSVDQPVKTAGPPLPVLLPAASGQGLEISAQMVRRDGQIFYSLMFENNTQTPLDGFMIQFNKNTFGLAAGGPLQVPQVQPGTSERTLLPMVLFQNIAPGPPNSLLQVAVKNNQQPVWYFNDRISLLVLFTEDGRMERTAFLETWKSLPDSNEVSRDIPGIVINNVDATIEQLAPSNMFFIAKRKNANQDVLYLSAKIPRGIPFLIELTAVIGVPGLKCAIKTPNPEMAPLFFEALETVLKS >KVH90092 pep supercontig:CcrdV1:scaffold_2:1220540:1223455:-1 gene:Ccrd_007896 transcript:KVH90092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthase MDPQAVKSNVVLILDYGSQYTHLITRRIRSLSIFSLCISGTSSLKSITDLNPSVIILSGGPHSVHSQDAPCFPDGFVDYVEKNGIFVLGICYGLQLIVQKLGGEVAIGEKQEYGRMVIEVVKDYGGLFKGKQIGDKQVVWMSHGDEAVKLPIGFEVVARSEQGAVAAVANPGRRFYGLQYHPEVTHSPKGMELLRHFFFDICGITAGWKMEDVMEEEIKVIKGMVGPDDHVICALSGGVDSTVAATLVHKAIGDRLHCIFVDNGLLRYKEQERVMGTFESDLHLPVTCVDASVQFLSELKGVTDPEKKRKIIGKEFISIFDAFAHDLEQKLGRKPTYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKILDVPVSFLKRHPFPGPGLAVRIPGDVTQGNALDILRQVDEIFIQAIKDAGIYDVIWQAFAVFLPIKTTGVQGDQRTHSNAVALRAVTSQDGMTADWYYFEHKFLDDVSRKICNSVRGVNRVCLDITSKPPSTIEWE >KVH90065 pep supercontig:CcrdV1:scaffold_2:1151774:1152853:-1 gene:Ccrd_007901 transcript:KVH90065 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MVESISATSLLGHRPILGHYWKDSLGKHVAVNPNRSPRVRVIKAQAMELTREVYNFREEDRFRKNSDHQIDTNLDKKSGLWPPKNKADNPSLQNPLLRQERMGCGWLGAIFEWEGVLIEDNPDLEKQAWLALSQEEGKSPPPAFLLRRIEGMKNEQAISEVLCWSRDPFQLKRMASRKEEIYQALQGGIYRFRNGSQEFVNVLTRYNIPMALVSTRPRKNLEEAIGAIGITGVFDAVIAAEDVYRGKPDPEMFAYAAQLLQFIPERCIVFGNSNLTVEAAHDAKMKCVAVASKHPVYELSAADLVVRWLDELSVVDLKNLADIESSEFGAEPEVEMELEAEEDDAQPSSRVAMDDHDFW >KVH90009 pep supercontig:CcrdV1:scaffold_2:810050:810763:-1 gene:Ccrd_007931 transcript:KVH90009 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSSQMDTPSPSSDPNSDGSHPSQSPNRTTRSDDGVILASAKPKKRAGRTKFKETRHPVYRGVRMRNPNKWVCEVREPNKKTRIWLGTYPTAEMAARAHDVAALALRGNHACLNFADSGWRLPVPATTDAAGIRKAAAEAAEAFRHVDMEVDNGEEKRDVVDGKSMVEGEATSATMEAEAVEVEVGSYMDEEAVFHMPRLISDMAAGMMLPPPSCLGGWSSDDVGSPHADVSLWDFSF >KVH90117 pep supercontig:CcrdV1:scaffold_2:659141:660211:1 gene:Ccrd_007944 transcript:KVH90117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQSWTTRRSSNPRLETSVDIPSTPTGEVRYGTTNNIFASFSATSFVSPTILTALIIASWYFSNIGVLLLNKYLLSFYGYKYPIFLTMLHMISCTFYSLIAIRWFQIVPFQQILSRKQFLKIFALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITCKKESAEVYLALMPVVFGIVLASNSEPLFHLFGFLMCVGSTAGRALKSVVQGLLLTSDSEKLHSMNLLLYMAPMAATILLPFTLYIEGNVFAKTLDKGRNDSFMVFLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFKNPVTVMGISGFAVTIMGVVLYSEAKKRSKTTAH >KVH90044 pep supercontig:CcrdV1:scaffold_2:547890:550431:1 gene:Ccrd_007955 transcript:KVH90044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staygreen protein MASLILPSKLNPSSSSSLSSSFLDHHESSMFICKRSTKLLKKTHSPVARLFGPSIFEAPKLQVVFLGVDETKHPGNLPRTYTLTHSDVTSKLTLAISQNINNSQLQGWYNKLYRDEVVAEWRKVKEEMSLHVHCHISGGHFLLDLFARLRFFIFTKELPLVLKAFEHGDGSLLSNYPELQEALVWVYFHSNIPEFNRVECWGPLKEAGQCLAKPAPIMSIHEMPNVCGDECSCCFPSTTIHWSEDLANAK >KVH90036 pep supercontig:CcrdV1:scaffold_2:368272:371242:1 gene:Ccrd_007967 transcript:KVH90036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon MGEIITWLLFFFILIALLVVVVYQLMCLADLEFDYINPYDTASRINFVILPEFITHGILCLIYLLTGHWVMFLLALPYLYYNVRLYTRKQHLVDVTEIFNQLNWEKKQRLFKLGYLIFLLFITLFWMIYNALDDDEM >KVH90077 pep supercontig:CcrdV1:scaffold_2:224712:231377:-1 gene:Ccrd_007978 transcript:KVH90077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLLCVSPLLLSSTTIQNHGSSLSGLVSDDFIDDISILFIMKTNHGIQVSYYLHFFFVEDIQVSEMVADKGKKPDIGEKIVEDNTEQIMSLFYPLQSCRRSKMNLKRIADAIKSSVMIKLFVCVIHHQVEGIEEILKPSPSESDGSSIELPAKGEENKSRNCASNKKQDDWSWSKEAIGEKVYNTRRSTRLTAKKSAEPSAMERERSESMKIDSRGFGSTRSREKCGLRWGMSLDGEQWPYCGYWTSMER >KVH90111 pep supercontig:CcrdV1:scaffold_2:1053356:1059973:1 gene:Ccrd_007911 transcript:KVH90111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MESLSLTTTTTTTDTSKFRFSIPCYKNPKLYRNLPFYHKRHRKFLLFAAKDEDKFDSWDQMELKFGKLIGEDPKLTHAKIIGRKAHPELSYLEIEKLYNRKGNKTVISDIEEVPFDVSDVRRKPNDSLDGLNLTRPVPKKGVKFETSEKPVVPKLERPNLPLAKGLNNPRQSVSNAELQKLERPNQPLAEGLNKPRQSVPNAELRKPTTFKDDDGSGAMLPRPKLSFKVSNEQEKERFTDMTLVRKPAPFLQKEETEVMDNDEENPHEIQTDVSLLQKPESFLQKEEIEVTDDDKESPREIQTDVSLLQKPEITVDQTYKDQHESSSSRNLDLASEMENVAKFDPEPSQETGDSLDLQEIGHENDSLTGSQPSDSDPNLAATSTTEMSLDTALRGPPKRLDQSTRDTSKIEKGVTSPMNPMPDVNPIESENLPTTPFLKEREDADWKRAEYLIKTEGREEVELINSSTRGFVASFGSLIGFLPYRNLATKWKYLAFESWLRKKGLDPAVYRQSLGVIGGYNATSKTTPNPSVDPKKIEGEISADMKLEDLLAIYDHEKLKYLSSFVGQKIKVNVVLADRELNKLIFSAKPKEKEESVERKRSLMAKLSVGDVVKCCIKKITYYGIFVEVEGVPALIHQTEVSWDDTLDPILNFKIGQVVEAKVHQLDFSLERIFLSLKEITPDPLTEALEAVIGDQANLDGRLKAAEPDEEVYMASVVEKEYKLLARAGNKVQEVIVETSMGKEEMKSAILTCTNRV >KVH90047 pep supercontig:CcrdV1:scaffold_2:498032:500886:-1 gene:Ccrd_007958 transcript:KVH90047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII GAKIIEIQVFNKNKEIIKTLRERGFFPTRPLYTPSSTTTHHARTMKQNSEQTSISNLQETTAGATGVTTDMRVIVPLQGVVQGGGGLVLGSIIPCALFYFFQLYLKGKSRSDDDPVTPTPPPLPEISPPLERVHSIRSIWSPRGTNGQAQVSSRANSVIKQPDSPHYVGLKRASEDPYDESSNSDGIIQLGLDENKLSLDLVQDWLVEHTNCLIFGQDLRMNGITTYHPFDGLSELKMAVAGFMSQAMEGRVLFDPSQIFLTSGATPAVEMLAFCLADSGNAFLVPSPYFPDLDRDIKWRTGVEIIHVPCRSSDNFSLNTTALHRAYNQAKKRGLKVQGVIISNPSNPVGNLLNRETIYKLLDFATEKNIHIISNEILACSTGNESQQEFVSMAEIMNSEEYDRNRLHIVYGLSKDLSLPGFRVGVVYSFNQNVMDASKKLLRFSSVSSLAQRLLISMVNDSRFIRKFLKINSERVERMRNLFVSGLKNLGIECMESSRGFYCWVDMSGFIRPYSEKGEFELWEKLLNVGKINATPGSCCHCIEPGWFRFCFTNLKEKEIPLVMERIRRVL >KVH97451 pep supercontig:CcrdV1:scaffold_20:557084:557787:1 gene:Ccrd_000425 transcript:KVH97451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kunitz inhibitor ST1-like protein MLTDIVSFIHYNHKMKFNILVQTLLCTLLSSFYPSSTQPLKTIVNTTPTSTSTGMTAFDIDGHEVQPGIKYYINPVQSNSSGGGGLSLASRDGFCPPFVVQENNQLSKGLPLRFLPLDTKQNAITLSGDLNIVFNAATICVQSTVWRISSGGSNIDDTLTRRRYYVRSGGMVGKPGVGTINNWFRIEKVGAIGCKVVCGDIGILEENGKKWLALDDQPFAFMFKRA >KVH97474 pep supercontig:CcrdV1:scaffold_20:803554:806410:1 gene:Ccrd_000441 transcript:KVH97474 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MALVLALVVFVSTLFLLRFLLRFVVKVWWTPVRIQKMMKSQGIGGLPYAFPHGNTRVITAMRNRSMNQPMEVSHDIFSRIQPHVHSWIKIYGINFVNWHGSQPQLFITDPELVKEILSNREGAYPKMDMEGYAKKLLGEALITNEGEKWEKVRKLANRTFHAESLKDYEGQEIDVHTEFGVLTTEVISRTAFGSSYVEGKHIFEMVSKLTAITVRNIYNLRFPGISSILKTDDEIEAERLEQTIKKSILEIVMKRKASMSEGVDEFGSSYLGQLVKIADDSNGSKRISIEQMIDEIKAIYGAGHLTTTNLLSWTVFLLAIDQDWQDKAREEMNMVINESLRLYPPVLTMTRKVEREIKLGNLTIPANINIFISILALHHNPEIWGNDVLRFRPERFANGVARATKNNPAAFLPFGMGPRTCVGLNFTTNEAKIALSMILQRYRFTISSNYVHYPADVFILTPKKGVQVILHDV >KVH97461 pep supercontig:CcrdV1:scaffold_20:24696:27793:-1 gene:Ccrd_000382 transcript:KVH97461 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPLLELEPSISGVAESPAATRSHVNTKIIMDKSTVHAEPPRESTTKNMDPTFESGSVANQNQSTPSQLPFLRESLRPITLKFEDLAYTINLQSGDDHCFTSPESKRTRTLLNGVSGVVRPGELLAMLGPSGSGKTTLLTALGGRLPGKTSGSITYSGQPFSSSVKRKIGFVTQDDVLYPHLSVLETLTYAALLRLPKNLTLEEKVEQAELIIVELGLSKCRNSIVGGPLIRGVSGGERKRVSIGQEMLVNPSLLLLDEPTSGLDSTTALRLMATLKGLARGGRSVVTTIHQPSSRLYWMFDKVVVLSDGFPIYSGQASRVMEYLASIGYVPGFNLMNPADFLLDLANGVAPSTNPEDHQQYNQGRPEDRNSVKQFLISSYKKTLHPLIKDDIHRNIHDTTRAIGGPKSSRRCDNIWTTSWWTQFKVLFKRGLRERRHESYSGLRIFQVMSVSILSGLLWWHSDTSHLQDQVGLLFFFSIFWGFYPLSNAIFTFPQERPMLTRERSSAMYRLSSYYFARMAGDLPMELVLPTVYVTITYWMGGLKPSLTTFVLTVLIILFNVLVSQGLGLALGAILMDLKQATTLSSVIMLVFLLAGGYYIQQIPSFISWLKYISFSHYCFKLLVEVQYSENEVYRCGIGTECRVVDFPGIKYLGIGHLWWDVAALGVMLVGYRLLAYVALRMSKSH >KVH97495 pep supercontig:CcrdV1:scaffold_20:443756:450725:1 gene:Ccrd_000412 transcript:KVH97495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin SPT2 MISAPGIFNYGFPLRFLRLRSRRDMCSDHFEVKKSAGTMGRYDLDEYEEYEDEGEYQEEDVGEEEEDHPPTKEELEYLHLRQKLKESIRKKMKKDLSSGLTNSKEKKSKMPYDNFGSFFGPSKPVIAQRVIQESKSLLENPHLAARVSKAKNVQNKGGASTPVVSKPQKLEQHREVINLAKPKAKIQMLRDTRDYSFLLSEADLPAPTKNPPKSLPATKPEVHPSQRKPISNIGREVINGGEDRKMLPKVSQMRVKAGPSGQKPSTASKLNSTSLEPRKQLGRNDGSGSARPSAPKTLPLKAPMVRKEKVISASVAKGSMPSLHKPLHSKPAPSVHKKPVAQKRDDRESSKGNVIAKKPVLSSKPQIKQQPAKLPPSAQQKERLKKRPVRSYSDDEHDDRAISMIRSMFRYNPSRYRGVNDDDDSDMEAGFDDIMMEEKRSARIAAKEDEEELRKIEEEERRERMRKAAKKRKLNQR >KVH97447 pep supercontig:CcrdV1:scaffold_20:511298:513685:-1 gene:Ccrd_000421 transcript:KVH97447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1423, plant MLKHKENSSSNGVSGGGITQSKETDVIYDENQANPGEISLFSEKGIGLSNKILDSKPLVSGTWNDDHNEPKGKSVVSEDHGDRNRWVERDFMQLSGSSSKREVEDDEFNVISRDKKPKLETLDLSLALPDVSLSLAASNEVRFGEPSVSLKPSRSLQSLPTNSNNTQTTFSMADSMSFSCSHPFSHNSSCSMTHNSTENYENSKIWNGGEGTNGSVHSRFRPVGDGGVVLVQANGTNSDNNLSLFPSELLARLRNDTQAGDSMGRGSNGTKPSRPEKILQEIVSESIPVMAQVIQELSDETLESTKDYLKKLIEIPERRDELVRLQLRLERRSDLTSENLSKANKNQLEILVSIRTVLGSFLSVQNRLPTNELIEIFLYERCKNVNCKRLLPDEDCDCKICSKKNGFCSQCMCPVCLNFDCANNTCSWVGCDVCPHWCHAACGLQKNLIKPGPSLKGPTGTTEIQFHCIGCGHASEMFGFVKDVFKFCAEEWSLETLMKELDCVRKIFRGSSDFKGKELYSKAGEMVSNLDNKAMSPSDVCSFIIQFFNYTDGISEFPTSNLPSTVVPPSKPPSQMMNSNNMMIEDEWSVKSTKKDRFDSVESLVRIKEAEARMFQKKADEARKEAEGYKRMIRIKIEKLDEEYTQKLSKLCLNESEERKKQKVEEFKVLENGHCEYYKMKMRMQAEIAGLLQRMENTKKQWV >KVH97482 pep supercontig:CcrdV1:scaffold_20:720791:729007:-1 gene:Ccrd_000437 transcript:KVH97482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRNMKKSSSSSSKTISVEQFVSTMTPLIDMEKEAEISASMSSGANRNLDSASKKGSTILNLKCVDVQTGLMGKTLLELQSNKGDVLPAHKFGTHDVVVLKPNKADLGAPALGQGVVYRLKDSSITIAFDDIPEEGLNSPLRIEKVANEVTYRRMKDTLIQLSKGIQRGPAADLVPVLFGERLPTVVKKDVSFTLFNKNLDHSQKDAIRKALSSKDLFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERIVPHRVKLVRVGHPARLLPQVLESALDAQVLRGDNSSLANDIRKEMKALNGKLLKAKDRNTKRDIRKELKMLSKEERKRQQLAVTDVIKNADVVLTTLTGSLSRKLDGTSFDVVIIDEAAQALEVACWIALLKGSRCILSGDHLQLPPTVQSVEAEKKGLGKTLFERLADLYGDEVMSMLTIKAHASVAGHTLHELENVQKSSSAEPTLVLIDIAGCDMEEKKDEEESTLNEGEAEIAIAHAKRLVQSGVRASDIGIITPYSAQVVLLRTLRTKEDKLKEVEISTVDGFQGREKEAIIISMVRSNSKKQVGFLSDRRRMNVAVTRARRQCCIICDTETVSSDKFLKRLIEYFEENGEYLSGS >KVH97504 pep supercontig:CcrdV1:scaffold_20:176110:180222:-1 gene:Ccrd_000393 transcript:KVH97504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MVSGSSSSPLMFLGTVGVDHMNPMTNSRQDSNFVLPTALPPAQLHHHLIAPADQTMIHGPSCKTDPETEVAIAVSPNTLLADGNRFICDICYKGFPRQQNLQLHGRVHNLPFKLRARRGVVQRKVYLCPVPTCAHHNRARALSDFGGLKKHYLRKHSNEKKHECNSCSKKYAVESDLKAHLKICGKKKYNCSCGAPFSRHSHFAFHQAFCDGQEQEDASKHPPTSDVGNSSVGSTSNNNSSLIGSPTLDLPTLNMGENNNNNSSSNDNSSSVIGSTTPPLDHESLVTPDPSLGLNLIENTAAFFSPSPTPQNQLQGKFGTGFYTNLLMNTNPYNNLDLNSIAFMGEGGIYGSEEAGANSFTGGGIMLDHSSSLHSTSVVYKATLREQLSTPQLFLNDDVLGFTSRNNKSNMVQGHISSSSSGVGGFMMPQEHSAFGSKYLGIANNIGYNTIPNELQNPVNGNDVGSAIFNHDVGGSYMQGGYYGFGDGNNHHDY >KVH97473 pep supercontig:CcrdV1:scaffold_20:785745:787195:-1 gene:Ccrd_000440 transcript:KVH97473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGSLLCCLRGSAIDEEDVDSNDNEQVQESNNTTDRSTPQAGFNVFAHGVTKMCNDLFGSGVAGSLQSSSSIRDAKSLNHSDVEPELARGSSGLTFTRDEDCESSKDDECLICLEEYTSENPRITTKCLHDSHLSCILEWQQRSELCPVCAKLMEFEEMS >KVH97472 pep supercontig:CcrdV1:scaffold_20:834202:834610:1 gene:Ccrd_000447 transcript:KVH97472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSQIRARADPSSNTKWHHLDSFTSSYIKNTINGRESFWYELHRIIPHLWIPTHISHKEINGNTKWAGGCLRRPSKTTDFRYGIFCKSSSLMMDSGCSPGGGGGGGGPTTVMISS >KVH97449 pep supercontig:CcrdV1:scaffold_20:563718:566473:-1 gene:Ccrd_000427 transcript:KVH97449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MKKFRSKLPRRRRSQISPILRSSPARKVDSSSIIESSSNLTRDVGLSCDSTSRVSTNNKSLKKRSFADEYGSIAGEFRRITRSYSKRMEIMAGKDVELSESSSCVELFNSSSKSVVRKGPAVLKDAKAENDDEVSVTVCGIDNSEVTTRSECSFFVEDINGRDKLQGNEETDVVSVSSRLASPQTKMGTTSHFRLSDITVSEGQSAYTNAKDYAVSVASRLKSQPDPKSEKITSYINKAETNKTLNDDQAENCGESKLISADFDLTCSEHFSCEEEECHSSAQCTDATMESSEMEFSSDYTPSTWYKSGSQFSERSDGDTTPSPTFQLFLLYRKKFCKSEFFAKLSSADNDEPSNKITKLLRPEDEEHEESYQMMRKRERRQVYLHDYAEEYCGMKEYGHLVVEQRLHMVYWIIEQSANKDLQKETMFLGVSLLDRFLSKGYFRNKKELQIAGIACLTLATRIEENQPFNSIRQKLFNIGSNEYSRSEVVAMEWLVQEVLNFRCYLPTIYNFLCNRGNVLCVLIHGHVKQMKRFYLKAARADQDVDRIAKYLAVLALLGHEQLCYWPSTVAASLVILACLADNEQSSHQQIAWV >KVH97468 pep supercontig:CcrdV1:scaffold_20:452159:455384:1 gene:Ccrd_000414 transcript:KVH97468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALSPLSSNVLISESREALKLGRLVSARARLAVVESLLPSFTDHDGPPTISDASRAATVSISAQETTPGHKPLLGNAFLSLTIEGASSSKIDASQPCTKQSWKWRRRREAAMRRSREIAVRMEVLMVRNALGHSNELGVTKSSGARFPFPSFIGQPRLRVLAKPASMSDPLLYIRSRNKKHCACPIV >KVH97496 pep supercontig:CcrdV1:scaffold_20:268715:269468:-1 gene:Ccrd_000399 transcript:KVH97496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTHQTLVPSPLLETSDPCTQQDPHSKPVGPTTRVSCNVQRCNKDGISASASHQMRTRSHPKSQSSEWVYLFQSLQNGCKDRNQTQMDGLWWCPPPFPAAHYPTPSHLGLETDELCAVSGPQQR >KVH97485 pep supercontig:CcrdV1:scaffold_20:606290:610389:1 gene:Ccrd_000430 transcript:KVH97485 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-AMP-activated protein kinase, beta subunit, interaction domain-containing protein MSNSRGQDQETPVVAGFEVPRSPDASYNNVYTASEDDGRDPPVVPQHLQHTVLSCPTTGGPCAPLPDPQHVVLNHLYIENRESPRTVVALGFSHRFRSKYVNVVLYKPVQRRGSSST >KVH97489 pep supercontig:CcrdV1:scaffold_20:362194:372126:-1 gene:Ccrd_000406 transcript:KVH97489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDLQGLAVVLNAALSPNPAERKAAEESLNKYQYTPQHLVRLLQIIVDGNCDLAVRQVASIHFKNFVAENWSPHDPEEQSKILPSDKDLVRQNILVFVAQVPPLLRAQLGECLKTIIHADYPEQWPSLLHWVTHNLQDQQLEIPKKLFDPNVFNAWMVLFLNMLERPVPLEGQPADLELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPENRVFAQHFQKTYAGKILECHMNLLNAIRVGAYLPDRVTNLILQYLSNSISKTTTYNILQARLDVVLFEIIFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKDNLQKFILFIVEIFKRYEEAPVEIKPYRQKDGALLAIGTLCDKLKQTEPYKSELEHMLVQHVFPEFSSPVGHIRAKAAWVAGQYAHINFSDPNNFRKALQSVVAGMRDPELPVRVDSVFALRSFVEACKDLGEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAVGLCQNLAAAFWKCMNTAEAEDEADDPGALAAVGCLRAISTILESVSRLPHLFAHVEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISMDMWSLWPLLMEALAEWAIDFFPNILVPLDNYISRSTVHYLTCKEPDYQQSLWIMLSNIMSDKNLEDNDIEPAPKLIAVVLQNCKGQVDLWVEPYIRITVERLHRAERPYLKCLLMQVIADALYYNPSLTLNILQKLGVATEIFNLWFQMLQQTKKSGVRVNFKRENDKKICCLGLTSLLSLPVDQLPGEALERVFKATLDLLVAYKDQVAEAEKEEPEEDDDDMNDGLQTDDDDDDDDGSDKEMGVDDEDGDEADSLRLQKLAAQAKVFRSTDDYDDDSDEDFSDDEDLQSPIDEVDPFVLFVDTVKVLQASDPTRFQNLTQTLDFHYQALANGVAQHADQRRAAIEKEKLEKASAAS >KVH97508 pep supercontig:CcrdV1:scaffold_20:289628:290596:1 gene:Ccrd_000402 transcript:KVH97508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLSSIAYFNNHPRNHGSSLCWDVHNLQLPNTDISHSTEMNTTPPFYDQLLFDSDLSSGYLEDALFEFSSKRRRLMMTPDDHHQPNNQNSSPPSFPSYWNFDSADHDFENFSGLNVSGDHSTSSSQSKSSINTQFFSDKESLSSSSDHFTG >KVH97471 pep supercontig:CcrdV1:scaffold_20:834046:838851:-1 gene:Ccrd_000446 transcript:KVH97471 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTSSLLPPFAMDLSFFLLLLLTLSVATLISILVCRKTTKNLPPGPSFFSSNLLLLTTSLSELGPIIRNLKSKYGTLLTLSIGSHPSIFVADHTLAHQVLIQKGAIFSDRPQTIPVRNISSAAYGPTWRLLRRNLTAEVLHPSRVKSYSWARKWVLQILIGRLQERKPAAEEAAGIITVVDHFRYAMFCLLVFMCFGEKLDDRRIDEIATVQRRVLLSVGSGRFNVLSIFPRLGKILFRNRWKEVAQIRNDQEQVLLPLIKSRIETANSETESVGDDDQIVAYVDTLINLHIPEVEATNGNGGNLTEKEMVSMCDEFLNAGTDTTSTALQWIMANLVKHPHIQSKLYDEIITVVGPPPPPPPPGVEPESVINEDDLQKMPYLKAVVLEGLRRHPPGHFLLPHRVAKEVEVEGYKIPEGASINFLVAEMGRDPKVWDDPMEFKPERFLMNGVFDITGSKGIKMMPFGAGRRICPGYDLALLHLEYFVANLIWFFRWTVAGDCDVDLSEKAEFTIVMKNPLQTPIIRIGSSTMETWFVIVVSLCVAALIRSILFRRSAGKNLPPGPSFLSSNLLLLTNSRLKFEHVLRKLKSNYGPLITLSIGSRPSIFVGDHSLAHQILIQKGAVFSDRPKTFAQRNISSASYGPTWRLLRRNLASEVLHPSRVKSYSWARNWVLHILIGRLQERKKAEAAGGIKVVDHFQFAMFSLLVLMCFGEKLDERQINEIAKVQREMLLMVGSGRFTVLTMFPKLGKILFKNRWKEFEKLLEDKEQVLIPLIKSRIEASNSVPQSGNDQIVAYVDTLVNLQLPEEETHTGNGGKLTEKEMVSMCSEFLNAGTDTTSTALQWIMANLVKHPHIQNKLYDEIITVVGPPPPPPPPGEQPESIINDEDLQKMPYLKSVVLEGLRRHPPAHFVLPHRVTKEIELGGHMIPEGATINFFVADMGWDPKVWDDPMEFIPERFSTINGVFDITGSKGIKMMPFGVGRRICPGSDLALLHLEYFVANLIWFFRWTPPDDYDVDLSEEVEFTVVMKNPLRTQISSRAEQRSI >KVH97498 pep supercontig:CcrdV1:scaffold_20:201454:204457:-1 gene:Ccrd_000395 transcript:KVH97498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDKCIMAEQCNQNSVATSSAARWWPDVHTSSLCSWTGGGADYGSNPPCNNPQDPNSNCSGGEEDVSISTSFTTNASNNSGLSMESSRQLVEKSSTNDPYGEAVSDNHHLWNQVLLGVGATGELQNISTRMYEPACDYLKKIDSGWEFSSPTNLHHFEKNFSGFNDGLYQSKNPASVTSWSIAPPDVEISPQFDQYSGQFTAIKNEHTESDIEREGLFRRGLSSHPMEYQVGINNMVVGDNTKYYYSGMPNLECANGRGFADLVAFGSCLNKPLSESNMSSKPIMNSMNLPDLRKQAIHTSYQLQPMRPRGNTPAKINGRGNGVANEGKRKKSEDHPAGSLLKKPKLETSTVSSTKHPKPKLEEKITALQQIVSPFGKTDTASVLWEAIGYIKCLQEQVQLLSNPYMKTNIVKETWARLETKERGDKKVDLKSRGLCLVPISCTPPVYHENNGSDYWTPTYRGCFYR >KVH97502 pep supercontig:CcrdV1:scaffold_20:142257:143336:1 gene:Ccrd_000391 transcript:KVH97502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MDPKPETPSLPLTTRFKIWLVSTATNLGIGPGDTIDRRFRDLIDFKSPANSTPVEGVKSYDVSIDPGRSLWFRVFVPEVTTTGKLPLIVYYHGGGYAFYSPESSPFDGLCRRFARMIPAVVVSASYRLTPENRYPSQYDDGIDVLKFLDDDQNRKNLPENADLQRCFVAGDSAGGNLAHQVCIRASQNKFQQIKVIGLVALQPFFGGEERTASELSPENSRGLALNQTDFYWRVLKPVDEEWDRDNEVINVSGPRAVDISGLEFPATLVVVGGRDILQDWQRKYHDWLKKSGKEARLEEYRYMFHGFYAFTEVDEAMHVISVVKDFVHKQMNTATE >KVH97450 pep supercontig:CcrdV1:scaffold_20:540963:542174:-1 gene:Ccrd_000424 transcript:KVH97450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MIQRFKGASELDHCKWVANLIESKFFGTCIDHRTLRKNEKNVFCIDCNLCFCKHCVTGLKTQCHHRHRRLQICRYVYHDVVRLQDIQKHLDCSNIQTYKINGEKAVHLNPRPQQHKDSRPSKSKIYGTYCEACGRHIQDIPNRFCSIACKVSVVVVDDINKLENHKMVSYSFCKKNCDLEGLTHENDSSFSSSIESVEENVQEGWLISSLKVKKNVLHKRKGVPQRAPLC >KVH97460 pep supercontig:CcrdV1:scaffold_20:59590:68815:-1 gene:Ccrd_000383 transcript:KVH97460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase MTDIMDDIAEEISFQSYEDDLRLLFSLLNDVLQREVGYTIMEKVEHTRTLSQSACLIRAAGIENTAEFLEKQLASELSKMTLEEALKLARAFSHFLSLMGIAENHHRSRKGKNVALVSKSCDDTFNQLIQGGLSPDVLYDTMCNQEVEIVLTAHPTQINRRTLQYKHLRIAVSCSSDCLHQHLLEVNDRKDLTQEDQDTLIEDLAREITSIWQTDELRRHKPTPVDEARSGLNYIEQSLWKAIPHYLRRVSNALKKSPISFSSVCQHTGRPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTKDVSLLSRWMAIDLYTREVDNLRFELSMNHCSDRLASLLHDILRKENSEEDRHQSWNLTQTRSQPKCHNVQCLPTQLPSGADVPSHTECNKVESHCPQLDVPGSEYKRLKNKDEQATSSPTSSQNSQKLQGSGNPSQPTTPKGSQLLAQRKMFAESQVGRASFQRLLEPSMPQKSTISPYRIILGDVKEKLMKTRRRLEQLLEDLPCDMDPNDYYDTPNQLLQPLLLCYESLQSCGSGILADGRLADLIRRVSVFGMVLMKLDLRQESGRHSETLDAVTNYLGMGKYSEWDEGKKLEFLARELKGKRPLVPPTIEASDVLAVELLQKDARLAVAGEQGRPCVGGMLRVVPLFETVTDLRGAGAVIRRLLSIDWYRDHIIKNHSGHQEVMVGYSDSGKDAGRFAAAWELYKAQEDVVAACKEFGIKVTLFHGRGGSIGRGGGPTHLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPGIAVRQLEVYTTAVLLATLRPPKPPRDENWRNLMEDISKISCKSYRSTVYENPEFLTYFQEATPQAELGNLNIGSRPTRRKTSVGIGHLRAIPWIFAWTQTRFVLPAWLGVGAGLKGVCEMGHTEELKAAYKEWPFFQSTLDLIEMVLGKADVPIVKHYDEVLVSASRRGLGDQLRNELMTTEKYVLVVTGHEKLSANNKSLRMLIESRLPYLNPLNMMQVEILKRLRSDTENHKLRDALLITINGIAAGMRNTG >KVH97507 pep supercontig:CcrdV1:scaffold_20:270196:272138:1 gene:Ccrd_000401 transcript:KVH97507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKTSKIASEAGGMANDPILVSIIIPCSTNNVDIWAKTAAKTIPVAQIGNIRIKIFSSSTCVTKEMKTKTSNIHLDECKEYVVVFFFWTPHDQATSQLDVVASDSSTKGPPEI >KVH97456 pep supercontig:CcrdV1:scaffold_20:112040:120527:-1 gene:Ccrd_000387 transcript:KVH97456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTQQRVTRSPLPITPRTDGRKTDNTTRHVSGKGKAVAFIDGPSPPPPPPLGLLNENRASAVVESGDLDDWRRFKEAGLLDEATMERKDREALVEKTARLEKELFDYQYNMGLLLIENKELTANNEELREALAEIQEVVKREEAAHLMAVSEVERRADNLKKALDFEKRCRADLEKALHECDEENKQIKLRSQTNLADANTLVAGIADKSREVEEKMHEADARLAEVNRKSLELDRRVQELETRESVFRIERQSFIAGREAWEDTSSKHKEDLQEWERKLQEGEQRLCEGRRIINAREEKVNGIERSLKEKEKELEGVHNKIELSILASKKTEDDAKRRLLSLIVKEEQAESIRKNLEIKEKELLDLTEKLTAKERRDFDLEMEEKRKSIEDDMRSKVEAIEQKEAEINHKEEKVKKQEQALEKKSERLNEKERELDMMLRELREKEKSNKAETKKMEMDKKQVLADKESLEILKVHTEKVRDEITQKEVQIREEIEKLRITEDERTAFARLQLELKEELEKCRHQKELIMKEVDDLRKDRMKFEGEWEALDEKRSAITKELREFGEQKEALEKLRQSEEEKLEMEKLATKDYIRRELEAVKLERETFAATMKHEQSLLTERAENEHRQLLHDFEQRKRDLEVDLQNRRMEMEKNMQEREKAFEEEREKELTNISYLKEVVRKDMEEVKSERRRIDGEKKEIAENNQRLEENQLEMHKDIDELGVLSKKIKDQREEFINERNRFLAFVERLKNCGDCGEITRSYQLSDLQLVEIGDDSPLPKTRYDISGRSEGILAASNEATAPNNLRSPSNGGLVSWLKKSVTVFKLSPHRTTEHENDEILEQPLPAEVIVDREVEHSSMPADTGGGDRDQQEPLGIGYDSRYAELPTHDVKREVDHRLPLASDDQSYRVSQTPEAPEASQQSEMRSGRSKPVRKPKVGAGKNRTVRAVAEEVSFEVNTSKEVNEDSPRTSSYAGKRGGPTARKRSHAQTSLVIGSEMDAADSEVQSESVTTGGRRKRRQTVAPAAQTPGERRYNLRRHKTGDVAPQPQASGDNRKKKGVSATSSKNEVTQKRETASALGTEVASEDGSTAMVHVTTSKRVDTEILDTAFKTPGDIVGSSGAVKFVENTEIIEEVNVTHEGSNLDESQNLYNNEDDGQDDDDDDDDDDNDGSDQ >KVH97483 pep supercontig:CcrdV1:scaffold_20:717211:720245:1 gene:Ccrd_000436 transcript:KVH97483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGKSFSVKVIEKVVVGAEEPWNDRWLPFTNLDVVLPTFDAASFFCYNKPSHGSSFPTMLNTLKASLSQALTLFYPLAGDIQWNAAAGENQIHCNNQGVDFTHAVADVQLKELDFYNPDESIEGKLVPKKLRGVLAVQVTELKCGGMVIGCMFEHKTADGYSANMFISAWADMARAKTPSMLPSFSRSVLKPRSPPTYSCSSVFEHIVATLEPSLMPDNDENQNDDDQLINRVYYMEGEQINKIQLLASENGSKRSKFEAFISFLWKAIGMSMEELGNEKERYCNVAIPVDGRRRLSEGDGEEKQKLMASHFGNVVTLPFGGKGSQMPLSNVATEVHELLHTVTGKDHFVDLIDWVEERRSHLLIPRAFVNKDMTVIVSSGQRFQFMNEMDFGWGKVAFGSCHIPPTRKDCYVMTLPGPITNNQDWVVYMHLPIKHINYIEAHASHVFKPVNADYLNI >KVH97476 pep supercontig:CcrdV1:scaffold_20:839319:840359:-1 gene:Ccrd_000448 transcript:KVH97476 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MTSYSCYTGNVAKYSYHMISHSICLWHQVRATYKRALLSFHPDRASGSDVRQQVEDEEKFKLVLRMKDKIP >KVH97505 pep supercontig:CcrdV1:scaffold_20:410287:416228:1 gene:Ccrd_000409 transcript:KVH97505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MWPRLVASKLLRKTLGSNNFVTDFPENTISFLDLPSTLDLEPLPSPKIIFTDHHDTQKFKVFVSTWNVGGVTPTEDLNIDDLLDTCNNSCDIYVLGFQEVVPLRASNVLGSEKKKISMKWNSLIRKTLNKKSHNEYYNNGNLREKQRNSFHATKGNRSNIESSMIQHEFRCLISKQMVGILISVWVRSDLHPFFRNPNVSCVGCGIIGCLGNKGSVSVRFHFHETSFCFICGHLASGGREGDERNRNSNASEILSRTTFPTTMGPSLDLPKMILDHEYDWNTLLENDQLRMELMDGQAFESWHEGTINFAPTYKYHPNCDEYYGCGHLATRAKKKRAPAWCDRIIWTGEGLKQLLYTRSEIRLSDHRPVKAMFCTEVKVSRMRYQSFCLSERFGCPTKTSNLEFHSDDEYSSNSGRLSFHSVKNKMTTP >KVH97481 pep supercontig:CcrdV1:scaffold_20:685893:687467:1 gene:Ccrd_000434 transcript:KVH97481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGISGKGFSVEVIENVVVGAEEPWNDHWLPFTNLDLLVPPFNVGSFFCYNQPSHGSFATMLSTLKASLSRALTLYYPLAGEIVWNAAAGENQIHCNNQGVDFIYAVADVQLKELNFYNPDECIEGKLIPNKPRGVLSIQVTELKCGGMVIGCMFDHRAADGYSANMFISSWGDLARSETPSMLPSFRRSILNPRSPTTYSSSIDNVFAIFEPPSKPDNDQNHDGLLINRVYYIEGGQLNKIQLLANENGLRRSKLEAFTSFLWKIVALSMEDSGYRNQMCNVAIAVDGRRRVSEGDGEEKEKLMVSHFGNVLSMSCGAKRSQELSNMSLSNLATEVHEFLQTATGKDHFLDLIDWVEERRSQPLVARAFANNEMSVMVSSGQRFQIMDKMDFGWGKVAFGSCHVPSERKDSYVMTLPSPTNDKDWVVYMHMPMEHMNYIETHASHVFNPLNADYLKI >KVH97497 pep supercontig:CcrdV1:scaffold_20:188960:195177:-1 gene:Ccrd_000394 transcript:KVH97497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHYYFSEFTSSTVLHRPRTTALFFLTFLFSYLLLNTTFDSCQIRLPDYLVSSTDMFSSALSFHSLSDSADSSLGTVLKEASMHDKTLIQVTWSKPFEIDENDKT >KVH97458 pep supercontig:CcrdV1:scaffold_20:81335:81592:-1 gene:Ccrd_000385 transcript:KVH97458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSSSKSQYVSWKLFVVLFFTALLVGQSSATSRGNTMMPLKHHPRKYDANPGLFFSMLPKGKRVPPSGPSKRHNSVVNSTPNN >KVH97445 pep supercontig:CcrdV1:scaffold_20:525259:536896:1 gene:Ccrd_000423 transcript:KVH97445 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHASE-like protein MTFRDMKWHQEGEEQEEQQKVQAREAENRGHQERIEEGLVSMCDQRARMLQDRFRVSVNHVHALAVLVSTFHYYKNPSVIDQETFAEYTARTAFERPLLSGVAYAQRVMNSEREEFEKQHEGIIRTMAKEPSPHRDEYAPVIFAQETVSYLHLLDMMSGEEDRENILRARATGKAVLTSPFKLLGSNHLGVVLTFPVYKSKLPPKVSVRERIEATAGYLGGAFDVESLVENLLGQLAENQEIVVKVYDVTNPSNPLITYGRQTQEGDLSHTRFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGRALITLINEVLDRAKIEAGKLELESVPFDLRSILDDVLSLFSEKSRHKGIEFTEHGHIFVQVHHAEHSEADAKSETFMNGISEGRAISRFRTLSGREAADDRNSWDTFKHLVGEDEYPFRAATAEKVDLLVSVEDTGIGIPIHAQELVFMPFMQADSSTSRHYGGTGIGLSISKCLVELMGGRISFVSRPKIGSTFFFTVAFNRNERQPDLLLVEKDTWLSNEDTGNIRLSDHRPIGHTSNSPKMILLATNITSPEFDKAKATGFSDTVIMKPLRASMVAACLQQVLETGNQAKQGRLMAKGSLYLRSVLSGKKILVVDDNRVNRRVAAGALKKFGATVECADSGKTALALLHLPHTFDACFMDIQMPEMDGNANQEPMSETMTIEGSAFTRTGEWHLPILAMTADVIHATFDECRKSGMDGYVSKPFEEETLYQAVAKFFESNPPSDRSFKTTTSKNGEPTVCMWFVTYLVGGAHIDSFSSVQSTSDDTAVMQALKKNLQPLSSLNWSDPNPCNWDRVECSKVNRVTGIQVGNQNLKGTLPQSLNNLTELHVLEFQNNQLTGPLPSLSGLSQLQNLLLANNKFSSIPSDFFNGMSSLQNVYLDYNAFTAWSIPESLKTASNLKTFSATSTNLTGKLPDFFGGDTFPGLITLRLAFNYLEGGLPNSFPGSSIQSLWLNGQKSDSRLNGSIEVLQNMTQLTEVWLHSNLFSGPLPDFSGLNKLQNLSLRENSFTGPVPASLVGLQSLKVVNLTKNMLQGPMPKFDRSVDVDMSEIDSFCLPDPGVNCDARVDILLSVVGSVGYPQGFASNWKGNDPCKSWLGITCSSDGNITVVNFRGMGLTGTISSDLSSIKMLQRLILADNNLTGVIPNELKDLPNLVEIDVSNNQLYGQIPSFKETVKVKTDGNTNIGKDGPSLTPVSPSNGSPDSPGVRHGAGGGRSSSTGVVVGSVIGCLCAILLVGLLIFYVYRAKRKRSGSLPYQNTMVIHPRHSGSDGDGVKITIAGSSANGGSSEANSHTSSGTRDIHVIETGSMVISIQVLKNVTNNFSPDNVLGRGGFGTVYKGELHDGTKIAVKRMESGVMSEKGLDEFKSEITVLTKVRHRHLVALLGYCLDGNERLLVYEYMPQGTLSRFLFNWQNENLKPLEWTKRLIIALDVGRGVEYLHGLAQQSFIHRDLKPSNILLGDDMHAKVADFGLVRLAPDGKASLITRLAGTFGYLAPEYAVTGRLTTKVDVFSFGVILMELITGRRALDETQPDESIHLVQWFRKMHINKDTFRKAIDPALDLDEEALASVGKVAELAGHCCAREPYQRPEMSHVVNVLSSLAELWKPSELDPDEIYGIDLNTTLPQAVKKWQELEGMSGIDDSLVIGSGESTQTSIPSQPSGFGNSFMLR >KVH97453 pep supercontig:CcrdV1:scaffold_20:587044:589832:1 gene:Ccrd_000429 transcript:KVH97453 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF125, transmembrane MGNVGESEKYLLNRHKEHHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANASSSIILTAGIAEVAAGAISMGLGGYLAAKSEADHYTRELRREQEEIDTIPDTEAAEVAEILADYGVEPHEYLPVVNSLRKNPKAWLDFMMKFELGLEKPDPRRALQSALTIAISYVLGGLVPLLPYMFIPVAEKAVVASIIVTIFALLIFGFAKGYFTGNKPVWSALQTALIGAIASAAAFGMAKTVQL >KVH97479 pep supercontig:CcrdV1:scaffold_20:731114:750013:-1 gene:Ccrd_000438 transcript:KVH97479 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MLNMPRFFVSVWKMISYFLEKATLHKVCRTFLINAQSLHSIFDFFGIMIVTSEEERKHFVTEVGKEVLPEVFGGEAKFVALQDKITYLERAEIDGDDKDSPCWTILAGGGRTLEKLVWEEGERTVHIQSHIKMDHNQQPKLTQMKNCVQKLGSSTENYGDPTLDRFLIARSMDPNKAAKMFVSWQKWRASFVPLGFIPDSQVLDQLDAEKIYLQGLSKDGYPVVIIKASKHYPAKDQPQFKKFVVHMLDKVIASGIKGKEVGNEKMIGVIDLNQLSYKNVDARGLITGFQFLQAYYPEREVGEDILPEEFGGQAKLVVLQDVILPPSQD >KVH97492 pep supercontig:CcrdV1:scaffold_20:850604:853765:-1 gene:Ccrd_000450 transcript:KVH97492 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MEDEYEKFIRRMNPPRVVIDNESCKNATIIQVDSANKHGILLEVVQVLTDLNFIVTKAYISSDGGWFMDVFNVTDQEGNKITDEVVLGYIRKALGSETSFTSSMRSVGLTSSKDHTVIELIGSDRPGLLSELCAVLTHLKCNVLNGEVWTHNTRAASVMQVTDEESGLAIMDPEKLSIIKKMLCNVLKGSNKSKEAKTVVSHGVTHTERRLHQMMFADRDYERPDDGSNENQRPDVNVVNWYDKDYSVVTIRSKDRQKLLFDTICTLTDMDYVVFHGNVDAEGPEAYQEYCIRHIDGFPVNSDAERQRVIQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVATRAGKAMNTFYVRDASGYPVDPKIIDSIRKDIGQTILKVKGNPQDSSLSSQESPNRFLFGGLFKSKSFCNFGIVRSCS >KVH97478 pep supercontig:CcrdV1:scaffold_20:768985:773969:-1 gene:Ccrd_000439 transcript:KVH97478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin II heavy chain-like protein MEEVPGQGAVMGMTDTPEMVYGGEYNGGNVRPPTGETTPLTVSGSFKDGGGGGRGSGTTSRRRPMVRPSLDADEFINLLHGSDPVKLELNRLENEVRDKDRELSESQAEIKALRLSERLREKAVEELTEELSKVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQSEMQQLRDKLAITERAAKSEAQLKEKYQLRLRVLEDTLRSSDGKSMSNCSSRRQSLGGADNFSKLTSNGFLPKRSPSFQIRSTLPSGSSSVLRHAKGTSKSFDGGTRSLDRGKLISSGGNSPTFNIGESCDGMKDRETPNSSWKGNPDGNETEDTVPGLLYDLLQKEVVALRKSGNEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQDNRVKRIGNSKAPAVNTSQLLPT >KVH97506 pep supercontig:CcrdV1:scaffold_20:269643:272069:-1 gene:Ccrd_000400 transcript:KVH97506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apoptosis regulator, Bcl-2, BH2 motif, conserved site-containing protein MGRPEEEDDDVFLALIQSESRLYTGDGSVNIKGQPVLKSNTGNWKACPFILGNECCERLAYYGISTNLVSYLTKYLHEGNASAARHVTMWQGTCYLTPLLGAGMCTLTLSASIPSLKPVECVDVICPSATPSQYAFFFTGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVSKGSFFNWFYFSINIGALVSGTLIVWIQDNRGWDLGFGIPAFFIGFAILSFFLGTPLYRFQKPGGSPITRMCQVLVASFRKRDLPVPIDGSLLYLDKAAVISSIGTENGNYSNPWMLCTVTQVEELKILIRMFPIWATGIVFAAVFAQMSTLFVEQGMMMDTSIGSFAIPPASLAIFDVFSVMIWVPVYDQIIVPVTRKFTGQERGFSELQRMGIGLFISIFPMVAAALVEIKRLDVAKSLDLVNQNVPVPMSVFWQVPQYFLLGAAEVFMVVGQLEFFYDQSPDAMRSLCSALSLLTTALGNYLSSLILTAVMCITGGVGKIGWIPDNLNEGHLDYFFWLLGGLSFLNMVVYVVCAKAYKSKSVS >KVH97455 pep supercontig:CcrdV1:scaffold_20:127441:128091:-1 gene:Ccrd_000388 transcript:KVH97455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSSLLNVSDHHTDSIPLGIGLFFIASAVVALCANHTRRVSRKEANKDGSFGRTDKRFDSSEEDHYQEKFPPRSPLRSPKQLITTISGHTDKRFDSSEEDHYQEKFAPRSPLRSPKQLITTISNKAMNSLVVNHKKGGGGHGGGGGKAVEEGFGEGGLWQKEILMGEKCQPPEFSGVIFYDYDGHQVSEFPPRSPRSPRVSPLPDFTFPAIKESS >KVH97499 pep supercontig:CcrdV1:scaffold_20:219604:226852:-1 gene:Ccrd_000396 transcript:KVH97499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MEGGGILSATDQQTMVSSFLEIAVGQTADTARQFLQATSWKLEEAIQLFYVGHDGGAAALSPYVPPLENDGVLPNPSTGGSENHMGSENIRENDSSEVRAPLPVKRDVLYDTPMLYGATRLGYTPHEARTVVPFRNFDEELKRPGVWETDQGATSTTDASRDNLATLYRPPFGLMYHGPFEKAKEAAKTQDRWLLVNLQSTREFSSHMLNRDTWANEAVAQTITSNFIFWQVCDDTEDGSKIKTYYKLDSVPVTLVIDPVTGQKMRLWRGMVQPENLLEDLLQFMDGSPKDHHFSLSHKRPRENSQAPPPKIQAMPVVADGTSEEDEDMRLARALSMETTKDRVGESSKDSDAVINTKKEIPKSEKHIYPPLPEEPKGDRNLLCRVGVRLPDGRRLQRNFLRSDPIQLLWSFCYGNLENGDEKRFRLSHAIPGAVTVLDYDSKSTFEESGLSSSMISVTWE >KVH97454 pep supercontig:CcrdV1:scaffold_20:134255:137680:1 gene:Ccrd_000389 transcript:KVH97454 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDVNRELKIPKVSSEKSGIPLTGIWTAGSGDAHLKRMNERGEVEELKKREEGKKGRRHFIFQFFTNFKDQQRECSSVILVLNLSAFSKSSRMDVKDIKVYLPDTMNILPSNIIQTMLTLLPMRDAFRTSILSRNWRHHCVNIPKLQFDDEMFKGSNCNNLSVTCKLTHVIYPILLLHRGPILDFSLCISELSSCYQIDQIISYLSKKSTVKQFTLCFRVGDNHKLPSSFFMLQQLTCLKLQNCAFQPPSTFCGFSRLTSLYFHNVSITCKVICHKSFRLC >KVH97510 pep supercontig:CcrdV1:scaffold_20:357212:359556:1 gene:Ccrd_000404 transcript:KVH97510 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA binding-like protein MDEGGGRWFCVYRPTVECGGEWMEMRETRREKYLSMDDDGLNMRNWGYYEPSFKEHLGLQLMSPLGDHRDTKPFLSARETPVILNPNGGAATAAPYHHHARNCVVSEAPIPMHYMRESWIQRERLLHMLPGNPNFALLPDTSASNSIHMMQPLDSTKDPGMNVDDNAAIIKGGGSSGGGAGGDDAGGSGPVKKRSSGTNPKTPRAKKAKKGPAVPKENGNPTGQRSKVVKRNMDVVINGIDMDISGIPIPVCTCTGTPQQCYRWGSGGWQSACCTTTISMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLASEGYNFVNAIDLRAHWAKHGTNKFVTIR >KVH97457 pep supercontig:CcrdV1:scaffold_20:97337:99539:-1 gene:Ccrd_000386 transcript:KVH97457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNVRSDGWYFVFVMLIMVGLIGKSIHGQIDGAPMSKTEQEGLYYAIQGFVGKWWNGSDLYPDPCGWTPIQGVTCDVFDGFWYVTDLRIGQIHDNSLPCHPNLEFRPHLFQLKHLKSLSFFNCIISPSHGPVTIQSANWDALSSTIESVEFRANPGLTGQIPTSFGNLKRLQSLVLIENGFSGGLPGSIGDLTHLKRLVLSDNLLTGKIPDSYGYLSELLIMDLSRNSLSGTLPLTFGGLTSLLKFDLSRNQLEGEIPSEISYMKNLTLLDLSNNKISGELTFPIQEMGSLEELILSSNPISGDLMNLNWENLHGLMVLDLSNMRLTGGIPEVISSLENLRFLGLNDNNLTGNLSPKLAELADLNALYVNGNNLTGDLKFPREFYGKMGRRFGAWNNSNLCFSVATMPANIRPFGVKVCSEVPS >KVH97452 pep supercontig:CcrdV1:scaffold_20:568058:580562:1 gene:Ccrd_000428 transcript:KVH97452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQRSHMNLHIEESYDTSRVIKGRFIELLHHGLGFSSSYPPPTVAVYRHTPTIRRPSNRSDFPVNDKGISSMGLSSAATTTLSEPLKICIFDLRRGQHEGEELEKILFFFPADLPFPAQLSVIGLSEGLITFTRIFSPEAACEVIEAEMHSHVFFEAEPDIWMVMVVAKSKETEAIWRIEALRSVLKEVHSLFVMFHGSIRLLLDKEPGGGLIRSHLYTFIMDYLSDFLMGNKFLLPNFRDSLKERGTVQMLTVGREAAIEVQSLVGALDSCMGNTLGYSVILFQDLLVSTTLTPDDTINLFSYGILRLTPRVLSSGGSTWSYLRKGNASSHTEPGSLLANTGSSFDRLHGFRDTTSAGGDNYRIVRSLQHGKWSRGKDGFLVTDIWGVEVGNLVPTTPTIWLQQTERKMYLCAYQHKSLTIILLIPVTSMFNGEEGISMLKQQLLENVSTKIFKVEEKLSKGWGGENAYHVKGYRYLLVDGDRNISRASPAGKVTTLTKKKHKHLLCNIVNSSSPTNSAEALRGAFLQESLLAINKVREDVDLEKNRAKGDCVDHEKDLEICIRAKNNAWVIARATRGKELYIVLEKANETLLYASEAVEKFSDRYCNGAFSLD >KVH97448 pep supercontig:CcrdV1:scaffold_20:560339:562781:1 gene:Ccrd_000426 transcript:KVH97448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MVIVLPTATAKLLRLLSSISNAFSNPYFPTSLNLQSSVSMAGNESKTGTDVPLASTPNSKAQIKTVKVSNVSMAVNEKDIWEFFSFSGDIHYIEMKSESETTKHAFVTFKDSKGADTAALLTGATIADFSVSVTPVDNYKLPPEAPPLTARRREVVQKAEDVVSTMLAKGFVLGKDALRKAQSFDEKHQFTTSASTTYASLDRKIGLTKNLSMGRAVVNEKMKAVDERYQVSEATKSAIAVAEQKAASASNALMRNHYVSSGVLMVSNALSAFAKAAEDVGSKTKEKLDKAEEEKGVLNKENTNRVNDVDESSTKDSPVTVPATSSDNPAKSTDNPAISLDNPAKSTNNPATSLDNPAKSPDNPATSSGNPAKSPDNPATSSGNPAKSSDTSNPPNN >KVH97462 pep supercontig:CcrdV1:scaffold_20:15098:20093:1 gene:Ccrd_000381 transcript:KVH97462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLVPEWKEAFVDYWQLKKDIKKIHCDYTSPSKPKQKSSLSNTLVSSIQKLSLFGNQCREREVIHVHSKLASTASKGVLYQTELLEQFDGTEAASEFFALLDLQLNKVNEFYRKKEKEFLDRGECLGKQLHILIELKTALKEQHKNKTNSSHHDSKDEDFLSGTISCDEESNRGITDQDLQEEGNIEEELDQNGTQSDDSPGSEEAGKMRRAKKEEERLSGCIINSHGKNLKIRIPLTNPTRAFSYLLWEDLINQSSKKHNAQGRKLQINKTKLHHAEKMIRGAVIELYKGLGYLKTYRNLNMLAFAKILKKFDKVTNKQVLPIYLKVVESSYFNSSNKTMKLADEVEDTFVKHFAEDNRRKAMKYLKPTQRKESHAVTFFIGLFTGCFIALFVGYVIMAHTTGTYRPQTNTIYMETVYPLLSMFSLLFLHFFLYGCNIFMWRKTRINYSFIFELSPTQELKYRDVFLICTMSMTAVVGVLFVHLSLMDKGYSYSQVDSIPGLLLLVFLVLLVCPVNIIYKSSRYRLLSVLRNIILSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYITGSYKTEDYGYCVRTKNYRDLAYAVSFLPYYWRAVQCARRWLDEGDTGHLINLGKYVSAMLAAGAKVAYEKERSVGWLCLVVIMSSIATVYQLYWDFVKDWGLLQINSKNPWLRNELILRRKFIYFFSMGLNLVLRLAWLQTVLHYKFGSIDYRLTGLFLAALEVIRRGQWNFYRLENEHLNNAGKFRAVKMVPLPFHEVDEQD >KVH97475 pep supercontig:CcrdV1:scaffold_20:810573:813401:-1 gene:Ccrd_000442 transcript:KVH97475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenoprotein SelK/SelG MAYVERGVVKSSRSIWRLRTIIDFFWAIIAFIRVFFATMFSMEKSDGYKKLSGSSKKWDGGGPGGPYGGGPRGPPRGLDNVRGIDHNSLPACGSCCGG >KVH97464 pep supercontig:CcrdV1:scaffold_20:423272:430007:-1 gene:Ccrd_000410 transcript:KVH97464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAESEHPRLILHKFLSPDLCKELEFIHKSCSTIGYRKNVFSTTLSHLIATNSPHLILPFIPIREKLKEKVEEFFGCEYELFIEFTGLISWSKGASISWHSDDNRPYLKQRDYAAVCYLNSYGVDFGGGLFHFQDGEPTTFIPMAGDVLIYTADSRNVHSVEEITKGERLTLTLWFTRDKSHDEDVKLISFLTKCPFNCSDPSPSDYLPLPASQNMYWFPPEQSSTYQSGFDIRFARLHVLGYKPYSSDASSLTKKHSSSDFSDILLEPLSVVRGNELFDKEFANLSHLLQMVQFYHWKAPQLQRSSEFKMEPIKVVPISTSHRENICRLTVEFLKDEHLAETIFHCMSCGENDWVNFCGTVDLWEAYTSKLWHKMVVILPKWVANQSIFYEPNGWV >KVH97487 pep supercontig:CcrdV1:scaffold_20:635894:637630:1 gene:Ccrd_000432 transcript:KVH97487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGIQGQSFSVKVVDKVVVSAEESWTDQWLSFTNLDLLVPPFNVSSFFCYNKPSYGSFPTMLNTLKASLSQALALYPPVSGDIAWNGAAGKNQIHCNNQGVDFTQAFADVELKELNFYNPDESIEGKLMPEKQRGVCATQVTELKCGGMVIAIKFDHRIVDGYSANLFISSWADLARSETPSMIPSFARSHMNPRSPPIYSSSIDDVFVPYVPQSQPDNDQNHDQGGDVLVNRIYYIESEQLKTLQSLASENGRRRSKLVAFTSFFWKKLALSMEDAGNHNEVCNVAVAVDGRRRLSEGDGEEKEKLMDSHFGNVLSMPYGTKKSQELNEMSLSNVATDVHEFLQTATGKDHFLDLIDWVEEQGPRPLISKAFAKGEMSVMVSAGQRFHTMDEMDFGWGKLAFGSCHVPSERKDCFVMTMGSPINNEDWVVYMHVPLKHLNFIEAHASHVFKPLNVDYLKI >KVH97465 pep supercontig:CcrdV1:scaffold_20:461812:470172:1 gene:Ccrd_000417 transcript:KVH97465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MSLGSQNLDILGDRQSGQDVRTQNITACQSVSNIVKTSLGPVGLDKFVSQMLVDDIGDVTITNDGATILKMLDVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVKNKIHPTSVISGYRLAMREACKYIEEKLAVKVDKLGKDSLVNCAKTSMSSKLLAGDSDFFANLVVEAVQAVKMTNARGEIKYPIKGINILKAHGKSAKESYLLKGYALNTGRAAQGMPTRVAPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDLRHVAKATGATVVSTFADMEGEETFDSSFLGYADEVVEERIADDDVIMIKGTKTSSAVSLILRGANDYMLDEMDRALHDALCIVKRTLESNTVVAGGGAAEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATDLVAKLRAYHHTAQTKADKKHLSSMGLDLVKGTVRNNLEAGVIEPAMSKVKILQFATEAAITILRIDDMIRLVKDESQDGQD >KVH97500 pep supercontig:CcrdV1:scaffold_20:247635:254274:1 gene:Ccrd_000397 transcript:KVH97500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMLCTTSCPTFYNTTCPNALKTIRTTIRTAVSRERRMAALLIRLHFHDCFVQGCDASILLNDTTPNERGTLSNSGVGGYEVIDAAKAAVERICPGVVSCADILAVAARDASVAVGGPSWKLRLGRRDSTTTNPTEADRDLPRGDQDLDSLIRNFANKGRIYSNTTNLDPGFGSTRRRNCPPAAPEGNTNLAPLDLVTPNSFDNNYFRNLLRRRGLLISDQVLFNGGSSDNIVLEYVNNPSRFRSDFAAAMIKMGNIELLTGNNGGSDDEIRVEKI >KVH97470 pep supercontig:CcrdV1:scaffold_20:818432:819548:1 gene:Ccrd_000444 transcript:KVH97470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSYLISKTPFMVSSFIKNLSGLNSIGSSHTFGSQPISATKKLMVAPSGILYPSTSTSFVTLCGSRRWPDGCLLSPSKTTAFRYGIFCRSSSFITDSSSTPGDGGGGGGPTTEMISS >KVH97490 pep supercontig:CcrdV1:scaffold_20:451579:456205:-1 gene:Ccrd_000413 transcript:KVH97490 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MLLPFLYKSISHSIQNTSMAYGNRFAAIFFFILLSVTNNYTPCQAQLSTTFYDDTCPNALRTIRTSIRTAISRERRMAASLLRLHFHDCFVQGCDASILLEDAPSIVSERNALPNKGSVRGYEVIEAAKSEVEKLCPGVVSCADILTVAARDASEMVGGPSWSVKLGRRDSTTASLALAETSLPSFKASLGSLIKTFDDNGLSARDMVALSGAHTIGQAQCFLFRDRIYSNGSDIDAGFASTRRRGCPINEGNGNLAPLDLVTPNSFDYNYFKNLIQKKGLLESDQVLFSGGSTDSIVSEYSNNPSKFKSDFAAAMGRMVIVFLRMMLNFDASTAVPFTQFKRHYQLMAYGNRFAAIFFFILLTVTNNYTPCVAQLSCTFYDDTCPNALRTIRTSIRTAISRERRMAASLLRLHFHDCFVQGCDASILLEDAPSIVSERNALPNKGSVRGYEVIEAAKSEVEKLCPGVVSCADILTVAARDASEMVGGPSWSVKLGRRDSTTASLALAETSLPSFKASLDSLIKTFEDNGLSARDMVALSGAHTIGQAQCFLFRDRIYSNGSDIDAGFASTRRRGCPINDRNGNLAPLDLVTPNSFDYNYFKNLIQKKGLLESDQVLFSGGCTDSIVSEYSNNPSKFKSDFAAAMVKMGDIRPLMGEEGVIRRICGAHP >KVH97480 pep supercontig:CcrdV1:scaffold_20:703293:705024:1 gene:Ccrd_000435 transcript:KVH97480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGISDMRFSVKVIDSVVVGAKEPWNDQWLPFTNLDLLVPPLDVGSFFCYHKPSHGSFPTMLNTLKASLSRALTLFYPLAGDIAWNAADRENQIHCNNQGVDFIQAFADVQLKELNFYDLDESIEGKLMPKKLRGVCAIQVTELKCGGMVIGIMFDHRIADGYSSNMFISSWADIARSETPSMLPSFQKSILNPRNPSTHSSSIDSNLFAHYDHRNRNDGPDDRIINRLYYIEGAQLNKIQSLASENGSRRSKLEAFTSFLWKTVAMSMEDLGNHNEMCTITLPVEGRRWLSEGDGEEKQKLMASHFGNVLSLPFGTKGSQELKEMSLTNIATEVHEFLQPVTRKDHFLDVIDWVEEHRSDPMVLPRALANKEMTVIVSSGQRFQFTDKMDFGCGKLAFGSCHLPPSRKDSYVMTLASPTNNEDWIVYMHMQIKHMNYIEAHASHIFKPLNADYLRI >KVH97511 pep supercontig:CcrdV1:scaffold_20:360712:361872:-1 gene:Ccrd_000405 transcript:KVH97511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVSGAFKDENSLIKARLTSRRSTFWNPDIQKAVIKATNHDESYVDIHHTQCVYAWINKSEEYLRPVLWALSVRMERTHNWVVALKGLMLMHGVFCCKVPAVQTIGRLPFDLTDFKHGHSGNVKRWSFEAFIRDYYAFLDQKSAFLYLLSQQQKDNQERSEKSLILQDLVWLQKLQPLLDMLLQIKPRYAASTNLLVLEAMECIVAESFDIYGHICNRIVSILGRIYSTSITEAKITLFIIEKATDQQKQLSKYLDFCRNIGVVKASERPKVEHISRADIQNLENLIKSGSNQSGNKQFLPKEEQKYVIMEEKTTTETHESNDSRSGLRTTITTDEWEVFEDDELQSDQQLELISLDSPMETRNNNTNPFGYCNTHELPDLISF >KVH97469 pep supercontig:CcrdV1:scaffold_20:813863:820552:-1 gene:Ccrd_000443 transcript:KVH97469 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDVFLLLLLTLCVAAVIRSTLLRRKTTKNLPPGPSFLSSNFLLLTKSLTEIGPIVKNLKSKYGPLITLSTGSRHFIYVGDHSLAHQLLIQKGAIFADRPRTFPVRNISSAAYGPTWRFLRRNLVSEVLHPSRVKSYSWARKSVLHNLIGHLQERKPAAAEEAAGIIKVVDHFQYAMFSLLVLVCFGEKLDDRRINEIAKVQRRWMFLVSSGRLNGLALFPRLGSILFRNRLKEFMQIRNDQEQVLIPLIKSRIEKANAEPQSVADGEQIVAYVDTLMKLHLPEGDATDGNGGKLTDKEMVSMCGEFLNGGTDTTSTALIWITANLVKHPHIQSKLYDEIISVVGPPPPPPSPGVELESVIKEDDLQKMPYLKAVVLEGLRRHPSGHLLLPHRVTKEVEVEGYKIPEGATINFLVAEIGWDPKVWDDPMEFKPERFLMNDDTMNGVFDITGSKGIKMMPFGAGRRICPGYDLALLHLEYFVANLIWIFRWTAADGYEVDLSEKAEVTILMKNPLQTRIFPRAQKYFTVFTASFLILILILMLVRFLHKVCWLPIRIQYMMRSQGIKGPSYRFLHGNTKEIYAMRTRSMGRPMDHLSHEIFPRILPHVHSWVNLYAQLVVTEPQLIKEILYDKDGVYPKIDLEGHAKKLLGDGLSSTKGDKWTKLRKIANGVFHGESLKSMIPAMISSSETMLERWKKAYDGKEIEVFQEFRVLTSEVVSKTAFGSSYLEGKKIFDMLIKLTLIVSRNAHKIRLPGISGFFKNDDDIESEKLELGIKKCIVEIIQKREQETSSSDSKKDFLGELLEASRDNDEEKRISLDDMVDECKTLYFAGHETTTSLLGWTVLLLAVHSDWQEKARDEVFEFCGKTNPTPDSITRLKTMSMIVEESLRLYPPVPAIKRKVKKEVRLGAMTLPRNMELYISPLAVHHDPIIWGSDVHLFKPERFEGGIAKATNNTLAAFLPFGFGPRTCVGLNFALVEAKIALVMILQRFKFKLSPNYVHSPVQLFMVRPEHGVSIVLDAL >KVH97467 pep supercontig:CcrdV1:scaffold_20:458998:460263:1 gene:Ccrd_000415 transcript:KVH97467 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MASLDIHFAPIFFFILLSVTNNYTPCEAQLSSTFYDGTCPNALRTIRTSIRTAISRERRMAASLLRLHFHDCFVQGCDASILLEDAPSIISERNALPNKGSVRGYEVIEAAKSEVEKLCPGVVSCADILTVAARDASEMVGGPSWSVKLGRRDSTTASLALAETSLPSFKASLDSLIKTFDDNGLSARDMVALSGAHTIGQAQCFLFRDRIYSNGSDIDAGFASTRRRGCPINEGNGNLAPLDLVTPNSFDYNYFKNLIQKKGLLESDQVLFSGGSTDSIVSEYSNNPSKFKSDFAAAMVKMGDIRPLMGEEGVIRRICGALP >KVH97491 pep supercontig:CcrdV1:scaffold_20:377614:379121:-1 gene:Ccrd_000407 transcript:KVH97491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKMSVFYMGFSLGDDLEMKEGVVQLKDESISNGMIENVGVNGFDFKTEFEFWPIQHPTEPSHEDRPVQCPMSHSSPLINDERMQDDRFSERKRPEASMAVHKENSAQEATEPAIRTVRKRHHDHTNTITPLLQALPVSHQNMNTTVLNKLQQVHRFES >KVH97494 pep supercontig:CcrdV1:scaffold_20:472082:475245:-1 gene:Ccrd_000418 transcript:KVH97494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MENFHLLFLVLSSSVFVLSYGFSKNLPTLSFDEGYSQLFGHNNLIVLKDGKSVHLSLDENTGSGFVSQDLYLHGFFSASIKLPADYTAGVVVAFYMSNGDIFEKNHDEIDFEFLGNIRGKEWRVQTNIYGNGSTNVGREERYGLWFDPSDDFHQYSILWTHTHIIFYVDDIAIREIKRTGSMGGDFPSKPMTLYATIWDASEWATNGGKYKVNYKYSPYVAEFSNFVLHGCTVDPIELSSFKCEATPKPNTIPTGVTPSQRTKMESFRKKHMQYSYCYDKIRYKSPPSECMINPKEAERLKKFDPVTFGGGRGSHHHHHHHAKRHRRSQSVSI >KVH97463 pep supercontig:CcrdV1:scaffold_20:431307:437281:-1 gene:Ccrd_000411 transcript:KVH97463 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin, iron-sulfur binding site-containing protein MGFTSSSKRWLTEGYKKVVAGAGGVLNPKQKRFGVLEGHPVGQDHAQQAVQSHETLKDNIKALKKEFKIYRWNPDHPSQKPFLKSYFLDLTTCGPMVLDALQKIKSEDDSTLSYRRSCREGICGSCAMNIDGTNTVACLKPIDTDTSKATYVTPLPHMYVIKDLIEPWLKTKKGPPDGREYRQTPAERKKLDGLYECILCACCSTSCPSYWWNPEDRDDYTEERLEALTENDKRLYRCRIIKNCTATCPKSLNPALAIRQMEAMKSRNRF >KVH97509 pep supercontig:CcrdV1:scaffold_20:321761:323976:-1 gene:Ccrd_000403 transcript:KVH97509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNNDHHHHHHHLTTAPGPSSSSDPFLSPDNGLSNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRESPEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNDKQWVCDKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTIRRVHPDLPTFQQACSSRTASSTSPSSETNLSTIPSLQRKPQIAPPEPLFSQTQEETPTSLELQLLPSSSSLYEQNDNNQTNLKLSIGSGIGFHKEQENMKIAMAEKEFAEEARQQAKRQIETAELEFANAKRIRKQAQAELERAKLLREQATKKISSTILEITCHSCRQRFQAASNNVAAITADEMSSAVTEGEGD >KVH97488 pep supercontig:CcrdV1:scaffold_20:382841:390770:-1 gene:Ccrd_000408 transcript:KVH97488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MPSLDAVGDPFLWMRSLDSCNGMLIVGELGFSSLSDSTAIDILQELCLEMLFFINCHMPLVADILIHMITMWMMFISTLLLDMIIPTLIPILLKIFQLDYPFCVIGIVVFLFVEKVVRYVEDMSGGVNSWGHGHHHHHKSESLKDSKGDDDNSEEDGSTSETSEKIAEIASGESLKSEKQSESSHLRKRNTSTNVVADKIDSGAENGPKSNIKSSIEKEPAQSSTLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEALLWGQDPGQSSLIEGFTAGGFIYIAVGVMAEMNNGSSSLKNTAIQLSCLVLGMSVALFISLVE >KVH97459 pep supercontig:CcrdV1:scaffold_20:69788:71307:1 gene:Ccrd_000384 transcript:KVH97459 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase MSPAPEIGIAIFLLKDDNKILFGRRLASSIGAYTFALPGGHLEFGESFEECAAREVKEETGLHIKNIELLTATNNILPDAVHLVIVYMRAHLSDPSQIPHNLEPDKCEGWDWYDLKNLPEPIFGPLGLMLQDGFNPFPTITNSKEPFA >KVH97501 pep supercontig:CcrdV1:scaffold_20:138121:139817:1 gene:Ccrd_000390 transcript:KVH97501 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like protein MYYNQKEAVSQTAMNLLDLQDYSNVNLDHLRELEITNMSSVKPELDFVKLILAKSPMLQKVGIVLDNQVAVASEVKMLRDLLQFQRASTKAEIALYVAHYLLKKMVIAHKTTSSRFRSELLKLDRASPNAK >KVH97466 pep supercontig:CcrdV1:scaffold_20:459253:459685:-1 gene:Ccrd_000416 transcript:KVH97466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALSPLSSNVLISESREALKLGRLVSARARLAVVESLLPSFTDHEGPPTISDASRAATERLLARLMHHNPERNSRGSGDGGGKLPCDVRGILQSEWRF >KVH97444 pep supercontig:CcrdV1:scaffold_20:515358:515764:-1 gene:Ccrd_000422 transcript:KVH97444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MDCRLRQRLWTISLILCFVVIAYGIVTVFVSAEMRKAIGEEIDASCIYSSKTYKGPCFSSSNCRIICKAEGAVSGHCRIFSFRCVCDNCSYFVMDP >KVH97484 pep supercontig:CcrdV1:scaffold_20:614360:617999:1 gene:Ccrd_000431 transcript:KVH97484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MVSRSYSNLLDLASGELPSPSFTRMTRQMPRIMTVAGIISDLDDDPSDDLSSSSIQHDRLIIVANQLPIKAHRKTDGSRGWTFSWDENSLLLQLKDGLGDDEVDVIYVGCLKEEIHPNEQDEVSQTLLETFKCVPTFLPPELFTRFYHGFCKQHLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRLLGISYESKRGYISLEYYGRTVSIKILPVGIHMRQLQSVLNLPETESKVSELINQFQDQGKTMLLGVDDLDIFKGISLKLLAMEQLLIQHPEWQGKVVLVQIANPARGTGKDVKEVKAETCSTVKRINETFGRPGYDPVILIDEPLKFYERFIGCSPSLSGAVRVNPWNIDAVADAMDCALELSEPEKQMRHEKHYKYVSSHDVGYWARSFFQDLERTCKDHVRRRCWGIGFGLSFRVVALDLNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQSSIDKSPTSETIEMLNTLCRDKNNMVFVVSAKSRTTLGEWFADCEKLGLAAEHGCFLRLKRDEEWETCVQFEECGWKQNAEPVMTLYTETTDGSTIEDKETALVWSYEDADPDFGSCQAKELLDHLESVLANEPVTVKRGQSSVEVKPQGVSKGLVAKRLLTTMQERGMSPDFVVCIGDDRSDEDMFEVITSSVASGECIAPRAEVFACTVGNKPSKAKYYLDDTVEIARLMRGLASVSEQSVNVLAFE >KVH97477 pep supercontig:CcrdV1:scaffold_20:840665:841960:-1 gene:Ccrd_000449 transcript:KVH97477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSKFEQQSCKCFEHFDYCLYKDNSQSHRLSPLFSNEQHTYTQGKQKYRIPSDHGASDIADIGPRNLNLQVDRTSSHKIPFSVKTGDCSHGFGHRDDKSEQTPSSSTSSEVENEENLIFNSSNTDDAPVENSIVNEREKLKETDEYKRALEEEWSAWQRAEEAQQLRRFLKRKKAEKLRLLDMERDKNNAWKKS >KVH97493 pep supercontig:CcrdV1:scaffold_20:494554:501803:1 gene:Ccrd_000419 transcript:KVH97493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med27 MQHQHSQPPVQSAGNLISSPPESQGSTTDAPPKQVALAMDRLAHAARLIADIRLGADRLLEALFIAGQQPHQSSSNKPLHLIIQEGASMRQYLQDLRTIGRQLEDSGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRCALTSWISLLALKAFTDQKRRFFPHLDEDSGNEPVSKKHRGNQTPTVSQQEEFSDLRTVSDVLTELEKEVPEVKTSTYQRLDWLKRASLLPSSSSETLDESSKDHNFHSSRDIRPGSGGAVVGDQIAVIELLIPSVFRAVISLHPTGSLDPDAVAFFSPDEGGSYVHARGVSAFNTFRNITEHAAMAPHHFIGVNPETALCSLLVSYLQSFLYLKQIDYWCSKCGKLLSMDKESALLLPPVQRPYRNLHSSSKDESIHVHDIPAYHIGCFPQDA >KVH97486 pep supercontig:CcrdV1:scaffold_20:664032:665750:1 gene:Ccrd_000433 transcript:KVH97486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGIMGKSFSVKVVDKVVVSAEEPWTDHWLSFTNLDLLVPPFNVSSFFCYNKPSHGSFPTMLNTLKASLSQALALYPPVSGDIAWNGAAGKNQIHCNNQGVDFILAFADVELKELNFYNPDDSIEGKLMPEKQRGVCAIQVTELKCGGMVIAIKFDHRIVDGYSANMFISSWADMARSEAPSMIPSFTRSHMNPRSPPIYSSSIDDVFAVYSPQSHPDDDQNHDHGDNIAVNRIYYIKGEQLKRLQSLASESGRRRSKLVAFTSYLWKNLALSMEDAGNHNEVCNVAVAVDGRRRLSEGDGEQKEKLMDLHFGNVLSIPYGTKKSQELNEMSLSNVATDVHEFLQTATGKDHFLDLIDWVEEQGPQPLIAKAFASKDMSVMVSSGQRFHTMDEMDFGWGKLLFGSCHVPSERKDCFVMTMGSPTNNEDWVVYLHLPLKHMKFMEAHASDVFKPLDADYLKI >KVH97503 pep supercontig:CcrdV1:scaffold_20:169560:173299:1 gene:Ccrd_000392 transcript:KVH97503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGGCERNGTVRQYIRSKVPRLRWTPDLHRCFVHAIHTLGGPHNDEAHKSGGLQKRSIEDQHDGCIDHEIYLHPSIQESNPHFIYDPFSVPCKRGRMEITGMHGFSERRIKGKEGIDKDVQKSEDGCLHTKDLGVTCVISKLENGGWFDGMELEKPRNIEEDVDERAGGLSLSLSLHQPLVQRSSNGSSMSEISETQSRHNSIDHSSNKCSVNLDLSIGLCY >KVH97446 pep supercontig:CcrdV1:scaffold_20:507999:513822:1 gene:Ccrd_000420 transcript:KVH97446 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MANHRSSDRTSALPVIVFTLTHLLVVSIAALVLVWLMRFREGFAFTSQIKAKIFNSSSSITNSISRICTRYIPGSACLPSAYSGFRVCNTRKNRSMACFFWRRYLFHGDRECRDGINRKVLFPTLEAWPRSAYRQLYRTVTATFGDNVMITYKLIPGSRQVLKRSRLRLQLIALAAEILGVYAVFKFHNELHMNTLRSWIGLSTICLIGFQSQSSSGKDCKLLLGFKLTEGSPNRTSFEAARDNETSGNAKDRSRRGDSEKEFRFEGDISGFLGLSLRNSSARH >KVI01071 pep supercontig:CcrdV1:scaffold_200:35074:41364:1 gene:Ccrd_020665 transcript:KVI01071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDCGNLCPYEKGTQKIEVCDQLLNMKSENVHVDMDMEPFSHLTTKDLNFNSRFNKPVAVIVGITEPQVHHQISIITSTTATTVATTTATATAAPTKSRLIAKRSSSFKQTSVINPRRILFFFATLSSMGTILLIYFTLSMARHNGDNNLLDE >KVI01074 pep supercontig:CcrdV1:scaffold_200:170288:180433:1 gene:Ccrd_020661 transcript:KVI01074 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MVTTPFRCYAVVLLTVIFFIQPSISIYCDEDDCYDLLGVSQNSNSSEIKKAYYKLSLKHHPDKNPDPESKKLFVKIANAYEILKDEVTRGQYDYAIEHPEEVFYNAARYYHAYYGHKTDPRAVLVGLLLVLSAFQYLNQWTRYHQAIDMVKRTPAYKNKLKALELERTGAMSSKKKGGYKIDKKTQEDLSKELELQIKGAEKPSMWGLLGVRFILLPYTLGKLVLWYGSWYWRYKINQTSYSWEDASYLTRRALGAPPDSWEYLGKAIHLFGKIYFIYLISFMYKFAVELKYGLYESSKKDLINRRLWVKSNLESYLSEMRKESKRRRWNSLEYTLKVL >KVI01077 pep supercontig:CcrdV1:scaffold_200:249736:250344:-1 gene:Ccrd_020655 transcript:KVI01077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHINTPVRLLLKSPLNGYPSLPLLRSRSATDCECIPYSERQSFVNSTIGDAKTNAPACLERACNDGFWCWCCTTTAKCYYEEYICKDNCH >KVI01085 pep supercontig:CcrdV1:scaffold_200:376066:381412:-1 gene:Ccrd_020647 transcript:KVI01085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNTIAAIAFNTFGTLQRDAPPVRLSPNYPEPPATAAPPTAATATNAAAANPTTEESPNNNISEQPKLLSAELVKAAKQFDALVAALPLAEGGEEAQLKRIAELQAENDLVGQELQKQLEAAEKELKQVQKLFNEAADNSLNLKKPD >KVI01084 pep supercontig:CcrdV1:scaffold_200:372539:373404:1 gene:Ccrd_020648 transcript:KVI01084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLRILKICDKELRHLWNAFDWKLWKESKVNYDGKLKFLSNKVRLIYWHGFPFKCFPSDFYPENIVAIDLSYSHIKNLWTSPKYWDLVDMRRGSGLDGGVQFLNLHGS >KVI01086 pep supercontig:CcrdV1:scaffold_200:356519:363554:1 gene:Ccrd_020649 transcript:KVI01086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQPATTAVMDPRYQQQWMMMNQQQPPPPQQQYHQQQPQQMYTYNQQPPPAAAVPPVLPQYPVAATAGTGPNHQPASADEIRTLWIGDLQYWMDEQYLVSCFAQSGEVISAKVIRNKQTGQSEGYGFIEFVNRPAAERHLQTYNGTLMPNVEQNFRLNWASFGVGEKRADGAPDFTIFVGDLAADVTDYTLQETFRAHYPSVKGAKVVTDRMTGRTKGYGFVKFGDETEQLHAMTEMNGRLCSTRPMRIGPAANKNTVGGQQYPKGHQGDLSCKGLALIPAEIVTPILHTRIPKEHRTRRIQLIQLSCAEEALRMLQGTQLGGQTVRLSWGRSPSNKQPQQVEQSQYSGGGGYYGYGQGYETYGYAPVAQDPTMYYGGYAAGYGGYPQVQQQPQPQIQPQPQQHQ >KVI01082 pep supercontig:CcrdV1:scaffold_200:204803:209901:1 gene:Ccrd_020659 transcript:KVI01082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor SUI1 MSEIDLQIPTAFDPFAEANAEDSSAGSKEYVHIRIQQRNGRKSLTTVQGLKKDFSYNKILKDLKKEFCCNGTVVQDPELGQAGIVKKDRIKIHGF >KVI01075 pep supercontig:CcrdV1:scaffold_200:217880:221104:1 gene:Ccrd_020657 transcript:KVI01075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter protein 1 MAISPKSSLILLLILTILTSTASSFTAGIVSDLYSLQSHFPSGVIHLNDTLIHRIFSSGDRSFYLIIFFDAIPLRHKPESNLKSIKAEFDLIAKSFLINNHSSSLSKIFFCDIEFTESQKEFLRFGVQSLPNVRIVPPDANVSNSDSIPMEVGEYSTLAESMAEFIEFKTGITIGKIHHPPILSKTQLGFLITGFLIWMPFMTRKVLAGNTLFHNKRVWMFGTLFVYFFSVSGSMFILIRRIPLFIVDRKDPNKAIFFYKGNGMQFGFECISVGFLFTIVGLLLAFITRIIVKMKDSMVQRATMISALIVSFWAVREVVILNHWKTGYAVYAYLPSTNLNMEEQVEMVGRAFVEHYYHLFDTQRSSLSSLYHQSSMLTFEGQRLQGVDHICFKLNHLPFDKCHHLISTIDTQPSSSAGGIVVFVSGSLRLAGEEHPLRFSQMFHLMPTLQGSFYVQNDIFRLNYG >KVI01078 pep supercontig:CcrdV1:scaffold_200:268621:274108:1 gene:Ccrd_020654 transcript:KVI01078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MFQPNMFESHHHHLLDMSHKTPENELDMLRDDEYESKSGTDIMEAPSGDEQDPNQRPNKKKRYHRHTQHQIQELESFFKECPHPDDKQRKELGRRLTLEPLQVKFWFQNKRTQMKAQHERHENSQLRNENEKLRMENIRYKEALANATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPMLSYPNLSPNGPPRSLDLPIASFSPQPAMVDDMFGTSNLLRSVSGPSEADKPVIIELAVAAMEELVRMAQSGEPLWVPNSDNSCEILNEDDYLQTFPRGIGPKQLGMKSEASRESAVVIMNHINLVEILMDVNQWSNVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTTEYQVPSPLVPTREYYFVRYCKQHTDGTWAVVDVSLDNLRPSSMSRSRRRPSGCLIQELPNGYSKVTWVEHVEVDDRAVHDIYRLLVNSVITTLEGRKSMLKLAERMVLSFCSGVGASTTHTWTTLSGSGADDVRVMTRKSVDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQGNMLILQESSNDSTGSYVIYAPVDIAAMNVVLSGGDPDYVALLPSGFAILPDGPGKQQGSTILEVGNGGSLLTVAFQILVDSAPTAKLSLGSVATVNSLIKCTVERIKAAVAPEL >KVI01080 pep supercontig:CcrdV1:scaffold_200:336376:342577:1 gene:Ccrd_020651 transcript:KVI01080 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-alcohol phosphatidyltransferase MAILRSLRKLAQKTSDARSRSFFISTPNPTSLRSLRSSIFLSRSSNSLFPRISKSDYPFHGPLFLSYPPWMLLQSATPLYFQSHVVAIPKIRAFDLIRERKFPLKLGLISEGNLVNGKESETVGRGGDDGLVESFVNWPNMISMSRLVSGPVLGWMIMHDMYLPAFVGLVVSGATDWLDGYVARKMGINSVVGSYLDPLADKVLIGCVALAMVERGLLHSGLVALVVMRDLALVGGAVYIRASHLGSESRTWLEFFNLDGIRPQKVEPLMISKVNTCFQLALVTAALLQPEFGTPETESYITYLSWLVASTTLASTAAYSRQHLKSRSIFIQKNV >KVI01070 pep supercontig:CcrdV1:scaffold_200:70276:70497:-1 gene:Ccrd_020664 transcript:KVI01070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MEIAKASQEWGFFQAISHGILSELLQKMRCEQVKVFERPFHDKVDGHPDINFSSGSHQWGTPSATCLRELAWS >KVI01083 pep supercontig:CcrdV1:scaffold_200:210597:212894:-1 gene:Ccrd_020658 transcript:KVI01083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MEKKASSSSMGMGMGMGMGMGMIWCFLVYIAISTTTCSAAPKSSFDDNFSIMWSENHFKTSEDGQIWDLSLDNDTGCGFKTKQKYRFGWFSMKLKLVGGDSAGVVTAYYVRFFSFESFFVDRVPVRVFKNADYENDFFPNQKPMYLFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFTVDACQWKDPYPDCVSTTTEHWWDQYDAWHLTKDQKLDFAWVERNLVIYDYCKDTKRFPKLPEECSLSPWD >KVI01081 pep supercontig:CcrdV1:scaffold_200:348498:351701:-1 gene:Ccrd_020650 transcript:KVI01081 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEIILFSALLLSLVIPLLLLLRTRTSSNRRLPPGSLGFPVIGQSFSLLTALRTNTDHEWFEERIRKYGPISKLTLFGHPTVFLHGQAANKFIYTCDGNTLANKMPPSLSRILGKKIIVELNGEDHKRVRAAIVSFLRPEVLKTYVPKMDQETRNHLDVHWRGKREVQVMPVMKVLTFNVICSLVLGLEEGTIRDKLLSLFQEMTRGLLSVPINVPFTQFNRSLNASSRLKTIIMDLIRAKRSILEEQKASGHQDLITHLINAQNENPNLTSDDEIVDNVVGLMFGGYDATSSLIKQDGIVRNKAPGESLTWDDLTNMKYSWRVATEVLRLNPPFFGSFRKAIKDIEYNGYIIPKGWQVMWVSSMTHMDGNIFSDPSRFDPTRFEQQTTKPPYSFVAFGGGVRVCPGGEFAKMETLTMIHYLVTRFTWKLSLKENSFGRDPMPVFNQGLPVLVSPRKHYHSMT >KVI01073 pep supercontig:CcrdV1:scaffold_200:184690:195356:1 gene:Ccrd_020660 transcript:KVI01073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein LHTKNQTPNSYPLGFFSCNHSFQIHDHDHLITTSSMANSSQVGVLVPLNGNLEEDTSNSIPEVGISKGINVLGRNVIPITDKRLSRKHLSVTVSSDGSAEVLVEGTNPVVIRSQDKRKKLLSREKLTIRYGDIIELIPGHHLFKYVAFDGIRKRSSPNTQKRPLIEGIQDEPLTQKRLRQVSEDEALARRLQIELNDDIHDKKSSAEAICNFKPPKDKLPQTFRLMRVRGLQPWANTSSVSVGDVIQGDVLIAILSNYMVDIDWLISACPTLKNVPHVLVVHGESDGRQEHMKKNKAANWILHKPPLPIAWGTHHSKAMFLVYPRGVRIIVHTANLIYVDWNNKSQGLWMQDFPWKSQDNSNKGSGFETDLIDYLSTLKWPEFSVKLPELGNFTLNPSFFKRFDYSSAAVRLIASVPGYHSGSNVKKWGHMKLRSVLQNCVFDKEFQNSPLIYQFSSLGSLDEKWMTELAFSMSSGVSDDKKPLGLGEPLIIWLTVEDISRAMPHIKTFTRYNGQNLAWFLLTSANLSKAAWGALQKNNTQLMIRSYELGVLFLPSSTKHTHSFSCTGNGSLLENSCGSSSKTSEVKRTKLVTLASVHSKKIDLPEVITLPVPYELPPQRYSSEDVPWSWDRQYKKRDVLG >KVI01069 pep supercontig:CcrdV1:scaffold_200:11297:12949:1 gene:Ccrd_020666 transcript:KVI01069 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAGNKNLLGIFMVQMMFLALFSSQANGEGLKVGFYKKSCPHAEDIVYKVISDVMAVAPSLSGPLLRMHFHDCFIRGCDGSVLLDSPTKQSEKFSAPNLSLRGFNIIDRVKLALEEACPGVVSCADIAAIVARDVTVATKGPYWEVETGRRDGKVSVIGEPSAPITGLPPFFANINILKQSFALRGLNVKDLVVLSGGHTIGTSHCSSFDDRLYNFTGKGDMDPTMDPNYIARLKLKCKPGDQTTLAELDPGSVKTFDDSYYKLVTKRRGLLESDAALLBDPETRAYXVQAXSHXSTFFKDFGVSMVXMGRIGVLTGSQGEVRKVCTKTN >KVI01072 pep supercontig:CcrdV1:scaffold_200:80672:88846:-1 gene:Ccrd_020663 transcript:KVI01072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MESFDPPFQEFYKKLFDDEVNIKNDTNVLDVVEERELPLIDLSRLNIEQEEEICKREIAKASQEWGFFQVINHGISNQVLEKMRYEQVKVFKRPFRDKANGHPDLNFSTGSYRWGTPSANCLRQIAWSEAFHVPLADISTTGDLSTLRTTMKLLATKISDLAQKLSEILAEQLGHKSDLFKEKCSPTSCYLRMNRYPVCPISSQVFGLMPHTDSDFLTILYQDQIGGLQLVKDGKWITVKPNPKTLIINIGDLFQAWSNDVYKSVEHRVVTNKHVERFSTAYFFCPSYETMIQSCIENSVYRRFSFGEFRQHVQDDVNMLGYKIGLPRYIX >KVI01079 pep supercontig:CcrdV1:scaffold_200:281009:317573:-1 gene:Ccrd_020653 transcript:KVI01079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MATVSSLPWIPNYQSFVVAPATIQRTISVATIPHPRHKGTRFCGSIWDSNIYSYQKNNCRAFASVSEASLSTLKSIRHEEYGRLLPCPAENVLPRKIEHLVVKEGGPVLDFITKALDLPPLYVADLIHFGAVYYALVCPKPPSTATPEEIKLYKEFTNPRVLRKRPSIEGKTVREAQNTFRITHIDEFVEVGTYVRVHVGGTTDNIEETCATFATRALELTTPLTTTHQIDNCTEGWYSLKFNSNHWLFVLSCVNEKTANLILFFSVVLARTKDYCSVFHRKIREKQVKKLYLALAAAYVPCGVMTHYMRPFRRAPKIISNDFIEEWNLCQLEVLECRKVPWPNALLQKEYGIEDFNWPNKEFAYECKINLLTGRTHQIRAQLAACGAPIVGDSMYMPAAIAELASPGLNPFGKYKKQFESEVDRECGIEEWAGKHGKEPGVAIGLQACQISWDEEMAMVPNCRSFILAPVSMLRTLAAATSHRHKSTSFCCSIWNSDFNFHKKNSSRAFSSDSAASLAVTSTPSSPNSMRHEEYGRLLPCPAESFLPRIEHLVVKDGGPVLDFITRALNLPPLYVADLIHFGAVFYALVCPQPPSTATPEEIKLYKEVTDPSVLRKRSSIKGKTLREAQKTFRIAHIDEFVEAGTYVRVHVRPKRFPSVVLARTKDYCSVFHRKIREKQVKKLYLALAAAYVPCGVMTHYMRPFRMAPKVVSKDFIKGWNLCQLEVLECRKVPWPNALIEKKFGIEDFNWPSKEFAYECKINLLTGRTHQIRAQLAACGAPIVGDSMYTPAAILELASPEVNPFGKYKKQFKSEADRECAIEDWAAKHGKEPGVAIGLQACQISWDEGEHLKKGRAKLYIHTMAPLNNGFTYNSMIYGKHRLSYQFYRYHKYGFQT >KVI01076 pep supercontig:CcrdV1:scaffold_200:221545:250001:1 gene:Ccrd_020656 transcript:KVI01076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MAKSRRPLKIFCSVTVVLLIIILVTAITLYYTLFKPKQPKITTQSVTLDSFSQNLGDLTDLNATLGVLITIKNPNYGGFNYRNSTTYLTYRGDLVAEAPIIEDSIPARGQHDVSMTVLVVGKRLIGNPDFSKDLGTKVLNFTSTTTLKGKAVVLKVFKKKATTFCSCDISINIRYNNSTSVCKSKVLQTQPDFVHQFYSDRSTGGLGFEDLNDPLAAGRKKEEILSVLKVLAVKYGNPDAVEPPSYMVCLQKLNELGWPKDGPLYCIAMGLFGDKENREPWLMIPSKFKVDWVKTIGDKQVYKDINDVYKLIYGYFCTLIDMLTYKEERLFHFAVVVQHQHQNPSLHALSKHAGAFVFASPMVLFTKLCLSEYGIHSQSVALPEKT >KVI04869 pep supercontig:CcrdV1:scaffold_2000:30668:31078:-1 gene:Ccrd_016805 transcript:KVI04869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MVSEAGRLPEWMPMVETCTHLQGVEGVSGYVRLVSGFMFPQEDGDRSWIKERLVSMDPLSFSFVYRMEASNVGLDGSVNSLKLIDYGDGSTLVDWSFEISPVEGVSEEGLIDYLGFLYKSCIKKIASAIQVSSENV >KVI04868 pep supercontig:CcrdV1:scaffold_2000:39073:43884:-1 gene:Ccrd_016806 transcript:KVI04868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAPEKGMPGPAAVKQRQQQMMGSFRKSVMKEKEEELGLFLEMKKREKERTDLFLQNTEEELDSSLGSIEGSSQMFSMPSATPARKTGAEEFLNSENDKNDYDWLLTPPGTPLFPSLEMESQKSVMGQVGTPKARPTALKSRLSNTHPDATGRNNLVSRQPVSSPGLNTSSARLRRPSSSGGPGSRPATPTGRPTLGTSSRPTSNSVPKTSNATSKPTSTTASRPSRSSTPTPRPTVGSTKPTLPARSSTPTPRSSARSSTPTSRPSLPASKPASRASTPTRRQATLTTVTKTSVPSQKSPPTVTKSAPNTTRNAAPPPRASSPSVKPRPWKPHEMPGYSLDAPPNLRTSLSDRPTSATRGRPGAPSIRSSSVEPATNGRVRRQSCSPSRGRLPNGMSHSSGSSVPVPALNRAYAKANDNLSPGLYGTKMVERVINMRKLVPPKQDDKHSPHSNFSGKSSSPDSSGFGRSLSKKSLDMAIRHMDIRRTVPGNLRPLMTNIPASSMYSVRPGPTRSRTISVSDSPLATSSNASSEMSVNNNGLCFDHGNIDDEINSLQTPANIRGR >KVI04866 pep supercontig:CcrdV1:scaffold_2000:77609:94794:1 gene:Ccrd_016808 transcript:KVI04866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MSTHVGQVIRCKAAVAWEAGKPLVIEEVEVAPPEKMEVRIKILFTSLCHTDVYFWEAKGQNPVFPRILGHEAGGIVESVGEGVTDLQPGDHVLPVFTGECKECAHCKSEESNMCDLLRINTDRGVMIHDQKSRFSIQGKPIFHFVGTSTFSEYTVVHVGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNANRFELAKKFGVTEFVNPKDYKKPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPHKDAVFKTNPVNLLNERTLKGTFFGNYKPRSDLPSVVEKYMNKELEVEKFITHEVPFSEINKAFDLMLKGEGSYHKLNNLDKRLPDKQAVSEVLSLRPCMEKCTIPSEMRGNGSVSSITEKKRYRFFRGKETLDLIFARKRDVMSTNVGQVIRCKAAVAWEAGKPLVIEEVEVAPPEKMEVRIKILFTSLCHTDVYFWEAKGPFQGQNPVFPRILGHEAGGIVESVGEGVTDLQPGDHVLPVFTGECKECAH >KVI04867 pep supercontig:CcrdV1:scaffold_2000:62140:69639:1 gene:Ccrd_016807 transcript:KVI04867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MADEKMIRSEASSSRSNSNNGRGESVVFDVGRGRRSCGYCKSSSYTSITHAKDFSDIKDNLVHLFVDLRAHSLTVYDYQGFLDKGWRRAGCLLYKPEMEKTCCPSYTIRLKASDFVPSKEQVRVAKRMQRFIDGTLNVKKPCELVEIFSSTGPCNLSNSECRSSVHRNFLADNGVQKNILEQVTRRLSDQIDSVVHTCIKQGKFPPDIQYPKASVKSVAPATRKLLAEGSEDLLYSSNISFQIAAALRRANKDADLSKSTDNCTEKSNRCRDPNPKFIADELSSHLSNLVPAFGFSIKACNGHINFYSTEIQAQPDVVVGKASISKDSSTGNGNKSCSLDFAGKFQAKGPNLEIRMKKSSFDPEEYALYKRYQIQVHNDAPNHVTESSYKRFLVDSPLVFVPSSRDSTSPPCGFGSFHQQYLINGKLVAVGVIDILPRCLSSKYLFWDPDLAFLSLGKYSALQEINWVLENEGHCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPLRYQWVPYDIAKRLLDRKPYVVLSDFATLQNEEPSSHAFEENMGQEDEPCPEESNDIPIDDDEEMSEIDFEHSDDGSEPETTAPTETRKEDISNDVIGAKERQYLESQLHRHLRVMGMDVSARMVYSLG >KVI04870 pep supercontig:CcrdV1:scaffold_2000:25907:29800:-1 gene:Ccrd_016804 transcript:KVI04870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MASWVRSVVILVLAMVLFPMMVEPKVWHYKFNVVMKNMTRLCETKPVVTINGKFPGPTLYAREDDQVIVRIVNNVQHNITIHWHGVRQLRTGWSDGPAYVTQCPIQSGERYHYKFNVTGQRGTLLWHAHITWLRATVHGAIVILPKHGVPYPFPKPDHEKIIIFGEWWKSDVDAVMQQWMQTGMPPNVSDAHTINGYPGPVPNCSSTGYTLHVEAGKTYLLRIVNAALNEDLFFKIANHKLTVVEVDACYVKPFKTDTIYIAPGQTTNALLTADQTSGKYLMAISPFMDTIVAVDNQTAISSLRYNSTKPYTPITLTALPAVNATSATNLYIESLRSLNSPKYPARVPLDIDHSLMFVVGVGVNPCATCVNGSRVVAGVNNVTFVMPTTALLQAHYFNISGVFTDDFPGNPVTPYNYTGTPPTNMQLTNGTKVYRLAYNSTVQVVIQGNAVIAPESHPIHLHGFNFFVVGKGMGNYDPENDPKTFNLVDPVERNTLNVPTSGWTVIRFTADNPGTVYGFCIVIWKYTRHGG >KVI04865 pep supercontig:CcrdV1:scaffold_2000:78119:83067:-1 gene:Ccrd_016809 transcript:KVI04865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRFKLSRPDGSSYWVNHEAQIDSTTEDANFVERSKRVDFGKTSNMNHGVFAKRRSSNEMENRFSLDRKPRLLIMNHDSPIGVDPQEIAHITLFGLTVSTFLAFSGENRKNMVSRLKISHSFPNTLHNPDPFQSNS >KVI04871 pep supercontig:CcrdV1:scaffold_2000:20774:25115:1 gene:Ccrd_016803 transcript:KVI04871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRNYSSHRIHGMSDVVFECVIPYIHDERDRQSVSLVCRRWYELDSQTRKHVTIALCYASTPKQLWRRFPYLESLKLKGKPRAAMYNLIPEDWGGYVAPWVEEFARSFRCLKIVHFRRMIVTDEDLKVLARARGHVLQVLKLDKCSGFSTDGLLHICRSCRNLETLFLEESQITEKDGEWLHELALNNTMLETLNFYMTDLSKVSFKDLELIATKCKSLVSVKIGDCEILDLVGFFRAAVSLQEFSGGCFNDQAKGYADVTYPPRLCRLGLNYMGTYEMPIVFPFASRLKKLDLLYALLDTEDHCLLLQRCPNLEVLETRNVIGDRGMEVLASSCKKTKRLRIERGADEQEMEYEEGVVSQRGLTALAQGCLELEYIAAYVSDITNAALECIGTHLKNLLDFRLVLLDREEVINDLPLDNGVRSLLSGCNKLRRFALYLRPGGLTDVGLTYIGQYSQNIRWMLLGYVGESDKGLLGFSKGCPSLQKLEVRGCCFSEQALASAVLQLRSLRYLWVQGYRGSPDGCDFLAMARPFWNIEIIPSRKVNAGGDKEEEHPAHVLAYYSLAGSRTDFPPSVIPLGIHHKQIKE >KVI08189 pep supercontig:CcrdV1:scaffold_2001:3268:10201:1 gene:Ccrd_013440 transcript:KVI08189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGALMSKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSTDRARISIMKEELLRLLPNEDLQNAVILVFANKQDLKDAMTPAEITDALSLHSIKNHDWHIQPCSALTGDGLYDGLGWIAQRVTGKPTA >KVI08190 pep supercontig:CcrdV1:scaffold_2001:51247:53268:1 gene:Ccrd_013441 transcript:KVI08190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMAMAGVPLAAPPASACITHKQSNIFFSSTPLNDKRTFMTTCSSSSSSRGKSRSVRAIKEETQSESSSPDDVTQKYGLEAGLWKIFSSKGEDNKMEKSKGEQAKELLTKYGGAYLATSITLSLISFSLCYALITAGVDVQSLLQKVGISANETGEKVGTFALAYAAHKAASPIRFPPTVALTPIVASWIGKKVDKDN >KVI08191 pep supercontig:CcrdV1:scaffold_2001:53089:61338:-1 gene:Ccrd_013442 transcript:KVI08191 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F Family MQQQHNHKHPFLKRQLPFTSMKPPPFGDYHRFSTSSSTTAGHHESEAIVVKSPLLKRKGDAATFEAESNEQNPNPGNALAISSPLQTPMSGKLSKAQKIPRIGKCNRAGSQTSATNTDSPSGNNLTPVGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDASTGEVDENITSLQAEVENLSVEELRLDEQTRDMQERLRDLSEDENNQKYKFLIYAFLVLWLFVTEEDIKSLPCFQEETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKLEEMNRAEPNSSIPSMMEMIENPTTETAMEASQEHGVGMQTTDTQACSDVNASEDFVSGIMKIVPNIDSDADYWLLSDADVSITDMWRTESSVEWNDMGMLPNDYDMPVVTTPHPQTPTSEMHSDANPTG >KVI06855 pep supercontig:CcrdV1:scaffold_2003:19948:32113:1 gene:Ccrd_014789 transcript:KVI06855 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MVKMVKGRGITLFIVASSDPPAASSSSSFSSPFSRSRSFSLLSISYLCSIVLTGNAIVTSTFLSSSHLDLHQFYQTSPKTLANCSKAKGEMTEPEFGSWRMTPVRSTLIGNGIVGQRDSCTTTHQENGIVVNGEIWNSAMPTKSFPQGNGNGNGTSSWTPVTPGKPVPQRSIPQNQVETENWEDLVEIYQDLLKEETLTLNKVVAQSLYPTPPPSTVGNIGNQHQVASTPNRNLNSTPIPNLNHGSYQPSTSFTYFPSEDPANWDSSSLLAAIVRPKKSSASLNIAQNTSLHASNRTSLPNTSTQVGSNSISVEPDAASSEITGPLAFAPITPDTRQKHTDSQWVLAKDRHESQRNEDGDNHYNEQLHTIGDSTSSAVSTTQKEHLVSEEGDELGIDLNKTPQQKTPARRKKHRPKVIREGKPKKTATPKDPKNTPPNETRVKRKYVRKKDVNVSETPQRNGVEISQNGVPRSSGKRKYVRKKGVENSDVQQKTRVEEATAPVVETPAKSCKKQLNFDLEIVARDGRQDINLNASPRDIEQERRINGILERSAMNVVQNNRYAGVGTHQKISTNHMQAGTQNMALPELLNVPSTPMAKARDVALNVLAQHLTMKNPITVRDVWRNGYNQVGQQQVSPNLEPSGRMVNFDERRGIKRQSFEQMDPHSLNAMDSLIMYQKLLLDRTDASNNLASIILESHKKTKTQSDHLQALVSSTPPLEDNLRGESRQINGVYGNAPASLQLLNSCTGRVEPSYKVMNAGGGNINGRQFQPPRAATQNLQKHMVTSGMQPIAERLQRPTPGHGVNPVTAMISWNRPPATPPKDYSRSALVTYPSPLVDKKRTATSNSSNRRSNGADQVFLQLRKDALEFQQQSFRKPNGGPRKHKVEVLVEDITFKLEGLSIYDGNDKKQNALVPYKGNNAIIPFEPIKKRKPRPKVDLDPETDRLWRLLMGKEGSEATETLDKDKEKWWEDERRVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAKFSPKSTSTNETCCQDGACILVEEPIETVLPNDSTKCHDKIERQPVFNQSSFVSCESSEHMRHHHISSTKAAAIKDNRTSEEVILSQDSLDSSTIQTVDEIRSSSGSNSEAEDQITGFETSKEPGPANPMQAEKVSMFTELFSHDNRSPSLNDRSQYMHHLPKTPPYNMQIPIIGGVNNLNNASRFTPPNSSLHLVQEQLASSSRFQMNMAMGLQNVGSPGFGLLRGGSISSLPSSKSGITEAYHTSNVTYQENEMPRFQAPPLAQYDFLSNHPTHLKSFQPRSHIGSVLNSSHQQGRELYRETTVHAETLAKAQNGAPKQDSCSEDRVSAVDKQNCIENAAAEANSKEQNYASHEPLSGAGTNIPKVRKGTAEDEKKKAFDWDSLRKKVLSNGEKRERSKDAKDSLDYEALRRAHVNEISDAIRERGMNNLLADRIKDFLDRLVRDHESIDLEWLRDVPPDQAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPVLESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEEKRIVTSDAPNGTHTIPPVIMRPMSLPPAENNYSKDAQFSGRECEPIIEEPTTPEPESAELTLSDIEDQYYEDDDEIPTIKLDMNEFTMNLQKMQDSMEGDMSKALVALNPQAASIPTPKLKNVSRLRTEHQVYELPDSHPILKGLDKREPDDPSPYLLAIWTPGETATSTQPPERGCQSQESGMLCDRTTCFSCNCIKEANSQVVRGTILMPCRTAMHGSFPLNGTYFQVNEMFADHASSLNPIAVPRAWIWNLPRRTVYFGTSVSTIFKGINSLDALFVASLSAINTEILVLVGLTTQEIQQCFWRGFVCVRGFDQKTRAPRPLMARLHFPASKLVKTKNEAK >KVI06854 pep supercontig:CcrdV1:scaffold_2003:78944:79990:-1 gene:Ccrd_014790 transcript:KVI06854 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAITTLADIHPHIIQTHILPRLDGPSLSTAATASSYLQALCSDDHLWAHVSKTTFPSINHPRLHHVISSFPSGYRSFFDDSFPVLVTDVNHHNRPRTCSKTQPDCDLDLPSELISAIDIRYQNDVIFSRIEITDTTNDFLSPAFRIELDNDPVPENFQSIDLKVDELAGADQETLSHLKESVTLNWILIDPTLKRAGNLSSIKAVSARQDWMSNETLLRYVTVLPGTVPNEVVQCRIEVVLGVGKGGVGLHVKEVSLQMQDLDYNRLHGRDFLVITQGVLLEKNNVKRKVVDDDERRKMYQKFKQLRRQRSEWVKKEEEKMEFAVNVNYALTLVSFLFSVYFLSLMLR >KVI01542 pep supercontig:CcrdV1:scaffold_2004:89450:93154:-1 gene:Ccrd_020185 transcript:KVI01542 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF828 MDSALGHVIFRPPETPNEPMEFLSRSWSISALEVSKALAPPHSAGDEITAESLEDIAASPFAFASTVTSQLIMERILAQSEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDTKFFRMKNPVNQQYRGGATSAAPTGGGGKTVGRWLKDRREKKKEETRVHNAQLHATVSVAGVAAVVAAIAAATAASSGHRKDEEMVKTDLAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSPALRGAATLKARAPKDTRNIPAVPRAEKGAAHCNGTGSSSNGSFSDEFVILKMKSRHVAGTITKKKKNVVLEVLKDMSAWPGRHLVQGGHDRRYFGLKTVARGVVEFECRNQREYDIWTQGVCRLLAVAAERNNGM >KVH87924 pep supercontig:CcrdV1:scaffold_2009:76344:76925:-1 gene:Ccrd_024762 transcript:KVH87924 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNPMEDTASPSAYLDGEVMLAENNPKKKAGRKKFKETRHPVYRGVSRRNSGKWVCEVREPNTKNRVWLGTHPTAEMAARAHDVAVLAMRGRSACLNFADSPSRLPIPVSNDIKDIQKAAAEAAEAFRPREDAEEIEEGKESAESSIFVDEEEIYEMPDFLASMAEGLMISPPRIDDLTDDVECGDDGSLWSFH >KVH87925 pep supercontig:CcrdV1:scaffold_2009:59190:59789:-1 gene:Ccrd_024761 transcript:KVH87925 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNSLEDPASPSDCRSSNGYGDEEVMLAENNPKKRAGRKKFKETRHPVYRGVRRRNSGKWVCEVREPNTKTRVWLGTHPTAEMAARAHDVAVLAMRGRSACLNFADSLSRLPVPESNHIKDIQKAAAEAAEAFRPRQDVGEIEEWKYSSESSFYIDEEDVFEMPEFFASMAEGLMVSPPKTDNFTDDVECGDDGSLWSFQ >KVH87926 pep supercontig:CcrdV1:scaffold_2009:14083:14913:-1 gene:Ccrd_024760 transcript:KVH87926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMEDTASPSAYLDGEVMLAENNPKKKAGRKKFKETRHPVYRGVSRRNSGKWVCEVREPNTKTRVWLGTHPTAEMAARAHDVAVLAMRGRSACLNFVDSPSRLPIPVSNDIKDIQKAAAEAAEAFRPREDAEENEERKESSESSFYVDEEEIYEMPDFLADMAEGLMISPPRTDNFTDDVECGDDGSGMQVIYRGSLTWIPSPVLDLESPDGC >KVI00227 pep supercontig:CcrdV1:scaffold_201:54042:55475:1 gene:Ccrd_021522 transcript:KVI00227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MACKSLLNVMMNNPCMINLVKFPAVDPAMINTPERRYSSRSNFTKKICCSTTVQITSLPSSLSSATRVSSVPPSCLALNWRDVQGLNNWEDLIQPLNPLLQREIIRYGKFVTACYDAFDLNPNSSRYLNCKYGKNRLFSEVGLGDSGYEVTKYVYATADISIPIQNGGSSARWVGYIAVSSDEEMKRIGRRDILVTFRGTVTYPEWIANLMSLLSPARLDPDDPKPDIMVEAGFLNLYTSSETNIRFGLQSCREQLLSEVSRLLRRYKNEELSITLAGHSMGSSLALLLAYDIAELGLKRPTVSLTVFSFAGPRVGNVHFKERCEELGVKVLRIVNANDPITKLPGFIFNETFRVWGCASYAHVGIELVLDRQKKDEDETNGISDTTIDHGEKNGMNVMNFFKIDDILCVHDMQTYIEMIKNCPGRSKIRRKRMDLMKKLKGMKMKTSLVPWRYVAITCVVYHGCVTLANMMRLAYP >KVI00226 pep supercontig:CcrdV1:scaffold_201:68002:71740:1 gene:Ccrd_021525 transcript:KVI00226 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MRDHRDTNSCITKSDRPNFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRKPNGKWYIHSFIKEHNHELLPSQSHFFRSHRNSDPLKNDAKSPTSFFDKYIHAETSLRDFVEQYKVIMEDRYEEQAKADFDAWHEAPELKSPSPFEKQLSIVYTHEIFKKFQVEVLGAAACHLKIEKEDGNSITYIVKDFESSQDYMVEWNGTQLDICCSCRSFEFKGYLCRHAVVVLQMSGVFTIPFKYVLQRWTNAAASRYPISEKLENVQTKTRRFNDLCRRAIILGEEGSISQESYKIALSAIKDALLQCSNANNSAENELRPSTSTSLGIYGTEEEDQGVATVSKEPVPNLNVNKLNKGVKRTESGKEKSKYDNTAIVKEKVPAQPEVVNIGIDASFHQMELPNTRLLQFHNMMPPHLHGVVPTMFQNVASTQFHNVASAQLQNSRLPH >KVI00221 pep supercontig:CcrdV1:scaffold_201:337796:339307:1 gene:Ccrd_021548 transcript:KVI00221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MSEQEELEEGSGVERMKGKGGDFDGKLTYSSNDSLLPGLYDDVALICLAWACRFDYASLSCLNVRFNLLMKSGYLYELRKQLGIIEHWVYMVCDPRGWEAFDPTSKKWMRLPKIPCDECFNHADKESLAVGSELLVFGRELFEFAIWKYSLIHRNWVKCEGMSHPRCLFGSGSFGMVAIVAGGSDQNGNILKSAELYDSSTGRWEMLPNMHSPRRLCSGFFMDGKFYVIGGMTSPNDSLTCGEELDLKTKKWRKIDGMYPNINRAAQAPPLVAVVANQLYAVEYLSNMVKKYDKEKNSWDVLGRLPVRADSSNGWGLAFRACGEELLVVGGQRGPEGEAIVLNSWSPKSGVKNGVLDWKVIGVKEHAGVFVYNCAVMGS >KVI00224 pep supercontig:CcrdV1:scaffold_201:87089:91815:-1 gene:Ccrd_021527 transcript:KVI00224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1680 MEVFMLLKMLAVVLLLLFCDCVEDEYSWMMMYKQMKKLGVRSRVSGNFLNEIPLGDVRLDPDSIHGQAQQTNLEYLLMLDVDSLVWSFRKTAGLPTPATAYGGWESPNQELRGHFVGWCTSIVLLESFGHYLSATAQMWASTNNDTLKEKMTAVVSALAACQEKMDSGYLSAFPTEFFDRFEAIKQVWAPYYTIHKIMAGLVDQYVLAGNSEALKMVTQMADYFRKRVENVITKYTIERHWRSLNEETGGMNDVMYRLYTITGDTKHLWLAHLFDKPCFLGLLAVKADELSGFHANTHIPIVVGSQMRYEITGDPLYKEIGMSFMDIVNSSHMYASGGTSVSRIESFSKLGDSIYFEEAGKNPGVYIIQYISSSLNWKSGQILLEQKVIPVASWDPRLRVTITIASKKEGSSSTLNFRIPFWTTSNAKATLNGQGIPITSADDRSDYASLHAILYGPYLLVGLTTGDCDLKAESGSLSNWITPIPSDYNSHLISLSQETGNSTFALSHTNTIVTMAKFSNPGTSDSVFSTFRIILADSSTSAGISSYKDAIGKTIKLEPYNLPGMSLVALGKEIRIGISDSLDPKNSLFRLVDGNEGTVRLESESQKGCFVYSSDGSVKLSCDSGGSDSGFMTSTSFKANNGISQYHPISFVAKGLNMNFVLQPLFSLRDEQYAVYFKLHS >KVI00210 pep supercontig:CcrdV1:scaffold_201:193517:195049:-1 gene:Ccrd_021535 transcript:KVI00210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPKKPLLHVLSSKQVAQIKAHVLKSSRPHELNELLDSFVKSHTPQHAFVLYNQMLQNPNTHNHFSFNYALKACCLTNSFNKGREIHARVVKSGHLAHTYIQNSFVHFYVIRNDIVYANRVFRTIAYPNVVSWTSIISGFSKCGFVDDAVAMFSLMDVDPNANTLVSVLSACSSVRSLKLGKSVHCYGLKSFDQGNVIFDNALLHFYVKVGDLENAQRLFDEMPKRDVVSWSTMVGGFVEWGFCETAINVFNEMVKGGEVNPNVATIVNLLAACASLGSLSLCEWVHSYVHGRHDIPVDGNIGNALVNSYVKCGNISKAIRVFKTLRLKDKVSWSTMISGVAMNGLGHHALPLFSLMLVNGVAPDDVTFIGLLTACSHAGLVDEGLMVFKAMVNAYGITPHKQHYGCVVDLYARGGRFREAEDFIRGMVVEPDAPVWGALVSGCRVHGNEVILKRVGQAVVDRGASGGTLASVSNSYAGSSRWDESIEIRKTIGCLGLKKMAGCSWIELDE >KVI00212 pep supercontig:CcrdV1:scaffold_201:203190:209546:-1 gene:Ccrd_021537 transcript:KVI00212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MGIKSDSPMLYPAQQYLKLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATGFLLLMRVMAVTFCVTLAASMAARQGSTTMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKMDYEKLGLLLGVALAILLGVGLQLGARLFTKDTGVLHLISIGIPFVAATQPINALAFVFDGVNFGASDFAYAAYSMVLVAIISVLALFVACSHGFVGLWVALTLYMSMRAFAGFWRIGTRTGPWCFLKGTM >KVI00208 pep supercontig:CcrdV1:scaffold_201:181028:184228:1 gene:Ccrd_021533 transcript:KVI00208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDFLERFTYEHVNFIFSAATYPQENANSTINMNAYKFGYSGPMTSSYYDYGDAYAINDWTRGNNEYTTQLNVSSTMVNERTTSEHTQDGQASLSFHANPAECVESNHNPIPGEYQESVELDEIVGSESRGLSHEAISLLPISRFKCGFFLWRKKSRDERCVICQMEYKGGQRQITLPCKHRYHGACGSRWLSINKACPICYKDVHVHMSKNMKNGFNKTM >KVI00206 pep supercontig:CcrdV1:scaffold_201:166776:168378:1 gene:Ccrd_021531 transcript:KVI00206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMNRIDDEPSLGFMETKILNPSTSYLSFGKGKADDNLNDHNISDEDEPSFMEDDNSNKGRKGSSPWQRMKWSDSRVRLLIQVVACVGDDGTLDGGSSRKSVCLQKKGKWKTVSKIMVTKGCQVSPQQCEDKFNDLNKRYKRLNDILGRGTSCRVVENPSLMESMPRLSAKTKEDVKKILNSKHLFYPEMCAYHNGQRIPNCTELDLMQVRETPVIGQCSKENNRSSDEGEDSDGSDDDDDDDSDHEDDEHKNGGEDGHLERGNSRFRDEINEVFEDPNNSKLQKKEWVKKRMLQLQEQRIGIEAEAFEMEKRRFKWQRFCDRKDRELEISRLENERSMLENERMALQLKHREHVVESE >KVI00215 pep supercontig:CcrdV1:scaffold_201:215789:219107:-1 gene:Ccrd_021538 transcript:KVI00215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGICVVDSHTSPCLGLDSLASSHVNHTSNGDLNLQRKSISKKLSSSFMDSKLPAKRIASKNQKRVKGFVIVNELGGQYDDSFHDVKAKLDHGEMYKNLSDQNLELMRERLMETVIWPSDDTNTEKIG >KVI00200 pep supercontig:CcrdV1:scaffold_201:261707:262417:-1 gene:Ccrd_021541 transcript:KVI00200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MVTANVAGGVSMNKKTKGRKKIEIKKIQAPNSRQVTFSKRRAGLFRKASELCVLTGAQIGIFVNSPGGRVFAFGHPNADLLADRYLNQNNIDATSTTVQNYQPPLPAMHLFNFNEHYVKVSRELEMEKKRRELIPAERSSGLQWYEEAVEGMEVEELEQYLSSLELLKKKVVMRADELTMIKKTSTLLGSNLFDQVGWNNHIQTMDIPTTTTTTTTTTTVHHDGFNFHHSGEIGKF >KVI00230 pep supercontig:CcrdV1:scaffold_201:135782:139468:-1 gene:Ccrd_021529 transcript:KVI00230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSNDQSYSTYMNASWLILNPHYQYLSSSSAAAASSSSSVHPPLSYSSLTNYHQLSPPSPPLREALPLLSLSPTRRPNPNHQQTTSTTNNACSTTTTAMGVHRHKNTDNNCNDNTVTVSLHLGLPSLTLSEADLISRLSSTNDDYKQMEGGEDEEEEEDQDGTNSVGLVTSTLNKGQYWIPTPSQILIGPNQFSCPLCFKSFNRYNNMQMHMWGHGSQYRRGPESLRGRQPSGMLRLPCYCCAPGCCNNIDHPRAKPLKDFRTLQTHYKRKHGDKPFMCHKCGKPFAVRGDWRTHEKNCGKIWYCSCGSDFKHKRSLKDHVKAFGNGHGAFEVDHTCCFEFSSLKL >KVI00202 pep supercontig:CcrdV1:scaffold_201:287448:289513:-1 gene:Ccrd_021543 transcript:KVI00202 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MTSSFKFLSSPFLLLLILHCATTTLSQTTAPAPAPPGPTNITKILEKASQYTILLRLFRMTQVGDQINTQLNNSDQGITVFAPTDNAFSGLKAGSLNSLSDQEKVELVQFHVVPTFISTSQFQTVSNPLRTQAGESTHYKFPLNITTSGNQVNISTGVVDATVANTIYSDGSLAVYQVDKVLLPMSLFGPPAPAPAPEPKKTKKKSNADENTPSSNDGVVNADASGTVSFDRQLHGSIAGVIVKTWDFEEWDLSKADEVVEIGLPIIAFRYDGGP >KVI00201 pep supercontig:CcrdV1:scaffold_201:281378:283808:1 gene:Ccrd_021542 transcript:KVI00201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MMSGDGFSSPPSSLTSFIHDPIPNPNPNPNSSASGKRKRNQAGNPDPDAEVIALSPTSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKNEVIKKKVYICPEKSCVHHDPSRALGDLTGVKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICDHNANNPHLENPNNSTFLGSSSSNYSNSGMLPSEMVNWLSRYNGLPQGLSLKEEEENQKEMQMNSMYNYGGSTTQNNLLCSTLPPPPVANMSATALLQKAAQMGSTRSTNAGVSGGNDTGFGLMSTTLSSLSSPARNGDRLMMMTAAADGSTPNKVAGGNEFGDGDLTRDFLGGARNERSHVSFNLQQELSKFASSTMGFSQFNRNRE >KVI00214 pep supercontig:CcrdV1:scaffold_201:226692:239342:1 gene:Ccrd_021539 transcript:KVI00214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MYTVKDGFGSKLQGKVGTFSDKRAEGHPHPTWSFQARKETGSIVLSLLDLTERILTILSDERVSGMWSKKNAVGKEEVAKLVDDIYRKMQDSGATDLASKARGVSDGSNNTKLKEEIEDTLQVEKVRCLCGSSLQADSMIKCEDPRCNVWQHISCVIIPEKPMEGILPAPPPKFYCELCRLGRADPFWVTVAHPLLPVKLTIANAPADGTNPMQSVEKTFQLTRVDKELLVKPEYDVQAWCMLLNDKVSYRMQWPQFAELQINGMPMRAINRPGSQLLGANGRDDGPIITPCTRDGINKISLTGCDSRVFCLGVRIVKRRTVQQGLLLSLLSFENGACFATPVAFFYLGAMLVQDPMTVIEILNLIPKESDGERFEDALARVRRCVGGGPATENADSDSDLEVVADSIPVNLRCPMSGSRMKIAGRFKPCAHMGCFDLEWQCPICLKNYSLENIIIDPYFTRITSKVILGLNLDFGSFVLFSNDILTGSSTFSSMKMSNCGEDLTDIEVKPDGSWRAKAEDDRKSLGDLGQWHLPDGTLCVPVEVESKPKPEALKQVKQEGASEGHTGLKLGMKKNKNGFWEVRKPENLLSLSSGSKLPDNFMNNGNNMSSSATGSGRDEDTSVNQDGGGHFDYSMANGAELDSLTLNIDAGHGFTDRNLLAAAGDAEVIVLSDSEEEAENLISSGQIYKNSSADAAEGVAFSSLPLGITEPHPEDPSLVAGGTSCLGLFDTNDDGFGVPFWSLPSSNHGGPSFQLFGSDADPVDALVDVHHGDALGCPTSMGGGYPLAAETNMGSAALIPDSSRHQSNPDINDGLVDNPLAFGGDDPSLQLFLPTRPSEATEQIELTDQAAMSNGFRSDDWISLRLGGGGSGVHCDPAAAAAAASNGLNSRQRPPCEDGALNSLEDTASLLLGMSGGGRSDKSIRDRSDSPFSFPRQKRSVRPRLYLSIESDSEER >KVI00199 pep supercontig:CcrdV1:scaffold_201:253733:259862:1 gene:Ccrd_021540 transcript:KVI00199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAEGSDGRTLEQTPTWAVATVCFVMIVISLFIEQIIHMIGHEEEEASADDGEGRRRLLTWSKMGDSARRILASSGGEDKCAEEGKVSFMSYDGVHQLHIFIFALALFHVIYCILTMALGQAKMKKWKHWEKETKTVEYQFSHDPERFRFARDTSFGRRHMNFWTKSPVLLWIVCFFRQFFRSVPKVDYLTLRHGFIMAHLAPQSQSGFDFQKYINRSLEEDFKVVVGISPPIWLFAIVFLLFNTHGWYSYLWLPFVPLIIILLIGTKLQVIITKMGLSIQQRGEVVQGVPVVQPGDDLFWFNRPRLILYLINFNAFQLAFFAWTWYEFGLKSCFHEHTEDIVIRVSMGVLVQFLCSYVTLPLYALVTQMGSTMKPTIFNERVATALKTWHRTAKKQIKRNKKSGQVTPMSSRPGTPSYGMSPVHLLRNYQNDIDSLQTSPRRSNFDTDNWDTDGSPSPSYHRRGDTETSTSHHQIQLGSMDHRNEIPEPNPLQVAIVPETVDNQQHEVTIAFPKEFSFDKRPNV >KVI00225 pep supercontig:CcrdV1:scaffold_201:60582:64115:-1 gene:Ccrd_021524 transcript:KVI00225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDADEEINSRGSSFAPPTWISFSSFPPQASPSPRRISSNFTPPTRPVRAAKQLAWVCGAEEASSAKSIGGGLNSEEAAAWELFSPIHRVLIVAVIAVAAANSKKNKQIIQLKKSVEIRANSSAKAVDDEAMIKCRIPVENDTEPEECRMSDLSDWAPSVSSTVDGQWSTTSIELDLCKELKDKDAIVNELLAFLNTTESNGSKRISELEDIIQHKNMIITKLRKDSLVLEQKVMHLTRLRRASTSKSSLRSRKLPTMTDNLVYDMDSTTSPSSDSDSSTKKHQVSRLKNEDQVLSVKTNNDQSNTSSSRGKQKTRQPNCAELMVKSTKKPPRPISPLKDKPMNQQAHSLNVSRDFKSRSGTTKTRVSSSHIRWV >KVI00229 pep supercontig:CcrdV1:scaffold_201:115550:116526:1 gene:Ccrd_021528 transcript:KVI00229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MAHNTEKEETELKVPKTLTLCSPPVATPPSKISGSYEQRSDPKSCGSSEVIVEMRPNSNSSPGNPYLDLKPPSVAVDSSYDAKTKRRDVNRCSGCRRKVGLTGFRCRCGEMFCSEHRYSDRHDCSYDYKAAGREAISRENPMIGRLDVFIGSDIRNSKYGGREWLAMLSSST >KVI00207 pep supercontig:CcrdV1:scaffold_201:157858:163974:1 gene:Ccrd_021530 transcript:KVI00207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MDVNGKDDLKIPLLQPSDAVVLDIKSVRSVDQGVRTIMFKIGGIECASCSTSIESVLQDLRGVESAEVSPLQGQAVVKYVPELVGVKAIKETVEDAGFEVTEFTEQDIAVCRLRIKGMACTSCSESVERALLMVEGVKKAVVGLALEEAKINYDPNVINTDRIIEAVEDAGFGADLIGSGNDGNKVHIKIEGITSPEDMTAVKCSLESLAGVNHVEIDMEECKVAVSYDPDLTGPRSLIFFIQEAGAGSTHYQASLYIPERRHKIEKDHEIKTYRSQFLWSCLFSLPLLLFSMVLPMIPPYGNWLSYKLYNMLTVGMLLRWILCTPVQFIIGKRFYVGSYHALRRKSANMDVLVALGTNAAYFYSVYTVIKASVSDGFEGQDFFETSTMLISFILLGKYLESIAKGKTSDALAKLTDLAPDTACLLTMGDDKNVIGGTVNENGCLLIKATHIGSETALSQIVQIVEAAQLARAPVQKLADRISRFFVPAVVAAAVVTWLAWFIPGAAGLYPKSWIPEAMDEFELALQFGISVLVVACPCALGLATPTAVMVSTGKGATEGVLIKGGNALEKAHKVNTIVFDKTGTLTVGKPEVVSVVLLSEIPMEEFCYMVIAVEANSEHPIAKAVVEHSKNRLPDHKSQIGNGSAVKDFEVHPGAGVGCKVGDRTVLVGNKKLMRLSNVPVDTEVENYFSDNEKLARTCVLVAIDGKVAGAFAVTDPVKPEAAQVISFLRSMSINSIMVTGDNWSTATAIAKEVGIENVFAEIDPLGKADRIKDLQARGKIVAMVGDGINDSPALVAANVGMAIGAGTDVAIEAADIVLIKSNLEDVITAIDLSRKTISRVHLNYVWALGYNILGMPIAAGALFPFTGIRLPPWLAGALMAASSISVVCSSLLLQTYKKPFTYSK >KVI00211 pep supercontig:CcrdV1:scaffold_201:188821:194331:1 gene:Ccrd_021534 transcript:KVI00211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein RBP47B' [Source:Projected from Arabidopsis thaliana (AT5G19350) UniProtKB/Swiss-Prot;Acc:Q8VXZ9] MEAQGGQQQWMMSAQPQPQFAYAAPPPPPQPYHQPSSHEEVRTLWIGDLPYWADESYLHSWFAATNEVLSIKVIRNKATGLPEGYGFVEFASHGTAERVLQSYNGTQIPGTELTFRLNWASSGIGERRPDAGPEHSIFVGDLAPDVTDHLLQETFRTQYPSVRGAKVVTDPNTGRSKGYGFVKFADEMEKNRAMTEMNGIYCSTRPMRISAATPKKTTVFQQQYVAPKGGIFSSKPARTLGSLSPMNVVKDLIEYMLLYVMDSHRRTSAEEAIQRMHGSQIGQLVVHLSWGKSTTAKQGEGGQDMGGVTGVAPPLEQREEIYDPLATPDVDKLNSAYVAIHGSAILGRPLWQKTSPFSIHA >KVI00213 pep supercontig:CcrdV1:scaffold_201:199622:202642:1 gene:Ccrd_021536 transcript:KVI00213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/sulfate symporter MASVALTASVNLAFRSLPISNPRLSSKQSPPLNLHRSNALRHLRSSSSYTHTLASRLPLLKLKSPNRNLNFTIKASAAAAPAPAPAQQDPAPAPVPWQGAAMKPLLASIATGVILWFVPVPEGVSRNAWQLLSIFLATIVGIITQPLPLGAVALMGLGACVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVALFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEHKLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLTFNTIQQTIGWTDWARAAIVPGIISLIVVPLVLYIIYPPSVKSSPDAPKLAKERLEKMGPMTKNEIIMAGTLLLTVGLWIFGGMLNIDAVTAAILGLSVLLITGVVTWKECLGESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLQWQASFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPLLGAMVLAFLSNLMGGLTHYGIGSAPVFYGANYVPLAKWWGYGFIISVVNIIIWLGVGGVWWKFIGLW >KVI00204 pep supercontig:CcrdV1:scaffold_201:309135:312953:-1 gene:Ccrd_021546 transcript:KVI00204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase subunit PdxT MAVGVLALQGSFNEHIAALRRIGVKGVEIRKAEQLENVSSLIIPGGESTTMAKLAELHNLKTGGQELVGGLDCTVHRNFFGSQIQSFEAELPVPDLAATEGGPPSFRAVFIRAPAILEVGPDVEVLASISIQTTKKVDPSSSLQSQEESGECDVAASSSSVYEDEERYLYNKQPKIDLPVYE >KVI00228 pep supercontig:CcrdV1:scaffold_201:59710:60254:1 gene:Ccrd_021523 transcript:KVI00228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVLRFLSAVAVK >KVI00217 pep supercontig:CcrdV1:scaffold_201:372203:372706:-1 gene:Ccrd_021552 transcript:KVI00217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINRLLPLFAFAFLSTIAVSSSYNPEFSPSPSPAPQLGSDDSPSVPESSPSPSIGLPPAPPPSDLSPSPSLDVFSPPSSSPAPSPSYFSAPSPSPSEASDISADVKNIDETMDSSPGGMSGGKKAGVAFGVIAAAFFVGFGGILYRKRQQNIRRAQYSYAARSDFL >KVI00216 pep supercontig:CcrdV1:scaffold_201:377385:377792:-1 gene:Ccrd_021553 transcript:KVI00216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIQFLLLLFVTVISFTTNRAQDRAPHGLAHENPMAFSPSAYDFFHPKVVPPSIQDPCDGSNCAPFPIAATVQSSLAQESRSRYEKNGSKVGAGGIAGVIFGFVFVVLLAMGTYYVVITRRTNSRRKNTVLPSA >KVI00218 pep supercontig:CcrdV1:scaffold_201:368148:370643:1 gene:Ccrd_021551 transcript:KVI00218 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain-containing protein MYILNPTKIASRFPLLNPTRGVCKLGFPTILQQNKGLRGGTIRKMAWSLERCDEGIETRSGIVRPATEVYADEAIEALRAGKVIAVPTDTLYGFSCDAWYDIFFEFVDVLYISVEAVNRIYEIKGRKHTSPLAICVGDVSDIGRFAVTDHLPPGLLEGLLPGPVTVVLTRGESSILEKSLNPGLDSIGVRVPDSDFIRMIARGSGTALALTSANLSGLPSSVDIKDFKNLWENCTFVYDGGILPAGRAGSTVVDLTMLGKYKILRPGSAKEETISILERHSLVEAGEPNAKS >KVI00209 pep supercontig:CcrdV1:scaffold_201:175859:178402:1 gene:Ccrd_021532 transcript:KVI00209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide translocator 1 MADQNQHPAMTSKVAGQLHLYQDARTRYGAFQRPAASQRQFGYGNYSNAGLHYPVTQSLVAANASPVFVQAPAEKGLAGFAIDFLMGGVSAAVSKSAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFSRTIKDEGVMSLWRGNTANALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYKKTLASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >KVI00222 pep supercontig:CcrdV1:scaffold_201:343328:344662:-1 gene:Ccrd_021549 transcript:KVI00222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MHRGAVARRLILGTFCFVLAMIVVSFTRMAYVIRTNEPILVNLDECSLDIGLITYPHMNTHGISQEQAQLSVRYAGGKNLTLSVVKELINKDMLSLDSGALCVGESSDSDLLTLRELGFSDVFRVHKNPITSLLQKQVEHELEFKANSFDFVFSRTFGRVPVPALLVLEIERVLRPGGIGAMLVGFSNFHMGSLVRSATPVSLLLRSSDILHVCGIGSFALIVFKKRLSNVAHFEDYRLPSECPSVSRNKPFMQHIEPLMDQKLGQFEKEASFLPKFVNVSSRNRLIYINMGTAELEPSYPIHPQAFNVFVVDHNMSALSSYVKKPGVTFVYHPGLVEDEILAPGLNHGDYLEAPLHEEGFEFIKWFKETAKDGDFVVLMMNAGVTQLKVMFELFESGAICHVDEVFIRCSEGVDCRNRRCNDCLSLFNGLRNAGVFVHRWSGV >KVI00205 pep supercontig:CcrdV1:scaffold_201:321810:329588:1 gene:Ccrd_021547 transcript:KVI00205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MFIQRYSGASVKDMGCCGCFGFSFAKKHKNLKPRVQGGNHVLQESLLNEEVEEDEEEEEDNSSYSDNMTDTERGDHSEFRYPAKSSEEILMYRTENGLICREFPVKETHRVVRSEDENGSKMVNEYVREYKIGSGSYGKVVLYRSQVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKMLNHPNIVNLVEVIDDPSTDHFYMVLEYIEGKWVFEGAGPPGGLGEHVARRYMRDIVSGLMYLHSHNIVHGDIKPDNLLVTGTGTVKICDFSVSQVFEVMSSSSHGKNYHGKTADTWAVGVTLYCMIMGQYPFLGDTLQDTYDKIVNNTLFLPEDMNPLLKDLIEGLLCKDPTERMTLEEVAVHTWMTGDDEPFPQFTCWCKRNKLQREGSDIIIISNSITITN >KVI00223 pep supercontig:CcrdV1:scaffold_201:83512:84609:1 gene:Ccrd_021526 transcript:KVI00223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGTFLWWITAVLVFAVGVTVNACAPHDMEALLAFKAALNEPYLGIFNSWTGNDCCSNWYGITCDPTTKRVADIALRGESEDPIFQKAKRTGYMTGSISPAICKLERLSNVILADWKGISGIIPPCITSLPFLRHIDLVGNQISGGIPFDIGKLSLLTVLNLADNKITGTIPRSLANISTLMHLDLRNNMISGIIPQNFGRLRMLSRLLLSGNRISGLIPNTVPHMYRLSDLDLSLNQISGPIPESLGKMAVLATLNLDGNMISGKLPATLINSGISILNLSKNAIEGSIPDVFGPRSYFMMMDLSYNKLTGPIPKSISSASYVGHLDLSHNHLCGAIPAGSWFEHLEASSFTYNDCLCGKPLQAC >KVI00203 pep supercontig:CcrdV1:scaffold_201:296451:302667:1 gene:Ccrd_021544 transcript:KVI00203 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MINTTTAVAAQPSSSSSSNTAAQSLGLKSFFKTPEGRYKLHYDKTHPSSLLPYALAKSITQITLADLKDQPVQAAANTSSLQPTSSYSVASSGVRYVTSKFLGSSGNGSRMLGFVGGNGTGSKANGGASKSSHVGGLNNGGNSTGGSNPSDGKGTYLIFNVGDTLYVTELNSEEKDPIKSISFGNSNPICHAFDSKAKDGHDFLIGLNSGDVYSVSLRLQLQDVGKKLVGAHHYNKDGALNSSRCTCIAWIPERDGAFVAAHADGNLYIYEKASLNLIINASLYHYISKDGPGDSTFPVIKDQTQFSVAHARSSKSNPIARWHICQGPINAITFSADGRHLATVGRDGYLRVFDFLNEQLICGGKSYYGALLYGLQVSGVAFDSYWSPPTSEGESENAVYRFGSVGQDTQLLLWDLAMDELVVPLRRPPGGSPTYSRTQSSHWDSVVPVGTLQPAPSTKDVPKLAPLVAHRVHSEPLSGLIFSQESILTASRDGHVKIWTRPGVSDSSDTCTPTKPATTGFK >KVI00220 pep supercontig:CcrdV1:scaffold_201:303596:307369:-1 gene:Ccrd_021545 transcript:KVI00220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MEEEQKAERGGSTPAPAKANGKRKVKKDFEEENTPDKVPIILSSSSNSHNHVNNGAKSESSEEEEQRQVEVSGEKDVVDDSVEAEDAANSTDASNKKKKAKKEVKEDKNQKKKKKKRKEEIEEKESSSLYQIPMNRVSRIIKSEDPNIRISQEAVFIINKASEKFLQLFTTEAYASAFLDRKKHIDYKHLSSVISKRRRFDFLSDFVPEKVKAEDALKESSPTVET >KVI00219 pep supercontig:CcrdV1:scaffold_201:358549:366967:1 gene:Ccrd_021550 transcript:KVI00219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MKSQENGGFRSAEEGYDSSNDQREQNLVLEIPSRTLQPSSSQEFVHIKMPPTPTPSHKKVNFNLLASPDTCSSQTKSSKKSSLLPKLSLKNRNTISDIVIPAALASIPQEKPSIARSWSLTKMLIKMTPSLPFALIEHSDRESGLRRAGGSLNLQTKVHECIARSQSVPIINENTNVKRMDSFFPEEEAVCRICLIELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCHQDVQNLPVTLQRIQSTVRHQDTGATTVGKMGTGSIALSIPFSCVLGLLSTMTSSAMVERRFAWLYASIQFTFVVVFAHIFYSMVHVQPILSILLATFAGCGVAICGRSIIVEVLRLRRWWHDRSNQQHDSLSVEIAPPSETPLPSLPPPPPPSYIASPDVLLYVEPQHDHVTSLSCPPPPPPPPPSPPHCTENHKTSSL >KVI04377 pep supercontig:CcrdV1:scaffold_2010:82401:83438:1 gene:Ccrd_017313 transcript:KVI04377 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MIDDRTIAMEAGETSHDDLLGILLQSNYDEIQKTGNERFGMSIDDIIEECKLFYFAGHETVANLLVWTMVLLSRYPQWQELARDEVFEVFGNDNPDLDRLSRLKTVRSYLLILCAVNMILLEVLRLYPGVSALYRMCTKQNKVAGINLPEGTLVIMPILALHHDQETWGDDATEFNPQRFSNGVAKATSGGQVSYFPFGGGPRICIGQNFAMLEAKIAIVMILQRFSFVLSPAYAHAPQSIITLQPQFGAHLILRKVKDSRP >KVI04379 pep supercontig:CcrdV1:scaffold_2010:64018:66925:-1 gene:Ccrd_017309 transcript:KVI04379 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex MESGSGEGTGGFSAMDDYETLISTTDADLLKRSWRNEKAAPEILQFESSLVQRSREQIQLMEETVEEFMKNGEDPLTVSLYQMDIDRTLFLLRSYLRTRLQKIEKFMFHIQKTADLWARLSREEQKFAKSGEENPLDMYAGDIYALRYKSIKPLIETGQLDLV >KVI04374 pep supercontig:CcrdV1:scaffold_2010:67778:73541:1 gene:Ccrd_017310 transcript:KVI04374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin/ribonucleotide reductase-like protein MLSAALRSASVSSLPILSFKLKPPSSSSFSSLPFLQQHHHPLWSGLQSWRDSSLNHNRFWGSSGPEPEPPVLNPVGPDEETLQLASLTSLAEMGAMVLSTADPLKKSMISHLAYSRWRRENLPIGVSKPPDRPARPPKPQLVSPKEIPAPKNSGLPLNAYMLHNLSHVELNAIDLAWDTIVRFSPYNELLGDMFFADFARVADDESRHFAWCSQRLAELGFSYGDMPAHNLLWRECEKSSDDVVARLAVIPLVQEARGLDAGPRLVQKLIGFGDCKTSNLVAKIAEEEVAHVAVGVYWFVAVCQQMGRSPCPTFRDLLKEYNVEVKGPFNYSAREEAGLPQDWSSRRHMLPRNGFPYAKTVTGIVFLGRDLVSL >KVI04376 pep supercontig:CcrdV1:scaffold_2010:78887:80816:1 gene:Ccrd_017312 transcript:KVI04376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMSIQYRWVASCCGVAMAMLVPWKMLEWAWFKPKRLEKYLRQQGLNGTSYKPLFGDTKAIMTATQLQPMNLSDDIMPRVMPFVHTAIQTYVFFIISSHVPAIAFK >KVI04375 pep supercontig:CcrdV1:scaffold_2010:68520:74200:-1 gene:Ccrd_017311 transcript:KVI04375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MPPGRSFAKKWRKLSGEDKWNSLLRPLDIDLRRYLIHYGERVQANYDTFVVDRKSRYTGASKFSKKQMFSEVGIDKGNPIKYRIVKYIYATSTSPDSPQSYILKSLVKDPWLGQSNWMGYVAVSTDRGKRLLGRRDILVSWRGTIQIAEWVENFDFPLVSASKVFKQSICAQVHSGYLSIYTSKTSITVVGHSLGGALATLSGGDIAINGYNETKSRPKKTIPVTVFAYGNPFLGNICLRELLHKKEKLNILRVVNVIDYIPLLPPFVGYIHIGQELWVDTRKSKYLKPTESYAKRHNMEAAYLHALAGSHGIEAEFRLEVDRDLALVNKRSNLLKEEYMIPQHWWEAITYSSGVTAMVKENPKTHYQFIKILGNWG >KVI04380 pep supercontig:CcrdV1:scaffold_2010:55925:57571:1 gene:Ccrd_017308 transcript:KVI04380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFISLLQHQDNKENIPPFSKTHDNKLSPKMTLKKKKKTNYRKPLNDITNLLLYSPIHPPETSPSFPRLQSAAICRSKAAETLSNHVICDTIISVSHQFVSRLMLRISLTLQASSFAQHLLPIVSLFN >KVI04378 pep supercontig:CcrdV1:scaffold_2010:27908:32036:1 gene:Ccrd_017306 transcript:KVI04378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMNYTISRVCIHPKYSLLVPHKTKNFNFISSTTTVAALSEKGTDGSIGENDSRNASAAASPSARTRLDLLEQLTSTSPSGYESDGNYAELTIREKLAQLVGERDDDFSIRLGKKLKIPKLLTVSQKRNIKRQAYLNEVSRRNDTNFFAIIGAFVLLPPLIILGVAIATGYVQLFP >KVI03028 pep supercontig:CcrdV1:scaffold_2012:15107:26881:1 gene:Ccrd_018677 transcript:KVI03028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSTSGSGQQPQEGEKKCLNSELWHACAGPLVSLPTVGARVIYFPQGHSEQVAATTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPVELGIPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGDVTCILLHIQGSPNAIFLLQGGACLLAQRDLLLEILYFLSELPDILFKIRNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATSSCFTIFYNPRASPSEFVIPLSKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPTRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWYPGASSFQDSRDDAVNGMAWLRGETGEQALHSLNFQSSGMFPWMQQRVDPSFLQSNLNQQYQAVLAAGLQSVGSGDALKQQLLQFQQPVQYLQHSGTHSPLLTQQSIPQQFVHGQTQVLDNLPRSLQPQEGQQQQHNSYPDTYLMQNEQLQARQQSSVPSPMFPKTDFMDSNPKFTSNVAPLMQNMLGSLCSEGSSSNLSNFSRTSQLMLNEHQSNQQSWVSKFAQSQVKTSSTSPSVLPYQGKDAGVEQESSSLDAQNHALFSAINIDSSGLLLPTIDTDLSTMPSAGSGFQNSPYAGYMQDSSELLHSGGQVDQPNRNRTFVKVYKSGCVGRSLDITRFNSYHELREELGQMFGIEGLLEDPQRSGWQLVFVDRENDVLLLGDDPWEAFVNSVWYIKILSPEDVQQLGKQEVESFGQNSGERIGNDGRDHHLSGLPPSMGSLDF >KVI03030 pep supercontig:CcrdV1:scaffold_2012:53852:58814:1 gene:Ccrd_018679 transcript:KVI03030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MILPDWFWRPKTAVLPVSGVTCRASFSIVALFSNTNSELAFSFKDLLKLGGGEAEGGGGCSETVIAIPIGNSSPSTAIAGHLHRLHRRSPSPATPTASPIVASHLHSFAGCRRSPPLSPPLFSSRSLRASLHLYFFTSTISASLYLRLQQHPRHHPSKHKSHSPPSLHLSIPEDLEGNLERPRLSSNIPNSLGNLGNLTKIDLSNNELGGSIPISFRNFGRIIFIDLSMNKLSGCIPTEVLDLPIMPSSLVLDLFFCIEIARMKFLNVGVL >KVI03029 pep supercontig:CcrdV1:scaffold_2012:52798:54096:-1 gene:Ccrd_018678 transcript:KVI03029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MGVAPPATITTIVLFLFFCTAVAKLETYSHSYPSFNKSLKEKANSELVFENNATIEKDALQVTPDTGNTAVFGLQNQSGRIMFRKRFKLWDGGDGDINSTVASFTTYFLVNMYPVNNGTTGEGLAFLIAPTLQIPSNSYGQYLGLTNATLDGQSSNGILAVELDTVKQSFDIDNNHIGLNIHSIRSVDSKSLTPKNITLAPGTTPAFHNIWIHYDGVEKILRVYIKDKPEVTSPTPPMPETPIIEYNLDLRRTVNRYSYFGFAASTGDLIELNCVLQWNLTVNYFPGPKSPWMKILLGVGIPVVVAVVALAALLGRYLYKKRLVDRSQSNILSRLRTLPGMPKEFRFRELKKATNNFDEKRKLGQGGYGVVYRGVLPEDNVEVAVKWFSRESLKGEDDFLAELTIINRLRHKHLVRLLGKFFFNSNLPSIVS >KVH96755 pep supercontig:CcrdV1:scaffold_2013:28579:29154:-1 gene:Ccrd_001154 transcript:KVH96755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MAKGRKLSTSRSELLLGSYGYGGNTIQTNVDGDELREDDVWSMVDGMVNRDWNTRAAMEINSVRRGGGAGGGFEDAPRKMVSSPMIVHQFVSGGSFESPRGRHVATSAPVNVPDWSKIYRVDSAESLHDSDDIIDDHDSDMVPPHEYLARAYTQSRNSAANSVFVGAGRTLKGRDLSRVRDAVWSQTGFNG >KVH87921 pep supercontig:CcrdV1:scaffold_2015:35728:40017:1 gene:Ccrd_024766 transcript:KVH87921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSILCCFTSSPGRNKKTKEQKDDKILQVRLQHLENPIHTDDLESESKSTFSLDVPFSIPKSSTCNIKVVSHESPVKKAQLLQEEEEEEEEVAYEGEDEHEKDSYSNPNHRVSIGKMPIQEQDGYKNPNLVDHHNNSSDIEMKNDGHLSDPGASKRPFLASPKLKRSCSNLETKRVPILKNIILPSESYEDLQRLGDGCISPVSVMSHCSADKVILRKCSSSQILPSKSRRLWWKLFLWSHRNLHEPVKGIQSRLFVNNQQGGYSSDTLEPNRRIENSKSKSTKLEKGNNGDDRWNVIHGSSGLWPQNQWMAFSGGSPLMRVDEWVQEIPAEPVFGISNEEEESEITFPPSPDNGKQPASSHLARNVNLPEEIAYANRVVQSLNSSSTVAYISGIGLKVIPAISAFSSLRSVNLSGNSIVYITPGSLPKGLHILDLSRNKIGAIEGLRELTRLRILNLSYNRVSRIGQGLSNCTLIKELYLAGNKISNVEGLHRLLKLIILDLSFNKITTTKALGQLVANYNSMQALNLLGNPIQTNISDDQIRKTLCSLLPKLAYLNKQAVNPQKAREVAKEAVTRAALGSGSGNSNGRRRSVKRVAGGGSSSFSRHRSGGGSGGGRHGVKPRPRHRSTKSLAVGS >KVH87919 pep supercontig:CcrdV1:scaffold_2015:4088:10511:-1 gene:Ccrd_024764 transcript:KVH87919 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MDTEKERDRHVYFARIAEQAERYDEMVEAMKSIAKMDVDLTLEERNLLSVGYKNVIGARRASWRILSSIEQKEEGKGHEQNAKKIKDYRKRVEDELTIICNDILSTIDSHLLPSSKSGESAVFYHKMKGDYFRYLAEFKSGNDRKEVTDQSHKAYEAATAIATSDLPPTHPIRLGLALNFSVFYYEILYSPERACHMAKQAFDEAITELDTLDEESYKDSTLIMQLIKDNLTLWTSDISEEGAAGEHSKAGEPQGRPDSK >KVH87922 pep supercontig:CcrdV1:scaffold_2015:13719:17813:-1 gene:Ccrd_024765 transcript:KVH87922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTRVLRTGLILLVIFVRLTCADELPRVSNYVCPTKLIKASIFGFQESVCPLGGIDHSLGVIEGDEASLQKALSMVDTNTNDYIVVLFYASWCPFSTIFRPSLSVMSLLYPSIPHFAIEEFVVKSRFGHFMLAFIILSKYGVNGFPTLFVLNSTMRARYHGSRSLSSLVAFYTDVTGIEAESVDTTSLDKMDTWVHEKGDNNNKKPETCPFTWARSPESMLRQETYLALATLFVVLRSLYLSYPFIVTSAHYARTTRFRVRSLWEHPFGYLNRAIQLFSSLTGCMDVGFFGGSKQ >KVH87920 pep supercontig:CcrdV1:scaffold_2015:50561:58077:-1 gene:Ccrd_024767 transcript:KVH87920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MADGGSRRMSRPLHRGVSGGGRLSGNIQDFLEDSQMKIKVKSEKDDYDKSRLSPDHLSSWNPFNFLYSINSSSKQGVSENGFVVPAPFSPVTSRKRYKLTLFLLKFSLFVIVILALTGSFWWTISLTTASRGHMFRGYRRLQEQLVSDLWDIGELSLGAARMKEPEFCSLESENHVPCFNITENLQLGFTKGQEHDRYCGPTSKQNCLVLAPLRYKIPHRWPTGRDVIWIDNVKINAQQVLSSGSLTKRMMLLDEDQISFSFASSMIDDNIEDYSHQIAEMIGVRNESYLVQAGVRTILDIGCGFGSLGAHLFTKQLLTMCIANYEASGSQVEITLERGLPAMVASLTSKRLPFPSLSFDMVHNGIHLIEVDRVLRPGGYFVWTSPIANTPASARNKDNLKRWDFVRNFAKDLCWDLLSQQDKTVGRDVESPYYHPPEPCIGGTHSRRWIPIEKRPTWPSRVTLSSKELEVHGMLSDDLVEDDLNWKSALRNYWSLLSPLIFSDHPKRPGIEDPSPPYNMVRNVLDMNAHFGGFNSALLEAGKSVWVMNVVPTSGPNHLPLILDRGFLGVTYDLVHAEGFLSLETVKQRRCSLLDVFFEIDRILRPEGWLILRDTASLIETARTITARLRWEARVVEIESNSDEKLLVCQKPFMRRRSKPS >KVH87923 pep supercontig:CcrdV1:scaffold_2015:2041:5172:1 gene:Ccrd_024763 transcript:KVH87923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MTKCFSFTASRNWCLRSSFSSSGLRSTVTDLGDGTVIHCWVPKTRKEIRPNLLLLHGFGANAMWQWGPDIIPRFVRHFNVYVPDLVFFGDSYTTREDRSDSFQAQCVYRVMETNSVKRMTVVGLSYGGFVAYSMAAQFKEAVERVVICCAGVCLEEKDLAEGLFPVKNLEDAADILLPQTPEKMKELMSLTFVKPPVKTPNCILVDFIDEMCSEHVKEKKELIHALAKDRKLSEIPKIPQPTMIIWGDKDQVFPLELGYRLKRHLGDNAVMVVIKNTGHAYIVEKPKEFYKHLKSFLLPLPPPPTATATTNHHNNGSLTLAT >KVI00885 pep supercontig:CcrdV1:scaffold_2016:61906:64349:-1 gene:Ccrd_020855 transcript:KVI00885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRKMASSSRAVAIEKVSNESFFISWKEKVTNESLVGRRMEFFLQLQNFRWVLAVSGVQTIHHDMEFAIDQTFYLCYKQSTTGLGKGVWKRRCDVAAWLEAQISNGPFIDNNDTTLSDESEIIGQ >KVI00887 pep supercontig:CcrdV1:scaffold_2016:12758:13566:-1 gene:Ccrd_020853 transcript:KVI00887 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MDAGDFSKLIDSEGAKASLAFPSGFTEAYSIPSRAQMVLKHGGRKFDVIVEDGYLTRGWSYFVGEHDIQYGYAXKFHFVGCSTYEIEIFDRKRSKRVYPWSNLEYHEWI >KVI00886 pep supercontig:CcrdV1:scaffold_2016:51739:54906:-1 gene:Ccrd_020854 transcript:KVI00886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIVFPSIDFLLYKILTSLLEELQASLHLHIESSLLPFFHYCSKSLQYPPSPKSHWDLSVLSCTRIQRSVPANQDPKLIDLLHQAILFSITLLPPFKFFHKFWWIPINIKRALTPQGIQGPPYSFIHGNTKEISNMKKKSMSLPIDTSHYIFPRVQPHMDSWFFIYVKIKTFLLSASKNSVYWHGPVAMLVVSEPVILKEIIEISMGKQDMGPIMKKMVGEGLISSKGDKWAKQ >KVH96454 pep supercontig:CcrdV1:scaffold_2017:2564:3325:1 gene:Ccrd_001456 transcript:KVH96454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVSIQIEVSSTFPADKVFKVFSDFDNVAPKVNPAVFKSIETVEGNGGVGTIKIFTFGDAVPFTSGKYKVDAIDTSNFSYNYSFFEGDCLMGILDSINHHIKVVPTDGGSIFKQTVIYNCKGSDKPSEDILKAEKEIYEKTYKAIEAHGAAHPDTY >KVH96456 pep supercontig:CcrdV1:scaffold_2017:88689:89862:-1 gene:Ccrd_001461 transcript:KVH96456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sel1-like protein MVAGGGDEEEKRGSGGLKNDKDLRAGVALCARAAFLGHADAIRELGHCLQDGYGVRKNVEEGRRLLVQANA >KVH96458 pep supercontig:CcrdV1:scaffold_2017:91046:91781:1 gene:Ccrd_001462 transcript:KVH96458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein DKVFKVFSDFDNIAPKVNPDVFKSIETIEGNGDVGTKKIFTFGDAVPFTNGKYKVDAIDTSNFSYSYSFFDGDCLMGILDSITHHIKVVPCDGGSKFKQTVIYNCKGSDKPSEEILKTEKEIYEKTYKAIEAYGAAHPESY >KVH96457 pep supercontig:CcrdV1:scaffold_2017:77446:78365:1 gene:Ccrd_001460 transcript:KVH96457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSASIEIEIPSSYPADKVFKVFSDFDNIAPKVNPDVFKSIETIEGNGDVGTKKIFTFGDAVPFTSGKYKVDAIDTSNFSYSYSFFDGDCLMGILDSITHHIKVVPCDGGSKFKQTVIYNCKGSDKPSEEILKAEKEIYEKTYKAIEAYGAAHPESY >KVH96452 pep supercontig:CcrdV1:scaffold_2017:72479:72694:1 gene:Ccrd_001458 transcript:KVH96452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIAREFKAEVEALSTAQHKNLVSLQGYCVHDGSQLLIYSYMENGSLDYWLSKLDWPTKSRCLWRTTMTS >KVH96453 pep supercontig:CcrdV1:scaffold_2017:73541:76148:-1 gene:Ccrd_001459 transcript:KVH96453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRREHKVGAKRETRFLVPGTQQQRSGWNCMSIGHNSNSGRLHCRHPIAPTPVVSISADPTTLLDLLLGRLLDLLLGRLRDLLLLGMKSHSSVRSQPQFCSSSRSVRMESELVSCKAFSRTYKFYSSREREYQSTERKSGTSAEAMELTEVHVESMISSPISSLLNASEAXEEDLGHPVEEKXVVPGSGSMEILSESNISSENTEDKVGEGSVENCDAGVSKAKVEDICNAFKKLDVLVVDEKANDVDENAIFLDTENLNGPSRMKLEDTSSGVSEMDDEILESNVDVVLVDFVEQEPNKAPAEESVIEKAVIDVMPTSTIDRDSEQVNQEAEQTMDYAEEITLESVADDSLIKEENQVPMKATDAIDHPTSIRKMTSDTMRISDQSLLQLKRIKTLTNKLWTRSLRV >KVH96455 pep supercontig:CcrdV1:scaffold_2017:43092:43999:-1 gene:Ccrd_001457 transcript:KVH96455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSASIEIEIPSSYPADKVFKVFSDFDNIAPKVNPDVFKSIETIEGNGDVGTKKIFTFGDAVPFTSGKYKVDAIDTSNFSYSYSFFDGDCLMGILDSITHHIKVVPCDGGSKFKQTVIYNCKGNDKPSEEILKAEKEIYEKTFKAIEAYGAAHPESY >KVH98793 pep supercontig:CcrdV1:scaffold_2019:20266:20940:-1 gene:Ccrd_022980 transcript:KVH98793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPDFPTGKSPRSLQGPRPAPLRVHKDSHKIRKPPVVPQPYHHHHQQQQPQPPQQQHHRPPVIIYTVSPKVIHTNPNEFMTLVQRLTGPSASSPVGMASAFQDDGGAVSPAARFASIEKAAKSPDGRKATQIGDFTGVEGLEMGYFPGILSPAPGSLPPIPPNFFSPLTNDFFHDLSPVLHSNRNYNYMEGNNFMASPTNLLSSHIISPTTPNLDLFHSLFDL >KVH98791 pep supercontig:CcrdV1:scaffold_2019:4796:8180:1 gene:Ccrd_022979 transcript:KVH98791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LASKATFLAYSTSEATIHHPEKSVLITNYEDAINVCGTTPVVGAPIIQYFAPSPGSILHVKELTDVELVVKTMKQLAENLMAYNESLRQCIGNDLSGHDRGYYFTDASQFKEIFQHLTGQTPNFRVYSHPATSAATAATEANIYCYGHSHASPQVGIDSYSWEELA >KVH98792 pep supercontig:CcrdV1:scaffold_2019:73996:86868:1 gene:Ccrd_022981 transcript:KVH98792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISNGYLNKSHHNTSFAATSQRDVTYRCGSCGYDLNLSSSARNTSTIGSKYGKSIKTGMISFFCIDESRFTLVEEFQCVPYFISKHFWGLFSRKTKLLCRKCNNHIGNAYEDNTSSYPLVEDGSDSASTTQISSYRKYDVRIRAVQPSSSVEPGHAIGV >KVH91857 pep supercontig:CcrdV1:scaffold_202:127873:130237:-1 gene:Ccrd_006106 transcript:KVH91857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MAFTGTLEKCKICEKTVYFLEMITADGVTYHKTCFRCSQCNGKLTVTVEGEYYHKGCFRCAQGGCFLTPSNYAALDGNLFCKPHFAQLFKEKGSYAHLKETATMKKTGTTSADEAAGDEDGKPEEAAPEEQE >KVH91853 pep supercontig:CcrdV1:scaffold_202:187745:196612:1 gene:Ccrd_006102 transcript:KVH91853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MISAVKREEEFAEKMKRLIPFELILITFGLFITNCDAAQPIQVHSLSMFKEGIFDDPLSVLSSWNALDSDPCNWVGVSCSGDQVTKLNISGSSITGFIARELFQLSSLQELILHGNKLIGSIPKEIGSLKDLKILDLGMNQISGPIPHEIGNLVSIVIINLQSNGLIGQLPSELGNLKNLRELRLDRNKLRGSVPGANASDFVSNMQGMYASNATRLGFCRSASLKVADFSYNFLSGSIPKCLGYLPRTSFQGNCLPYKDIKQRTSEQCGVAPPAKSHKFGETKHHPATDAYNQSASDSKPSWVLALEVVTGIMVGSLFLVALFTTCHRCKNKPSIIIPWKKSASERDHIAIFTDPNMLKDVMRYNRHELEVACEDFSNIIGSSSDSLVYKGTMKGGPEIAVADLARLNHENTGKLLGYCIDDTPFTRMLVFEYASNGTLYEHLHYEEGCQLSWTRRMKIVIGIAKGLKYLHTEIEPPFTISELNSSAVYLTEDFSPKLVDFESWKTILTRSENNSRCISNEGAVCVLPSSLEGRQLDIQGNIYAFGVLLLEIISGRPPLCKDKGCLVDWAKDYLEKPEEMASVVDPALKHFREEDLKVICEIVSICIHLRPRDQVSMQDLCAILESKIDTSVSSELKASSLAWAELALSS >KVH91875 pep supercontig:CcrdV1:scaffold_202:3651:5225:-1 gene:Ccrd_006119 transcript:KVH91875 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDSSTGLLLLSAIAAYMIWFKSIVRSLRGPRVWPIFGSLPGLIQHANCMHDWIAENLRTCGGTYQTCISPIPFLARRQGLVTVTCDPRNLEHILKGNFDNYPKGPTWHAVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSQAIKLRFCPILETAQLEGKSIDLQDLLLRLTFDNICGLAFGKDPQTLSPGLPENSFATAFDRATEATLQRFILPETLWKLKKWLGLGMEVELSRSMRDIDEYMANVINTRKLELLSKLDGGTHDDLLSRFMRKKESYTDAFLQHVALNFILAGRDTSSVALSWFFWLVMENPRVEEEILTELCSVLMETRGNDTCKWLEDPLVFEEVDRLTYLKASLSETLRLYPSVPEDSKHVIADDFLPDGTVVPAGSSITYSIYSTGRMKSIWGDDVLEFRPERWLSKDGKKFEIKDQYRFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLSIVSGHRVQQKMSLTLFMKYGLMVDLRPRDLSPIVAKIGWHETSG >KVH91868 pep supercontig:CcrdV1:scaffold_202:92137:95144:1 gene:Ccrd_006112 transcript:KVH91868 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MDGREGMPSFYLNRGFRGSGSNAGSGNQVGGFHLPPPGFKTQSNPNLAPHGHGNMGVGVAPPMGLPFHMEHNPSPNFPHGINMGGGGGGGNGGGGNDDVGGGGGGGGGNDDVSGGGGVSIPNPGSGSESVVKKKRGRPRKYAPDGSHMALGLTPVSPGAGMGTAASHDRSSPPPQKKRGRPRGSGRKQRLANVGEWMHNSAGSAFTPHIIHISVGEGRFEILCLSGSYLLAESGNPRNRTGSLSISACNADGQVIGGAIGGKLIASSLVQVVVCSFVYGGGDNSKAKTATEPVSGDEKSPGVQLN >KVH91866 pep supercontig:CcrdV1:scaffold_202:95244:102431:-1 gene:Ccrd_006110 transcript:KVH91866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MISSFTTVINKASSMSSLSVRVFHNLIAQRILTVPSKPLLNSLKISSISSISHPIGSKRSWFSTRFCGANRTPVVGFQSNRSMATQSKQSVYDFTVKFRGKVLLIVNVASQCGFTNSNYPELTTLYQKYKDQGRFFPVLSRSPPLTLLACYHSSNRIRLCDFVGLEILAFPSNQFNGQEPGSNEEIQEFVCTRFKAEYPVFGKVDVNGKTAEPLYNFLKSSKGGLLGDSIKWNFTKFLVDREGKVVDRYAPTTTPLSIERSSMAAHFTPNNKSLADFTVKDAKGNDVDLSVYKGKVVLIVNVASKWYAFFAILFPNILAVVLHIDVNGENAAPLYEFLKSGFYGILGGDVQWNFVKFLVDKNGQPRDIQKLLGLL >KVH91863 pep supercontig:CcrdV1:scaffold_202:272235:282634:-1 gene:Ccrd_006094 transcript:KVH91863 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS domain-containing protein MALSTINAGYSPADATTGGDDSSIYQLLLDRCRSLEASYSNLQEQFHILEQEHNSSYNNRKLLQEDTMPSRSGVSSFSNWISGVPDVLPVGFSYKRALDQLGHAVYVSKSITREIIYCFTNRSAEKLFGYEDYEALGQTVTDLLIYDEFHISSEKIMGRVRAGQTWAGQFPFRKRSGVTFMALVNKSPLYEDGELVGIVTVASDATIFNKIKSENPKSYEEDPSNGQTKTRGLKFKKIQWQPPQQLSSFASKDILRKGGGNNRHTEDTSVDMGENILEPENVNLEKPPKAPAAKVLSKLNIQRTGNIQQDGSANVSENHNAVNMSNHQQSSATAAPCRSVHVEGYETEISQRAYSLFDAKRHAKANEQVLSKIIEDGFSFEALRREQNECSEAAKPVDRLALSNFQMSRRELELENDLNSIVDCEIRWEDLDVAVKLKSSLWHMISDGFMLVPQIDIMKRLRHPNVLLFMGGSLFNVLHNSGHSLDIRRRLRMAADVTNLFLAAGKRYELFAPQKSANNTQRPQVVKPSCRQELVGDFGLSKLKHATFLTARSGRGTPQWMAPEVLRNEPSNEKSDVYSFGIILWELVTQSVPWSNMNPLQVVGVVGFMDRRLDLPDDIDPALSSIIQDCWQSNPALRPSFENIMHRLPGLIQKFPG >KVH91854 pep supercontig:CcrdV1:scaffold_202:200603:212275:1 gene:Ccrd_006101 transcript:KVH91854 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type YFCFYLYHLTSNTHTHTHTLTLLVGDTVKFHRMAPDDWPEWLPSDWTVQIRKIDERKVKCYLDPKGQKFYSKPQVFRHLKTIDGSTASEKTHNANGSTGDVSLEPNVPHTNEVGGHSTRQATGSSNKKSKETSGNLAGDGSSSEAEGSQRKDGWLPDGWIVQVKTRASGGHTGMKYKCFTDPITGRKFFSKPQVLNYLASKNGSPAGPREGDRSSTKPNKSQKNGATDVNKDQTIKKTESTRFGYEVISSAPADGLPPGWIKEIRLRNCGSLKKKDPVPKRFILLLLPCLFYVDPLSGYIFFSKLDALRYLDSGDIKKCAMRPLRKDMNEEDYHNANLAGGELASPTDPSKGSQTSEVLSNGRDKRKVRRLSGVGSTPIASQKDESDWLPEGWISEVKVRSNGLRLKYFMESASGRKFYSKPQGGQLAVDPDSSSNSEAADGRRRKRSKKKKKNFVTASPADGLPPGWIKEIRTRKYSTHERKDPYYTDPESGFIFRSKLDALRYLETGDINLCAIRPRKKDENGNEINSTVKQQNAQIHDENHVTDPKTAENTSVSTPPSGRAAKKIAQSRITSRSKSSAAAVPARSSKRLKGPQTEAKGDPMSNDQTIQSESRDLPADDGRGVQEKQVSGVNVEKQAGGEDDLSYQLGDPSWTDQCLDFAVKTLTGEFPVSGRQTSGSFQEGSDGAETGVNETPTKVN >KVH91864 pep supercontig:CcrdV1:scaffold_202:595:2001:1 gene:Ccrd_006120 transcript:KVH91864 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MPPFSQSMPLSNGFSSNHHQTHHPSTNLITIDVGGHLFQTTKQTLTLAGSKTLFSDLFDSSTHNSIPFIDRDPELFSILLSLLRTGNLPSKAKAFHIQDIIFEADFYGISDLLVQSQSNPSQFEPFDLEKSMLLPLSGRDSPSAIATTPNGSLHVSHGSKITSFDWSLQRKSTTLTSFTAIDSLLALSPNVVAAGATDFSGLQILDLDLGFVRQTLNWENVTKSSSTVQAIGVSPEFLFTSFESGRRNSNSIMVYDLESFKVVSEIARNEIFGADLDSAIPSTKLNWVPSVNLLMASGSHSGPSGVSGNIRFFDIRSGNVVWEIKETTDCFSDITVSDTLSAVFKIGVNSGEVSYIDLKNFGSYNSWNYLGDTRKANNVKKEGVGCKIESHGNQVFVGKQGELGLWSEVLMGSSKIGKDRIFRKNVLGRAKDLGGNRITNMGFGGNKMFVTRKDQQCVEVWQSSARRF >KVH91880 pep supercontig:CcrdV1:scaffold_202:255482:256228:-1 gene:Ccrd_006096 transcript:KVH91880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MPPPDPHHPPPPPPPPPDPHHPPPPPPPPPTTGLLRCSYKKGKHAKVFQAVRSVFRSFPIVSPTTCLTPLIPVGRLPDGQGQGQGHRTTNCVTGTLYGYRNGPVTLAIQENPKTPPMMILELELETGILQTEMSLGMVRIALECEKEPERFKVKLLDEPLWTMFFNGKKGGLGVKRDATEEDLNVIELLKPVSMGSGVLPEKSGPDGEMIYMRAHFDRLVGSKDSETLYMMSPDGNRGPELSIFFVRI >KVH91860 pep supercontig:CcrdV1:scaffold_202:107650:112045:1 gene:Ccrd_006109 transcript:KVH91860 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-site 20S pre-rRNA nuclease MEVPKSTPCWSNIVKQNPPPENSSNHHHDQSQSVVAAIVGSCKSTKGIAVAVVDANAIIQGGERLHNSADKFVSIANVLQEVRDPTSRHRLNFLPFTVDTMEPSPESLKKVISFARATGDLQTLSDVDLKLIALTHTLESQIHGTSHLRDTPPPIRTVNVKRLPEKELPGWGSNVPNLAQWEALEHAVGDGSGPESRILPLKDLNLNVIPGDKDQNNEDXASANGSEPQYTEKYLPKKKEVKIEGKKMVADGVDASQGQFDDDAGDWLPAVSRSTHRTFLRRKARREMYDASSEKDVQNGANSDTGKEEHLDDSKCPDLVYENSAELYAEEKKTERMTTDGNNGDEDLSTILDQMRLEEEPLKACEDGEVNESEAVNEGWEKLDVTSETSESVDATYADDESSEQSWMLRSLSESSVACVTGDFAMQNVILQMGLRLVAPGGMQIRELHRWILKCHACFKVTMEIGRIFCPSCGNGGTLRKVAVTFSLPLPQGGRDAITKNPILREDQLPQRFLHPKTKKKNKEANDIFATNEMFTHHTDKKAPLQPPVRKALAVFSGKRNPNDNHYARSNH >KVH91855 pep supercontig:CcrdV1:scaffold_202:215454:218712:-1 gene:Ccrd_006100 transcript:KVH91855 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MPRGKLEVLLVGAKGLHDTDFFTKMDPYVTITYRTQEQKSNVASGQGSSPEWNETFVFSVVGSVPELVIKIKDSDVGSEDDIVGEAKVPLEPVFVEGSIPPTPYNVVINDEYCGEIKVGLEFIPEEDANEDGCHGDEGDFGGWKESSYK >KVH91883 pep supercontig:CcrdV1:scaffold_202:290745:291680:-1 gene:Ccrd_006093 transcript:KVH91883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTRHFQAGSSFPIQARSRARLQVSKTPIEAPRRRLRPPRRRLRPPRRRLKPPTRSIARSFCGFEKFRPVSPNYSTVRNRFPIVTVMAGVAPEGSQFDTRQFDTKMNEL >KVH91879 pep supercontig:CcrdV1:scaffold_202:249099:254819:1 gene:Ccrd_006097 transcript:KVH91879 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase WLTNPLVHFLRVVNICALIQEDVSIPLLFHHFSDKIHANSRSLRVIPVPEFFSMNDCSSISVMDSSILVTGGAGFIGTHTVVQLLNQGFKVTIIDNLDNSVEEAVLQVRDLVGPALSLNLQFHLGDIRNKDDLEKLFSLNKFDAVIHFAGLKAVGESVIYPFRYFDNNLIGSITLYQVMEKYNCKKLFLEEIARDIHMADSEWKIILLRYFNPVGAHESGKLGEDPKGIPNNLMPYIQQVAVGRLPELNVRDYIHVMDLADGHVAALKKLFTKQEIGCFACNLGTGRGTSVLEMVAAFEKASGKVLTYGQIKIHTAKYGIEEMCRDQWKWASQNPWGYCSKPE >KVH91862 pep supercontig:CcrdV1:scaffold_202:291460:306962:1 gene:Ccrd_006092 transcript:KVH91862 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2/NOT3/NOT5-like protein RIGRWRYESKGAKTKTLQSSVLEASIVVLEASIVVLEASIVVLEPQSASWKPAIEHSGVNGSTSTFPDATGRAFTTAFSAQLGSSAADMNHTDAIDVLGLRSINGNFNMPSMPGAYTSRNSGLNGPLNGVQPPAGSISNGRYAVNNLPVALSQQSAASSLGLSGITNNGGSGIAQSLGNREQIISSMAMGNLVNGGNIGRSLSSGGLNMPGVASRLNLTAPQMVSLLGNSYSAAGGPLSQNQFQAGNNHLSSMALLSELNRDHSFDMNDFPQLSGHLSSAGGSQRQLGGLARRQNVGFMQQNQEFSIQNEDFPALPGYKGGNADFPVNMHQKEQLRDNVVSMMQSQQHLPVGRSGGFSLGGAYSSHQQQQHAPINGGSYIPANTLDLHFHGSEVQYQHFQKQSQIRLVSPFRDQDLKSPQASQSVTDRFGLLGLLNQDSFSRFSPETLFYIFYSMPKDEAQLFAANELHNRGWFYHRELRLWFSRAPNMELLVKTSTYERGCYYCFDPNTWETIRKDNFVVQYEMVEDRPVLPRR >KVH91873 pep supercontig:CcrdV1:scaffold_202:29579:33179:-1 gene:Ccrd_006117 transcript:KVH91873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MEGKEEDVKLGANKYSERQPIGTSAQTDKDYKEPPPAPWFEPGELSSWSFYRAGIAEFIATFLFLYITVLTVMGVVKSPTKCGTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRALFYMVMQCLGAICGAGVVKGFEGKTQYNLLGGGANVVAHGYTKGSGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPVCILFSYLIIALIVALDLVESRSLADMLTDELKVSTTIPITGTGINPARSLGAAIIFNKDHAWDDHWIFWVGPFIGAALAAMYHTIVIRAIPFKSRS >KVH91871 pep supercontig:CcrdV1:scaffold_202:55801:64439:1 gene:Ccrd_006115 transcript:KVH91871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSNKKALPATTSGPQMSVTIREASTGKKHTNVKSSLKLQHIKNLAMWATHDASIPSLGAFFGHHFAASSEALGMPVDPSLFTCERSSSSSPSPQSTKIHSDADLRINPSSCPPQQHTSMALPTAPSHSPVHCHFLSPATTGRQTAPIYSTLSTVPFHFSSPSSPSPESLYFDHHRLHHLWNGIGA >KVH91876 pep supercontig:CcrdV1:scaffold_202:219873:228078:-1 gene:Ccrd_006099 transcript:KVH91876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MSRLKKCLILFIFIYICCFIFVQGTDFDIVNQCPYTVWAAASPGGGKRLETGERWPVKVQPGTDKARIWGRTGCNFDANGKGKCDTGDCNGMLECQDLGAPPNMVAELIPGANNLDFIDMSVINGFNVPMEFSPVGAPCKTIKCNADIIGQCPEKLRTKGGCNNACVVFKTAQYCCPVATMCGPNEYSKFFKERCPDTYTYPNDDQTGLVTCPSGYLPYILNMSSVKNYSIPLLVLCVFFFTFGQGANFDVVNQCPYTVWAAASPGGGKRLETGQTWPVVVAPGTAKARIWGRTGCTFDASGKGKCDTGDCNGMLECQGYGAPPNTLAELALNQDSNNDFVDISVVDGFNIPMEFSPVGASCKTMRCADPNINAQCPEKLRTKGGCNNPCTVFKTVEYCCTIEYGSCGPTEYSKFFKDRCPDAYSFPQDDKTSLFTCPGGTNYKVVFCP >KVH91877 pep supercontig:CcrdV1:scaffold_202:238209:243647:1 gene:Ccrd_006098 transcript:KVH91877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MPITVQVKGTSIGMTDGMIGVKKERHASDFTKEVGFTSSSSISNSSCDANTPRSRSSCGSGNQTKRSSQAGWTDDEDNLLADVVKKFNGRNWKKIGEFAIMQFCFFLSCKAQYIPGRTDVQCLHRWQKVLNPEIVKGPWSKEVNLTPFLLILQLEIQLPLIWLILVALLTYLFITSIQEDDCIIRMVEKHGCMKWSVIAKWHNHLDPAIKKDTWTKEEESTLTHYHQIYGNKWAEIARFLPGRYA >KVH91878 pep supercontig:CcrdV1:scaffold_202:257999:265579:-1 gene:Ccrd_006095 transcript:KVH91878 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKTSKFFKSLLGFKSTGAQPSGSDGNKQPKRRWSFVKSRRENQNPTQRNNSKSVVGTSFHHNHHNNSDERTEGGERNDSNEDSNKRAIALAAATAAVVDAALVAAQAAAEVVRMTSRTTGYGVHHELAAVKIQSCFRAYLAVVRGHILRKHTADMMRRLQALIRAQARARARALSTKSSSHFHHHGPQTPEKLEHFPHAKQDHRPIHKRNGSKSYANYGLTDHENDKIVEVDTGESHVTTKGRKLFQLDPNQNSFGYSQSFTTSRGSTVQPTSSSPCASCEVNSIDYSIKGELSTPVKSECSRSCLSGYSDHPNYMANTESSRAKVRSLSAPRLRTQFEISNATKRDSSSKYGSGGMQWVPTIRDSFVRKAYPGSGRLDRLGMPVLAMEENEFCVGYWN >KVH91870 pep supercontig:CcrdV1:scaffold_202:68222:71481:1 gene:Ccrd_006114 transcript:KVH91870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MSDRRSLSSSDDANPPPSPPPTTDEAREWRRKRKMIVYDEEDEEKEGDQASSPLNNDEEEDEDDNEEVGDDAKPIGNVVRVSWKGKSRRNHFKGFEADGISYELEDTVLVSPEEYSMNLRPSVAIIRDITATEDGFVTVTGRRFYWPHMAEKEPGGTWESSEARELFYSFNKSEFRADNVMHKCRVHFIPPNKKIPSSKEHPGFIVRKIYDAKSKKLSELLDNDYEDFRKREIDVLVQKTVTRIPDWEEEIRSGAKEAMSSRKTV >KVH91852 pep supercontig:CcrdV1:scaffold_202:172165:173003:-1 gene:Ccrd_006103 transcript:KVH91852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein VYIYAYIYTFTYYIYVYITLLHVLTELAFLLSMAKVYPRTPSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSYVSSKQECLTIWMKSLVYNSHGCTAYNSNGEIVYRVDNYDKKCSSQVFLMDVRGNVLFAIQKKKLRVFGCWDGYKWDFSKKQRWFRVTRRHGRNVRVDLGFGHGGYKIVKNGGKLGFKIVNLDRDGALVAEIKRKQTASGIDLGNDVFTLTVEPDVDQSLIMAIVMVHGLIHRQL >KVH91858 pep supercontig:CcrdV1:scaffold_202:137598:137960:-1 gene:Ccrd_006105 transcript:KVH91858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MRQAGAYSGILSGGGISGRTGPHLLPLARIKKIMKKSSVDVKMISGEAPLVFSKACELFIEELTKRSWNMTIQGKRRTVHKEDVASAVVTTDVFDFLIDLVHPNASDHPSLNEEYMDKKQ >KVH91861 pep supercontig:CcrdV1:scaffold_202:112983:117602:-1 gene:Ccrd_006108 transcript:KVH91861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin LVAVGVVGFGVWISTHHDGCRKSLTLPINYRVSWRAKEQLYIIMDVFDHALLDSIGNSCFYCTSLNNTHNWKHLQSCLVKADDCSNLCGYPPVNASYYDLSFHPLSSNKDCKLYKNSKAIKCYNCDSCKAGVAQYMKTEWRVVAIFNVVLFVVLFVIYLVGCCARRNAASSRLKS >KVH91874 pep supercontig:CcrdV1:scaffold_202:8468:20630:-1 gene:Ccrd_006118 transcript:KVH91874 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MPWLFAFKGKSGFSAKNTAEDVTEGVDGHGLTAIVTGPTSGIGLETARVLALRGVHVVMAARNVEACNKCKETIMKGCPSATIDVMELDVSSLESVRNFANAYLSKGVMACPFSLSKDGIENQFATNYLGHFLLTNLLLDTMKSTVKNCGIEGRIVIVSSEAHRVNYNGGIAYSSFYAYGQSKLANILHAKELTNRLKGASTTCFVALSPKVKGVSGEYFADNNVAKPSGAARDPELAKKLWECGTKLTASKKGASGFSVSSTAEDVTAGIDGTGLTAIVTGVMAPPFTLSEDKIELQFATNHLGHFLLTQLLLETMKRTSHEQKKEGRIVNVSSEAHRFAYKGIYFDNLNDESSYSPMYAYGQSKLANILHANELTRRFKENGANLTANALHPGSIATNLLRYHSFGAATTCYVALHPQVNGISGKYFMDSNVAQPNSYAEDADLAKELWDISLTMAAP >KVH91872 pep supercontig:CcrdV1:scaffold_202:47116:50229:-1 gene:Ccrd_006116 transcript:KVH91872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MGQDLELDFEKYCVVDGSPKTVLLSPRHSKVEKRKARKKPKCRNEVLLSHINEFTEISLHCYRSASCRAGQSTRSGVDEARKRGSVYQSSKEVGKMDKISEKEFKGRKKIEFSRSDAPFPLEIFDSLCSSDEDDGSLGSLSSSFLEISRDSIKKQTLNKSLSSRLELPHSPTKSESDSSKTNSPRTRFSPFRKMFDPFVKSKSQKSPLGSVAKEPDHEATSSRPNTRNITSRKSLIQDFSNADCDSRFLEKDSCSSIMSSLSSSSPAHLNGVLKLGNKNRMPFFEFSVKNPNDVLVAKTWKVGNGCDWVYTFHTSQNRQKGFKDHMMVGQMRVSCYLCTELVNSGAFDNSMLTEFVLYDLARPKQSGSRILDCLISKEHSPDKTCESNDVLDQENVDFQPRFAADLPPDLETAAIVIQFPSEKRESLKYNGGDQKQGDLLTFSRTKLEKNEPASDCSSPGKVSVVISSGNHGVPSEESRGPSPLLDRWRLGGGCDCGGWDMGCPLVVFGNSNIQKEEACKQPVKFFLKGTKDNTPALTMKMAEDGQYLVDFHAQLTSLQAFSICVAILHSTEVSVVVARDKDRERLQCDSLRVFVEDEVKHLIDAVAEEDKRKPAKNEISPSFLVNPPFSPMSRA >KVH91856 pep supercontig:CcrdV1:scaffold_202:123040:125061:-1 gene:Ccrd_006107 transcript:KVH91856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPARLLNQFSPDPLQAYNETYIHMIVGFSKVGRLEESLELCAKMMQNGFIPSCLAFNEVVERVNGHENVHRADEILTRLLDKGFVPDVNTYSYLIAGYGRENDVEKVLKLYYEMEYRKLSPGALVFSWLIVGLFRAGRLAESEKYLRVMKARSFVPLEYTVDMLILSHEKGNTPRLDRKTD >KVH91865 pep supercontig:CcrdV1:scaffold_202:370174:380729:1 gene:Ccrd_006089 transcript:KVH91865 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MATPNQEAIETFMSITGSSESVAIQKLTEHGGDLNEAVNSHFTEGDRNIRRETSIAAPHEDFMDIDDPSQIASHRPPFSLLPSAREMNPFSILDPNFTRSIFDSGPGFRSAEPFVSHPREVRQIPIEVKDGPSTEPGHSGHAPRIEDVTETAIEGVPETRGHVIIDEDDDDDFLTSRQARWSEREGVHDTQLRASAPLIGEPDYGIEEEMIRAAIEASKQDSEIGQRDDAVPHPMQSQLEDPELAQAVSLSLKVHFLVIIVWCSPCGMFSFLLNIDLFQTAEQEKALRELGSEVGPSEPRISKLVEVEEVETLASSNGRLDVGSSSIQDEAEDAEEQPLWGGISSEEHDEAVMLEAALFGGIPEGTGYRDDEYLASLQADREKELLEQSAREAAMEEERRKEEEALRKLEEEQEMERQLAAKEASLPQEPTSEDENAVTLLVRMPDGSRRGRRFLRTDKLQYLFNFIDVARVVKPGMYRLVRPYPRRAFSDGESNLTLNELGLTSKQEALFLESI >KVH91859 pep supercontig:CcrdV1:scaffold_202:156985:163092:1 gene:Ccrd_006104 transcript:KVH91859 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MFKSTRWRSEKNKIKAVFKLQFHATQLAQLGGDALMISVVPADIGKPTSRPEKAKVQDGSCYWEKPLYETVKFSQDPKTGKFYEKIYYFVVAKDSSRFGGFGEVSIDFANYAETSKLSSLSLPLKNTNSAAMLHVLIQRVQGSFEQREIDGSGNESHHDRSLRTYFGNNGVEGNIRSNPTEDHGALSNGINRDHRASNGSDIMLSSSDSSSGIDTPMEHKPKNTKPAREPSITVERSSQWDWLNGSSPKLKRNDSSVSTLGESLEDSSSDAVIQKLKVKVAALTRQADLAELELQALRKQIVKEMKKSQDLSRDVANLEEERNALKEVKVNGMLLIDEGDPWAIIDELRQELNHEKDLSSNLSLQLQKTQESNAELILALEKSKSIFFNGQQSYVVGSKSETDDDEEQRELEAIVREHSGTKETYLLEQKIVDLYGEIELYKRDKDELEMQIEQIALDYEILKQENHEMCYKLERSQLQEQLKIQYECTSYATLNELESQIEILENDLKLKSKELSESILAIKELETHIKNLEEDLENQSHGFEIDLEDLMNAKTEQEQRAIRAEDNLRKMRLQNANAAARLQEELRRLSQKMASTFEVNEKAAMKAMDEANILRVEKRVLEDMIVKMKEELQHLGDRFQEKLVDLSDQISLKSKQLEKIKKQIENMAETERQNRESERLEEVAIDSSDLYLLKEKDLQYEIKEFERKLDVATAENSNPTMKSNNKTEDQEDFDESSKKMTSLENKNRLTEVEPQEMQEKRSEITL >KVH91867 pep supercontig:CcrdV1:scaffold_202:99527:99891:1 gene:Ccrd_006111 transcript:KVH91867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDTEHAYQPSCPNNIVNASDAPKRLGMGEGDMVGLTPSTLDSNSMVMVIFGKLADKLADSNKTVRRQHKLRTNT >KVH91881 pep supercontig:CcrdV1:scaffold_202:316831:318605:-1 gene:Ccrd_006091 transcript:KVH91881 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MWPLSSLIAALAVVAITHWVYRWRNPKCNGKLPPGSMGWPLLGESIQFFAPNRTWDTPPFIKKRIQRYGSIFRTSFVGMKVIVSTDGDLNYKVFQQEDQFQSWYPESMTRVFGKQNPSVLYGYLHKYLKNMMLHLVGYGGLKKMLSEVETEAVKAIEKWAEQGTTVELKAAIADMLKERRENPNDVNSDFFDFVVEELKQDDTIVTEAIALDMMFMLLFASYETTTLALLVAVKLLTENPKALKELTEEHEKILEMRENP >KVH91882 pep supercontig:CcrdV1:scaffold_202:346188:354403:-1 gene:Ccrd_006090 transcript:KVH91882 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase subunit PIG-U MEKSTKKQPWFWTSAVASIITRLVLIIYFPNTLNFSSRPEISTPLTSIRRLAEGYWLKQSSMSPYAGSMYHGSPLLLSILGPLTIDRGEGQRSHLLFSLAFVVADFITAMLIRSIGQLLQIADSQSLKSLGAGRLLKVSGLVPLAAFGWVIASHLSLYPAILVIPLVLLIGSGLDTPPRKLFVQNKYGDDQNELSSDTHRKHKGTTNGTSNLIVFSWRRVVLFFFWASVWAFYVLVLCSISLKEFSGLGEMFKRTYGFILSVEDLSPNIGLLWYFFAEVFDFFRDFFLIVFHINILFMVLPLAIRLHHRPCFLAFVYIAISSVLKSYPSVNMQFSFFLFSGYLGTLLLSPVMHNLWIWRIILVVESVSSMLSHDRALKQATTPVSKKVL >KVH91869 pep supercontig:CcrdV1:scaffold_202:73279:84186:-1 gene:Ccrd_006113 transcript:KVH91869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MPLTMLRTATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRAAWPDSRQFLEEAKTVGQLRSPRLANLLGCCSEGDERLLVAEFMPHETLAKHLFHCKLVNKITFSIELTGGHSSKKAWIFFAILETGETQPMKWAMRLRVALYLAEALEYCTSKGRALYHDLNAYRIVFGDDANPRLSCFGLMKNSRDGKRRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPRSLVTALIPLQKETEVPSHVLMGIPQGGSAMPLTPLGEACLRRDLTFIHEILEKLGYKDDEGAATEDTLNSKKKGDSAFRHKDFKAAIDFYTQFIEVGTMVSPTVFARRSLSHLMNETPQEALNDAVQAQVISPIWHIASYLQAAALFALGRENEAQIALREGSVLEEKQNAS >KVH96754 pep supercontig:CcrdV1:scaffold_2020:82526:88979:1 gene:Ccrd_001155 transcript:KVH96754 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-AMP-activated protein kinase, beta subunit, interaction domain-containing protein MGNINGREDGGGAGGDGGSTSSIGNDVTAQDVEGAHVNYIARAGDDGEFMGHSPPPSPRVSGSPLMFTPQVPVAPLQRPDEMQPNNSWMHTSSSYEDMMSEQGIPTMITWSYDGKEVALFDALFLTRKPLQKSGKDFIILKVLPSGVYQYRFVVDGQWRYSPDVPWTQDEAGNTCNILDLQEYVPEDIQSISGFEPPQSPDSSYNNLQLGCEDYAKEPPLVPPHLQMTLLNAPSPHMEIPPPSSRPQHVVINHLYMQKGRSSDSVVALGSTHRFLSKYVTVVLYKSIQQ >KVI01948 pep supercontig:CcrdV1:scaffold_2021:42031:43933:1 gene:Ccrd_019771 transcript:KVI01948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASLMAIRRASLASSFKVTSLRSPDSIFNRNTLRALTTSAAPNSFDLPPKDQTNPNQWNLQHNHQQGNLNQWDNRNQGYPQRDNPQNYPPNAAQQNYHQENPNQRQNYPPNAGQQNYHQENPNQRQNYPPNVGQQNYHQEYPNQRQNYPLNAGQQNLYQENPNQRQNYPPNAGRQNFYPTNPNQRQNYPPQAPIQRPNFQQQHPSSYSPQNPIPSEPHSRQNPSDLSVRATNHASGVKADLLSICKEGKLKEAIDLMGQGELADAECFSALFGLCGKSKKLEEAKKVHDYFLRSSYRGDIQLNHKIIDMYSKCGSMVDAKRVFDHMPERSMETWHLMINGYAANGLGDDGLEMFEQMRNLGLQPNEETFLAVLESCAGADAIEEGFIHFEAMQNEYGISPKIEHYLGLLSVFGKPGHLAQALEYIEKLPFEPTSEVWEALMNYARIHGDIDLEDRAEEILIGLDPSKADPKKIPTPLPKKFTAINMLEARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >KVI01952 pep supercontig:CcrdV1:scaffold_2021:3623:12304:1 gene:Ccrd_019768 transcript:KVI01952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MEIRMVSCMYLGVLLSTLAMVASQTVVQYLPGYPGILPFKLETGYIGVGEDEVVQLFYYFVESEGNPAQDPLVIWLNGGPGCSTLRSFFYEIGPLLFEYGKYVDDVPLLKLDPNSWTKVANIIYLDSPTMTGFSYTTIPDIVTNDTLSASNTAEFLRKFVRDHPKFLNNPMYVTGISYSGIVVPIITEEIYKGLISYELYEATKSNCHDEYVQANPNDLLCMKNIEEVNRRVMDINLQHILVPDCEEEVSDIIKAGNPIRPTKRELIGYEPIRMLKAKTSRNMTFCPDYYYSYAGIWANNKKVMKALNIREGTIKEWSLRNTNMTYRYNEAVAGYEFNVNDSVVYHAKLTKRDCRALIFSGDHDMVVPYIGTHYWIRSLNLTNTDSNWETWYVKDQVGGYKTSYARDNYSLLFATVKGAGHTTPEYKHEECFTMVKRWFAHRPI >KVI01951 pep supercontig:CcrdV1:scaffold_2021:15550:19223:1 gene:Ccrd_019769 transcript:KVI01951 gene_biotype:protein_coding transcript_biotype:protein_coding description:NO signaling/Golgi transport ligand-binding domain-containing protein MAREVSESCLDSLLTEIVSSYCNVLYATKPELAARRIEAIGYHVGHQLSERYTMERPRFNDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDDKFAWLSRMSVDPSTEISGSAKDPENKAAESTSMHLYFPCGIIRGALSNLGIPCAVSADISNLPACKFSSALNLRF >KVI01947 pep supercontig:CcrdV1:scaffold_2021:25127:40761:1 gene:Ccrd_019770 transcript:KVI01947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related, C-terminal MFSWNFAKSAEAVFSRLAVKKICKFLLKKKLGQLILGDIDLNQLDVQLSNGTIQLSDLALNVDFINQKFGEAAILVLKEGSIGSLTVKLPWKGRNCEVEVEELEIVLAPGGKRSSQVGNEASTSGQDGNSYSSHDLVTPKCEVVDSTTTASVDIHEGVKTIAKMVKWLLTSFHVKIKKLIVALDLPLEDPEEKGHCKTLVLRFGEVECGTGISGDVNLDCQGTVDDFLGLSRLTNFVKFDGAVLEFLRLDGNCNESAFPCVPGETLGEQFSGCSSSATTPVLTGEKGGFSGTIKLSIPWNNGSLDIRKLDADVYVDPLELRLQPSSLKSLMYFVHVFEELDKDHKSFMHSKATESVYYNASSHGYSSTSGSFEFSSDKLSQKPETFVESYSSIGKDTALDALLRGSHLISDWMTSPISSNQDKKTEESDFGASVDQFFECFDELRSSQSALGNSGMWNWTCSVFSAITAASNLASGSLHIPSEQKHVETSLKARITQIVVLFSFVDEDQKPSCAARGCKIDNTSLIHYINADFHEMQLVLQVCPRDSNFEATVEHIEVADHFSNASDAALHRQKENVNVQGLFIRNKQAAVEGACPLSGLLGQGAVWDNTTSNVSSNMHSSSHSRYQRGIYRDDVVKVLLLRTSGVTRCQYVAPSVSPDIKSGLKSFSVKLPPLVFWVNFNLITTVLNLVKGIENSLPISGRRDLSASVDGNSRSLSQGDIGKGSCPQFMTSPKETLRGNISLLDARIVLCFPCQKSEGCKGYFSWNEFIVVDLSSPLALREGKVQNSHPSSVPVPRERFRVTPSHSLHLSMGNIAVYFVSSASRDGTNNCRMQKLKFCAERVLSVSDKAGHPSVISMLWQENAVTGPWITKRAKVLATSDGTTSRNKSTGKGHGLAFISVSVAAIEDIKGPTQNELPGSWCNLKLKVGKFELLIVSNTGGILGANFLWLAHGEGDLRGSTSGVPDREFILISCDNSTRGRGNGEGSNILSSRFPGSDIIHMWDPDSSVSQVSINIRCATIVAVGGRLDWFETITSFFSRASSDQVGDSFPEKEDVRNDTSRGSSFVLNLIDIGLSYEPYSSNMVAQVDSCLESSFVYDDGKKSEQCVAGLLAASNLKLSNVSVATSVEMDYEIKAHDVGLLLCEVSGLKNLGGSYNEQNLQKSGYVKVAEGTHIEAVLRTNCKNGHAWEVECSESHIVLDACQDSASGLVRFAAQIQRIFAPDVEESIVHLQTRWDNVQLAQESCKSRSSNNHSTSSSSQVHGLSSDTKGESYTINLMDEISEGAFNLDGGSDGHLEAHAFSTDDHECGSHKRSVKVPVPVYGLEDNGTWPSHASLPEFIEGYFLSDLRPLSEPSLNNQLQKESLSGKSTTLKESDAQVGSGWYGDSLLRIVEDHVLDIGEITNSKQLIESEASVTQTANVGCRKVKGRVLLKNIDVRWTLYAGSDWQYTKGNGQHVSTGASDKDACLEFALSKMGLQCDIYPDGEICISKLSLSVEDFSLNDVSKGAPWRKVLGCYQSKDCPRESRSRALKVDLESVRPHPSTPLEEYRLQVALLPMRLHLHQRQLEFLISFFGGKSSSTDNHLQDTGELDTPQRNAIDLGSHSITEEALLPYFQASISLYVSTKLKFSISPFVVRIDYIPSRVDLAALGHGKYVELVNLFQWKGVELQLKHVQAVGVYGWGNVGETIVGEWLEDISQNQIHKLLKGLPPVRSLVAVGSSAAKLVSLPVKSYKKDRRIVKGMQRGTIAFLRSVSLEAIGLGAHLAAGAHAILLQAEDIISTSPPTSVPRPIQSRGNPNVRSGQPKDARQGIQQAFETMTDGLGKSASALVQTPLKKYQRGAGVGSALATAVQAAPGAAIAPASAAARAMHSALLGVRNSLDPEHKKDSMDKYLGTTQSRPL >KVI01946 pep supercontig:CcrdV1:scaffold_2021:73144:92081:-1 gene:Ccrd_019774 transcript:KVI01946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 10 MGLLNPNQRGSRGTQEDYHGSSNNNSTSSPSSPRSIHNSKKKWSNLLPLFLVLVFISEIAFLGRLDIIKKADFVNSWTESFYQFTTSPLVSSTNFLETSDEVPVVGISDASLEVVGSGGDGETCEEWLEREDHVVYSRDFQKDPVLVFGGEQRPCDVGCKFLPLHKRTQAEAAIGLRHIGETSGVLRSMESAIYYPDNDIATARRWIIITATNLDTLNGIAPIILLVPTTILTALRSGARDNSAYYCRSSHDLGRKGYDVVMTTSLSSDVPVGYFSWAEYDIMAPLQPKTEKALAAAYISNCGAINSRLQALEKLEKFNVKIDSYGACHHNRDENVDKLEALKHYKFSLAFENSNAEDYVTEKYFQSLVAGSVPVVYGAPNIQDFAPSPGSILHIKELNGVGSVAKTMKRLEENNTAYNEFLRQVLWKHDGPSDSFKALVDMAAVHSDCRLCIFLATKIHEKEEKSLGFPKRPCKCTRGSESVHHLYIRERGRSGNLTMAAMESAVLSKFKSIKHETVWRKERPEKIRGEKRELKVYRIYPVGMTQRQALYTFHFKGISFRSHIESNPCAKFETLSIWLMSKGDTPLKINKTTRAKIAKFTESMWKLTYYATIEFCVLAITYHEPWFRDITGYFRGWPNQELKFSLELVYMCQCGFYTYSIAALLLWETRRKDFSVMMSHHIITVFLIGYSYITRFFRIGSVVLAVHDASDVFLEGAKMFKYSGKEVGASVMFGMFAISWLVLRLVIFPFWVIRATSINLLDALKPSEAYDMSLYYIFNTMLLSLLVFHIYWWILIFNMIMRQLKNRGQVGEDIRSDSEDDD >KVI01949 pep supercontig:CcrdV1:scaffold_2021:42456:47397:-1 gene:Ccrd_019772 transcript:KVI01949 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCO2 [Source:Projected from Arabidopsis thaliana (AT3G19220) UniProtKB/TrEMBL;Acc:A0A178VCM9] MLACISLSNWMLPINPNSIFTKPPLFALPYLTPPATLLRTRAASDNLNWSFTYSAVADSASGKLNGNGSVGAPAAVEKKRTRNFGNDNNTNTTKVNAKERWSRDRESYLTDDDDPLPLPMTYPDTSPVSPDVIDRRLRCDPMIEDCNEVVYEWTGKCRSCQGSGYVSYYNKRGKEVACKCIPCQGIGYVQKITARKDIDVMEDLDNGKSPWAS >KVI01950 pep supercontig:CcrdV1:scaffold_2021:64881:65714:-1 gene:Ccrd_019773 transcript:KVI01950 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MQRMIKEEATTAGHHQLPLIRHPPWRRLTNDEEATVMVNALKNVMMGGSGSTSVNHQNGYDLRLLPPTEYTTVNGGAAGCSVPVPVSVPETCAICRIKGCLGCNYFKDDMNLNGFGGGGGGGGVGAGGPIKKKKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFETAEAAARAYDRAAIEFRGPRAKLNFLFSDYTTSSLPEHNQQQAAPPISRKKPEGNTSRKFELAGEKGKKPITENEFLDATGEEEIQEWMMIMDFNGNSSDSTLSGTVYSV >KVH96828 pep supercontig:CcrdV1:scaffold_2023:19741:27622:1 gene:Ccrd_001080 transcript:KVH96828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MTFSSISPSKTIETSLPPAFLLPLLLLLPPILRRASLPTKKIFPVQLMRRPCSDFMETIHRDVTQTASFKFQDHNQLMNLDVSGDDGRGKNADEEYEDIVPGLQCKIVMPLFRYQIKDQLKLMNFLSNILGEEGGFEYKKAIVDSIMILIRDIPDSKDSRLLHLCEFIEDCEFTYLSTRILHFLGVEGPKTSDPSKYISLEIRNDVDIFYSCSIPSLAQTPGNRNGYNNPEEFGVKVQAFVEGGYKLHFVVKDDTGMTTCVILHKLAERMVDSSTLKLLKKVIRILMSFPVKSFNFVNDVSLLDELDDEIEDQQSPVTISATKRRKIIIDDENESSGGATS >KVH96829 pep supercontig:CcrdV1:scaffold_2023:6074:13699:-1 gene:Ccrd_001079 transcript:KVH96829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein LVCYIKIKNLERKNLPKGPNRKEYFIFLFLKGENPIPKSPFLLFRYDSSSSTIFNHLRSYRKSIYTQRSFIMNQKARVTKELNEKHRKEKAYSFFSRVVETSKWIVTSHQQKGKNSIYLSLCPFHMGSYAITNVFLQYFLTAPLMQILEGQLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVVRSATLDTWLPDQIAFIQTMGNKKSNSFWEAELPPRYDRVGTVNFIRAKYIDKRWISRERKVEDHLSIKREENVSVYKPVTTTSASTRVIVHLPEPKQTPQLYNMNKSIPHVPPKVLDQQIIVRPKQIEPESKTEKPKAVEIVLDRKVDDYHATDLFDLPRAENGPSPSVSDENPIPRIQTSGPMLPVAEDNDAKKSSNKVQIKSEFEDLFQGLDWVVPVPPLTQEPYKEVKTDNVNLSEKVCSSTDYGKHQSFTTYWKSRMYGSNRAGMSTKSLGASRPSTTSKGSLSIPTQLGGEYDFSSLTQGMFTKR >KVH91332 pep supercontig:CcrdV1:scaffold_2025:65885:71540:1 gene:Ccrd_006649 transcript:KVH91332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVSVSGVCHQQQMTTSLICRPLLRSGIDRNADKKSKNVTDFTTFATMMKQKKRRMSLSPMAAAAPLKTSGSSSPKFYQEVMTAARANFTQEISFQSKDKDISLAKTLLHISAEDEAFLAFNREMDAHSFENERKGSKVTSDGEDSNHMESMLMDGKRIDEWLFELDAISKEVEVELISKDIGCHLIQVLEAVNKVLFETRGFKRSPVIDSKCSYLHSVLSSRCGSGHTLFGIVNGRCVEDPKSKASDVNSNTLLGLDIATNRDIIGIYLANLIVGYHGVGETADLAAT >KVH91331 pep supercontig:CcrdV1:scaffold_2025:21265:26422:1 gene:Ccrd_006648 transcript:KVH91331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim17 MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTYNSPKGERFVGGIQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIIAGAATGGFLQMRQGLGAASRSAVFGGVLLAMIEGAGIMLNKLMSAPQNFPPMEEGMPNMPGAPQNFPPIGHLSNQAQVNIDSMGSSSSTPSSSTPSWFGGVFGGGKQQETPPSNGGKTQVLESFDAPNPPSFEYK >KVH91328 pep supercontig:CcrdV1:scaffold_2025:88670:89920:1 gene:Ccrd_006651 transcript:KVH91328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEIISKLCNFNFNFSSHNPKTHRWHACFCGKSSTIRLSLPLHRPHRKILQLVKNPTRKLISTTAETTTSSDVLRLLDCLGFPVPDALYISLIKECTHFRDADGALLLHAHFIRSRRNQPRLTLLNLILIMFVSCGCIQNARQVFDEMTKRDFNTWAIMIAAYTDSGDYEEVIKLFINSQLRYLIDSSVRFPASWLLVCVLKACANTLNLKLGQQIHGGLLKSGYSDDLFVSSSLINFYGKIGCFDGGDLVFDQISCRRNVVVWTARINNNCKKERFHEVLDVFKEMGKEGIRKNSFTFSGVLSACSKISDDGNCGEQVHAHAIKSGLASKSYVQCGLVNMYGKFGLIKDAKRVFYMNGRMQNNACWNAMLSSFIQHGCHIEAIKFLYQMKAAGIQPQELWLNRLRSLCGSKVLEIK >KVH91329 pep supercontig:CcrdV1:scaffold_2025:79134:85983:1 gene:Ccrd_006650 transcript:KVH91329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF647 MIFSPAPPPSITRPLMLSLSLRPTFLYTTTSATVIPTRISSFREPWKPLPSRSHFGTFRQKLLAPASYTPLVAGSSGGGANGGGGSGNWWDRWSGDGNGDNDDENENSHRKQVFFYVLLSSLCFAFLFQSASRAYAIASRSENGTSDSEEEVVWEVKGGKRTKLIPDHFRDVFVVPSVTWAWWPSSNTSSTVSFGNIIPNMWVQCRELFMRLMLPEGYPDSVTSDYLEYSLWRSVQGVAAQVSGVLATQSLLYAIGLGKGAIPTAAAVNWVLKDGIGYLSKIFLSKFGRHFDVNPKGWRLFADFMENAAFGLEILTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFFAGFAARRNFAEVIAKGEAQGMVSKSIGIMLGIALANCIQSSTPLALAAFGVVTWVHMFCNLKSYQSIQLRTLNPYRASLVFGEYLLSGLIPSVKEVNDEEPFFPALPLLSLKPASKAEHTILSAEAKDAAATIEQRLQLGSKLSDVVKTRTDAHALLNLYRHQGYILTEHDGKFCVIVKDTCSPQDMLKSMFHVNYLYWLEKNVGLKFVSTSDDCSPGGMLQISLEYVEREFDHAKHDGEVAGWVTDSLVARPLPHRIRPDC >KVH91330 pep supercontig:CcrdV1:scaffold_2025:6394:13867:1 gene:Ccrd_006647 transcript:KVH91330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku70/Ku80 beta-barrel domain-containing protein MARNKEGLILLVDVGPTMHSVLPEIQKVCSLLIQKKLVYSKYDEVGVVVFGTEDTKNDLTKEVGGYEHVTVLQPIKVVDGDLVDVMQQLPRGTVPGDFMDAIVVGMDMLIKKYQETIKGTKEDQVYTIAEQMAAHGMKIDCIVFKGNQIHDTHDSIIKENDMLLSIFSKKTKAKAVRVESSTSLLGALRTRNISPVTIYRGDLELSSTFKIKVWVYKKTSEEKFPTLKKYSDKAPSTDKFATHEIKIDYEYKSVQDPSKVVPPEQRIKGYRYGPQVIPISSAELEAVKFRPEKSVKLLGFTNASNIMRHYYMKDVNIFIADPGNKKAIIAVSALARAMKEMNKVAILRCVWRQGQTNVVIGVLTPNVSENDNIADSFYFNVLPFAEDIREFQFPSFSNLPASVQPNSEQQEAADKLVMMLDLAPAGKEEALRPDFTPNPVLERFYHHLELKSKHPDAAVPPLDATLKRITEPDPEVISQNKLVIDEFRRCFDLKENPKLKKSTRRLLRDRTSGSFGGEVVKNEGRSTDLVTSTSTVKVETIGDSTPVPDFEAMISRRDSPEWVSKAIFGMKNKVFDLVENSYEGDTYPKALECLVALRRGCVIEQEPKQFNNFLHHLYRFCQEKDLSSFCEFLASKDITLITKTEAEDSEIAEGDARSLFVKAEPNSSK >KVI00826 pep supercontig:CcrdV1:scaffold_2027:79167:80285:1 gene:Ccrd_020918 transcript:KVI00826 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MAQETNDVHFADDGTVNERSFMVHWPRKHKKWVQMLVYTIFVLFGQSVATIMGRLYFNKGGNSIWLLTLVQTVGFPALVPFLLISPVQTSNDANAEKPKSLVLALLYVFLGVFLAALCVLYSIGLLYLPVSTYSLICASQLGFNAIFAYFLNQQKFTPYIMNSIFILTLSTVLLVFQNNSGESMNTSKGKYAFGFICTICASAGYALLLSLTQLSYRIVVKDESFRAVVAMIIYQSMVSSLVITIGLFASGDWKRLRAEMMKFELGNVAYVMILVSKAVCWQIFSIGTLGLIFKVSCLFSNVVSTVGLPIVPILAVVFFHEEINGVKMVSMVMAIWGFLSYMYQH >KVI00827 pep supercontig:CcrdV1:scaffold_2027:79239:83789:-1 gene:Ccrd_020919 transcript:KVI00827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MMFSESQFDGGFASTQITNSPAAANRDTFGVVPLTIKQISEASHSGDDKSNFVVAGADVVNVTVVGMVFNIVERNTDVTFTLDDGTGKLECKIWLNEPFDKLQIEEVREGIYVHVDGHLKSFKGERHVAIFSVRPVTNFDEITFHFIACIHNHLRTSKVQKIQGDGTALSQNTNSNPNTPIQNGTNGFKTTLPSQLSIPFNVDGLKGFDQMVLAYLQLPANYGNEKGIHTDELVQKLKLSHDKIMESIRTLEDEGMIYSTIDEFHYKATSSS >KVH92412 pep supercontig:CcrdV1:scaffold_203:310437:311640:1 gene:Ccrd_005545 transcript:KVH92412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MTYSSTIFRTNIIVTLFTVEFVILLLSVANAKPATFLQDFRTTWSDSHIKQLDGGKGIQLLLDQNSGCGFASKSKYLFGRVSMKIKLIPGDSAGTVTAFYNNEAKGVPFPKFQPMGIYSTLWEADDWATRGGLEKIDWSKAPFYAYYKDFDIEGCPKPGPSGCESNPANWWEGSGYQQLDAMASRRYRWVRMNHMVYDYCTDKPRYPVTPAECMDGI >KVH92406 pep supercontig:CcrdV1:scaffold_203:213767:217190:1 gene:Ccrd_005549 transcript:KVH92406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MRVLLLFLLLQLLTTSFALKPGRLPEFRALLSLKTAITDDPQSFLSTWNTSTTHCTWFGVTCDSRRHVTALDISGLNLTGVLSSDIGHLRNLVNLTVAANNFVGPIPPEISFISGLRLLNLSNNIFNETFPPEISSLKLLEVLDLYNNNLTGDLPVGVSEMTALRHLHLGGNYFSGIIPPEYGRFASLEYLAVSGNELTGPIPPEIGNLTTLKQLYLGYYNGYSGGIPPEIGNLSSLIRLDAANCGLSGKIPPEIGKLKNLDTLFLQVNGLSGSLTNELGTLKSLKSMDLSNNIFTGEIPESFKELSNLTLLNLFRNKLHGSIPEYIGDLPQLEVLQLWENNFTGGIPQELGQNGKLQILDVSSNKLTGSLPPNLCTGNKLETLITLGNFLFGPIPESLGECRSLSRIRMGENYLNGSIPKGLFNLPHLSQVELQDNLLSGEFPATDSVSINLGQVSLSNNHLTGPLPASISNLSSVQKLLLDGNKFTGRIPPEIGELQQLSKIDFSHNSFSGEIAPEISRCKLLTYVDLSRNEFSGEIPTEITGMHILNYLNLSRNYLVGSIPTSIASMQSLTSVDFSFNNLSGLVPGTGQFSYFNYTSFVGNSDLCGPYLGPCKPGVINGTRQSPSKSPLSPSLKLLLVIGLLVCSIAFAVAAIIKARSIKKGSEARSWKLTTFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGVMPNNELVAVKRLPVMSRGSAHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYKIALEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFMQDSGTSECMSAIAEGILKILDARLSTVPIHEVMHVFYAAMLCVEEQAVERPTMREVVQILTELPKAPAATDSPSPPAAAGAEVDSSKDKEGQNQLTDLLSI >KVH92407 pep supercontig:CcrdV1:scaffold_203:363326:369115:1 gene:Ccrd_005542 transcript:KVH92407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQSGRFAVTPTTPSNSSRVLSFKSPLSDETIWKRLREAGFDEESIKRRDKGSLIAHIAKLEAEIYNLQNQMGILIIEKKEWVANYEQMKSTAETAELTVKRDHAAHMSALAEAKKREENLKKSLGIERECVSNIEKALHEMRAECAEAKVAADIKLAEARSMMEDALKKMTEANSKMQSAESLEAEASRYRRAAERKLHEVEAREDDLRRQINSFKSGCDVKEKEILLERQSLGERQKSVQQSQERLLDGQALLNQREDYIFSRTQEISRLEKELQALRLNLEEERKSLKEEKYNLELKGASLDARDELILCISVKVLVGCYMMYEYFYGCLQDITKKENELKKKSEELLMQQEEIASKESDRIKQVMANHEAALKERSVQFEAQLETKLKLMEDKIESKRRASELREVELSQREDLISEKEHDLEVQARAAGDKERDLLEKLNAVEEKEKSVFAAEREVELQNTILQKEKEEVNRMKNDLQKSLKLLDDKSKEISHAEEKVEAMRTETNELMILEGRLKEEIDLIRAQKHELEVEADQLKTEKAKFEAEWELIDEKREELRLQAERIAEERVTISKFLKDERDVLKLEKDLIREQYKKDVESLSHDRETFMREIEQERSEWFSKIQKERTDFVLDIEMQKKELENCIEKKREEIESYLREKEKAFEEEKKKELEYISSLKETAAKESEQINFEMQRLDVERKKVNMDHERRDKEWAELNDSIEELKVQRQKLEKQRELLHADREEILAQIEQLKKLEDLKDVPYRIAASEIEECDSDSQKHSAVAKRYLKRRTKLDSIPKTGGDEGVANDSSTKQELDNGSPPVSTPFGWLKRCASTLLEQTHSNKKRKQQKEIKQSEESITTRGLSSERKTLDDEHGATAVGTKETTVYIDKIITIREVTSVGGENATGNNREGAEKVKQKGDSGSDDTNKKLKGQVEE >KVH92405 pep supercontig:CcrdV1:scaffold_203:249356:252298:1 gene:Ccrd_005548 transcript:KVH92405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTTSLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNTAFEPSSMMAKCDPRHGKYMACCLMYRGDVAPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQSPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESGEGEDDEEEEY >KVH92411 pep supercontig:CcrdV1:scaffold_203:330600:332432:-1 gene:Ccrd_005544 transcript:KVH92411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MGFHGVSNKGLILELGLNSTSSSSSILENNSTTTKKPCSTLSFQPSLTLSLSGDHATKTPPPCVAGVGGNTGGEHGGGLYRQDSVSGGGSSFSVASVSVKREREVKSEDSEKASRVISRTSVDDHEVEEDDDDGSVNGRKKLRLTKAQSALLEEAFKHHSTLNPKQKQELARELKTKLKQTEVDCEYLKKCCEALKEENSRLHKELQELKALKLSAHQSLYMQLPAATLTMCPSCERIGDTTSSAKSRPFNMAPKSHLFNPFSNPSAAC >KVH92420 pep supercontig:CcrdV1:scaffold_203:186490:188730:1 gene:Ccrd_005550 transcript:KVH92420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDVSSAWLSAELEMEDQGFMNYDQMSKLYGPIDDISADSFSSGSYTENMSFLDQSFQTQQPQIELPSYQEKSSTGISKCSPTPAPTPSPTPDPLVPTTLPSSNTFTISFGDLDPKNEILQFRDSLAGTTKVPTMARNPVQAQDHVLAERKRREKLNRHFISLSALLPNLKKMDKATVLEDASNYIKELQGRLKELEGSPGNIKKKNVQESTVISIKRSRLSSSDDEYSSSDEMNSGESTNPFKSSPEIEVRMSGTSVLVRIQCQKSFSSMVKALNQMQKLGMSIISSSAMPFAKTTLLITIVAQTEDDFCMTTSELVKNLQLAI >KVH92408 pep supercontig:CcrdV1:scaffold_203:356919:360792:1 gene:Ccrd_005543 transcript:KVH92408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLFDSLVFFFLLVSILSSTLESRRLPQFLGRNQKTKINLVEQYSYETRYFEQILDHFSFNDLPTFQQRYLINSDHWVGPSGMGPIFLYCGNEGDIEWFAANTGFVWELAPRFGAMVIFPEHRYYGESVPYGSQKEAYKNASTLAHLTAEQALADYAVLITDLKRNLSAEASPVILFGGSYGGTRKHELFRHYKEILGYNNFCGKLKSSEDLSDWLDSAYSYLAMVDYPYPSEFLMPLPAYPIKEVCKEIDNLPDGTSVLDRIFKGISVYYNYTGEADCFQLDDDPHGMSGWNWQACTEMVMPMSSNKNSSMFPEWDYNATSYAEDCWNEFRNISESIVALVTEEGAHHLDLRAATTNDPDWLVEQRASEIKIIEGWIVDYYKKTKLVFNM >KVH92417 pep supercontig:CcrdV1:scaffold_203:27140:28135:-1 gene:Ccrd_005557 transcript:KVH92417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTYYPPPLNHQDSSRPTLGFPLGTALLLIVIFSLSGIFSCCYHWDKLRHLRGSFSDEDDDDHSSFKPKPTYSEKKQNENQSLPVVMPGDRIARFIALPCPCEPPREEKIVVEEIQKSPKPPSHVAVTLC >KVH92409 pep supercontig:CcrdV1:scaffold_203:284766:285760:-1 gene:Ccrd_005546 transcript:KVH92409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSQNPHLLHHFTIISLLFLLIPFTTQLRSVAQGRMLVKQATHSQVEGKMILRGQIGSRPPRCERRCGSCGHCEAIQVPTTPQIKTHGPSWVKNPTTGSATIAYARGDYSSNYKPMSWKCKCGSFIFNP >KVH92413 pep supercontig:CcrdV1:scaffold_203:132767:143002:-1 gene:Ccrd_005553 transcript:KVH92413 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRA domain-containing protein MDLNNIKDAFDRVTKKQKVSSSKSQEVVEQMSQEIEQALSGIQSATNSTFPQPDHNLILTELKAKLKEIAPLGQLEGTQKELNLALSKYIKLLEKHFNPDISRSYRNVDFDLHTINQIIASHFYREGMFDIGDCFVNEAHEESVVDRKTHFLEMYQILESMKSRDLGPALKWATTNHEKLRQNGSDIELKLHRLQFMEILQHGRRDEALKYARAYFSPFANQHLPEIQKLMACLLWAGKLESSPYSELLSPTHWAKLAHELAQQFCNLLGESYQSPLSVTIAAGVQGLPTLLKLMNVMNGKKNEWQSMKQLPVPIDIDQEFQFHSIFVCPVSRDQASEENPPMLMSCGHVLCKQSITKLSKNNSTRPFKCPYCPTEVEVGQCRRLYF >KVH92419 pep supercontig:CcrdV1:scaffold_203:171137:173627:1 gene:Ccrd_005551 transcript:KVH92419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MAMDLSSMWLPELEMEDPGLMNQYNQISKLYDTIDNFSTDSFSSESNTENACFTDRAFQTPPSFGEQAEMKLPSYQTASNFNRPPTSKPLNAPTVSTSNTFTISFGDLKPKEEITPLNDSAGYESAGTGKIPTVARNTFQAQDHVLAERKRREKLNRHFISLSALLPNLKKMDKASVLEDASNYITELQGRVKELEGLSSIKGKDIDVKESVIALKRSRPSGNDEDDSSSNETNSADVPCKSSAEIEVRMSGSSVLVRIQSPKTSSLLVKVLRKMQKLGLSIISSNSMPFADTTLLITIAAQTEGDFFMTAADLVKNLQLVI >KVH92416 pep supercontig:CcrdV1:scaffold_203:126157:126537:-1 gene:Ccrd_005555 transcript:KVH92416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVFFCRRSSSTFAAFFTASLEFDEPSRTTIASTIRRAARWPLVVILFKGNNLLEYEEKATIDRRSEDERDEMINLIARFIICSFSLDIFPLTSTTVTKSMGALPCVFSGITGAFALRTIAYVS >KVH92418 pep supercontig:CcrdV1:scaffold_203:61180:66085:1 gene:Ccrd_005556 transcript:KVH92418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization LRYVILKAHADLAEWFLAVDVIYYIDGSYSNRLTRNLEVLDLMSPPLLGVEGDGQGTVSLLNSASSMESIFQKSPGLTERNYLGLSDCSSVDSSAVSSISEVKRNNLNLKATELRLGLPGSQSPERDPEVNLATSESLDEKPLFPLLPSKDGICSASQKIVVSGNKRGFSDTIDGYSETKGFSEGNWMFGSSGTDSDASKSLVQGKFASNANVNGMISSGAQPASIKDATSKMAPEQAHATYGTSLNKVNSSNPPAAKAQVVGWPPVRSFRKNILATNSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRSYTTYQELSLALEKMFSCFTIGAPGRESLSESKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWDSKGHGKIKEPKLASCGRGK >KVH92414 pep supercontig:CcrdV1:scaffold_203:153714:164663:1 gene:Ccrd_005552 transcript:KVH92414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MIATISPADNQYHHTVNTLKYADRAKEIKTHIQKNIGTVDTHVSDYQRMIDSLQTEVSQLKTQLAEKESQLNKPTERPVDDELSWLNILSQETSENVQERINLQKALFELEETNLRNRTELQHLDDGIAKQQVIENDGTVVQALVLRRQLVLDNIRDNDETGVIYQKEIEANEKRRCQLQEMIDEAISNNANKTYLRILSQYRLLGMTNTELQFEMAMRDQVIHNQRETQRNLWNLVMGLGLDEKQLLKLAAKQGIVIEDWAMTPQLGLSDGTASWKMGHERSPHIPLCSASRQSCPNPSSTSPQNQAGPRPFSEGYGDTYCREEHHSSYYFMSHNSPSTYMSLTRSSENWVGHMSSSLYPSPDMHPPGLGDSYLKMRGTGSSNGDGRASTSPHQKVWIPFTCQIYLEGQWRCIEENVKAIMR >KVH92410 pep supercontig:CcrdV1:scaffold_203:258427:267557:1 gene:Ccrd_005547 transcript:KVH92410 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase, subunit VIa MASAIVRSSLRAALRGVARPNPASKRSFSSGASADEEAREAAKWEKITYVGIAACSILAFVNLSKGHPHFDEPPPYPYLHIRNKEFPWGPDGLFEVKTHH >KVH92415 pep supercontig:CcrdV1:scaffold_203:1431:8077:1 gene:Ccrd_005558 transcript:KVH92415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPTTQQALLEDLFPPTHSTFIHCEDDLLLFPSPSYSSIFTNSSSFLQPTFQSLYQSIYSLDTSFTDSISSSLYDQQTVPDQQEFKTLITAEEDEDDDYIHKIHENQKNVVSDDQIPTVFSMGSWNGEKKSKSKRVEGQPSKNLMAERRRRKRFKGFTVNEVQVRSPPKFDVERRNTDTRIEICCSAKPGLMLSTMTTLEALGLDVQHCVISCFNDFFLQASCSELDFTRCTYGFPHYNDVKPSSHHSRRSSLHILWHHFVDSLSGFETPFLDFLFFLEETIFLPVDAKGDPSCRILKKSPENDDRKLIHIAGIPLRHFDKHLIYELEQDERGKLGCSNLKRRLTRLWENQAQKTHSI >KVH94848 pep supercontig:CcrdV1:scaffold_2031:89028:91927:-1 gene:Ccrd_003085 transcript:KVH94848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MDEQWKGSDGLVYMRRQRWSESSSWGDQSESSSWEGKSKTETVAMDWEDAKRFIGRRYSDETGKKRISVTYKGEEKRFSAEEISSMVLSKMKEISSSYLGTTVENAVITVPAYFNDWQRQATKDAGMVAGLNVLRIINEPTAAAIAYGLVNKDDISTQEINVVIFDLGGGTFDVSLVTISDGVFEVKATAGDSRLGGEDFDNALVTHFVELFKRKHKKDLNQNPKALGRLRIACERAKRILSSTTRTSINIDCLYDAHDFSSVITRAKFEEINMNFFKKCVTTAGKCLSDANMDKKNVDKVVLVGGSTRIPKIQQMLQDFFDGVELCKSINADEAVAYGAAVLGAKLSGEGDETVLDLQLLDVTPLSLGIQTRGKIMTVLIPRNTPIPTKIEKVFSTSSDNQTSVLVRVYQGERTRCKDNCLLGQFKLCDIPMAPKFKSLVNVCFDIDANGILSVSAREMASGQSNKIAIINDRSLSKEEIEKMVKDAEKFRVEDQEHAKKVDAYIGLQQYAYCMKNKIKGPSVISRLQYQDLKKLESSIDLAIIWLDANPDAEFLELVKLKDLLETVFNPFIGQLYE >KVH94849 pep supercontig:CcrdV1:scaffold_2031:51416:58661:1 gene:Ccrd_003084 transcript:KVH94849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVSTLQSWPEPVVRVQSLSDSGINAIPECYVKPIYDRPSLLDLQPIDANIPVIDLANLDSDDPTLRKTTLDLISDASRQWGFFQVINHGVSHHLMESTRRIWREFFHLPLHMKQEYANSPTTYEGYGSRLGVEKGAKLDWSDYFFLHFLPVSMRDERKWPAQPLSCREVVGEYNEEVMKLCGKLMRLFSLNLGLEEDYLEKAFGGDEIGASLRVNFYPKCPQPDLTLGISPHSDPGGITILLPDDHVSGLQVRKDDAWVTVISNAKYRSVEHRVIVNSNEERVSLAFFYNPKGDILIQPAKQLITNEEPALYPPMTFNEYRTFIRLNGLHGKSQVESLKSPR >KVH92184 pep supercontig:CcrdV1:scaffold_2033:4347:12586:1 gene:Ccrd_005782 transcript:KVH92184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C56, PfpI MANSSSPKKVLMLCGDYMEDVEAMVPFQALQAFGLSVDAVCPGKKSGDICRTAIHQRSAHQTYSEGRGHNFTLNATFDEIDPSTYSGLIIQGGRAPEYLAMNESVLDLVKHFAKSGKPIASICHGQLILAAADVVKDRKCTAYPAVGPVLVAAGAHWVEPETLASCTVDANFITGATYYGHAEYIRHFIKALGGTVTGSNKRILFLCGDYMEDYEVFVPFQSLQALGCHVDAVCPNKKAGDNCPTAVHDFEGDQTYSEKPGHDFELTANFKDLDASSYDALVIPGGRAPEYLALDQEVIKLAKYFMEAGKPGKKCTAYPAVKLNVVLGGATWLEPDPIDRCFTDGNLVTGAAWPGHPQFIAQFMALLDVRVCF >KVH92185 pep supercontig:CcrdV1:scaffold_2033:25840:33953:1 gene:Ccrd_005783 transcript:KVH92185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MEFKDSISDAFASCIERQQSSKPSVIVIGSGISGIAAAHILNNADFEVVLLESRDRIGGRIHTNYSFGCPVDMGASWQGHYDEDCHLWSFSQYLVAMALVMRYAPLHGTSSVNGFFSLKFMIVPPVLHGVCNENPLAPLIRHLGLALYRTSGDNSVLYDHDLESYMLFDKEGHQVPQQIVIEVGEAFKKILKETEKVRDENHHDLSVLQAISVVLERHQELRQEGLAYEVLQWYICRMEAWFAADLDMISLKNWDEENVLTGGHGLMVEGYYPVLKALSKDIDIRLNHRVTRISNGPNEVMVTIEGGRKFVADAAIITVPIGVLKANLIEFEPKLPEWKVSAISDLGMGNENKIALRFDNVFWPNVELLGTVAPTSYSCGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSEEAAANFAMLQLKKMFPEATEPVNPNSLGCYSYDVVGKPTDIYDRLRAPLGNLFFGGEAVSMENQGSVHGAYSSGVTAAENCRKLLMEKRGFLEKFQILSISDDAILEAAFPLQISRM >KVH92183 pep supercontig:CcrdV1:scaffold_2033:82960:88053:-1 gene:Ccrd_005785 transcript:KVH92183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIF22 (Kid) MATPQLNSSITASSQTENSDLCTPTKHLPNDSVSMVRVIVRVRPFLPHEGSGSGDIAIDKPIPCVSILDSPLESASEVTVHLKDPYSSRNASYKLDSFYGQEDNNVRQIFEREVMPLIPALLNGSNATGTDELPGLMPMAASTILSMCENMGRTVEISYYEIYMERCYDLLEVKTKEIAIFDDKDGHPHLKRLAKIPIHSMLDFQEAFFFGMQRRKVAHTSLNDVSSRSHGVLVISVSRPCENGPGNTMIGKLNLIDLAGNEDNRKTGNEGVRLLESTKINQSLFALSNVIYALNNNNSRIPYRDSKLTRILQDSLGGTSHALMVACLNPGEYEQSVHTVSLAARSRRISNSVHSAQKHNTSNIQVDMEEKLRAWLESKGKTKSTQLQERFRSPPLTRTPCSITSMKKLNTFQSSGKPKAISSKSFVNPKGRSNNEGFISCLMGTDETAYKNKISKKLLLSGDKILLESTSCTLDQQAHNVENATMPMIKEDSLGSWLTSERTHESRNVLSPIKSNENMDDICMAVSDPMTPKQFVSDDKTENTNTPLNTFKAMSCNLKGIGEKMAEYILELRDTSPLKSLNDLEKIGLSSKQVGNMFGRTAKGLFG >KVH92182 pep supercontig:CcrdV1:scaffold_2033:54137:56792:1 gene:Ccrd_005784 transcript:KVH92182 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MDGLAKCSANYVPLTPLTFIKRASMVYSERTSVIYGGVRFTWHQTYQRCCRLASSLRSLNIVKNNVVSVLAPNVPALYEMHFAVPMAGGVLNAINTRLDAKNIATILRHSEAKVFFVDFQYVPLASEALRLLMADLKEILDHKYEMPLVIVIDDIDKPTGIRLGKLEYEQLIRHGNPRYNGEEVEDEWDSIALNYTSGTTSAPKGVVYSHRGTFLSTMSLIQGWEMSTETVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTSAREMYHGITTHNVTHMCCAPIVFNILLEAKPHERREITTKVNILTGGSPPPVALLEKMENLGFHVMHAYGLTEATGPALICEWQTKWNQLPRNEQAKLKSRQGVGILTLSDVDVKIKETMESVPSDGKTMGEIVLRGSSIMKGYLKDEKETAKAFHKGWFFTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLFNHPNILEVAVVGMPHPRWGETPCAFVVLKNPTKEEEIMEFCKKNMPKFMVPKK >KVH99542 pep supercontig:CcrdV1:scaffold_2036:23018:26026:1 gene:Ccrd_022222 transcript:KVH99542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MIEQDEYRCFIGNLSWSTSDRDLKEAFKKFDHLVDAKVVVDRSSGRSRGFGFVTFDDEKAMEDAIEAMNGIDLDGRPISVDKAQPNQGGGRDRDSGRDRDRDRDRVAIAQVDGTGSLAVVEAQEAIDIIVIVLVPMNALDACE >KVH99543 pep supercontig:CcrdV1:scaffold_2036:54337:55566:-1 gene:Ccrd_022223 transcript:KVH99543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MARDKSNELYLSVPSFFKCPISMDVMKSPVSLCTGVTYDRTSIQNWLDSGHNTCPATMQVLHSTDVVPNLTLRRLIRVWSDSSLLCPESHAIFNNPIAIDYITKLISNGETENLSLGALLRIVELAKLSEEGRESLANLDGFVPMMVRILKHSDEVEVVELVVTALDLILSIKGVKDRLKKFNLDDAFFSPFNIVLQKGSLDARIRAARVLESLAVFDNESRRVIADQKGLLNELYHFTNTLTDWTAIDVGLAALIAISTSRQVKKELVRLGIVRTAARILSDSENPVGMMEKAMKVLQMVSTCREGRTAISEDEKCVTAVVQRLIKVSTATTELGIVMIWSVCYMWRDRSALEAAMRNNGLTKVLLVMQSNCSGTVKQMCRELVKVFRVNSKSCLASYETRTTHITPY >KVH98737 pep supercontig:CcrdV1:scaffold_2038:75277:80402:1 gene:Ccrd_023036 transcript:KVH98737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MLMLTLTAAIRKLHPSHCLSTPCMDPTPPQLAFLYTAFGLLVIGASGIRPCNLAFGADQFNPNTESGQAGIASFFNWYYFTLTFAMMVSLTLIVYVQSNVNWAIGLAIPTLLMFFSCAFFFLGTKIYVKVMPEGSPLTSILQVLVATIRKRKLTFLNKAAIFCPGDNINPDGSSGNRWTLCSMQQIEEVKCVIKTIPILIAATFYAVSLNQTQTFTVYQALQSDRRFRSNTFKIPAASYTSFQMLTLTIWIPIYDRIMVPFLRKLTKKRDGITILQRMGTGLGINILAMLMAGIVEAKRRNMANSKSVVGFEPRRGSVSSMSANWLVLQLVFSGLSEGFTIIGVTEFFYKEFPENMRSFAGSITACGIAMSLYLSSFLILMVHRLTREDNGRNWLADDLNEAKLDHYYYFCAGLGAMNFIYFLMCAKWYKYKGTGNEPVEMPSREMKPGKHDV >KVH98738 pep supercontig:CcrdV1:scaffold_2038:14042:19292:1 gene:Ccrd_023034 transcript:KVH98738 gene_biotype:protein_coding transcript_biotype:protein_coding description:HPP-like protein MAAFSSSYISNLVKIRHENHHALRLRLPLLPLPSTRRRRTTVSETHYSTVSIRAAAGNLASNWDTWKPDQGSKAPSLSDILWPSAGAFAAMAVLGKMDQMLARKGVSMTIAPLGAKYNMFMAQMGCAAIGVLAFSFFGPGWLARSTALSVSLAFMIYARAVHPPAASLPLLFIDGAKFHHLKFWYVLFPGAAGCLLLSRSGVLLERECEVLSRAYGNCNFGGIGLC >KVH98739 pep supercontig:CcrdV1:scaffold_2038:60166:62425:1 gene:Ccrd_023035 transcript:KVH98739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEVEAMEITNEKVEETFEESDYKGIKAMPYVIGNETFEKLGTIGTSANLLVYLTTVFNMKSLAAVNLINVFNGTCNFGTLFGAFLSDTYFGRYNVIGFASISSLVTLGEIERFDNDEAVIGDPPLDETVERWIVDHLISFENERFACFEAKA >KVI09032 pep supercontig:CcrdV1:scaffold_204:211183:213346:-1 gene:Ccrd_012602 transcript:KVI09032 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MEFHSNFIKIILSHRSPSTGIRIPNKFTDKHGKELLDRVILKLPDHDVWQLHLFKSRRQIWLKNGWSEFAHHYGLRFATS >KVI09036 pep supercontig:CcrdV1:scaffold_204:107358:110590:1 gene:Ccrd_012590 transcript:KVI09036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVASYFTFRFLRNYKPILRVCVCSPFCYANTLVSCFHSQTQFKPIKKISRKFKKTNKSYIQDNVVNPRVYMKDTIRNISNILRYSTWDSAQQHLEALNIKWDSYTINQVLKTHPPMEKAWLFFNWASGLKKFKHDQFTYTTMIDIFGEAKRISSMKFVYQQMQEKGIKVDVVTYTSMLHWLSNDGDVEGSVKLWKEMKDEGLYPTVVSYTAYMKVLFDHGRVKEATKVYREMIRSGCPPNCFTYTILMEHLAGCGKFGEVLEIFIKMQEAGVQPDKATCNILVENCCKAGETLVMMKILQYMKENLLVLRQPVYTKALETLRSAGQSDVLLKESNRHLSIQYKKVEPLDGTISDTNSIDQRLVLNLLTRKHFVGVDFLLKAMMDKNVSLTPGIVSSVIEAYIAHDKPDGAVLAYEYGKKQGIHFEKISYLSLVGLFIRTNSFPKIVDIVEEMIKDGIFLGINQSALLIYKLGCARRSISAAKVFSLLPDNEKSTTTYTALMAAYFASRNASKGLQTFKDMKEAGIPVALGTYNVLLAGLEKSGRVVEFELYRKEKKRMQNTHFSENQESTEEMTCNLLFARDYVS >KVI09018 pep supercontig:CcrdV1:scaffold_204:53627:54429:-1 gene:Ccrd_012585 transcript:KVI09018 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c assembly protein ISPSTSRKPYALEEACTVWEGVLIDKKEESTSTDMSLGTTIHNIEITLEKGGQLARAAGAVVKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKKHILTHISFSIVSIVITIHLITLLGNEIINPYDSSEKGMXATFLCLTGLLITRWIYSGHFPLSDLYESLIFFSWSFSLIHIVPXLKIRKNYLTTITASSTIFTQGFATSGLLTEIHKPTILVPALQSEWLIMH >KVI09038 pep supercontig:CcrdV1:scaffold_204:129209:131544:-1 gene:Ccrd_012592 transcript:KVI09038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystinosin/ERS1p repeat-containing protein PSIVSATTFQTLHQWRINTESGFVYRDQHRDTDMSLLLGNGSNSSNPLSSYCVQENKPCIGWWVDEYFKDCLCNLKDQISFGLGIISLVCWGVAEIPQIITNFRTKSSHGVSLIFLLTWIAGDIFNLVGCLLEPATLPTQYYTAMLYTTSTVILVLQSLYYDHIYAWLKSRKADANASPEVEETKKPLKPAAILNSQSRAIKASPSQRPNYYYMSARSMAGSSTPPFRGCLWTTRSGPASAMAAENDSSSEDESSVEIPKTASQPRPIPRSVGYGAFFGASLNMPSQAKGLVQVYAYRQLLQDGGGGKSVYGQWLGWMMAAIYMGGRIPQIVLNVKRGSVEIIMQYVYYRYFFLKQRLPNSHHEVY >KVI09027 pep supercontig:CcrdV1:scaffold_204:257249:258127:1 gene:Ccrd_012607 transcript:KVI09027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MPLLFYCTQETEKAKMIDQKFFVGGATGTTRHPNISTNYSDHSPPPPPPPPPSSTTNLNSENHHLKCPRCDSSNTKFCYYNNYNLTQPRYFCKTCRRYWTRGGALRNVPIGGGCRKNKGTTIASALAKQISSTENLKALISSDLGKSDIINSLDERSKPILWASSPQTSHLLSLLRSTHNHNPNPNPNFTSNANTFNPSWRINSQMVRDQQQENGGIITGHEGRITGNNPAQMHQQLRSSPSNYYHHDQAVAPMTNPSSSTSASTVANGESGYWNLMIPWSDLSITNNAYAP >KVI09041 pep supercontig:CcrdV1:scaffold_204:172182:174182:-1 gene:Ccrd_012597 transcript:KVI09041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYASIHRLTVVSRHTKPLSLFYSFLPITTRNNFTSPAHQTLHHLLEKCQSMKKLKLIHAQIILHGLQQQTLTISKLISFCAVSETGDLQYAHLMFDHLPQPNRHTYNSLIRGYVNSETPTKALHLYHQMTCVGISPNEFTYPFVLKVCAVLSRLLDGVLVHVQIIKLGFESNVYVQNGLISVYCGCGAIRDSRKVFDDMVDKSLVSWNTMVGGYSKMGYCREAFLLFRKMTELGVEPDDFTFVSLLSVCSQNCQEALGRLLHSYIVITRAHIDIYVQNAIVDMYAKCGHLHSAQTFFDRMNDKNVVSWTTMVSAYAKYGLVESARNLFYQMPVKNVVSWNSMISCYLQKGEYGEVLGLFNDIFHAGVTPDESTLTSVLSACCQLGDLEMGKNIHNYICSNYVIPSVTLFNSLIDMYAKCGLLETSLSIFSEMPEKNPVSWNVMIGALAFHGCGFEAVELFDRMQAAGIQPDKITFMGLLSACCHSGLTEIGKYYFDRMIGMYGVPHEIEHYACMIDLLGRVGLFGDAIKLIRGMPMKPDVVVWGALLGACRLHGKVEIGKQVLKHVLELEPYTSGVYVLVSNMFCEAGRWEDVKNIRRLMKDQGIKKSRGVSSVEVNGHVYEFMVDDQRNELSSNVYELLDQLLDHLKSFGHFSSSSDTFLNTEEV >KVI09015 pep supercontig:CcrdV1:scaffold_204:56598:68094:1 gene:Ccrd_012586 transcript:KVI09015 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K56 acetyltransferase, RTT109 MVYELGHVRDQFKNKNIGIDDLQKEGGVLDNLHKKRRCGVNNVNKFIGKIAKNYMDTTEGENWASDLINHLNSRFPTSIDTPKEYNDQKLLDQGSQNFIQQELKDANLHQIVSHRLISSHSSLPDLLVSPVFHNASSFCPPESYMNLGYNGYNPASVSIYNPGLCGGQYRSSIGKKKTAYNMDVPSLLGDDVQSPYSNLCNVQQNLTNHPETSDSLESKCNTQISDRGDSLQPQIHMPVSQQPDEQAQQHPVQFDDRLKTRSNMLFINGEKSSDEISVREEFKIPQINSEKSPLAQEYAALESSSQISSFQVLSSYVMYKDMPADLRREVPFQDYMHAAECKENLCNCPLYLELASHFDKCNHLNCDICGPARSLCSTSNFHLESRKRKKDQAEATHDADPSSTATLLETLPTKYPKTESPTVGDGFSQEYADSLDQHSPLDQPQHLEQRPEAFVCNEDVQEVQPELLAVDPSVNCATVGDTMDDDQRRNFNDTHGEQESTELKRSNDNTNNEMKKMPELNSANLSPKEGVNDEKEISNQVYTESGHAKIEANFLAADAYDIRLDSKKSMMPSVSLADHDSVLESEKTKIKIAPLVDDDAGVKPEKIKIQSVSLADFFTAAQIREHLLSFSSQKDVSGKKAHSVGHNTCHLCSMDKLVFAPAPIYCSSCDTRIKRNVGYYRSTSDMGIRHCFCMSCYRGSHGTNIVMRGFSVPKANLQKAKNDEEKEDSWVLCDRCQCWQHRICGLYNDEKDVEGKADYICPKCYLEEIESGMRVPLPQTAASGAKDLPRTNLSDHIEERLSRRLNQEREEMAKISGMEPEEVPGAEGLVVRVVVSVEKQLAVRQKFIDILHGEDYPSGFTYRSKKIEGVDVCLFGMCVQEFGSECGGPNHRCLYISYLDSVKYFRPERKSATGESLRTFVYHELLVIQFLLYKSMLKKAVEDDAVVDHTNLYNQFFVSSGQGNTKITAARLPYFDGDYWSGAAENIVRKLEVEESAGGLQSKLPNKRILKAMGQDRLDVAMKDVLVMQKLGQTILPVKENFMIVHLQYICTHCHEVILSGSRWFCSQCKKIQLCSRCFNADKNFSGSKMHTCHSGEKNLLSEVAVSNVTIDTKDKDDVLVNSFFETRDAFLNKCQKSHFQFDTLARAKYSSMMILYHLIHKPVTKPICTACHKDVLVDDCWHCDICAKFYVCESCYKMKGGAYHPHMLNPPSNSMEVVCGSKNDQVQKHKALTLKAVLDALIHASQCERIPCSYSECRTMRRLFYHASRCTVRVRGGCRLCQQVWRILKEHSQICKDSNCKIPRCMDIKKHKEMLAARSANLQTTTKDQQEMPQTLVG >KVI09040 pep supercontig:CcrdV1:scaffold_204:144621:146540:1 gene:Ccrd_012594 transcript:KVI09040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAFLLRLFLIISLLFIYMIQAQQPYIRKLTTNCGVRNASTSVLGYACNGLNRTCQAYLTFRSTPPYNTVTSISNLLNANATQLSRLNSVSETAAFGTGETVLVPTRCSCVGQFYQANATYVIQAGDTPFLIANDTYGGLSTCHAIQVQRSNLTVNIYTGSRLTVPLRCACPTEKQASAGVRYLLSYLITWGQTVSGISAKFGVDTGMTLLANQLSEQDYTIFPFTTLLVPLSDQPSRSQTIAPPPPPSTSPPPPPPAATSSNDHKWAYMLIGILGGVVLTSAIGFCLFWFCFPERRKPMVSSASQSFEAIEKPADKKLDVDDDAEFIESLNSIAQSLKVYKFEELKAATQDFSPDCLIKGSVYQGTINGNLAAIKKMHGDVSKEIQLLNTIHHQNLVRLSGVCFDDGHWYLVYEFAVNGPLSDWIYHGELGSNKSLNWIQRIQVAFDVANGLDYLHSYTTPPYVYKNLESSNILLDADFRAKITNFDLARSAEGQEGQFALTRHIVGNRGYMSPEYLENGLISTKLDVYGLGVLMLEIVTGKHVSDLYEKVNKNLSEVLDKVLEEENIDRKEKLTDFMDPRLQGNYSPELAMSVIRLMDGCLDKDPSARPDMNEVSQRLSRILTSPAGEVSVTISVKGR >KVI09029 pep supercontig:CcrdV1:scaffold_204:208752:213043:1 gene:Ccrd_012601 transcript:KVI09029 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit, helical insertion MPVQGTTMVGLTTEGRVPYSFALEYEGPPIPHHDLPRALPIDIHKIPVAAVLPNSCFSDNLINTTGKPSMPVVQPILASDITTNFAKLGTTQAIISPTSVISFRNEENCNGNDSISKDLETETTVSPAHDGGFEERSSSNRDVFISSGEFLDMINSSAGLGSSSLSHDHSYELSGRGESSGTLGFSDSFGKSRDFSGNSLAFRASNACKESLDFNELNHPDWVSSGSRLSFEYPSSRVSSLKTGDCKEESVCDLRRNNPVITFRDIESEDEDFLEDLSQPEPEIARLKREPEVKTRKGACYRCLKGNRFTEKEVCMVCNAKYCVNCVLRAMGSMPEGRKCVTCIGYSIDESKRDKLGKCSRMLKRLLNSLEVRQIMKAEKLCAVNQLPSEYVCVNGKPLCHEELAILQSCPNPPKKLKPGNYWYDKVSGLWGKEGEKPSKIISPHLNVGAPILSDASNGNTGVFINGREITKVELRMLQLSGVQCAGNPHFWVNDDGSYQEEGQKNTRGYIWGKAGTKLVCAVLSLPVPSKSIYPTGEQLSNTLGQSVPDYFDQSLPQKLLLIGCGGSGTSTIFKQAKILYKEIPFTEEERENIRFIIQSNVYGYLGILLEGRERFEDEAYNEMKKNQSSDTSGSTGRDLLEFNFAPIPLYYSTNLERIFWSMTGADDEKTIYSICPRLKMFSDWLLKTMVAGNLEDIFPAATREYAPVVEELWNHPAMQATYKRRSELDTLPSVSSYFLEQAVNILRADYIPSDVDILYAEHVTSSNGLSCVNFSFPQLSPDESNDTADQHNSLLRFQLIRVQARGLGENCKWLDMFEDVRVVIFCVSLSDFDQYAEDGDGNLVNKMLLNRKFFKSIVTHPTYDQMEFLLVLNKFDVFEERLERVPLTCCEWFGDFRPIVSRNSHNKNISSTNINHSPSMGQMASHYVAVKFKKLYSSLTGRKLFVSVVKGLEPNSVDQCLKYAKEIQKWDEEKPNFSLNEYSMYSTEASSSSE >KVI09034 pep supercontig:CcrdV1:scaffold_204:175357:177417:1 gene:Ccrd_012598 transcript:KVI09034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLPKLPSNLPPHLSLKFIKSYCDSGDLRRARQLFDKITNPDVYSWTVLISAYTRRGLQKEAINLYTQLRDREIQPDKFVLLSVVKACATSRDLIEAQRAHRDAVMYGFHRDLLLGNAMIDMFGKCKYLEGAKRAFHDLQFRDVISWTSICSCYVTCGNPRMGLQSFREMGIDGVRPNSVSLSSILPACSDLKCLNLGREIHGFVVKNGLGANVFISSALMDMYASCLCIKEAELVFDTMVHRDIVSHNVMISAYFENGEAEKALAIFTRMKDEGSKLNYASWNAVISGCLQAGRTQQALGLLTEMQEAGFNPNQITLTAGLTACTNLESLRGGKEIHGYIFRHCFLDDITALTALIFMYAKCGKLEFSRKAFEMMSRRDTVAWNTMIIANSMHGNGEEALVLLSEMLKSGVKPNAVTFTGVLSGCSHSRLVDEGLSIFYSMSRVHLIEPDAEHHSCLVDVLSRAGRLEEAYRFIQDMVIEPTASAWGALLGACRVYKNVDLARIAATRLFEIEPHNSGNYALLSNILVNAKLWGEASETRKLMRDRGIKKEPGCSWVQVRNKAHVFVAGDKRNEHSDAIYKFLSNMSEKMRQDGYLPDTEFVLQDLDLEEKEDTLCNHSEKLAVAFGILNLNGESSITVFKNLRICGDCHNAIKFMAKIVGVTIVVRDSLRFHHFRDGHCSCKDFW >KVI09023 pep supercontig:CcrdV1:scaffold_204:286344:296318:1 gene:Ccrd_012609 transcript:KVI09023 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase MIASSYLFSRHNLFTIISSLFWDLKKVFSRSGVPKQVSKEDEELETARSVWLVVPSSVPQPHSTSPNPHLQLAQPLFSPTISNASEAAFADLDSTLNSMLDDYCQVMRKRTNAAVLAHGDGLSNPKSLQGDSKQGASQFPSSKKILLLCLVFRMTNSLFVQTYFNPDEHWQALEVAHRITFGYLHPMIFAVLYKVLSVLHLDTPLFMLFSQLTNWFMFYCITRTLSNSLETVLTVVSLYYWPCLRVYSSNDPPVSRTWALVGAAIACAIRPTSAIIWVYVGLMELYVSCNKLKFIFLEVVPIGSTVLGLTFLLDRLMYGSWVLVPLNFLKFNFLSSGGDYYGTHPWHWYFTQGFTVMIFTFLPLMVVGIIQSKHWKLSGLILWVLGLYSVLGHKEFRFVLPVLPIALAFSGYSLAMLSQQHSSGTKSKKARDSRTKSSWKIQAAVFFLLATNLPMAVYMSMVHQVHQLLHEVKGIPDESDRFMMDPMGFISQFAKNWSQPSHIVLFDNEEKHLKDFLLSHSFEERKRFFHAHFKVDQDLQASVVVYALLDR >KVI09019 pep supercontig:CcrdV1:scaffold_204:29842:36042:1 gene:Ccrd_012582 transcript:KVI09019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MASTSPSPGSSPSSVPPVSSNPTPLSPVSQPDQATVTPPPATPATSVPPVQLPLPPVAVSPPPSPPQSPPPDVPSSPPPVLPASPPPSPPAAPPPASPTLPPPASPTLPPPANATPPAANPPPAPILPPPPPSDGGSPPPSTPTPDPTASPPSPTPPDPTTSPPSPPLSPSPPPPRNVPSPSATASSPPPPRANPPENSPPSQQLTPPPISTTPPDLPSNSPISPSASLSPPPFLTPTNSSAPAAPTTSELPPLPTEKPTARATDTTTTGRNGTSGGSPGGSKTGGVVAIGLVVGFITLGLFVMAVWYKRRKKNRGGHPNSGYIMPSPSASSQKSDSQFLKFQQSGHPLGGSPSGSNYIYSPDHGGVGNSRGWFTYEELAEATDGFSGSNLLGEGGFGCVYKGLLADGREVAVKQLKIGGSQGEREFKAEVEIISRVHHRHLVSLVGYCISDHQRLLVYQYVPNDTLHYHLHGEGRPVMDWATRIRVAAGAARGLAYLHEDCHPRIIHRDIKKVANSFRNAYLSNGQVADFGLAKLAMDTNTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVVLELITGRKPVDASQPLGDESLVEWARPRLNQALESEEFDALVDPRLEKNYVQQPPGFRLIPAGRRRDVKWNSASDHSGAGADAGATTGHQN >KVI09011 pep supercontig:CcrdV1:scaffold_204:302103:303882:-1 gene:Ccrd_012610 transcript:KVI09011 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MTSSSQNMYAVVKVKEKMSSNSPCAACKFLRRRCIQECVFAPYFPPDQPQKFANVHKVFGASNVAKILNELNPTQREDAVNSLAYEADARLRDPVYGCVGLISILQHRLKQVQIDLDNAKRELSNYIGPSAMLPILNHGFIQHSVVPYNMQPEPVLGLTGPRSSCFREVQHHQQILQDQQQQQQQLLAATGDREQPEVLRNYDDQQQRSADVLGYNSGFDVVSAQVTGSEFSHMTTTAAAMSPSLSLGGSYDNNIYQIQHQPQQVPHHLQPHQLLLQQQPQRAGSPEGRIVRPC >KVI09012 pep supercontig:CcrdV1:scaffold_204:330119:334479:1 gene:Ccrd_012611 transcript:KVI09012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSSTITRHHTMHWWQNASSHSSSSSPSSDDHFINHSNGTHASNHTMHWWQNASSHSSSSSPSSDDNFMNHNNGTHASKLASLFTNSHGHANSFGYIQRRRLTRARKLRHLTDNDIGHAPARLMVKPVPLPLPELDFLFKHDAHKKAGEKRATSNIYDGDIKEIQRAASADTLRDREDVVSQNGGVVLRNRQTHTGSFSAPASPVSSPVNSPTSTTEMFLPYYMPPPTLQIWSARENPIPDITPGAVNVSWKFPDAYLVGSSSTSSPRQKTGVRSSIRSNSSLHKNMAIENTMGRRDSNAQAIAHPLPLPPGATMPPPSSPPQVNSRPDSRPTTPRLSQWQKGKLLGCGTFGSVYVGSNRETGALCAMKEVQLLPDDPKAAECIKQLEQEIDVLSQLKHPNIVQYYGSEIVDDRFYIYLEYVHPGSINKYVRDHCGGMTESIVRNFTRHIVSGLAYLHSTRTIHRDIKGANLLVDVNGVVKLADFGMAKHLSGQAGHLSLKGSPYWMAPELLQLETEKDVDPDLALAVDIWSLGCTIIEMFDGKPPWSEYEGPAAMFKVIKENPSIPEKMSPEGKDFLRCCFIRNPAERPTASMLLEHSFDSARLPLSGKIGQ >KVI09042 pep supercontig:CcrdV1:scaffold_204:169541:169870:-1 gene:Ccrd_012596 transcript:KVI09042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MEFDDVLSAIRDDDELQPGQLYFALPLTRLRRPLQPEEMAALAVKASAALAKTGGEKCGCRRSSKNLYFTTPAFSGHKKSSGRVSDVGRRGVGSGRRRNFTAMLSAIPE >KVI09037 pep supercontig:CcrdV1:scaffold_204:135978:139189:-1 gene:Ccrd_012593 transcript:KVI09037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCCIPAVPFPPASLRYRLHPVLENWSIGRPTKRRCFFAASAGLRHLPKGNHLETQKRMFILGMGFVGEFVAQDLMNQGWAVSGTCTSVAKKEKLDKLGFNVHLFDANEPELEVLNILNQHTHLLVSIPPCLHIGDPMLQHAEVLRRKLNNDCLQWLCYLSTTGIYGDCGGAWVDETYPPNPPNELAKLRLAAEGGWLSLGDDLGVAAHVFRLGGIYGPGRSAIDTIIKGESLSNSQRVRSFKKYTSRVHVADICNALNESIHKPSPGRIYNIVDDDPAPRTEVFSFARKLIGETWMKEVDTNESFSGERSLRGEKRVVNARMKKELGVRLFHPSYRSGLQSISDQVHHYPPSPSPSPSPSWEESLSDV >KVI09030 pep supercontig:CcrdV1:scaffold_204:180254:184051:-1 gene:Ccrd_012600 transcript:KVI09030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Green fluorescent protein-like protein MILNLLRFFVILVITSLSAPADANCNRVCKGSSREIPVRYPFGFSDGCEIRLNCSDTGIFIIGGKYQVLDLTSDYILIKLPAKCDRAFKDIRQLRTNNYALTWRNGLLLGNCSSTLNDCVVSTSIIEGHFNLPSCESTNGSLNCYSDDTRDPVEFMNLIRLEMAGCRVLYSSITFDFDRNTTQNSSVSMGFQSMELGWWVEGDCYCHQKAVCKNVSIDDARVGYRCHCIEGYDGDGFGDGDGCRRGVVAGASLVSIIALICYCIRKRTIFRNQMSAKRLVSEATGSFNVPFYSHKEIERATNGFSEKLRLGVGAYGTVYAGKLNNEGVAIKKIRHIDSYGMDQIGKGRVDEIIDPFLEANGETWTVSSIHKVAELAFRCLAFHGDMRPSMMEVAEELEQIRVSGWAGTDDSINIASLSVASSSPYNGSENAKGGGLGSQRLVIPSNCLSKTNEKDRSPDSVQEAWLSEQNSPSANSLLNNIF >KVI09016 pep supercontig:CcrdV1:scaffold_204:67118:74693:-1 gene:Ccrd_012587 transcript:KVI09016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CROWDED NUCLEI 1 [Source:Projected from Arabidopsis thaliana (AT1G67230) UniProtKB/Swiss-Prot;Acc:F4HRT5] MMFTPQKKVWSGWLSPGAEKNGSVSGNSDPNSSPRDKGFATGDSTPKGLVSNAGIMDQELVIEKISKLEKELYEYQYNMGLLLIEKKEWVSKNEQFERALVETQELLQREKTAHLIAISEVEKREEKLRKALGIEKQCVHELEKALREMRSEYAEIKFTADSKLEEAHALSTSVEGRSLEVEAKLRAADAKLAEVSRKTSEIQRKSVEIEAKEISLMRERMSFNAERDAHELNLSHQREDLREWEKKLQEGEEKLAEVRRLLNQREERANDSDRIFKQKQLELEEAQKKIDMAHSALKTAALRKNLEVREKELLELEEKLNAREQVEIQKLLDEHKVILDAKAHDFELEMEQKKKSLEDDFKSKVVEVEKKEVEVNHLEGKIAKREQALEKKLEKMKEKEKEFDLKSKALKEKEKSLKAEEKNLENERKQLSSEMENLLSLKAELEKIGTEIEEQRSKLNEDRERLQVTEEERSEHVHLQSELKQEIEKNRQEREAVLMERENLKQEKEKFEKEWEELDEKRTEIKKELESVAAQKEKVEKLNHLEEERLNNQRLETRDYVERELEALKLAKESFAANMEHEKSVLAEKYNSQKSQMLHDFEVQKQELETELQNKEVDIENRMREREKSFEEERDRELANVKYLRDVASREMEEMKLERVRLEKEKQDTFVNQKHLEEQQLEMKKDIDELVGLSMKLKDQREQFFKERERFIAFVEKQKGCKDCGERVSEFVLSDLQSLAEIKNADNFPLPKLADGYLKEAVQGTSERPNVGTSLGVANSGSPASGRTLTWLRKCTSKISIFSAGKKLEYEAGQNSTGATQAQEKLVDVGEIPECILSSEDEPEVSARVASDSFDVQRIQSESNTRQVDAGIDQSADDLRNINSQAHDIEEVSQQPDRNEGQSKPTNRHKTRATRAASAYKEIVDHAATSENNGSESANGVAENSAFTNEESQGVSDLGIKGMSKGGRKRSRKTTNEQDPNYSEHSDSITEGHRKQKVAKGVEAPQVRRYNLRRPKNRVPVAGDGALSNQSKEEGTDGVSSKGKKIDGARKKKRVPDPKVQPGTSTSFANGDHAGDSRAHDGLLKKKVVDGENEVANKCSNDMVMSEEVNGTPQQDRERYNDDHEIETTPAAAAQEEEDDDDSEDEEEVEHPGEVSIGRKLWTFIST >KVI09031 pep supercontig:CcrdV1:scaffold_204:215194:217563:-1 gene:Ccrd_012603 transcript:KVI09031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MHISLHCACLICDKKSRRKDGSEEIKRNPSDVRNSQENKLRTALQQASDHGSLVKPKNLNDSEAADMEDRSLGRSRSLARLQAQKEFLKATSLAADTTFETQDSITNLDEAFLKFLTMYPKYKSSEKIDQLRVDEYSHLADNDSKVCLDYCGFGLFSFHQVIHYLESCAINLSPITSNLNNHALYGGREKGTVEYDIRTRIMDYLNIPESEYGLVFTVSRGSAFRLLAESYPFRTNKKLLTMFDHESQSVNWMAQSAKDKGAKVHSAWFKWPTLKPCSTHLKKQILNKKRRKKDSSTGLFVFPVQSRVTGAKYSYQWMAFAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVIRSLQNQSGHAGSGIVKISPVIPSYSSDSMAGFPQSGRTQDDEVDGKDEVIPENHTGIQLPAFSGAFTPSEVRDVYETEMEHGNSTDRDGGSPVFEENEIFSDVLKSPVFTEEESPEDSMWIDLGQSPFGSQPVLAGSHLPPPPWFTRKNRNNRKFDPQPHESKILSFNNAKNVNKIEVKAQDSPEIQEESEIESSAIRRETEGEFRLQGRRVSFDLNDNHDYITDEEYQESGDREEPEVICRHLDHVNMLGLSKTTFRLRFLINWLVTSLLQLRLPGSNTEEDAVPLVHIYGPKIKYERGASVAFNIRDRMMGVISPEMVQKMAERNGMSVGIGILSHVKIIQSKKQNMDVGDTTVCRPMEKEDGGGLVRAEVVTVSLGFLTNFEDVYKMWVFVAKFLDPSLIREYELRLS >KVI09010 pep supercontig:CcrdV1:scaffold_204:370235:373088:-1 gene:Ccrd_012613 transcript:KVI09010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MRNVSSSNSSRGIAAIVGVGPNLGRSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSETQSVREAFEGVLSLGFVEVLVYNAYQPIPLQYGGPFNFSDIRLDSFQKSLAVASIGAFHCAQQVLPGMVERGKGTILFTGCAASLSGVAGFSELLLLSVFFFFELGCGKFALRGLSQCLAKEFHQLGVHIAHVIIDGVIGASRASQRLLAREQHCSVGGDGAMDPDSVAQTYWYLHIQPRAAWTQEIDVGSSSFRLV >KVI09033 pep supercontig:CcrdV1:scaffold_204:177542:179430:-1 gene:Ccrd_012599 transcript:KVI09033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbO, manganese-stabilising MAASLQAAATFMQPTKVGVSTRLQLKSSQNVCKAFGVESAGARLTCSLQADLKDFAHKCTDAAKIAGFALATSALVVSGASAEGSPKRLTFDEIQSKTYLEVKGTGTANQCPTIEGGVDGFAVKAGKYNAKKFCLEPTSFTVKAEGVSKNSAPEFQKTKLMTRLTYTLDEIEGPLEVSSDGTITFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFGGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELLKENIKNVASSTGKITLSVTSSKPDTGEVIGVFESIQPSDTDLGAKTPKDVKIQGVWYAQLE >KVI09035 pep supercontig:CcrdV1:scaffold_204:124513:126913:1 gene:Ccrd_012591 transcript:KVI09035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKQNLIHRMFNICNNKFSNQTLRNCRISPSSAAAAHVLMPPNPDKVAPDPGDETVFRRFLQRRPMYLASSVMPEILRSRGESMLERLKEMDITRGRIRLDVLRPPVERSSEGELSVAQAKKILRVSQIETLKSKLRNCHKNHVSYEEFVEICVEGCSNIDQGLDLAKALDDSGSVIVLGDVVFLKPEQVVKAIHGLLPTNLAAMHNLPVKELEEMERWKSAIDRKAKKMVQRELWGGLAYLVVQTAAFMRLTFWELSWDVMEPICFYVTSIYFMGGYAFFLRTAKEPSFEGFFESRFRTKQKKLMKCEGFDVEKYKEWRKACYPNEEPWPSENDDGTKKSFT >KVI09022 pep supercontig:CcrdV1:scaffold_204:25212:27236:1 gene:Ccrd_012581 transcript:KVI09022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 81 MNRHHFHPFTFPESQSTVIPDPSSFFSPDLLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSLSSLSLSYPSLFSNTSFTYQIFIPDLTISVLNNPNQTHIISSYSDLSVTLDLQPNLRFFLVRGSPFLTCQILHSVALSISTIHAILDFVPNHSKTKYKINLNNGQTWVLYSSSPIILTHEISTISSEEFRGIIRIAILPNTDSEFESVLDRFSSCYPVSGHAVFSKPFCVEYKWEKKGWGDLLMLANPLHLRLIDDCSINVLDGFKYKSIDGELVGVVGDSWVLKTDPVSITWHSIKGVKEESYPQIIDALVQDVEGLDSTNISTTSSYFFGKLVARAARFALIAEEIGYFDVIPKIRKYLKDTIEPWLDGTFGSNGFLYEKSWGGIITKQGATDMGADFGFGVYNDHHFHIGYFLYGIAVLAKIDPIWGRKYRPQAYSLMADFMTLGRGANSKYPRLRCFDLWKLHSWASGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVAVGSLLTAMEIHASQQWWHIREDDTIYAQDFTRENRVVGVLWANKRDSGLWFAPAEWKECRLGIQVLPLLPITEVLFSDVGFVKQLVKWTLPALEREGVGEGWKGFVYALEGVYEKEIALEKISRLSGHDDGNSLTNLLWWIFSRDDSEDGSGKHCWFGHYCH >KVI09008 pep supercontig:CcrdV1:scaffold_204:1398:5699:1 gene:Ccrd_012579 transcript:KVI09008 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MNSVVATVSGYHGSERFKLIKLISQSGASYVGAMNHSTTHLVCRKFEGKKYELARKFKTIVVNHRWIEDCIKQGRRVCERPYMKHCGSEVGPLLLEIPVVADEVKSMLGEVSTTCNFSKKPVIDVECGDSGDEDWTDSWLLKENLLPDVRRNKDHRLKKKGTKRHSRQDVSLTNGYYLDDSASFGFRRIETKDLNCPSSSDPVNNKRRSNSISESSRGSRKLMHKNICNEVNSSSDSEEDFQRTEFHHNFVDISEPSKYSGRHESRRPYKTRLHDHAKRKTLILEDLEKNEDLGTSNCHDPTCTNGISTSLGRNSLDNSAEVDDDSNEKEYNPRLSTALHLSCVICWTDFSSTRGVLPCGHRFCFSCIQNWADHMASMKKVSTCPLCKASFYSIQKMEDAVSSDQKIYSQTFPNDTSATDVYILPQCETSTLQTLPVATPVCYQCCCREPEELLIKCHSCELRCVHSYCLDPPQIPWVCVQCKDLRMRYVR >KVI09017 pep supercontig:CcrdV1:scaffold_204:46461:49097:1 gene:Ccrd_012584 transcript:KVI09017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily QEPRPKPTTRLLNPLIGDDGKIYVCLEKNLLVFQSNGSISRRMPLNYTCNVGITPVLGASRKVYLVAENRVLKINIRNTQTPESSGEVFLGPETGVEGMDEIIGLSVSNNQGELYSLSIRSPHFKWIQDYSSLGNFTITAGNNGQLYLTVPDIAIILALDVSNGSVLWQQSIGPLSTQDSAPVVNVNGWISIGSLDGFLYSISPSGVLKKFPGTDIMDVASHVNPVLDCSGYAVYISQTKMEGLISRTIGEYTYVSAMKPVNAIFKLLVPATGSVYWNESYPGSLSSLFSGSDLRHFVMDERMLLAFLSISSQKLASSCSMMDMKPVSIDKDNDKASTLFLVLETILVIILAALCSLQLQKKVFDKTIRELEEKASAAEGSAGNQVVEELGDLVRERESVERKLSTTYSLGKDTTTPRSKSLLPVSSSDKKTKSFSSRSGKKESVTIFHGFSNSNSTCSSYSSSVDEEMEKELLKGKAPIETENPSSDDDDDDDDGSDHEEAVKIVDDGGGSMRRRSLSLTRNKSSSSSK >KVI09013 pep supercontig:CcrdV1:scaffold_204:88427:91707:1 gene:Ccrd_012588 transcript:KVI09013 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MAVPLVKFPLFLCVRVLGIFVAVLVLIWNIRYRGGLALISEDKSLIFNVHPVLMVIGLVLLNGEAMLAYKTVSGTKSYKKLVHLTLQFLAFFLGIVGLWAAWKFHNDKGIENFYSLHSWLGLACLFLFTIQWGAGFATFWYPGGSRNSRASLLPWHVFFGVYIYVLAIAACATGILEKATFLQTNNIISRYSAEAMLVNILGILIVLLGGFVILGIISPPSGKGDVLRGSIE >KVI09028 pep supercontig:CcrdV1:scaffold_204:237171:247449:-1 gene:Ccrd_012606 transcript:KVI09028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 1010 MNGEVCQLKELDVEYFEIREVLRCILHTIFFHRALGLVRPKDIDLELFEITYHTHHCRLALRKIAENSYMYVQCGDAELEKKVDEKIDQFIDKVEKHPNKKNQICLSFFEVKNKQQTWFTNKVEHLYWEHWYINLNVAQHPKAHSGKSHHSKLVVDPGESASEERNARRATLESSLHEVLFQIIKFVNEKKDHIPPIPSMEGVSFPYEIIISSSSDSAFGMDMFKRMLQTGHPTMLS >KVI09014 pep supercontig:CcrdV1:scaffold_204:101306:102433:1 gene:Ccrd_012589 transcript:KVI09014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF677 MGCFFSTNSTNKPTSSPSMSNSELNPDLTSYQEACRSDPELQSFDSTLQDRTTRVINTLAVGVEVRSLSLDSLRQVTGSLLDMNQEVVKVILECKKDIWDNDQLFSLVEDYFEISSQTLDFCTSLENCLKNARSSLSFLQIAIKRYDADANHINSLEQFKEFRALDSPFSNEFFELFQSVYKKQLTMLKKLQIQKGKVDNKLKSIKTWRRLSNVIFVTTFSTVLICSVVAAAVTAPPLVTALAAAMAVPLGSMGKWVNSLWKKYEKEFRDQREMMSAMQIGNYIVIKDLDNIKALVDKLGTEMENMLQNAEFAIREEEEEAVGMVVDEMKNTMKEFARTMEELSDHSDKCRRDIRRARTVVLQRIIKHPSSSESL >KVI09009 pep supercontig:CcrdV1:scaffold_204:358729:362090:-1 gene:Ccrd_012612 transcript:KVI09009 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand-like domain-containing protein MGIANTKHRLFSNNDDTPATPPPPPPPPPVDNQKALTTTAKKNQNQVVPKRSPLLAVYALTPTTRGFFRRPFAPLSPAQHIKAVLARWHGTSKANATAAAAAEGEEGEENVRLNKNFGFLKHIRRKYELGDEVGRGHFGHTCKSKFKYGELKGQQVAIKIIPKSKMTTSIAIEDVKREVKILRLLTGHSNLVTFYDAYEDHDNVYLVMELCEGGVLLDRIIARGGKYTEDDARKLMIQMLTVVAFCHLQDEDAELKAIDFGLSDFVNPEERLDDIVGSAYYVAPEVLQRSYGTEADVWSVGIIAYALLCGSRPFWGRTESGIFRAVLKNEPTYDDASWSNLSILAKHFVKTLLNKDPKKRLTAAQALCHPWIRNGNQVKKAPFDIWIPKFVKRYICSSNLRKSALRALSKTLNQHELVYLKEQFSLLEPTKGYINVESFKTAVTKYATGAMKESCINDFLESVCKLQYKKMDFEEFCAATVRVHQIEGRDGSEEQIRAAYDIFERDGNRSIMVEELASELGLGPSIPVRDVLDDLIRPTDGKLTYLGFVKVLRGHVSRRNNIPKP >KVI09020 pep supercontig:CcrdV1:scaffold_204:39506:44641:1 gene:Ccrd_012583 transcript:KVI09020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEQNPQKMKKIAAATYDFDNDPRWADYWSNVLMPPHMASRSDVITHYKRKFYQRYIDPDLVVEPMTTQSTAKSSAPSSSSSTSEHRPSHNSGSTTRATRASTLNSTPLRWDRQTIQFSVNAWVFVVAVLAMFPIIPKSLSNRAYRLSFIGTLCSSLYSLYSLYGKPRAWNLQAVQVWLQSVIATKDFIYCIYCLTFVSSNLQLRFALLPILCRSLEHSAKFLKRSTWKSFVYGWKQTQQRLAWQRNIIQTFMYWQLLKLMYQAPVTSGYHQNVWVNVGRTVNPIINQYAPFLNSPISAIQRWWFR >KVI09021 pep supercontig:CcrdV1:scaffold_204:10459:13524:1 gene:Ccrd_012580 transcript:KVI09021 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MKANPIQLPTTNGNLHKPPITTKHFILPIITLTLLITIHLYLGNFSGLRLIGPATPAAEEDIKCNVFQGSWVFDDKKETYYTNDTKCVIDDRQNCMKYGRPDTDFMKWRWKPNDCELPEFDAVEFLEVVRGKSLAFVGDSVGRNQMQSLVCMLASEAYPIDVSYTNDTRFRRWTFPTYNFTLVAIWSPLLVRTRDSNPGNFTRNSIMNLYLDEADDAWTSQIENLDIVIFSAGQWFFRPFMYYEKGEIVGCHFCNQENITDLTVYYAYQMAYRTIFTTLLNLHNFTGLAFLRTFSPQHFENGDYNNGGNCVRTRPFMKQEMRLDGYKLQMYSTQVNEFKAAQKEGEKKGLKLRLLDTSDAMIMRPDGHPNHYGHPASDNKSFPDCVHWCMPGPVDTWNEFLLEMLKMEGEGFIERAPQRNTTKLNLR >KVI09026 pep supercontig:CcrdV1:scaffold_204:223184:224852:1 gene:Ccrd_012604 transcript:KVI09026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDCHHPIAALILFIVFTVAAADGNHNDGGSILGASYIFGDSLVDAGNNNFLPTLSRANIKPNGIDFKPSGGKPTGRYTNGRTIGDIVGEELGQRYYAVPFLDPNSTGEAILHGVNYASGGGGIMNATGRIFVNRLSMDIQIDYFNITRKQIDKLLGASKAKEHIMKKSIFSVSIGSNDFLNNYLLPVLSIGARVSQSPDGFVDDLISHLRSQLTRLYQLDARKFIIGNVGPIGCIPYQKTINQLNEDECVALPNQLALRYNSKLKDLIAQMNDNLPGATFVHANVYDLVMELITNYAKYGFKTASKACCGNGGQYAGIIPCGPTSTLCTDRDKHVFWDPYHPSEAANVLIAKQLLDGDPKYISPMNLRQLRDLDH >KVI09024 pep supercontig:CcrdV1:scaffold_204:278020:280761:-1 gene:Ccrd_012608 transcript:KVI09024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MNHPIFLPFYLGFLAWLCLFSVKAEDPYKFFTMEVTYGQINPLGVPQRGILINGRFPGPTIDCVTNDNVIVNVINKLDEPFLITCYTFVFLFFFVSWDQDSNGIKQRKTSWQDGVLGTNCPIPPNSNWTYQMQMKDQIGSFSYFPSTLMHRAAGGYGGINIYARAVIFVPYLKPVEQFTLLVSDWWKSDHKVLQQTLDSGKPLPMADALLINGHPSLKSFTVLGGQLYMFRVSNVALTTSINFRIQGHTMTLVETEGSHTLQETYESLDLHVGQSASFLVRMSASPKDYFIVASTRFTKPILTATSILHYDGSNTKASLPLPTAPTYHIHWSMKQARTIRWNLTANAARPNPQGSYHYGTIPVTRTIVLANSEENINGKLRYAVNQVSYVNPETPLKIADFYNISGVFKLNSTKDTPHSIPATLGTSVLGFTLHDYVEIIFQNNENTTQSWHLDGSDFWPVGFGSGQWDTTKRRRFYNLNDATTRHTVQVYPKSWSAILVSLDNKGMWNLRSAIWPRQYLGQQLYIKVWNDEQSYRTEYGIPDNALRCGQAPLK >KVI09039 pep supercontig:CcrdV1:scaffold_204:146927:154154:-1 gene:Ccrd_012595 transcript:KVI09039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLVVIATSLFLAHNTEIRSDHLSMKLISMAFSFLLLLALFAFFISPFNVSATSADTNFSCSADSIRSCQTYLTYHARLPYMDLGTISDLFGVSRLSIAEASNLTSENEEILFDQLLLIPITCSCNGTSYFSNVTYAIKKGDSFYVVATEATSVFQNLTNFHDVEGMNPTLKPTNLTVGEQVFFPLLCKCPTQLENRKRYLITYIWQPQDEILPVSSMFNTSPYDVANENSFRNFTAAVCLPVLIPVSELPVFPLPRHVSASRSMKSKKLRILFITLATIGSFFLFILSWFLIYNYYRSRKTKRILARNESSFEFTDLLQMKKASKHVEFETIKNNHDKLLPGVSGYLSKPIMYDRKEIMEATMNLGERYRIGGSVYKARIHGQILAVKKFRDATEELKILQRVNHMNLVKLMGISSDSDGNCFLVYEYAENGSLDKWLFPRPLSSSSSSGSVVFLSWSQRLNLALDIANGLQYMHEHSQPSIAHRDLRTSNILLDSTFKAKIGNFSAARPAISSIMLKVDVFAFGVILLELLSGKKAMETRDDGEISMAWKEIRKIIEVEETREENLRSWMDPNLGSFYPIDGALNMAALARACTSEKSVNRPGMTEIVFNLSVLTQSLSDIYERSWTSSGLETEDPHIISPVVAR >KVI09025 pep supercontig:CcrdV1:scaffold_204:230324:231879:-1 gene:Ccrd_012605 transcript:KVI09025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MPFSATSRNRKHLVLVHGIGHGAWCWYKVVSHLRSAGHRVTAVDLSGCGVHPSQLEDIPTFSDYIQPLIRFLESLPPDQKVVLVGHSYGGLAISVAMEKLSHMVSVAVFIASYMPNCRDLPALQMKEYFKSLKPETYLDCRFTFNNGSPISSELGDRYMAVMYRKCQPEDLALARMLVRPGGFFLEDMNKESLLTQNRYGSVPRVYVVCEEDEVMNEEFQRFIVNDSPPDEVKSVPGAGHMIMLSKSQDLSLYLQEIVERYP >KVI11060 pep supercontig:CcrdV1:scaffold_2041:62376:80814:-1 gene:Ccrd_010534 transcript:KVI11060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MKGSLDRKKVVLRHLAHNISQSALMEQIDARFCGRYNWFCFRSGKNSLKCQSYSRLYIDFKHPEDVIEFAEFFDGHVFVNEKGTQFKTIVEYAPSQRVPKQWSKKDGREGTIEKDPEYLQFLELLAKPVENLPSAEIQLERKEAERAGTAKEAPIVTPLMDFVRQKRAAKGGPRRSLPNGKLTRRASGASSSSSSSTPLKRGSERRRTSTTMYVPRDTGKGRSGKEKSTYIQVQKRDDQSLSEKSVGSYAASGSVVLEEGRGSSGTPDIGKKKILLLKGKEKEIPHMTTGLSPQQSSLSVKLSHGSGALKQNQQREASGRIIRSILLNKDTQQNQSEQQNQTSSRVMDRRPPRPPNVPPPLKDSNGLPDDMVAGHDLHGFYSEKQDKRTRNRDRPDRGVWTPLRRSDGSHASDESLSSSTSQSTQMQPDSAEGTHGEVKSDLSNARGGDFKTIGSGRGGHFSVDNGSHKHGGRRGPSHNAKDADGSPSLSEGKPAKRGVSAGYETSLGSEVKFWFLISSLRRKNDDEAAWRESSFTLEIPSIYKPKRKRKKKWKEV >KVI11064 pep supercontig:CcrdV1:scaffold_2041:38008:46504:-1 gene:Ccrd_010532 transcript:KVI11064 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold MACISHSFGASMKLNQPPVTSQRQFSNKISQLFFLQPRNWTSPKFCDSRNFRRLNRYRSYTTIFNSVSSDNGVSVFSHCSSFIGILEERVSVLVIGGGGREHSLCYALKRSHSCDAVFCAPGNAGISNSGDATCIEDLDIFDSSAVIAFCHKWGVGLVVVGPEAPLVAGLTNDLLKAGIHAFGPSSEAAALEGSKNFMKSLCDKYGIPTAKYETFTDPSAAKKYIKEQGAPIVVKADGLAAGKGVIVAMTLQEAFEAVDSILVEGSFGKAGSCIIVEEFLEGEEASFFAFVDGENALPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTQELQSLVMSSIILPTVRGMSKEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVRELNSVSLDWAPGSAMVVVMASNGYPGSYAKGSKIQNLEESEVSAPCVKIFHAGTALDADGNYIATGGRVLGITAKGKDLEEARDRAYRAVEEIKWDGGFCRNDIGWRALPLKQESSKW >KVI11065 pep supercontig:CcrdV1:scaffold_2041:406:11699:1 gene:Ccrd_010529 transcript:KVI11065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDSAKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGLVGYVKTPRGLRSLNTVWAQHLSEDIKRRFYKNWCKSKKKAFAKYSLKYETEPGKKDIQSQLEKMKKYCNVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGNVAQKVDFGYNFFEKQIPIDAVFGKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGQESHSAITEYDRTEKDITPMGGFPHYGVVKDDFLMIKGCCVGPKKRVVTLRQSLLNQTSRLALEEIKLKFIDTSSKFGHGRFQTADEKSKKMRFDDVMVSFFSAMFSSMRNLAGEIYNKLGRMSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDSTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGLVGYVKTPRGLRSLNTVWAQHLSEDIKRRFYKNWCKSKKKAFAKYSLKYETEPGKKDIQSQLEKMKKYCNVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGNVAQKVDFGYNFFEKQIPIDAVFGKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGQESHSAVTEYDRTEKDITPMGGFPHYGVVKDDFLMIKGCCVGPKKRVVTLRQSLLNQTSRLALEEIKLKFIDTSSKFGHGRFQTADEKSKFYGRTKA >KVI11062 pep supercontig:CcrdV1:scaffold_2041:13726:14430:1 gene:Ccrd_010530 transcript:KVI11062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFDSGRSKYVTSHETPPQGDTDGLWMNYGTSISLGFVATGVLISMFVIMAIFEHLFKPNASFRLTQHTGHRPNDMQKLVDPQPPVQSGYRSDLSVLMPGKQYPTYIAHPTPLPCLREGVYWPSHRQHNLVHP >KVI11061 pep supercontig:CcrdV1:scaffold_2041:32992:34641:1 gene:Ccrd_010531 transcript:KVI11061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MPIRGADVHSLPRNPVKFLCSHGGKILPRPADGHLKYVGGETRVICVPRDISITELMKKLSSMFDGEMILKYQLMPEDLDILVTVRSDEDLRHMIEECDRHELLGAPRLRAFLFSANPIVMENQMGPMDHQSLEQRYINSVNGIAVHPSPMYNTFRPFPINTSYTTFSISSACSSPRTPPETASTATNSTTATSSINHDFTTSHGKLGSLSRTHSSPSLCNLHCNSPSNHQITSPNWNQSQQQNPANHHHHHQQQPQPHHYHPQPNHSPKPPLDRHPHKSSGPEHVGKTRSTGVGDYYRTNRGGGGHMSYHRGSAYEDYYGNYRYDRNESPPGSPLVRSPNGVSPSPSVRSGRS >KVI11063 pep supercontig:CcrdV1:scaffold_2041:51081:57757:-1 gene:Ccrd_010533 transcript:KVI11063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene isomerase MAATLGTFFRPAPSFNKPKLEYATKQRNSTILARTFSNVTEEAASASASASSSSRVTQAKSFSGKPEADVVVIGSGLGGLCCAGLLARYGQDVIVLESHDQPGGAAHAFEIKDYKFDSGPSLFSGFQSRGLQANPLAQVLDALGESIPCAKYDSWMVYVPEGEFLSRIGPTEFFKDLETYAGPNAVLEWQKLLDAILPLSAAAMALPPLSIRGDLGVLSTAGARYALSLLKSFAQMGPQGVLGATKLLRPFSEVVDSLGLKDPFIRNWVDLLSFLLAGVKSDGVLSAEMIYMFAEWYKPGCNLEYPLGGSGEIVNALVRGLEKFGGRISLRSHVENIVVENGRATGVKLRSGQGIREDLGIHHIVVNDWNRGVDADQNVVLISVPSVLSPDVAPPGKHVLHAYTPGTEPFELWEGLDRKSTEYKQLKAERSEVLWKAVERSLGPGFSRDKCEVKLVGTPLTHQRFLRRNRGTYGPAIQAGKESFPGHPTPISQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHAELLDAVGI >KVH87918 pep supercontig:CcrdV1:scaffold_2043:71114:74453:1 gene:Ccrd_024768 transcript:KVH87918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MMRLATNGRSRWWVVGLLALLLTVMAEPVTSQKIDRNNTMIRWYCSFYQVMNGPFFLRNLNTTFSSLRKQLSETNKYHAVAKTLINGESVYGLALCREYLSTAACLACFDTGVAYMKVCGLGNGAHIFYDDCELRYENNDFYDEAIIKTSVGICGNTTSSHPKELQKTARGLFSDLQIATPKTSNFFVASARQLTGSNVTVYAIAQCSRNLSQSVCVDCLKIRHRSLDGCLPNTFGRAIDSGCFMRYSETPFFGVNQTTDIAPFLKNGSSSNLRSIIGGVVGAIGFLLFILAFLFWHRRSRKPYNHGQGKSTGATEMLQRPTAYTYKDLKIATNNFHEENIIGRGSGEVYKGVLKDGNTVAIKKIAIASKRGKAYMDGEIKIISNVHHRYLIRILGYCSKGSHLYLVLEYMENGSLDKYLYGDKRSSLNWKQRFDIIFGTARGLAYLHEQYHVTIIHRDIKSSNILLDTEFQPKVADFGLVKLLPEDKTHLSTKVMGTLYNGYIAPEYAINGHLSEKVDTYSFGVVILEIISGKWCNNKIENEPISLNFVENARSLYENDMHLHLIDATLDPSEYATEDAKKVIEIALMCTQPTTSARPSMSEVVTLLSERLLDERLLMRSTINDHALRIQVDTSKTTSTYETSSYMNPQETS >KVI01518 pep supercontig:CcrdV1:scaffold_2044:57742:61383:-1 gene:Ccrd_020210 transcript:KVI01518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MNILSKLAIDSGMNPFVHVAYRQMFASVVALPFAYFAERGKRLPMTRPIFIQIFFSSIVGMTVNQITYFVGLKNSTPTIACALSNLLPALTFILAVLFKQESARLKTMVGQAKVIGTFVSVGGAMLLSLYHGPIVPIGESGIHLSIATKMKNSDDSSQGNLIGSCLIIFSAFTWAVWFVHQVSYTTTTISSSYKGQFLKQNCVTNNLNFVFNLYTDYLFCHLTYWTISGKNVRQVSSSIFEFSLDVIDGHNPKLPNRFSHGAFFTWMVIVSFNQGIFKHLRGILPTISTKCKKEGIVCSAIALFMISWCIERKGPLFVSVFNPLLLIIVATLSWGLLQEKLYLGSVLGSMLIVGGLYVVLWGKRMETRSKVGVMDTQLKEVEQKGREDLEMP >KVI04742 pep supercontig:CcrdV1:scaffold_2046:42673:44686:1 gene:Ccrd_016937 transcript:KVI04742 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDLIAFLKENDMLLFFLFTSFFALLFIKLTFSKTIKNLPPSPPTLPIIGNLHQIGDKPHISITNFAKEYGPLMSLRLGSQLLVVASSPETAMEILKFQDRSFSSRFVPSTFRQSSILPYSLIWSDCDQTWRTLRTVCRTELFSPKAMETHSRVREEKISDMLEFLRNKQGEVVDIGELVFTTLFNTLSCIIFGQDFIDLKDEHETHGGLKDSLENILEYGGKPDFGDFFPMFQRLDLQGIKKGGLKQIKIVFSFWEHMIKERRSQIGSSAWSSDQANTLLDRLIEQGFSDVQINQLATELYVAGTDTTTSTVGWAIAELLKHKEALSKVESEVMKKEMNFDKITESQLSELPYLHACIKETLRIHPTGPFLLPHRAQETCEVMGYTVPKDAQVFVNIWAISRDPKIWDDPLSFKPERFLGSKVDFRGQNFEFTPFGAGRRMCPGLPLGVKSVELILASLIRGFDWVLPNGDDPSQLDMNDKFGVTLQKEKPMKIIFKPKQQSLVA >KVI04741 pep supercontig:CcrdV1:scaffold_2046:74142:75997:-1 gene:Ccrd_016938 transcript:KVI04741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMAFPRENDMLPQLFLLLTVFFLLLVKFTFSKNLKNLPPTPPTWSIIGNLHQIGEKPHISITNLANEYGPLISLHLGSQLLVVASSPEAAMEILKTHDRIFSARLVPSAYRQSSILPYTLVWSDCNQTWRTLRAICRTEIFSVKAIEIHSRVREEKVSYMLDFLRSKEGEVLNIAEVVFTTSFNILSCIIFSKDLLDLKDEHHTRGGLKDSIEKVMEYGGKPDFSNFFPMFRILDLQGIRKGSHKNIKRVFSFWKEIIKETRSQMGSSAWTSKQTKSLLDRLLEEGVSDNQINQLALELFIGGTDTTTSTVGWAIAELLRNKEALSKLEREVKKEINSDKITESQLSELPYLQACIKETLRLHPTTPFLLPHQAQETCEVMGYTIPKGAEIFVNIWAISRDPKIWDDPLSFRPERFLGSKVDFRGHNFEFTPFGAGRRMCPGLSLGLRSVELLLASLVHGLEWVLPDDDDPSKLDMDDKFGVTLQKEKPVKLICKLKKESLVA >KVI04270 pep supercontig:CcrdV1:scaffold_2047:1510:2406:1 gene:Ccrd_017419 transcript:KVI04270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSEDSDIREESDDHENTTLTAEVVAGSASWLGRGLSCVCAQRREDDSXXSFDLTTXQVLSXPN >KVH91399 pep supercontig:CcrdV1:scaffold_2048:31753:42179:1 gene:Ccrd_006579 transcript:KVH91399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MMNASNKSSRWNSLTIGEKLCAAFIPFVAIAEILLFAVSGCFNYQTRRRSITKKLCFDHDQLSQLALGSNFSVNEVEALFELYKNLSSSIIDDGLIHKEELRLALFNTPQGENLFLDRVFDLFDEKRNGVIEFEEFVHALSVFHPYAPVKQMLITILTESEMNLSDELLEIIIDKVSASQTCSVSLVFYTRFGNRSSSLLQTFVDADADGDGKICKEEWKEFVLRNPSLLKNMTLPYLGHHHSISKFCFQHIGRRSNLKALISSMGVQYAWRSHDVDLNETGC >KVH91398 pep supercontig:CcrdV1:scaffold_2048:64786:65346:1 gene:Ccrd_006580 transcript:KVH91398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MERNSIPQGLTQEEYSHLQLLINAYHMFDKIPNTCTSLITQRIDAPTNIVWPLVRRFDNPQRYKHFIKSCNMFGDGGVGSIREVTVISGLPASTSTERLELLDDEKHILSFRVVGGEHRLNNYLSVTSVNEFKKEEKVYTIVLESYIVDIPAGNTVEDTKMFTDTVVKLNLQKLGLVAMSSLHGNE >KVH92204 pep supercontig:CcrdV1:scaffold_205:189433:203022:1 gene:Ccrd_005772 transcript:KVH92204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMATACSAVGYALTLHGNGDRLMVNLGDDWWDSSCFPSIVEDDLPQKGKTKDNANKKRSAGELIRRTRNTKEEKARLMDEKKQQKEQEKLQKVALKQQAAEMKKLQKEIQSWEKGKLAQQSIMAQIDTKVVEQGSVGGQLLTNLAEKGITFQITSNPVERSIIWTMSVPENVAQALSRGMEISYVLLIFEAEEFCRLVTEESFLDHVSRVQSVYPSHTVCYLTYRLMAYINKREQEHYKNPGNHSGWRRPPVEKLLAKLTTHFVGVHSRLCMDEAELAEHVVGLTCSLASCQFRKKLTRLSINANGSLIPKDADRALIKKSTWNAHEHEKEFLLANLITEGLVGDNRRLGEVCSKRVYRILMAESGSIKTDDVENGADFFTRQSS >KVH92193 pep supercontig:CcrdV1:scaffold_205:13494:16393:-1 gene:Ccrd_005780 transcript:KVH92193 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKASRWIRNLLMGKKEEKMNNKAIISALTPLSQSQSQSQSQSPSERRRRWSFRRSNNTEKVSIISHKSCRSFDSQLFHYSLIHHQPPPNVYIGSHMVAVASATKIQAAFRSYLARKALCALRGLVKLQALVRGHLVRKRTTTMVRCMKALVSIQVRARYQRIQLVEDAESRSLTKRGSMMAHKRRLSASQYDHNETIGHFGSKTSTCIRYRQSSRRFSIDSLPSTHDKSMRLHDKLIDEVSTKSQMNTQNTEPNYMTRTKSSRAKTRSHSEPRQRPTKQNRGNRQNEDLGAIRKEVQTHNHQKDHEGWLAKLRTSSNSSSDFEFDSASTCTNSSSYRTALYAYGVHKSSNLI >KVH92196 pep supercontig:CcrdV1:scaffold_205:53446:70653:-1 gene:Ccrd_005778 transcript:KVH92196 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MQILVPRRSRVLFYNISFFQSTNPSISSSFVAYFRSTPTFFEKWENKWNNSSDFKGPQPSKTYIKYKTRQKRADAKKALNDLFFRSGSSKFTLEGEFLKKRQGMERWDGDSTDRTGDRKKRDLFKSSARHTGKAHQKRNKSKPKKGNLFEEFDDDPGMHFQATYGNRWYSWSFKSWEESFSQSQTSGFEWREDSNGTNSRSKWRTASDDESDDDKSYAIGSSSDRITLGLPTNGPLKIDEVKNAFRLSALKWHPDKHQGPSQATAEEKFKVCVSAYKSLCNALSTA >KVH92202 pep supercontig:CcrdV1:scaffold_205:214760:220339:-1 gene:Ccrd_005770 transcript:KVH92202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGCVQGKPSPTNNRRQSRGGSLHKLKIDNGYVIGGNEGKPIAARKPFVETSVKENSGKKNNHVGDGVNNGNIGKEGGGENDRKISREGIGNVSQRIGVGKKIGSDELIDGWPKWLVDNIPKDVLANLVSKTADSYDKLAKIGHGTYSNVYKARDRDTGKIVALKKVRFDTSEPESVKFMAREIIILQKLDHPNIIKLEGLATSRMQYSLYLVFDYMQSDLTRVISRPEGRLTEPQIADFGLANYYQPKQKRPLTSRVVTLWYRAPELLLGTTDYGVGIDLWSTGCLLAEMFVGRPIMPGRTEVEQLHRIFKLCGSPPEDYWKKIKPPTTFRPPQNYVPSFREAFTNFPSSSFGLLTTLLALDPASRGTSASALQNDFFSSSPLACELSGLPLTNKEEEEPIQFYDRNTNRTMKTKQKTRKSRKGHNRNISVSELSTENSGSSREQEKHVDKNSVSQETGRSTSSNTSSKRTNTTIEHSPPFTLAFHSNPPDNNRSARTEAHPNALKNIKNFPLLLASITQTVNHMEENRNGLNRRSMSNVDFRHLDIEKISKLFGLDEH >KVH92200 pep supercontig:CcrdV1:scaffold_205:99411:104758:1 gene:Ccrd_005776 transcript:KVH92200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MENRKDEKNAPWLSVPQFGDWDQKGPLPDYSLDFSKIREMRKQNKRDISRASIGNEEELISSNTAKLNTANNSAPHHSYEEDHHSPTVRRSILWYFNCCAKA >KVH92198 pep supercontig:CcrdV1:scaffold_205:139478:147617:1 gene:Ccrd_005774 transcript:KVH92198 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MLNQAFLEDQEFLPFPTPTNMISHLPLDSNQTLKTLSPLSHHSKPQLDLGASHLLPNLQTSNWAWGEVSEIISNKILGRSRDDHLGVSGMKMKKFKSRRKVREPRFCFKTMSDIDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDSCRVKKRVERLAEDPRMVITTYEGRHAHSPSLNEEDSEANSSKLCNFFWL >KVH92192 pep supercontig:CcrdV1:scaffold_205:228287:240243:-1 gene:Ccrd_005769 transcript:KVH92192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIELRYWDNQSRVDMKLNMQRKGNIAEAPGRHVVRTSQVKKSTNRQRGPGQKASETRKEATPLELKHGKVDPLTKIKLQLFPVNEVTRIGLEKDGLNPFLELTLRARKKISSVIKHIHTKWGGSSIAIGETMLLPYDAPLRHRAMSRRWTIKDTVISAGDVYRAVGSPAIFRLSYGWFSDHEPQSEPPRVPAAAALHNCIESESMQEKMETTEERKPLDATSEVAVTEHVDTGVKMSHIHEWSISPWVDDITNLRMGGLLSEASGPGKISNHELKSNLPPIFLSTDISVGGLLSEASMQEKLRNNEQGTIRWDDSLTALSIGGLLSEASLQAKINKSDSKSSERDVVGPSSTFISDSFDAFISSQVKSNPQMVKPSSLDSRSSILDAEETCHAFSFRKFSSSSKNIRVSARASQDLNYAVEMDQNQLWSVS >KVH92188 pep supercontig:CcrdV1:scaffold_205:304142:304830:1 gene:Ccrd_005766 transcript:KVH92188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSTTSTILFHDALGHFYSRKLLLQTLSEHPAAATTMMAASSPSSSYTVHSNFDSNVVMVLSVLLCALICSLGLNSIIRCVLRCTNYVGSESSTGQESTSVRLANTGIRKKALKTFPTVSYWEGLQLPGLDKECVICLGDFSKGERVKILPKCNHGFHVRCIDKWLSSHSSCPTCRHSLLDTCQKILTGGNYPSSQPEAQGPSSTTTISIMPLQHEGLLRNYES >KVH92187 pep supercontig:CcrdV1:scaffold_205:355042:357629:1 gene:Ccrd_005763 transcript:KVH92187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSFTTAFQGHLGHSHSRKLLLHAPIATTMHIRVEETATSPTPSQYTKNKSFDANVVMVLSVLLCSIICMLVLNSIIRCVLRCSSMVNSGSDTGSDSTPARLASSGIKKKALKALPTVRYWEGLKLPGLDKECAICLKLARTSLSIVFHIRYPHCISLQLGFGLLHNTPNAI >KVH92186 pep supercontig:CcrdV1:scaffold_205:369136:371405:-1 gene:Ccrd_005762 transcript:KVH92186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MAALSTIDSNSEMNKLTSEIFSILENNFLFGYDNPLEQKKSATGKVRILSIDGGGATDGVLAAKAILHLESSLRRKSGSTNAHIADFFDVAAGSGIGGVLAALLFTRGKDGGPLFTADEAVKFVTENGRKLCRSSKQGVLRRILRSTGNVFDQTFGDLTLKDTLKAVLIPCYDLTTGAPFVFSRADAMEMDGCDFKLSDVCAATTAVRGPTKTFSVDRRTKIAAVGGEVAMNNPTAAAITHVLYNKQEFPFCNGVDDLLVVSLGNGEPLSVDQAVSMAFGQSRTTRYVRIQANKGLLGVEKSRNDVNMSVLAEEMLKQQNVESVLFQGKKCNSTNLEKLEHFAGEIVKEKERRKTDMLPVVLLSQTTTSSSARTSSATTLSTISSS >KVH92195 pep supercontig:CcrdV1:scaffold_205:28089:51730:-1 gene:Ccrd_005779 transcript:KVH92195 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MMPLCPSHKTLVKPFSLSSSSHVFFTKFVPFKLNNAKFSFHGNNRLKIRASSASNSVVSPSTSQQENSESLQLFEKLKEAERERINKLEELDRKANVQLERQLVMASNWSRTLLTMQQKLKGTEWDPESSHKIDYSEFLRLLNSNNVQYMEYSNYGQTVSVILPYYKDGESKVDSKKDIVFRRHVVDRMPVDSWNDVWQKLHQQIVNVDILNVNTVPAEVYSSVATIVVWSMRLALAIGLYIWIDNMMRPIYAKLIPCDLGSPPKKIRQPIKNETLGSLGESRAKFISAEETTGVSFDDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASSRSYAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKESTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHAKNKYFRSEEEKDTLLLELAEKTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDKSEVPEELKLRLAYREAAVSVLACYFPDPHRPFMGTDINSVRGQSNMRYVDISGRVFKRKSDYVNSIIRACARMYISLHSLTSYLVISLSRIVIEEEMFGLDNLCWISAKATSEASMLAEFLILQSGMTSLGKAFYRKKNDLVPNLAAKLEALTDEYLRFAMEKYILLEKGEIEADEIWNIYRNSPRISQPSVIPVDEYAALIHAGRWGIHGASLPGRVTFAPGNVGFATFGAPRPMETQIVSDDTWKLVDKIWDTRVQEIRDGVSREIEAEKEKPHVLVASHFL >KVH92194 pep supercontig:CcrdV1:scaffold_205:456:7332:-1 gene:Ccrd_005781 transcript:KVH92194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MARLRYLKQTSIRALLSPLSKKYTFFNYSTTAASAATNVVLLKPLYLSDSPVRKLQAEDVVVTFREWFKSSRTPSIDRVLEILSSDGGSRGIGEKEDFVSSREAVDVSLSRLNLHLTESFVLDVLSYGKDVLSCLKFFDWAGRQPGFHHTRATFNAIFKILSRAKLMSLMLDYLDNYAKHCGGHKTNFHAILVMGYAVAGKPEIALQLFGRMRYQGIDLDYFSYHVLLNALVEEDDFNGVESVARQIKSRGFESEVTYSILVKAFCRKKEFDRAETYLRGVMDSGVKIKSGGHMVGALVDGLCKNDQFDKAGKLVDEFGEFYVYDIWIRELLRARKLDGAMEFMQKTRNQELVVHYVPDVFRYNTLIMRLLRENRLEEVCDLVIEMRENNIPPDELTMNIVLCFFCKAGMVDVALKLYDSRGELGLSPSSMAFNYLXNTLCGDGSVVDAYRIFKNLIXZGYFPGKTTFSILANALCQLEKLDMMNELFLIALEKNVVLTDSIHENYIMALCRTGRVEDGYFIHXELNKLXKVTTRXXYXNMIXGFIKSKRGDIAARLLIEMQEKGHTPTRLLFRAVIQSVCEMENPEKQLQMLLEMQLSLHELDSGVFNIFIEGAGLAKKPDLAREVYEMMKRSGISPNVSSDILMLKSYLRSEKVSDALHIFYDNLKRRKIGRKVCNVMVTGLCKANKPDIALSIFSEIREKEKAIRPSLECYEELIYALCRYKRYDKVMNLIDDLIEVGRPLSSFIGNNLLLHSLKHRELYNTWVDSRSPDEISPIWKLMELVDLFSNHYRNDIDPNDLEKVVGNCFPLDIYTYNMLLRKLSMKQVDDASLLFQKICREGHEPNQWTYETLVHGFFKHGRKTDAKVWLEEMLRRGFVPSEATKVLL >KVH92201 pep supercontig:CcrdV1:scaffold_205:214124:214375:1 gene:Ccrd_005771 transcript:KVH92201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3511 MEKSKSFPNYSTPYVEARFAFEDRDKSFSFNGPGDRENPKVKRRKRVASYNMYTMEGKLKSSLRNSFKWIKNKFTDTYDSSRS >KVH92189 pep supercontig:CcrdV1:scaffold_205:278192:281586:1 gene:Ccrd_005767 transcript:KVH92189 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MARDNLCMLNNSDIVAADEDVNGWLALETLLGPMFGCRRKEEMSTMVSALSYVVAGGVATGADILGGGSKRGRHDEVVHVMNEDSIFAHEKEKEKEGSTYTYMNTESSSTIEDDGLERKYRGVRRRPWGKWAAEIRDPCKACRVWLGTFGTAKAAARAYDEAALNFRGTDTSIGNPPIISNRLKPYLPHFDSRL >KVH92190 pep supercontig:CcrdV1:scaffold_205:337901:343032:1 gene:Ccrd_005764 transcript:KVH92190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEEAISQIVTELEAHRDDPHSQRPVSESTLLALQTLLDYTIDKDDPIEIENLSEQLSSKNLSLSSSLLHPLTSAMDSKVPHHSLLASKVYLSLLLTPNFHVLSLFTPMAFLSLLRTVRCSFKNSQSGPASGHFSRKKRGGGSRGRSKNKGNSVEAGESDREAIKFDIRALFPVLERLHLVLGLIHLDRFPDSLKALVQTMAEIPVLALESCGNSSNYNRLCNLCSDILSELLKSEHGEKAITAAEILKSLSSIILLKSQARTFGLAFVVNRMAVMAKDLDEIRKAMVNLSKYLVGKAPEGAEPRALAVQSILEIVRALEYKDQIDFADYVVKMTCGKPQLRLLAVDLIPTLIMSFDDPLVACSETGYESSWGFRCLHALLQRCSDATAGIRARALTNMAQLVGYLSRNEENKTGLMEVIRFGEDGGINDVLRKRCVDEKAAVRKAALVLISKLTALQGEGGGFDDMLLNIMGMACSDQLVSIRKAAISALSEVESPCLSVFLGYFVSSFYYCYSTSCMPSKCFKDSWTFRKVHNDNVTKEWLRSVPRLIADNESSIQEECENLFLELVLDRISRAGSNVHDSSGTLGRDHSLLVSDGVLGLLKEICNGEVAPWVKKICINLGKKKKLRPIIAVSLQNIIRKSEYMWLGDSKPIEKWIAPAGAWFLLSEVSGFLLKTVDWEFLYLHWQLLDKHESSNSPFEKWQFLQEEEEAVGILSNSVGWAGDRVYLLQTISNVSVELPAEPAADLAHNLLKRIEDFSMHSMEVNAHVKALKTLCKRKAKSPEEADTLVIKWVHQLLSKASDALEMYMAKDSKINKGSSLLTPPSGTRKGDRSSTTMSRLLAQAISAVYTIGSLVIVCPSADLKAIIPTLHNIITSGVSDPKLKKLSGMSMSVKQTAPSLYIQAWLTMGKICLADGKLAKRYMPLFVQEMEKSNSAALRNNIVVMMADFCVRYTALVDCYISNITKCLRDPCELVRRQTFTLLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADYLFGNILKVKAPLLAYNSFVKAIFVLNDCDAHTGQTNSQKESHLFSIRGNDEKSRCQRMHIYVSLLKQMAPEHLLATFAKVCAEILASASDGMLNIEDVTSQSVLQDAFQILSCKEIRIPVNKGSSLESSEMEEVAENAAVRGRVITQVVKKGLIQNTIPIFIELKRLLESKNSPLSGSLMECLRILLKDYKNEIDEMLVADKQLQKELIYDIQKYESLKAKSTAAEAVGKGLHMGSKVTSAVAGALAEVTARSVLRDVNQGAGTPSLSAMNVPKLKSSTGTVVVTRQKGRSAAVLESLRRRQSFDLDDES >KVH92199 pep supercontig:CcrdV1:scaffold_205:79205:87319:1 gene:Ccrd_005777 transcript:KVH92199 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein QSQIIPYENFHPLFSIHLPFTPKGGDTEFFHPMLSTTMDLTTKLQFIDLRSTFLTGTALSDLRKPCFRPRIRPISTPIHNCSSKPTKIFHTHHSIRLSAVAVDSETSSITSVDGDDIESLFSKDSETVDYRRGNKQSGSGASSISSGVRLENISKSYKGVTVLKDVSWEVKKGEKVGLVGVNGAGKTTQLRIIAGMEDPDSGNIVKAKPNMRISFLSQEFEVSLTRTVREEFLNAFKEEMEISNRLEKVQKAIEGSVDDLELMGRLLDEFDLLQRRAQAVNLDIVDVKINKLMPELGFSPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFVGSYSDYIIGKAAWIEAQFTAWEKQQKEIEHTRGLISRLSAGANSGRASTAEKKLEKLQEEEQVDKPFIRKQMKIRFPERGRSGRSVVTVKNLEFSYEDEVLFKKANISIERGEKIAIIGPNGCGKSTLLKLIMGLEKPNSGEVILGEHNVLPNYFEQNQAEALDLDKSVLDTVAEVAEDWRLDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAISEYEGTVITVSHDRYFVKQIVNRVLEVKDGSLQDYMGDYDYYLEKNLEAREKALEREAELEEKSPKAKAKSKMSKAEREARKKQKMQAFQQAKQKSKGLKNAKRWN >KVH92191 pep supercontig:CcrdV1:scaffold_205:328313:332627:-1 gene:Ccrd_005765 transcript:KVH92191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate decarboxylase-like domain-containing protein MCTTMASFIRIGVNVYLTMVPVISYDYHIQTPDIDNNLKYQTKQNRTHPVLLNRAPTLHRLGIQAFLPVLVERRAICLHPLVCKGFNADFDGDQMVVHVPLSAEARSEAHLLILQSSRRLPRVHVAFHEFTSPSISGQIQLRRLSPSPSPSPSILSLPTTPSHSPVRCHFQSPATTGRQTAPIYSTLSILTIIVFTISGSVEPLLSSPSRQSVVGSVGYRDNNLTGVIPNELKDLPNLVEIDVSNNQLYGQIPSCKETVKVKTNGNTNIGKDGPSLTLVSPSNGSPDSPGVRHGAGGGRNMQGSRAMIGDNISLGVG >KVH92203 pep supercontig:CcrdV1:scaffold_205:152521:156084:-1 gene:Ccrd_005773 transcript:KVH92203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MMKPHRFRFVFVFILFLSLAYVHGDVDESHQLLSFKSKLKKPNLLANWLVGNHPCNFSGVSCKNSRVSSIDLSGTDLSSDFRLVSTNLMILANLEMLMANNCNITGSLSWVSRSQCSQALTSIDLAENGISGSFSDASALMTACPRLNSLNLSRNSIDFNGDLKPMGVSIQFIDLSYNRISGSKPNVVQWILSNGCQMLQHLSVKGNKVAGDLPEFDCPSLKYLDLSANNFSSGFPSFRDCSTLQYVDLSSNKFYGDLSASLSACKRLSFLNLTQNQFAGEIPFFPVNSNLQFLYLSTNHFQGGIPPHLLNLCSTLVELNVSGNNLSGIVPDGLQSCSSLQLLDISRNNFSGELPIETLLKVKSLKTLVLAFNNFMGYLPESFSKLTNLETLDVSSNKISGGIPSGLCQGTSTSLKVLYLQNNRFSSPIPATLSNCSELVSLDLSFNYLTGKIPPSFRFLSKLQDLIIWFNHLDGEIPEELMYLQSLQNLILDFNYLSGVIPHTLSNCTNLNWISLSNNKLSGEIPASLGTLSNLAILKLGNNSFTGQIPVELGDCKSLIWLDLNTNELSGTIPPGLFKQSGYIADAYLTGKPYVYIKNDGSKQCHGAGNLLEFGGIREDDLGRISERHPCNFTRVYKGITEPTFDHNGSMMFLDLSHNNLHGGIPMGLGAMYYLFILNLGHNDLTGPIPEELGSLKNVAILDLSYNRFNGSIPNSLTSLALLGEVDLSNNNLSGMIPESAPFDTFPQASFVNNSGLCGYPLPRCELGLGLGSGHRKLNRERASLAGSIAMGLLFSVFCIFAGVMVAVEIKKRRKKTVDAAMDGTIEGGGSSYSGGRGNSTWRLPSTGEALSISLAAFEKPLRKLTFADLLDATNGFHKDSLIGRGGFGDVYRAQLKDGTIVAIKKLIHVSGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGDERLLIYEYMKFGSLDNVLQNREKSDIKLNWAARRKIAIGSARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLVNAMDTHLSVSTLAGTPGYVPPEYYQSFQCSTKGDVYSYGVVLLELLTGKQPTDSPDFGDNNLVGWVKQHAKLRISDVFDPDLLREDPGLEIELLQHLKVACACLEDRPRRRPTMIQVMAMFKEIQAGSGLESAAVNDAGDGAGFSVEMTIKEDSELSKQ >KVH92197 pep supercontig:CcrdV1:scaffold_205:123974:128463:1 gene:Ccrd_005775 transcript:KVH92197 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MALPFGYFELILAAFCYLCIFVFRQRHQFLFDWPFVGMLPALVSHVDVIHERCAEILRLTGGTFLFKGPWFINMNMLATVDPANVHYIMSSNFVNFPKGDEFRGIFEVLGDGIFNSDYELWRSHRKITSCLINNQTFLRSVAKTNTEKQTNGLIPVLDYIAANGIVVDMQDVFQRLTFDTTCMFITGHDPGCLSVDFKDVPFSRAMDEAEEAIFARHVVPKIVWKVQKWLGIGKEKKLKEAWKTLDDVTTGLIARKQKDLSEGVSLDSNDMLTSLITDRQSFSDGIIKNNDKFLRDTILNLMIAGRDTTSSSLTWFLWLVVTHPDIGKKIRDEMNGIIPKSEVEKRRIFEANESNKLVYLHAAFCEALRLYPPVPFQHKAPVQPDVLPSGHRVDPNMKILFSLYAMGRMETIWGDDSLEFKPERWITDKQTIRHEPSYKFLSFNAGPRTCIGKHVAFTQMKAVGATILHNYEFEMVKGHVVAPNVSIILYMKHGLKVRVAPRWP >KVH99484 pep supercontig:CcrdV1:scaffold_2050:64275:65777:-1 gene:Ccrd_022282 transcript:KVH99484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQTDTKLRSKCINHNTQYALFSKGLLSSAKNSLEVIEMRNIDLQRLMIRHLNAVGHPAGRELDEIGQEMLRMDWQTQNNFDDCGVFAMRHMETYMGDVRTWKTGLAQ >KVI11453 pep supercontig:CcrdV1:scaffold_2051:65755:67488:1 gene:Ccrd_010135 transcript:KVI11453 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAFECKVSTEIYLTKNSEDTIEVKPSHIVSSGKSLLFDSETVITESGKPRNLVNQDYSRVITTPCGAKLAVDECLFNGRRTITDLPPALISEIFNCLDPKELGVVSCVSPSLYRIASDHHVWKEFYCERWGLPAVPISLTAECSDDKSWKELFVEREFRSKTFMGRYSIDILYGHAEAVRTVFLLPSRKLVFTSGYDSVIRMWDMEDGLSITSSRPLGCTIRAVAADSKLLVAGGSDGFIHGWRAEEGHPHLFDIKGSQNQNTEFRLWEHEGPITCLALDLSRIYSGSWDMTIRIWDRLLLKCLTVLMHNDWVWCLVPHDTTVVSTSGSDVYIWGTNSGSLIDIIKDTHVGNTYSLARSHTGSFLFTGGEDGSIRMFEITTHRCGFVRQVATWSPHSGIGADRIVCGGEEGTVRIWNFSQALEIEKRVSALRALRFENRMRRRKLQNEIDSKGSRADQCLIAAKNQMNGERNGVWYNKRGLTRKVKG >KVI11454 pep supercontig:CcrdV1:scaffold_2051:18536:21859:-1 gene:Ccrd_010134 transcript:KVI11454 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG domain-containing protein MWLHCKNPVHCLEAFGKYSKSRWILQTSGLSDTPFKKPKLAPLQERRMIDRHRLWAKGGDGGNGCFSFHRSRHDRRGRPDGGNGGRGGDVILECSSTVWDLSSLQHHINAKRGGHGASKNKIGSRGDDKGSSAALNPWEIGGTLEFNQHGTGLQPTSSKDATSNKNSESFTKDKKTSMSNSFPQLPQPSKKSNVDLEGFNFWEEKKMSSWEARVTGTGRPSLIVANKVDEVGAGEVYEELRRRVGGVKIFPVCAVLGEGVLELKDSLRMLVNGEDLNQLALDSIVVD >KVH87917 pep supercontig:CcrdV1:scaffold_2052:55576:90762:-1 gene:Ccrd_024769 transcript:KVH87917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MALTVKATAIHHPPPPPLPLIIAQRRAVDLLNLRRKIVRGSSLDAINLSKSYFTSSASGICRSTSTTAASGEIQDEEGLPITTLEVNKIKDICSKWDWRGYTINYLKYEGDNGYKSNPPVLLVHGFGASIGHWRRNIPTLGQTSTVYAIDLLGFGASDKPVGFEYTMEAWAQLILDFVEEIVQKPTVLIGNSVGSLACVIAAADARQSLVEGLVLLNCAGGMNNKAIVDDWRIKLLYPLLLLIDFLLKQKVIASFIFERARQRENLRNILLSVYGNKDSVDEDLVQIIREPALDEGALDAFVSIVTGPPGPNPVQLMPTIKLPVLILWGDEDPFTPLDGPVGKYFSSLPSQVPNVCLSVLQGVGHCPHDDRPNLVHQKLIPWLATLPPS >KVH96915 pep supercontig:CcrdV1:scaffold_2054:15818:16393:-1 gene:Ccrd_000992 transcript:KVH96915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFGTNWIRHSNIICNHFANHVILCRHVFNVLMKEDLNEIPSKYICRHWIRDLISFNIRQISWRQGDLNGEVARISYDAHKYLDYIFMSHENDKDKHKETAEKINNMMAELEANAPYQSKLEKNNETIRNFLHVNEPEKVDIYPSSGIYNKGCGTRNGRKQRKRIKRQRDYVEILRRLIIMILATTH >KVH96827 pep supercontig:CcrdV1:scaffold_2056:19976:26229:1 gene:Ccrd_001081 transcript:KVH96827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTKCKLGSHQIFKDRAKNRVEDLHGMFTDLQSARKESRSIDVVVLEEQVNQMLKEWKNELNEPSPASSLQQGASVGPFSPDISRLLQLCDEEDDATSGLAAPKPDPDCHKAGESAAFHEVTICYGQEQGFQLVGQCKGSASGVNNIGVHNMGVATATQLDYFSYDLPQDFEQNFFSGFDGMGLCRGEDALPQMTGFMPTVCPPPSAFLGPKCALWDCPRPATQGWCSERPVQGWFPDYCSSLHAAIAQNEGRFGMTPVIRPKGIELKDTLLFAALSAKFQGKDVGVPE >KVI08173 pep supercontig:CcrdV1:scaffold_2057:20978:30248:1 gene:Ccrd_013457 transcript:KVI08173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVMNMPVDRRSSGEKKDDDDDNDKKLANDENADASPPATAPAPASAPTPAPAQALAPARPSSQRRDRDSRERRNDDRDRDTERPSNRRSDYYDNRNRSSPVPPHRDYKRHAASPISPPPPLYRDRRGGGHSPPRRSPPFPQFKRSRRDEGSHDGRRGSPRGGFGPGDRRFGYDHPGSYEHIGGRAGYQEDRPRGWYGGRSSGGYQGGPSDWDFTRGGYNDAAVTH >KVI08174 pep supercontig:CcrdV1:scaffold_2057:42520:47508:1 gene:Ccrd_013458 transcript:KVI08174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arsenite-resistance protein 2 MSYKQFIQELEDDILPSEAESRYQEYKSEYISTQKRAYFDAHKGEDWLKDKYHPTNLLAVIERRNELSRKLTKEFFLDLQSGSLDLGPGVTASSSNKLGHASNPNSEDEVDGGGKRRWHGRIPTKESDPFPAAPKAHPVSSEPRRIQLDVEQAQALVKKLDLEKGIEDNILSRADNERTNRDKSHGGSSAPVVIIRGLASVKGLEGVELLDTLITYLWRIHGLDYYGLLERSEAKGLRHVRVDGKTSDINNNSAEWEKKLDSRWQERLKGQDPLEIMTAKEKIDAAASESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREDLYFQNYMNDEDAPGGKPIMQPSLPKDKPQRRRPGGDNRIKDERGNRREHDNRTNGGERFDRDENPQSMESEDGGNPDDPMFDNFGGQGLHVAPFPSDMPPPPVLMPVPGAGPLGPFVPAPPEVAMRMLRDQGGPSPIEGGGRNGPQLGGAAPIIALPPSFRQDPRRLRRQLSRS >KVH87916 pep supercontig:CcrdV1:scaffold_2058:37744:44549:-1 gene:Ccrd_024772 transcript:KVH87916 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGFFAGLFFGVAFGIGFIVCIARYQIVRSARRADLAAIIAAFARMTVADSRKLLPGEYYPPWVVFAKRQKLSYILFIIYFCYIMFCSKMTDNWYPKFLIGLGLNWLNLQLNKIWPYVDEVHVFLSFSYVNLILLGITRRTFLNCYHLFLAIAASDLIRSSVEPILEQYKPIILSSMKFSKLTLGTVAPQFTGVSVNEGDPGEITMELEMQWDGNPNVVLDILTRVGVALPIQVKNIAFTGLFRLIFKPLVAEFPCFGAVLFSLREKKQLDFTLKVIGGDLSALPGVSEAIEETIKDAVEDSITWPVRVVIPIIAGDYSDLELKPAGILEVKLIEAKELTNKDIIGKSDPYATLFVRPLRDRMKSSKTIVSRPNFLIKFVFYSYLDINNNQLNPIWNEHFEFTVEDPITQHFTIRVFDDEGVQASELIGCGQVAIKDLEPGKVKDIWIKLVKDLVIQRDNKNRGMVHLELLYCPFGTESGLQNPFDPDFRLTDLEKALKSGISETDVDPAKLAAQKKKEVIVRGVLSVTVMSAQDLPAVDLLGKSDPYVVINNTLNPVWNQTFDFVIEDGLRDLLMCEVWDHDTFGKDKMGKCIMTLTRVILEGEFTETFNLDGCKAGKLTLHLKWTPQTIVRD >KVH87914 pep supercontig:CcrdV1:scaffold_2058:2005:10741:-1 gene:Ccrd_024770 transcript:KVH87914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MAALQFFKCYKPLFRIQSRKIFITSDSSNKSFLKTNRKIVKFLCSSSISLGHSNSSKTSGYCEDDKKSEKNQLWLYNTMSKERELFKPKVDGKVGMYVCGVTAYDLSHIGHARVYVSFDILFRYLRFMGYEVNYVRNFTDVDDKIIARAGELGEDPISLSRRFCEEFHRDMGYLHCLPPTVEPRVSDHMPQIVDMIKQILDNGRAYRIEGDVYFSVDEFPQYGRLSGRKLEDNRAGERVAVDSRKKNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLIFPHHENEIAQSCAACDKSNISYWIHNGFVTIDSLKMSKSLGNFFTIRQVIELHHPLALRLFLIGTHYRSPINYSDVLLESASDRAYYIYQTLQDCEEVAGQQLDPSQKENIPTEIVDCIKKFNDVFLTSMSEDLHSPVVLSALSEPLKTANDLLHTRKGKKQGMRIQSLAALEKTVRNVLNILGLMPTSYHEVLQQLREKALKRAKLTEDQVLEKIQERNAARKNKEYERSDAIRKDLSTLGIALMDTPNSTTWRPAVPLAMQEQCVPES >KVH87915 pep supercontig:CcrdV1:scaffold_2058:14867:21945:-1 gene:Ccrd_024771 transcript:KVH87915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGWKYKAGLVLIATVVIIWVSSAEVTQGIFTDYKQPFAVTYLGASLMVIYLPIAFIKDLIYMLIRKRSARNGTKAQSPGARNSPLMYIGGSAMFEMEVEGSLNRKDSDHDISNQEEGNLLIPKSKSDNLPLKQENMLTTREVATYGFCIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGAALGQDSLNVTKIVSVFVSMAGVAMTTMGKTWAADEAASSSSSNGGRSLIGDLFGLCSAMSYGLFTVLLKKFSGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFKIPHSAKMDEVVIANGLIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMMIHGRHYSAIYILGSLQVDKIVISKYASYGNDEEFPNGGPGKGKPDEAAAIEELGV >KVH89401 pep supercontig:CcrdV1:scaffold_2059:58646:63234:1 gene:Ccrd_008610 transcript:KVH89401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin-like cysteine/serine peptidase domain-containing protein MEILGFGGSIFNPIPISSNHLPSSASPSVLPSHLLTKRRAIIFTTVVSFLQLSPKSPFQSAIAQQLDETQQDEDRVVRLFEETSPSVVFIKDLEIVNNPKSSDEVVMVDDEKAIVEGTGSGFIWDKFGHIVTNYHVVEKLATDQNGRQRCKVFLVDKTGNSLSRDAKIIGVDPSYDLAVLKVDVEGIEVKPVVSGLGREIPSPNGGAIRGAIQTDAAINSGKFQNLDQ >KVH89400 pep supercontig:CcrdV1:scaffold_2059:63913:68144:-1 gene:Ccrd_008611 transcript:KVH89400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MENAMQAPDQTSIGDNKSKISHVKKLLFRRMLVGVNDGRFFMGAFYCMDKQGNIILQDAVEYRSTRRSSPSPMEQRGLGLILIPSSCRTSCHVDCSIDEQLSLLSLQVQK >KVH89403 pep supercontig:CcrdV1:scaffold_2059:77391:80434:-1 gene:Ccrd_008612 transcript:KVH89403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEKSKLQISDGNGESHKWEVIYRISMGIAKGLDYLHTGLQKPIIHGNIKSKNVILGIKQHSFISDFGLHLLLNPAAAQEMHKFAVVEGYTTPELSEIKDNPLEIYVDTSEARVKPMQYVLEAFLTRMEKDIDKHSLTR >KVH89398 pep supercontig:CcrdV1:scaffold_2059:5049:5315:-1 gene:Ccrd_008608 transcript:KVH89398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRIARFVSEVAPPQFVSLMRHRMTKMLDTISEDEREVSLIVREAKINALSSSSFPSAPSHQAITSGNSMHFFEQTQRKFSVVLGN >KVH89402 pep supercontig:CcrdV1:scaffold_2059:80493:83557:1 gene:Ccrd_008613 transcript:KVH89402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWYASSLSLNMFTGLTHFDEILQEADGIILSCGNLCFDLPPEKFQQQLVVFVCLQFQQQLVVFVCFQFQQQLFLHQEMEV >KVH89399 pep supercontig:CcrdV1:scaffold_2059:27552:33306:-1 gene:Ccrd_008609 transcript:KVH89399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRIARFVSEVAPPQFVSLMRHRTTKMLDTISEDEREVSLIEREAKTAALRSSLPSAPSHPATSGNSMYFFEQTQRKFPMASSRIGRFVSEVAPPQFVSLMRHRTTKMLDTISEDEREVSLIEREAKTTALSSSRSSAPPPSHQATSGTSMHFFERTQRKFSVVFGN >KVH98292 pep supercontig:CcrdV1:scaffold_206:318460:330567:1 gene:Ccrd_023480 transcript:KVH98292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-like protein MRSSNAGITDDDESATIYYDDDATTETDIDLRSKEDAVESLPRSKLTRFHQGDKVLAYHNRRIYEAKVLEVDSRGKSFYVHYQGWKKKWDEWVGVDRLMKHDKENLQKQKALEKEHPLAKMTKSGLTRGKKRKAMSKGTASYENLIDVQIPPTLKKHLVNYCEYITHMGKLVKLPCSPNVDDILRLYLEHRSKQDGRVSDSTGEILSGLRCYFDQALPAMLLYKGERRQYEEATANGISPSKIYGAEHLLRLFVKLPEILYHANIQEETLTELQHKLQDFLKHQMVLALSRNVI >KVH98284 pep supercontig:CcrdV1:scaffold_206:65453:67593:-1 gene:Ccrd_023495 transcript:KVH98284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSCTNPTCFFCIMKEPNLLIRRAGIKKCFKEIPSINEQERVLILSSLWNIAMTQPEDPEFPSLGIFKCMVSLLHKSINDKPWLLDGQNVYIPYYAAHIVGSYTMNRFEFAEKAVESGVILPLLDLMRGKMSWLEQRVAVRALGHLASYDSTFEAVAVYEEEVVKLAMGLASSCGEVVYNEFVALKDENKRVEYHKNLITRGAGGLEMENRKAEEWASQIQCWSLHLLNCFAIRGRSIDLICNKEFLKDLSSMWGGYVNHTSPAGVGMIRILCYTRVGRTRVSESKEVIESICNLSRSSDDWQYMGIDCLLLLLHDLNTRYKVLEIVSFYLFDLVELRKLGERSKLGQKITRALLIDFKNRKSRIKNPEVERRLKEIWVSKVDRNRREILMSDEKLEEKRVLVSLIKQAANHSFWLGEIETAVVKYTEGIKLCPTRLRKERIVLHSNRAQCYLLLNNPDAAISDTTRALSISNPANSHAKSLWRRSQAYYIKGMAKESLMDCLMFIKAFVTADKKKHAKIPYYAVHMIRKLMDSTWFFASAKSKLSNNCTSNLDASSSSDPGRSKEELTNDELLIRRAINENKHLMSGLYTILEEPVIRKVSQATRRKVYRYRRRKNTSMARSI >KVH98287 pep supercontig:CcrdV1:scaffold_206:38669:39394:1 gene:Ccrd_023498 transcript:KVH98287 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MGSCNGCRVLRKGCGNTCVLRPCLEWIQSPDSQGHATLFLSKFFGRSDLINFISSAPPGHRRTELFRSLLYEAVGRTLNPINGAMGLLSTGNWDLCEAAVRTVLAGGTPTPLADGILIPEVDESSEVFKAQSARWTMMMNRNQIDAAGTSNAIAPPNVVIAEDSDRDLSTKPADDVAVDVLMSFRRGDGGDPKLLKLFG >KVH98295 pep supercontig:CcrdV1:scaffold_206:223392:238664:-1 gene:Ccrd_023485 transcript:KVH98295 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MITINSRELNYLVYRYLHESGIFTLMHSLRSLELLPRTSLNYYANFINTFIVKPQPTFIAQILRVLQTSQAKALEDNTYGANQVEIGFTHTAFTLGYEAGLNKSTIDGNLVPPGALVTFVQKGIQYLELEANLTCNDSDIDEDFSFIQPIDLITKDVYELQKMIKEKKENLQKTKHREKSKENTTSVREHEQEHAREKEKEHAKEKEKENDQEHAKEREKERIHAREKEKEQERQHLREREKKIEQDHHREKEKEKQQRDKERDLEKEKIDREREREREREREREREREKEKEKEKERDKGKHKEKKNEDLADGRRDEDKTRVQPEENVTAEGPEPMEIDTCSTSLSSLIPNSDVTVLEGHTSEVFVCAWSPAGSLLASGSGDSTARIWTIGDGPCSSNSQKGPLDVAVLKHYRGRTSDKSKDVTTLDWNGEGTLLATGSYDGQARIWSIDGELVSTLTKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDIKTGEWKQQFEFHAAPTLDVDWRNNVSFATCSTDNMIYVCKVGDNRPVKTFMGHQGEVNAIKWDPTGSLLASCSDDSTAKIWSLKQDTCLHDLKEHTKEIYTIRWSPTGPGTNNPNHQLVLASASFDSTIKLWDVETGSLLHSLVAHGPNGEYLASGSLDKCMHIWSVKEAKVVKTYVGSGGIFEVCWNKEGDKIGACFSNNVVCILDFRM >KVH98301 pep supercontig:CcrdV1:scaffold_206:332167:334961:-1 gene:Ccrd_023479 transcript:KVH98301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCASPFTTSSSSSSSPPYALKLGSSLSIDTKDDFLLSPDRVFTAGFYKVGENAFCFSIWFTEPLSDGQLTVVWMANRDAPVNGARSKLSLSKTGNLVLQDADQELLVWTTRTRDLTASAHLKLNNSGNLYLQSKDGQILWQSFGFPTDTLLPNQPLTKDAMLVSSRSPTNYSSGFYKLFFDNDNVLRLVYSGPKVTGIYWPNPELRAWDAGRSTYGSEKTATIDQFGRFISSDNLFFNTSDNGDQLLRRATMDVDGNFRVYSLDETRRIWKVTWQAIAQTCTIHGSCGENSTCSNEPTYGRKCTCLPYHKMINQTDWSYGCKPAFRGNGEDSFVYYPHFDFYGYDSKYLPNTTLDACKKECTNISNCKGFQYKYDMKQGIFLCYPKFLLLNGFSSVSFNGSFYLKVPASTLSSNTNKSIQELSLNCSGHPKIVQLERVYDRKKERGSVKYLLLFTYVFGALEMICIIYFLYKTRSSVTKSQGYLQAATGFERFRYADLRKASKNFSIEIGRGGGAIVYKGFLSDNRVAAIKRLKEASSNQGEAEFLAEISTLGRLNHMNLIDIWGYCAEGKHRILVYEYMENGSLADNLHSNKLDWDKRFEVAVGTAKGLAYLHEECLEWVLHCDVKPHNILLDQDYLPKVADFGLSKFLDRDGRGNLEFTEARGTRGYMAPEWFFISHPITSKVDVYSYGMVMLEMITGQSPKGSNPSGGYEGMTMTVRWVREKVAAAAAEGYAYGGKSNWIKEIVDSTVNGEYDSTRMEILIKVALQCANENKDARPTMSQVVDMLLQ >KVH98302 pep supercontig:CcrdV1:scaffold_206:369068:377324:1 gene:Ccrd_023476 transcript:KVH98302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein of unknown function DUF303, acetylesterase MKNVKMFPLFLSYACLVISAVTRLTNAAAGKSIFLLAGQSNMSGRGGIVNLTWDGYIPPESSSNPAILRLTANLNWELAVEPLHRDIDVAKVCGVGPAMAFANSLLKKDSSIGVVGLVPCAIGGTNISQWVRGGDLYNQLIRRADAAVSGGGTIGGLLWYQGESDTLTLEDAEATVDAMGLRVQEPERLHLTTPSQVSLGKMLTNAFLQLCLPWPSKRDTVTATYRDMLLLYLSYAYLFISSAVTVANAAAGKSIFLLAGQSNMAGRGGVVNDTWDNYVPPESSPDLAILRLSADLNWQLATEPLHRDIDTNKVCGVGPGMAFASSLLRKDSSVGVVGLVPCAVGGTNISEWGRGGDLYKQLIRRAEAALEVGGTVRGLLWFQGESDTVSREDAELYKTRLQNFILHVALASGEGPYIEMVREAQLGMDMVNLRTIDAKGLNLEPDGLHLTTPSQVSLGNMLANAFIQTRPSAIITPNTASTIMSQNFLTHFLSLLLLVINASFL >KVH98296 pep supercontig:CcrdV1:scaffold_206:240173:257064:-1 gene:Ccrd_023484 transcript:KVH98296 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 RNVAPTPPSLPVIGHLHLITNPLHRSLHHLSSKYGPIMALRFGSRPVLVVTSPSAVEECFTKNDIVLANRPHSMSGKYIGYDHTTVGTAPYGHLWRDHRRTTTLEFFSTAQLKAYMGVRQDEVRSFVKSLAQDAWRDFTRVEMKSRIKGLVFNVITRMIADKRFYGPDADDFEEASNFKDVIGEVFEISGASNPGDFIPFLKWIDFQGLEKRFRVLQTKTDRFSQSLIDERRRKGSSSSGQGKGKTFIDAMLALQESDPESYTDDIIKGHIMWAMSLLLNHPDVLERARAEVDKYIGQDRLVEDTDFPKLQYIQCIVHETLRLFPSVPLLLPHQLSEDCTIGGFDVSCGTMVLVNAWAIHRDPLFWDDTLSFKPERVGEELVDLVEGKGLSMPKGKPLEAMCRARQSMNHLHKHKKGRNVAPTPPSLPIIGHLHLIREPLHRVLHQLSCKYGPIMALRFGSRPVLVITSPSAVEECFTRNDMVLANRPLLLSGKYLNYDHTSLGSVPYGRLWRDHRRIVTLELFSTTRLREYMGVRQDEVRSLIKSLSQDAMQEHFTRVEMKSRVQGLSFNVITRMIADKRFYGTGVDGFEEAIKFKDVIREVFDISGASNLGHCIPFLQWIDFQGLEKRFQRLQTKSDSFSQSLLEECRSERRSSSGHEKAKTFINAMLSLQESDPEYYTDDIIKGHILTLLLAGTDTTSVTIEWAMSLLLNHPAVLERARAEVDEHIGHQRLVEETDIPKLPYIQCVVHETLRLFPPGPLLLPHEPSEDCTVGGFDVSCGTMVLVNAWAIHRDPLFWDDPLSFKPERFEKMGNTGAWFIPFGMGRRQCPGAGLANRVVTLALASLIQCFEWERVGEELVDLLEGKGLSMPKGIPLEAMCRARQRMSHGRNAAPTPPSLPVIGHLHLIGQPVHRVLHHLSSKYGPIMALRFGSRPVLVVTSPPAVEECFTRNDLVLANRPLLLSGKYLDYDHTTVGAVPYGRLWRDLRRIVTLELFSTARLKAYMGVRQDEVRSLIKSLSRDAWQDFTRVEMKSRIQGLSFNIIMRIVADKRFYGIEVDDFEEARTFKAIMREASEVSVASNPGDFIPFLRWIDFQGLEKKLQKLQAKFDSFSQSLIEERRSKHHGSFDNGKAKTFIDAFLSLQESEPEYYTDNIIKGNILTLLVAGTDTSSVTIEWAMSLLLNHPDVLKRARAEVDEHIGYQRLVEETDLTKLPFIQCIVHETLRLFPAAPLLVPHEPSEDCIIGGFHVSRGTMVLVNAWAIHRDPQLWDEPLSFKPERFEKMGNMGYRFIPFGVGRRQCPGAGLANRVVTSVLASLIQCFEWERVGEELVELSEGRGLSMPKNKPLEAMCRARQRMSHRARAEVDERIGDQRLVEETDLPNLPYIQCIVHETLRLFPATPLLKTAQIGGFDVSRGTIVLVNAWAIHRDSQSWGEPFGFNPERFEKIGNTGYRFIPFGMGRRQCHGSGLANRVMALALASLIQCFEWKRVGEELVDL >KVH98290 pep supercontig:CcrdV1:scaffold_206:267557:267838:-1 gene:Ccrd_023482 transcript:KVH98290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRDCCFAFGIIILLIGSVHCRRLQPTPLITTTIATTECKESGGGMAEFGIASANNFSNNLDSSNKRSRRLKMRSLSYKLASGPSKRGPGH >KVH98303 pep supercontig:CcrdV1:scaffold_206:357008:357805:-1 gene:Ccrd_023477 transcript:KVH98303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIDDFKRLRITQAEFNDYHAVDRKLYATLVFDLWRDPIEAIQMMAIWIWLERVGIGFPDLTRRILNLPAQWIDKIGSEALLCWACLDNTSLLCPSSVLYFPLTNMLLKKDLPIELFRKFREISIFGINEVINGVCANCLKDIWDGAITRNVHMKFLQRMATHEPVVPPQTAEVQPDNRTLFVTFSRGYPVCEQEVREFLSGVFGECIESFYMQEVSAGENALFAKIVLNHPSYIHLILGGARKAKFTINGKHVWVRKFIPRRRQ >KVH98294 pep supercontig:CcrdV1:scaffold_206:220405:222429:1 gene:Ccrd_023486 transcript:KVH98294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MADQENIYGVRVTRLAKKRAMEAIVTQLQPPNKKRVVLGELSNNLIPLENPKVGSDLVQKLKCGAKKVKKPVKLATAAAKTHELAAKEIDDPSIDPQMCEPYVSDIYQYLHKMEMEEKRRPLADYIEKVQKDVNVNMRGVLIDWLVEVAEEYKLLPDSLYLTISYIDRFLSVNVLNRQRLQLLGVSSMLIASKYEEISPPHTEDFVYITDNTYTKQEVVKMEADVLKTLKFEMGNPTVKTFLRRFTRIAQEDYDTPNLRFEFLSYYLAELSLLDYSLIKFLPSMVAASVTFLSRFILKPKSHPWNLALEQLSGYKPSDLKECVEILHDLQSSRRAGKLVAIREKYKQHKFVCVSELSSPSVIPSSFFEDMIKEA >KVH98279 pep supercontig:CcrdV1:scaffold_206:138364:143931:-1 gene:Ccrd_023490 transcript:KVH98279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MMHESPHDQHNTESKSEGDEEDHHLLLISQSPLPLSTDNNPKDNYVSDEEEVAYESHDKIVIDGLDSDSDNTDFNSTPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATGMGLLIQLLSARVGVATGRHLSELCREEYPRWAGLLLWFMAEIALIGADIQEVIGSAIAIQILSNGILPLWAGVVITASDCFMFLLLENYGVRNLEAVFAVLISTMGLSFAWMFADAQPSGKELLIGLLVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPNKKGRVQEAINYYTIESSIALFVSFMINLFVTTVFAKGFYGTKQADSIGLVNAGQYLEQKYGGGFMPVLYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSCAIVPTMIVALVFNKSEASLDVLNEWLNVLQSIQIPFALIPLLTLVSKEEVMGVFKIGPTLERIAWSVAALVMVINGYLLLDFFVAEVNGFLFGALAVTSTSAYVVFILYLISHGDCLPSTWFSHIVNKGYSYIGK >KVH98297 pep supercontig:CcrdV1:scaffold_206:152989:184351:-1 gene:Ccrd_023489 transcript:KVH98297 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDVLYLYIVYLALLIASYIFATHLRSKISNLPPTVFPTLPIIGHLYLFKSPLYRTFAKISARYGPIIILHFGFRRVLLVSSPSAVEECFTKNDLVFANRPKMLYGKIIGNNYTSMSWASYSDHWRNLRRIASTELLSLHHLNELHAIREEEGGVMIHNLLLTSSSPVNMKSVFYELTLNVMMRMISGKRYFSGDIADVDEEGKRFKKLLEEMFLSSGASNLADYLPNLSWLGVKGSEKKLIALQENINVFFQGLINQLKQVKGGEVENQKKTMIEVLLSLQRLHPEYYNDEMIKSFVMVLLSAGTETSSGTMEWGLSLLLNNPQVIEKARNEIDLHVGKHRFIQESDIADLPYLRCILNETLRLYPAAPLLLPHESSEDSLVGGYHIPRGTMLLVNQWAINQDPKLWTDPERFNPERFEGVEDTRDNGFKLIPFGSGRRSCPGEGLALRMVGLTLGLLIQCFEWERISGDMVDMIEGPGLTIPKAKPLLAKSCFIFNRYLIPNLRNLPPTPLSAMTVIGYLFFFKQPIHRTLSQIATRHGPILLLRFGFRRVLLISSSSAAEDLFTKNDAVFAHRPKLLAGKQFGHNYTNLAWAPRGALWRHLRRVSCLEILPFHRLTDHHDSLADEVKLLLGRLFHSDNEIVELKPVFLELVVDVMIRMFTGKSVCDGYCRKKLTARMNAMESISFLDYVTSSFRMTTAEPDLGYFMPILKLLGLRGLEHRMKELQQKGDLLMDNLIGELRTKMLEFSDGSGDQREEKVIEFLLARQKDDPKGYHDEIIRGLLLVLMASGTDTSAGIMEWAFSLLLNHPEVLQKAQNEIKNYVGSDRFLEQSDIDHLPYLNCIVKETMRMYPVAPLLVPHESSKECTIGGYNIPKGTMLMVNVWAIQNDPNIWIEPAKFKPERFEKVVGERDGFKLMPFGYGRRSCPGKHMAMRVISLALGSLIHCFDWERVGEKMVDLTEETGLALLKAQPLMAVCRSSSYLFTSHFRRRASNLPPTVFPSFPIIGHLYLLKPPLYRTLAKISTKYGPIVHLRFGSRRVLLVSSPSAVEECFTKNDITFANRPRMLFGKIIGLNYTTLAWTPYGDNWRNLRRIASIEILSIHRLNEFHDIRVDEGRLLIRKLLSNYSSPVTVKSVFYEQTLNVMMRMISGKRYFGGDKLEEEGKQLRQILDDSFVLAGASNIGDYLPILSWLGVKRLEKKLIALKERRDVFFQGLIEQVREGNGDQARNKRKTMIELLLSLQESDPEYYTDALIRNFVLVILGGRTDTSSGTMEWAMSLLLNHPQVLQKAKNEIDRNVGTHRLVEESDMPNLPYLRCIINETLRLYPPGPIFIPHESSDDCVVGGYNIPRGTMLLVNQWAIQHDPKVWTDPERFDPKRFEGLDGTRDGFKLLPFGSGRTSCPGEGLAVRMVGVTLGLIIQCFDWERVSEAMVDMTEGPGLTMPKAEPLVAKCKPRLEMQNLLSQLTSTMEIPYLYISLLLLLASYLFTSRFRRGTANLPPHVFPSFPIIGHLYLLKPPLYKTLAKISTKYGPIVHLRFGSRRVLLVSSPSAAEECLTKNDITFANRPRMLFGKILGMNYTTLAWSSYGDNWRNLRRIASIEILSIHRLNELQDIRVEEGRLLIHKLLSNSSSPVTVKSVFYEHTLNMMMRMISGKRYFDGDKLEEEGKEFKKILRDTFVLMGASNVGDYLPILSWLGVNELEKKLIALKERRDVFFQGLIEQLRKGKGDQVGNKRKNMIEVLLSLQESDPEYYTDELIRNFVLVILIGGTDNSSGTMEWAMSLLLNHPQVLQKAQNEIDRNVGKYRFVEESDMPNLPYLRCIINETLRLYPPGPILIPHESSEDCVVGGYNIPSGTMLLVNQWAIQHDPKVWTDPDWFNPERFEGLEGTRDGFKLLPFGSGRRSCPGEGLAIRMVGVTLALIIQCFDWERPSEAMVDMTEGPGLTIPKAEPLVAKCKPRLELQNLLSQLASTMEIPYLYVSLLLFLASYLFTSHLRRRISNLPPTVFPSLPLIGHLYLLKPPLYRTLAKISAKYGPILHLHFGFRRVILVSSPSAVEECFTKNDIIFANRPRMLFGKIIGQNYTSFSSSSYGDNWRNLRRIASIEILSIHRLNEFHDIRVEEGRLLIRKLLSDSSPVNVKLVFYELTLNVMMRMVSGKRYFGGDNLEEGKELREILNDTFMLASASNVGDYLPILSCLGVNRLEKKLIALQERRDVFFQGLIEQLRKGSRDHEGNKRKTMIDLLLSLQESDPEYYTDAMIRNFVLVLLAGGTDTSSGTMEWTMSLLLNHPQVLQKAQNEIDRNVGKDRFVDESDIPNLPYLRCIINETLRLYPAGPMLIPHESSEDCVVAGYNIPRGTMLLVNLWAIHHDPELWTEPERFNPERFEGVEGTRDGFKLMPFGSGRRSCPGEGLAVRMVGVTLGSIIQCFSWERMSEAMVDMSEGPGLNLPKAEPLVVKCKPRLEMQNLLSQLLIPNLPPTVFPSFPIIGHLYLLKAPLYRTFAKISAKYGPILLLRFGSRRVLIVSSPSAAEECLTKNDIIFANRPRMLFGKIIGLNYTSLAWSPYGDNWRNLRRIATVEILSVHRLNEFHDIRVDEGKLLIRKLLSASSSPVNMKSVFYELTLNVMMRMISGKRYFGGDIPAVDEEGKRFREILNQTFLLAGAANIVDYLPILGWLGVKGLKNKLIALQEKRDVFFQGLIEQLRKSKGGESENKKKTMIEVLLSLQESDPEYYTDAMIRSLILVLLSAGTDTSAGTMEWAMALLLNNPQVLRKAQNEIDQKVGKDRLVDESDVADLPYLRCIINETLRLYPAGPLLVPHESSEDCVVGGYNIPSGTMLLVNQWAIHHDPKLWTEPERFNPERFEGVEGTRDGFKLAPFGSGRRSCPGEGLAVRVLGSTLGLLIQCFDWNRMSEKMIDMSEAPGLTLPKAEPLVAKCEPRLDMQNLLSQL >KVH98299 pep supercontig:CcrdV1:scaffold_206:6732:13682:-1 gene:Ccrd_023500 transcript:KVH98299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTLRYLSSGIFVISPKLLTSSLPTSVKPSSISALARGETAGRRFYSTIAAVRTDLKVKCFSNMAAPDHKKNVDVKDDRISRISSSIRVIPDFPKPGIMFQDITTLLLDPVAFKDSIDLFIERYKGKDISVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGAVISEEYSLEYGTDIMEMHVGAVQAGERVLKGLEQV >KVH98285 pep supercontig:CcrdV1:scaffold_206:56973:59721:-1 gene:Ccrd_023496 transcript:KVH98285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTNFDGTGVGFGFGAGCGFGVGWGFGGGGCGVGLGLGWGFGTGFGSKYRSSRVIFQGVDFNKKGETEGTKQSS >KVH98289 pep supercontig:CcrdV1:scaffold_206:263605:264036:-1 gene:Ccrd_023483 transcript:KVH98289 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-containing protein MAAKAESSSTVQMDDATSKLEQMNISFSIWPPTQRTRDAVKKGIVESLSTTSILSKRYGTLLTEEATAVAERMEDEAYAAASSASVPADDDGIEILQVYSKEISERMLDYMKSRSASPAAASSTLNEDSTVHDEESSTVETQS >KVH98283 pep supercontig:CcrdV1:scaffold_206:78687:82569:-1 gene:Ccrd_023494 transcript:KVH98283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF620 MERKQGFFSTLKEEVVRGLSPGRSRHRTPKPGRSRSGSPNSGLLRRRKGHHVSQTEPVMSRSGSLRPLEALSPLREGPDPNETTAEVAGDSSKVERWGHWMKGQLCRAPSTATSSGSGSASYQRSDLRLLLGVLGAPLAPVHVSNAEPFPHLSIKDTPIETSSAQYILQQYMAASGGQKLQNSIHNAYAMGKVKMLAFDIETATKVIKNRNSSKTAESGGFVLWQMNPDMWYVELALGASKVHAGCNGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFTNARCTGEKKINDEDCFILKLCADPHTLKARSEGPAEIIRHVLFGYFSQKTGLLIHLEDSHLTRIQTNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGETAMSHTKTRMEEVWTIEEVAFNVPGLSIDCFIPPAELRFGNVSEACEFPQEDRVKTAAMAAAAYRAKVVALERSRDGTMRRDF >KVH98291 pep supercontig:CcrdV1:scaffold_206:304386:304943:1 gene:Ccrd_023481 transcript:KVH98291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKRSRSTSSDSHVCKKIKQSESSATAAVLMDQNLLYEVLKHVDAKTLGAAACVSRQWNRTVQDERLWELICTSHWESIGCGSNTLRLVVLALGGFRCLHSHYLLPLSKPSVSVSTSSSSSTVIAAASTSSWPCLPPPRTIVPTKPTAAAAAVKTRWGKDEIQLSLSLLSIRYFEKLNFNNRHK >KVH98280 pep supercontig:CcrdV1:scaffold_206:127621:130531:-1 gene:Ccrd_023491 transcript:KVH98280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDHRRNTHMMQHHQFLPNGLPFPSFSPPFVPDAPFLSQEMSCSLPPSIDVDQSLSNVFSLLNLSPPAHSDHRRGRIGCAGSSVGEGFLHHPVGNPRMMHSDLGLNNPFTMDPHHHHRRRHHHRLCNNTDALQMHSSSGFDYGFDIDQKSRLGSTKFLYSTQQLVSLPRCSSNNASQLIDKMFVPINNELKFQRSDDDKHLNPPCYNSNHLCGVELSRFQNQNHQFMSSWSLKELKGRIYALAKDQNGCRILQAMFERPTMEEVQMVLSEVVDSISDLMKDQFGNYLVQKLVVLCNNDQKLQILISLIKVPTNIILVCMNPHGTRAVQKLLENLKDPNQIKLVMKALHHGAATLANDPNGHHVIQYCLVNFHSDINKPILTEIADECFKVATDRSGCCVLQACVEHSRGEVRTRLVAEIMANSVHLAEDPFGFVSLIVVFVKKSAFHVFIVLIIVFIVILFRNYVLQHMLGLHIPEFTLLLVRQLQGNFASLSCNKYGSNVVEKCLKESGEEVSTRIILEIIRSPNSYLLLVDPYANFVIQSALKVSK >KVH98293 pep supercontig:CcrdV1:scaffold_206:212984:214581:1 gene:Ccrd_023487 transcript:KVH98293 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEAFYLFMPLIFLAIFIIWNYILHKLQNHPPNPWFPPLPIIGHLYLLKPPFHKSLAKHGPIQLLQFGSRPVLHVSSPSLAEECLTTNDVIFANRPRLLAGKYLGYNYTSLVFAPYGDHWRNLRRVSAIEILSSHRLSELEPMRTKEVLLTIRKLYRSSLKQAAEVQVKAMLVDLTLNTMMRMVSGKRYHYGSDNVLTVEEKEKADRFQELVAEIFEVMGATNIGDYMPVMRWLGVSKLEKQLIRLQARRDLFMQELVEELKEGLKNSGGTQTSISTMEWAMSLLLNNPSVLKKAQAEIDEYVGQDRLLQESDIANLPYLGCIIKETMRMFPAGPLLPHESTKDCKVGGYHIPSGTMLLVNVWGIQNDPTIWGDPKTFRPERFESLEGYRDGFKFMPFGSGRRSCPGEGMALRTVALGLGSLIQCFEWERTSESHVDLSEGSGVGMPKAINLVA >KVH98288 pep supercontig:CcrdV1:scaffold_206:21791:22516:1 gene:Ccrd_023499 transcript:KVH98288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MAVEALNSPTTATTPLFRQDSGRYLESWTKGKRTKRPRVDQPPSEEEYLAFCLMLLARGGRPDSASGSPPLHRENSPALSIVPPQTQLVYKCSVCDKAFGSYQALGGHKASHRKNNPAAVVADIEQAAASTSTASATHSGVGGGRSHECSICHRCFPTGQALGGHKRRHYEGVIGGGRATSSGVTSSEGVGSTNSQRAFDLNLPAMPEFLPGFADDEVESPHPAKKSRLFPPVKLEIATRH >KVH98281 pep supercontig:CcrdV1:scaffold_206:115053:123444:1 gene:Ccrd_023492 transcript:KVH98281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MKPLVAKFMLMALVLLGSSILQITMAGSSICDSKCAVRCSKAGRQDRCLKYCGICCEECQCVPSGTTINIGSFLHFLHTQTHTTSPKNSTIFAYLFIYKKMKPLVAKFMLMALVLLGSSILQITMAGSSICDSKCEVRCSKAGMHDRCIKYCGICCEECQCVPSGTYGNKDECPCYRDKKNSKGTSKCP >KVH98300 pep supercontig:CcrdV1:scaffold_206:349316:350134:-1 gene:Ccrd_023478 transcript:KVH98300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MAVAKKDMDRIKGPWSPEEDELLQALVHKHGARNWSVISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTADEDEKIIKAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSMSNDDFSFLDGQDLVNYQPALKRSASVGPDTTGSRFYLNPNSPTGSDLSDSSQRNGASTPPPVLNDPPTSLSLSLPGSGSGSGSDLESRSELKVKLPQPPQSDGANYGFFNPELMAVMQEMIKNEVRHYMSGMEKNGQCLQGEGAISSALTNRMGVE >KVH98298 pep supercontig:CcrdV1:scaffold_206:194262:196456:-1 gene:Ccrd_023488 transcript:KVH98298 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEGLHLYLLLLILACSLFNKYVVPKIKNLPPTPLSALTVIGYLCFFKQPIHRTLAQIASRHGSILLLHFGCRRVLLISSSSAAEELFTKNDAAFANRPKLLPGKVFGHNYTNLAWAPHGALWCHLRRVSLLEILPFHRLPAQNESCTEEVRLLLGRLFHSEEKVIELKPMFLNLVLDVMMRMFAGKRYCTKKMAEGDVTQPISLLDYVTRSFRMTTGEPDVGYFMPILKLLGLRGLEQRCNDLQKKGDLLMDSIIHELKTRMLGSGEKEDKVIEFLLARQQDDPKLYPDEMIKGLVLVLTSAGTETSAGIMEWAFSLLLNHPEVLHKAQNEIENYVRNDRFLQPSDIEHLPYLSCIVKETLRMYPVAPLLVPHESSKECTISGYNVPKGTMLILNVWAIHHDPKIWDEPMKFKPERFEKVTGKRDAFKLMPFGYGRRSCPGKHMAVRVISLALGSLIHCFDWERVSEKKVDLTEETGLSLLKAQPLMAVCHPRSTMVNLLSEI >KVH98282 pep supercontig:CcrdV1:scaffold_206:104476:104821:1 gene:Ccrd_023493 transcript:KVH98282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MPFTFLDTFGFHGPHTNEVLVDKALKEEGLRDKFQIATKFGIQWINGKQDMCGDPAYVRSACEASLKRLDIDCIDFFYVHRIDICVPVKVT >KVH98286 pep supercontig:CcrdV1:scaffold_206:49277:51935:-1 gene:Ccrd_023497 transcript:KVH98286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLTWYLKMLDVRPIITKSVSAGLIYGAADLTAQSVTMRASDSLYLIRTLRMAVFGLLFLGPAQHVWFNFLGRILPKRDMATTFKKLIVGQLFYGPSCTAVFFTYNAFLQGESGREIALRLRRDLLPTLTGGLMYWPLCDFFTYKIIPVHLQPLINSAFSFMWTIYLTYMASLEKAIKA >KVI08447 pep supercontig:CcrdV1:scaffold_2060:81904:84922:1 gene:Ccrd_013181 transcript:KVI08447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAAVFSTLLLLLTLSGSFVGIYTLGVGINYGQIADNLPSASHVSVLLKSLNISRVKLYDADPKVLSSFANSNVEFIIGLGNEYLQRVQDPQEAQLWVQQKVQPYLMQTRITCITVGNEILGGQDATLAPYLYPAMKTIYEALVNLGLNKQVYVTTAHSLQILATSFPPSQGSFREDLVQYMQPILAFHAQTGSPFLINVYPYFAYKNDPNNVPLEYVLFEPNSGAIDPNTNLKYDNMLYAQIDAVYSAIRALNPANIQVQISETGWPSKGDDDEFGATVQNAGIYHKNLIRRMQQREGTPGNPSQPIDIYVFALFNENMKPGPTSERNYGLYYPNGTPVYNLGVQGYLPRMHYSASWRNASSIFNLVFLLIGFLILA >KVI08448 pep supercontig:CcrdV1:scaffold_2060:54475:59078:1 gene:Ccrd_013180 transcript:KVI08448 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase MKYVLVTGGVVSGLGKGVTASSIGLLLQACDLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDHNITTGKIYQSVIDKERRGDYLGSTVQVVPHITDAIQSWIKRVAAIPVDGKEGSPDVCVIELGGTIGDIESMPFIEALGQFSYRVGKYSACAGNFCLIHVSLVPVLNVVGEPKTKPTQHSVRGLRSMGLVPNLLACRSTTLQNIVSLYDVTNIWHIPSLLREQKAHEAILKALDLLSVVRKPALEEWTAMAQRCDMLHEPVRVAMVGKYTGLSDSYLSVVKSPDVNRAAWNSLKAADAVVVPGGFGDRGVEGKIIAAKYARENNIPYLGICLGMQIAVIDYARSVLDLQNANSTEFDPKTENPCVIFMPEVCVQTNSISDDPFDHISNRLIQRYGNQSFIDERHRHRYEVNPNMVPQLEKAGLSFTGKDESGQRMQIVELPSHPYFVGVQFHPEFKSRPGKPSALFLGLIAAASGQLDWLLKKSVGSKANGCSIGKGMSGLSSTHHRYVNVNGNVSVGSFDGMYSNSNGLHV >KVI08180 pep supercontig:CcrdV1:scaffold_2061:64185:65210:1 gene:Ccrd_013451 transcript:KVI08180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19 MKTYSILIVAGLLFNGILHIAAQTNDVSIASIVTDAFFNGIVDQSDGGCEGRGFYSRATFLEAVGNYPQFGRVGSEEDSRREIAAFFAHVTHETGHFCFINEINGASQTYCDVNFPQYPCNPSKSYYGRGPLQLTWNYNYAQAGSSIGFDGLNNPEIVATDPLISFRSALWYWTTAVQSVIGQGFGATIRAINGPRECDGVNPDTVSARVQYFTEYCNQLGVSPGDNLRC >KVH93817 pep supercontig:CcrdV1:scaffold_2062:90436:91143:1 gene:Ccrd_004128 transcript:KVH93817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAILTIVFLLLLFVIGLFSTLSRIPTKKIAVFFQEFQSSSSRQDSVKSKDQKKKMVTKKKGSLDSDHSREKKAELKSVFATFDKNKDGFITKQELSDSLKNIGISTSERDVLEMVQKVDVNGDGLIDFDEFCELFESMMSREDQGGSKIDGGVENLDHEDGDLKDAFDVFDGDKNGLISVEELGKVLDSLGFKEGKKLEDCKMMISKVDIDGDGMINFHEFKNMMKNGISLISVS >KVH92016 pep supercontig:CcrdV1:scaffold_2063:2486:8479:-1 gene:Ccrd_005954 transcript:KVH92016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MGLFDENMVIEPLSMAFDLVLIENQIPFFVLQDTFECTCSRFKPEVASLNELIILGLVPALAFFLPEVNIKDINVNVCTTHIRILGFIHNCCRPPDDVEKDWSSDSETYYSAVELDRAGVNFKPHHQPQDRQWPMDIQLELPRFSWCRPWAKPILRMPLLGIHNFTELVFRNLIAYEQLSPGSVRHYVTSWMY >KVH92014 pep supercontig:CcrdV1:scaffold_2063:77062:82864:-1 gene:Ccrd_005956 transcript:KVH92014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MVLSVHNSKMEDRIVSKFEDDEDEFRSCCSDEEELEEIVKLGLKNGENELDELSVRMFFKGVSISEAGNNGVGFSGIGVIMEDSKKVPMIQVQKKLEFFVAEEVADYLALMDGLFEAIQNNIKRVYAFTNSQILCDQVTNDEMPENPLLMALKQRILEHVENFEHFVLKCVLDVNLERPLQLAQVAIGIVHDAKGDQTVENCSICREDKLTPMMMTLKCLHKFCSHCIKAYVDEKVRSSEVPIRCPSLKCRYYISIPEYKSFLTVSSFTLLEEALLEPNASKADKFYCPYRDCLVLLDPHLNGDLASNCVDCPVCRRSICVKCGVSWHSSMSCDEFQEISLKEMDADSDIDFDCVAENRRLRRCQMCGHEFCYSCGAEYMDGRQTCECTVWDDDEEEEEEEEEEEDDELTLTPTTTSDPAQQFEEWAWESFGSLSNMTDAYSDQERSQLALIQRFLAGGFSLSDHNTNTYESPLPAPLPPCSCAEDDNSSYLDNTIKDLHQLPWLERFVSVISDDYYDEYTQ >KVH92015 pep supercontig:CcrdV1:scaffold_2063:71988:74016:-1 gene:Ccrd_005955 transcript:KVH92015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MSSRSEEQRPINLPLLDQEESNDDVEIGNIQDPVQFLLHSAKNHRNKISPSIHMIPSRIRHLNPRVFNPRQVSIGPLHRKRKNLKAFEGTKAIFLHDLLHYSGVPPEQILETCVQKVNASLGRIKACYGNGMKSYSDDQITRMMVMDGCFILEFIYKLLKHKFDEEMVIEPISMAFDLVLLENQIPFLVLEHIFECTSSRFQPEVASLNALIILGLIPALGFLDLKCKKNKVFDGTDHILGFIHECYRPLPLCDKLPSDSFENRILGFIQDCYRPLCDAVKKAVEKIQTPDLGIDVNAQSSEDFEIDLSSNSDKFYSAVELDRSGVNFKPHHQPSPKNKKDGKWSMDIELTFPLCFWCRPWAKPTLRMPVMRIHNYTELVFRNLIAYEQLSPGSVCHYVTSYAIAMDRLIDNHEDVARLVKSQVLINTIGSNEEAAKLINDLRKEISSPHFFYVQVWKEMNGYHDRVVPRNIAKLRRTYFSNPWSSIALFAGIFLFLFTLVQTYYTINPV >KVH92017 pep supercontig:CcrdV1:scaffold_2063:89187:91231:-1 gene:Ccrd_005957 transcript:KVH92017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNSLRFWDNGKPYDQAAADEIPLFIRLFRYYADEIPMFTIQADGPHHVQTLHEPIGVAGQIIPWNFPLLMYGWKVGPALACGNTVVLKTAEHTPLSALYVSKLFLEAGLPPGVLNIVSGYGPSAGAALASHMDVDKLAFTGSTETGKIVLGLAAQSNLKPVTLELGGKSPFIVCEDANVDEAVDLAHLALFYNQGQCCCAGSRTCVHERVYDEFVEKAKARALHRVVGDPFKKGVEQGPQVDSEQFEKILKFIRSGVDSGATLEMGGERFGSNGYYIQPTKKRRSLDQYSQFSNSSEFNHFFRDVNEVIRRSNASPYGLAAGVFTQNLDTANTLT >KVH87910 pep supercontig:CcrdV1:scaffold_2064:68535:70002:-1 gene:Ccrd_024775 transcript:KVH87910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALTRLHPVAFRPSSPSVTVAVAVHLPPSPSPSPFLSVAASVGGRRSPSPSPTVSVALRRPPSPSPFVALRLRSSPFVAVRLRSSPFVSLRRRSSPSVSVAVAFVSVAASVAASVGQQIDLIVQLDGPPVHLHNTDYHNLETKPPERRLHRTPLDLRLSSSLGEEAEGWILKIG >KVH87909 pep supercontig:CcrdV1:scaffold_2064:64303:68793:1 gene:Ccrd_024774 transcript:KVH87909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAPVTLFVTATKLAGVLATITVAANAFSFSRYRKRNLAPFDCPIDETSDTLATFNINSSDSDDEEEEHFFFGLATAPAHVEDGLNDAWLQEERLRFWSDPDTELKLAKDTGVKVFRMGIDWTRIMPIEPLNGLKESHWRDIDGSSIEFNLMLVDYWVTFNEPHVFCMLTYCAGTWPGGHPDMLEAATSALPTGVFHQAMHWIAVAHSQAYDYIHEQSVLLKPIVGVAHHVSFMRPYGLFDVAAVSIANSLSLFPFLDDISDKMDYIGINYYGQEVIGGAALKLVDTDEYSESGRGVYPDGLFRVLLHYHERYKDLKIPFIIAENGISDETDLIRRPYILEHLLAVYGAMMMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRFNDLARVPRPSYHLYSKVVTTGKITREDRDKAWYELRKAAREKKTRPFYRGLDEPIMRPFIERDWRFGHYEMEGLQDPFSRFSRSILRLLPLRRKKAEDQVEYDEVAVQAV >KVH87912 pep supercontig:CcrdV1:scaffold_2064:97182:101801:1 gene:Ccrd_024777 transcript:KVH87912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6 MESLVLSCSSSSSSIGGFLEKTHNFRNGFSSSFPFSSQILPFSVRKSLVMAAKKTNHSNKKKEDRHSFVAKPDETTGLFPESVLLREKVVQEDGRLLPEFADAEEQDLYEALNLMLESDLDAEQNRHYEVVYLIHENFEEEVENVNLKVEEFLTEKKGRVHRFSDWGLRRLAYKIQKAKNAHYILMNFEIEAKWINEFKSMLDKDERIIRHLVMKQDEAITEECTPPPEFHTLRADMNDNSDEEDEDDDDTGGIIYVDEDEDEDEAASLKIGGRRKLEKAEKVG >KVH87913 pep supercontig:CcrdV1:scaffold_2064:35600:36127:-1 gene:Ccrd_024773 transcript:KVH87913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MVQDLLLFLHNLILPDNILWYVFGITHAPRLGDWPIMPVERIGFMLQPHGFFNCSPVIDVPSGACESNVKDSTSTAPKEVSNGLIATKR >KVH87911 pep supercontig:CcrdV1:scaffold_2064:79693:95261:1 gene:Ccrd_024776 transcript:KVH87911 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MIFHPGSVFFGLCLDLNFLITIALLSLVWTQLSMRITHLRGMEGVQIKLKFLDSYAKGNQQKNGLDRSTANDKKGGIQSGSATPNRKGKGSVGNMKDVKREELSSDTQPSISVTESLHKQDHVKDEKKRTSKSGKQIKVEIQGTTRTSAVEQAEPRDSTSEDSSANMSXXGSSCLEEEKWASASGSSGSKNRKTSSGHSLNGFDRDGLRESVELPEALGVSIFSVVAMIGMLKFVTIGAIVALVALFVGFTIGLLLLAVSGIVFLWLYGSFWTTLIVVLFGGVAFTFSHERVALFIMTVYSVYCAWTLVGWLGIILVLNLSFFSSDALIFFLRNSMNEQRRSNSGPEQTAGMQSGPSVFNSDEAHASHTDGGTNPQADRSPGVPSTSGSDSGLTSEDEVVRLLNSTDYYSALGLSRFQEIDLSILKREYRKKAMMVHPDKNMGNEKAAEAFKKLQNAYEVLLDSSKQKAYDDELRREDLLNYFRRFQNASPKNKRSGLFGRTEFHVDDPLGESRRIACQKCSSFHMWFYTKKTKSKARWCQECKDFHPAKDGDGWVEQSSQPLLFGIFQKVDAPRAYVCEDSKIYDATEWCICQGMRCPANTHKPSFHVNTSVMSTKHSNGKGGSSSSTHRGGGGGMPNMDENMTEEEFMEWLQNAVQAGTFDNFAANGSDMPTWPGNKTSNANANGNANGNGNGNGNGNGNGSSSKRKKKGKKY >KVI04481 pep supercontig:CcrdV1:scaffold_2065:79232:82929:1 gene:Ccrd_017202 transcript:KVI04481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEANINFLTTCIALLFLHPLVFAKGGGGVDELSSLLSIKSAIVDSLSFLKDWDSPTTSHCNWTGISCNQHGFVEKIDLSNMNLTGNISEDFQHFLHLSFLNISSNGFSSILPKSLANLTSLVTIDVSQNNFAGEFPEGFGIGANRLKSVNASSNNFSGFLPEYLGNATSLETLDFRGSFFEGSIPKSFKNLQNLKFLGLSGNNLTGKIPPEIGDLSSLEVIIIGYNEFEGPIPPEIGNLTNLQYLDLAVGTLSGSIPEELGRLKKLTTVYIYQNDFQGKIPPEIGNLSSLIYLDLSDNRFSGEIPEEIGDLKSLKLLNLMCNQLTGSIPNKIGELPNLEILELWKNSLTGSLPVNLGMNSPLQWLDVSSNSLSGQIPAGLCDSGNLTKLILFNNSLSGSFPVGLSSCSSLVRVRVQNNYISGMIPAGFGLLPELQRLELSHNNLSGKIPHDLTLSTSLSFIDVSSNHLVSSLPYSILSIPNLQTLVVSNNNLDGGIPTQFQDSPSLSVLDLSSNNFSGEIPGSIASCQKLVNLNLSHNQLIGEIPTSVGSMPMLSVLDLSNNSLVGRIPESFGSSPALETVNLSYNKLEGPIPNNGMLTTINANDLVGNERLCGGILKPCSSTHIGNSIRKKIHFHHLIFGFLFGICVILSVGILAFTGRWLYRRWFLYGFFDGWLMKSSLEWPWRLIGFQRLHFTSADIMASIKESNVIGMGGSGIVYKATIHHHPSHSAVAVKKLWRSDPDIENGDDLFVEVNLLGRLRHRNIVRLLGYLHNETDVMMVYEYMPNGNLGEALHGKQSSKMLVDWVSRYNVAVGVAQGLAYLHHDCHPPVIHRDVKSNNILLDANLEARIADFGLARTMVQKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSFGVVLLELLTGKQPLDPSFRESTDIVEWVRDRMNKRELEGTLDPEIGGQCNYVQEEMLLVLRIALLCTTKLPKERPSMRDVITMLGEAKPRRKSVCDDVGAKEKPIFSNSPVIGLL >KVI04482 pep supercontig:CcrdV1:scaffold_2065:12241:17101:1 gene:Ccrd_017201 transcript:KVI04482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDNVDTDVVEAEAAGADFLLKNQHAKVVDLEVPVPSTSSFANVGDEDEINKYEEDEDHEIPNSGFCINILI >KVH87907 pep supercontig:CcrdV1:scaffold_2066:53492:56346:-1 gene:Ccrd_024778 transcript:KVH87907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MAHNWRLWKETERALKLFKSGVTPILVATDVASRGLDIPQVAINFDFAVIGIVASLCAQICCNKGPSTNLLAVKKTQAKGKAVKDPNKPKRPASAFFLFMEEFRKQFKEENPGNKSVAAVGKAGGAKWKTMSDSTWMIFWD >KVH87908 pep supercontig:CcrdV1:scaffold_2066:57177:57563:-1 gene:Ccrd_024779 transcript:KVH87908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIGRSLAVVALCGFCCFLNAVSLSEIATNGAVKVETYYFMLSLFPIGGGSYYLIGRALGPEVGVNIG >KVH89372 pep supercontig:CcrdV1:scaffold_2067:23763:24217:-1 gene:Ccrd_008638 transcript:KVH89372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDRMFERLDLTELIENRVLIMEHALLSVFHWFIKISTQSNQRYRKPKNIAKNNFDESITRHKRKSLNRDKKNYDLLVPGIFLSSRRRRELRILISFNLNLKTRNGVHRNTVFCKKIKIKNWSQFLDESKHFDRKK >KVH89376 pep supercontig:CcrdV1:scaffold_2067:50084:52728:-1 gene:Ccrd_008640 transcript:KVH89376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MALAMNPLNGTSHHYQEKLGYDGKDEDDCYNILEDQLTFKENPRIKAIIDGREHVSQLNSPVQLYLLPLTPVLRDGPLLWSSDNGSFDGCSSGKRKGFDVNSMVVAPAGIEEATSSLRQDYSSYVRTRNVIGKMEFDGRNDTGELVSRASDEDENGIYRKKLRLSKQQSGYLEESFKDHSTLNCIQKLELAKKLNLSPRQVEVWFQNRRARHISSLHIIFYDLTKLKQTEVNCEYLKKYCETLRGENRRLNEELQELRALKTTSNPSCLQLPATTTLTMYPSCVQVAATTFTTTTTTSAFHSAPPPPSTANTNLRDSTWID >KVH89375 pep supercontig:CcrdV1:scaffold_2067:10228:11190:1 gene:Ccrd_008635 transcript:KVH89375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAASTMALSSPFTGQVVKTAPSSSEVLGSGRVSMRKTAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNHELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPXLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFTPGK >KVH89374 pep supercontig:CcrdV1:scaffold_2067:11563:17065:-1 gene:Ccrd_008636 transcript:KVH89374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEYMSNGCREQWLYSHNNHLSLPQRLQIVIDVALALEYLHHGQPLPIIHCDLKPSNVLLDRYMNARVCDFGISNFFGDEEFMLTATLGTTRYTAPGCDVYSFGILLLETFTRTKPTEEMFCGEMSLRRWVLEAAHHSIFGIVDVKSID >KVH89373 pep supercontig:CcrdV1:scaffold_2067:17647:18064:-1 gene:Ccrd_008637 transcript:KVH89373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein ITRRDSTRFVSLEKHGGANSLKTSSQESYYHHAWEILVRWHDSNMLISTIPSNLWSHKTLVVLNFIGGYQMLNKLSLAHNRLQGSTPKSLGTVKSLQLLDLSHNNLSGEIPS >KVH89371 pep supercontig:CcrdV1:scaffold_2067:24968:25594:-1 gene:Ccrd_008639 transcript:KVH89371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L20 MPRKKIKKSLLGRIRRGYIARRRRTKIXLFASSFRGAHSRLTRTITQQKIRALVSAHRDRDRQRINFRRLWITRINAAIXERGVCYSYSRLINDLYRRQLLLNREILAQIAISNRNCLYMISNEIIKKGRLEGIHWLNGVARRMNSGKVE >KVI11561 pep supercontig:CcrdV1:scaffold_2068:1218:4558:-1 gene:Ccrd_010031 transcript:KVI11561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSVLPLPSLLLLLLFLRPFHVISQPTTIQNDQNTLLNLKRSWSNPTAISHWNASSNPCSWPEITCSGTTVIGITIVNTDITGPIPPMICDLKNLTHLDLNYNYITGAFPTTLYSCTNLQYLDLSQNFFVGTIPEDISRLSPDLRYLSLFSNNFTGDIPVSISRFSKLSSLQLHQNLFNGSFPEEIGNLNQLEELNLSYNKFIPSRLPQSFTRLEKVRRFYMTEANLIGEIPANLSGMAALELLDLSVNSLNGSIPSDLFLLKNLTEVYLYGNNLTGGIPDSIEALNMEIIDLSANKLTGKIPDGFGNLTHLTNLTLMFNQLSGEIPVGVGRLPSLRDVRIFTNNFSGPLPPDFGRYSELQIFEVSQNQFSGNIPENLCYNRSLIGLIVFNNNLSGTIPKSLETCRTLKSFQAQGNQFSGAIPDGVWKIPSLKTMMMSDNSFSGELPQELGPKLSTLEISNNRFSGQIPTKLSSWTSLVVFRASHNLFDGAIPQNLTALANLATLLLDGNQLTGSLPATIVSWDSLTTLNLSGNQLTGQIPAGLGFLAVLTALDLSNNHLSGQIPSQLGRLRLVSLDLSANRLTGIIPGQLDNAAFDKSFLKNPGLCSNNPSLGLSSCGSRSENGRSTKISSKFVAIIAAIAVILLILALLSTGYVVALYRRKKNRLDSKWKFTSFQKLTFTESTILPRLTDNNVIGEGGSGKVYRVPVNRSGDFVAVKKISNAKDLDQRLEKQFLAEVEILSTIRHSNIVKLMGCISCDNSKLLVYEYLENRSLDRWLHRKQAPSNRGLNGSVRHVVLDWPKRLRIAIGAARGLCYMHHDCSQVVVHRDVKSSNVLLDGEFNAKIADFGLAKILAKDTEFNVMSTMAGSCGYMAPEYAYTTKVNEKIDVYSFGVILLELTTGKEASDGSEHSSLAEWAWQHTLGGAPMADALDNDVNEPVYLNEITGVFKLGLWCTSKLASNRPSMKEVCQMLQRYSPAAVGRMEKNGGDAVDHLPLLKLENV >KVI11557 pep supercontig:CcrdV1:scaffold_2068:78562:81526:1 gene:Ccrd_010029 transcript:KVI11557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMVVTLILGFLFSLLFIAPRFIKSDETKKVHSDANKTVKAYNKDEVSLHNKRTDCWIIIKEKVYDVTPYVEEHPGGDAILAHAGDDSTEGFFGPQHATRVFDMIDDFYIGDLEK >KVI11560 pep supercontig:CcrdV1:scaffold_2068:63343:65537:1 gene:Ccrd_010030 transcript:KVI11560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHTVVGLMKNLCVELGQYGIRVNCISPGAVATPLLVNAIRMEKKVVVESLRASAALKGVMLTVEDVAEAALYLGSDEAKFVSGVNLVVDGGYSTTNSSFPAMVKSSMS >KVI11558 pep supercontig:CcrdV1:scaffold_2068:88229:91451:1 gene:Ccrd_010028 transcript:KVI11558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRSRLSQVLISKATEPSTSSTQRGLWPGGGGPPPLGPAGGSTRVSPGFISPTWAFHLPYSMAAAVAPEVKKDTTGIWFTSPELDCFSSSNLDCFSFKMLRNQFCIVELITTD >KVI11559 pep supercontig:CcrdV1:scaffold_2068:91480:92600:1 gene:Ccrd_010027 transcript:KVI11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVEFHPQCDKKPPVDGWLRISSCGAHPWPDAPDRKLGLFSEVLMSKATEPSTSSSQRGFWPGVGGPRPLNPLGGPVFPSAGRQAGNHSDLTWLHVSYLCLPLPVIHGGCDCAGSEERHHRDLVIYENLLAPAHHDVNHNTRGSDSGSCGQERLDSFTHLYVLIVKRVPHRSWWRNLLFSRSGFVGRLRWRRDGDFDDMNKRGVVGKGGGYR >KVI11516 pep supercontig:CcrdV1:scaffold_2069:26245:30260:1 gene:Ccrd_010072 transcript:KVI11516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MASIPADHEEAADIIYDKIQILVVEEKKRRKHVHQFSPSIYRVPNILRDVESKCYSPRIVSIGPFHKDDPKMKEVVVHKVTYLLSLFRRLRSPRDQTMKECVNRVLAQIDHIKACYGGEMETDNDHEFAKMMVIDSCFILELVYRSNENEYEGHSFFDDNLLTLYAKHDLVLLENQIPFFVLENIFECTIRKFRPSASLTKLILSFLTEINPFGKELVLKXEGAHTNYDHILGLLQKCYQPSNAMLSZISKISNSGAKLXNAITSYXVXELSRAGVKFXPXVDANWQLAMEFKXSRXPCYIWSWGKPTFRMPLLQIEDYTESVLRNLIAYEQCSPAIPNYITSYAFAM >KVI01340 pep supercontig:CcrdV1:scaffold_207:18648:38117:1 gene:Ccrd_020407 transcript:KVI01340 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40-repeat-containing domain-containing protein MSSMQRPPQDSWDYMLPGPPSRNNGGSADLRSTGLLAYAAGSSVAIVDSRSMQLVTVLPIPPPSVGAGNSTTTSSSSSLLSPFVTAVRWCPTPLRLDLLSHDPTSSHSHLLLAAGDRQGRICLLDPRVKSSAPLFLQTDTNTKLGIQDLCWIQARPDSWIIAAITGSSLLSLFETSTGRCFFKYDAAPETFSCIKRDPFDSRHFCVVGLRGFLLAIKVHGDNSESDIVLKELQIPTDVSELNKLERDAAAGASASGTMNNSPAMALFPTYTVKIAFSPHWRHILYVTFPRELIVFDMQYQTALSRASLPRGCGKFLDVLPDPSLEMVYCAHFDGRLTAWRRKDYTHTLVSAIVIYKCFTGCEGIYLFAYHRRGEQVHVMCMMEELMPSLGTPVPSPSVLAVVISQSDSTLQNVRKHLNDGHHASSSDMEFDMDFDNPFDFCDESHVISRTHLLSISDDGKIWNWLLTAEGLTENKKDTSNVGVVAEISKDPVLDTNADEGAQSSFDSVKDVVKQIDKENIRKGNPSVSIRSTDELALKISLVGQLHLLSSSVTMLAVPSPSLTATLARGGNQPAVAVPLVALGTQSGSVDIVDVSANAVAASFAIHDSVVRGLRWLGNTRLVSFSYSQGNEKTGGYTNRLVVTCLRTGLNRTFRVLQKPERTPIRALRASSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVLEWTLPTVPRPQSGASKQSDASVPPDGMASQTDSKAVSSDGAQEEFSESFAFALVNGALGVFEVQGRRIRDFKPKWPTSSLVSSDGPVRAMAYRLPHVVMGDRSGNIRWWDVTTGQSSSFNTHREGIRRIKFSPVVPGDRSRGRIAVLFNDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPVRTDINDPLVLCITGADSSFRLVEVNVDKRVGYGSQSGFMKERFRPMPLCSPILLPTAHALALRLILQCGVKPSWFNTLSTIINKEHSQLSRTTSSVGDLRSYLIDIPIIGDSVVPELLLKVLEPYQREGCILDDERVRQYATILNKGSAARFSFAAAIFGETSEAYFWLQLPHALKHLMNNLANKSLQKAPVKSSSSEIDDVSLLSRISSKGKSESGPRKRNAVSDGELRLMAFEQEELWENASERIPWHERLEDEEAIQNRVHELVSIGNLEAAVSLMLSTPPESPYFYPNALRAVALSSAVSRSLNELAVKVVAANMVRTDRSLSGTHLLCALQDAGCWTDAATLAATHLKGTDYARVLHRWAEHVLHAEHNIWRALVLYIAAGSLQDALAALREAQQPDTAAMFIIACREIHAEFIKSSLEDSVSDSYSSLKEKLVVLNPENEDVIAVGELYGQFQQKLVHLCMDSQPFLD >KVI01336 pep supercontig:CcrdV1:scaffold_207:109254:110084:1 gene:Ccrd_020403 transcript:KVI01336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLILINLIFSTIIMLSNLLSHLIFNTTAYLLVIAIQGLRVPGEALQSAMEQIADLIRTCIGYVLEVVMEVISEIVGLVFDLVKEGVFGSVSATGAATVGVVEKMKSGFDGLTEEIPAVVEGVVEMVTTVVSDLWNNYMNAQNYTG >KVI01328 pep supercontig:CcrdV1:scaffold_207:266273:270490:1 gene:Ccrd_020396 transcript:KVI01328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRMAVIVLSFLLLIQSPIIFASGYLPLKACGTYHISYSSDSSRKLFYLNGESVSKDFFCKYVKAYHANHCFVNRNVKNGRRFLRNLVKDGTLEGEGSDRKRTKGDQDSVFKSKYFFMGGSGILILCGSFFCPCFWARRKDPAAHTVLAKEANSMDSTSSVEMNSVSDKIPASPLRVPPSPSRFSMSPKLDRIGSVHLTMSQVARATQNFSSSLRLGEGGFGTVYKAQLPDGQVVAIKRANKEHFEALRSEFKSEVELLAKIEHRNLVKLLGYVDKGNERLIITEYVPNGEVMDLVDPQLKEGVDSEVFAKMLVLAFQCAAPTRADRPDMKAVGEQLWAIRMDYLRHGGKGEFS >KVI01333 pep supercontig:CcrdV1:scaffold_207:201893:204864:1 gene:Ccrd_020399 transcript:KVI01333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWCQVGFSALNMLSKYLFNLISQWLKHWTIRLRPKCPSRGICTRTDSVTMSGHSSSRMLYSSMMKARKMLAELRSWHATPSCWSNKHPSDHGYEIHPSLVSLPVNL >KVI01322 pep supercontig:CcrdV1:scaffold_207:358995:361767:1 gene:Ccrd_020389 transcript:KVI01322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MIPAATLIADEHKLYSLAAERSCRRFSLAEIQSATLNFDDQLVIGKGGFGKVYKAYLHGNTGTPALVAIKRLDSFSSQGPNEFMTEIEMLSKFRHCNLVSLIGYCSDGDEKILVYEYMPNGTIEHHLHKARTPLSWMDRLKISIGAARGLDYLHTGVDTQHGVIHRDVKSSNILLDENWAAKISDFGLSKIGPTDQPSSCVSTLVKGTFGYLDPEYSMTGHLTRKSDVYAFGVVLFELLSGRRAVDRSFDEDEWGLVKWAQQRVKERRLDQIVCSQISGQISPKCLKEFAQIADRCLRSSRRDRPTMAEVVVALQLSMVLQQQFITSTQLAGAMGFTNIMQKYLVFRTSRDNEHSVDFEQSMSQEVRIYSYSEMEVATRNFSSEMLLGSGDFGEVFRGWLDKKTYKPSKLDSGLAVAVRRLFSNKFEPHKLKMKVLEEFKDANVVKVLGYCIEGDSAQLADCSLQMHNILLDKLLTGKMIDDSGDLIGTTHRLPGEYGFVSMQSIYSSLDDRLRLNFLMRRPAFQLALLAQKCVVEELWLRPTLESALEEVEEIYAIMLKSENSTGN >KVI01334 pep supercontig:CcrdV1:scaffold_207:9243:11494:1 gene:Ccrd_020408 transcript:KVI01334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MTGLNSMVLLVVVMMVASLRLQGTLAQTRHVVGDTLGWTIPIGGAATYTTWASQQTFTVGDTLLFNFTTGLHNVVEVSHVVYGQCSSVNTLSPNSIGPVVVKLTQPGNHYYICTVVSHCQIGQKLAINVSPATSTTPQGAPSSAPVSPPSTIAFPPYFPYYYVPVGSPIPPPITSAAPSFTAIILVTFLAVVLASLY >KVI01331 pep supercontig:CcrdV1:scaffold_207:273660:282865:1 gene:Ccrd_020395 transcript:KVI01331 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSFVAGLIIGIVVGIALIVVFVRSENARSVRRSALLTWLNAHLTKIWPYVDQAASELIKSSVEPILEQYRPAVLSSLSFSKLTLGTVAPQFTGVSIIEDEGEGITMELEMNWDGNPNIVLDIKTRLGVGLPVQNTIRDAVEDSITWPVRKVIPILPGDYNDLELKPVGTLEVKLVQAQGLTNKDIIGKSDPFADLYIRPLRNRMKTSKVINNELNPIWNEHFEFIVEDISTQHLTIKVYDDEGLQASELLGCAQLQLNTLEPGKVKDVWIKLVKDLDLQRDNKDRGKVSMMVHLELLYCPYGMENGFTNPFTSNYTMTSLEKALKSNGDAENGDLAQKKRSVIIRGVFSVTVISAEDLPPTDLMGKADPFVVLTMKKTGMKNSTRVVNENLNPVWNQTFDFVVEDGLHDMLVVEVYDHDTFGKDYMGRCILTLTRVILEGEYKDCFPLDGAKSGKLHLNLKWMAQPIYRDS >KVI01327 pep supercontig:CcrdV1:scaffold_207:332734:338522:1 gene:Ccrd_020391 transcript:KVI01327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MGTPTILSDLGTEILIPICAVIGIGFSLFQWFLVSKVKLSLDKPDGKNVFTESLIEEEEGINDHNVVQKCAEIQNAISEGATSFLFTEYQYVGVFMIAFAVLIFVFLGSVDGFSTSSQQCTYDSTKQCKPALFTAIFTSVSFVLGSVTSVISGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKIYYGNDWEGLFEAITGYGLGGSSMALFGRVAGGIYTKAADVGADLVGKVERNIPEDDPRNPAASELERGLAEKVFGILNTEVVNMLQVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGNNHEFTAMMYPLLISSIGVLVCLLTTLFATDFFEVKTVKEIEPALKNQLIISTVLMTIGIALISWIALPNSFTIFNFGVQKEVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFTFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KVI01332 pep supercontig:CcrdV1:scaffold_207:219384:227439:-1 gene:Ccrd_020398 transcript:KVI01332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTSRKVEVPHLKGTEAVEVLHLLQKEYCLKVHIDVKAFAHMKNLRILKICDEELRRLRHAFDLKLWKESKVNYHGKLKFLSNKLRLLYWHGFPFKCFPSDFYPENIVAIDLSYSHIKNLWTSPKVLILTQISFLLQFIEFPSNTRGIFGGQDDYDLDIQYHGNRIPQWFIDTSMGNHLHVNLPPDFCYNKLRGFGFCVVLTPKRSCGHKYDNDTPRYHVDNFDGTSLAYRLFYCSYGIPKSDIIWFGFETLVSREWKEAKNFVTFWFEDDDDFEVKECGFRLVFDEDIEEETNFSLIQELPTPTQEG >KVI01324 pep supercontig:CcrdV1:scaffold_207:306747:310210:-1 gene:Ccrd_020392 transcript:KVI01324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGKRKRRIDRNKTPPSPASPTSYQKSSHSFGSTGGRPTSSSLMNIVERSPHAHHQSSTHHRHHNFNRALLSRVPRHYYNVQHSQRNTTNHSGTSTSHGKSTQRDDKPNWKFGHRPDSGFGYYAGRRDKASRRMDRIRSNPLVIDAISPEVMSMVCRLCQQRTGDYSVVAVLVCGHVYHADCLETRTHREDRRDPPCPLCTRPVVDN >KVI01323 pep supercontig:CcrdV1:scaffold_207:364644:367137:-1 gene:Ccrd_020388 transcript:KVI01323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNSPTISRFSILSDHHHLHRRSYNHHSLSHSPPPPPPSHLRKIQILRLRRNFQISAIDAAQPFDYESKISDRIAKSKTLKIAIVGFGNFGQFLAKTLVRQGHNVLAHSRTDYSAVATELGVSFYSNADDLCEEHPEVILLCTSILSTDKVLRSLPLQRLKRSTLFVDVLSVKEFAKDLFLQILPSDFDILCTHPMFGPESGKNSWKNLPFVYEKVRIGHDEARVSRCQKFLDAFAKEGCIMKEMTCAEHDQHAAESQFITHTVGRILEKLNLDSTPINTKGYERLLDLVDNTSSDSFELYYGLFMYNKNAMEQLERLDLAFESLKKELFGHLHEVLRKQLFGTRERYLGVLPEAPVLSKLPPNGNGNGNGNGNGNGNGPALPSESDSTS >KVI01326 pep supercontig:CcrdV1:scaffold_207:349047:350585:1 gene:Ccrd_020390 transcript:KVI01326 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MHSSSLTVSRFSNLSDHHHLHRGSCIRHPPSHSPPPPPSHLPKTQILRLRRNFQISAIDTAQPFDNDSKMSDHIAKSKPLKIAVVGFGNFGQFLAKSLARQGHTVLAHSRTDYSAVAAELGVYFYSNADDLCEEHPEVILLCTSILSTDKVLRSLPLQRLKRSTLFVDVLSVKEFAKDLFLQILPPHFDILCTHPMFGPESGKHSWKDLPFVYDKVRIGHDEARVSRCQRFLDSFAKEGCIMMEMGCAEHDQHAAESQFITHTVGRILEKLDLYSTPINTKGYEKLLDLVDNTSSDSFELYYGLFMYNKNAMEQLERLDLAFEWLKKELFGHLHEVLRNQSFGLGGRHLGFSHEAPVLSKLPRNGNGNKLSLNRNGNGNGLSLNGNGNGNRNENKLSFKGHENVNGLSLNKNRNENGLSLNGNGNGSKLSLNGNGNESKLSFNRNENGNKNKLSFNENENENDNKLYPNRNQNDNGKGNKVPPNGNENENGNGNGMLHLNGNGNGKTLALRS >KVI01341 pep supercontig:CcrdV1:scaffold_207:87994:100579:-1 gene:Ccrd_020404 transcript:KVI01341 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3548 MHETEIHDLSDDADYAAASKQGSSSMTRSDSSKRSSSSDPEDAEVVYLKDNVTIHPTQYATERISGRLRLIKQGSSLFMTWIPYKGQGSNARLSERDKNLYTIRAVPFSEIQSIRRHTPTIGWQYVIVVLSSGLAFPPLYFYSGGVREFLATIKQHVHIVRSAEDANVFLVNNFEDPFQRTLSSLELPRSLANGTPSSSFTGSPSDGNQERQEDHVSSVQQNNRQRQKNHDPARDLSIQVLEKFSLVTRFARETTSQLFRESLVDGHGPTEKRKNNWASKDHQHQEAALNDVENVPTKVPVASDPLEFDKLTLVWGQPRQHPLGPEEWSTFLDAEGRVVDPNALKKRIFYGGVEHNLRKEVWAFLLGYYPYNSTFAERQYAVSVKKSEYGTIKNQWQSISPEQAKRFTKFRERKGLIEKDVVRTDRSLPFYEGDGNPNVRLMHDILLTYSFYNFDLGYCQGMSDLLSPLLFIMRDESEAFWCFVSLMERLGPNFNRDQNGMHAQLFALSKVFSLSPPMVYTEFEYDKTLRLWEVLWTHYPSEHLHLYVCIAILKRNRVKIMGEQMDFDTLLKFINELSGQIDLDPVLRDAEALCVCAGENGAASIPPGTPPSLPIQDGSIYQQQDDEVL >KVI01330 pep supercontig:CcrdV1:scaffold_207:279714:289728:-1 gene:Ccrd_020394 transcript:KVI01330 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 21kDa subunit (p21-Arc) MVINGGRWTEVEDESNFQFWPLNVIVKSVQFFRRTVTASSSDQKKSILSSSMKSIIPRANVFFKHFDLKSPADRILVYLTFYINLALKRLEGCRTVTEGTNAIKNLGFEHVHVPGESEVLRDYFKQIREETSRRLLNVAYRGNGTPNKWWLAFAKHYVPHLYSGEPFGFVEDQELMWARSLRDRGVQMLRITIVDILVIPRQNGNNFSNWPGD >KVI01342 pep supercontig:CcrdV1:scaffold_207:63696:65330:-1 gene:Ccrd_020405 transcript:KVI01342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MELQLALSLSSSSSSHSQLDLNHYIHPPKPIQMEDHHHFKRRKKLSVNGHHVNDDDHDHDHDDDGGFHIIPQTLPLLFCNNPKYNQQLLHQDDDNDDDDDDDDVQSTFILMNHNMKRLCRQKNGGGDGGGGGGSDGDGRSKSMYVKVQMEGVGIARKIDLNLHYSYHTLTHTLAHMFGKCNEDVKLTYQDKEGDWLLAGDVPWGSFMKTVQRLKLLKSSC >KVI01329 pep supercontig:CcrdV1:scaffold_207:230447:239541:-1 gene:Ccrd_020397 transcript:KVI01329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, plant MAGNDWINSYLEAILDVGPGLDDAKSSLLLRERGRFSPTRYFVDNVIGFDETDLHRSWVKAQATRSPQERNTRLENMCWRIWNLARQKKQLENEEAHRVAKRRIEREKGRREAVADMSEDLSEGEKGDTISDVSVHGESNRGRLPRMSSIDAMEAWANQQKGKKLYLVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVASPEVDWSYGEPTEMLSPHNSDGMTDEIGESSGAYIIRIPFGPKDKYIPKELLWPHIPEFVDGALCHIMQMSKVLGEQVGGGHPVWPVAIHGHYADAGDSAARLSGALNVPMLFTGHSLGRDKLEQLLRQGRLTKDEINTTYKIMRRIEAEESSLDASEVVITSTRQEIDEQWRLYDGFDPVLEKKLRARIRRNVSCYGRFMPRMVVIPPGMEFNHIVPHDGDMDGETEGAEDHQASPDPPIWTEVQVISEQFISDSYCIIMRFFTNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDNIDEMSSTSASMLLSIIKMIDKYDLYGQVAYPKHHKQHEVADIYRLAAKTKVLDNGLLVDPHDQQSIADALLKLVADKQLWAKCRSNGLRNIHLFSWTEHCKTYLSRIASSKPRYPGWLKNDDDDENSESDSPSDSLRDMQDISLNLKFSMDGDRGNVDNPEDRNSKLENAVSSYSKGVGKGTQRSVSGEKHEQLKFPALRRRTHIFVIAIDADDIGGLFENVRKILEAVDKERSEGSIGFILATSLQMAEIHSFLVSKGVNPSDFDAFICNSGADLYYSSSHSEDNPFVFDLYYHSHIEYRWGGEGLRKTLVKWASSIIDKKAANKNEEHVVTEDEEITTNYCYAFNIHKPGLVSSFMMIFSTYFISHYGMKYSHNLMYLYLRWGMDLSKVVVFVGESGDTDYEGFLGGIHMSVILKGVGSSTSNQLHANRIYPLSDVTPTDSPNIVQTPEECSSADIRASLGKLGVVKA >KVI01335 pep supercontig:CcrdV1:scaffold_207:129160:135931:1 gene:Ccrd_020402 transcript:KVI01335 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MSAVVCGKRSSFFEDHTVPSSSSPSPPASKRIRCSSSSPVQYSSATSSSWFFALDRLIALFPDMDEQLVERTLNQCGDDIETAIKSLTELRLVSVENAESAVGKEAGYQNQPHSVSDFAANGAVNGEAMPFDNSPPPKQPVDGADWVDLFVREMLSASNMDDARTRASRALELLEKSIRERVTTEARGLQQENLMMKEHLQALIQENSVLKRAVAIQHERQKEFEDRGQELHNLKQMVSQYQEQLRTLEVNNYSLTMHLKQAQQGSSIPGHFHPDVF >KVI01325 pep supercontig:CcrdV1:scaffold_207:291262:294259:-1 gene:Ccrd_020393 transcript:KVI01325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol glucosyltransferase, N-terminal MVMMVASPKNSLKAVFERVGVYGFGGGGGGGGGGGSSDRQKRIRYEIHDEDDTMEMVQLGADRTKNVLILMSDTGGGHRASAEAIRDAFKMEFGDEYRIFVKDVWKEYTGWPLNDMENQYKFMVKHVQLWSVAEVEAGLMEYKPDIIISVHPLMQHIPLTVLKWQGLQKKVIFVTVITDLNTCHRTWFHPGVKRCYCPSEEVSKRALLDGLEQNQVRVFGLPVRPSFARAVLDKDGLRVELELDPVLPAVLLMGGGEGMGPVKKTAKALAESLFDNETGKPLGQMAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVGNGAGVFTRSPKETARIVAGWFTTNADERKKMSENALKLAQPEAVFDIVRDIHDLACQRGPLADVSYVLTSSFSSLI >KVI01337 pep supercontig:CcrdV1:scaffold_207:190466:197760:1 gene:Ccrd_020400 transcript:KVI01337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear RNA activating complex (SNAPc), subunit SNAP43 MDLKPFRLDIDELINEFAEGEMTMLADMKRIWLSKKFSCIFEAKPTTKLGFFMQSLYTHCLEKIGIVHTLVNSMLERNLFLYGAIDLKEGSVEERVNELTDIQNARVQHANKKLFADTQIERYIHMDMGKELDLDSLKEMSRDYCMAKEIAFKEAENVIDTQDIKHIAENQTLIGDVVGKTTEDWNVQKETFYQKTRLNQRPSENSSFEQQNNNGNIDAIEFNVEDDAYAEKDDNFCDELEQQLLSLTES >KVI01338 pep supercontig:CcrdV1:scaffold_207:181704:183814:1 gene:Ccrd_020401 transcript:KVI01338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein METVPNENNALVNLDLQPVFLDSPNNMEATPNKRRKKKSIVWEHFTIENVGAGCRRACCKQCKQSFAYSTGNKVAGTSHLKRHIAKGSCPVVLRNQERDLSSPFSAPPKIGSNAMNPAIEPPKRRYRTATAPFFAFDSDRCRHEIARMIIMHDYPLHIVEHPGFMAFVQNLQPQFDMVNFSTVQGDCVATYLREKQSIQRLIEGMPGRICLTLDLWNSCQTTGYVFVTGQFVDSDWKIHRKLLNVVMEPYPESDSAFSHAVSSCLSDWNMEGRLFSLTVNQPLSEIGIDSLRGLLSEKNPNILGGQLLLRNCLARSLSGIAQEALKANHETVKKVRDCVKYVKTSESLEEKFLSLKQQLQVPSTKMLALDDQTQWNTTYEMLLAASELKEVFSCLDTSDPDYSKGPTGEEWKLVENLCTYLKLLFDTAILLTASSIPTTNTRNW >KVI01339 pep supercontig:CcrdV1:scaffold_207:37916:48622:-1 gene:Ccrd_020406 transcript:KVI01339 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MSSLSRELVFLILQFLDEEKFKETVHRLEQESGFFFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKKDRAKAVEILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDTKSARSIMLGELKKLIEANPLFRDKLNFPSLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHSCGQSQPNGARAPSPVTNPLMGSVPKPAGFPPLGAHGVSVTGLLSFSGLNSKTEVFNPSSCSYLKPFQPGPAPLPTSLAGWMANPSPVPHPSVSAGPIGFTPPNNAALLKRPRTPPSNNPAVDYQTADSEHVLKRTRTFGISDEVNHMPVNILPVGYIGQSHGQSSYSSDDLPKAVVMTLNQGSLVKSMDFHPVQQILLLVGSNNGEIMIWELGSKEKLAHKNFKVWDLSVCSMPLQASLTNDNTASINRVTWSPDGTLFGVAYSKHIVQIYSYHGGDDLRNHLEIEAHGGSVNDLAFSYPNKQLCIVTCGEDRLIKVWDAVTGAKQYTFEGHEAPVYSVCPHFKENIQFIFSTATDGKIKAWLYDNMGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYIVEWNESEGAVKRTYNGLGKRSAEVVQFDTTKNRFLAAGDEFMVKFWDMDNVNLLTTIDAEGGLLASPCIRFNKEGILLAVSTNDNGIKILANPDGIRLLRTMENRPFDASRVASASVVKTPMMSMFGAVNTSVGPSIMDRVTPMPSMVAMNGDNRSQIDVKPRIVDESMEKSRIWKLTEISEPAQCRFLRLPDNTSSAMRVSRLIYTNSGLAILALAANAVHKLWKWQRNDRNSTGKATANVIPQLWQPTSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHHKRITGLAFSNVLNVLVSSGADSQVRETRLCFLCVWNTNGWEKQTNKHLQIPAGRVAAPLADTRVQFHQDQTHLLAVHETQIAIYEAPKLESPKQWFPPETSGLITHATYSCDSQSIFVGFEDGSVDQFALGLSDGGVCVVEPLESEGKWGTSPPLENGAGPSNTSGVAASTTDQGQRLYNNNNGDL >KVH98819 pep supercontig:CcrdV1:scaffold_2070:71382:77427:-1 gene:Ccrd_022952 transcript:KVH98819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MTVPEGQQQRHRPRGGAAVTPVETPTRVPLRVLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWSSIIWLCGPLSGFFVQPLAGHMSDRSTSKYGRRRPFIVIGCASIIVSVLLIGFAADIGGLLGDGEKKTRAIVVFVIGFWFLDMANNATQGPCRALLADLTGNDHRRTRVANAYFSLFMAIGNVLGYATGAYSGWFKVLPFTLNSSCDINCANLKSAFLIDVIFIICTTYISVTAIHEQPISSIHDVNSEASSHPQEAFFWEMFGTFKYLPNPVWLILFVTSLTWIGWFPFILFDTDWMGREIYGGDPTGDQKYSDGVRMGSFGLMMNSVILGITSVLMESLCRRWGSGFIWGISNIIMFLCFLAMLVLSFVASKADYGSDGVPPNGIVIAALVIFAILGMPLAVTYSVPYALVSSRIESLGLGQGGFLNYFKVLVSLGSGPWDQLFGGGNSPAFAIAAVASFASGLVAILAIPRSRPSDKSKIRH >KVH98820 pep supercontig:CcrdV1:scaffold_2070:15094:43185:1 gene:Ccrd_022950 transcript:KVH98820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGATTRTQASVSIIFCFCFKTLENQRENFPIFIHRLLVYCRTICVQGNMAVSQREQALSLLAAANNHGDLAVKLSSLKQAKDIFLSADLALLAAELFPYLVELQSSHEALVRKTLVEAIEEISLKTMEYSPVLMQVLLTLLSDDDSVVARQSIVAGTHIFCKVLEELALQFHRHGLVERWLEDIWSWMIKLKDTVFQIALEARSVGPRLLAIKFLETCVLLFMPDNDSRGHNTEATRSAQVFNVSWLAGGHPVLDSVALQSEANRSFLILLDLLRSASVLPGSITISVVSSLATIARRRQVQYSSVLSALLDFDASFIAKGAHNASIQYSLRTAFLGFLRCTHPSREKLLKVLRAMNAGDAADQVMRQVDKMLRNSERASRDARANKDEQPSSHVLMSGDMPRKRSIPMDHEHLGSTDLASKRIRYGSNSNTGPVIQSDSGHDHSVNGASGRVPPLDNGLTPVEQMIAMIGALLAEGERGAESLEILISQIHPDLLADIVVTNMKHLPKSPPPLTRLGSLPVTQQSGLPTTSSQVAPTTQNPVLIAPVSISSNAISTPLSTTATSISLQADPKRDSRRDPRRLDPRRVALPVGNPSEHTMEDVTSLTQSGPVELEFDASALFKRQPPRIVVPSIENTSEILMPKAETELHIPENITKVSQANQSSLKEQVPDEQAIQVGPEVEFNIVSDVAVSPIDYVNKESDAQKSMDTVMTDEDHTLSLVEADQLSPSTVDTPVLEEASADLPVVPSYVELTEEQQSDIRKLAIKRIIDSYKQIKGTELMQTRMALLSRLVAQVDADDDVILMIQKHIVSDYQHQKGHELVMHVLYHLHALRMSDSAEESSVAVVYEKFLLGVARCLLDALPASDKSFSRLFSEAPSLPESAFKLLDDICCSDNNCGKDIRDGDRVTQGLGSLWSLILGRPHYRQAFLDIALKCAVHPKDDIRSKAIRLVANKLYVINFISEKIEDFATSKLLSAVNRPISDTEVSVSGADDQRTEGQKHQLVALRFQSQGHLRMALQWVHNLIPEAIHRHVPILIRALGPSYSDLLCIISDPPQGSENLLTQVLHVLCEGTTPSADLITTVKRLYETKLKDATILIPILSSFTKNEVLPIFPRLVHLPLDKFQTALAHILQGSAHMGPALTPAEVLVAIHDISPEKDGIMDACSACFAQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPKLVDFVMEILSKLVSKQVWRMPKLWVGFLKCISETRPHSFHVLLQLPSPQLEGALNKYGSLRVPLAAYANQPNIKASLPRSTLQSLGLASEPHLQQQQQNPAASFNNPDKSTKVEGTS >KVH98821 pep supercontig:CcrdV1:scaffold_2070:63088:65037:-1 gene:Ccrd_022951 transcript:KVH98821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDDDHHHHQLHGWPSPSEALEEIKAIGKISGPTAMTGLLLYSRAMISMLFLGHLGELELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQMKLLGITLQRTVLLLLSTSLPISFMWLNMKTVLLWCGQDEDISAAAQAFIVFAIPDLFVLSLLHPLRVYLRTQNITLPLTCCSAVSVLLHVPLNFLLVGYFKMGVSGVAVAAVITNLNLLFLLATVVYFSGVHRDSWVSPSTDCLRGWSSLLALAIPTCVSVCLEWWWYELMIMLCGLLSNPRATVASMGILIQTTSLVYVFPSALSLGVSTRVGNELGANRPAKARISMIVSLVCAAGMGLMAMLFTTLMRHRWGRFFTADAEILELTSVALPIAGLCELGNCPQTTGCGVLRGSARPTVGANINFGSFYLVGMPVAIVMGLALKMGFAGLWLGLLAAQGTCALLMLYILCTTDWIVQVERAKQLARPSSSSSLSCPVNPTRKDEANNSMEANLEEIILISTRKEVEMVKADCCLIETHPLIN >KVI04619 pep supercontig:CcrdV1:scaffold_2072:41270:68093:-1 gene:Ccrd_017063 transcript:KVI04619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase 2 MRHLDFFNIKSHHEGKKFDAIAIFHRIAWSRKKKEMDKTYAELRRAVETTELVDAHAHNIVALDSTVPFLSCFSEASGKALSFVPSTLNFKRSLRDIAELYGSESSLQGVQEYRSSSGIEKISNMCFKAAGISTLLIDDGFMLEKMLSIEQHSDIVPFVGRILRIEHLAEEILDEGIRNGKSWTLDTFTDMGTKDVAKVVGLKSIAAYRSGLEINTNITRKEAEEGLAEVLLGEFNYNTFRNKSSDHLSSLLTAGNPVRIINKNLIDYIFVHSLEVALLFDWPIQIHTGFGDKDLDLRQANPLHLRNLLEDDRFLDCRIVLLHASYPFSREASYLASIYTQVYLDFGLAVPKLSVHGMISSVKELLELAPLKKVMFSTDGCAFPETFYLGAKRAREVVFSVLRDACNEGDLSIPEALEAVTDIFAENAKMFYKINNAVKSRIPRHVSDTLAKLDNHVKQEFNSTLQDVVLVVPQKRFDNFVKENGLGLTCAAMGLTSAMDCPADGTNLTGTGEIRLIPDLSTRCRIPWVMQEEMVLADMHSKPGQVWEYCPRETLRRVSKVLKEEFNLVMNAGFENEFYLLKSCLRDGHEEWVPFDSSRYCATSAFDLASPIFHEVVNALQFLNIDAEQLHAEAGNGQFEIALRYTTCTNAADNLIYAREVIRAVARKHGLLATFVPKYTLDDIGSGSHVHISLSQNGQNVFAASDGASRHGMSRTGEEFMAGVLHHLPSLLAFTAPIPNREAPLRTACPPGVADGIVSNFEIKAFDGCANPHLALAAIIASGIDGLRNHLSLPDPIDENPDILRDKLQRLPVSLAESVKALVNDFALESLLGEKLLVAIKGIRKAEIEYYSQNKDAYKKLIHQY >KVI04618 pep supercontig:CcrdV1:scaffold_2072:12034:14762:-1 gene:Ccrd_017062 transcript:KVI04618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPLRSVICSCWLLLIVILQNANCANSVNPKMRTTLLHSQSPDPVPWKEAHATFYGGSDGIDTMGGACGYGDVMKEGYGEHNTALSTVMFHDGQTCGACYEIKCFNNSQWCKPEGASITVTATNFCPPNPSQPNDNGGWCNPPREHFDLSQPSFLMLAEYKAGITPVQYRKIPCVRQGGIKFTISGNPYFLLVLVWNVGGAGDVTGVDVKGDEGAWIPMTRNWGQNWHTGTVLTGQSLSFQVHGSDGRLVESPNVAPKDWQFEKTYEGNNF >KVH96976 pep supercontig:CcrdV1:scaffold_2073:49362:51043:1 gene:Ccrd_000931 transcript:KVH96976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSERKKIFTAGPEDIQFFTELYGFSFGSDFIPLRRDSNLEPERKWVGGMVMRNKEIIKWPKQVTSSLVEQLIKSERNVEKAVIVFDSATAEYANGFRHDHKTYGLIVSKLLSVNQFRRAEDFVDRMRKEDCRVTEEILLSICRAYGRVHKPHDVMRIFQKMKEYECEPTLKAYVTVFSILVDENQLKVALRFYRYMRQLGFPPNVASLNVLIKALSKNSGTMDSAIRIFREMPNHG >KVH96975 pep supercontig:CcrdV1:scaffold_2073:41578:42505:-1 gene:Ccrd_000930 transcript:KVH96975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRWEERHHALTHILTHPPTTTPSLHSQFFISTHIPSNYLLSNYPPIYSPPYHQFRWAFRLFLKRVSRLEGSWRAKCPYQQPPPLVLAKGIHPPKWSHGEKREYVKKRLGRKRKI >KVH92236 pep supercontig:CcrdV1:scaffold_2074:54836:58229:1 gene:Ccrd_005729 transcript:KVH92236 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MTKQKVVVGEPKSGMDMAMAVVPKALFTTEVKKPPLMPGGYITISKKKLLHDLEINGGTRINAWVESMRASSPTSHRKSTQDQTSWMDRHPSALNVFDEITNASKGKQIVMFLDYDGTLSPIVDDPDRAYMSDAMRATVRKLAGCFPTAIVSGRCRDKVYNFVKLAELYYAGSHGMDIKGPSKGSKYKKSPPSVLCQPASEFLPMIDEVYGLLMEKTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAAQVRSVVKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANCTDVFPIYIGDDRTDEDAFKVLRKRGQGFGILVSKIPKDTSASYSLQEPSEASDGIFTTTG >KVI08290 pep supercontig:CcrdV1:scaffold_2075:82707:86857:-1 gene:Ccrd_013340 transcript:KVI08290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSYSPSPPRGGHGRRGRSPSPRGRYGGGGGGGGGGGRGRDLPTSLLVRNLRHDCRGGSGRPSDRRRSPPPRYSRSPPRYSRSPPPRYTRSRSHSREYSPPPKRKQHVRSISPREKRHSRERSYSQSPVKERSPPYDGPPRSRSRSPVMERSPPYNGSRSPSRSPARERVPPRGDRSPVRERRAARDPSRSRSRSPIPRDYSRSEADRDASPSP >KVI08295 pep supercontig:CcrdV1:scaffold_2075:78261:82245:1 gene:Ccrd_013339 transcript:KVI08295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKTSRIKPKSRSPIFLLTAAISAIALLYFLSSLISTTGSDALQTPISSNRNSLRRTDSEKFLYWGNRIDCPGKHCESCEGLGHQESSLRCALEEAIFLRRTFVMPSRMCINPIHNNKGILHHSDNVSSEERWAASSCAMDSLYDLDLISNTIPVILDNSKTWFQVMSTSMKLGSRGVALVEGVSRADLKETSQYSKILLINRTANHLSWFMECKDRNNRTAVLLPHSYLPSMAAKKLRDAAEKIMALLGDYDAIHVRRGDILKTRKDRFGVPRTLHPHLDRDTQPRFILCRIVKWVPPGRMLFIASNERTPNFFSPLSVRYKLAYSSNYSRILDPVVENNYQLFMIERLILGGAKTYIKTYKEIDTDRSLTDDPKKNTKIWQEPVYTKQGC >KVI08291 pep supercontig:CcrdV1:scaffold_2075:22573:24297:-1 gene:Ccrd_013336 transcript:KVI08291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLEDIYKVVAATVPLYVALLLGYGSVRWWKIFTHEQCDAINRFVCFFTLPLFTFEFTAHIDPFKMNYRFIGADAISKVIIVVVLAFWTRFSSKGSYCWCITSFSLSTLTNSLVVGVPLIRAMYGQDYVDLVVQGSVIQAIVWLTFLLFLLECRRSTKTDCSVNIATVELKDLEGNPKSLDDHQVGVAKSSFMSLMKVVSLKLAMNPNSYSCVIGIIWATLSNRFNWPMPIMIEGSVLIMSKAGTGTAMFSMGLFMAKQHKLIACGKTLTVIAILLKFLAGPAAMAVSCIAMGLHGDVLRVAIIQVDTRSPTPAALPQSITSFIYAKEYELHADVLSTAVIFGMIVTLPILVGYYAVLEFLH >KVI08294 pep supercontig:CcrdV1:scaffold_2075:72038:76225:1 gene:Ccrd_013338 transcript:KVI08294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MSLGPAVSSANGNVDEYISQLMQCKPLSEQEVRVLCEKAKEILMVESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTVSLGCHAFLLIILLSFTDINNLRSKFTTILFMLIFLPFQLLVALKVRYPQRLTILRGNHESRQITQVYGFYDECLRKYGNASVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGFNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >KVI08293 pep supercontig:CcrdV1:scaffold_2075:17423:18310:1 gene:Ccrd_013335 transcript:KVI08293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLSRSFSGGRVADIEMANTAAADGVNLDKFFKDVEEIKEELKGLEDLHNQLQESNEQSKTLYNAKSIKNLRTKMDNDVALSLEKAKLIKNHLEALDRLSEANRNLPGCGPGSSTDRTRISILNGLRKQLQSSMKSFTELRQKMAAEHRETVERRYYTVTGEKADETTVDTLISTGESERFLQKAIKEQGRGQVMETILEIQERHDAVTVIERHLRELHQVFMDMAVLVESQGEQLNDIESHVNRASSFVRGGAQQLQVARKTQKNTRKWTCFGILLLFIVIAIIVLSIRPWK >KVI08292 pep supercontig:CcrdV1:scaffold_2075:67298:70329:1 gene:Ccrd_013337 transcript:KVI08292 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor, conserved site-containing protein MNSVYYKEQDDGSSSNPMGSVPWWSGFVTRSSLEESIPLKPSSMENQTTYGRQPSDHDADQVFEKRDITQFNMFTGDCKNPSNWQKGHVDLGFGQPAVICGEYPYGDRCYGVFSTYGPQIKGRMLLPLNLSNDDEPIFVNAKQYHGIIRRRRSRAKAEMAKKVLKNRKPYLHLSRHLHAMRRPRGNGGRFLNTKKKDDCKDEIMDLKRGENVSGSPNCTVLLLDDSNLTSPCEPNGNRSHVSRSEVTSAFSIGDLKHFQIGNLSVVSLSDMMISGNTKHGFSMHGKRVSMASGGSHYNAKWGSNVLYN >KVH87905 pep supercontig:CcrdV1:scaffold_2076:72444:75272:-1 gene:Ccrd_024781 transcript:KVH87905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSTSNGNTDSIELNPIHQQHQINIESTSHNQASQSSPLNLPRLDLLNGERDDFNTICVPLYNASTIGDLEAAKVILDKRRELVRFSIIESYETMLHIAVLSKSYKFVEYLMSLMTEEDIKLSNRNGETAFYLVARVGNVKMAHILVKKNRGVINITDSQGRMPLHVAALFGRRTMVQYLYDDSDKMSGGFWTHQNRSWVLEACVENWLYGQESLAQDRKHV >KVH87906 pep supercontig:CcrdV1:scaffold_2076:41757:57240:-1 gene:Ccrd_024780 transcript:KVH87906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MKLPKAERDDIIRGPPDEKDAKQKYSSRLLFLAAENGNIEFVVEVIRQYPYLALEVDDNNHSIFHVAVLHHHLRIFKLLHKMKHIKDSVITLEDKNGNNMLHLIGENVEGMGPLLNEELLWFQEVATIVPQGLRDKRNFTGLTPAQVFFNRHTDLFFKLEAWIKEAATQLMVVAALVATMSFAAAFTFPGGYKQDTGIPIFLGENLSQIFIIFDILSFISSTTSILLVLFILGSNYQSYDLMIPLPKQLKVCLASVFFSITTIIITFVINLCLLYRTHSRWGPTLIGGSAIIPFLIFLSIRYHLLYLLYPRVYDRERRSTNRDNERDIKPERHCRQPPSQSPTTVTVTTTSPSPTTITDTTTSPLMSLSPPPRQPPSLSPPPHRRRPPS >KVI11178 pep supercontig:CcrdV1:scaffold_2077:7252:9165:-1 gene:Ccrd_010414 transcript:KVI11178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLLRFSFNFDGNTSYSQLLFTQTQQPNIYVWNTFIRGLVSHDHFHESIRWFYSMRQMGFLPNHFTFPFVLKACTRVLDLRLGVELHTVMVKVGYDWDVFVKTGLVCFYAKCGRLDDACKVFDDIPEKNAVSSTAIISGYIEAGKFIEAIDIFKRLLVMNLRPDSFTLVRILSACSQLGDLRTAEWLDGCITNLGIGRNIFLGTALVDTYAKCGSMERALVTFDQMLEKDAVTWGAMIQGYASNGKPKEALDIFYRMKTEKLKPDCYTIVGVLSACSSLGALELGEWARGLVDKNEFLYNPVVGTALIDMYAKCGKIGHAWRVFKEMKEKDLIVWNAAITGLGMSGHAKLVFGLFGQLEKLGMHPDGKTFMGVLCGCTHAGLVDDGRQYFNSMKRVFSLTPSIEHYGCMVDLFGRAGLLNEAHLLIKGMPMEPNAIVWGALLSGCRLHRDTQLAEYVLKRLIKLEPWNSGNYVLLSNIFSANHKWEEAVKIRSTMSHGGIQKIPGCCWIEVNGLVHEFLVGDKSHPMSEKIYTKLSELTNKSKQMGYVPTTEFVLFDVEDEEKEHFLGCHSEKLAIAFGLISTKSSDVIRVVKNIRICGDCHMAIKLFSKITGREIVLRDNNRFHSFIDGSCSCGDYW >KVI01655 pep supercontig:CcrdV1:scaffold_2078:61277:62773:1 gene:Ccrd_020067 transcript:KVI01655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MTGLFKDEQPCEASKEVSTTNRSKFDQETGSSNTLERGPGEDIIVKSPSFLYFPLQMDRTLVRQVVAEALGSFIVMFSIGGIIASTELMRGQVGLLEYAVTAALAVIMVVFSIGHISGAHVNPAVTIAFATVGPFPWKKVPLYIVAHVAGSAIATYAATLVYGMKPEVMTTRPLAGYSAAFWAEFMSTFFVLFLTASLVHAPPSVTQFSGFIVAVGIGLGVLITAPISSGSMNPARSLGPAIVSFNFDGLWIYLTAPILGSVSGAFTLRMLKPCASSTPSSSSSSSRRSQFLQLD >KVH93741 pep supercontig:CcrdV1:scaffold_2079:15792:18461:1 gene:Ccrd_004202 transcript:KVH93741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hly-III-related protein MDIAPPLMAATRWXFFVFLXXSMFCLLSSTTCHLFGCHSHRLNLQLLQLDYIGIAVMIITSFFPPIYYIFQCDPLSQFIYLGGVTVMGVFTIITLLSPALSSGKFRSFRAFLFMAMGLFGLIPAIHAIILNWHEPQRNSTLVYESVMAASYLIGTMFYICRIPERWKPGWFDLAGHSHQIFHCFVIMGALAHYGAALVFLDMRGGVSCE >KVH93745 pep supercontig:CcrdV1:scaffold_2079:51516:56097:1 gene:Ccrd_004205 transcript:KVH93745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSWFSLSLPNPFNSDDDQTSPPHSPTSKPDFSLITQTIGRQLHNVASFLAPPPQPSSSTPPPQFHDSGDVSTSPYGGIKNDLAEIGGSFKIGLSLLSSNKAVSEISKFASNLLQFDKGGDEIEIEAVGITNDVVDFVQEISLRPECWIDFPLSLQHKDHASAIEELVPSLTTLRHKVQSYMSEQEFWVIYFILLLPRLNEDECRLLSTPEIVQVREVLLQKLRKKNAPMEFSETENPREPENRNSSEKGFQVNSSERIKISGDTSANRMKTENNENCELSEQHHEDDVSFSDLEDEDNDLSGRLSGIRQTQSSNLSSASESGDWIRLNETQGGERKARTHERRSESEGSSDWLTVEDVDL >KVH93743 pep supercontig:CcrdV1:scaffold_2079:2117:9810:1 gene:Ccrd_004201 transcript:KVH93743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDVGPGLDDAKSSLLLRERGRFSPTRYFVDNVIGFDETDLHRSWVKASVAQATRSPQERNTRLENMCWRIWNLARQKKQLEGEEAQRMTKRRLERERGRKEAVADMSEDLSEGERGDTISDVSVHGDSHRGRLPRISSTDAMEAWANQQKGKKLYLVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVASPEVDWSYGEPTEMLSPHNSDGLTEEIGESSGAYIIRIPFGPKDKYIPKELLWPHIPEFVDGALGHIIQMSKVLGEQVGGGHPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLRQGRLSKEEINDTYKIMRRIEAEELTLDASEVVITSTRQEIEEQWRLYNGFDPVLERKLRARIRRNVSCYGRFMPRMVVIPPGMEFNHIIPHDGDMDGEAEGTEDRQASPDPPIWAEIMRFFTNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDNIDEMSSTSASMLLSIIKMIDKYDLYGQVAYPKHHKQNEVPDIYSLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDEKSVADALLKLVADKQLWSKCRSNGLRNIHLFSWTEHCKTYLSRIASCKPRQPGWLKNDDDDENSESESPSDSLRDMQDISLNLKLSMDGDKGNVFSSFDSEDRKSKLENAVMSYSKGVVKGEKSDQAKFPALRRRKHIFVVAVDADDIGGLFENVRKIFEAVDKERSEGSIGFILATSLQMAEVHSFLVSKGLNPSEFDAFICNSGADLYYTSSHSEDNPFVFDLYYHSHIEYRWGGEGLRKTLVRWASSIIDKKAQNKNEEHVVTEDEAVSTNYCYAFKVPPAKELRKYLYLRWGMDLSKVVVFIGESGDTDYEGFLGGVHKSVILKGVSNNQLHANRIYPLSDVMPTDNPNVIQTPEAFTSAHIRTSLAKLGVLKE >KVH93742 pep supercontig:CcrdV1:scaffold_2079:31394:33323:1 gene:Ccrd_004203 transcript:KVH93742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MVNTFKIWTYKEGDIPLMHTGPMKNIYSVEGDFIEEMERKGNPMAANHPDEAHAFFIPISITNIVRFLYTPAERYGYRQRLQAIVEDYIGVISERYPYWNRSNGADHFYVSCHDWNVIRVLCNANSSEGFIPQRDVSMTEINGPFDNIPTVSSGQSPYNRSMLAFFAGGNHGVVRKKLFEYWGNKEDDDIQVYTRLPIDQNYTEFLSQSKYCLCPSGYEVASARVTEAIYVGCIPVTIKSHYVLPYSDVLDWSRFSIQVSVDQIPDLKRILEAISFSKYLEMQKRVMEVQKHFMVNIPAKQFDVLHMILHSVWLRRLNVQLLKS >KVH93744 pep supercontig:CcrdV1:scaffold_2079:43103:50188:1 gene:Ccrd_004204 transcript:KVH93744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTASQLHIYFYSVRTQTPARHLPVSLSSLSLSKNDSGVLLLNLVYKLKSALGFINADDTITRISEDFHIERMSAGGSQKSLRKALGAIKDSTTVNLAKVNSDYKELDVNIVKATNHVERPAKEKHMRAIFAAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFQEELINYGRSSNHLLNLSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDVETERLRTRDLDTPELLEQLPALQQLLYRVLGCQPQGAAVHNFVIRLALSLVASESVKVYKAISEGSLNLVDKFFEMQRHDALKALDIYRRAGQQAERLSEFYEICKSLDMGRGDGFIKIEQPPSSFIQAMEEYVKEAPRASNVRKDLSIDGKPKGILAIEHNKEAELQEKCPPSPPVEPKPEPEPEPEPVKAEVHFAEQSPDLLKNAMALAIVPISDQPSSTAATMIDGTAGWELALVTASSSNESATASSKLAGGLDKLTLDSLYDAAVRRTNQTASYNPWEQGPMMPQQTTLDPFYASNMMAAPHNVQMAAMAQQQQAFALHQQQQMMMMHPQQQSSNPFGNPYVDGRHPYNAGVPVQPYNQYNGLI >KVH94759 pep supercontig:CcrdV1:scaffold_208:262153:267820:-1 gene:Ccrd_003179 transcript:KVH94759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF288 MGVGFDSMLVQQERDSKPQNPKSSSLPTTLRSNRFSPSKSLDFSTWFSENLYKIVTIGVLIATVAALFFLRNVGDSAALLCFQSQTQQLETIHFPQINYNSIVSIADKTTSYSNFRSEQWIVVSVSDYPTDSFKKLLKIKGWQVLAVGNSQTPSDWSLKGAIFLSLEEQAKLGYRIVDFLPYDSYVRKNVGYLFAIQHGAKKIFDADDRGEVIDDDLGKHFDVELVGESARQEVILQYTHENPNRTVVNPYIHFGQRSVWPRGLPLENVGEIEHEEYYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKPNLEPFDIRFDEHAPKVAFPQGMMVPMNSFNTMHHYSAFWGLMLPVSISSMASDVLRGYWAQRILWEIGGYVVVYPPTVHRYDRIEAYPFAEEKDLHVNVGRLTKFLVSWKSDKHRLFEKILELSYAMAEEGFWTEKDVKFTAAWIQDLIAVGYLQPRLMTLELHRPRAAIGHGDRKDFVPQKLPSVHLGVEETGTVNYEIGNLIRWRKNFGNIVLIMFCNGPVERTALEWRLLYGRIFKAVVILSEKKNAELAVEEGHLDHQYKHLPKLFNRFTSAEGFLFLQDDTVLNYWNLVQADKTKLWITDKVLKSWSTVSFNGDKDWYGKQGEMVKKVVSSMPVHFQVSYKKYMTSHESSLTICDSEVFYVPRRLVNDFKDLVNLVGNLDIHQKVAIPMFFLAMDSSENFDSVFSTMVYKQEPPSNTSLSYYSPEAPAVHPWRVSSEQEFIKLIRIMAAGDPLLMELV >KVH94752 pep supercontig:CcrdV1:scaffold_208:224439:234880:1 gene:Ccrd_003181 transcript:KVH94752 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW-like protein MATTNSATRSPNTPRRICSVCERPATVCLCDSIPAEPLSTATQIVILQHPHEQRHRLATVPVLNKCLRNCQTLIGRRLRRGDSALLDSLHDAAAEENPNQSLHAAFLFPGTDITPSMEINQWKSSFGDVDMNKFVLIAFDGTWKHAKEMVCASFPFLSKFATQVCLTYDLNVDGGTIFSSDLILRKEPFGGCMSTMEAVARCLRILEPNGVEIESKVIGVLRTMATLAWLNPRTKSLSPMFALPKNA >KVH94756 pep supercontig:CcrdV1:scaffold_208:357294:382807:1 gene:Ccrd_003174 transcript:KVH94756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKKQRRQTTEESMEVSTDAAISFAGESDVCQQLLDRYGKSAAPQHRHLCATAAATRSIIQAESLPLIPLSYFAATIDALSDTSRITHDADAVSALSSFLAIVLPLVPEKSIATSKAAEATEIVIELMESPCEGLPVSSVRALVKCLGVLLEFCDLDDWESVKSGFQTLMKYSIDKRPKVRKCAQDCVVKVFKSFQSPVKKRASKLVLKSLKTYMPLAVKTVASRSADESKDDSLSKCEHLEVLHMLNLLKFLVPYLPSKVISKAVVELQKAISVRFSALTRHTFDVMEEILRFLEVGSTIPDIVKIVATLASYISVRQNPVDTIFSASALLVNFLTKFQIGDSNKWNSHYSLVTGSITGRFISLLDMIIFFDYLMMGTMKSLLTSEATATKASIIIKEMINDHIDVDILSSSKMMLADENYVDSKESRILKSLCDMLLKVLSTNRGIPNEHTLAVISVLFLKLGKSSHIYMGSILLKLASFMTVASGNTSDVKHLQECLGSAVIAMGPEKLLEAIPITLDANLTCSNIWLIPILKDYVAGSSLGFFIECIVPLADSFQEACQKVKMSVIREELQAHARGCWELLPAFCRYPHDMHQNFQSLAKLLISCLRKDSFMLETVALALQHLVKQNRSVLSADQGDVEVSKLPKTSYSKKTATRNIKALASCSEELLQAFTKLLVKVPLEKHAFLKDTIECLALITDSSAITKIFLSSLKRLKLNVSVDKVIDDKSSTNKNANRCLILELASSVVGAASLDLIDLIYNFIRQSLQEEDDNIQSESYATLYKILEKSSGFCSSRFEELVELLLGFKSPAYVTSLRWRFSCFRTLLIHSIERTSDGENTHGFRMLNEIIVTLKDSKEESRKVAYDILLGMSSSLQKTSSSPDKGPYYEFISMIMGYLSGSSPHIKSGAVSALSLVIYNDSKICNSMPDLVPSILELLHSKAIEVIKAVLGFLKVLVLSLQVRDLQNFMSDILSGLLPWSSVSRHHFKSKVTVILEIIMRKCGSASVKSLVPEKYRDFVKNVLENRQGKTSSQEAVTTKTEAENSDTTPKSRQKKIPKAAGSITSNNNERSTEPRKRKRDDKRSSYKPRKFAPAGNTVDRKKEVKHSGKRKIANFKSDNSGGKRRKQWTKKNTRV >KVH94750 pep supercontig:CcrdV1:scaffold_208:137438:139963:1 gene:Ccrd_003187 transcript:KVH94750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding-like domain-containing protein MAERGGERGGFGRGFGGRGGRGGDRGRGRRRGGRRDADEEKWVPVTKLGRLVKDGKITKLEEVYLHSLPIKEHQIIDQILPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRLVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWRETRFSRSPFQEYTDMLAKPTTKAITYVEDVADIPA >KVH94739 pep supercontig:CcrdV1:scaffold_208:74690:79077:1 gene:Ccrd_003193 transcript:KVH94739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase MGEAPAFFADDLQARFSNGFHLKLKDFETATVVGNKTYVIGGIDDPTSTGVRIFDKSIGEWPIVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRAPREKEENGVHYHFTDRSVMEEEIKAGKFLEFAAVHGNLYGTSIEAVEIVADAGKRCILDIDVQGARSVRASSLEAIFIFICPPSFEELEKRLRARGTETEEQIQKRLRNAKAELEQGNSPGLFDHILVNNDLEACYERLKKILGLNGTTEVFALPMELSLSIIDEKILINSGNADQKIVLDLSSMKGGAPGRTRGLYMSTTGLHSDDVTNGNF >KVH94747 pep supercontig:CcrdV1:scaffold_208:190267:217738:1 gene:Ccrd_003182 transcript:KVH94747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPHGMTAEEYHNFRRDRQIEELQRSAELITQQLARLLDDKQRNDGKLQAERGNQNNDEYEDVQEDTVNNESSEDEEEPSSPFTWTLSFPIQQHRGSDKYSNLHCKIFQYPRWRLASWLHHQYAIPKSVAFYNSIRDRQNSGKLERQIPIDILPSLLFKIQANKHQIAPIRTQKQ >KVH94745 pep supercontig:CcrdV1:scaffold_208:128859:129209:-1 gene:Ccrd_003188 transcript:KVH94745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRVMRVERWNDDQLPPLVEAPPLKVAESPSTHRSMETLVVVLAIITIVGVIAGFIARLCGGRHYDGNGGDHEIEGWIESRCRSCIDAGISPPPPPPPAVAAAAAVPAPQEEAKK >KVH94754 pep supercontig:CcrdV1:scaffold_208:170562:186941:-1 gene:Ccrd_003184 transcript:KVH94754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MELVAQTTAAPVQSAPQQERVQAIIYAFELFAELRSCALEDQKVAHQLATSMSNSLKGRAVQGRVYQGKEPPQFVAIFQPMVVLKGGLSSGYKSYIADKGLTDNTYRPDATALIEISGTSAHTNKALQVEAVATSLNSYGCFVVQSGSAVFTWYGNQSTVEQQQLAAKVVECLKSRVPVKFVKEGKESLAFWLALGGKRDYTSKKVTQEIVKEPHLFAVSLNKGFKSIEEVYNYDQDDLLPEDVMILETHAEVFVWVGQSVDPKEKQSAFEIGQKYIELAASLEGLSPYVPLYRILEGSEPCFFTTYFSWDPTKATVSGNSFTKKATLLFGAGSVENQDKSHGTKSGGATQRASAMAALTNAFRSSSVTKTPTSPRMPTRGSQRAAAVAALSNVLTAEKKGQPESPTRRQHKRNPSSEPGSPAGFHKRYPSSEPGSPSGTQTLLWLDACKLNIKMYKELPMGLCAAPFKSEEASTFFVNNESSQVITEPIPETNEEESSMKQTTEDSSTKQTTEDSSTKQIHEQEEGGGVDIQTSYSYEQLNTKSKNPITGIDYKKREAYLSPEEFEAIFKMTKEAFYQLPRWKQDQNKKKVDLF >KVH94751 pep supercontig:CcrdV1:scaffold_208:120592:122655:-1 gene:Ccrd_003189 transcript:KVH94751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRVLQQIHSYILTRSLPFSTLCFSLSKIISHCALSPVGNILYAERLLFQAPNPNIFSWNSVIRGFSKSQISPTSQSISIFRSLIRRGCPNPNTYTLAFVLKACMILQAFQEGQQVHSYVIRHGFSSSSFLQSSFVGFYAKCEEIMFARKVFDEIPERNMIAWSTMISGYAKLDMFSEALDSFISMQKAGVVPDEVTMASVISACAGLGALDVACVHGQLVSEGRRRWASMLELGIEPSVEHYSCMVDIFCRANLFEEAYTFIRNMPIEPDPVIWRTFLVACKKNKDMVRGEIASQQLLESEPSNPENYALLSSFYAACSEWSKMGHIRKQMRDKGMKTVPGCSSIEVDGFINEFVLGDWSHPESNEIREVLGDILKRLNSLGYRPDVSGVLHDVEDEAKKEALCEHSERLAIAYGIMKTKAPVVIRVVKNLRVCDDCHEVTKIISAVYEREIVVRDRVRFHRFVGGSCSCKDIW >KVH94740 pep supercontig:CcrdV1:scaffold_208:83711:85867:-1 gene:Ccrd_003192 transcript:KVH94740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MFIEAASTSTPQDHHHHHHHHHQQPDDDDDDDDENIREIHALTPPPPYHPRRRETWETASHRSSAPSVATTETENFTTMSREFNALVLAGNDIDVNEHNEPTNLERIREEETNPLAIVADNNPRVASPTRRDGGGGGGGGGMSLISTGGGGGGGGGDSDGSSVQRVKKEEVESKISAWQNAKIAKINNRFKRDDAIINGWEREQVQKSTSWMKKVERKLEEKRAKAMEKMQNDVAKARRKAEERRATAEAKRGTKVARVLEIASLMRAVGRAPTKRSFF >KVH94755 pep supercontig:CcrdV1:scaffold_208:343729:354552:-1 gene:Ccrd_003175 transcript:KVH94755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF642 MYLYPKGYLANGNFEKAPEPSNLQKTVIVGKYSLPKWEISGIVEYVSGGPQPGGFYFAIPRGAHAARLGNEASISQVVNVKAGSIYSLTFSATRTCAQDEVLRVLASGKSEDLPIQTLYSSDGGDTYAFAFMATSDRAKNFSTGVLLLPKIHDVVSPLPGWIIESLKPVKYIDLKHFQVPEGQAAIELVGGRETAIAQIIRTVPKKVYILSFTIGDAKNGCHGSMMVEAFAASETLKVNYESIGKGGFKSASFKFEAISTRTRLTFFSAFYHTKLNDYGHFCGPILDDVKVFAVSH >KVH94741 pep supercontig:CcrdV1:scaffold_208:93945:102246:-1 gene:Ccrd_003191 transcript:KVH94741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, III-V domain-containing protein MPGAQQFEPWTGPILILNKIRTLALQGDTDCELRLSKLAMEMAVGLHNYVTPTLNPNHRTSSFSSSILRTQLSVGRTLSSYSFSTKSSSFSHSRRNPLHNFIKCSVSEAEAIDTVDEQKVALVRRNDIRNIAIVAHVDHGKTTLVDAMLKQAKVFRDNQVVEERIMDSNDLERERGITILSKNTSITYKDTKMNIIDTPGHSDFGGEVERVLNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPEFVINSTFELFIELNASDEQCDFQAVYASGIKGMAGLSPDNLADDLGPLFETIIRCIPGPRIKKDGALQMLVTSTEYDEHKGRIAIGRLHAGTLSRGMDVRICTPDDACRFGKVSELFIGETIADKNDGKALPAIRVEEPTVKMAFSINTSPFVGRERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRRENYEFMVGPPKVINKRVDDKLLEPYEIATVEVPEEHVGPVVELLGKRRGLMFDMQGLGSEGTTILKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWSGDMSTRDLGSLDGTTTSYALASSQERGQLFVRPGTEVYKGMIVGIHQRPGDLSLNVCKKKAATNVRSNKEVSVVLDTPMDYSLDDCIEYIQEDELVEVTPKSVRMCKNAKMNKKGR >KVH94742 pep supercontig:CcrdV1:scaffold_208:112647:119356:1 gene:Ccrd_003190 transcript:KVH94742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNCCAAPSTTDSEKKKGKNKPNPFSLDYGGSTPSGNGGYKTTVLENPTGHEIEKTYVLGKEMGRGEFGITYMCTDKSTGEVFACKSISKKKLRTRVDIEDVKREVEIMKHMPPHPNIVSLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAGVTRTIVEVIQMCHKHGVMHRDLKPENFLYANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDAAKDLVKKMLNPDPKLRLTAQEVLDHPWIQNAKKAPNVSLGETVKARLKQFSVIAEHLSAEEVAGIKQGFDLMDTSKQGKINIVELKAGLQKLGHQIADADLQILMEAGDVDKDGYLNYGEFVAISVHLRKMGNDDHLKDAFAFFDKNQSGYIEVEELREALADEDEANNEEVISAIIHDVDTDKDGRISFEEFTAMMKAGTDWRKASRQYSRERYNNLSLKLFQDGSMDLANEAR >KVH94753 pep supercontig:CcrdV1:scaffold_208:204918:211527:-1 gene:Ccrd_003183 transcript:KVH94753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MSSKVLEPAFQGVGQKAGIEIWRIENLQPVPLPKSDYGRFYSGDSYIVLKTTGKAGAYMYDIHFWLGKDTSQDEAGTAAKKAVELDAVLGGRAVQYRELQGHESNRFLSCFKPCMMPLEGGSASGIKTPEEEKFETRLYTCKGKRVARLKQVPFTRSSLNHDDVFILDTEDKIFQFNGANTNMQERSKALDIVQFLKDKYHKGKCKVAIVDDGKLQAEGESGEFWVMFGGYAPIGKKVATEDDIVPERIPAKLYCIAGGQLQDIGGELSKSLLHNEKCYLLYCGSEVFIWVGRATRVEDRKAAMQAAEEFIAKHDLPKSVCVTQLIQGHETNSFRSNFGSWPCSAAPPVEDSRGKVAALLKQQGGGLKGQTKTSPVEGEIPPLLGENGKVEVWRIDGEAKTPISSEDIGKFYSGDCYICHYPYHSNEKKEDHYLCCWIGKDSVQMQSINPDMAYCNP >KVH94743 pep supercontig:CcrdV1:scaffold_208:55886:59578:-1 gene:Ccrd_003195 transcript:KVH94743 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDFSNRLXEKDLAFPLFVLSTLVXLLLIVKRAKSLLSDRIKNLPPSPPQLPIIGNLHQVGKNPHVSIANFAQEYGPLISLRLGKQILVVASSPEAARGILKTQDRLLSSRSVPTAFQHPSMLPHSLLWSECNHSWKTLRTLCRTELFSAKAMEEHSKLREDKLDRLTDFLHRNQGRVINTETIVFTTLLNTLSCIVLGKDLLDLNVEHEDEHGIGGKLKESLLKINEYGGHVRDFGSFFPMFQSFDLQGIKRGSMNHMQKVFASWEDIITERRHVLNSGTTLHYEQTKSFVDHLLENGFSDSQINQLVTELFIAGTNTTTTAIVWTMTELVRNKEVMLRLNEEMEKAIGFEKITESQLLKLPYLQACVKEALRLHPPVPFLLPHMAAETCDVMNYTIPKNARVFVNVWAIGRDRNVWEDPLSFRPERFLESKVDLKGHDFELLPFGSGRRSCPGLPSGVKSLEFLIASLIHEFDLVLPNDEDPTKIDMNEKFGCIVLGKDLLDLNVEHEDEHGIGGKLKESLLKINEYGGHVRDFGSFFPMFQSFDLQGIKRGSMNHMQKVFASWEDIITERRHVLNSGTTLHYEQTRSFVDHLLENGFSDNQINQLVTELFIAGTNTTTTAMVWTMTKLVRNKEVMLRLNEEMEKAIGFEKITESQLSKLPYLQACVKEALRLHPPVPFLLPHMAAETCDVMSYTIPKNARVFVNVWAIGRDGNVWEDPLSFRPERFLELKVDLKGHDFELLPFGSGRRSCPGLPSGVKSLEFLIASLIHEFDLVLPNEEDPTKIDMNEKF >KVH94758 pep supercontig:CcrdV1:scaffold_208:334634:341481:-1 gene:Ccrd_003176 transcript:KVH94758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1138 MTGAKILGAVAGAIAVGFACDHIFADKKIFGETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRADS >KVH94746 pep supercontig:CcrdV1:scaffold_208:253729:258368:1 gene:Ccrd_003180 transcript:KVH94746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSTPFRLPTTKVDQLSSISQKHYFLHSFLPKKSVHSRTPSLGVKCAVVGNGLFTQTTQEVRRIVPENKQGLPTVKIVYVVLEAQYQSSLSAAVRTLNSSNKFASYEVVGYLVEELRDVNNYNSFCADLEDANIFIGSLIFVEELALKVKAAVEKQRDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKNKKKSSAGFSDQMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLVNFVKMISGSYVPALKGMKIAYSDPVVFLDTGIWHPLAPCMYDDVKEYLNWYDTRRDTNEKLKNPNAPVVGLVLQRSHIVTGDDSHYVAVIMELEAKGAKVIPIFAGGLDFSGPIEKYLIDPITKKTFVNSVVSLTGFALVGGPAKQDHPRAIEVLMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIIFSGRDPRTGKSHALHKRVEQLCTRAVRWAELKRKAKTEKRVAITVFSFPPDKGNVGTAAYLNVFASIFSVLKDLESDGYNVEGLPESSAALIEDILHDKEAQFSSPNLNVAYKMGVREYQQLTPYATALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSYVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGQQIVSSIISTAKQCNLDKDVDLPEEGVEISSKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPTAMEAVATLVNIAALDRPEEGICSLPSILAETVGREIEDVYRSSDKGILKDVELLKQITDVSRGAVDAFVQRSTNSKGQVVDVAGKLSSILGFGINEPWIQYLSETKFYRADREKLRILFQFLGDCLKLIVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMQSAMIVVDRLLERQKADNGGKFPETVALVLWGTDNIKTYGESLGQVLWMIGCRPIADSLGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPVEQNYVRKHALEQAETLGVDIREAATRIFSNASGSYSSNVNLAVENSSWNDEKQLQDMYLSRKSFAFDSDTPGAGMSEKRQVFEMALSTAEATFQNLDSSEISLTDVSHYFDSDPTNLVGSLRKDGKKPNAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEEMLNRLMNTNPNSFRKLLQTFLEANGRGYWETSDDNIEKLRQLYSEVEDKIEGIDR >KVH94757 pep supercontig:CcrdV1:scaffold_208:327964:330883:1 gene:Ccrd_003177 transcript:KVH94757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein LISRLLIIDTNEILCEPSAASHVGSLLLHHLKLFVFHVGMLPNGHFELGPKPSNIKRTVIIGKYSLPKWEIKGIVEFISGGPQPGGFYFAVPRGTHAARLGNEASIAQYVNLEVGSTYSLTFSATRTCAQDEKLIVFAGGNSGELPIQTLYSTDGGDTYAYAFKATRNITKITFHNPGVLLLPKIHDIVSPLPGWIVESLKPVKYVDSKHFSVPSGLSAIELVGGRETAIAQIIRTVPNKFYKLTFVIGDAKNGCHGSMMVEAFAARETLKLRLNSQGKGDFKTGTLKFKAISTRTRLTFYSAFYHTKLHDYGHFCGPVLDDVK >KVH94748 pep supercontig:CcrdV1:scaffold_208:161473:167225:1 gene:Ccrd_003185 transcript:KVH94748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASNLDSASSNSSDVSQFQHTPSYCEENVYLLCKKLCADGVADVSDLFVVFISNENKHIPLWHQKASHRADGIIIWDYHVICIQRRKEGKAVDLVWDLDSSLPFPSTLPSYVSESIRPSFELFSEFQRVFRIVHAPLFLRYFASDRRHMKDSEGNWTSPPPEYQVLVAEDGTVHNLNEYINMSTKDVLEDVGDDSVNAVFTKQFGVLVGESQLEQFFSYISR >KVH94744 pep supercontig:CcrdV1:scaffold_208:59539:65524:1 gene:Ccrd_003194 transcript:KVH94744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSERTQRLNAARRSNLYRGSARMAYAAVKSAKIGLEEPQEQIHKIRITLSSKNVKNLEKGISVCNLNFSFPLLSNKNTNGYNSRSCLKTSHLKGIYCYVICC >KVH94749 pep supercontig:CcrdV1:scaffold_208:144856:148221:1 gene:Ccrd_003186 transcript:KVH94749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MGTPAIPNLGKQCSVNDCKLIDFLPFTCDCCNKVFCLEHRSYSTHQCPKANKNDLTVVVCPLCAKGVHLVPDQDPNITWESHVNTDCDPSNYEKVTNKKKCPVRGCKQTLTFSNTIKCRDCTLDHCLKHRFGPDHSCPGPKKFEPSFPFWGFSNGKPQNPPPKRATNQVHPGSSSSSSKWATSFLKAASSVKASAEAGMAKLSNDIGQAFQGGKDGDGPSRGGEVEVCPICKMKFSLVADLIDHVQKVHEKKGVMNVTLDVCPKCSKGFRDPVALVEHVEKEHRGTFKA >KVH87904 pep supercontig:CcrdV1:scaffold_2080:44210:68323:1 gene:Ccrd_024782 transcript:KVH87904 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGFNPSVSYCRPVPNGVWETALENEFGTYTPCATDSLVTGISHLVLLGTCLYRIWVTKKNLKVQRFKLRSKIYNYWLGLLALYSTAEPLFRLIMGVSAFNVDGETGLAPYEIVTLVIKALAWCCMFVVIGLETMVYVCEVRWFVRFGVLYVLLGDAVLFNLVLSVSSYYSRYVLYIYASEVVIQVLFGVCLIVYLPKLDPYPGYTPIRTESLDDAEYEELAGGEQICPERHTNIISNIFFAWMDPLMSLGYKRPLTEKDIWKLDTWDQTETLNSRHVIVSTCIFEKCWAEECRKPKPWLVRALHRSLGGRSVQIHIGNDLSQFVGPLILNQLLLGKLSAAVAPFLISVSVDSLSFSFLILDNLQSMQERGPAQIGYIYAFTIFVGVVLGVLCEAQYFQNVMRVGYRLRSTLIAAVFRKTLRLTNESRRKIASGKITNLMTTDSESLQASSMPVTSHFVVCPFPYHSRFDSSLPTTGCCFAPWCIIASSHTFVISRMQKMSKEGLQRTDKRIGLMNEILAAMDTVKCYAWENSFQEKVQSVRTEELSWFRKVQMLGSLNTFILNSIPVVVIVVSFGMFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNMITQSDRPVLSNINLDIPIGSLVAIVGSTGEGKTSLISAMLGELPPVSDAHVIMRGTVAYVPQVSWIFNATVRDNILFGSVFESARYEKTLDVTALHHDLDVLPGGDLTEIGERGVNISGGQKQRVSMARAVYSKSDVYVFDDPLSALDAHVGRQVFEKCIKEELRGKTRVLVTNQLHFLSQVDRILLVHEGMVKEEGSYEELSENGVLFQKLMENAGKMEEYVEEKEEAGEADTKTSIPVTNGVAGELAKDADKKKGPKSVLIKQEERETGVVSFNVLKRYKDALGGWWVVVILFGCYASTETLRILSSTWLSIWTDESSPKTHSPLFYNLIYALLSLGQVLVTLANSFWLIITSLIAARKLHNAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLLSTFVLIGLLSTMSLWAILPLLLLFYAAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMSKINGNSMDNNIRYTLVNMSANRWLAIRLETVGGLMIWLTATFAVMQNGKARNQEAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDNRPPPGWPTSGSIKFENVVLRYRPELPPVLHGLSFTIPPTDKVGIVGRTGAGKSSMLNALFRIVELERGNIIIDNCDIAKFGLTDLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDPDLWESLERAHLKDVIRRNPLGLDAEVHVHFLFRVIDQRTLFSPSQIVDLEKVLVCVSYIMIIITSNILFLVIKVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMIIIAHRLNTIIDCDRILLLDAGQVVEYDAPVKLLEDEQTAFSKMVQSTGAANAEYLRSLAFGAEGDKAEKAAIDGQRRWLASSRWAAAAQFALAASLTSSQNDLVHMEFEDDNNILKKTKDAVVTLQGVLKGEHDKDIEETLDRSHVPRERWWSALYKVVEGLSMMSKLGRNKLHQSEYDLKDEQIDWDHVQM >KVH96974 pep supercontig:CcrdV1:scaffold_2082:35726:50487:-1 gene:Ccrd_000932 transcript:KVH96974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRHDSRHTDGSGGSLPYDEFEDRDFHLKHNSRGDNERLRTTDPDRGKDRDRRHRDYSERSGRDERGRGRNDDNGRGNRDYSRRHDYDRDRERHHRHRSRSASPDRSRDTSRSRSKSKRTSGFDMAPPTAATLPGAAGQFSDVPQSMPGMLQNMFPFGTTQLGALPLMPAQAMTQQATRHARRVYVGGLPPLANEQTIAAFFSHIMTAIGGNSAGPGDAVVNVYINHEKKFAFVEMRTGVSVRVRRPTDYNPSLAAVLGPSQPNPNLNLAAAGLAPGGIGGAEGPDRVFVGGLPYYFTEVQIRELLESFGPLRGFDLVKDRDTGNSKGYGFCVYEDQAVTDVACAALNGLKMGDKTLTVRRATISSGQMKSEQDTILAQAQQHIAMQKMALQIGGFNLPGAGPHEVPTKILCLTEVITANDLMDDGEYEEILEDMREEGRKFGNLTNVVIPRPNPSGDQVQGLGKVFLEYADTNGCAKAKDSLGGRKFGGNVVTAVYYPEDKYLEHDYGA >KVI00625 pep supercontig:CcrdV1:scaffold_2083:29863:31716:-1 gene:Ccrd_021122 transcript:KVI00625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MGKKKAVLIGCNYAGTKAELKGCVNDVKRMHRCLIDRYGFAESDIKVLIDADSSYTQPTGRNIRTALSDLIKSAESEDILFVHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDDFRELVDQVPHGCKLTIVSDSCHSGGLIDDAKEQIGESCKDDLSEEKREAESGSGFGNFLQKTEDALESIFSRKGGGEEDDLSVTNRSLPLDTLVDILKQKTGKDDINVGNVRPTLFDVFGDDSSPKVKKFMKVLLDSLQGSGGDGGGGGFLGKVSGLAQEFLKQKLESNSNYGKPAMETEVGGKQDAYAGSKKKKLPENGILISGCQTDQTSADATPSGKQELSFGALSHTIQAIVEESNGKITNHELVTKARIMMKKQGFKQRPGLYCDDKHVNDPFIC >KVI00628 pep supercontig:CcrdV1:scaffold_2083:46187:80979:-1 gene:Ccrd_021124 transcript:KVI00628 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MAYNRRGSDNQLPTGRLLRTQTAGNLGGEAMMDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHKLDPTSSGRGVRQFKTALLQRLERDASESATLFPSRKMRERLHPPCSLSKIGLKEDITTLAGRTKSDAREMQSFYRHYYGKYIQALQNADKADRTRLTKAYQTAAVLFEVLKAVNLTESVDVAQEVAAKTEMYAPYNILPLDPDSKNQAIMRYPEIQASVTALRNTRGLPWPKEHTKKEDEDILDWLQAMFGFQMAFEVYGMLAGSVSSATGENIKPAYGGEKEAFLRKVEAHKSKGGKTKHSQWRNYDDLNEYFWSVDCFRIGWPMRADADFFHVPQEVKDNDVEKPSRGRWVGKINFVEIDSYWHIFRSFDRMWSFYILSLQAMIVIAWNGSGKLSSIFDGEVFKRVLSIFITAAILKLAQAVVDLVMMWKARFSMSFHVKLRYVLKTFAAAAWVIVLPTTYSYGLDNPSGLGETMKNWFGNGPSSPSLFILAIVVYLSPNMLTALLFLLPFIRRRLEKSDYRIVRLIMWWSQLPLYVGRGMHEDTLSLIKYTFFWIVLIVAKLAFSYYLEIKPLVGPTKAIMRVHVRRYQWHEFFPQARNNLGVVIALWAPIILVYFMDTQIWYAIFSTIFGGFYGAFRRLGETSSVFRRSPVLEFWPSSYYLVSEPFGSGSKMVSNTDRITNLEADMAKMQEETEANHSENAMKFQEVLKAISDLTLQSSMDSNQNSGRQSVLQPDTLAQTMKIAVRMDDNKFSMGGSKIRTLEMLRSRFEQLPLAFNKCLIPAEKGERAKKGLKATLSRRFTKVTSNKEETAKFAQMWNQIIKSFRLEDLINNREMNLLLMPDCADLDLDLIQWPPFLLASKIPIALDMAKDSNGRDSELQKRMNFDSYMRYAVRDVLNEIFATVDDHIEKGNLLSELDMRHLPSLTEQFLQLIKFLIKNDKGDKDGVEIVLLNMLEVMTRDIIVNESISSLTESSHGGAYGNAEGPQDKLYQKFFGKLNFPVTKETGPWKEKIRRLHLLLTEKESAMDVPSNIEARRRISFFSNSLFMDMPVAPKVRNMISFSVLTPYFEEDVLFSMDTLRQENEDGVSILFYLQKIYPDEWDNFLERVPFTSEDELKVAPDMADELRHWASYRGQTLTKTVRGMMYYRQALELQAFLDMAKDEELMKGYKAAESNTEEHLSNERSLKTQCQAVVDMKFSYVVEETSNEKLKKVVDHVSYYSVLVKAVPRSSDSSDLVQNQDQVIYRIKLPGPAILGEGKPENQNHAIVFTRGEGLQTIDMNQDNYMEEAFKMRNLLEEFLVTHGVRTPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFERLFHLTRGGVSKASRIINLSFNSTLRGGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTMSRDIYRLGHRFDFFRMLSCYFTTVGFYFNTLLTVIIVYVFLYGRLYLVLSGLERELSTNRQVVNDKPLQVALASQSFVQIGFLMALPMMMEIGLERGFHRAFTDFILMQLQLASVFFTFSLGTKTHYYGRTLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLVVYEIFGQSYRGSLAYILITISMWFMVGSWLFAPFLFNPSGFEWQKIVDDWTDWSKWISNHGGIGVSVDKSWESWWEKEQEHLISSGVRGNVMEILLALRFFIYQFGLVYHLSLTDSKSFLVYGISWLVIIGGLVVMKAMSFWRKKLSADYQLMFRLIQGFIFVAFISTLITLIALPHMTVKDIVICILAFLPTGWGLLLIAQALRPFLRGSGIWSSIRTLAQYYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKDRSSNSKE >KVI00627 pep supercontig:CcrdV1:scaffold_2083:4698:5796:1 gene:Ccrd_021121 transcript:KVI00627 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAIAEIHEEIIQTHILTRLDGQTLSAAACVSLQLQSLCSDDKLWSGICSANWPSTDDPLVRQAISNFPSGHRSFFSDSYPFPSHRLTTTTTSPSAPTSQIISAVDLRYHDELVFSKVESTNITHSDWFRSSPFRIDLLEPKELVPSAVKFSGDDHVMQSNLEKHMTLSWILIDPIQHRAVNLSSIKPVSVHRNWLTDDIELTFAVVTATYVKCNIEMTCGVKEGSGEVYVSGVSLTVQDVDGKCLNGKDSMVILQGLTVGERRRSRYSGGGEEQKGRYEEYIERRRERKEEMERRERRLDVG >KVI00626 pep supercontig:CcrdV1:scaffold_2083:36919:40698:-1 gene:Ccrd_021123 transcript:KVI00626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAIAEIFLTAFITVLFDQLASADLIKLAQSRGINSQLNKWKKTLTQILAVLADAGQKQIKERCVDLWLHDLQDLAYEIDDLLDDLATESLHRKLNRESHVSTDTNKVLKLIPTCCTNFTPRNIMYGRKMSSKLDEITIKLHNLAEQRNTLGLNANVNVERSNRRNRRLEETSLVDESKVLGREGDKEALLGKLLGNEACNQNVNILSIVGLGGIGKTTLAKVLYNEEKVKDHFELRAWVCVSEELDVFNISKAIFQAVGGTNQEFANLDLLHVALKEKLWKKRFLVVLDDVWNEDYREWELLQSPFAVGAPGSKIIVTTRKTKVATVMNSFQPYNLKVLSNEKALSLFAQYALDEQNFDKHPTLKWHGESIVNKCGRLPLALITLGRMLRTKTDDSKWEEVLNSEIWNLEDGNQILPALRLSYHDLPPHLKHLFAYCSVFPKDYVFDKKELVLLWMAEGFLYQSDVSKSMESLGHEYFEELKSRSFFQPSTNDQSQHIMHDLINDLATNVAGEFFFRLHDNDIYCRSESLEKCRHISLIGQAYGTFRMFKELQRARRLRTFLPVPLNLWQSFHLSDDVLVELLPQLQFLRVLSLCNHSITSVPQSIGNLKHIRYLNFSKTGIKRLPEQIGDLYNLQSLLLCGCHDLSSLSISFVKLINMRHLDISDTPKLKKMPLGIGGLTSLQTLPKVIIEGANGFRISELKGLSELQGRLSIMGLEKVINPIQAKDANLQQKKGLVDLKMIWSDSLRKLSVSRMDKVKNLGSELLAPTNSYNAIAFPSLQILEFFDMESWESWSSSIDGKDGTFRSFPCLRDISMGNCPKLVEVSIDSLPLLRVLHIGGCFEVVLRSMVGVSSSIEMLTMDNIKGLTQLHGEVLKDLGAVEDICIRDCDELRYLWESESEASKFLGRLRNLEVSDCKNLVSLGDKEVNLGISMKCIREVELLGSKTLENYNCSNSTERLEISKSPMFSSTLKFLFIQNCDNLRLFPQGWFVHLTTLKIWNCDTIETIPDKGFGFLPLFCLKCLWISSCKNLKSFPHEHLQRLTSLEELWINDCPSMDYSFPCGLWPPNLRSLRIGCLNKCMSEWGLQNYPTSLVELDLYGKNSRVVSFAEIEDVRNSDNTTSSSSFLLPRSLNSLFIRGFEDLESISEALQHLPYLKQLHIWSCPKLRDLSERTSVGPSSLTLTVYQ >KVH87903 pep supercontig:CcrdV1:scaffold_2084:10480:16478:1 gene:Ccrd_024783 transcript:KVH87903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MASSSNKGGGYYGAAPYRSREGLSARQGNSSDEIQLQIDPMHADLDDEISGLRKQVRQLKNVASEIESEAKFQNEFINQLQMTLIKAQAGVKNNMRKLNKSIIQSGSSHVLHVVLFALFCLFLVYLWSKFSRR >KVH87902 pep supercontig:CcrdV1:scaffold_2084:72686:91651:1 gene:Ccrd_024786 transcript:KVH87902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQKEGNYSNGSKERSQILLLISSIVKEANLKSVFVTPMSYELFRDMSKIVNGDFPAFADPILKHIRRASSRILKEKWVRSERIAMADYYEVSRSSVRVGSRLRRRESDTLRNCAQPFLISQSVVLNCSGRGDKDVHTAIKHLQVILKLNVNRFKSVKACAIKRCFVKGMH >KVH87899 pep supercontig:CcrdV1:scaffold_2084:54449:60208:1 gene:Ccrd_024785 transcript:KVH87899 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 2 MACIPGLNPPKTLGISSSSSSSIGNNLLFSSSARTHLKITQISKRFPKISIVMATKRQESELSLNALTSSDRRDEVMVAVKSSLSNCLSETNLHLAIPDLKSKTRGKVRDIYDEGDYLVLVTTDRQSAFDRILASIPFKGQVLNDTSLWWFNQTQHIAPNAIIAVPDRNVTIAKKCSVFPVEFVVRGYMTGSTDTSLWTVYKNGVRNYCGNVLPEGMVKNERLPANIITPTTKAADHDVPITPDEVHTPDSSRYWLAHSYEARFQNGHEPENIDKEFLRLWFKDHCNPYEDKVLPDAPEELVTELAWRYAFIIVIISN >KVH87901 pep supercontig:CcrdV1:scaffold_2084:75641:77430:-1 gene:Ccrd_024787 transcript:KVH87901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSLLTHLQLLSSREVGTNGVAKGFNFLRGISSNTLSAFPSQPSKETNNIDLVNQLLFLKYPRRSATNVLQNWVSEGRKVSIYDLRHISKQLVRHGRYKHALEVMKWMEDQEHFKISEADHALRLELTIRLNTLKEAEDYFAQIPTIASQRASYLHLLNSYVKEKATEKAESLMTKMNSFGANVTPHPFNAMMKLYIATCQFELVLSIISQMKQNKVPKNVLSYNLWMSACNEVYGVEYVEMVHKEMVNDKHVKVGWSSLCTLANIYMKSGLVEKATLALRNAEDKLSFNNHFGFFFLITNYASLKNKDGVLRVWESCKRVDGKLTCANYMCMVLSLVKIGDVKEAEKVFMEWESQCRRYDIRVSNILLGAYVRDGLMEKAQKFHLHTLEKGGCPNFKTWEILMEGYVRSQKMKKAIVAMKEIFKMLKHTEWRPSPTIIESVLGYFEESGNLEEAKSYLKVLRDFNLASLNVYRSLIKMYVTKREPTDEILELMEEDKIDMDSETMNLVRGLQA >KVH87900 pep supercontig:CcrdV1:scaffold_2084:18122:25596:-1 gene:Ccrd_024784 transcript:KVH87900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MRKKRKGSDLFCTDNKKEIVESTGDSESLISNLKCHYSLEDYVRLKKRCCKEDVVCGGADPNGSCKNRLAGIAATAPPCATTSLVLSGRGIKRKIGCIDVATQMGRKNKIAEDYIKGATIGKGKFGSVWLCRCRVSGVEFACKTLVKGEETVHREVEIMQHLSGHLGVVTLKAVYEDLESFHLVMELCSGGRLIDQMTKEGRYSEQRAANIFKELMMVIKYCHDMGVVHRDVKPENILLTASGKIKLADFGLAVRITNGQSLAGLAGSPPYVAPEVLTGNYSEKVDVWSAGVLLHALLVGVLPFQGDSLEDVFEAIKTVELNFHTGVWESVSKPARDLLERILTRNADSRITAEEVLSHPWILFYTERTLRSLSFKSKSKHQVGPSSSQTAPTTNVVELTRKKTLDGGSIIKYSSPSSTSRSSGSDEQEDDDSGGLVDALAVAISNVRISEPKRSRLCVPTSPIREQCSSNVTTNNLCKAC >KVH87898 pep supercontig:CcrdV1:scaffold_2085:74047:88633:-1 gene:Ccrd_024788 transcript:KVH87898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease-associated domain, PA MLNHCVFCSFLCLMASGAIANVVLMGNNVTLSFDDIEANFAPPLKGSGECGTLFLAEPLDACAPLTNSSIADSINPFVLMVRGGCGFEDKVRRAQSAGFKAAIVYNSDDSDLVSKRPQASRVREFHGMSSRLVKAMPSLIFTAVLEDNCTSATCAICLEDYNVGDRLRILPCRHKFHAICVDAWLTSWRTFCPVCKRDARTSTGDPPASERTPLLSASVASSTILSSTYSSSYASSRAIQIGQASPHTGSNIHSVSSSTRYNLQSLQSPYLSPTRSSLDIRNASSHRSCASHLISSNSLGYPSLSPLNSRHMSSYYPSPVNASSSFMWSSSQQPHPLRHADSAASFSPFASAQSLPEC >KVH94689 pep supercontig:CcrdV1:scaffold_2086:5335:6084:-1 gene:Ccrd_003243 transcript:KVH94689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKHFSHPHNLVIHRVYELSQQVNCFGCNSSCDNTTAAVHACHQCNFFLHDHCANAGRYVKHPSHKPHPLILLPYPTYAGGSFICNACGLAGKSFSYCCTLCEFDLHVGCAFMPMSVTHVTHQHKLSLFYGVPVVPRRGSNMDLEYCHICRKVLEGRHWAYCCQGCDFNVHTACATTEVVPGLYQDDSPDCGATEGQGTAADGGGGGGNVVEVELTEEDLIRLYNIKLQMQLAEQLAQSMASLSTHGG >KVH94688 pep supercontig:CcrdV1:scaffold_2086:17542:19629:-1 gene:Ccrd_003244 transcript:KVH94688 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRC-like protein MRIRKRFLSLSAAAAVSGSLDPHGGDHHHYHHPPLLLVQHQQVHPNGNLHVLYDDTQSQPSDHPPNHCRFPLPPRSSDHTIQIGVEPSTLVSSGAGRKEKEAIAFTHKEMESESKIEVIKTHDAGRKESILCPEASSRASTPSPPTTASLVLKGWLEGDRLIPIKKRRGSFGKKVNHDVEEDHEIVEETMNPKTTKNDKCVAKPEVSATCPKKKNKNGKRGNVIMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRGRAQKVALKEQVITKERQDKEVEMISTDHHELEDDDDEEELKGWEEESKSSVEGMKIFSKKKKLGVVKARSLSSLLSQMGS >KVH94685 pep supercontig:CcrdV1:scaffold_2086:63980:64926:-1 gene:Ccrd_003247 transcript:KVH94685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MEDISSESTLVQHNCRWLEGRCSFYKYQGFWSSKDLLEGAIVAQKSFKAQPNDVLLSSCPKTGTTWLKALAFAIATRDNPLLTTLSHECIPFLEKYSEQMEHYYHKKSGFSLVATHLPYTSLPESVVASNCKIVYIYRNVKDVIVSHYHYQRQIAKLSVEDAPFEEAFDEFCDGILLYGPYWDHILGYWKASLERQGRILFLKYEDMKRDSRNDVKRLADFIGYPFSAEEENEGVVENIIKLCSFENLSNLEVNKTGKLHVEGYDAIENRVFFRKAKDGDWEKYFTKEMKEKVDSLMDQKMSGTGLLLX >KVH94687 pep supercontig:CcrdV1:scaffold_2086:39078:41819:1 gene:Ccrd_003245 transcript:KVH94687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MELGLSLGDTPKPVSFADRSISQLQKTKDSGFYMGLNSSSTIQDPHHKNFRENDDDDKTNCSSSSSDRPLVQLDLLPFSPALDQSPPPPPSHRPFPWLSQILIADTGHRTKLGKGLDVKPLTAIYDPDICKEPATVQSSPDNSNSDTSSFQMDFSSIFKSSTGSSRLPSKQRDLELTKGSFDYLVEASERDQRVLSSRGGGGGGYGGTSDEEENGLGRKKLRLTKQQSAFLEDSFKEHNTLNPKQKLVLANQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKTCCETLTEENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVVTTTPATTTTTTLPQEPAANNGRP >KVH94686 pep supercontig:CcrdV1:scaffold_2086:50986:54556:-1 gene:Ccrd_003246 transcript:KVH94686 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MAESAKYTPVNGGSHVVSDFKFTKLFSFRTKRTRAFAYVFIAVFVGFTVFLAFNPSSNPSSPWFSNIFTGSSFRISSSSSSSTGIQANGTYSSSSDSDGSIGSYFSSIYSYFFPSNSSHSTQNSTIYTFSWNTSVSGSSSANNKAPTVNNDPPVARNQTPSESVGAKVEAVKANQTTITSLPSQSASNQTTRTQSSETLKNSTKIGDKSRSETPKSTSENGKGSVNSTSSLDYNCTVEFFVAPFIVRESETKDKNGAKKETLRLDLVGTSADQYKSADIVVFNTGHWWTHEKTAKGEENFAGKIIIKKGAMSIVSLTGGQWNSGGQCDNEVEPIKNTTYLTPYPDKMKVLEKVLRGMKTRVSYLNITRLTDFRKDGHPSIYRKKHYTDEERRSPLHFQDCSHWCLPGVPDAWNEILYAELLAKQQQKH >KVH94691 pep supercontig:CcrdV1:scaffold_2086:84567:84770:1 gene:Ccrd_003248 transcript:KVH94691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDISSESTLVQHNCRWLKGRCSFYKYQGFWSSKDLLEGAIVAQKSFKAQPNDVLYCRVAPKPAQRG >KVH94690 pep supercontig:CcrdV1:scaffold_2086:84884:85516:1 gene:Ccrd_003249 transcript:KVH94690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MEHNCHKKSGISLVATHLPYTSLPESVVASNCKIVYIYRNVKDVIVSHYHYQRQIAKLSVEDAPFEEAFDEFCDGISLYGPYWDHILGYWKASLERQGRILFLKYEDMKRDSRNDVKRLADFIGYPFSAEEENEGVVENIIKLCSFENLSNLEVNKSGKLHVEGYDAIENRVFFRKAKDGDWEKYFTKEMKEKVDXLMDQKXSGTGLLLX >KVH87896 pep supercontig:CcrdV1:scaffold_2088:75412:92086:1 gene:Ccrd_024790 transcript:KVH87896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRKGLVHNGIPTQHLLSEILRRVALRRAQYDESCKLCSGGNQSGDLRGGGLPCGGCQRFESAYLQLVNLADTKLYDSTQFFRFGGSIYDLSFMDVDKIHPFSNTLGWHSLKIKGEIHNNNSSSTGLNSVQFWLEQFRNRQKAICLSDLAAVTSVTGLLWLLVNSCLVTVFDFELSPILSSKLLFCLNSRQVAAAEFFKVQAA >KVH87897 pep supercontig:CcrdV1:scaffold_2088:75332:76788:-1 gene:Ccrd_024789 transcript:KVH87897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILERRESESLWGRFCNWITSTENRLYIRWFGVLMIPTLLTATSVFIIAFIATPPVDIDGIHEPVSGSLLYGNNIISGAIXPTSTAIGIVSKILRKEKGGYEITIADALDGRQVVDIIAPGPELLVSEGESIKFDQPLTSHPNVGGFGRGDAEIVLQDPLRVQGLFFFFASVILAQIFLVLKKKQFEKVQLFEMNF >KVH94932 pep supercontig:CcrdV1:scaffold_2089:1332:2738:-1 gene:Ccrd_003000 transcript:KVH94932 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSQEHSGAICGSVAEVVVVMVPFLAHGHLNQLLHLSRRISSYNIPVHFVSTTSHIRQLRSRHHDSGQYSAGSNSIHFHEFPNPPFTSPSDHSIPCHRQPVFDSTLHLRRPVADLIVSLSTTTRRVAVVHDFLMSYVVQDVQDIPNAETYIFTPLSACATFWLEWERYDRPFPVDSELLKRIPSGDGAFSLEFLKDVEIQYPLMNSHVGELIDSSTVIEGEYLEYLERKELKGTKKLWAIGPLNHVNKSSATVSETRHKCLRWLDLQPTNSVIYVSFGTTTTFSDEQIKELAIGLEKSQQRFVWVVRAADKGGVLSDEPKMVEFPDGFEAAVEGRGLVVREWAPQLEILGHLATGGFISHCGWNSSXESISTGVPMITWPXHSDQPWNAFLITDVLRIGLAAYDWEHRDKLVTSVVVVDLIRRLIDSREGEEMRKRTAELADSVRRSVADGGVSRKEIDSFISHIRRQ >KVH94931 pep supercontig:CcrdV1:scaffold_2089:79151:87610:1 gene:Ccrd_003001 transcript:KVH94931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGAEANRAEQNPTATESENVEELLEAARYNDIDDVIRLAAVGVSLDSKDAEGRTALHMASANGNVDIVNYLISNKVVVKILILAGADVSSLNRHERTPVDEAAIGGKMDVIDAINTTVAQVELTRASV >KVI01389 pep supercontig:CcrdV1:scaffold_209:219623:226868:-1 gene:Ccrd_020343 transcript:KVI01389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyxylulose-5-phosphate synthase MAYYGAFSKAEFASLVIAQDGYSSCSVLNPSINTNTIMQSNKRKFARIMAVAKENANNEHEELTRVKGKQTTTVKFSGEKPNTPILDTINYPIHMKTLRTELADELREEVVYTVSKTGGHLSSNLGVVELTVSLHHVFDSPDDKIIWDVGHQSYPHKILTGRRSKMETIRQTSGLAGFPKRDESPYDAFGVGHSSTSISAGLDVECVWIQIMTDCFGLTGFLFLFQKGMAVGRDLQGKENHVIAVIGDGAMTAGQAYEAMNNAGYLDSNIIIVLNDNRQVSLPTATLDGPAQPVGALSRSLTRLQTSHKFRQLREVAKGVTKKLGDKTHEVAAKMDSYMRGMLGGHGASMFEELGLHYVGPVDGHNVEDLVYLFKKIKSMPAPGPVLIHIITEKGKGYPPAEIAVDKMHGVVKFDAKTGKQTKSKAQTLSYTQYFADSLVAEAERDEKIVAIHAAMGGGTGLNTFQKRFPGRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSCESELMHMVATAAAIDDRPSCFRYPRGNGIGSFLPENNKGTPVEVGKGRVLKEGNGVALLGYGTIVQSCLGAREILHALGISVTVADARFCKPLDGNLIKQLAKEHEILITVEEGSIGGFSSHVSHFLALNGLLDGNLKWRAMTLPDRYIDHGAQTNQIEEAGLTPKHIAATVLSLMGESKYSLHLVDI >KVI01395 pep supercontig:CcrdV1:scaffold_209:323741:329742:-1 gene:Ccrd_020348 transcript:KVI01395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAVAATSLTAPSPPPTTVQQSPWNSPLPYLFGSLAATLGLIAFALLILACSFWKRPGDTDRDIESGDAKPENKEPPVFEEKCLVIMAGEAKPTFLATPVSSSRRSNSTEQSEKQVQLSNIGNHESSDQLSGNIRNGDGDAEYGNGDGNSKPENSNDYQLRQDSDEKYLVIMAGEEKPRYLATPV >KVI01381 pep supercontig:CcrdV1:scaffold_209:12133:16769:-1 gene:Ccrd_020334 transcript:KVI01381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MRIHSSLWNADDWATRGGLVKTDWSKAPFTASYRNFNAEACVVAPGKPSSCGGGSILSYVSVFRFYVDEIPIREFRNDEAIGVPFPNSQPMRIHSSIWNADEWATRGGLVKTDWSRAPFTASYRSFSADACIWSSSRGSSCPSNSTKRGWFREKFNTAAVRKLKWAQKYHRVYNYCTDRWRFPAGPAPECKFI >KVI01392 pep supercontig:CcrdV1:scaffold_209:261422:264712:1 gene:Ccrd_020344 transcript:KVI01392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyxylulose-5-phosphate synthase FTRITAITKDKATNEIEHLERVNGSPANTLKYSGEKPATPILDTIRYPVNMKNLCVKDLQKLADELREEIVYTLSKTGGHLSSNLGVVELTVSLHHVFNTPDDKIIWDVGHQSYPHKILTGRRSRMGMIRQTGGLAGFPKREESEHDAFGAGHSSTSISAGLGMAVGRDLLGKENHVIAVIGDGAMTAGQAYEAMNNAGYLDSNLIIVLNDNRQVSLPTATFDGPAPPVGALSQALTRLQTSRKSRQLHEVAKGVTNKLEDQTHEVAAKMDLYMREMVGGNEACIFEDLGLYYVGPVDGHNVEDLINVFNKIRSTPATGPVLIHIVTEKGKGYPPAENAPDKMHGVVNFDTQSGKQFKTKARTLSYTQYFADSLVAEAQRDDKIVAIHAAMGGGTGLNTFQNQFPKRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVIHDVDLQKLPVRFAIDRAGFVGGDGPTHCGAFDTTFMACLPNMVVMAPSSESELMHMVATAAAIDDRPSCFRYPRGNGIGSILPVNNKGTPIEVGRGRVLKEGSRVALLGYGTIVQSCLAASELLQVLGISVTVADARFCKPLDGGLVRQLAKEHEVLITVEEGSIGGFSSHVSHFLALNGLLDGNLKWRAMMLPDKYIEHGSQSDQIVEAGLTPKHIAAKVLSFIGDKKNSLHLVNL >KVI01391 pep supercontig:CcrdV1:scaffold_209:268745:271885:-1 gene:Ccrd_020345 transcript:KVI01391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MIQSAPTKILIGISFDQERSMELLSSAICNLARPNDTVVALHVLVAQTTKKHTDKRGVSKSINRYRTRIRKAKAFVISVTGEFANVCRAKQVKLEARVGFSSSVGKGLVEEAKNMSSRVHQTQKYCLDNSPKSCSIVLVQKSDMKKQDFHSGNINEEDFSNKKSEQRSPRNVLDLSERDYHSTEEDSSSFEGSSIMESPPPLVARKSKGQSSFRKHISSLKRISSFLRSPFEPDTRKADMKLPIKEQQQTLLKCLSYEELAKATNNFHPDNIVGIGGYSEVYKGDLENGQVIAVKKLAKDNKDQNKEKEFLMELGILGHINHPNTASLVGCCVENGLYLIFNYYPNGTLSSALHGKTGKHIEWPERYKIAVGIARGLHYLHTCCKHRIIHRDIKASNVLLGPDFEPQAKPLMDSRDICRLIDPDLEGEYDSDQLYRLVLTSSYCVQQSSDWRPSMTEVLEVLQHGDDSEFAKSWRIPEFTQEENEMDDYSMIFGYDVPSDISLDDI >KVI01390 pep supercontig:CcrdV1:scaffold_209:193352:199877:1 gene:Ccrd_020342 transcript:KVI01390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGIVFTRLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAMIYVVDSSDTERLVTAKEEFHAILEEEELKGAVILIFANKQDLPGALDAAAVTESLELHKIKNRQWSIFKTSAIKGEGLFEGLDWLSNTLKSGGG >KVI01382 pep supercontig:CcrdV1:scaffold_209:36884:49058:1 gene:Ccrd_020335 transcript:KVI01382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MFPHPSLLYTPSRHFLRRRHHHYRHHHLLPASPLLHRFLSTLSHTLSHPIYTIWAANTGLGKTLVSAGLAASSILSSSPSLSKLVYIKPLQTGFPLDSDSGFVYDKISQLFLQRNPQATLLASDHTLNVSIPAAKLKIGNSFSVGELEETGEGWSSKNGFEDFGFYEEKKVGAGEEVGSELICKTMYAWKEAISPHLASEREGAIVEDSDLLVLLKRCLEIGVGIKGNGGSSVLCLVETAGGVASPGSSGSLQCDLYRPFRLPSILVGDGRLGGISGTISAYESLIIRGYDVVAIVLEDHGLENEVPLSSYLRNRVPVLVLPSIPKDPLDNLMEWFDESQSVFDSLKGIMLSSFQKRIDRLHEMPKKAQEIFWWPFTQHKLVPEEKVTVIDSRCAEYFAVHKVKDHGCITQMFDACASWWTQGPDAALQTKLARDMGYTAARFGHVMFPENVYEPALECAELLLEGVGKGWASRAYFSDNGSTAVEIALKMAFRKFLVDNKLLLDLPQDNTIDSSIELKVVALVGSYHGDTLGAMDAQAPSSYTGFHQQPWYTGRGIFLEPPIVSMCDGVWKITIPGKMNAEDVILEERSFSSRDEVFNKSRDDSSLVSIYSSYISQELLLSSGSRKFSHAAALIIEPVIQGAGGMLMVDPLFQRTLVKECRNRKIPVIFDEVLTGFWRLGVESAAELLLCQPDIACFAKLMTGGMIPLAATLATNAVFQSFVGDSKLKALLHGHSYSAHALGCAAACNSIKWFKDSETNPNLIPEQNLLRELWDTQLVQKISFHPAVQRTISLGTLFAVELTVDDYDAGYASLCATSLLLKLREDGIFMRPLGNVIYMMCGPCTSPEVCLRMLETLYTRLDEFKHEKIQKANEI >KVI01385 pep supercontig:CcrdV1:scaffold_209:99235:108412:1 gene:Ccrd_020338 transcript:KVI01385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MCCSSPRVLEESLVETEDRQVEMDSPTILTTAPGSATSLNEDHPRLKFLCSFNGSILPRPQDGKLRYVGGETRIVSVPRDIGFEELMGKMKELFEGASVLKYQQPDEDLDALVSVVNDDDVTNMMEEYEKLGSGDGFTRLRIFLFSSIEQDDSIHFVDRDERDNERRYVDALNSHNDSPDFGKQQMGDVQGLAPVDDLQLIEQYYNQLNLDGNIHNHRNFETPMSPVNLGHVRIPHQQSLNQRYNEMESPWSPAYYSPRHHGSDFPNSPSSSRYHTPYGDPADKCFDRVPPDDYFRQQHVSHHPQYDQQSLLPENVVWLPPTPISGEKAGFPGNILHGSSMFEGNNVSEHHCSPLLRNEAPDLNNIGNGFHEVANPSAECPPGRETYMSNVETKFPHSFYGREQNDPRSLYNEAHPHERGWMLHQSNPRVEEPRSHNPGGGRFSDHYVVDGNGMNITLGNTAYPDGNHATSHYVHHEDRPRYIRQRPEFCNEIFHDQAMATGSHVHTPHEERGAWHGNFPNTYGAENLYHASSHGHVPVNAFWRNGQSPMHGHPSYEASGAPQQENGTCNLGYVRTTMESIPRLPVGVENPNPLVDSSPKVTGYDGGVPDNSYGHALKVTPNASNLEYQHHIPTEPIQLTPAPVQLTPEPVQLMPEPVQLMPEPMQLTPEPVQLTPASMQLTPPVQLTPDPVRFTLEPIQFTSDLPSCPIPINKVTRSDPPPTLVDDLLLSVNTLNTEANNNSSNKKSVCKLERDGFGREKLNRDVNVEKSAKLSVSGREQKNNPISMVAHGFNRSNCLPAKQIIGAENSHENNGPALRKEELGEPFESVKKAELEGTEEVKAEAEEDAASAVVNDVKVKEETVQESDAVDAPADLELDSDSDTQTITKIEPTKAEEEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDASFGMEYLHGKNIVHFDLKCENLLVNMRDPHRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSHMVTEKIDVYSFGIVMWELLTGDEPYGDMHCASIIGGIVNNSLRPTIPTWCDPEWKALMGRCWSADPAERPSFSEISQKLRTMAAAINVK >KVI01394 pep supercontig:CcrdV1:scaffold_209:288512:291085:-1 gene:Ccrd_020346 transcript:KVI01394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGVWFLFSRVLSSDGRSPWRIFTFKELHSATNGFSEDNKLGEGGFGSVYWGKTSDGLQIAVKQLKSMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCASAEQRLIVYDYMPNLSLLSHLHGQFAAEVQLDWKKRTKVAIGSAEGLLGNFDEEQLKQFINAAALCVQSEPDRRPNMKEVVGLLKGNEPKGKVMQLRLKSVKYGDDLVAMDQASDDDDDDGDYDGDGRGGGYDESDAYGVFGAMSNVQRMHDPYKRYADRMGKKG >KVI01386 pep supercontig:CcrdV1:scaffold_209:117157:153124:1 gene:Ccrd_020339 transcript:KVI01386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILIFIGTIFNHNVGGPHLLSPMVSIAFRNRATENTSVLSRLHLHLSQEPKLKFSHRDMIEFRSVVPARRESAFSNPTRKLHLHMQLQSLENPNIFKANMETWPCKLPPIQEKMMRNLQLLNESSNSLMMQHVSHNTPQNAELYGTSNNSLQQEYSPSTMMVNGMDNSINGIDIPGSSTHASELGDTEQRRMELQQFWAFQAGIDDSAIERLQLHMQLQSLENPNIPHCANLTMWPCKLNPIQEKMMQSLQLLNESSNPLMMQHVLPDSPQKVELYGQINNCPQQVYSPQSNIMINQMEGSINGINIPGSSMQVPESEGTKQPSMELQQFWALQAEIDEFLSNKAANSVLLDQAQTNEFDCFKDMDGAKDIMTWWSNEFNANSASSNSWDSY >KVI01387 pep supercontig:CcrdV1:scaffold_209:191578:192709:1 gene:Ccrd_020341 transcript:KVI01387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFKKKKKKNIGGAQSPETLFIHTPTTRTKQSINHKHKDMKLGFPTTLLLIFFLLKLPNQVAVNGDDEPWLNAPAPTPTPWPEQFHALLYMNLTSTHLQLSDLWYDWPKGRNVNIFQKQLGILLYDIEWNNGTSFYYTFGEDAYCQNVDFGVGIPRPDFLDGAHYLGRVVTDGFLCDLWEKVDFIWYYEDVVTKRPVRWDFYDGISSHVMTFEIGAVLPDSVVQAPAYCFTEAI >KVI01388 pep supercontig:CcrdV1:scaffold_209:175716:176222:1 gene:Ccrd_020340 transcript:KVI01388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MLFPTISLALFLILLVTTSTAVGNKNHVSQNIITDFISLQNQARAALRVPPLTWDPQLARYANLYASQRRQDCLLKHSNGPYGENIFWGSGDGWNPAQAAAAWLAERQWYTYGSNSCNGGQECGHYTQIVWKTTRRIGCAKVACFQGRGVFMICNYDPPGNYIGEKPY >KVI01383 pep supercontig:CcrdV1:scaffold_209:65319:81040:1 gene:Ccrd_020336 transcript:KVI01383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase, FGGY, C-terminal MVYEGWAPLLGNDQTTTVFRFPFSSRASFKMTTTVAAASSRPRRSVFLGIDVGTGSARAGLFDEPGKLLGSASSPIQIWKQGDCVEQSSTDIWLAICTAVKRALSLSNVAGEEVTGIGFAATCSLVAVDSEGQPVSVSWSGDTRRNVIVWMDHRAIKQAETINSCNSPVLQYCGGSISPEMQPPKLLWVKENLQESWSMTFRWMDLSDWLSYRATGDDTRSMCTTVCKWTYLGHAHMQHVDEKSSRDMEACGWDDDFWEEIGLRDLVDGHHSKIGRSVAFPGHPLGCGLTPDAAKARNFELGLLTGTPVGTSLIDAHAGGVGVMESVPDSEENLFSESDDDAICRRMVLVCGTSTCHMAVSKSKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDYIVDNHVASSHLADRAASQNISLFQMLNKLLEQMMHDMDTPFIAALTADLHILPDFHGNRSPIADPKAKGMICGLNLDSNERQLALQYFATVQGLAYGTRHIIEHCNEHGHKIDTLFASGGLSKNSLFIQEHADIIGCRIILPRENESVLLGAAILGAVAAKKYSSLREAMKALNAAGQIIYPSKDPRVKKYHDAKYRIFRQLYEQQLSHRSIMAEALS >KVI01393 pep supercontig:CcrdV1:scaffold_209:315160:316081:1 gene:Ccrd_020347 transcript:KVI01393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MATSCPRGRGKNKQYWNDEEAEVLVNVLQELACDPLWKVEGGFKNNYMVELHKRLVLKLPNFDKDVNPHIDSRIKYLRNKYNPISEMLTQKECQWDDIAHKIKCEKQWYDDWCKNHKNAIGLWNLKFPYLRKLDLVWGKDKATRLKAVDISQACEDNFNKKNGFVCSSDSEDKILVASEAMQDAQASPTTSSSTHTSKKRKKLSPRKERFYKNKQFDSHTQTIDARLDDLLSKFESVCGQMMSQYVAIKCNPNFFSDEMMQEVVNELVNIGLSQKDVGKAA >KVI01384 pep supercontig:CcrdV1:scaffold_209:85609:90782:1 gene:Ccrd_020337 transcript:KVI01384 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MALRLPPTPFPHFSVKRRSSSFLPFPKFSVQNLSSGSNTDNSLKASLLFSLVTKVKEKLEKDHFSLPTGKNGRDDEELILWFLKDRRFSVEEAVSKLTKAIKWREEFGVSKLSEESVRRAAATGKSYLHDSLDVHGRPVLVVVPSKHFPECWKQHALDVNSAGDDDISPTKKEIMLLKDICDFKKSIGEYPFSDSSHMRRFYKDMIEERGMLDRSEDERLCVFLLEKALSRLPDGKEEILGVFDLREFGVKNADLKFLTFLFDVLYYYYPKRLGQVLFVDAPFVFQPIWQLAKPLIKSYASLVKFCSVEDVRKEYFTESTVPASFRR >KVH87895 pep supercontig:CcrdV1:scaffold_2092:60166:60696:-1 gene:Ccrd_024791 transcript:KVH87895 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKELQEEVSAVGQGRPMILEKDLKKMQYLKATIKETLRLYPPLPLLIPREPTQDVKLMGYDIPMGTQTIINAWAIGRDPTLWEEPEKFWPERFLNSSTDYKGLHFELLPFGSGRRGCPGIQFAIAIYELALANVIYKFDLALPNGVKGKDLDMSETVSSITLNKKSPLLVMATSRF >KVH87894 pep supercontig:CcrdV1:scaffold_2092:61756:62163:1 gene:Ccrd_024792 transcript:KVH87894 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKTHDQKFANRPKLTIPDILVYGSSDITFSGYGEYWRQVKSLAMVHLLNNTRVQSFQQVREKEGALMIGMIERNPGSVIDLSELIFWLVNNTVCEVVLGRTYRGLYFMDLLQRFVRVLSLFSVTSYIPWIEWFRR >KVI06741 pep supercontig:CcrdV1:scaffold_2093:63926:65202:-1 gene:Ccrd_014904 transcript:KVI06741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIITTCLASQKTFTTCNLLSDSSQRFYANPISGFPITGKSRTLLSMTSTTTVRWDTMIVYADDKHDGSPPPPPSSSTWKNWVIGCLMTFIVPSITTKGGPIKVFMNKLDHLFDTAEEISDIVEAVADKVDKVAEKLSDDMPEGSKLKNTLEFIEQVAERLEKDAETAGDLIDKVQEIQEKIEDILEPILDESEESEEEEEEDRKCRLREKLKQIEKHKHKNDHKHK >KVI01553 pep supercontig:CcrdV1:scaffold_2094:64440:69718:1 gene:Ccrd_020173 transcript:KVI01553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MDRGNNDRGDYDGRGRGRGGGRGVDFSGRGTGGDSFRRGRGGGRGGDFSGRGRGGYGGGRGRGYQHPPRHHQYGGGRGRGYQHPPQHHPYGGGRVSEGGDDGSHPRNRSAEDRMVSVGTSAGNVAPQTLTQILTQMLGSISDQLFLLPSLDLQVQEVPKARQPSKGSVENAFNPVRRPDRGGTLALRTVKLLVNHFPVSFNPSNTLLHYDVEVKREGSCDNQSVKRSITKDDLRLIREKLCSERPDLFPLLKTAYDGEKNIFSAVRLPVGTYKVQLSDGGVTNGRTYEFTIKFVNEVRLSMLKDYLSGKFLQIPRVVLQGMDLVMKENLYSHKISIGKGGRGFYPRVFEKGDDLYCGVAAFKGFQQSLKPTSNGLAMCLDYSVLAFRKRMPVIDFLKERIPSFQGVGDVRRLQREVTDELKGLKVTVTHRRTKQKYTIAGLSDEITRNILFEQEDPKGKEEPKTVMLLAYFQEKWGKEIQYKDIPCLQLGRGKKPNSVPMEFCVLVEGQRCSKEELSKEANKKLRGLSLIPARQRRTEIQRMVQEEYGPHASRYVVSFTRHFFSNRKTDAIFYIRQIDLDCWYLVSDVYYVFNRDRVIQNFEFGIGMSMTEVSGRVMPPPNLKVGSSNGRMQSMEVDKLKCQWNLLGGKTVVEGKAAECWALIDFSAGKCNTDYFIDKLMNRCRSLGVGMEDPLVVRLSNMRELSSVDGLFRLLSNIVEEADRRCKGKLQIIICVMASRDEGYKSLKWVSETRIGVVTQCCLSTEINKANDQYFANLGLKINAKLGGSNVELVKRFSCFSDEDHVMFIGADVNHPAASNKTSPSIAAVVASVNWPAATRYAARVSPQFHRQEEIENLGKMCLDLIKTYEQVNRRKPNKIIVFRDGVSDGQFYMVLNNELVDLKLAIYDEDYKPSITLVVAQKRHTTRLFPKTERDGVHPGNIPPGTVVDEGIIHPLEFDFYLNSHFGGLGTSKPTHYSVLWDENGFSSDTMQELIYYLCYTYARCTKPVSLVTPVYYADLVAYRGRMFQEVAAQLPALAASGGWYNNQAFYNLEPGLKDCMFFV >KVI11291 pep supercontig:CcrdV1:scaffold_2095:79949:81142:1 gene:Ccrd_010300 transcript:KVI11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold VLNFATSSASKXYVNLNTDYVLALADIFANVCPRLHLGVSSGKVKRTVEEEMFENRMNIQQLLQAEWSNKLKGYIITILGVIEHIETQYGWFYLGCQGCCRKVNPIDGVYKCASCNVAYKNALTLFKLHLSVRDDTGVVNCVVLHKLAERMVDSSPLKLVNKSDPDKDNLPREITSLCGQKFVLCLQLSDYNIKHDSDIFTVSKDFNPDYVLEKEYKPVDSTTETALSDMKNLDDIDGTPPCNNATPTTTRKRKFIVNDDDESND >KVI11292 pep supercontig:CcrdV1:scaffold_2095:63860:74647:-1 gene:Ccrd_010299 transcript:KVI11292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-arabinofuranosidase, C-terminal MPFVQEALDGIEFARGDPNSTWGSVRAAMGHPEPFTLKYVAIGNEDCWKPHYRENYLKFYAAIRKAYPDIKMISNCDGSNAQLDHPADMYDFHIYQNANTVFSMANTFDRTSRVGPKAFVSEYAVTGDDAGRGSLLAALAEAAFLIGLEKNSDIVEMASYAPLFVNTNDRRWNPDAIVFDSSQAYGTPSYWMQHFFSDSNGATLLKSTLQANSSNSLEASAILFQNPMDKGNYMRIKVVNFGSSPVDLKIVIDGFDRKLLDSSGSKTVLSSTNVKDENSFANPEKVVAQVSPVKSLVEKTGTNMDLVLLPHSLTCFDVLMKSDITQITADDDSHVSSM >KVH96826 pep supercontig:CcrdV1:scaffold_2098:39129:44110:-1 gene:Ccrd_001082 transcript:KVH96826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKTLIPNAISSPQFTTYISNFPSLPRKNRSFLNFSITKPLPRYKITVLCSCSADYGGWDDLSSFDDSGESNQLNRLLSSLGIDDKKYAFVYLLGFVCALAVSRVRISSIVVFPACFLVFAVGFSFGIVKGGDLNEVMSPFRSNGSKKNKKKGFKDNEIRVLIENLRNLVELLNGFDVNIRNIKNSMRKDVDSNRISKSDLVSYVEGVESIEQAFLQAKGMIEGYMDNMVVDTSDMLNVSNQKPSDQKKEVKGQRFDVSEFIDGLWGRSFGSRPSEVKDSNMNDSRFTEASDHGSANVMDPLVEGKTTKSIPSENINTYNDDDVRVKETYGGKGVGRMAKMTFMEMDTGAKQVFNRDEYVIKDNKMRFMKNERLSFDMNNRNPVRTWELVDGFLDSDFSASLKQRRTEELFQQEQGKFKKTNGNNILYENLESREKEAYNDPRNQNGFASSPSSEISGDMLFNEYLTEANALLKQAREWLRHRGIEEDAENMLYRSTELLSKAVEMKPMSLLAVGQLGNTYLLHGELKLKLSRKLRALLAQSDSNVLERLDDEVSNREKFVDYLVNVCEECEELLVKAGRKYRLALSIDGNDMRALYNWGLALSFRAQLIADIGPEAAFDADKVYLAAIDKFDAMMSKSNAHTPDALFRWGVALQQRSRLRTRNFREKVKLLSQAKRLYEDALLMDSSNLQVKEALSTCVFELRYKNYY >KVI06309 pep supercontig:CcrdV1:scaffold_2099:32115:41628:1 gene:Ccrd_015347 transcript:KVI06309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MDIAEFFRDNGDVELDMGAVKSERNLGGISSHQKQDYTDVALHKKSKIHGVRQEAEENRSSSGISVLDQARSPVDDASLCSTSPICAAPLCRQFWKAGAYNDDLTPKSTTKSGSSYLHIHPKFLHSNATSHKWAFGAIAELIDNAVDEIQKEATYVIIDKAINPRNGSTALLIQDDGGGMDPEAMRRCLSFGFSDKKSAFAIGKYGNGFKTSTMRLGADVIVFSRYLTDRTLTQSIGLLSYTFLTRAGYDRIVVPMVHYEFNFMTGSFDPLQSESKDKPNVNLSILLKWSPYSTEEELLKQFEDVGSHGTKVVIYNLWLDEDGNMELDFESDPEDICISWDGKGKVKEGSRMAASEQHVAKRLRYSLRAYLSVLYLKLPDTFAMVLRGKVVLYHNIATDLKHTEFILYKPHSAGCAEGAVVTTIGFLKEAPNVNIHGFNIYHKNRLILPFWPVVVFSDSRGRGVAGVLEANFIEPTHNKQDFEKTSVFQKLITRLKEMTWEYWDYHCGLIGYQIKKKARPPSDDPGSSNFVHQHVVDQSNFRKGTSDGGATKKASFVATVNSKAALYSSSSFVKPVELIPNIPRGFEEGATFLKRKSRDQGERLHQLDAQMESGIGSKTTTTGFVNNGEAVNVIEENKKLKAQCLEYEKSQEELNHKVLRLKMELGDVEREYTYLMDELRYLEKVKGEK >KVI06306 pep supercontig:CcrdV1:scaffold_2099:70908:78262:-1 gene:Ccrd_015349 transcript:KVI06306 gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1 [Source:Projected from Arabidopsis thaliana (AT4G24680) TAIR;Acc:AT4G24680] MTSSMLAGERRWASARRGGMTVLGKVAVPKPLNLPSQKLENHGLDPNVEIVPKGSLSWGSRPSSSTSNPWGSSAISPNAESSTVSPHHPSGRPSSGGGLSRPSTAGSDRMHEPSASTWGPNSRPSSASGVLTSNQSSLTSSRPLSAETRPGSSHLSRFAEPVFDNSVAWGPNGTADKLSMPSKVNDFSLSSGDFPTLGSKKDDAAKTSEAHDHGSHGRPGSASGRTVHVKERNEIIQADPKSGTVDTWTREGSPRVVDGIHPSAEKWQGEPQHYVNPNVPPQHFDAWRGPPMNAPPGVWYRGPPAGPPYPPVPHGGFPMEPFPYYRPQIPPPLVNSQPGPPPGPGPTGHHPRNGDFYRPQMPDAFIRPGMPRPGFYPGPVPYDGYFGPPMGYNPNDRDIPFMGMPPGPPVYNMCPSQNPSEISDGHFRSGGRGSVGNMFVSEQPEESRGPYKVLRKRENGRDVDMEEESWEQTATANLLGLEKSNQPRPSFHRNERGTDARRTEDMPSRRNTLNDNPSRMLHNQGHPSDSVIVHSPEGTRKSKASNESWGKKSEIVTPFPEVPQDIPANTKDSSLIQKIEGLNAKVRASDVRGDAASGSLKEEQKNRLLVNPKDNSTIGFGSTSNTGDLAPPRDINVLRGENTFRSTTASPPFSRQAHHGVRSRADHIKGRSNNQDNDGWRKKPPILGFEVPDNHISVEAAENPETKNAGESLTPMVDPTDGQAQRARMRELAKQRAIQLQKEEEERIREQKAKSLAKLEELNRRTLAADGTTQAAEKTAINVPEQEDVGGFQKPTGSAIETSKQEIPITDLDSKAQAVAQISEKSTTGIGQSITLSRDSKSTNSQQEHVVSHGQSLLSKQNVDNATDAESKAAPLGNDSSLPRLKRANHRQKQSVQVVESLADKPTLSGTGETLKGSAGAAMKNSGNNVVVSGEDVPSQQSNLPNNSNILSESTQQRKRNNKSSKTKHKLDDASLPATVSSSSASREGDLGKLSTESGKPESSQFDLDPSIVLVTDSKDAMQSNLEHISSLPSEEAHGRSTNQYKPQHSRRMPRNPQANRSTDRFHGSDGVMWAPVRAQNKEERGDEYSQRTMQDDVSLPSKTISSVQTNLKSKRAEMERYVPKPVAKELAQQGSIQQSSSPSSPRINTSEDITDREELGSQPPIPVAASVGSVVESKSGDIKQNKQQVKSHGAWRQRGPTEVHREQGFQQGASITSNPKKTHNKSPSQHEASNPVSTETNVMHEWDPSDGWFMPEYPPTEVAPVVKDEGAATGKGKRPAYKGQRSTAKNHDVDHKDVSGAEVEKNLMQSTMPLEINQTDRPTSSKENRWQPKPQAYRGGWSGGGQNASPEVKRVQPEEPVAEYKNAREAAHDGHQEGRRERKPASFRGPNQGFGGNMEDEAPFEQQHAASGFRKYGGQNNRSGGQDNRRQNNNANNNRERPRQNQHYEYQPVGSNNNNSNRSSNLDGPADGSGNAALRYKERGSGQSRRGGGNFYGRQ >KVI06310 pep supercontig:CcrdV1:scaffold_2099:15612:19958:-1 gene:Ccrd_015346 transcript:KVI06310 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein CAFAFHRVKPFPISIAAVVVRRRSTAGADFSLQPTIQHAYILFQILSFSLHPEKYKFLVKVQTLHFCFWIFSFARHFIDWAPIDKDRNIPVMDVGHCYLDGNADVVEFCPHNSFHHVLAASTYTLQEGDCPSRSGSISLFNVDLGRVELFYRLKTAGIFDMKWSPVGGDATSPLLAQADAEGCLRVHHLESSDDGEALKEVNNEKVSSSMSLCLDWNPSATSISVGLSDGSVSIISLQEAKTSILQEWKAHDFEVWATSFDPDQPHLVYTGSDDCKFKGWDLRNGPSKLAFQNSKDHQMGVCCIAKSPHNSHTLFTGSYDEHLRIWDVRSIARPVNETSISLGGGVWRLKNHSVVPGLILAACMHNGFAIVKVDGNEVKVVETYNKHTSLAYGADWYRGSICQEDKKEKTIVATCSFYDQLLRVWVPESYII >KVI06307 pep supercontig:CcrdV1:scaffold_2099:63830:66763:-1 gene:Ccrd_015348 transcript:KVI06307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSNKIFLFVVLGSFLRVSLGVTSDDSFWLLKIQSEFIDSMGVFSNWSPRTNICTWNGLQCSKDQTRVVGINLSNSGLSGSISPDLSRLVSLQKLDLSMNSLTGLIPPELGELQDLRELLLFSNYLSGNLPSELRLLKKLQVLRTGDNQLSGEVIGSIGELTELRVLGLAYCQFNGSIPSQLGNLKHLESLDLQKNSFGGTIPQEISGCLELKNFAASNNLLEGEVPSSFGKLQSLEILNLANNSLSGSIPSELAGLSKLKYLNFLGNRLTGEIPSGLIRLPELQNLDLSRNNLSGNITLFDYEGNSNLEALVLSGNAFTGGFPEKLCSRNSNLRHVFLSGNHLSGNFPLELLNCSSLEVLDISDNNFENELPSGLDKLKNLTDLLLNNNSFIGSLPPEIGNLSNLVSLYLFDNMITGEIPTEIGKLQKLSLLYLYDNQMSGNIPNELTNCSSLNEIDFFGNHFTGEIPATIGKLKNLVFLQLRQNDLSGPVPLSLGYCRKLQTLSLGDNKLSGSLSKTFGYLSELSLLSLYNNSFEGPIPESLFLLKNLTIINVSHNKFSGSISPFLGSNSVSLLDLTNNSFSGLIPSELTMSKNLTRIRLAHNLLNGTIPSQLGQLGDLNFLDLSYNNFTGELPLELSNCKKISHFLVSNNQLSGMVPPWLGTLKELGELDLSSNDFNGTMPKELGNCSRLLKLSINQNRLSGRIPEEIGNLTGLNVLKLQRNNLSGAIPRTLGECKKLFELRLSENSLTGSIPPELGTLTELQVILDLSTNILVGEIPVSLANLVKLERLNLSFNQLQGQVPESLGRLNSLHVLYLSHNHLQGEIPSTFSRFPPRSFMANDNLCGPPLISCSESKRQQKEQLSNTAAAGIVVAIVFTATVICLYLLYLMLRIWCKWKQASISNADNEGGIIEGTPRKEEKWVYGDRPIRSGEYWDLNKMTLLPCSHSKKDVSMTSCIFHHSMSRENSMRSLV >KVI06308 pep supercontig:CcrdV1:scaffold_2099:6140:11261:-1 gene:Ccrd_015345 transcript:KVI06308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSYQPAMAALLKFHPPIQTTRYSWVLFLLIVGFLCKEGDCDTSVKLFKTPEPISNVHSPTFAFQAASCTNCTSRCKLDDLLPSDCSSGEVSYTKLQDGSHRFEVCTNGSRNFHCAAYNWTIDTVSPTATLTASTSFTNALNVSVYISFSEPCGGGGGGGGFRCLSANDCSLLVYGAGQVIPTTLTTIKPNLEYSISVNLSSAVEYGRVVLVTDKGFCRDAAGNQFTRTASSSFLVHFDRRNVYVDLRTHIPEQLLQLDNTVRSVQATNKYKNLKLYLYFTEPIVNTSAEVLKSLQVSQGSLISATSNNDSLGNRRFGFQLVDLSEIAIVTVRLDSGSVLTRQETSVSPISPVTFLFDSQRPYVRLSTWSHMRTQQDHIPVTIKFTKPVFGFNSSQLSISGGMMKGFREISKSIYSLEIQPTEDTVFVHVPENVTTDVAGNKNLASNTLKLLHYSVPAASLVLSCSATAAFVLTALVATLLTISTASLQNYGAFATSSLLLTSNPARIIFRIVCHIQIFALSGWLAVPLPIEYSEFLKGLRWSIPYFRLPWENGYVKPIWPMNPHAYGSKTADLGIHKTELKATTLDKPDSVYGLPLTAMEYKSFFESQNVMPEAEYIADPSDSDGWRDFNRSMFWLAVISGGLIILHILFLLILKLRKKKEKENTYTSVIFPRFEIFLVILAVPCVSAASAALLKGGSASGIAVGILLLGIIIFLILALFLFLSIGISSGKLLQYKEVHHEDQKMHWYQALVKVTLGPGKRGQWTWINTSNSKWLTILGPLFEDLRGPPKYMLSQIAGGLDYNMARGSSIIASDDENEDAEAPFVQKVFGILRIYYIFLESIKRVTLGILVGTFSRSGYTETPTKTLLCVTSFQLFFMVLKKPFIKKKVQLVEIISVSSQLAIFAICLVLLRKNLDTRDQTKVGIAMLCLFLFPFTVQILSEWNALICQIKQLDPDNRSFCLGLKIASWGILLLFVPIRLMKNIKSRFPLKGQRREDTTASSVSVGRFTSSDTPERPWIKQLRDLAKASFSREESGSGSGSGGVTRGDPSSSGAKWSGFWNGKRSGSSSQAVSMDSKSQSKPKGMYKDFEAIFGTK >KVI09748 pep supercontig:CcrdV1:scaffold_21:559577:565590:1 gene:Ccrd_011872 transcript:KVI09748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Taxilin family MENPAANQLPEADSLPDGFVDSSAEPLTPTPATPDQEDQVIDYKEERLIEVDSRPDLVVDDFQSSQGDDGSAEQSRTFPVELSEYVNCDPHESVKKPEEDCTDHTVAGSPTNVSENVGRKSEQGMVPTDGNKHAGETCQTSENSTEQGVESQAAGVQGKLPSESSGTSRKDTSEVKRKSAKRTFKTEKEFLEFTLSYQKVLSERDAAISMRDKLESLCRELQRQNKMLMDECKRVSTESQNLRLDLSNKFQEAIKDVTSKLEEQRDDSLTQLKENEMLRNQLRQKEDQNALIEQQYTQQLKQKTIELQIADLKIQQHEEKLVKEQSQMKTYADQVSQLLATEKNLRLQLTADGEKFQQFQDALVKSNEVFETFKQEIEKMAKSIKELKKENTFLKGKTEKSDITLIQLVEERERMKKQLEKTKNQKEKLESLCRSLQAERKQNPIGQNNAAD >KVI09759 pep supercontig:CcrdV1:scaffold_21:91721:96140:-1 gene:Ccrd_011840 transcript:KVI09759 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen METTLPYADVDSRLRAMAGRAEGFGRFAVGGAHGPVHSVTSLADDGPGSLREACRRKEPLWIVFEISGTIHLSSYLNVSSHKTIDGRGQRIKLSGKGLRLKECENIIICNLEFEGGRGHDVDGIQIKPNSKHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFANHDKTMLIGADPSHSGDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRNWGVYAICASVEAQIYSQCNIYEAGQKKKAFEFYTEKAADKEEARSGLIISEGDLLLNGAQPCPLREANGERMFHPSEFYPIWTMEPASDSLKHILHICSGWQSVPRPSEQGNALVT >KVI09741 pep supercontig:CcrdV1:scaffold_21:207564:213507:1 gene:Ccrd_011848 transcript:KVI09741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDMAEKDATEGRCPACRTPYDKDRIVGLEANFQRVAANCSSRKQKQPKAKQKPNEGRKDLSNVRVIQREMAYIIGLPLSLADEDLLQQKDYFGQYGKVTKVSLSRTAGGTVQQFVNDTCSVYITYSKEEEAVQCIQSVHGYVLDGRASFGTAKYCHAWLRNMPCNNIGCLYLHSIGAEVDSFGKDEIAAVHTRNRVQEIVGATQYLHKRAGSMLPPPVDEHLINCSASAEEPYFSSGLKDVAYAAVASGDHLPCSKDKDGIVRSSKHMTSFVDIVGRSCNSGSDKDTNSIAEGRMLNLCSDMSSVCIDRSNHDKAESSDLVSSKSSTSGHFVNGLSGSKLYSEPFREASKLHSVERADLTLKDTNIRKVQSGLALDSGREVRLNPCNPMREDSQTSGDQRWIDSVALSQKASLNPSYPADVIKNYSGHAWWHMESSSQNVDGADCNNVNNDADEASKLSTCTNSVVNDGYNEKRFQSLAKSDRIYRCSKSFSNEEIVEHLRRLDDDTLVNDDENSANVESSIISNILSMDFDGCDDSVLPRTVAGLFEGKDGRHGSSWNFQNSDQSRFSFANDQGYTNQELEKFSTFQDSGENQDSIYYPQHHASSAHNLIPPGFSKPPPGFPLCMRTEKVVSASSGNYGKKSLPNNHYRTPSIGNLSNGSDDLIDPAIMVVERGKSSSFNMRSSSFDEETKLWRLMQQQQSVSATQHYHEHPQLSRTPSIMQQQQPPQIPSSWDDHYGDLSSRHQHHQSYSQRQYSQPNGYQQAEQVNSRSEVGLAEQIQRNERLGLDKLLPGYSDYLFQMPSSGDVHPCIWNVNYSPS >KVI09722 pep supercontig:CcrdV1:scaffold_21:70670:72696:1 gene:Ccrd_011838 transcript:KVI09722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MARIKVHELRNKSKVDLFAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRTSIAQVLTVISQTQKSALREAYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEKYFPLRKYAIKV >KVI09750 pep supercontig:CcrdV1:scaffold_21:480629:482686:-1 gene:Ccrd_011870 transcript:KVI09750 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MLTSLRCVYQATPFIAGLAVAAAAMAGKYGIQAWHSFKTRPPRPRSRRFYDGGFQQTMTRREAALILGVRESAAADKVREAHRRVMVANHPDAGGSHYLASKINEAKDVMLGKTKNSGSAF >KVI09772 pep supercontig:CcrdV1:scaffold_21:288375:292169:1 gene:Ccrd_011852 transcript:KVI09772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup SLSLSLNIFNSLSPPPPLSLSLKRVKSVCFGEGKQIQMGCLFCSEKSKKKPKFQQNKKPEDQISSTSACLFSDKLKINSALGINKEGLKDGGSTHIAAHTFTFRELAAAAKNFRADCLLGEGGFGRVYRGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYYLPPDKQQLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLDEDYNPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDHSKTGCEHNLARPLFKDRRKFGQMADPMLQGQYPVRGLYQALAVAAMCVQEQPNMRPVIADVVTALTYLASQRYDPRAYQPQTVRTGSGSSTPRTRRNSDAATR >KVI09744 pep supercontig:CcrdV1:scaffold_21:616710:617938:1 gene:Ccrd_011876 transcript:KVI09744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin MSSVSNTLIGFLNLLTLLASIPIIGGGLWMAKSTATCESFLQRPLMVLGFVILLISLAGFIGACFHVVWALWVYLVVMLFLIAALLAVTVFGFVVAGPGGGVEVPGRTYREYHLQNYSPWLRKRIENPNYWMTVRSCILGSKACAKIVTWTPVDYWTRDMSPVQSGCCKPPSACNYEAPMMTQDADCYKWNNDPNMLCYECDSCKAGVLEDVRRDWHKLSILNIVMVMLLIGIYFVGCCAFQNAKKADSYYPHGQSVMYKTRPKWNFY >KVI09745 pep supercontig:CcrdV1:scaffold_21:607878:615052:1 gene:Ccrd_011875 transcript:KVI09745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MGNSLFFFVLCFSFLFLGTCYSLSDQERDKIGQLPGQPPNVDFSQYSGYVTVNQQSGRSLFYWLTESPANRDPKSRPLVLWLNGGPGCSSVAYGAAEEIGPLHINSDGKTLFSNPYSWNKLANLLFLESPAGVGYSYSNTTSDLYNSGDARTAEDSYVFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQIIYKRNKGVKNPVINFKGFMVGNAVTDDYNDFVGTFEYWWTHGLISDSTYKSLQTTCEGRSSEHPPIECIRALNAAEIEQGNIDPYSIYTKPCNNTSSLMRRGRYLSLYEQPWMRGAYDPCTERYANQYFNLPEVQKAFHANIAKLSYPWKTCSDIVGEYWEDSPLSMLPIYKELMAAGLRVWVFSGDTDSVVPITATRYSIDALNLTTIANWYPWYDNGKDMKCHCIALGKLLYFSGHFWRTKQCKEAKTLHLDFTLGHLNVDDAFLATQSVAFCGKFAGSGGQDVYKHFSALCFTENPRLRCQRFGVFCCKSGLPSEFGWPLIHRVPPIPPELGQLQRFKTEIAGKKSTKGVLGDRDCRKKHLREFSQTEIA >KVI09766 pep supercontig:CcrdV1:scaffold_21:791963:794281:-1 gene:Ccrd_011885 transcript:KVI09766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase KLIAERFVQVPGVLIAKCDALDNNRSLLLQEEMASNHSSLEMLQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWKPKQASPEDYVTSNAVADYDGVQSMKNQRGKICVLSIDGGGMRSILAGKALAYLENALKEKSGNPNARIADYFDVAAGTGVGGIFTAMLFGSKNQSRPLFKAEDTWKFLAEQGKRLYNQKPISGGGGGLLKRILRGGSSGGGSTHATTGFENAMKEAFTVNGQSLTLRNTLKPILIPCYDLSSSAPFLFSRADALETDSFDFQLWEVCRATSASPDLFEPVSMKSTDGKTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEGNFHYEPVKGWKANHWCRPMARISGDSSADMVDHAVAMAFGQNRCSNYVRIQANGSNMGGCGVNVDSDPSPSNVKMLTAIADEMLRQKNVESVLFEGKRIADESNLEKLDWFAGELVVEHQRRSCRIAPTVAFKQAAMKQT >KVI09742 pep supercontig:CcrdV1:scaffold_21:213962:217908:-1 gene:Ccrd_011849 transcript:KVI09742 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MLDLNVDVVVINKNCDRSSFDTNGCIAVNKNVHEDRQSEIHSGTSVSSDVLNTDEDNPLVTDDVVDDEDSVSYSAFDKRSFRNDIFSQTGESRENSNRVSVGLITRQFFPVVSYLELEEELGSPLIKASPSATSVLGLDWLNLKVPEAAPMPQNMQPLPPQKARKSRRGPPSKSSQYRGVTFYRRTGRWESHIWDRGKQLYLGGFDTSHAAARAYDRAAIKFRGNDADINFDISDYEEDMTQVKNLSKEEFIHILRRQSNGFSRGSSRYRGVTLHKCGRWEARMGQLLGKKYVYLGLFDNEVEAARAYDKAAIKCNGREAVTNFEPSTYSTDINLEERDEANGHNLDLNLGVSPTTAGPERNHKVQNVDVGFTACELSSGKRQKDMGRGKGSAGVVPSADAAWQMQMQMATSHHVVVPFPVASTAASSGFSSFTNFTDPLFLSTKNMQQQQQQKKKNKITSFNPMPPAATSSQY >KVI09727 pep supercontig:CcrdV1:scaffold_21:55063:57204:1 gene:Ccrd_011836 transcript:KVI09727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTAATHYRSVFTQFLEYTQQKNLTNGRTLHAHIIKTGATCCSYIANSLVNFYAKCHLLAEAHLAFESIENKDVVSWNSLINGYSQLGGRQNSILVMELFKKMMSQENTVMVLPDARTFAGVFTAASALMDSFGGKQSHTVAVKLGKSQEEEHVNEFVVTSILSAFTLPELIHIGQQIHCLGLKHGLLSHVSVGNAIVTMYSKCGNLDEALKAFELSSNKNSVTWSAMITGYAQGGDCRKALTLFSKMHFCGLIASEFTLVGVLNACSDGSTMGEGKEAHAYSIKLGYQDQIYIMTALVDMYAKCGSLDDARKGFEHLQARDIVLWTSMIGGYVQNGENESAMDLFCRMQTEGISPNELTMASVLKACSGLAALEQGKQVHATTIKHGFGLEVPIGSALSTMYAKCGSLVDGGLVFRRMPSRDIVSWNSMISGLSQNGQGSEALELFEEMQIEGTKPDYVTFVNVLTACSHMGMVQRGWEYFKMMSDEYGITPRIDHYACMVDLLSRAGKLSEAKDFIESAPIDHGLCLWRILLSACRNYHDYELGAYAGEKLMELNSQESSAYVLLSSIYKALGRSKDVERVRQMMSFRGVSKEPGCSWIELKSHFHAFVVGDQLHPQIKEIRSEVHRLSKLMKDEGYHPDSDSNFADLEA >KVI09769 pep supercontig:CcrdV1:scaffold_21:272778:280079:1 gene:Ccrd_011851 transcript:KVI09769 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein RGAVGECGGGGGGGGSPVDSIKEFKSDVRSAPSPDSFCVVWSVGVSTSIPATKGLAELNHHRRKELKEVRDGAAFAGQRLSEEDESRINEDVNGGRRIELVLSQDAVQRQHQQPQGPVVQWERFLPLRSLKVLLVEDDDSTRHVVSALLRNCSYEVTAVANGLEAWKVLIDLNKQIDLVLTEVVMPYLSGPGLLSKIMNHTPRKSIPVIMMSSDDSMGIVFNCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSWSRRAVEVESAKYSRDQLAGPPDSTCAQVIRARPEASNNYQPNTISTREHVGEDSNLDTVAMGKDLEIGVPRNSEKVLESNSKEAGEPSTKYTNIGMAAKSNESQKTKDSPVLELSLKRPRDLEDTDTSTHKRNIFQSDLSAFSRYNNTTSNANQAPTGNVGSCSPLDVTISSEAAKPVNIQSNSNGVPNQRSNGSSTHNNDMGSSTNNAFTKPEPLADDKSVPPGPCEGDTTKFQVRHHHHHYHHHHHHVHKTQQQQTANQDGGSSRNLVSNALAAPAEGNAANYGSASGSNNKSNGENGNSGQKGNSYAATAEGGDDGAEKSKAGSGSGSGKGSGADQDRVAHRAAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRVRGQFVRQGVSGKDADS >KVI09771 pep supercontig:CcrdV1:scaffold_21:292873:302180:-1 gene:Ccrd_011853 transcript:KVI09771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MEYGGEWRETLQKIENVDKDAYGFPVRPQHVQRYREYATIYKEEEEERSDRWKDFLERQSECAQLPVNGSSAEKDRAASLSVASGKEANDGSDKSPQGADLSDEKTAHDDLNVPEAQEDEFSQMTESKTHRAQIWTRIRPSLRAIEDMMNDRVKKKISLTRTEEDNGAENLGSLEDAKPTKAGAEEDSEDVFYDLERSESEPTPEVPSSDGVSAPTPGATSNAVPQESSIPWKEELEFLVQGGVPMALRGELWQAFVGVKARHIKNYYQNLLLSDSKNDNSVEHQISEVDEASKLLKTDSIDVPEKWKGQIEKDLPRTFPGHPALDEGGRNALRRLLTAYARHNPCVGYCQAMNFFAGLLLLLMPEENAFWALMGILDDYFEGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQIAWVSGPWFLSIFMNMLPWESVLRVWDVLLFQGNRVMLFRTALSLMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEERLRELRNKHRPAVQAALEERSKGLNMWRDSQGLVSKLYGLKENKTGQTGDKMTNGALSRNNSESSNADESYMGVSGDVEINSVPDLQEQVAWLKNELCKILEEKRSAILRAEELETALMEMVKQDNRRELSAKVEQLERDIADLQQALADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAAQRYATAVLQEKYEAATASLAEMEKRVVMAESMLEATLQYQSGQNKAQPSPRSANQDSSAIRTSQELSQEIPARKISLLSRPFGLGWRDKNKAKPAEEQVDVKTSGDEQNPKAVKLAEEQADEQNSKGVQENIIDGHEMEQVSLADEDGK >KVI09721 pep supercontig:CcrdV1:scaffold_21:88208:90109:1 gene:Ccrd_011839 transcript:KVI09721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKTQNTIKKSPNTLNQKPRNLMSRFSFTTTISFTSSSFSSSSSSEYMMEETIKHAESILEKWEIDDHSSTRFISIFHESREEATVFINCITGLHRAMRFLVSHDLRSEKLSLAQRLMQIAMKRLEREFHLILSDTREDLEPGSVSSGSSRTSIDSEEERQLQISVSDVKQPSMIAISNLRLIADTMISCGYGKECIVLYKIIRKSTIDEALFHLGIQPYSSSQINKMINAPHFDDHVKNWLNAVQIAVKTLFHREKFLGDRIFTSSVRIRDSCFENSTKEGALNLFAFPEHIARCKRPKSETIFMMMDLYNSISDLWQEIESIFSNESVSSVKIQALTSLHKLGDSVRTALVELESSIHKNSSKLTVSDGGIHPLNNSVMTYLSSLSDYGSALSDVIVDDSQFREQTSDEISPHPVSVKLAWIIRVLLCKLDGKAKFHNYVALSYLFLVNNLHYIIEKVRATNLKFILGEEWMTNHDKKLKQYVSSYESMSWNKVISCLPENPLVSPEKVRDCFRRLYSTFEEVYGKQTSWIVVDEKMRDKMKASIAHKLVPVYEEFYGTHLITLGEDERCVKMLIRLSPENMAKYLSELFPGTSAVVRSSWSYSLTLPVLSLLQVFRSLHIENTKILLL >KVI09723 pep supercontig:CcrdV1:scaffold_21:30304:38487:1 gene:Ccrd_011833 transcript:KVI09723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP01589, plant MQAYNMMVLLFGIPIDILISTDSFLKFEPIRSNASASQTQNANRVQHLIEKCLIFRMSKDECMEALFRHANIKRSQSSSGKDLMSEAETSKLIQKLISDNDAK >KVI09758 pep supercontig:CcrdV1:scaffold_21:139621:142732:1 gene:Ccrd_011843 transcript:KVI09758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAPAIDEGGIEKVLMTPTKHNQNSNPLGEASPSPSPSAATPPALVLSNSGKRMDQAGKKKYVKQVTGRHNDTELHLAAQKGDLAAVKQILDDIDSQMVGTLSGADFDAEVAEIRASVVNEVNELGQTALYTAAEKGHLEVVKELLKYSDKETIMRKNRLEFDTLHIAASQGHDAIVQLLLDHDPSLCQTRSQGNATPLITAATKGHTAVVMELLSKDRSLLDIARSNGKNALHLAARSGHVEIVKALLENDPLLARRTDKKGQTALHMAVKGVSSEVVKLLLEAEPAIVMLPDKSGFTALHVATRKKRAEVDFILSQKLYHAIVNELLSLPDTSANVNALTRDHKTALDIAEGIPLCEESTDIIACLTRCGAVRANELNQPRDELRNTVTQIKNDVHTQLLQTKKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDDNDGMAVVVNRVSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTSVAFMASSYIVVGQKYEWAAILITVVGGVIMAGVLGTMTYYVVKSKKTRSIRKREKKSGSNSWLHSENSEVDRIYAI >KVI09735 pep supercontig:CcrdV1:scaffold_21:371455:372006:-1 gene:Ccrd_011861 transcript:KVI09735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a/b binding protein domain-containing protein MATSNPLFSSPLPLSPLRPHAPRLLTLSFTTKATSEDDPSPTSESSETESFDDRLSQVRLRYRSGTGKKADARKSKKSGKKPGGSSGSGANIYLPPVPLKEPMSGGLKVDFGFSPYSERINGRVAALGLSALVLVELATGESVIKYHTPSIIFIQVYFVAAMTALYCKVEKEKVSVWPPSASG >KVI09779 pep supercontig:CcrdV1:scaffold_21:809649:810065:-1 gene:Ccrd_011888 transcript:KVI09779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHFRPFLFLVLVLAPLPTLEGSRRTLQAMSPVPSPFYHYRHSAPASSPAPVPQPPPKLTPPPSSGGGSSGGGGGFVLPQNRGGGSSKGLSGGQKAGIVFGVLAGAGLIGFATVVFMKRKSNIRRARFGVLARRSQL >KVI09739 pep supercontig:CcrdV1:scaffold_21:470655:476608:-1 gene:Ccrd_011869 transcript:KVI09739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVNVNGNDPLESFLNSIELVKNALGPLESSFRKAARDFERRWPGSKNETKTGEIAMRNEGKVELFGVKKKNGQGMVGDERKKGLSSKVPFKSFLGIFIQNDAGNSDRRVDMSKKELDVQDCNKEDGTCINCMQFAVTWSLLVSSFVQAVPGPFRNGKKKLQKKTNGDKLCKHMHVSEYKSKISRDKNDFMAKGAFPEDIPGDTNQTKLKSGLILLPCEETLETKDGNNMSLEHFIGFVFDQLALNLQKFELGAQESGSEKCDFSKVPPPTNQVDHFKAVGSLLEGKKADFSGFLGNLKFARVGGVPSGIVDLTSPVKGESDDNVSSNGTEENVSSFSQKMANGLLSIPLSNVERLRSTLSTVSLTELIELVPQLGRSPKDHPDKKKLFSVQDFFRYTEAEGRRFFEELDRDGDGQVTLEDLEVATRKRKLPRRYAHELMRRTRRHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNAGLPANEDNAVAMMRFLKSDTEESISYGHFRNFMLLLPSDQLKEDPRNLWFQAATVVAVAPPVEVHTGSVLKSALAGGLACALSTSVMHPIDTIKVSCYVSRVIADSFHNGKECLWLALTPAIYYIVGILPAGSDSSSLYCQTRVQASTLTFPEILAKLPQVGVRGVYRGSVPAIIGQFSSHGLRTGIFEASKIVLINVAPTLPDLQVQSIASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVVQRILGRELEPWETIAVGAISGGLAAVTTTPFDVMKTRMMTAPQGRPVSMSMVALSILQHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELMKNAISKSEEQPTLEQQAAEQLSQK >KVI09729 pep supercontig:CcrdV1:scaffold_21:50493:52330:1 gene:Ccrd_011834 transcript:KVI09729 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MENSQNTPSVIAKLMGLDEMMPPWKQPISRQLRVLSDNYLQKSGSIGKRTRKDSQNNLLRSKKRANLRTNYSNEDISPKDLEQKSSNLDGIQGTESIKNGENASRSSFLVNPQTTFAWEAKKQLLERLKMTKVSQELRSSTQSSSLKSKPGSKFGGLIGISSKEGRTYKPVTKLPIFKLTNFSSNSTTKSLKITRSVDLKPSSVVNHMSTNIKKDNMVSNSSTPLSVSSNSDARTEMVGLSMQLQLLESESEENDMDPEMVTSSDDEKSCCTDENIKSVVSVGSKESRDFSYLLDVFDESGFEGGDVEIRFERWHSSECMGSPLVYERLEKKYGKQELWHKAERRLLFDRINAGMIEILRPLWSKPLRRKMTKNMWRRDAIEEELWILLASQENGVHDGVAQKAVGRGPWLGPEDELDSIVKEIETFLFDKLAAELICV >KVI09777 pep supercontig:CcrdV1:scaffold_21:821292:827481:1 gene:Ccrd_011890 transcript:KVI09777 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MQMDTYCNNNGIMESKLTPDLSEKLIEDFCKKASTSFFEQHGLISHQINSYNDFLRNGIQNVFDSLGEINVQPGHDPSENETVRRYASVKLGTVTLERPMFWAGENFSTESGSEYLILLPKHARLQNITYSSRMSVQIQYEVYTQETVESDILETGKEHVGKKVLLEDSRDITIGRLPVMTFIAQEKSCLKRLWLINNPIWTVKYRSSIGKERVYVKLLDTHIRGGGKVITVYLFNSVEIPIWILFFALGVSSDKEVVDLIDAEAKDNTIVNTLLASIYDAEQKFKDFRKEGKAFNHLSEALRQKWSYTPKQTFKSCIEEILFPNLHGFNRKARFLGYMVKCLLEAYTGRRKVDDRDSFRSKRVELAGELLERELRVHLKHAVRRMIKALQRDLWGERPLHPIEHYLDASVVTNGLSRAFSTGAWVHPYKRMERISGVVAHLRRANPLQMMADMRRTRQQVQYTGRVGDARYPHPSHWGKVCFMTTPDGENCGLVKNFSSTGLVSTNVPENLFDVLIKCGMKELVDDISTSLGGKHKVFVNGDWVGICDDAASLVDVIRSKRRRKEIPYQVEIKRDEKHGEVRIFSDAGRLMRPLLFVENLKKIKFLKGSDYSFQNLLDNGIIELIGTEEEEDCYTAWGVQYLFHDKSSHLYTHCELDMSFLLSLSCGIIPFANHDHAKRVLFQAQKHSQQAIGYSTTNPNIRVDTLSHQLFYPQKPLFRTFLSDCLGAPKYSNSHNGGMQSRDEYYNGQCAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHVRSYKAEVCNKESFGKKGKLEDNIIFGKIQSKIGRVDNLDEDGFPYVGANLNSGDIVIGKYAESGGDHSIKLKHGERGSVQKVVLSANDDGKNFATVSLRQVRCGFSRWGNERVYNGRTGEMVESLVFMGPTFYQKLVHMSEDKVKFRNIGPVHPLTRQPVADRKRFGGVKFGEMERDCIIAHGATANLYERLYTLCDASHMHICRKCNNMASVIQRSVPRGPKIRGPYCRFCESVEDVVKVNVPYGAKLLWQELFSMGIAIEFNSELC >KVI09765 pep supercontig:CcrdV1:scaffold_21:780005:787909:1 gene:Ccrd_011884 transcript:KVI09765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase MPELPTPIRSPLCNPPYLNDGKKWLVQSVSKRDIDRITAVGSTYGNYFCVNNIWRISWWRCWLSDRWAPPLNSPLRGCFTIRSGQKLNSCTGGQARGGLPHLERKLTHVAYIKVLLKVRYTTGSPIMIKVPNTDQRGKDYIEMSMAHRPSHADAIYDFKYGTRSVEGGGRSSARETIRRAASGAVAKEILKAYSGTEILAYVSQAQKVVLPVDPVGHQTLTLDQLMAQYAQCQLFPINQRVPGTVAAAKNSTNCTFLKRFTELVIILTLEQKIKSDDENMQTNGL >KVI09761 pep supercontig:CcrdV1:scaffold_21:738918:748888:1 gene:Ccrd_011882 transcript:KVI09761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MSCFYSFVLLFILITASGAIAIDTIYSNQTLKDGGSDTILSPTQIFQLGFFSLGNSSLRYLGIRYNTISTGTVVWVANREVPLNDPTGMLKVNDDGTLQLLTVDNTLIWSSRSSKLAINASNPVAQLLDDGNLVLKDQISGNLIWQSFDHPGDTLLPGMKLGVDLVTGTHRNLASWKSSNDPSLGFYTVWTDINGYPQLLNKKEDSHLVQRLGSWNGLGFSGMRGLGQSSFYAFELFLNQEEIYYRYTLVNNSSYTRMRLDPQGTFYHLVWSDQSQSWVSSMMPFLHRCRYDSCGPYGSCNINNSPECGCLQGFEPKVPEDWSIGDWSNGCKHVNFMTTENRHNFKKFSNLKLPDSQYSWFNLTMSLGECENVCVSNVSCTAYANSDIRGGGSGCLLWFSELLGIREAPPGDGSGQEIYIKFLDPNIIRDKKTKKKSQLKVILSTILPVVLLSFALILYAWRTKKKSHLKGEAKLNSFDKIVKATSNFSINNKLGQGGFGAVYKGVLEGGEIAVKRLSKTSMQGLIEFQNEVRCIAKLQHRNLVKLLGYCVQGEEKILIYDYMPNKSLDSFLFGWKPSRLYKSTHLIKYLNPVIQTNNQFKLVADENNSASLDWPQRYHIINGIARGLLYLHQDSRHRIIHRDLKASNILLDSNMNPKISDFGLARMFKEYETEAKTKKVVGTLGYISPEYAANGVFSVKSDVFSFGVLVLEIVSGAKNRGFSHQDHHNNLLGHAWRLYREAKPLELVDVALGGSWNVSEVLQSIHVGLSCVQQHAEDRPSMWSVIHMLGGEGAPPPPKQPGFFTESTLSMPEVPVSINEVTITQLDARLNTTDFNASRHKYIQGLRRLLNINCKLSQDRPDCMQHLKMTCCNYSFVLLLFILITTNGAIAIDTIYSNHTLKDGDTLVSASEIFELGFFSLGNSSSRYLGIRYKNISTDNIVWVANREVPLNDPRGMLKVSDDGTLQLRSVSNNLIWSSQSSKPVTNTGSNLAAQLLDSGNFVLRDDTSGEFIWQSFDHPGNTLLPGMKIGMNLVTGIKTNLTSWKSSDDPSTGSYTLWMDISGYPQLYIMKDESSFQQRLGSWNGLGFSGVSGSSSHRPYMFDFVSTDDEIYLRYTSVNTSLVTKMILDQQGSFNVFFLNTLTQSLYLYTLTIRDGCDRYGICGPYGSCNIDHAPPCACLEGFEPKLPEEWSRGKWSNWCKHETLMTSENGHNIKKLSNLKLPDSRDSWFNKTMSLGECENVCARNVSCTAYANTDIKGGGNGCLLWFTELIDVREASTRDNSGQDIYISMRNPNTIREEEKKKKKLPLQVILSTVIPVLLLGFALILYAWRNMKKSHLKFEDEDQKQDLELPLFSLSKIVKATSNFSINNKLGQGGFGAVYKGMLEGGREIAVKRLSKTSRQGLIEFQNEVICIAKLQHHENNSLLLDWPQRCHIINGIARGLLYLHQDSRHRIIHRDLKASNILLDSDMNPKISDFGLARMFREYETEANTKKVVGTLGYISPEYAANGVFSVKSDVFSFGVLVLEIVSGKKNRGFSHQDHHNNLLGHAWRLYKEANPLELVDEALGGSWNVSEVLQSIHVGLSCVQQHAEDRPSMSSVIHMLGGEGALPPPKQPGFFTEATKSESESTLIMPQVLVSINEVTITQLDAR >KVI09726 pep supercontig:CcrdV1:scaffold_21:58721:62131:-1 gene:Ccrd_011837 transcript:KVI09726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MNFIVNSKGIRLFTCQWLPIDCNPKALVFLNHGYAMECSFSMKGAATRLVKAGFGVYGIDNQGHGKSEGILGFIPSFDDLVEDSSQFFTSICEKEENRNKLRILLGESMGGAMVLRLHMKKPDYWDGGVLVAPMCKLAENMKPPQIVVNMLVKLTKFIPTWKIVPGQDILDLAFRDPEIREEIRNNPLCYKGRVRLQTANELFNVTIELEKKLKDIRMPFLVAHGGNDKVTDPLASRLLYDVASSTDKTFKLYPGMWHALTYGEFTENTDVVFADIIAWINDRIAKGNSRLEREQKNINDELYKDIPNKSKPDDPSKSSPEVHKDVSSKEINN >KVI09730 pep supercontig:CcrdV1:scaffold_21:415077:417167:1 gene:Ccrd_011864 transcript:KVI09730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MTMIINNNNAGGVVGPFGDTTLTKVFVGGLAWETPKEAMRDHFEKYGDILEAVIISDKTTGRSKGYGFVTFKEPEAAKKACEDPTPVINGRRANCNLASLGARRQRSLTVVPPPPQQQQTGPNVIVGPRSAGTITPPPGHVQWYYPPTPPPASPYHHHQAAVPYYGYVPATYVATTDVSYNHKLGYTGGAYMNGGHYSHVYPSHATVGAHTLMPMYPMYQYHHQSQAMGLPAQFFCGPTSAGPIAAVPALLSKPTQITPTSAGRVGGFKKGG >KVI09737 pep supercontig:CcrdV1:scaffold_21:392825:399656:1 gene:Ccrd_011863 transcript:KVI09737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MADGPGSPAGGSHESGGEQSPHSNSNIREQDRFLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKAYLSRYREVKLFSQNLVSDMIFCFFLAVGCLHGLLIYTVRVPSSRTVYTRHEFFEFACLNFQALLLMTNMVSPFGQIAKLVPSLSLIFVTIVLLDGICYPC >KVI09776 pep supercontig:CcrdV1:scaffold_21:830085:831694:-1 gene:Ccrd_011891 transcript:KVI09776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKTALGSRKWFSSWMPNKSSILLTLSKKMERSLGISFQGIVDREMHLWPSEKLRDSFKLDYLNNLEWNLRRLKSDKKKKNQSSGSSNQESLLCDDKTDNQNCCNKDRSCGCASAVLKDLLMILSCWCCCYCWGGIADARLIPPESLSSSLNFEEWTNTL >KVI09751 pep supercontig:CcrdV1:scaffold_21:639335:653187:1 gene:Ccrd_011879 transcript:KVI09751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MNRQRLGNPLFSMLFSGCKFGLFEKTQLFGPSLCSPLSLPFQPQQCCLEEIILIIKEGNSSMEGLFTSLLISIALFLLFFSPSVAVDSIAANQVIKDGETIVSAGEMYELGFFSPAKSNNRYLGIWYKKIATGTVVWVANRDLPLSNTSGTFKVSSQGLLLLSCCDGTVIWSSSSSTFARNLTSWKSPSDPSLGQYVNYVDTNGYPQGFILGQGSVKSRVGPWNGIRFSGLPDEMPNPIYSVDFVVNQKEVYYISELKSSAVQMLHLKWDGSIAIMRWRNRSQDWFAFSNFLQDICNGYGLCGPYGICNINKTPPCTCMDGFEQKRPDEWNTADWSSGCQLKSPLDCRVGEVFWKIRGMKFPDTRHSWYNLSMNLGECEKACRKNCSCTAYANLDIRGGGSGCLLWFGELMDLKVCEESQDLYIRMPASLLTDEGLTVFQSGFNKKFQVLTVVLSILLVFLGIAVYACCKKKRSYMKGRGLRVHAIDKRYSDVEKEDLQLNFFSLSQIGKATNNFSINNKLGEGGFGPVYKGVLESGQEIAVKQLSKTSEQGYDEFYNEVVFVGRLQHRNLVKLLGYCMDGDERILIYEYMSNKSLDLFLFGSPLSSCFYCPFNVMLADETKSSTLEWPRRFCIIHGIARGVLYLHQDSRFRIIHRDLKAGNILLDHDMNPKISDFGLAREFGGDQIAAKTKKVVGTYGYISPEYAIHGRISVKSDVFSFGVLVLEIVSGKKNREFSREDVNDNLLGYAWRLYTENRCLELMSPSLVNSCIISEVTRSIHVGLLCVQNRAQDRPTMSSVVMMLNGDGALPPPKQPAFFAEEILPNHYPYSTVDEATITLRSNDTSMEVFTVPLFLSSVLFLLLTSYAAVDTIAPNKTIAEGDTIVSAGDMFEFGFFSPXNSKNRYLGIWYKNISPQTVVWVANSEIPVTKSPGVLRINRDGILQLIDAGSNLIWSSSYREPIRNVNPLARLLDNGNLVVWDNDKNLIWQSFDYPEDRPTMLSVVLMLVSESVLPPPKQPAFFAESCYPKTDSVLSIDKDTITLLHGR >KVI09732 pep supercontig:CcrdV1:scaffold_21:430230:431507:1 gene:Ccrd_011866 transcript:KVI09732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 MENAEPFSSSTAPLTWHDFLERMRHPSASEFVKAIKSFIVSFSNNPPDPEKDSASVQEFLANMEAAFRAHPLWAGRSEEELDSAGEGLEKYIMTKLFTRVFATHPDDVKVDDQLYEKLALVQQFIRPENLDIQPTYQNETSWLLAQKELQKINIYKAPRDKLVCILSCCKVINNLLLNASVAANENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRESRLVGEAAYFFTNMLSAEAFIMNIDGKALSMDEMEFQKNMESAQTLIYGLSGDYDGGESVSEPRQVKSHENSSVAVESTTDGANSKDQQQVNKIPSISDLENKGASTLMKEERGIEEFRKFPYLYSRTGDLTVGDVEELLNSYKQLVVKYVSLAKSIQSRNEGESLGKGKVDDVRIERGSDGVFTGDSESTEVVSRVEDTVES >KVI09775 pep supercontig:CcrdV1:scaffold_21:322479:326575:-1 gene:Ccrd_011856 transcript:KVI09775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFKSSASSNGTNSLASSQSKDKQLHSEKSLEGTCKSRSKKAAYEDQSSPVLRKSRSYSSGTVHDSGLLRTQSDSPCSSSSNFSHKQSASHSLHRALTPERHSRAKWCEDPTIDGAQRIEKFIFAGVDNVSSESSSYCSTNASNKVLDRYIDGEQHQEKSYMQMNSNTRSHVCQSNGDGKRPPRVQYAAPSSPFDGGLTQKPRAHSFRDPNRESKLYMSTRDWVENGAAHESPRKLAKQVMERLSQSRRLPRVDSEQFDHDTPITIEDIYGRSQNCLPSDGPVKETSSFKERNYGLDDNCSRVLEYEESEEADEISLLRAELDSRTRKLEKEKNELQSALEKELDRRSTEWSMKLEKYQMEERRLRERVRELAEQNVSLQREVSLFGERELDNQSRLIHSGQQVKDLSAKMEEVTNQNQNLHQNLSELQDKYRAAEEDLDCFKRNYADKDKECKELHSAVTRLLRTCSEQEKTIEGLREGLSEEVRKKGSVENKFDHNQQSKLQIEHLRLTGVEQTLRKEVESYRLEVDSLRHENINLLHRLKGSSKDGGFSTFKLDQELWSCVHCLQNQGTCLINDNVQLCSKLLENVKERALNSDNEASKNGLDSQFIMESDMKLQGFKRGAESLTRSLQTVSDVLREKSCTGTLYSDCSEEVVKSDLKAECLMTSLLREKLYAKEVDVERLEAEVATGVRGNEILRCEVQNAMDNLSCITHKMKDLEIQVMKKDESIYRLQNDLQDCKKELSVVNGILPKVSEERDMMWEEVRQYSENNMLLSSEVGMLKKKVEALDEEVLLKEGQISILKDALGKQPFDLLSSSEGFLLQ >KVI09756 pep supercontig:CcrdV1:scaffold_21:168786:170782:-1 gene:Ccrd_011845 transcript:KVI09756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter EGKNSSQHETLEDTNNIFIKHSKQGGWTTFPFILGSMFALTMATGGWVGNLIVYLITKYNVKSIEATQVFNVVLGCLSLFPMVGAIISDSFYGSFFVIAFFSFVSLLGGALMTLTASLPSLRPSPCINICDSPSKLQYGVLYTTLGLACIGIGGTRFTIATMGADQFQNSNDTAIYFNWYFCFFYIASAISSTALIYVQDNVSWTLGFGICVASNAVGLILFLSGNKFYRHIKPKGSPFTSIARVIVAAVRKRKVSSRNQDYNHDDKSTTTPSDSFRVCKFNVSSSSCRFLNRGALRIESDGPNSRSWSLSTVQEVEDFKTLIRIMPLWSSSIMLSTLVGMISNFTILQALTMDRHLGGSHFKIPAASFLFFSTLATSISILILDRLIFPMWQNLSRRSLTPLQQIGVGHVINVMALVSSALIEVQRLHTARAHHLTGSSMGSTTIVPFSASWLVVPLTILGISEAFLFPGQISLYYQEFPTSLRSTSTAMISLLVAAGFYLSTAMTSLIQKNTSWLMDDLNDGRLDIVFWLLAAIGVVNFGYFLLCAKMFRHKVDVDDQSLDDVSRT >KVI09780 pep supercontig:CcrdV1:scaffold_21:810235:815412:-1 gene:Ccrd_011889 transcript:KVI09780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAATRSLILSLSILLLLCFNAFCKETHDLDDEDLSFLEEDELEDAGSAHHGYEDHDFENYDDLDDFGDGEGEYPESESAPEEEIDESDVVVLKGSNFSDFLEKYRYVMVEFYAPWCGHCQALAPEYAAAATELKADEVVLAKVDAAEETELAQKDAIVTWVKKKIGPGLHNLTTAEEAELILSSESPVAVGFVENLVGPDSEELAAASKQEDEVSFYQTSNADLAKLFHIDPQGKRPALVLLKKEDEKVSHFGGQFIKSDITEFVSKNKLPLVIYFTRASSSQVFENPIKNQVILFTTLNDTDKFLPTFQEAAKDFMGKALFVYVPMDDEDAGKPVAEYFSIEGDTPRVIAFTGNDDARKFFLDGELTLNNIKNDGDVKIVVGNNFDEIVLDESKDVLLEIYASWCGHCQALEPTYNKLAKHLRGINSLVIAKMDGTTNEHPRAKSDGYPTLLFFPAGNKSFDPITVDTDRTVKAFYKFLKQHAAIPFKLQKPESTQKPESTQKPESESSSNKELKDEL >KVI09763 pep supercontig:CcrdV1:scaffold_21:794975:803718:1 gene:Ccrd_011886 transcript:KVI09763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease MKSSFWNSLPPAVSSSSSLLFKFVGLFFLTFQPFPPRLEILGEDSQLKRTLKKQNKGDDSGIPIAVSGTKKGTIAGAVALIIGTSIGSGILALPKRTSPAVTRHAGALEFGNCASGSHGLLPSSISLILCWGFLLIEALLLVEINVGLLKKKENRVENENENELEVISIRTMAQETLGELGGTLATLTYVFLGYTSMIAYASKSGEILYHFIKIPESVSGVFFTMVFAILISGGGTRTTDQVNQVLTVCMIGLLVAIEVLAIFYGGWAGFEGNGDWTKVPATIPVMIFSLVYHDLAPVLCAYLGGDIERIRTSVVLGSVVPLAGILIWNAVALGLSSQTEPIIDPLELLMRQRWDGVQIMVEAFSLLAVGTSLLGTLLGFSQFFKEQIKSRTDFGGMVEKWWVNNRTGFTATVMVIGPTLFVSTTVPDVFSAATDIAGGYCMTMLYGVLPPAMAWAMHVEEETDDKKGISRTRLALFGIGLFACGIILEQIFLDLSIV >KVI09767 pep supercontig:CcrdV1:scaffold_21:356621:370391:1 gene:Ccrd_011859 transcript:KVI09767 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 MMNQGVDGTITALDPNSVERLAVDPSRGQAPSYYVPSTGSEAVSWTTHGAANGSIQNGIDSSSSINHDQYAEPHLKNIQDGVTAMSAASTSNSGTANVPQEYASYAAYPGADTYGYGSTAYAGYNGGYRQQPNQSYPQQQSNQSYPQSAGAYQSTGAPHQPISSLQNTGSYDGSSSYSTTYYNPADYQTSGDYAAGTYSNQINLWNQGGYASYGHQYPSYPAETNGAYTAPSAAAIPLQYQQEHRQWADYYSQTEVCCAPGTENTSATSKSSLGCPVPAVTSVYSAPTNQQVASSAPTYWVPEPSTLDVPSVQPNEVITNVHDEHWKHGRQGFQNYHANSLQPNIQKSLDLNSAPVSFQDYQKPEYPHGPGLQYSATHQVPQDYQPPVQAAPQTAVPFDSGRVSKVNIPTNPRIASNFPMVLPRNDKPAMGGATEPAYVSVSVPKPNDEVPLHVAGDSVLKPGVLPKSLRGYVERALARCEDDRQMAACQDVLKEVIKKANADGTLLTRDWDTEPLFPFPNKGVVNNDPLNHLTPVSSLLKNRSPNRRTKSRWEPLPEEKLREKQITSTPESLKSSGAIHHNETNHQFSAGMPRTKENKFGNQKFYVPNQKATNRTSFRPAKRQRVGDGVGGSNNGDSSSDSDKEQSLTTYYAGAFSVADSPEEKKRRESRSKRFENNHVKRSANSHMQPKKVGDGNFYTRRASALAISKIIDDGAGRAVEDIDWDALTVTGTCQEIEKRYLRLTSAPDPATVRPEEVLEKALVFVQSSQRNYLYKCDQLKSIRQDLTVQRIRNELTAKVYETHARLAIEVGDLSEYNQCQSQLQTLYAEGIRGCHMEFSAYNLLCTILHSNNNRDLLSMMSRLSVETRKDDAVKHALAVRAAVTSGNYVMFFRLHKTAPNLNTCLMGECKIYSTGQGQ >KVI09746 pep supercontig:CcrdV1:scaffold_21:584798:592977:-1 gene:Ccrd_011874 transcript:KVI09746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MPPEPLPFDRKDFFKERKPSSSDPAGVVPARWREPPTTPSSHPNNHGPFSYRLGGAGGSSDFRRPISGHGKQVGGGWHATAVESGPREDKLCRNDSSRENNHSSSGALASISQKDRKGGNSRETTVSPHGNFHPHPDFINSWDQLQSKDLHDKNNGGGVCTTGGQRLENESSLGSSIDWKPLKWIRSGSLSSRGSGFSHSSSSKSIGMDSLDMKIDVPPGNSTPLQSPSGDAGPCLTPRTPADEVSSRKKPRLGWGEGLAKYEKKKVGPDDIVDKDAETKNGTVDGVSSLEPLLPSPNLPDKSPSLNGCSECASPATPYSFACSSSPGLEEKASTKTAAIDNDICNPCVPSCHVSHEHTEGSTFNLENLELTEVVNLSSSLNELLQSDDTSGPTSGFVRSTAMDKLQVWKADISRTLEITESEIDSLENELKLLVSDVGGSCSLPAASCSLPTKCNVKNTDRISGSLPLRIIASGDTLTEKADGSVELAGVEDLDRESPGTATSKFVEPFTLCDITPSDVVEQRDSSCILHIRSENMEAKCSGDNVCNDILGVVTACGDGSQLPADGCMSLVPVSGDVHYSRTDNLYELVFDSNKVLASVASDEFRKLLPSNQWCNYTPRVTNDSQIKEKFAMRKRFLKFKERAITLKFRAFQYLWKEDLRLLSVPRFGAKSQKKFELSSRMGYPDYQKHRSSIRSRFSYPDGNLSLVPTREIIDYASKLLSDSHVRVHRNTLKMPSLILDKRERATSRFISDNGLVENPCDVELERSVINPWTSEEKEIFLDKFSLFGKDFRSIASFLEHKTVADCVEFYYKNHKSDRFQKTKKHPEFAKQGKSHSTNTYLVTSGKRWNREMNAASLDMLGAASAMAANVDGGKERRQKCPSKFYIGTSRDHKLQRVDGGILERSDNLVMSCNERETAAADVLAGICGSISSEALSSCITSLADPGDVYHQDRICQKLGGSSTRQPATPDGSQNDVDEETCSDDSCGGEMGPSDWTDEEKSSFLHALRSYGKDFSMISRCMRTRSRDQCKVFFSKARKCLGLDAIYLERGKEGTMGGNRGGGSDHDDTCMVDSGSVISCDKSSSEFKVDVEDLHSSDSKQELAESDRIVASRESEFGSENLVSHGCEAENKPEIDLVGGKNSNGNFEEAADSEATGAANIEEASRNTYSEGSVRETNDQASASGAKAEVDTVDKVSSKICREEIQESEHQLASWNSNTSEVRALNACSAEDLNSASHYSRGSSLDLSIDPGNSLELNGVENPCEGNNGFLASNLVCRDPIVSPHRKTLSQDDTSSGSLSFRKSLSQRSSSTDGYHLHLPKHSLMDCVESSHILRGYPVTVSTNKGVSGSLGQNEFKLDKSLPLEQCLPRDCSLQMCTSSLSHEQRQGEVGKPGDVKLFGQILTNPLSNGKFEKPNGRKSFNLKFDNRSSDRNLASLDLDNHGFGVKKNVPLRSYGFWDGNRIQTGFSSLPPDSSVLLAKYPAAFGNTTKLEESHSFVNEQLKGFHQSREVNRCSNYHNAYMSQEGIQPFTVDKLLLSEIPRRKDSEGGRVMVSDPVAAIRMHYAKTTEQYKNGNSNSR >KVI09731 pep supercontig:CcrdV1:scaffold_21:419095:419480:1 gene:Ccrd_011865 transcript:KVI09731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteolipid membrane potential modulator MGAETFVEIILAIILPPIGVFLRYGCGVSNLNFSSLSIDHYDQPFRESDSPKTRCFGGLVQFVKLIVCIENADRILDMFIADDIGLSSGYYIRNLCFGCV >KVI09752 pep supercontig:CcrdV1:scaffold_21:633510:634832:1 gene:Ccrd_011878 transcript:KVI09752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MASNTLMSCRIPAVVRPSVLSSSKSRFTAAVPLHGVATNGSRLSMTSDWMPGQPRPSYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAALPGGQATYLGNPVPWGTLPTVLAIEFLSIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKFEEYKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDVIIPNGLLP >KVI09781 pep supercontig:CcrdV1:scaffold_21:711024:724954:1 gene:Ccrd_011881 transcript:KVI09781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MEDLFTSLLISTALFLLLFSPSVAVDNIAANQVIKDGETIVSAGEMYEMGFFSPANSNNRYLGIWFKKTAMGTVVWVANRDLPISDTSGTFKVSSEGLLLLSYCDDTVIWSSTCSTFVRYINPVAQLLDTGNFVVKDGNSTDQTDLIWQSFDYPGDTWLPGMKFGKDFVAGVNRNLTSWKSTNDPSVGQYVNYVDTNGYPQMLLRQGLVVRLRVGPWNGMRFSGLPDEIPNPIYSIDYAINQKEIYYKYDLTSSSVVQMVHLTWDGIIEMMHWNNRSQDWFVYATGPVDTCGRYGICGPYGSCDINKNPPCSCMDGFEPKRPDEWSMADWSSGCRFRTPLDCQAPEVFWKIRGMKFPDTRQSWYDHSMTLGECEIACRKNCSCTAYANIDVRRGGSGCLLWFGALMDLKVCGESQYLYIRMPASLLKDDGLTISQSGFNKKIRVLTVTLSISLVLLGMSLAAYVCNKKKKRSSTQGRGHRVYAFDKRDSNVVKEDIELNFISLSKHRNLVKLLGYCMDGNERILIYEYLSNKSLDLHLFDETKSSMLEWPLRLDIIRGIAKGILYLHQDSRHRIIHRDLKASNILLDHDMNPKISDFGLAREFGGDQMTAKTKKVVGTQLYTESKYVELMCPSFRNSCIVSEVKRTIHVGLLCVQNLAQDRPTMSYVVRMLSGNSALPPPKQPAFFVGEDLPNPGLFSAGVDEASITTIPSMKDPFTSSLISVALFLLLSPSAAVDSIAANQVIKDGETIVSAGEMYEMGFFSPANSNNRYLGIWYKKIATGTVVWVANRDLPLSNTSGAFKVTSEGLLLLSCCGDTIIWSSTSAAFVRNLTSWKSPNDPSPGRYVEYMDTHGYPQILMKQGSVLQLRFGPWNGIRFSGLPNEISNPIYSTEYVFNQKEIYYKYELISSAPEVFLQIRGMKFPDTRQSWYNHSMTLRECEIACRENCSCTAYANLDIRNGGSGCLLWFGELMDLMVCVESQDLYIRMPTSLLKGKHISSQKVHSNLDFLQLTAKLVADEVHMVSQTGFNKKIGVLAITLSIFFVLLGVSLTAYVCNMKTKRSFAKGRGRRVHTFDTRHFDVEKDGLDLNFISLSEIIKATNNFSIDNKLGEGGFGPVYKGVLESGQEIAVKKLSATSEQGYGEFYNEVVCAARLQHRNLVKLIGYCMDEDERILIYEYLPNKNETKSSMLEWPLRFHIIHGIAKGILYLHQDSRHRIIHRDLKASNILLDGNMNPKISDFGLAREFGGDQITAKTKKVVGTYGYISPEYAVHGRISVKSDVFSFGVLVLEMVSGKKNREFYCEDVNDNLLGHAWRLHTEGKCLELMCPSLSNSCIVSEVERTIHVGLLCVQNLAQDRPTMSSVVTMLSGDGALHPPKQPAFFVGEGLPNPNPPFLAEVDEATITMLEPR >KVI09770 pep supercontig:CcrdV1:scaffold_21:259591:264771:1 gene:Ccrd_011850 transcript:KVI09770 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif-containing protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDKAIEKDEGLPPALLGSCNDHAKQLHASPSGRLLTALVCEYLDWAQLGHTLKVYLPECNLQKDAWKAELRDFSNKNGYDINRNGDSGPLLLDVLEGINLKEEVVEIQILEIEGHLRHLLLGDYLLWEDRRGGSSNSSYRKDDYSWRNENDDISEDITSASTALENLQLDRKARNLTSSWRHGGDVKSEDMAG >KVI09757 pep supercontig:CcrdV1:scaffold_21:126860:128224:1 gene:Ccrd_011842 transcript:KVI09757 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MELLAATMLFCTFLYLVFSLAKFVCRRGQTCYMIDYECYKGNKEMKLDTEECARIVSRNKNLRIEDYKFLLKTIVNSGIGEETYAPRNIILGEEEQPKQVDSLSEMETVFYETLDRIFERSKISPSQVDILVVNVSLLSVVPSLTSRIINHYKMRSDIKSFNLSGMGCSASLVAIDLVQQLFKTQKKRLAIVVSSESMAANWYCGRERSMMLSNCLFRVGGCSMLLTNDMALKKQAILKLKCMVRTHFGSNDEAYSCCMQVEDDQGYGGFRLTKSLTKAAAKSLTKNLQVLLPKVLPLWEIIRYATLKSGSKINLKAGIEHFCVHPGGRAVIDEVGVSLGLTEYDLEPARMALHRFGNTSAGGLWYVLGYMEAKKRLKKGDRILMISLGAGFKCNNCVWEVTRDLDGKNVWEDVIENYPPKVTINPFLERYGWINDEVMAFIRPEDIARMLGFA >KVI09733 pep supercontig:CcrdV1:scaffold_21:433831:435511:-1 gene:Ccrd_011867 transcript:KVI09733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSVVKFKPIEATPETFKEFGQVIHASPDGESFGPHDAQLDLTQGTPRLYIMHLEGRDLGFSSITHHANVTQCLGSVGGNAWYLGVAKPSIVDPDVEDKGANILQSHSGHFFVPPAVDSIHVFKITGPKFLKLNRGTWHAGPLFKPDTTMDFYNLELSNTNAVDHTSHDFEKENATVFVVDD >KVI09736 pep supercontig:CcrdV1:scaffold_21:383582:385777:-1 gene:Ccrd_011862 transcript:KVI09736 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSARIGVLENMPEFTGSPPVSPTLGELLEFVGDVGNGDETTAHRVLEMNNEPQSLPFVLSFTNLTYSVNVQRKFGIPAVFGGGHMSAGVPATAVEPLAGENLFSRSKVLLNDISGQAMDGEILAVLGASGSGKSTLIDALANRIAKGSLNGKVTLNGEQLESRLLKVISAYVMQDDLLFPMLTVEETLMFAADFRLPRTLSKSKKKLRVQALIDQLGLRQAAKTVIGDEGHRGVSGGERRRVSIGTDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRIARSGSIVIMSVHQPSYRLLGLLDRLLFLSRGQTVYSGSPANLLSFFSDFGHPIPDKENRTEFALDLIRELEGSPGGTKSLVEFNKSWQNLKRSRHTQTTGNETPTHGLSLKEAISASVSRGKLVSGATNDLNPTSIVRTFANPMWMEMVVLSKRSFKNSWRMPELFGTRLGAVMVTGFILATMFWKLDNTPRGIRERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHSLVAIPSLVFLSLAFAIITFWAVGLYGGASGFFFYFFIILASFWAGSSFVTFLSAVVPHVMLGYVIVVAILAYFLLFSGFFINRDRIPNYWIWFHYISLIKYPFEAVLHNEFQDPTRCFVRGTQIFDGSPLGQVDDSLKLDLLKSMSQTLGVNITAGTCLTTGADILQQQGVNDLSKWECLWITVAWGFFFRTLFYFCLLLGSKNKRR >KVI09724 pep supercontig:CcrdV1:scaffold_21:24112:27113:-1 gene:Ccrd_011832 transcript:KVI09724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MLGKEDGSDGGNDGDGGGGAGAPPPISGNRKMYWRSASWSSSRMSLPPLIPESEKDCIEPNGANGGNSRRFPAPLTPRSNSKGRSLLPPISIARRSLDEWPKAGSDDIGEWPLPSTPRGRDSSNGGERLKLDLSTIQRIPDKNAGLGKREKIAFFDKKCSKVADHVFLGGDAVARDKDILKQHGITHILNCVGFVCPEYFKANFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQNGAVFVHCCQGVSRSTSLVIAYRMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRLYRIAPHSPYDPLHLVPKMLNSPSPAALDSRGAFIIHVPSTIYVWIGQKCESIMERDARGAVCQIIRYEKVQGPIVVVKEGEEPSYFWDAFSTFLPLMDVIESSKVTPGKRIVDSYNVDFEIFQKAIVGGFVPPFASSETEQETHLPARESTWSVLRRKFAFGNMKEFVFASKSSISRVYPDSGSILGTENNSVSKPFLISSNSLLSPSFSSSSSSSSHLSPDSISSSDSSVNSKCCSDSPAISPRVASCNHALSLSNLSLVPTKLSPHSISKTSEFIDVNFTSNPLSPSKRSSLSIAERRGGPPKCLKLPMVSDGSKACEQENVKLNYSGFTQQGPNQELLSQGSSCSKGSVSEGAFNQTSGGSNNIDLMEENELGSENVKQPVVAREWPSLKKVVRFDYGDLDSRQMFIFTAPSSGYGKLEKSVLYLWVGKDFRHHSRNAPGTSEGRAEPPYFDMKEVISDVRTQMSLSDDIYIKVVKQDEESSEFLALLSSL >KVI09762 pep supercontig:CcrdV1:scaffold_21:749641:751876:1 gene:Ccrd_011883 transcript:KVI09762 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MECDDSEITLLSQPSSKSCHFTSSITADDQDLIELEFWHHNLESQAQPWIEDWIISLDNCNSYGMCGPHGGSNNLTSPYSGCLKGSPIWLQQKETNANQSYLVNISSTGCVRYRLGAYVSHEPMMSGNKVSCISIDALVLITAATITELAAYE >KVI09734 pep supercontig:CcrdV1:scaffold_21:370398:371207:1 gene:Ccrd_011860 transcript:KVI09734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFQYFTYLHCRGADLYVEKMRYAAVKCMTRSYRPTLPASLASLFMPEPDDAVAHGDASLAVNDFLTRGDDG >KVI09740 pep supercontig:CcrdV1:scaffold_21:687513:699631:1 gene:Ccrd_011880 transcript:KVI09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein VRRIVALNHKQEPPVESYERPSLPTNSIFELSLLISIALFLLLFSPSVAVDSIAVNQVIKDGETVVSAGEMYEMGFFSPANSNNRYLGIWYKKIATGTVVWVANGDLPLSNTSGTFKVTNEGLLQISCCGDTIIWSSTSSALVRYINPVAQLLDTGNFVVRDGNSTDETNFIWQSFDYPGDILLPGMKLGKDFVTGISRNLTSWKSPNDPSIGQYLIYVDTNGYPQVFILGQGLVTRIIRFGPWNGVRFSGLPDENPNPVYSVDFVVTQKEVYYISELKTSTVQMIRLMSDGSVVILRWNNQSQDWFIFANGLLDMCNAYGLCGPYGTCNINKTPPCSCMDGSEPKRPDEWNMGDWSSGCQLKVPLDCQVPEDFRKIRGMKFPDTRKSWYNQSMTLGECEMACRKNCSCTAYANIDIRRGGSGCILWFGELMDLKVCEESQDLYIRMPVSLLRDDGLTVSESDTNKMIRVLTITFSISLVLLGLSLAAYVRNKRKKRSSTKGRGRRVHALDKKYSNVENDDLDLNFISLSKVVKATNNFSIDNKLGQGGFGPVYKGYEEFYNEVVCVARLQHRNLVKLLGYCMDGDERILIYEYLANKSLDLYLFGSTFSSCFYCVFGYISPEYAIHGRISVKSDVFSFGVLVLEMVSGKKNRGFSHENVGDNLLGHAWRLYREGKSLELMSPCLRDSCIVSEVERTIHVGLLCVQNLAEDRPTMSSVVMMLDDEGALPPPKEPAFFVGEGLPNSTPFLAGVDEATITRKVGGYIPGTTSRRYDSLNVCLSSEIVKIREIFEFAYVLFVDSIAANQVIKDGETIVSAGEMYEMGFFSPANSRNRYLGIWYKKVATGTVVWVANRELPLSNRSGTFKVNSQGRLLISCCGDTVIWSSTSSAFVRYINPVAKLLDTGNFVVKDGNSTDETNFIWQSFDYPGDTLLPGMKLGKDFVTGTNRNLTSWKSPNDPSLGQYVNYVDTNGYPQVFILGQGLLKRIRYGPWNGIRFSGLPNEMPNPIYSVDFVVNQKEVYYKSELKSSTVQMMRLMWDGSMVILRWNNRSGEWIVFANSLLDMCNGYGLCGPYGSCDISKTPSCTCMDGFEPKRPDEWNMAGWSSGCQRKVPLDCDVTEDFWKIKGMKFPDTQKSWYNKSMTLGECEMACRKNCSCTAYANLDIRRGGSGCLLWFGELLDFKLNFLHFVDDGLTISQSGIKMIRVLTITLSILLVLLGLSLAVYVYKKKRRRSHTKGRGRRVHALDKKYSDVEKDDLDLNFISLSKIVKATNNFSIDNKLGEGGFGPVYKGYDEFYNEVVCVARLQHRNLVKLLGYCMDEDERILIYEYLPNKSLDLYLFGSTLSLCFYCALNSNAIQAWRLYREGKCPELMSPCLRNSCIVSEVERTIHVGLLCVQNLAPDRPTMSSVVRMLSSDGALPPPKQPAFFVGESSSNPKPSSGGVDRATITVLEAR >KVI09754 pep supercontig:CcrdV1:scaffold_21:192700:197232:-1 gene:Ccrd_011847 transcript:KVI09754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF155 MLRPPVKMWRHHRSIDVHMKTATTMLFLHSLSSSHTLSFKKMGTIISLSTNPNAILSTSGPPQKSVQINPRRSSINLCRLSHSSSSPIAPTGRGYLGSSSNSIVAKCFSYSSSSSSSPSVSVADTMEWHEPAACAEVDDSYNDDVSEEDLKPSIPVRAYFFSTSVDLRSLVDQNKSNFIPPTSRMTNYVVLRFGSTKPELIGSGASISGSDCCYMVVFQYGSIVLFNVRDHEIDGYLKIVERHASGLLPEMRKDGEVDGMVGEFTDINRGMEKTGTFTMKRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWVIIILIVAEILISVYDIAHKSVASL >KVI09743 pep supercontig:CcrdV1:scaffold_21:618936:628350:-1 gene:Ccrd_011877 transcript:KVI09743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVTTELYQQQYNSIRQRLHSRSVKEKPLDPPSYRHRRSLTAGSSGFSADGISFSDDSGRKKMEAQGRAVAPENGPFVGQMNHMSRGGVHSGSHRNLESRGNEYPSSNVSMEVQPFVPNFSGSSVDPYLHSSISENRSLAPVPPVGQVHCTNYNVPSIHDVEAGLSNCTTGNVGPPKRKRSDMTGSCERGSSSSFYSAGSSSSSSQMPREKPAVDCQSVPPYRGSLTIAGEEDSPRNVRRRYRLDLEPSMTRTNVPSHSSHFYQSMAHPANYSAPVQHANLDANGGQWNSAPRYAASSHRRISPSDTSGLRHEMNQFHIGGSSSDVGACHRDPVFSRHPVSTSQNLHSPHAPSHVNHSQRVQSTYGNGSRYSHYPHGGTSSTNGVRTLPENFSSRNSRHCSPGGWRSSYRSGRPRIAVERFQSVLDITESHNRMGQETMMMESFNGNSRNTSDQYRDLRLDIDSMSYEELLNLEERIGNVSTGLSEDSMSKCLKEKVYYCCSDQDQNHEEDSCPICLEEYKNGDKVGRMEKCGHDYHVGCIKKWLLMKKLCPICKTEYSNQEANP >KVI09753 pep supercontig:CcrdV1:scaffold_21:184605:186660:1 gene:Ccrd_011846 transcript:KVI09753 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MRNLMFCKTSDTKHFKLHLNICELEGLVVDDIPNKDNYKMQVHIKLQVPRRGYVPRIATQRNRTSKQNVNDDGRVFWNEGFQQSCNLGTKGPGPGSWKINLKVHGIDVESNHKADILARVKLDVTEFTGHSEKLVKLPVQCSIGGFIHEARLKVKLDVTEVKKLQTENTVHPVSPTHLLPSLLSCVSFQNQTRNKMEMQMEKEKEKESSESETEGTDSSYQRIKTMDLLRSQSMHESIEYGKQCKEQSLSYEGTEKTKLMRLLSWNIRKPRDAPLLNKAYGGEGGDDIDNARRSLLSYLKPRQDLVKRDHKPSEFSGSDRFEVGKWEKRRVKSRDGKLELQTEIFLATIDQRSEKALGGGACTVIATVIADWLHQNPNTLPLRCEFDKLVRDGSKEWRNLCNDETHKGKFQDQHFDLDTVMEAQVRPLEVISEKSYVGFFKLENTNNLDLLQDAMSFDSIWDELEHGESTTEECVYIVSWNDHFFVLKKEYAAIYMIDTLGERLTEGCNKAYMLRFNEDSIIHNVQPEMNNSKLEADKGLDNHSSIIREEHEKENDPGFVCKGTTCCKEFIKGFLAAIPLGELQNSVEKGINGKAPLHQLLQIEFQYTLPCSRKTHRMEPAT >KVI09749 pep supercontig:CcrdV1:scaffold_21:485607:488642:-1 gene:Ccrd_011871 transcript:KVI09749 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MKGEQMGKKGGWFSAVKKALSPSASKEKKEKRSHKHSSKKSWLGKQRSFDKDFSQTPKPDPPPPRSPPTEEAKLTAAENEQSKHAYSVAYATAVAAEAAVAAAHAAAEIVRLTSTTRLPGKSIEEIAAIKIQTAYRGHLVMILLSKTSDLHFTELKCVNNLSVSVARRALRAVRGLGRLKSLIEGQSVKRQATSTLKCMQTLARVQSQVRSRRIRMSEENQALKRQLLLKHEKDLNNNKSSFENTWDDSRKSKEETEASLQSKQEAAVRRERALAYAHTQQIMFCFVIKQQMWKNSIKSPNPTFLDPNNSHWGWSWLERWMAARPWEAQSISEKEPSVGDMGKRVSRLPNCQSPSTPPTKAPSSGGRFRAESQKGSVDDDSKSFYSVQSERNRRHSIAGSSVRDDESVDSSPAVPGYMASTKSTKARSRMASPSHSPSPLGSGRKGCTPEKGGSGAIGSAKKRLSFSGSPARGTKRFIEPQMMDSVTTS >KVI09773 pep supercontig:CcrdV1:scaffold_21:318863:321620:1 gene:Ccrd_011855 transcript:KVI09773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAAFNLSCCYHYHHFHSPPPPNVASVSIIFSHRNKISLLPTPLSFHPQKLRNNQLVLATTMATDVQQDLPPVLDSTSEPPSIFDGTTRLYVSYTCPYAQRVWITRNCKGLQEKIKLVPIDLKNRPDWYKEKVYPPNKVPALEHNNEVKGESLDLIKYIDTNFEGPSLYPDDPIKKEFGEELLLYTDTFYRCVVTYFKGGGADEAAAAFDHIEQALSKFDDGPFFLGQFSLIDIAYAPFMERFQPYLLEVKNYDIKVGRPKLAAWIEEMNKNEDFNQTRRDPKELVESYKKRFLVSI >KVI09747 pep supercontig:CcrdV1:scaffold_21:566482:575099:-1 gene:Ccrd_011873 transcript:KVI09747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MPPEPLPFDRKDFFKARKPSSSDPVGTVPPQWREPPTTPSNHNNRGSSSSCRLAGGGPSDFRQPFSGYDKAVSGGFHVTTVESGSREYKYCKNDNSKENNTNNSRMSVALGSQKPLKGGNSWATTLSLNGNSYPLTDSVNSWEQLQLNDQHAKKNGGEVSTTGSQGLDKEDSLDWKPLKWIRSGSLSSRGSGFSHSSSCKIIRLDSLDTKIDAQLGNSTPVQSPSGDVVLCLTPRTPADEVSSRKKPRLGWGEGLAKYEKKKVGPYDIVDKESGARNGMVDGVSCSEPLLSSPSNLLDKSPSLTGCSESASPTTPYSFACSSSPGNYCYGMTGLEEKDGSIKAATVDNGTCNPNVASLHLSQNHTEGLAFNLENLEITEGFNLSSSLEELLQSDESGAPGNGFVESTAMDKLQVWKADISRTLEITETEIDSLEHELKLLISDGGSCPFPAASSSSPTERNNKNPGKRFVHLPLKIISSVDMLMEKADGFLEERTGAEDLDRKSPGTATSKLVKPLALCNNVKHPDAVERKDSPSNLDIRSEQAKFPPHHANDDMVGVVNSCRDGSQVPTGSGHTIPIGGDVYYGSENKLCDMILASNRDLANRASDAFSKMLPTTHLRTNISSATDVSLIKKKMVMRKRFIKFKERVITLKFRALQYPWKEDLRLLSIKRFSAKSQKKFELSSRMGYADHQKHDSSSHSRLSSAGSLSLVPTTEIVDYVKKLLSDSQIKIYKNTLKMPSFMLDKREYIASRFISDNGLLENPIDVEKERSIVNPWTIEEKEIFLDKYSLFGKNFRKIASFLEHKTIADCVEFYYKYHKSDFFQKTKKNKKFANGKSYTANIYLVTSGKRLNRDTNATSLDMLGAASATVANMDDGMQKQHKYTANCYVGSSSDKKLPGGDVGILERSNNFAMSCNEQETAAADVLAGICGSMSSDALSSCITSSVEPGRRCQKLGGSSLHLTPEGTLDDVDEDTCSDNSCGEDMDPCDWMDEEKSSFMQAVRSYGKDFSMISRYMRTRSTDECRVFFSKARKCLGLDVIHLEAGSEGTTGANQGGGSDHEDACGSVVSHDKSSSECNKIDLHSSDIREEHAESDRNGCREPELMLENLVSHAEKKPQVELIGESGCSNRNLEGAADSEATETTNAHQNMYSEGSVEEMNDYSSATGVAKVSAKICRQGIRESEHPLPSFNGNTSSSVRRLSSCPAEDLNFASHYSRGTCLDLSIDRGNNMELNASSENGFLMLNFFPKDPGFGKTLSQDDVSSRRLSFRKSGDQRCSSMDGYHLHLSKRSLLDCEESSHILPVSIPSAKEGKNGSLSWHEPQSNFKLEEMDCSVSQEPRQSCSLERPRRSGDVKLFGQILTNLSGSNANGSARESSSIGKPNGGRLFNLEFDNDKKNVPPSRSYGFWDGNRIQTLPDSAMLLAKYPAAFSNTSSSKFDSCSLNQTSD >KVI09774 pep supercontig:CcrdV1:scaffold_21:314806:318321:1 gene:Ccrd_011854 transcript:KVI09774 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-alcohol phosphatidyltransferase MPLNLLKLTSSISVHHHHHHHHRHKWLRRAITTGKSRPLPATCLGWCRVTEFAPQVYVINNNDNNRLGFRGPTSIRFYSLNKGGKAFGGGNASMESVSSDNRDEKSTPESEHDSSVMPALPSHDRRHQRPPPSHQNSSTKLLTLPTILTIARVAAVPLLISTFYMNSWLGTTATTSIFIAAAITDWLDGYLARRMNLGTAFGAFLDPVADKLMVAATLVLLCTRPPEAAMFGQLPWLLTVPSIAIIGREITMSAVREWAASQGSKLSEAVAVNNLGKWKTATQMSSLTILLVIRDSSFREVGFAWAAAGVGLLYVSGGLAVWSLVVYMKKIWKVMLM >KVI09760 pep supercontig:CcrdV1:scaffold_21:104513:105889:1 gene:Ccrd_011841 transcript:KVI09760 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MIVILAPCLLVLCLLHLFYKFIDQRRNQSCYILDYECFKPTDDRKVSTEFSGDMIKGCKNLGIEEYRFLLRAIVSSGIGEETYGPRNFFLGRGTNPRLSDSLFEMDEFYSKTLDRLFSRSGVSPQDIDILVVNVSVMALVPSLTSRIINHYKMREDIKSYNLSGMGCSASLISINLVQNMFKSHRNKFALVLTSESIAPNWYNGNEKSMILTNCLFRCGGCSVLLTNRKALEKQAMFKLKCLVRTHLGASDEAHACCQQQEDDKGHLGFFLGKTLPKTASRALTQNLRTIAPKILPLTAICRYILLSNLQKFGAKFLQIRVKGKMSLNFKLGVDHFCLHPGGKAVIDGVKRSLALTEEDMEPSRMTLHRFGNTSASSLWYVLGYMQAKKRLKKGDRVLMIGFGSGFKCNSCMWEVLKDLEDKNVWEDCVDHFPPKSLSNPYLQKYGWVNEDSACMPPP >KVI09738 pep supercontig:CcrdV1:scaffold_21:453629:454979:1 gene:Ccrd_011868 transcript:KVI09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MEYSGDRSSGATSPMAISTAVPSSFSHSHSPKTSNNSSSSQLSPTSVLTHPPPSTPSSPPHPVVLSPCAACKILRRRCVEKCVLAPYFPPTEPLKFTIAHRVFGASNIIKLLQELPESQRVDAVSSMVYEANARLRDPVYGCAGAICQLQKQVSELQAELAKAQAEVLNMQCQQSNLLSLICMEMDQQHVSPSPPQPPQQQQPLYDNLNFYSDDANLGSVFELWT >KVI09725 pep supercontig:CcrdV1:scaffold_21:7768:18555:-1 gene:Ccrd_011831 transcript:KVI09725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 SKNGTLFFNFIKSKSKAFDFLFAEANKEFVDFLFQILSLPVGTVIRLLKKNSMVGSLGNLYDSVENLNDTYMQPDRNKDSILNPKIANSGDRVPLLLSNDDDVPVAKKFYKCNQYHFYVAEDPTAVCPECNGRMNTVLPFVAGAAAKLTAEGGGFVKGVVTYMVMDDLVVXPMSTISSITMXXXFNVKEVGGLXEKVVSLEMKEVYMLSWSDVAEGVHAVQECAYHCVSCLRGLRRGQTDDWTGRVTTSTAQACCTAAVLRPARIQSLHVLCQEAKMAPSSSTSSKVSIKLLIDKKAKKVLFAEANKEFVDFLFHIFSLPVGTVIRLLKKNSMVGSLGNLYDSIENLNDTYMQPNRNKDSILNPKIANYGDPVPLLLSNDDDDAPVAKTFYNCINHHSYVAEDPTAVCPQCNGRMNAVVSFVAGAGAAKLTAEGGGFVKGVVTYMVMDDLVVXPMSTISSITMLNKFNVKEVGGLEEKVVSLEMKEVYMLSWSDVAEGVHAVQECAXHCVSCLTSTSASASASSSSKVSLKLLIDKKAKKVVFAEANKEFVDFLFHILSLPVGTVIRLLKNTSMVGSLGNLYDSIENLSDIYMQPNSSKDCILNPKIANHGAQLPILLPNDDGSLYRKFYSCSDHLFVADNPTAVCPDCNGLMNSECPYVAGSGAAKLTAEGGGFVKGVVTYMVMDDLVVMPMSTISSITMLSRFNVTEGLMLLKASLQCNNVLTSVFLA >KVI09755 pep supercontig:CcrdV1:scaffold_21:149442:150383:-1 gene:Ccrd_011844 transcript:KVI09755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSSVFDSVCYNDVEKEEEEEDDYIDMEVSAFKSLFCHSNPSEFEFQMFSSSLDRELTTTSPADELFYKGKLLPLHLPPRLQMVEKLLQDKNMDTFDEFFSTPLAASPYATPAASTPFGSCNVSPLSSCQVSRELNPEDYFLDSTAENPKKSWTRKLNLTKQSSIGSKLKASRDYLKSFFGKSSGSDESRNKMTRTSGKKKEKLDGNHNGLRHRRSFSGAIKRFSTTNASCGPSLFSNSSNSNSSNELQVHHRSITTSSDIENQIQSAIAHCKRSQQQLHSRKTISDMGFCSLAASRIACDDKERQVLCRG >KVI09728 pep supercontig:CcrdV1:scaffold_21:53274:54347:-1 gene:Ccrd_011835 transcript:KVI09728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MANLKLCTSTILKTTLCIFLLAISLESAAATRTLTDSNPLLTNQHVSSGPIDPDVAPQDDPNPEPPTTIAPAPLVTPSVPIVAPGATVSPAATTPTTTVAPVTTPTGGSAAVAATAAGGGAEHPTLSFFMHDVLGGSQSTSRVVTGIVATSDANVVPFSSPNSQVFPITGGVPLNNINGIVNNNNIPFLAGFNGNNPNNPNANTVLQNTGNNNVVNGGNNLPFVAAGQLPAGITLQQLMFGSITVIDNELTEGHELGTGVLGRGQGFYLASSLDGSSHTFALTTLFHGSDHEVEDTITFFGVHRTASEVSHIAVIGGTGKYEEAKGYATIESLPQVDEHVTDGVETIVHVNVYLTPS >KVI09778 pep supercontig:CcrdV1:scaffold_21:842649:843991:-1 gene:Ccrd_011892 transcript:KVI09778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MTKDVPEQGSFSAKDYHDPPPAPFIDPGELTKWSFYRAVIAEFVATLLFLYITVLTVIGYKAQTDPAKNLDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAFMYMVAQCLGAICGVGLVKAFHKTYYNNYGGGANELADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVRTLSLYDFKFVCFNVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKEKAWDDQWIFWVGPFIGAAIAAFYHQFILRAGAVKALGSFRSSSHV >KVI09768 pep supercontig:CcrdV1:scaffold_21:341237:349848:-1 gene:Ccrd_011858 transcript:KVI09768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco LS methyltransferase, substrate-binding domain-containing protein MREPRPQFAEERETKIGETGHHFRHCNANFRCSNSTAPPRSLQVPPPHQAPQYVDEDCADFLPWLRQKAGVEISSVLSIGKSIYGRSLFACKPIQAGDCILKVPYSVQLAPDNLLPSISSLLGEEVTDVAKLALVILVHQKLGQASEWAPYISCLPTIAEMHSTIFWSDEELKMIRISSLYQETLKHKVQIEKDFSSIKHVLDRFPEFFEDVTLHNFKHAYNLVESRAWISTRGVSMIPFADFFNHDGFSETDVLSAEFEQVSEVTSSSLRIMSIHSRPFLAILGVVIADENYAPGDQVLIRYGKFSNARLLLDFGFILPCNKYDKVCLESNGSCRLSKTFFYQSLIIKRSIFLLPWMHHNPLVQVEVSVPHRDHLRAMKLDLLDKHTTPPLKGVNDFSSLGNGFLVMEVKSSSGKGRGIPQSLRALARVVCCTSSQVLVIYKDKIFVCSPELQDLATEATQNDGGLARIPLKNRAREVQAHQFLRLRFDHIIDNYNAALESLTHHSSLLGKHARRMQVARGLLIGELRVLESASAWLKNYCETLIVKDNNQKT >KVI09764 pep supercontig:CcrdV1:scaffold_21:803691:804679:-1 gene:Ccrd_011887 transcript:KVI09764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar (H+)-ATPase G subunit MDVGSRGHNGIQLLLTAEQEALQIVNAARNSKSARLKQAKEEAQKEVAEFRAQMEADFQRKLTESSGDSGANVKRLDKETEAKIQHLKIESNRISHDVIEMLLKHVTSVSY >KVH98647 pep supercontig:CcrdV1:scaffold_210:264354:271338:1 gene:Ccrd_023128 transcript:KVH98647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSTSSPPPTPSAGHLNQANFRVEGSFNWLIFTLALLAS >KVH98654 pep supercontig:CcrdV1:scaffold_210:44268:57546:-1 gene:Ccrd_023121 transcript:KVH98654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hpc2-related domain-containing protein MEEGSRGGNDGASSSSPLLTGGGRQRFEVELRPGETTIVSWKKLVKDANKAASNKKSLPVPAPTVAVNQTLDSLNAPPLGESAENKANDAPHGSRFSAVIEKIERLYMGKNSSDEEDLNDVPDDDXYDTGDSFIDDAELDEYFQVDNSAIKHDGFFVNRGKLERTVVTQNEPTALPSQQPKKRRRKDSAKVYGCNDDGQLNNLKVNNKAAGKVVAPVDKNFTISSQSKSSSTINFEDIKYQDLTTALETVVKKSSDLRNVVDPSCTSISNAEAIMMTNNIDKQKAGVLQHKDYGSKLQDGSPSSMVQRSDDKSSQVQPKSQYGLSFNNSEELNRSLATREKNVIREQSEAKANEITPQTKVIILSPYLLHIHSTSRKKECQWGHDTMKNPLMARKEGSYTKPKSTMLEKAIRDLEKIVVESRPPSMEVADADGSSQAVKRRMPPEIKQKLAKVARLAARPYEHKKSHMVWMYVYYSALRVQSSLQKPIFSVSFDDFQQRNLKNMVNMGLSAKEEKDVRMQQLKKQIDEMVKIRAPMMKSKVLLVFQAMGQQVGASDDFQETGAKEKEVLKRRITMDDTLEDKICDLYDLFVEGLDEDSGHQVRKLYAELAELWPQGFMDNHGIRRAICRAKDRRKALHRQKFGVKDQEKLKRKKIVATKTKTLELEGGFPTAAMRSISNTSAAAPNKPKQEKLKGDNNESDVAPSEMLVKRGKRKPETKLCEAQIPQEKTTSAQAEERHKSRKQIIPTVTAAPQPPLT >KVH98646 pep supercontig:CcrdV1:scaffold_210:3912:23836:1 gene:Ccrd_023119 transcript:KVH98646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MMKLTWKNKNNKRPITTISHLPFDQEELTSTDEERCKAGDDGSAQPSTAVSPVDETIRLAESFEAQGNKLAELGKYREALGKWEAAITLMPERATLHEEKAQVLLEIGETWKSLLAATRATQLEPSWAEV >KVH98651 pep supercontig:CcrdV1:scaffold_210:241116:242237:1 gene:Ccrd_023126 transcript:KVH98651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSCECERGRGKNKMYWNDEEGEVLVNILQELVCDSLWKVDGDFENGYMIEVHKRLIRRQLGKDRATRLKAEDISQACEDISNKNVFLYCSDSEGEQEVDAQGSPNSSTTITSKKHKKLSPRREIYKNKKSPSLQNTIDMSWMKH >KVH98655 pep supercontig:CcrdV1:scaffold_210:73887:88172:-1 gene:Ccrd_023122 transcript:KVH98655 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G21600 protein [Source:Projected from Arabidopsis thaliana (AT1G21600) UniProtKB/TrEMBL;Acc:Q9XI19] MSATLIFLRPPPRSFVTICPTHTLQPVLTLAPSSLSFKPSLKTRRRDFRIFSDDGDSGGDDAGGDADVGVEADGEEMEEDETDEFERDYDVEYETAVATTRGGGGGDDGIAMVQSKSFVSTQGWSSEVVVDYRINEDEFHKISLMDCDFFIRKPPDPDNNVYDFREMYVTEPDTDVYAIPRVLAPMPEQYIRCAKSDWGTYNVTEPPIDALRDPMYKSEWEVMKVFLTKHYRNRRLDDPDFVLDFEEIYVIDSKTKSITRARVVEERDDPTTVVHREEWEQDRKDMERHLSKLRDFSVSNWF >KVH98645 pep supercontig:CcrdV1:scaffold_210:285873:335815:1 gene:Ccrd_023130 transcript:KVH98645 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein VYFKPSAHRFSLFRARPSPSPSPHPHPRSPSAISGMMPSTSKSNRRKPKKVKFIPKPKQRWQVKQPRRNWLELPSDVMANILSRVHVVDILENAQKVCTTWRKICNDPSMWRVICMDYWYKNEMSKDLAMCKHAVDRSQGQLIDITIGSFGDDEILQYVADRSSQLRRLKIVCYYGYTDPYRSWTETLKKFPLLEELSLYSREISKEAIETAGRYCPMLKTFKLNERTFYEGLEDTCNKIAIAIGENLHKLEHLELIGNSLTNIGLQVILDGCPHLKSLDLRKCWSLDLKGDLGKRFVVMMPSTSKSNCQKPKKVEFIPEPKQPWQVEQPRRNWLDLPSDVMSNMLSRLDVLDILENAQKVCTTWRKICKDPSMWRVICLDYWHETYMRKHAVDRSQGQLIDITINCFGDEILQYVADRSSQLRRLKVVCYYGYAAAYRSWTETLKKFPLLEDLSLFSLEISKEAIETAGRYCPMLITFELNKRAFCGSLGDAGNEIAIAIGENLHKLDHLELIGNSLTNIGLQVILDGCPHLKSLDLRKCCSLDLKGELGIRCSRQIKYLKLPGDSLEGCPYMYKNDDFDDDDDVGYWVYAGLDFEDFTLLLLPTKMIDFTFGIASETMTR >KVH98648 pep supercontig:CcrdV1:scaffold_210:280785:285219:1 gene:Ccrd_023129 transcript:KVH98648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSSMASMVGWKATNRTETFTSRRRYNNGFSVRGQQQVQESTSLQQEEVKEDKPVKKGATEPRPVEPQTNVESKNMGREYGGQWLSSTTRHVRIFAAYIDPETCAFDQTQMDKLTLILDPTDEFVWTTETCTMVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKLLYEGKIQYNMNARVLNFSMGKPRIGFNYNEYQLEDVNQ >KVH98649 pep supercontig:CcrdV1:scaffold_210:122114:123275:-1 gene:Ccrd_023124 transcript:KVH98649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGKIEIKRIENTSNRQVTYSKRKNGIIKKAKEITVLCDANVSLVIYGSSGKMYEYCSPKTKYCNFLYRLVVISFLSSSLCYMILIGSLIDMLDRYQRLSGNKLWDAKHENEIDRIKKENESMQIELRHLKGEDITSLNYEELIAYEDALENGLTNIRDKKASLLGSFS >KVH98650 pep supercontig:CcrdV1:scaffold_210:158226:161771:-1 gene:Ccrd_023125 transcript:KVH98650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPFSLESQKVRLALEERNVDYTSYHVNPVTGKNMDPSFFRMNPTAKLPVFQNGSHVIFNVIEIIQYIERIALVSSGSGIITQSSKNVVEWMYKIQEWNSKFFTYSHIPEKHYISVSKFLRRVIIARMSEAPDLATAYHTKLKEAYETEEKLRDPQVLQRSEQHLVMLLDEAETQLEETLYLAGDEFTLADVMLVPILARLAVLGLENTYISGRPKLAEYWTLVQQRPSYKNVIGKYFHGWRRRKMLLKTWCVIRMRCVLKRY >KVH98652 pep supercontig:CcrdV1:scaffold_210:251546:259601:1 gene:Ccrd_023127 transcript:KVH98652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKKNSSNSEIPVRMTQSELAGEYKDRNVDGGRTVVVGVKLDSPSRELLTWALVKAAHPGDRVIALHVLTNNEIVDPDGKSSLLSLVKAFDSILAVYEGFCNLKQVDLKLKICRGNSARKILVREAKSYGANEVIVGTAITHHAIKSSSSVAKYCARKLSKNCSIIAVNNGKIVSLTFNPKLPNNGNVDDKCNQNDCKKLELALVKTKSDCMANGMKYNCSICSPDSVLPTTACVLEETGEDDESFMALVPVKKLEASSSSRDVRPGWPLLRRAISSNRLSQDRSPVRQISVVQWAMRLPTRNLLQITNSDLSDDIVDKEKDRSLELNGENGAIVLVHGDEIQSDSGSPLSGESICLPEELEGLHEKYSGSCRLFRYQELVAATMNFKPENMIGRGGNSEVYKGCLPDGRELAVKILKTSEDVLKEFVLEIEIITALHHENIISLFGFCFEDSKLLLVYDLLSRGSLEDNLHGMLVFVLFTCSYSIFVVLLLIAFSIKSGHKKDTVFGWNERYKVAVGVAKALVYLHNNCDKHVIHRDLSDFGLAKWATPTGLHITCTDVAGTFGYLAPEYFMHGKVTEKIDVYAFGVAKPILSSGKFARLLDPSLGDDYDFDQMERMALASTLCIRRAPRARPQMTTVSLVLNDYKSKSLILKLLGGDVEVTKWARLEVDSTGGSDARLHISNIAEEEEEGFSQSNLRSHLNLALLDIEDSSLSMSSSIEDSISIEDYLRGRWSRSSSFD >KVH98653 pep supercontig:CcrdV1:scaffold_210:26534:33901:-1 gene:Ccrd_023120 transcript:KVH98653 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MMKCVSCKDEYGAVDAGTCRECYEEASETEEELKLEIEELKAKVNFLRFWAPLDSRPHHYHRSTNPCFTDVLLVAFDEDSGKPIANSVPVPANKAVLASRSPVFRAMLETEMEESLSGTIKLSDVSYDALRAFVNYLYTAEACLDDEMACDLLVLGDKYEVKHLKNYCEKFLIAKLNWETSLMSYSFAHQHNANNLLEAALSLILDNMDQLSKREEYVELLEKDPRLLVEIYEAYLSKQVNTAAAAGGHDDKVTLLLHITLDEKV >KVI07940 pep supercontig:CcrdV1:scaffold_2101:48621:57489:-1 gene:Ccrd_013696 transcript:KVI07940 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUD31/G10-related, conserved site-containing protein MNADTIGGHVRFKVLEGDGRAATTADLEVAERIRELGFWNKPFHPCTESLSFSFGGIITTLSPAPPSPETVSTPPLTDFATLPLAVGRMPKVKTNRVKYPEGWELIEPTLRELQGKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRREISKELYEFCLDQGYADRNIIAKWKKPGYERLCCLRCIQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCKGCASGD >KVI07937 pep supercontig:CcrdV1:scaffold_2101:17845:29578:-1 gene:Ccrd_013695 transcript:KVI07937 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVAVVGGGGVGLERTMGDTVGIGGFGDELRRSMQQQELLDRVVESVDEDHERVGIDIPKVEVRYENLWVEGDAYVGDRALPSLFNATFNLIESALGLVGIVPSKKRKVKILQSISGIIRPSRLTLLLGPPGGGKTTLLLALAGKLDHDLKVSGNVSYCGHQLFEFIPQRTCAYVSPHNLHNGEMTVRETLDFSGRCLGVGERYSLLTEILKRENQVGIEPDPDIDAFMKATAVPGQESSLITCYILKILGLESCADTMVGDQMRRGISGGEKKRVTTGEMLVGPARVLFMDEISTGLDSSTTYNIVKALRQMVHIMDLTMVISLLQPDPETYKLFDDVILMSEGQIVYQGPSKNVLEYFECMGFKCPERKGVADFLQEVTSRKDQEQYWFRTEQPYRYIQIPEFSQAFKSYHIGQKLETDICTPYDKSKTHQDALVKNKYGISNLELLKACFHKEWLLTKRNSLLYIFKTFQLTFMSLLSMAVFFRTEMHVGSLEDGGKFFGSLFFALLIVMFNGMAELVLTVMRLPVFYKHRDSLLYPAWAFALPIWVLKIPLSFMESGIWVILTYYTIGYAPDASRFFKQFLTYFAIHQMALSLFRLIAAVARTDVLANTLGAFTLLLILVLGGFIVAKNDTEPWMSWGFYASPMMYAQNALVINEFLDERWSAPNIDPRINAPTVGEALLKSRSFFAKDYWFYISIGVLIGFSFLFNILFIIALNFLNPLGDTTTVIRDESDVKNSNSELNHSELYVGAKMAVKRTLRKNGSTAGDDDRMERRGMVLPFQPLSLAFNHVNYFIDMPAERKARGVEGGRLQLLKDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGCTEGSICISGYPKNQATFARISGYCEQNDIHSPYVTVFESLLYSAWLRLSSDVDRSTRKMFVEELMELMELNSIRDALVGLPGVDGLSIEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELILMKTGGQIIYAGPLGQHSHKLVEYFEAISGVPKIRNGYNPATWMLEVTSSAAETHLKIDYAEIYSNTSLYQGNQELIRELSTPSSGSKDLSFSAKYAQSFIVQCKACLWKQHWSYWRNPQYNITRFATTIIIAAIFGAVSLNKGQKIEKQQDLQNMLGALYAAVVFIGAINQNAVQPIVAVERTVFYRERAAGMYSSLPYALAQVTIESIYIAIQTSIFAFILYPMIGFEWTTTKLLWFYYYMLMSVIYFTMFGMMTMSLTPSPQISAVLIYFFLCLWNLFSGFIIPLPQIPVWSRWCYWGTPVSWTMYGLLTSQVGQKNLAFVVPGAGDMTVKGFIKEEFGFEYDFLPVVAAAHVGWILLFFVVFVCGIKYLNFQQR >KVI07938 pep supercontig:CcrdV1:scaffold_2101:9962:12623:-1 gene:Ccrd_013694 transcript:KVI07938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MSMASSNTKERVLICIGDIHGYITKLQNLWSNLQTLIPPSDFQSARIIFLGDYCDRGPDTRKVLDFLIELPSKYPNQSHVFLAGNHDFAFAAFVGSIPPPPDGSAFSATWDEFKPSEEREGWYKDDGYENMHLQGRRWAGKITVKFNAAKGTEYKGSIYDAGTTFESYGVPHGSPDLMKAVPDEHKKFLADLVWIHEEDNVCIETDTGLVSCKLIAVHGGLERGKDVEEQIKYLKAKDTRIPKVEPLSGRKSLHVDGLRLIIDEGGGLEQNPVAAIVLPSKIIVRDTDKLGE >KVI07939 pep supercontig:CcrdV1:scaffold_2101:73921:76621:1 gene:Ccrd_013697 transcript:KVI07939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MDTIRASSPSTPIADMVKIEMEAAEALAGLAYFPANSPGTQSRVKHESNDGNNSSFHSFPKCSTNSYQDATNFMLKEPEKLGILTSKSVKDEQSTDLRLNPTYPTNHASTFLSQAEMEARKIRRVLANRESARQTIHRRQAIFEELTRKAVDLAWENENLKKVCSLSPNFFSLKIPIQCWLFSNEMVKVMNVEAGEESNATNEPTVSASSTNSPFIIYNQPPFLPFVWPNSVQLQCGGIVFPSHIPDSSSCEEGNSMGAAEGSPSKRGGFPPDGGGGGTTEAMAPVSCDDTDNNDNLIKVKEDDIKGNGSRSEQIPVVWSAGKRVGDTAAAEARKRRKQLTRLKNNFHGRQLRIMH >KVI01449 pep supercontig:CcrdV1:scaffold_2102:84661:86742:1 gene:Ccrd_020281 transcript:KVI01449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFLTKIPLRIKVITSHFYNHSNFALFFTHSTLCDEERQEQRHPLEESITNRSYWTRRIHKLCAIDHNVDEAIRLVDNLCIHGYHLDSLNLSSIIHALCDSNRFAEAHRRFLSSLSCSFSSGTVIIPDERTCNVIIARLLDWGKSPHATLRVVHRIIGVKPHFVPSLTNFNRLIDQLCLFSWVHIAHILFFHMKSLGHCPNEVSYTTLINGYCRLGELSLAHKLLDEMSECGVRPNSLTYSILICGILRKKNVELESSLIEKLWKTMAEEDDGHFVNHAAFSNLIYTLCQEGFFKQVFDIAEKMPQGKSVSDAFAYGQMIDSLCRYGRHHGASRIVYMMMKRRCVPSLVSYNSIVHGLAKNGGYLRAYQLLEQGFEIGYSPSENTYKVLIECLCLEENDLGKAMKLLDIMLNKEGVDKARIYNIYLGALCRMNKDSSTEILNILVIMLETKCHPDVVTLNTVINGFCKMGKVEEGIQVLDDMVMGKFKFCTPDSVTFTTIISGLLNVGRTREALDVLRRVMPEKGFHPGTITYNVVLRGLFKLHLANEAVDVFNSMAGGGVVADSTTHAIMIDGLCKCNRIEEAKVFWDDVIWPSGVHDHFVYSAIIKGLCCLQKFDEACDFLYELVDCGIRPNIVNYNILIEGACKLDLKKEAYQIVGEMRKNGVAPDAVTWRIINKLHKQRKQWGPADKINM >KVI01448 pep supercontig:CcrdV1:scaffold_2102:8141:46244:1 gene:Ccrd_020280 transcript:KVI01448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orn/Lys/Arg decarboxylase, C-terminal LLTTQVISFWALSEPPLASFPEARESEAAVPFSTDEPFTGCRPIQLLPNLNLRKTPQLIELVEDDKTKRATGQTAIHGFRFWSLKILCFIATSTMASAFLNLTLRCSSRAPSCIYEERNQMPGKKQEKVGLLNNRKIVKDNTVVSDFQDSEHHNHPPLVSALKASAEQNVASFHFPGHNRGRAAPSSLSSLIGVQPFLHDLPELPELDNLFAPEGPILDAQKQAAKLFGATETWFLVGGTTCGIQASVMATCSPGDTLILPRNSHISAFSSMVLCGTLPKYITPEYDFDWDIACGITPSQASEVEKAMRELDSEGRKASAVLVTSPTYHGICSNLKEISRLCHSHNIPMIVDEAHGAHLGFHEDLPLSALCQGADLSIQSTHKVLCSLTQSSMLHMAGKIVDRERICRCLQTLQSTSPSYLLLASLDASRAQISEHPETVFNKAVEIALEAKALIEKIPGITVLNYSTFSDFPGIDPLRITVGVWKLGISGFEADDILYEDYGVVSELIGSRSITFALNLGTSGDDVLRLVSGLNHLSQTFNSIGLKEEKPNGIQPFIETSGSMRLSPRDAFFANKEKVSFKESIGRVCGELICPYPPGIPLLIPGEVITEEAASYLVEVKKKGGFVSGASDSSPVNEAVDVFNSMAGGGVVADSTTHAIMIDGLCKCNRIEEAKVFWDDFIWPSGVHDHFVYLAIIKGLCCLPKFDEASDFLYELVDCGIRPNIVNYNILIEGACKLDLKKEAYPIVGEMRKNGLASDVVTWRIIDKLHKERKQWGPEDKINIVKILYFYATSTMAFAFLNVSLRCSMTSRACISKEINQGKKQEKVGLLDNRKTFKDHMVVSDSQDSEHHKQPPLVSSLKASAEQNVASFQFPGHNRGRAAPTSLSSLIGVXPFLHDXSXXPELDNLFAPQGPILDAQQQAAKLFRATETWFLVGGTTCGIQASVMATCSPGDTLILPRNAHISAFSSMVLSGTIPKYITPEYDFDWDIPCSITPSQASFHEDLPLSALCQGADLSIQSTHKVLCSLTQSSMLHMAGKIVDRERICRCLQTLQSTSPSYLLLASLDAARAQLSQHPETVFNEAVVIALEAKALIENIPGITVMNSNTFSDVLGIDHLRITVGVWKLGISGFEADDILYEDYGVVSELTGTRSITFALNLGTSRDDVLRLVSGLNHLSQTHNSIGLKEEKPNGIQPFVETSVSMRLSPRDAFFASKEKVSFKESIGRICGELICPYPPGIPLLIPGEVITEEAASYLVEVKKKAMTDPQILHQNLSLLTTQVISFWALSEPPLASFPEARESEAALPFSADEPFTGSSFCKFREKWLWLWFWREYVKTPTFIYKYITVNAPIPLISLSPSERPLKLLPFQEPISETLLIKSTASTIWTEVDIVQECLCLPLLPSAAVVTTMSTIVSHLHQLSSSNRMQPAAYSHDDRSAFSNLIYTLYQEGLFKRVFDIAEKMPQEKSVSDAFAYGQMIDSLCRYGRHHGASRIVYMMMKRRCSPSLVSYNSIVHGHAKNGGYLRAYQFLKQGFEIGYSPSETTYKVLIECLSLEENDLGKAKKLFDIMLNKEGIKCHPDVVTLNTVINGFCKMGKVEEGIQIEEAKVSWDDIFWPSGVHDHFVYSAIIKGLCCLPKFDEACDFLYELVDCGIRPNTVNYNILIEGACKLDLKKEAYQIVGETRKNGVAPDAVTWRSLNLRCSMTSRACISKEINQGKKQEKGGILDNRKTFKDHMVVSDSRDSEHHKHPPLVTALKASAEQNIVSFQFPGHNRGRAAPTSLSSLIGVXPFLHDXSXXPELDNLFAPQGPILDAQQQAAKLFXATETWFLVGGTTCGIQASVMATCSPGDTLILPRNAHISAFSSMVLSGTIPKYITPEYDFDWDIACSITPSQASEAIRELDSEGRKASAVFVTSPTYHGICSNLEEISRLCHSHNIPMIVDEAHGAHFSFHEDLPLSALCQGADLSIQSTHKVLCSLTQSSMLHMAGKXVDRERICRCLQTLQSTSPSYLLLASLDAARAQLSQHPETVFNEAVGIALEAKSLIENIPGVTVLNSSTFSDVLGIDHLRITVGVWKLGISGSEADDILYKDYGVASELIGTRSITLALNLGTSRDDVLRLVSGLNHLSQTPNSIGLKEEKPNGVQPYIQTSGSMRLSPRDAFFASKKKVSFKESIGRICGELICPYPPGIPLLIHGEVITEEATSYLVEVKKKGGFVSLASDTSLFSIVVCS >KVI05232 pep supercontig:CcrdV1:scaffold_2103:12536:50291:1 gene:Ccrd_016437 transcript:KVI05232 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAETAVVCVIGVAAILALYVWRVLNFLWLKPKKMEKFLRDQGLKGTPYRFMVGDLKELEKMRNEARSKPMSVTDHDIAPRVLAFFHKSVVAHGKTCFTWMGIKPMVHVSDPTMMREVLANHYQFQKPRGGNPLTKLLAKGLIDVEADEWVKRRKIINPAFHVEKLKHMVPAFYVSCSEMIHKWEEVVTKESSCEVDVWPHLQTLTADVISRTAFGSNFEEGRKIFELQRELGEMIMKAAMSIYIPGSRFLPTKSNKRMKEIDREVKAMIKSIIDKRVVAMKAGESINDDLLGILLDSNYKEIKQQGNSNFGLSIEDVIEECKLFYFAGQETTGNMLVWTMILLGQYKDWQTRAREEVLQVFGHEKPDIDGLNHLKVVSFSILDVYQSSLFIEHVNLIFNEVLRLYPPGVLLRRLVHEETKLKNIILPAGTLIQLNTLLLHHDQDIWGEDVNEFKPERFSEGVLKATKGQASYVPFGGGPRICVGQNFAMYEIDNRQSCVSVSSRRERKETVADAAADRAVPLLSDGYGAGNGGCLCCWSSIGVGLIYIEFVVVETKKDRQVSKRSRTESEMIHKWEVVTKESSCEVDVWPHLQTLTADVISRTAFGSNFEEGKKIFELQRELGELVIKAATSVYIPGSKFLPTKSNKRMKEIDREIKAKIKSIIDRRVVAMKAGESINDDLLGILLDSNYKEIKQHGNNNFGLSIDEVIEECKLFYFAGQETTGNMLVWTMILLGQHKEWQTCAREEVLQVFGEKRPDMNGLNHLKVINMIFNEVLRLYPPLGFLRRLVHEETKLRNIILPAGTLIQLNTLILHHDQDIWGEDVNEFKPERFSEELEKMRNEAGSNPMSVTDHDIAPRVLAFFHKSVVAHGKTCFTWMGIKPMVHVSDPTMIREVLANHYQFQKPRGGNPLTKLLTKGLADVEADEWVKHRKIINPAFHVEKLKHMVPAFYVSCSEMIHRWEEVVTKESSCEVDVWPHLQTLTADVISRTAFGSNFEEGRKIFELQRELGEMIMKAAMSIYIPGSQFLPTKSNKRMKEIDLEVKAMIKSIIDKRVVAMKAGESINDDLLGILLDSNHKEIKQQGNSNFGLSIEDVIEECKLFYFAGQETTANMLVWTMILLGQYKDWQTRAREEVLQVFGHEKPDIDGLNHLKVVNMIFNEVLRLYPPGVLLRRLVHEETKLKNIILPAGTLIQLNTLLLHHDQDIWGEDVNEFKPERFSEGVLKATKGQASYFPFGGGPRICVGQNFAMLEAKMALVMILQHFSFDLSSEMIQKWEEMVTKESSCEVDVWPHLQTLAADVISRTSFGSSFEEGRKIFELQKELGEFVIEAIMSVYIPGSKFLPTKSNIRMKEIDEEVKAKIKGIINKRVVAMKNGESINDDLLGILLDSNYKEIKQHENSNFGLSIEEVVEECKLFYLAGQVTTGNMLVWTMILLGQYKDWQTRAREEILQVFGGKRPDIDGLNHLKVVSFSIFQMYHNYLHVEYVEILPHLFCILIFSFTDQHDF >KVI05231 pep supercontig:CcrdV1:scaffold_2103:72538:76438:-1 gene:Ccrd_016438 transcript:KVI05231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF616 MVELKSLVGFLRNSPFLILMGFFLDRIAMESCTGLVVVSAIFGDHDKIRQPKGLGFRTLDHACFYMFVDEITLKQFRYHNLLSRKTKEMRIGVWRIVKVLSGELYENPAMNGVIPKYLVHRMFPNSKYSIWVDAKIQLTVDPLLLLYSLVIKEDVDMAISKHPFYVHTMEEAMATARWKKWWDVDSLRIQIETYCENGLQPWSSNKHPYPSGNKSNSILV >KVH94974 pep supercontig:CcrdV1:scaffold_2104:34262:37626:1 gene:Ccrd_002956 transcript:KVH94974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 MLILEHYDDICRDRHRNLLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGITVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDTYV >KVH94975 pep supercontig:CcrdV1:scaffold_2104:42930:46051:1 gene:Ccrd_002957 transcript:KVH94975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fcf2 pre-rRNA processing MLEDKKVIGLSWEPKLPSLLFGTKGGSNAKSQNVSESSLVYKPKSELIDGLFVPPHDPKKVNKLLKKQVKDTTGKNWFDMPAPTLTPELKKDLKLLKLRNVIDPKRHYKKGDSKLNIFPKYFQRKVREIEEKNQPGGVDKWKIKGKSSWKRAKQRRH >KVH94972 pep supercontig:CcrdV1:scaffold_2104:49293:58163:1 gene:Ccrd_002958 transcript:KVH94972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline nonlysosomal ceramidase MREAMKLPPPSRSVLQKLSTSMCLLVSLFLVLVKCRGASSESTNLIGLGSYDITGPAADVNMMGYANIEQTTSGIHFRLRARTFIVAEPQGNSVVFVNLDVCMASQLVTIKVIERLKARLILLMNFNLAVLSALHSMSVANHLKYGDLYTEDNVAISGIHTHAGPGGYLQYVVYIITSLGFVQQSFNALVDGIEKSITQAHENLRPGSIFINKGILNQLELLLIVKFAGELLDASINRSPSAYLNNPEEERRNYKYDTDKEMTLMKFVDEEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWYNTDVMHKGFESQSLIKSGAYKVPRRISNIIPDFHEHRVPLGRLNALNSYLHFVNQIVAIYPDEFESTRIIGERQFEKAMELFQNASEQLKGTVRYRHTYIDFSDLPVQLSEVGESNELVRTCPAAMGFSFAAGTTDGPGAFDFKQGDDEGNAFWKLVGGLLKKPDEKQINCQDPKPILIDTGEMHEPYDWAPSILPIQILQIGQLVILSVPGEFTTMAGRRLRDAVKEVLTSGDKEESDNNVHVVIAGLTNTYSQYVTTFEEYQIQRYEGASTLYGPHTLNAYIQEFNKLASSLISDKPVERGPQPPDLLDDQISLLTPVVMDSTPLSASFGDCKTDIPLDSIFKRGDIVKAMFWSACPRNDLMTEGTFALVEILQSGNNWEPAYDDDDWCLKFKWSRPSKLSARSYATIEWHIPDAAVSGVYRITHFGASKSLFGSVKHFTGSSTAFTVV >KVH94973 pep supercontig:CcrdV1:scaffold_2104:85161:89034:-1 gene:Ccrd_002959 transcript:KVH94973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNDGHNFVGTVKNKQMSKIYDEFYENDVGDVRSRIATILTAGSHSNSSSLSEKSEEFWNNDIRSSNIFCLGSSSQLSSSNSSSSTSSLSQQFSRLQNSNNSKAAQFGTTAHEPD >KVH87892 pep supercontig:CcrdV1:scaffold_2105:13304:13785:1 gene:Ccrd_024794 transcript:KVH87892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MWYGFLVDANSATNETATLLAKLKLQIKHIGFKKKIPIFWEARYKIESQMRLQAMRVVREDKLDGIIMFADDSNMHSSELFDEIQKVEWIGCYTRASSKHP >KVH87893 pep supercontig:CcrdV1:scaffold_2105:38963:39387:1 gene:Ccrd_024795 transcript:KVH87893 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease KEEDRLRRQYELEGRDLLPVEESEVSDLNVITPDSLFMAKLSKQLQTYIHLWISNNPLWKWIKVMLSNSNAHAEREHKIMSFIRIQRTCPGYNPNTSHVL >KVH87890 pep supercontig:CcrdV1:scaffold_2105:12928:13173:1 gene:Ccrd_024793 transcript:KVH87890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSINNHYTFTTTSFGTASDVVETLSFTSSNRNLSLLVVNNTSTVATTSRVVVGSHGIQIWPWQHLDLQEVMKAHKIIEVV >KVH87891 pep supercontig:CcrdV1:scaffold_2105:39526:92279:1 gene:Ccrd_024796 transcript:KVH87891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGTQDQQYGDDKFPITSSRYTKWWYLAFHNVTTMVRASVLSLPYAMSELGWLFPHLGDIMGCDAIHVMAIIQKGSTYSNKHGCCSYSTIAWTISLKKVMQSDAHYGYKAKSMVETMFNFYSALGDVAFAYADHNVVLEIQAPIPSTPEKPSKVLMWKGVVVAYIVVVICYFSVALIGYWMYANEVFDNILISLEKPIWLIAMANLFINNHVIGSHQDRNLLTLDEKLIFCLVSCGFPSINPKNGAYVGSLIRYLLLNIPKTPVLGIYQSSLLTILPKFMMCIVLRVALMVVSLIGGLRQIIVQAKTYKNRQQPSVLSIDRRSPFSSSSSVTILAFFSHQSIHRLLFLQQ >KVH87888 pep supercontig:CcrdV1:scaffold_2106:51576:58724:1 gene:Ccrd_024798 transcript:KVH87888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MALNAILPATQLDYDRKAELQAFEETQAGVKGLVDAGITSVPRMFHLPSPEILKSGQQLCPETTLPTIDFEGINVDPIRRKKVVEEVKDASEXWGFFQIVNXGIASSVLEEMXKGVXGFXEQDTEVKKQWYXMNSKGKHPVVYNSNFDLYVSRVANWRDSLICNMTPNPPNHHELPPICRFXYFYTPNEVHKLGVQVLELMFEGSRAQPESPNRDGLLTNDKFMSASHKVLANKIGPRISVALFLRVPPTQSSKVIEPIKELLSEENPTKYRSMIVGEFMNHVLAKGLDGVSATLHFRI >KVH87889 pep supercontig:CcrdV1:scaffold_2106:417:1714:1 gene:Ccrd_024797 transcript:KVH87889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MALSITQQPTELDYDRKAELKAFDESKVGVKGLVDAGITEVPRIFRLPSPEILKSSQQSCPEESLPIIDLQGIHVDPIRRKEVAEKVKDASERWGFFQIVNHGIASSVLEEMIKGVIAFHEQDAEVKKQWYSMAIKGDXPVVFNSNFDLYVSRVASWRDSLICSMVPNPPKHHEMPPICREILLKYSDEVHKLSGRILELISESLGLNPSHLTEMGYADGLSVIGHYYPPCPQPELAIGTTDHTDKTLFTILLQDHIGGLQVLYKNQWIDVSPIPGALVVNLLTNGKFECASHRVLAKKVGPRISVASFLKVPPTQSSMVVEPIKELLSEENPAKYRSTTVGEFTNYFQTKGLNGVSAALHFQI >KVI08076 pep supercontig:CcrdV1:scaffold_2107:67804:78881:-1 gene:Ccrd_013557 transcript:KVI08076 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MSDNEKNALVNSPSSSRSVTETVNGSHHFVIQGYSLAKGMGIGKHIASDNFTVGGYQWAIYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLVDQSGKGKHKIHSHFDRSLESGPYTLKYRGSMGYKRFYRRALLETSDYLKDDCLRINCTVGVVVSAVDCSRLHSIQVPESDIGSHFGMLLDNMEGSDVIFNVAGEKFHAHKLVLAARSPVFRSIFFRQEGDEHDIDVTDMEPKVFKAMLHFIYRDALMDDELVASSSFSESSVSDSLIAKLLAAADKYDLGRLRRMCESHLCKDISVNSVGRALALADRYHATELKAVCLRFAAENLAAVMRSDGFEYLKEKCPRLQSELLKTVAGCEDDCSSSGGKSRSVCAQLSDGGDTNGRRVRQRTWNVQEEEEEEES >KVI08075 pep supercontig:CcrdV1:scaffold_2107:37106:67575:1 gene:Ccrd_013556 transcript:KVI08075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPSEPQKPHGGGNQNSSSRYPTRQHKVASKHNPNAVPSFHGPLPYHQPAIPAVYSGMAPWPPHIPVCGYAYQPAAGSFSPADGTITAPPRGNPNTYAVEFSNRRPNVQENGDHFNPGRQNQRPLGLKENSDLQQNAGPRAFIRPPFFGPPAGFISGPTFPGPPGSIVYLPALPPFSVRGPHPPFIVPHPSSPGIPVLPSQTQALRANITKQIEYYFSDQNLQSDQYLLSLMDAHGWVPISTIADFKRVKRMSKDIPFIVDALQSSSTLEVQICGDTLTSSIGKSSLETMKEDQAEQKEFKTLMLLKGDKVRKREEWSKWISASVGNKSLSMQQSEEDVIDFSKKDISGEDTIEDNLQGTVESSKSKDMVDSDLGIGPISKSNIKPLDTGSDHGSAGRMAKSIDHLSTENQCMKAPSEFCGQNLDNVCDDFASTFMFDEELELEHKAGKKDHFSSKSRMDDEDDEMVVNDQAVERLVIVTQQNNRKGEGTRGHVEETKLFSNELASAINDGLYYYEQELKSKRTKGRRNNATNESKEGTSKSPRVAYVIANQKAISGNCCEVPGSTNSRRKQNKGFSKQQSLKNQRLFSSNLKNNGSGQNYPGIVSESPPSSSVGFFFGSTPPENHGLRPSKLGASPHGCFTGSSPPVGSLPKPFPPFQHPSHQLLEENGFRQQKYVKYHKRCLSDRKKCGIGCSEEMNTLYRFWSYFLRDMFVPSMYNEFRKIALEDAAVGYNYGMECLFGFYSYGLEKEFREDVYEDFEQLTLDFYHKGNIYGLEKYWAFHHYSQSKEHKKLPELERLLREEYRSLNDFKLNRAKTKATGPKNDSRKPAADPDISQQK >KVH87887 pep supercontig:CcrdV1:scaffold_2108:30027:44959:-1 gene:Ccrd_024799 transcript:KVH87887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGLEDADLVDDGDAAGGGKAAVSVSCSICLEVVTDNGDRAWAKLQCGHQFHLDCIGSAFNVKGVMQCPNCRKIEKGQWLYANGCRPYPEFSMDDWAHDEDLYDLNHDLLGQHAIFTEHAAAVSSATHLCPYIAYVQPVHPSSSSSTSSATVADGPTYNNQWNSQSASSEIPNSYALPTMDVRYHTVIPTSGSRVGGADQPSMPSVMPRRPVRTNADMPRSGSGARAPSSVGSSMIHPYPGSAARARERSQALQAYFQQPSNLPGLRTPINVSGSRRSNNQGQIGQVGSSSDQNQIRGGVYFLPTSGSSGGRSFQEAESSMANPFQHAWERDVAHPGAGWGFHQSGGGVFRQRHSSERSPSQGHYRS >KVH97001 pep supercontig:CcrdV1:scaffold_2109:29838:34058:1 gene:Ccrd_000904 transcript:KVH97001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKQEHIRRSFLADICLYMLLLLLMVTLPLQKMATRVVATRVGEEMIVNSMKEYEKREMYLALNRIKLQNLINRLKLSCLSCPLFDISCWVKNLERSYFKMWNSNCSGQQPQQLKVAEKDFDYLAHDPGFLEAYINLGYALKDAGNVKEAIHYNPQCLSLQPSILWLSLILETYIWNGI >KVH97006 pep supercontig:CcrdV1:scaffold_2109:27305:28117:-1 gene:Ccrd_000902 transcript:KVH97006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAIIYKQQVLHFDPLAADGLVHHGNTHKEIGRVNEAIQDYSHDIIICPHMDKASANLASVYKDNGHVEAAIKSYRQALAMHPDFSEATHNLLHTLQYYTPETNQNIGYSWGCKLSMRLRIPLIQTLHLKSIGTFNPPLPLPTKCKEGNNQLHIRYASSDFINHPLSVLMGLVFGMHDRENVEVSFYALTHNDWSEWRLRIQSEAELFKDISTMTSDMISSLIIEDQI >KVH97003 pep supercontig:CcrdV1:scaffold_2109:17137:18097:-1 gene:Ccrd_000901 transcript:KVH97003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGDDEDLDFVFYCEIFHGVLFRQVTVSIFTKNPNFIASSAPLLLPLRVKTIKKLSPIDIKRLSIHMYPHVAQQAITHTFHQMEEPKSDSEVIEDYVVLVENGERNIDGFVMVKMEVLQFPLPLKSVVAEDDVQLISLAATPPRLSLSSTMETPLVSEASLLPETLKCVGKGSGDVSSPNIPPPPPPPPLNSSCKNTPVAPPPHPMSRNHIKTPEPPPSPPPPSKIHMETPQQPPPPPPPPPPSPTIVSGDATIKGLTPPLPTSTKLGPPPPPPSLGKXGPPPPPPPLAPMTPRSIPPPPPIQ >KVH97004 pep supercontig:CcrdV1:scaffold_2109:15495:16937:-1 gene:Ccrd_000900 transcript:KVH97004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTGMADALADMTKRSSYFIQIEDDVKNYTNSIKEVKTALSSFQTSDMAELIKFHQYVESHIEKLFDESQVPIRFEDFPSKKLEGLRMAATLYAKLDAIATTLQNRKIECQVNQLIDKVDKYFNKIKEELDTLDRTKDDELKKFRSQNIHFDFGILVRIKELMVEVSSNCMELAFEETREQRAKEHEESAMNGYGKKMGLGKILWRVFQFAFRVYTFAGGQDDRADNLTREIAHEIQTEPSST >KVH97000 pep supercontig:CcrdV1:scaffold_2109:34131:34469:1 gene:Ccrd_000905 transcript:KVH97000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAIIYKQQGNYADAISCYNEVLHFDPLVADGLEIGRVKEAIQHYSHAIVIRPHLDEAYANMASAYEDSEHVEAAIKSYRQALAIHPNFPKATCNLLHTLQCVCD >KVH97005 pep supercontig:CcrdV1:scaffold_2109:28555:29606:1 gene:Ccrd_000903 transcript:KVH97005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAKIYKQQGNYADTISCYNEVLHFYPLVVDDLVHRGNTYKEIGRVNEAIKDYSHAIIICPQMDEAYANMASFYEDSGHVEAAIKSYRKALAIHPDFPRATCNLLHTLQCVSYMGLLSTTGARYTQYLVTNEFVSSTWFSHLNSEKLVHLPHCYFVNDYKQKNLDVLDPNC >KVH97002 pep supercontig:CcrdV1:scaffold_2109:34527:35867:1 gene:Ccrd_000906 transcript:KVH97002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISSVQPFHAIAYPLDPNLALEISRKYAAYYSVISSRFSLPVFNHPLPLPMKSTEDNNQLHIRFVSSDFGNHPLSLLMGSVFGMYDRENVEVSCYALSPNDWSEWRLQIQLEAEHFKDISAMTSDMISSYIQYLVTDEFVSPTSFSHLYSEKLVHLPHCYFVNDYKQKNLDGVQQDQIIFTDIAMKQEHIRRSSLADICLDIMLCNAHTTGTDVLWAGLLMVTLPLEKMATRVVGSLYLATRVGEEMIVNNVEYENRAMYLALNRIKLQDLTNRLNLSCLICPLFDKSRSVKNLERSYFKMWNLHCSGQQPQQLKVADNDFEYPYDH >KVH92362 pep supercontig:CcrdV1:scaffold_211:196602:200749:-1 gene:Ccrd_005598 transcript:KVH92362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVEDPNPKVAPNLSTISPPNSYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIVVGVSDGPMLSKKQFADLIMPIEERMKIVENYIKSIKPELAVQVEPIIDPYGPSIVDKDLEAIVVSKETLPGGLSVNKKRAERGLSQLKVEVVNLVSEESSGEKLSSSTLRKLEAEKAGKL >KVH92354 pep supercontig:CcrdV1:scaffold_211:130661:135756:1 gene:Ccrd_005604 transcript:KVH92354 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MNPQVSGAGSHRHLLIQPTTAVSLPPPQYSEADKPPNRDPYLHCSEERKNPYMDEQTIQSEEPHPRLKPESSSPQSSIPSQPPRPPTDEESFTSDISQIATSNPSKIPIRPQKIRKLSSSAAIGSVMEEIKPQSTIAEADASKAIVLSTTTTAVVTKNRRRNPSRSALDLPKVIKPLSAQGEISVALRHLRSADPLLANLIDNHPPPTFDSHQAPFLALTKSILYQQLAYKAGTSIYTRFVNLCGGEDGVGPETVLSLTPQQLKQIGVSGRKASYLYDLANKYNNGILSDESVVKMDDRSLFTMLSMVKGIGSWSVHMFMIFSLHRPDVLPVSDLGVRKGVQLLYMLDELPRPSQMEQLCEKWRPYRSVGAWYMWRFVEGKGSLAAGAAAAALVSNGIIGQQQLGQSEQAQQQQQLQLQLLEPINSIGNLG >KVH92370 pep supercontig:CcrdV1:scaffold_211:15094:17743:1 gene:Ccrd_005612 transcript:KVH92370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSTFQICNLLLDSRLEGPYGCLFVVAGAAASAADGGHQVCGFCFLISCLVLVLACGLQGKVVTHDLFICGFSNDPAVTHGFSYDQSDVIPFRCFLNDIRSLIGCSLLPCDTLAADIC >KVH92357 pep supercontig:CcrdV1:scaffold_211:170324:177300:1 gene:Ccrd_005601 transcript:KVH92357 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAASRFASLAAMAAVAAASTTTCSYADAPSFRFNPFAQSPAPSQSPQLASEPTNAEPKPEVEDSKGGFDPEALERGAKALREINSSPYSKQVFEVMRKQEQGRLTELAAEKAHQEAIQAQLDIERQRKLAEDQRNLVQQQSQAKAQMLRYEDELARKRMQTDNEAQRQHNAELARMQEESSIRKEQARRATEEQIQAQQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEDHNRRMLVERVNGEKEKWLAAINTTFGHIEGGVRMLLTDRSKLVMTVGGVTALAAGVYTTREGARVMWGYVNRILGQPSLIRESSMAKFPWSGIVTRGTQKLRNYTTATGKSVSVEDKAKFGNIVLHPSLQRRIEHLARATANTKSHQAPFRNMLFYGPPGTGKTLVAREIARKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWSKKSKRGLLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDVVLVLATNRPGDLDSAITDRIDDVIEFPLPQEDERFKLLKLYLHKYLYEDSDNIKESKWHSLFNKKTQRIVIKDLSDDVIREAAKKTDGFSGREIAKLIASVQAAVYGRPDCDLDSQLFLEIVDYKVGEHHQRLELANAGNQLA >KVH92372 pep supercontig:CcrdV1:scaffold_211:22831:34804:1 gene:Ccrd_005611 transcript:KVH92372 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MWRRSFCTTTATASAALNNKKWDALVIGGGHNGLTAAAYLAQSGLSVAVLERRHLIGGAAITEELIPGFKFSRCSYLQSLLRPSIIRDLELGRHGLKLLKRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSKRDADAYPRYENQLETFCKFMDPLIDSSPPEAHQGVSSFNARLKHKLQKSEFWAHCLHQSVSMGQQGLVDFMDLLLSPASKVLNNWFESEVLKATLATDAVIGATASTHTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSLAIGNAAKEAGATIVTNAEVSKLMIEDSGTVTGVILADGTQVHASVVLSNATPYKTFMELVPESALPDDFSRAVKHSDYGSATTKINLAVDKLPQFQCCNLGHPEAGPQHMGTIHICSESIEEIDSACLDAKNGLPSRRPIIEMTIPSVLDKTISPPDVMPIPHGAIDEKWIQIFDCYLVSAIGKHVINLFVQFTPYKPNDGSWEDPAYRESFAQRCFKLIDEYAPGFSSSVIGYDMLAPPDLERIIGLTGGNIFHGAMGLDSLFLMRPVKGCGSHPGGGVMGAPGRNAARVVIDDIKG >KVH92363 pep supercontig:CcrdV1:scaffold_211:332249:351789:-1 gene:Ccrd_005591 transcript:KVH92363 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MDVAQVLRMNGGDGDYSYSNNSLLQRRVISITKPIIADALTDLYYDLNFPKTLVMADLGCSSGPNTFLVASELVKSIDNIRIKAGHDEPPQIQIYLNDLPHNDFNAIFVSIPEFQKNLTKQKVPGSSSPLCYFSGVPGSFYSRLFLNRSIHFVHSSYSLMWLSQVPEIGKTNKGNIYMSIASPPSVIRAYREQFQADFLTFLKCRAEEMVNRGRMVLTMLGRRSDDPCSKECTYVWDVLAMALNDMVSEGLIDEEKMDSFNIPQYTPCAKEVRNEVEKEGSFEIDCLEVSEASWDAAMDNSLDSFEQDSQGINIGKTIRAVAEPLLLSHFGESMIEEVFVSDLNFPKTLIMADLGCSSGPNTFLVASELVKSIDNIRIKSGYDEPPQIQIYLNDLPHNDFNTIFVSLPEFQKKLTKQMVPSSSYPLCYFSGVPGSFYSRLFLSKSIHFVHSSYSLMWLSQVPEMGNTNKRNIYMSTTSPPSVIRAYREQFQTDFLTFLKYRADEVVNGGRMVLTILGRRSDDPCSKECTYVWDLLAMALNDMVYEGLIDEEKMDSFNIPQYTPCAKEVSKGVEKEGSFEIDCLEVSEVNWDASTDNSLDSSEKDSQGINMGKCMRAVAEPLLLSHFGESMIEEVFKRYTNIIRNRMSKEKTSLINVTVSLTRKGLGSMMS >KVH92368 pep supercontig:CcrdV1:scaffold_211:207724:213141:-1 gene:Ccrd_005597 transcript:KVH92368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF829, TMEM53 MEASVSRSFMKCTNRHFLNTLLLSKCHPSPTLFLPISQHTPLATLSFSNPNSHVPKSNPSLFTTPSFQSSLNTPLSSHRFARILSGHNDKSFEWKFALDGVNGGELGFVPEDKRPIATVVLLGWLGSKQKHLRRYAELYNLFGMDAVTFPASVFDVLGFDLGRKLETRIAALTDELVSWLEEKEKDGRKRFLIFHTFSNTGWLAYGSILNRLQGRPDLLEKIKGCVVDSGGDPELDPKVWAAGFSTAMLKKQSSAVNSEPREIQNEATNANAYEKEPMRLTKITSTLAKNQPCYPQLYLYSTADKVIPSQKIESFADLQKKLGREVTTFNFKSTPHVDHYRTFPDTYKSLIQDFLNQCFAAEGKQLYNLVH >KVH92367 pep supercontig:CcrdV1:scaffold_211:241142:250544:1 gene:Ccrd_005594 transcript:KVH92367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPVPYSRGLQKHSLSIIKQGRSGYDPSDTETEWHEAPWHEFNRKSVELGSGVPKMSSDDTRNLNPLRLSRRHSSKFDPEGVPLTRKRSKSPYKPQRDDGNSRSPTLGSLPLPPGRNISPFSKSERRRHLSPFIPTRGDDLLETDEAFGSYHKQKHSQPNTESYRDAEKLNYGRTLSAPRLRTRDKEQQMKYDYWVKRREERSRTPPLRRSVTPRKDKEGNPKNVPSVGEINEMVANAKLAKSPVRHAPVFDSTDSLPGGDIFFSRDYAAMSTQKIKNGGLESRLSPKAKLFIEKKPDPHHRYKSNAIPNYNTTGISSSSLLTPTTIISSSAVSRQSSNLSDASAKSTGSSWKFTANRQKSQTDSWFSCIGKGPCRTSKKSPERERAFDEASFIEKAFVVESLRQFWADKYQPSSLNGFTCHKQEALQLKQLASQDILPHILLKGPQGSGRKALTMALLREIYGDAARNISHDLRYFQIQVVSSARRTYRTCDSETRLTQVAVPLTSSVHHVELNVYLEANARYALMASVKQISSNHSVAPEISTVNLKPDYTVMVLYDVDKADESIQHLIKWIMDCYSDVCKLILCCEGDVDILEPVKTRCHIFKVDAPVTHEIMEVLIQIARKENFDLSMKFAAKIANKSKQNLRKAIMALEACKSHNYPFLEDQPIAIGWEDVLIDLAAEILADPSHKRQVYNTPCPKKTDIFWLSDQTDYFPTILLFLIRGKLQKLLVEFVHPKLILQKLIEQFLKGVEATLKRELYYWHRYYDKRLPVGTSALLKLEANLRFSMSSSPAEFVAKFMSIHRKNLHNRQ >KVH92352 pep supercontig:CcrdV1:scaffold_211:56363:64900:-1 gene:Ccrd_005608 transcript:KVH92352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSIISPTLGFNIKTMTYDKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKFELDNLLKEERLSGASLLVFANKQDIQGALSPDEIAKVLNLDAMDNTRHWRIVGCSAYTGDGLLEGFNWLVQDIASRIYVLD >KVH92359 pep supercontig:CcrdV1:scaffold_211:154913:155803:1 gene:Ccrd_005603 transcript:KVH92359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MEVRERETISHNNPPQSNQESSSMFSYGTLNSSRNETPDQLRLHLHQRNNIHGLELQEQQMEAHRRRTESNPDPDPVSQTLPPPQPSATESAAVAVVVRYRECLKNHAASMGSHVVDGCGEFMANGEEGTPEGLKCAACECHRSFHRREVEGESQSTTTTRIHNSPPRVAAMQPIPASLPYQQHHRYHHQMPPFMVAFGGGNSVAPTESSSEDLDAFQTHAGGHMMMEQPSKKRFRTKFTEEQKEKMRDFAERIGWKIQKQDEQEIQQFCNEVGLKRQVFKVWMHNSKQAMKKKQV >KVH92369 pep supercontig:CcrdV1:scaffold_211:216246:221082:1 gene:Ccrd_005596 transcript:KVH92369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MSSSCATVPHPVTTDWSAANNFNSTMRIHPYRNRCGLSRRDLATKGIVAAGASVIPSNLVSPPAQGLERLPFKKDGYKFWTWRGHKIHYVEEGEGFPIVLIHGFGASAFHWRYNIPELAKKYKVYAVDLLGFGWSEKALVEYDALVWRDQVADFLKEIVKEPTILVGNSLGGFTALVAAEAMPKQVVGIALLNSAGQFGSPDDSTTETEESPLQKFFIKPLKEVFQRVVLGFLFWQAKQPARVESVLKSVYKNTANVDDYLIESITRPADDPNAGEVYYRLMTRFMSNQRKYTLDSVLSKLSCPLLLVWGDLDPWVGPAKAMRIKEFYPNTSIVNLQAGHCPHDEVPELVNKALVDWLSTLPSASTVPQTV >KVH92365 pep supercontig:CcrdV1:scaffold_211:303175:303945:1 gene:Ccrd_005592 transcript:KVH92365 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4408 MDIFDIHNVKVEKANATLQYRRFRKIARLFRLVELFLAVVLLSWISSRLPFVIRISGEYFRQLLSIVVSPLFIFLVGNVIVLTLVVKSGQLTGTPSSVGNDGSDLYEEIVNNVSEDVPPVLEPEEIVYQDKRIISEVNTKPIAGNCCNENEIEVRNNPTANSVPDLDPKIYRRSQSENLTKRECFLELDKLCGKLKRSETEVGRRKVDTTVEVEEVDNVVDELSNEEFQRRIEGFIARQVRFHQEEKLAIVPHNLM >KVH92351 pep supercontig:CcrdV1:scaffold_211:39705:53550:-1 gene:Ccrd_005609 transcript:KVH92351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citron-like protein MVHTAYDSIELLNDCPKKINAVVHYGSTLLVACCDGSLRIYASESGNRDPSSDRLSQTLELKKELYVLERTINGFSKKQLLAMEVLASKELLLSLSESIAFHRIPNFDAPAVISKAKGANVYSWDDRRGFLCFARQKKVCIFRHDGVRGFVEVKEFGVPDTVKSMSWCGENICLGIKREYAILNATNGALSEIFPSGRTAPPLVVSLPSGELLLGKIRSLRVPYPLIQTIVLRNVRSIQQGSNAIIVALEKSIYGLFPVPLGAQIVHLTASGNFEEALVLCKLLPPEDSSLRASKEQSIHIRYAHYLFENGSYEEAMEHFVASQVEITHVLSLYPSIVLPKSFPAAEPEKSVDIDREPYLSRASSCASEDMDSSPTGLLLESDGSSAVDSKKMSHNTLMALIKFLQQKRSNIVGKAAAESTEEVVSDAVGHTFVSQESSRSKKSSKGRLNIPIDSGARDMAAILDTSLLQALLLTGQTAGALDLLKGFNYCDVKICEEILQKGNHDACLLELYKCNSMHREALILLHQLVENAKSDEPKIVLPQKFKPEMIIDYLKPLCGSDPMLVLEYSTLVLESCPTQTIDLFLSGNIPADLVNSYLKQHAPSLQASYLELMLSMNENGISQNLQNEMVQIYLSEVLDWYADLTAQKKWDEKTYTPTRKKLISALEGISEYNPDIMLKRIPADALYEERAILLGKMNQHELALSIYVHKLHLPERALSYCDRVYNTGLHQQYGKAQGNIYLILLQIYLNPRKTTKTFEKKITNLASSSSSSNSKSGWSTLKTKGRGLGKKVAQIEGAEGTRINTSIPDSGKSDFETDDPVEEGSSTIMLDEVLDVLIQRWDRVHGAKALRLLPREIKLQNLLPFLGPLLRKTSEACRNFSVIKSLRQCESLQVKNELYIQRKAELKITSDSVCSLCNKKIGTSVFAVYPNGNTIVHFVCFRDVQNSKATRKGSFLRRR >KVH92361 pep supercontig:CcrdV1:scaffold_211:181076:187865:1 gene:Ccrd_005599 transcript:KVH92361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex, subunit 10/DOC domain-containing protein MLVGEWQGSSRVKFFRVLGRIMEVLVVIPNFLARDKIVGLYQRDYAQMATESSEGEEETKLMGGNPQLVVDGDLREMAKMAAWSVSSCKPGNGVSSLRDDNYETYWQGVVIILLLSSLPAFQFDFTKGVLLLLQLVALYVDFNLDESYTPSKISVRAGDGFHNLKEIKTVELVKPTGWVYISLSGNDPREAFVNTFMLQIAILSNHLNGRDTHVRQIKVYGPRPCVTILLIKESYTTPTISIHFY >KVH92358 pep supercontig:CcrdV1:scaffold_211:178910:179781:-1 gene:Ccrd_005600 transcript:KVH92358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MASNSISYSSTTCSWTAKQNKLFETALAVYDRDSADRWQLIARAVGGGKSAEEVKRHYELLLEDVRHIESGYIPSPNYRR >KVH92353 pep supercontig:CcrdV1:scaffold_211:109320:125089:-1 gene:Ccrd_005605 transcript:KVH92353 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MASRDPEICDDGGGGGSLKKPLLHTGSWYRMAGMSSRQSSILGSSAQILRESVSIFLCVFIVALGPIQFGFTCGYSNPTQADIIADLKLKISEFSVFGSLANVGAMVGAIASGQIAEYIGRKGSLMIAAIPNILGWLAISFARDCSFLYMGRLLEGFGVGVISYTVPVYIAEIAPQNMRGSLGSVNQVGCDLIFILGNLSVTIGILLAYFLGLFLPWRALAVFDTKQPSKLMHLLVSSTGTLPCIFLIPGLFFIPESPRWLAKMGFMEDCETSLQVLRGFDTDISAEFNEIKRSVITSGKGATIRVSELKRRRYWYPLMVGIGLLLLQQLSGINGVLFYSSNIFNSAGISSGKAATLGLGAIQVVATGVTTSLVDKSGRRALLMLLVSKDSSFYGFLGMLSLVGLVILPVNIKSLAGSVATLFNWLAASVITMTAPLLLNWSSGGILCVFVTKSTEVLPFVQEHSSSMLLCLLLH >KVH92366 pep supercontig:CcrdV1:scaffold_211:231475:235124:1 gene:Ccrd_005595 transcript:KVH92366 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MGEKSKILIIGGTGYIGKFIVEASAKEGHPTFVLVRERTTKHPEKAKLLENFKSLGATLVTGNIYDHESLVKAIKQVDVVISTRFLPSEFGTDVDHVNAIEPAKSVFKGKADIRRAIEAAGIPHTFVACNGFAGYFLPTVGQMDTYSAPREKITILGDGNKKEEDIALYTIKAVDDTRTLNKTLIFRPPANVLSFNEIVSIWESKIGKTLEKTYLSEDQLIKNIQEAPFGLSIVLSIMHSVLINGDATNFEIEPSFGVEPCSLYPELKYTTIDEYLTQFA >KVH92364 pep supercontig:CcrdV1:scaffold_211:251436:258712:1 gene:Ccrd_005593 transcript:KVH92364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNRSKSAGGGARDLLSRAAIVCRGYTQQILYDDECSNPKVVLYGSFYGAMPTFTTVALDSLIEPKASKPVAGGKVIPEPKLERRNSNSAITRQGSGTHGGANRKPDSRNSTKTIERKHHWTQISPALYATPEPTPLPDSPLSFPPSPYIVDHKRRGPRLSKTFSENNALLHTAIDEEKTVNIGKSAEAEDIGPSKVSDGTDTVSGDDFFDPHDSMSVKSSNDGESNYESERALHHTAPFAEFYDAWEELATETGIQHTANDVEAELSEMQMGFLIEMEKKKQAESRLSDMKSQWGRIREQLSIVGLTFPADPTMLEDEPANDPGEELCRQVNLLRFVSNAVGKGIARAELEEEFEAQLQSKNFEIARLLDRLHYYEAVNHEMSQRNQETVETMRCLRQRRMRRQKWIWGSIAAAITLGSTALAWSYLPTAKGSSSTDPSPTRTSESNR >KVH92371 pep supercontig:CcrdV1:scaffold_211:36896:37684:1 gene:Ccrd_005610 transcript:KVH92371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MTTVVDGGDDDEEINPVTMLLLGDDDDALHKSEAVPTSVQHQSYHLTSVDSTIVIRQLPSQGLSFQLWPAATTFVNLLDCYKASNTDPLSTVITNVKSRSRLRILELGSGTGVVGIAASAVLGADVTVTDLPHVLPNLKFNVDANSVVLAPRGGQVRVGALSWGNKEEMEAIGREYDLIIGSDVVYHDNLYDPLLETLRYLFLDGEKVFLMAHLRRWKKESGFFKKAKKYFQVELIHEDGPSTASRTGVVVYRFARKDAVFR >KVH92356 pep supercontig:CcrdV1:scaffold_211:103266:103988:1 gene:Ccrd_005606 transcript:KVH92356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MPYDGESPTSSAVDCTLSLGTPATRLTADYDRVNERRPSANWSANFCWDILQNSPSQKPNRSGGNGGTTTAAGDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRANAAATVTTGGSEMAETHHHQLMMNGNHPWVHHTQATNKLPSCYSPAAAVKGNEFRFIDDVDDRDSPFLSWRLNVTDRAGLVHGFTR >KVH92355 pep supercontig:CcrdV1:scaffold_211:73526:86085:-1 gene:Ccrd_005607 transcript:KVH92355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nrap protein MAASEEYTDTMNLKVAELMKEVQLDYSSATAKTIDDAVSSIKECVNKIPEDIKVTADLAPKFIRDIGADKVEFKFKRPKSIQIGGSYSFQCAVKPDVNVDLYVRLPKECFHEKDFLNHRYHGKRCLYLCIIKKYLESSSVAKKVEWSFFQNEARKPILVVYPVVKSAGLPGFAIRLIPTADSLFNVQKLNMERNNLHVLSQGVSQATPVYNNSLLEDMYMENGAEFVRKAFSGWKALGEALILLKVWARQRSSLHTCDSLNGYLISIIMAYLASESGKARINTTMTAMQIFRLTLDFMVYQSGMLSVVMSNSPRLQGQSNAQGRLAVHTFFCFRIFKWTIVATSKLWGSGIFFKPQGGSDMSKEERKRYVQYFPAVICDLSAHFNVAYRMTKSGLIELQDEAALALRCIDKCKEGGFVELFMTKIDFPAKFDYCMRLNLKRKAEVSAQGLCLDNECWRLYEKKVHSLLQEGLGDRAKFVRVTWGNATSTCDINHGLKFRKFWGNKAELYQFKSGTRECVFWECKPAKRYLIIKWVTEYVLKRHLSLAEETIMHAVDQLDFSLVHDGGDLASDGSLLESFGTLSKRLRLLSDVPLGVSSVQPLDSAFRHTSVFPPRPHPCANGTKLEGSGNWPMDDLAIEKTKSAFLLRIGECLQKDYGMKYCPSEEGVDVFLNGYVFRLKILHERGLDLLNGQPDSYQVKRVSPTDKHLFLRSQHSSMINGLSGRYPLYGPVVQLAKRWVAAHLFSASFTEEAIELVVASLFHNPLPFSAPCSRIAGFTRFLRLLSEHDWTFTPLIVDINEDMTPDDEKEIDEKFTLSRKAYEEGTGGVTSAMYLATAYDKASEAWTSTSPSVSEVKRLAVYARSSSNLLTKLIMQGQLDSYGWECIFRTPLNNYDAVILLHRDKLSYPQRLLFPSELNHGKLVAQGNASKIFHPFLLPNGVTGTRKDLEDQLYINFDPLMCYVKRLEKRRREDVKKNVKITLRDVGEVGKGFVKSIYLLKAPRLAD >KVH92360 pep supercontig:CcrdV1:scaffold_211:157633:164098:-1 gene:Ccrd_005602 transcript:KVH92360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-3 MGKSVVLMVLWLTASATVCRSYGGVESGVGDVKAMARDAAGNMKMNAEGAITNVAEGLGLNQDDMKGAAQSAVDKAGEAASSSTSAMNSAASGQAMDMAGDAKEAMKNKAADTYDSAGDAEDTGMRAYAYISELAREALKTVQNLAGGAGQTAEEKGNDAYDAVSEGAGRAMNIGSGMANKAKETVNDKASDAYAYASDKTDQVIRMPTDNIKETVEGVVLEDKASEKAGEAKDKASEKAGEAKEMASEKADKAKDMASDLAGKAKDMASEKAGEAKDSFGEAMSHGKDEALDAYGAAKAKADDSYGAAKDLMNEQAQEIYVIAEEKASDAKNQAGDAYDAAKAKADDTYGAAKDSMIEAKEKASDAAGNIGEILRT >KVH87886 pep supercontig:CcrdV1:scaffold_2112:46337:52462:-1 gene:Ccrd_024800 transcript:KVH87886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase, regulatory domain-containing protein MEPLQEGLCEVEPQTTQVIQPTSIVDGKNVMIPDSTTNQDLLASCDEFDNDVGAIFPLPNSEFNSPLQVTPLFRSLAAGIPSPQFSESVGHGSSLFNAIFRAVGKINIIAEDLLGYNAEKLPLGKLSRATISKGYEVLRKVAVVIGQYDRKKLEQLSREFYTVIPHDFGFKKMREFVIDTPQKLKRKLEMVPSLSWRSRSFLCTM >KVI11959 pep supercontig:CcrdV1:scaffold_2115:65239:68678:-1 gene:Ccrd_009624 transcript:KVI11959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGNGVGKLTLCFTGTDIVHRRKDVAVYISDPLDDLGHSFCYVRPAQSRISSSKVHSAEETTTFRSISGASVSANTSTPLSTSFLDVYSYNTIDKASAFESSTSFASIPLQPLPRNSINSGPLPSGPYSGPIERGFLSGPIERGFQSGPLFSGPLEKGNHDQFQRSYSHGGFAFKRRSRKRHLIRVIQRAISRTFTTRGQNSTVAPITKNVGHLKDHNWIVGSSEKNNELTISSVNLSSEGSFFDDDECMNQNQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLWSNMYAAVHKELKGLLWDDQIDSSNSTSLVKSSDVVESHLDDQPSKNGLPSCVHQQESYPCATEDLETNSRNKRSRIRNRGAAKKWEENQRRWKCEFDRERLELDRRLREQMNPNGSNSINHSDVLKALSQGLKKTEESYLDIADQMLVENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLGQKSEPDLWRQDLEKINEETWYDLEVFDADIATTNPSLTACQLSMDHSTSIDEEVERIKNEHPDDAWAVMNDRVKGSLKVTRAFGADGLYQYFTNEEAVSEVELFIQWSPEGDPAQHLVEEVLLRIDFHELLEIPQGDRRRYHDDVSVIVISLEGRIWRSCL >KVI11961 pep supercontig:CcrdV1:scaffold_2115:45340:50786:1 gene:Ccrd_009623 transcript:KVI11961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMKPFHPPLVMVTDGYSGFSPPAIFRDGFDRNSLPVPDTVGTDKVYVAVGKSLEKAVSLFHWTFRRFRGQEICILHVHQPSPLIPTLLGKLPAAQANSDVVCAYRREEREEMEKLLLDYTSLCARSKVFFPLTISVVVDTYTAFVKACVVTTENDQVRKGIVDLVNEFGVRKLVMGAASENWMKVKKNSNKSSFVAKNAPPFCQVWFVNKGQLLYTKEPTDVYDALPPSIHQDSDTSDQSNTLRSQSLRYPSSTERELQEVYRRSTSTVGFISGNTSVMSKQGEGDCSYRLPSNTSSDSGYSSSTELDLRFEEESLYKKLEEVNIEAEASRNEAFQELLKRKRLEAQASEAYNKVKAYESAHAQEVEQRKIAEDELNAARRELEQLLEQREIASKELRNAMRNIAILENQVQEANRNREESAEELKLIQASIATLKIEKQTVQRQRFEAAKWLDRWKIRGQAGGASSTDGYTEATSTTGRLMEFSLLDLENATFNFSESFKIGCGRYGCSIYKGEMSNRTVMIKMLHPNNLQAQSEFQQEYMPRSLESHVSNKSKSYSMYWKTRTRIISEIANALLFLHTSRPKKILHGDLKPENIVLDSELSIKLCNFRFSTLVNEETLRCRSFRQYAEPNGPLLFTDPEFLQTGTLTAKSDIYSFGMIILWLLTGSRSAGLVNEVKKAVSCCNLGSILDALAGEWPSFVAKRLADLGLRCCESYARDRPVVSPILVKELEQLALLEERRVPSFFLCPILKEIMHDPQLAADGFTYEGDALRGWLKNGRETSPMTNLRLSHLNLTPNHSLRIAVQDWLCNP >KVI11960 pep supercontig:CcrdV1:scaffold_2115:1578:8231:1 gene:Ccrd_009620 transcript:KVI11960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MEDVERRRKRRDPWRLMGRATVSFVCVCFAQFALYLVSSFFFSYNIIILLFLSVMVLLGIAGLGRRCKRLFGLYGSAPAFVFANLLFIWCVYVVVIRQVVSSLLDMVVHAELIMLLFGLHRILSGDPGFVNCEHPCHEKPGPLSNSETYLEGMGPPTSDLYEGCPLDKCSLLLQRVRYCKHCDAHVKGFDHHCPAFGNCIGQNNHLLFMVLLAGFIIIEACYIVGASQIATTSRTLDTSGGKVGWTETLATSTMIFSLLQVLWQAVFLAWHIYCVCFNIRTDEWIHWKKYPEFQHIIQPEPGEAVTVTRFKNPYDKGIWQNLKEFIEARG >KVI11962 pep supercontig:CcrdV1:scaffold_2115:15408:17402:-1 gene:Ccrd_009622 transcript:KVI11962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEEGGWPLGLQPLNVRARNHDFSGSISCNTLLSGSPTLTSDSSSDLDTQSTGSFFHDKSITLGNLLGVSSIIELSRRSLRGGRRVLSETITLGNNRRSNPKPKTWCFNLCLCPRDNVEVDIARNNTVPLGHFLEVERRTAHEQRRGHHGPLIYGPDEIALAQPFGELSNSLFVDGRIAPPTHSSPWSGSESNGRKEGRRKRTPCF >KVI11963 pep supercontig:CcrdV1:scaffold_2115:8797:9258:-1 gene:Ccrd_009621 transcript:KVI11963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MSIIPTFFGGRRTNVFDPFAFDIWDPFDHILNIPSGSRETSAFVNAQIDWKETPEAHVFKADLPGVKKEEVKVELQEGRILEISGERSREKEEKNGKWHRLERSSGKFLRRFRLPDNAKVDQVNARMENGVLTVTVPKQEVKKPEVKTIHISN >KVH97031 pep supercontig:CcrdV1:scaffold_2116:59723:61083:-1 gene:Ccrd_000876 transcript:KVH97031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGSFLCALLSPSSAGLGGTDAPQVRSRKSMSNERTFSATKDEAFFYQKLGQIHLKSEKDIKLGD >KVH97030 pep supercontig:CcrdV1:scaffold_2116:79480:82206:1 gene:Ccrd_000877 transcript:KVH97030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MTGCDERTPEPKPRWNPKPEQIRILESIFNSGMVNPPRDEIRKIRARLQEYGQVGDANVFYWFQNRKSRSKHKNRHLQKSQNNQTQPGVTKAATTSSSSSSEKSSSKSIEFLLNSPTASVNQQPQAYIGSHHHHHGGFFQEPLFFPVMQQPPPTPTTTSFTQEFCFPNLSTMINQENHHGTDDPTVVSSSGMLLTDLMISQQYGIPTKNSKSKDVGDENIMKMLSHGTTPPPAAPTSIIPPATTIIAPSTITDIQGVEEVGTGKTIVFINDVPFEVAIGPFNVKEAFGDDAVLVDSSGQTVVTNEWGLTLQSLQHGGFYYLVRSMTYDHAGTMDLSLNQSFHINTEYSVLLARVDELQEPNYTLYFANRTLLVC >KVH97029 pep supercontig:CcrdV1:scaffold_2116:55667:59487:-1 gene:Ccrd_000875 transcript:KVH97029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class I, conserved site-containing protein MLLIYQASEGLDFADHADDVNCFVEQEDNWRLKDQIANVFEVSLKVTNVPDELEVAPVIAACAKKEFGDYQCNNAMILWSKIKGKGTEFRGPQLVGQAIMKNLPTSDMIESTSIVGPGFVNVKLSRQWMEKSIQKMLKDGIETWAPKLPVKRAVVDFSSPNIAKELHVGHLRSTIIGDTLARMLEYSKVEVLRRNHVGDWGTWVHAIDLHVYFFLVFQSLFSSHLCVVYSANL >KVI07139 pep supercontig:CcrdV1:scaffold_2118:41838:47118:1 gene:Ccrd_014502 transcript:KVI07139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MFGLKGPSGFSRFSTAEEVTQGIDGSGLTAIVTVPTEKPILSGASSGIGTETARVLALRGVHVVMAIRNMSAGREAREAILKQNPTAKVDAMELDLSSIASINNFASDFKSSGLPLNVLINNAGILATPYILSKDKIELQFATNHVGHFHLTNLLLETMKKSACESSKEGRIVNVSSRRHQFSYHEGIRFDKINNQSGYNGLSAYGLANIFGKYVLKNVHQGAATTCYVALHPQIEGISGKYFVDSNLTEPSSQANDPQLGHKLWDFTAHLIKENSPRTHQDS >KVI07141 pep supercontig:CcrdV1:scaffold_2118:88051:90848:1 gene:Ccrd_014499 transcript:KVI07141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibrillarin MRAPIRGGRGGGGFSGGRGDGSGRGGRGRGGFSGGRGGFGGRSAGGDSGRGRGGGRGGGRGGGRGRGGRGGGMKGGSKVVVEPHRHEGVFIAKGKEDALCTKNMVPGEAVYNEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWMKPGSKVLYLGAASGTTVSHVSDLVGPARILALNASYFLKSGGYFVISIKANCIDSTVPAEAVFASEVKKLQAEQFKPMEQVTLEPFERDHACVVGAYRVPKKQKSAS >KVI07137 pep supercontig:CcrdV1:scaffold_2118:61817:65765:-1 gene:Ccrd_014500 transcript:KVI07137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSINNSVETVNAAATAIVSAETRVQPTSVQKRRWGSCWSLYWCFGSYKQSKQISHALLVPESTAPEPAASMTQNVNNSNTVVFPFIAPPSSPASFLQSDPPSASYSPVGLLSFKSLSQNPHSQGVASSIFTVGPYAYETQLVSPPVFSTYTTEPSTANFTPPPESVQITTPSSPEVPFAQLLTSSLARARRHSMGSNQKFPLSQYEFQPYTGSPGGGLMSPGSTIPNSGTSSPFGDKQRVLKLRVGEDQKFLGYEYFSTRKWGSRLGSGSATPNGVVSTLDSGSATPYGLGSRVGSGSLTPNGWGSRLGSGTLTPNGGEMYLMESQISEVASLANSETGSPKGETLIDHRVSFELTRVDVTSGVENNLESSFRTSSGHERVDEEGTTDDKTILKKTESLCEYCIRRKNSSDIPLGEEGKEKQCYCKRQSVSRDFNFDNTNGGVVEGKPAIGCEWWTREKTVGAELGSQNNWTFFPMLQPSVKPS >KVI07142 pep supercontig:CcrdV1:scaffold_2118:11492:19098:1 gene:Ccrd_014505 transcript:KVI07142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGSEVEVIEDRVEEFNDVENTIFVAVGKNVKESKSLISCALQCFARMKICLLHIHQPGQLVTLLDDKFSASRVKDQVVKACRERDRQKMHKILNEYNLILDQAGVFFILLILKVKAGKVWIEAGKVETGIVQTIVQHGIRWLVMGAAAEKLYSKESSGGARLHTRSIKALPSSETALTLYHEADASMEVSSLQCLEKATSAKNGTSDSLLVLAIDADEEVNSARCLENSMVSTKNVYGTSTSTSLLINYARGNGSTLPASYESQDQLVQSCSAMFLEGPQGLSSSDLLDKLEYATTEAENSKQKAFEESIRRWKAEEDAKEAIHKAEASEKLCMEEITQIKEMEATLVKQNRELETMKNQQDEFKKELQMVRDQKPGLVDRISKARDAEKELEEKIIQAVNLLITFKERRDNLQDELDNARRQINGLANYDETTNVSQIQFYKPSFLEIMEATQDLNQSLKIGEGRCGSVYKGILRHVRVAIKMLPSLGSQGEAEFEREAEVLSRMRHPNLVMLIGVCTETRSLIYEYLENGSLEDRIASRSTTPPLPWQTRIRISSEICSALIFLHGSKPHILHGNLMLTNVLLDSNYVSKLSDLGINRLIFCKDQTSSTSFYHNVEPEVSAYMDPELLKSGKLAASSDIYSFGVVLLRILTGRPASSVVNDTRCALENGNFGTILDSSAGNWPIEDATQLAYLGLRCCENDPMNRPDLVNDIWTEVMEDPYIAADGFTYEADAIKGWLNSGHKTSPMTNLKLDHCDLLPNHALSYAIQEWQQQHS >KVI07136 pep supercontig:CcrdV1:scaffold_2118:25068:30658:1 gene:Ccrd_014504 transcript:KVI07136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKLLGTSSADAMKAEEGSDSLDTFIRQAVGKEPLFSFSRTGDSPMQWIQLLHALDQQDLPGWPLLTPMKVQMQKCDKCAREFCSPINYRRHIRESQKYRDLLGAFWDKLSYEDAKDIMSFKDVNLEEVAGSSIVRNITANLRKPIFLSLPQVYVKAGSVLVDIIQGRPSRLPISSQELFSILDDASERTFLSAGTAESLQKFIFDGEAGKIGLEIKNLIACTSFLAEQRLVKAWLADKDAEALRCQKLLVEEEEAAQRRQAEILERKRQRKLRQKEQRARDQSNEVKGDLYAASDVFETTPSTETSSLPTSSGVDLLIPDEDVLMPLETIQFSNNEEDVNIESQGGCSSDHSDSTAQNNTKHPKAQTNDLHHLVTRWQVPKLQKGGRNGFHGNQNVNTLKREQSHKHREQRAPLVNSSKIWTKKPKPENGGELVKSRVQNDAINQSNCQLMIGSISVTVRSPSQHQANNQANEVQESGNMEVKKSSVQTGTNRSTVKIWRPRHDSRGQLADSRSNKVMEEDAKGDIEIPTATESCCQSNDETDECQPLPFVEDGGKPEAMLFSVDAAKAFLAQRWKEAISGDHSKLVLAEPPGEGDGHESQERAENRIVSMESSSHNTNVRGKFATKGVKTKYIPKQRGGGN >KVI07140 pep supercontig:CcrdV1:scaffold_2118:35650:36381:1 gene:Ccrd_014503 transcript:KVI07140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPSDNIVLRQETKHKQSEQPPIKKSPLRRGILSFQQLNALALVVVLSASGMVAMEDLIFVVLSFFYMFFLSKVAFPTLSPSHNKPVFDDDNHLLSLYCFLGAVVGLFLPVAYIFEGILEGDKEGIKAATPHVFLLGSQLFLEGVAFSDRFSLPMRALVPVVYNSTRIFTVMEWVKSEISKVEGSGSFRGSHRRLIMGRVLAIANMVYWSFNLLGFLLPVYLPRAFKRYYSDPKMSKIN >KVI07138 pep supercontig:CcrdV1:scaffold_2118:45596:50255:-1 gene:Ccrd_014501 transcript:KVI07138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MPSWWGKSSSKEAKKKKTSKESLFDTFRRFRIPSDNKSTNRSCGSRRACSDTFSEKGSLSRVESRSVSPSRETKHVARCQSFQHRPQAQPLPLPALLPLHVVSNEPGISVSGKPRQEKGSKSSSFLPLPHPECMQTRPDPADYDGADSVSSECYSDSDDPPDSSQRSPLASDYDTGSRTTAGSPTSMIVKNQSHVTKIHSKDAAKPRDNLFNNHISSSPPRRKPLSSHVPSLQVPCHVAFCSAPDSSISSPSRSPLRAFGTEQVINSAFYAGKPNLDFPLLGSGHCSSPGSGQNSGHNSMGGDMVGIFWQPSRGSPEYSPLPSPRMTSPGPGSRIHSGAVTPLHPRAGGAANESQNSRPDDGKKQSHRLPLPPISVTSSSPFSQSNSAATSPSVPRSPGRAENLTSPGSRWKKGKLLGRGSFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESAKQLGQEVSLLSRLSHPNIVQYYGSEMASSLFASSFVDDKLYIYLEYVSGGSIYKILQEYGQLGELAIRSYTQQILSGLAYLHAKNTIHRDIKGANILVDPNGRVKVADFGMAKHITGQTCPLSFKGSPYWMAPEIIKNTTGSNLAVDIWSLGCTVLEMATTKPPWSQYEGVAALFKIGNSKELPAIPDHLSDEGKDFVRQCLQRNPQHRPTAAQLLDHCFVRNAAPLERPIPCPEPSDPPPVITNGVKSKGIGHPRSRRALDTDRHTNHSFRIPKPGFSSSDLQIPRNISCPVSPIGSPLLHSRSPQHINGRMSPSPISSPRTTSGASTPLTSGGGSIPFHHINHSHYFSHNPYGNGMPAPTVPDIFRAKQPETRGYNPANQLRGLTQERQGGHAVLADSNPQDVIFIVVLKSSCSKVDFSLHIMGARSQVIIKASD >KVI01645 pep supercontig:CcrdV1:scaffold_2119:51987:52332:-1 gene:Ccrd_020078 transcript:KVI01645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPQRNTWYVRDRTDSQEIINFQSFPPVFTPARHVVGPQCDPVSVLLRCTRFISLTQKEGRSGKEGALASEFRWHLSFTPLFDCRSGIYVSLSGSLAPLVISFYVMSLSSP >KVH95193 pep supercontig:CcrdV1:scaffold_212:125325:127274:1 gene:Ccrd_002738 transcript:KVH95193 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MEHQQLVETTSNYPSSSSSSSSMKSNDVKSFKFPLTIWEMMGASGVVFGFAVGFIGVYLSLPDSDYSFLKLPRTLEDLRLLRDDLEQYTSDYTIQVLLAYCTVYIFMQTFMIPGSVFMSLLAGSLFGVLKGVAIVVFAATAGASSCYFLSNLIGRPLILSLCPEKLVFFQNQVAKRESRLLNYMLFLRVTPTLPNTFINVASPIVNVPYHTFFLATSIGVVPVAYVAVRAGTTLGELRSVGDLYDIQSIGILFFVGLASVIPTLISNKTKS >KVH95175 pep supercontig:CcrdV1:scaffold_212:188293:243659:-1 gene:Ccrd_002745 transcript:KVH95175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MSSQQNIRKKLFVLSSVVVAISNLDEKMWRLKIANGVNNPYLYSTNNFVGRQTWEFDPNYGTPEERNEVEKARLHFWDHRHEVKPSSDVLWRMQFLREKQFKQTIAQVKIEDGEDINYEKVTTTLRRSVHLFAALQAEDGHWPAENAGPMYFIQPLNEDGGWGFHIEGHSTMFGTTLSYICMRLLGEGPDGGLNGACTKARKWILDHGSATTIPSWGKTWLSILGVCEWAGNNPMPPEFWILPSFLPMHPDPNGVCFKKHLARIPDYIWVAEDGMKMQTFGSQGWDASLAIQALLASDLIHEIGPTLKKGHDFIKASQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDSTADGLKCCLLLSMMPAEFVGKKMEPEQLNNAVNVILSMPILNPTEFFADIVIEHEYIECTSSVIQALALFKNSYPEHRSKEIDSLLTKAGEYIEKIQMSDGSWYGNWGICFTYATWFALGGLAAIGKTYENCQAVGKAVNFLLKTQLXDGGWGESYRSCIEKVILQQKQAERDPTPLHRAAKLLINSQLKNGDFPQQETSGVFKQTCLLHYPLYRNIFPMWALAAYRMERGVCFTYSIWWALGGLVAIGKTYENCPAIRKEAGGAFKKNCILHYALYTIEGCPPNVGSSCLSKQVELSRRYVSLHYALYTIYYRGMSTQSAIAISNLVEKMWRLRIADGGNNPYLYSTNNFVGRQTWEFDPNYGTIEERDEVEKARLHFWNHRHQVKPCSDVLWRMQFLREKQFKQNIAQVKIDDGEEICYDKVTTTLRRSVHLFAALQAEDGHWPAENAGPMYFIQPLVICLFITGHLNDIFPSEHRKEILRYLYCHQNEDGGWGFHIEGHSTMFGSTLSYICMRLLGEGPDGGLNGACTKARKWILDHGGATAIPAWGKTWLSILGVCEWAGNNPMPPEFWILPSFLPMHPAKMWCYCRLVYMPMSYLYGKRFVGPITPLVLQLRDELYAQPYNKINRKSIRHLCAKEDLYHPHSSLQELLWDSLYIFTEPLLTHWPFNKLREKALQTTMKHIHYEDENSRYITIGAVEKALCMLSCWVEDPNGVCFKKHLARIPDYIWVAEDGMKMQGTNSQVWDASLVVQALLATDLPHEIGPTLKKGHDFINASQVKDNPSGDFESMHRHISKGSWTFADQDHGWQVSDCTAEGLKCCLLLSMMPPEIVGKKMAPEQLNNAVDVLLSLQSKNGGLPGWEPAGSSKWLEILNPTEFFVDIVIEHEYTECTSSAIQALVLFKKSYPEHRSKEIDSFLTVAGEYLEKMQMSDGSWYGNWGVCFTYATWFALGGLAAIGKTYENCPAIGKAVNFLLKTQREDGGWGESYQSCTKKAKRDPTPLHKAAKLLINSQTRNGDFSQQETSGVFKQNCLLHYALYRDIFPMWALAAYSVVAISNLDEKMWRLKIANGVNNPYLYSTNNFVGRQTWEFDPNYGTPEERNEIEKARLHFWDHRHEVKPSSDVLWRMQFLREKQFKQTIAQVKIEDGEDINYEKVTTTLRRSVHLFAALQAEDGHWPAENAGPMYFIQPLNEDGGWGFHIEGHSTMFGTTLSYICMRLLGEGPBGGLNGACTKARKWILDHGSATTIPSWGKTWLSEDLYYPXHLLQHLMWDSLYIFTEPLLTHWPFNKLREKALETTMKHIHYEDENSRYITIGSVEKALCMLACWVEDPNGVCFKKHLARIPDYIWLAEDGMKMQTFGSQAWDASFAIQALLASDLINEIGPTLKKGHDFIKDSQVKDNPSGDFKSMHRHISKGSWTFSDQDHGWQVSDSTAEGLMCCLLLSMMPPEFVGKKMEPEQLNNAVNVILSMPILNPTEFFADIVIEHEYIECTSSVIQALALFKNSYPEHRSKEIDSLLTKAGEYIEKMQMSDGSWYGNWGICFTYATWFALGGLAAIGKTYENCQAVGKAVNFLLKTQLKDGGWGESYRSCIEKETSGVFKQTCLLHYPLYRNIFPMWALAAYRKKLKFNPHRYRYTYTLTLILCGYLFELQQLKSYRKIMWRLKVADGGINPYLYSTNNFVGRQTWEFDPNYGTSEDRDEVERARLHFWNQRHEVKPSSDVLWRMQFLREKGFKQTIPQVKIEDGEEISYEKATTTLRRSVHLFAALQADDGHWPAENAGPLYFMQPLAILTASSQQNTEKKFLDTSTVISKNVVFLPTGIHADVVPIWEKEDLYYPHSLLQDLIWDSLRIFAEPLLTRWPFNKLQEKALETAIKHIHYEDENSRYITIGSVEKALCMLACWVEDSNGDYFKKHLARIPDYLWVAEDGMKAQGFGSQQWDASLAIQALLATDLTHEIGPILKKGHDFVKASQVKDNPSETVGKKMDPEHLNDAVNLILSLQASINGSTALNFIIPSNPPLPFLGKTGRKSKNGGLSLWEPAGSSKWLEGMVLFNKLYPEHRREEIESLLTDAGGYLEKMQMPDGSWFISDPFRSRWSFQEELYLALCTIHYTP >KVH95176 pep supercontig:CcrdV1:scaffold_212:165343:170581:-1 gene:Ccrd_002744 transcript:KVH95176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene cyclase MWRLKIAEGGNDPYLYSTNNFVGRQIWEFDPNYGTPDERDEVEKARLDFWNHRHQVKPSSDVLWRMQVSPSFFNFYHFWVYKIKFKIRSKMSLEHKYAVFKRERTIPQVKIEDGEEISYEKATTTLRRSVRLFAALQADDGHWPAENAGPLYFMQPLVICLYITGHLNSVFTTEYRKEILRYLYCHQNEDGGWGFHIEGHSTMFCTTLSYINMRLLGEGPDGGLNGACTKARKWILDHGSVTTIPSWGKTWLSMLGVCDWAGSNPMPPEFWILPSFLPMYPAKMWCYCRLVYMPMSYLYGKRFVGPITPLVLQLRDELYAQPYNKINWKSIRHLCAKEDLYYPHPLLQDLLWDSLYIFTEPLLTRWPFNKLRKKALETTMNHIHYEDENSRYITIGSVEKALCMLSCWVEDPNGVSFKKHLARIPDYIWVAEDGMKMQSFGSQEWDGGFAIQALLATDLTHEIGPTLKKGHDFIKASQVKDNPSGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLLSMMPPEIVGKKMDPEQLKDAVNVLLSLQSTNGGLSAWEPAGSSEWYVECTSSAMQALVLFNKLFPRHRSKEIVNFLKGAGGYLEKIQMPDGSWYGNWGVCFTYGTWFALGGLAAIGKTYENCRAIRKAVSFLLKTQREDGGWGESYKSCPQKAERDPTPLHRAAKLLINSQMENGDFPQQEITGVFMKNCMLHYALYRSIYPMWALADYRNQVLPKPTANIQKS >KVH95189 pep supercontig:CcrdV1:scaffold_212:147629:152638:-1 gene:Ccrd_002742 transcript:KVH95189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad1/Rec1/Rad17 MLGIETEKRRDFGGKGMSSSVVEPESPDLVCELDNVQGMVDALTSVRWKRHQDAVLELSEHGIVLIVEDTGCLQAKVYLQRELFVRYEYSAQGQPRFGVSLGLFVDCLNTFCIPGHSSTIEFQYPGPDMQLLLKSTDSLSACTYAEIRTRIPDTISWDYNFVPAGSIPINFTVKSAALKEAIDDLEWPGSSIQIILQPAPPSVTFKAEGHGDLQIDFMYYANTDLLAAFNCDQIVSHRYKYKFLRATTSNIPSSVIKDNRGSKVTIGRGGMLKVQHLVSVARPSNSHPHIDSAGYQQPSRIAYIEFFVKPEVDEEN >KVH95191 pep supercontig:CcrdV1:scaffold_212:98502:118782:1 gene:Ccrd_002736 transcript:KVH95191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1399 MSVSSPATRTLSEASEEEVMRLSLDLVAAAGQNIGFLRDVAESHSLHHTPVLNEAVRRYDELWMPMISDLTAESGKPPMILPPLDIEWVWFCHTLNPVVYRQYCESRFLKLIGKSAIFNQENRDYALERCREIWVAKYPSEPFENESDSGDLRNAKILQTDHLLGEVSKQRHLLTKFSKPYMLELVYLIAAKKRYKDFLFILQRHADSCSAFSYPTTYRMDVNEMEGSMEKVIESEESVNEKALEAMEQLWERAFDQPYEKSGCPAIGGGDDGLKPPPIHWEITEIDANIKYRTLLPRFLLELLRCHRDFKINSPVSSIPSNSWQKTVHLYCEFGTKGIVVELRRKGGVCIKGSKRIEYKTFMWNELLRAPSITLDGVIGGRARILASITPPAQAPYLLKCVPDRVTDDSGAMVSEVVLKMNQYRPQEGRWLSRTVLDHAGRECFVIRMRVGGGFWRRGANKPTAVKWEDRCVEVREGSWSYIAGSIGKAPEKVIATATPKTPSQGWHASWSFSTGHELFIRSGSSTTTGMRRKMQYQHEENDDEQGFVTLIRFTEENPSGRATGLLNWKLSAVEFLPEEDAAFVLLLSTSILRSVTEMRREDVGSLLIRRRLKEAKHGAQDWGSVIVLDGSVNSAHVRPWYRNVKAVMAREGVDYVTKNYSAEECSDELYMQALFGNVNFSDGQTGPARPDPRPCLELVNRTEALKL >KVH95194 pep supercontig:CcrdV1:scaffold_212:128838:132325:-1 gene:Ccrd_002739 transcript:KVH95194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MMSRSYTNLFELASGESPLPSSGFSRAGKKLSRVATVPGVLSELDDEGFSSDAPSSVSQDRMIIVGNQLPLRVHRRPDGSWNFSWDEDSLLLQLRDGLGEDVEIIYIGCLREEIELKHQDCVAQDLLENFKCIPAFIPPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHLKQLRNVLDLPETESKVAELRDRFRGQAVLLGVDDMDIFKGISLKLLAFENLLTQHPEKRGKVVLVQIANPARGRGRDVLEVQSETHTTRERINRKFGRQGYEPVILINNPLQFYERIAYYVISECCLVTAVRDGMNLIPYEYVICRQGNDKLDETLELNPSIPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALVVAEAEKQMRHEKHYRYVSTHDVAYWARSFWQDLERSCRDHVRRRCWGIGFGLGFRVVALDPNFRKLSVEHIVSAYKRTKTRAILLDYDGTMTLQNSISTSPSPEIIGMLNSLCRDPKNVVFLVSGKDRVTLTEWFSSCEQLGVAAEHGYFLRENKDAEWETCIAVPDFYWKQIAEPVMKLYTETTDGSTIETKESGLVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQNIVEGINKGLVADRLLTTMKERGMVPDFVVCIGDDRSDEDMFEAITRAMAGPSLSPVAEIFACTVGRKPSKARYFLEDTIEILRMLQGLAAASDMHSLKNVTKGFQKVVIE >KVH95187 pep supercontig:CcrdV1:scaffold_212:142704:145530:1 gene:Ccrd_002740 transcript:KVH95187 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G32340) UniProtKB/TrEMBL;Acc:Q9LQM5] MRRGGRNYQKNVDENWSFRPLHYRDLHQQTRSNPKSSSSEPSTSSTSTPISDYIPKTPKPPRNRRNSSWVSRNRGSGAQFVKKSELGSSDFHPKQGEKEEQEDLIEGFDAKKDDVDEDDVVRRLERLKLCGEEPNLSEELQRTNDQLQLDEVLAMECIYGENMFILDKQSGLQILQFHVHIHTSEELTISTKLNSSQDLNTNPDNLQDFSYSFEVRYLPPIVLTCLLPRSYPTHHPPYFTISVQWLDCSKISSLCSMLDSISKEQSGQEVIFSWVEWLRTSALSYLGFDKEIFLGPYGVKHHSDPRAISGCISPDVDIPSLKSYNEEQRLEHFRKSFHECCICFDEFAGTEFIRLPCQHFFCEKCMKSYSDVHIEDGTVNRLCCPSTKCGGMIPPGLLKRLLGDEEFEKWESLTLQKTLESMSDVVYCPRCETACIEDEDQHAQCSKCFFSFCTLCRERRHVGITCLTPEMKIRILQERQSSGQMKDEQRRREQEMIQELLSVKEILRDAKQCPSCKMAISKTEGCNKMVCQNCGKYFCYRCNKAIDGYDHFRSSCSSLSAAFVFKINDDEL >KVH95182 pep supercontig:CcrdV1:scaffold_212:383852:385219:1 gene:Ccrd_002754 transcript:KVH95182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSADQEVKLDDEQLGELREIFRSFDRNNDGSLTQLELGSLLRSLGLTPSPDQLDALIQKADTNSNGLVEFSEFVALVAPELLPAKSPYTDDQLRQLFKMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGRINFQEFSRAITSAAFDNSFS >KVH95185 pep supercontig:CcrdV1:scaffold_212:249666:253279:-1 gene:Ccrd_002746 transcript:KVH95185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MSSRSPKALELQQGRGPIPPQVTFARRTSSGRYVNYSREDLDSEIGSAEFMNYTVHIPPTPDNQPMDPSISQRVEEQYVSNSLFTGGFNSVTRAHLMDKVIDSETSHPQMAGAKGSSCKIPGCDGMVMSDERGVDILPCECDFKICRDCYIDAVKTGDGICPGCKEPYKQTDLDQNSVDPRQPLSLPSNIPSSKMERRTSSKMERRLSLMKSTKSALVRSQTGEFDHNRWLFETSGTYGYGNAFWPKDDGLSNERTGVTGAEQLELLNKPWRPLTRKLKIPAAIISPYRLLALIRMVVLGLFLHWRITHPNEDAIWLWFMSIVCEIWFAFSWLLDQLPKLAPVNRATDLNVLKEKFETPSPQNPSGKSDLPGVDVFVSTADPDKEPPLVTANTILSILAADYPVDKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPFKNKVRQDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYNAREEIKAMKLQKETAGDELLQPVKVPKATWMADATHWPGTWMMASPEHAKGDHAGIIQVMLKPPSDEPLNGTDGEVNPLDFSEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFMLNLDCDHYIYNSQAIREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGTLFRRTALYGFDPPRSKEYHSGFCSCCFARRKKSSVASAPEENRAMRMGDSDEEDMSLSLFPKRFGNSSLLIDTIPIAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASSKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLDVTFLAYLLAITLTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGDEDDEFADLYIIKWTSLMIPPIVIMMTNLIAIAVGVSRTIYSAIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGANEIGGSFQFP >KVH95186 pep supercontig:CcrdV1:scaffold_212:145543:146333:1 gene:Ccrd_002741 transcript:KVH95186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDGACELFPQQEVRNWEEQMNPRQVMGQIQAELFADRGHSCPQCGQINVKVGNNNHIFCWACQSHYCYLCRKMVRRSSEHYGPKACKQHTLG >KVH95179 pep supercontig:CcrdV1:scaffold_212:319855:326015:-1 gene:Ccrd_002750 transcript:KVH95179 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MKAGTLNDTTCVTSLMTRSSGDALRDSASCGVRSFGNPNIHARSGGVVNGRDTMSKQKVEKFDTTDLDWTDRMPECPVYFPSKEDFEDPLAYLQKIAPEASRYGICKIVSPLSASVPAGMVLMKEKAGFRFTTRVQPLRLAEWNTDDKVTFFMSGRNYTFRDFEKMANKVFARRYYSAGCLPATYMEKEFWHEIACGKTESVEYACDVDGSAFSSSPNDQLGNSKWNLKKVARLSRSILRLLETTIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAAKTWYGVPGHAALDFEKVVRQKVYTHDILSTEGEDGAFDVLLGKTTLFPPNILSQHGVPVYKAVQKPGEYVVTFPRAYHAGFSHGFNCGEAVNFAIGDWFLMGSIASRRYALLNRTPLLPHEELLCKEAMLLSSATDYEDLNYSSTDLASQISIKASFVNLIRFQHRARWCLMRSRGCMGVSQHSHGTILCSVCKRDCYVAYINCNCYLHPVCLCHEFKPLDLPCGNNFTLSVREELLDMEVVSRMFEEDNDIVHEVQQQISNGTNMILLSKLYPLTEEDEYDPYCKIDFDPNCNLKQSVPEIMDTAETCFLLDHLQGDTGSSTRNLVPTNSSKDMATEMHEASPIIDESPRSNIHVDVKRTCHYSDDSDSEIFRFKRRSSLKSKHRNATNSVPSKLEHQGLKRLKKVQTERYGHSSAPGYLITGKDCVSKERFGKGTMTSISVNYKKLGNKEAVSKHGEHQRDHGFYKQGKGTRERERPPLETCPKRLKVKGPSITGLEHRFL >KVH95192 pep supercontig:CcrdV1:scaffold_212:119364:123579:-1 gene:Ccrd_002737 transcript:KVH95192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTSIQFSKVIDYKTQQSRLFPLLASVYAFRFVNIITSSSYLSNDLEDKTPLDDHVFQKYSSLKAGHPVLRVEET >KVH95196 pep supercontig:CcrdV1:scaffold_212:273521:283972:1 gene:Ccrd_002748 transcript:KVH95196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase, catalytic domain-containing protein MASKWVKVSDSGSRVIVDSKRKRVSQRQAQIVRASNRALAVRPDLASVLKKTGKRKRDDGCMSKCCSSFRKTILKNYSNFMKSGLPHRLLFSQDGQWTDFSQDVIDLVKEDFLAKKGATEVKFNGRHLMLDILHMIEVDLKTGVQKPIAWIDDTGSCFFPESYSSCHESHQCNKSEAMKDLELVEQESSMTPEINLHIEIDLNGPSSSNFEECIEESNVKRIKRDQEGYTDLQDRNLYNNRNQLGAKTDQLVEKTQQSDEDASPICESICRTLDPETVGSMFSRALSPALKVEIIDVKKCSGGIMEARLELFQKQIEITQKLRAKANVQYAWFASPTDALSSTVVYGLGHDGTKLGRYGYGVHLTTVDSAQNSATICDVDEKGVRYMVLCRVIVGNMELVFPGSKQFCPSDECFDTGVDNLQNPNHYVIWNMNMNTHIYPEYTVSFKMSPSAEGNLIVEGSRVDLSRVTTQDPQGPMQIDSSPSKLGMNGPQFQSVKGMSMEKVPSVGSSTSRAPNSPWMPFSKLFEAISDKVAPEDMRLVHVLYESLRGKKTSLSSPGLDLTVVWYLAQRQKLRSGCKKLEVVKNFKVSRSVCLVKIWAFICSVRLVFCFYFCLD >KVH95190 pep supercontig:CcrdV1:scaffold_212:78545:83383:-1 gene:Ccrd_002735 transcript:KVH95190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMGSSLRHFIKQRQCDKTLLHSLLISPLSIRPLSSSSETAKPDGLYASFFCTLIHLFLSHNRLSKAANAFSAMRNYNFVPESVPSWNRLLREFNNSGMVSEVWFVYTEMISCGVSPNVTTRNIVLHSLCKVGRVGLALDLLRDTSFGESDVVSYNTVIWGFCKYGFVEQGFGVCSEMIKKGFTFDDYTCNILVKGFIDTGLLGYLESILDQFGYKGVGYVDVVGFNTLIHGYFEAGNANAALELFNRMRKEESFPDIITYNTLINMFCKMMNFAQAKSIFDELMLPQKNGGSDLEVSIDSNDDIGKDDYVDMKPNVITYTALISGCCKQQGLEEALTMYEKMLMDGIAPDVVTYNSLIYGLCKHGRIDDAQALFKEMKRVGVDPNHITYSLFLDLFFKTKNAEVAHGLQSQMVVRGISFDVVVFTTLMDGLFKSGRSSEAEMMFRSLLASGQVPNCITYSALIDGRCKSGYLDDVESALQEMECKNVSPNVITYTSLINGFLKFGMLDAALGIFKKMVGQRVMPNIFTYSSLIDGYFKARKHEVAISFYEEMKLSGLEENKFVLDAFLNDYKRKGRMDEAGSFFEKMISQGVLPDSANYTSLMDGYFKAGEESAALRMAQEMTQKNLQFDAVAYNVLVNGLLGLGKYEVQSVYTGIKELGLAPNLETYNTIIAAYCREGKLENALNLWKEIKSLGLVPNEITSNAIVGGLCTKGNIDEAMDLLNEMASLGFHPSSTMHKLVVDAASKSKRGENILLVHERLVAMGLKLTQKVYNTLIATLCRLGMTRKATSALQDMKNSGLSADTVTYNALIHGYCTSSHLKRALHTFSQMLVEGVSPTISTYHTLLRGLSKAGLMHEAVKLLNDMLERGFDIDSDVYNIFVSGHGRIGNKKEAIRLYCEMISKGFVPQTSTYNVLISEFAKVGMMSQARELMNEMQVRGVPPTSSTYDILITGWCKLSMRAELERALRTSYESKAKILLNEMNEKGNLLDRDGEDEPNGKGAPELMLSASMRSAIEQVASMLGARVCVAVGGPNKFLFSHVSRTKQELPDSATDLRPPGSLQQLLKLY >KVH95178 pep supercontig:CcrdV1:scaffold_212:372997:377235:1 gene:Ccrd_002753 transcript:KVH95178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MALLFSFHRPSLLFENSSTATTSTPTSSILRPSAPTSLSFSLRPRRIKSTSIRFSSNKPPANSGWNXVDQTINDDEESDGLQSPKVTDEWGEKSEGEIEPLTRLSTSDPPKDDDEWGTGATEQSDQFSASGNGSPVVEATAVEGNSELEELKRCLVDSVYGSGLGIRASPEERAEVMELVTQLEAANPTPAPTDAVELLDGNWVLLYTAFSELLPLLAAGTTPLLKVDKICQEIYTSSLAIDNSITFSTPFATFTSTASANFEVQFKEGSFQPPKIKSNVSLPQNVDIFGQNISLSPVQQPLDALQEVVVNLAGVISGQPSLKIPIPGERTKSWLLITFLDKDLRISRGDGGLFVLAKEGSPLLDQ >KVH95195 pep supercontig:CcrdV1:scaffold_212:299930:304010:1 gene:Ccrd_002749 transcript:KVH95195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein LTHFHNLLSQNTNTATNIYPYQHHQNHHQGFSSELGFLRPIRGIPVYYQNTPPNFPILAHPHQKKQPLLDSCTNSTITSSLIHGNNINNIVRSRFLSSRFPSKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKTTDRAPASSGQSDIYENGSSGDNSDDIMFDIRNPRSTELSAEHGGRSSVPQEKDCQYGLWSNSSREAWLHGEHRDSEGNKPFLEVDMIS >KVH95181 pep supercontig:CcrdV1:scaffold_212:390250:391171:1 gene:Ccrd_002756 transcript:KVH95181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQSLSSPASKHGIVVGAGVIKDDNISKLTPEDLQNHRKEKLAPSAADDEEHQSEKPHQPKSMTFSSLRLIHLIPLLTLFCFVILYLASHDPSGKDLAQFDGFTTLSSKKNVIDSSDFLIEKNDAMAIGGTRSLQQQEVNKRRRFNRRSGN >KVH95188 pep supercontig:CcrdV1:scaffold_212:156900:162276:1 gene:Ccrd_002743 transcript:KVH95188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MEARSYSNAAASAPDAGSSAPPPAPPPMSTVNAPPPFLVKTYDMVDDPSTDKVVSWSATNNSFVVWDPPEFARDLLPKYFKHNNFSSFVRQLNTYVLCRSPCSLNFKHRCLSLLKTPVKDVLIEASNKQGFRKVDPDRWEFANEGFLRGQKHLLKSIVRRKSASGHNQQPPQPHAQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDNQMQSMVQRLQGMEQRQQQMMSFLAKAVNSPGFLAHFVQQQNESTRLITEGNKKRRYKEDGVVSNDHPSVASSDGRIVKYHPMMNDAAQAMLKQIMKLDNASPRLGTFSNSPDSYLVGDVSSEARSGSGVTLQEVNPPASGQSYLPAVTGVLSAPLEANSEVVATNQFPEASSLVDGQEFPDVSELSCLQDMAPNASVNISNDYMQSEAQNRALLDPNLVDEKLSLEIGSFSPDADMEWDSNLLIEMEKYLTAYDPSLDQFLEQSPEVDTTDEMDSSPVDDHVMKGNESKPSETECSRSQVQQLTTQMGLLASNSRKA >KVH95184 pep supercontig:CcrdV1:scaffold_212:264240:267564:-1 gene:Ccrd_002747 transcript:KVH95184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPATTKDDAQALFHSLRSAYSATPTNLKLIDLYVVFAVFTALIQVGYMAIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >KVH95183 pep supercontig:CcrdV1:scaffold_212:386142:387904:-1 gene:Ccrd_002755 transcript:KVH95183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol phosphate-mannose biosynthesis regulatory MELADRAIGLILASISVTIFTYYTFWVIILPFVDKEHFVHNYFLPQEYAILLPVYAGVSLICFLSVFIGYVMLKSKKKKKV >KVH95180 pep supercontig:CcrdV1:scaffold_212:337170:349026:-1 gene:Ccrd_002751 transcript:KVH95180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1664 DETTAFHTYGVIVVAFGTNLSPSSDQNEGFLQISTAAMAMQAGMGFSKIIILVGAGYTGTLMLKNGKLSDVLGELQVRRLAMEVRQMASSRQITVLNGGSSGNVTSLVVPVATLGAVGYGYMWWKCVELDNIFLLMCIQGFSFSDLMYVTKQNMATAVSNLTKHLEQVSDALAAAKKHLTQRIENLDGKLDQQVEISKLIKSEVTDVRDDLSQIGYDLDSLNRMVSGLNGKIMTLEEKQDLTNLGVWYLCNKADGNKISGKAQSQVGLIPNPTEVSMAGTEKEKRIRGSRDLVREQEQFRLAGKSFAGYLTSGGMLNLEGVKEIADTLDSDDGSLGSDKQPRRLTRKNTVKFSS >KVH95177 pep supercontig:CcrdV1:scaffold_212:355997:361225:-1 gene:Ccrd_002752 transcript:KVH95177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MFGDCQVMSSMGGGRGLSGNDQDADQQQPPAKKKRYHRHTAHQIQEMEALFKECPHPDDKRRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNAVLRNDNENLRIENSRLQNALHALVCSNCGGSTMSFDEQQLRMENARLKEELDRVCLIASQFCGSDPLQTQGQGPLPSLMTSNQLDLDMNMYPRPNDQEGMPNCSEMMQMNPLMAPEGPNFAAANGLIIMDDEKPLAIQFALSFMDEVVKMCRFGEPLWTRVNDAGKEVLNFEEHAKMFPCLINRKTDLNELRYEASRSSSVVIINSITLVDAFLDADKWMELFPSIISRAKTLQVITSGVNGNANGSLHLMYAELQMLSPLVPTREIHFLRYCAQNGEDGTWAITDFPLDSFHDTYQPSLTRYKRRPSGCIIQDMPNGYSRVTWVEHAEAEDEPVHGIFADYVSSGMAFGAKRWLAVLQRQCERLASLMARNISDIGAIPSPEARKNLMNLAQRMVRMFCLNITGSCGQSWTALSDSMEDTVRITTRKVTEPGQPHGLILTAVSTTWLPHPHYQVFDLLRDERRRSQLDVLSNGNPLQEVAHIANGSHPGNCISLLRVNVASNSSQNVELVLQESFTDDSGSLVAYSIVDVDAIKLTMNGEDPSCIPLLPLGFVIVPAGQNPAGNLSSTSATTSIGNTTESGGGCLLTVGLQVLANALPTAKLNLSSANTVNNHIQSTVHQIIAALGGVAGNNGIGGGGGGGGHSGSQCADDGDNGGGFDEQPTTRPPKKVDSSPTSK >KVI04679 pep supercontig:CcrdV1:scaffold_2120:72155:78199:1 gene:Ccrd_017003 transcript:KVI04679 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MERGKMTEVMLHIYDVTNSDSEKTNNTIVQINRIFKDGIGLGGIFHSAVQIYGEDEWSFGFCEQGSGVFNCPSGKNPMYTYRECIVLGKTDLSISKVNQILRELSREWPGDCYDLLSRNCNHFCDEFCERLGVPKLPGWVNRFANAGDTAVEIAGNTAFRLRQAKTEIVSASKVAYQFLAGIASNTGADSMDSASKGTPIKFQQPAWFKNLVAAGEKPSSSGSALENDLVHSLSPEIPR >KVI04677 pep supercontig:CcrdV1:scaffold_2120:81036:83109:-1 gene:Ccrd_017004 transcript:KVI04677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKAASGIRKGKKKQVKDELDRNKQAEKKKRRLEKTLATSAAIISELERKKEKKKEEQQRLDEEGAAIAEAVALQVLIGEDPEEPNSWNRARNFDLYVGGSGSGTTAAILSDHQLSTSPNEETGWVFNGHWNGFGSFISDFRAPCYRWPAEVIVADVASSLQIVDDEDVDAFVYNRTLS >KVI04678 pep supercontig:CcrdV1:scaffold_2120:36360:40567:-1 gene:Ccrd_017002 transcript:KVI04678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MFSPFGKIVFEDFLWHTRGPKRGSPRGYAFVQFSTKEVRFTSRLSMQEAKRAKEKMHGKLACGRPLVVRLAREKYLMEMANKSSGIESSKSGNGTAQMSRSAKIAAIKNKLKAMEEEDHDTKRQKQS >KVH91408 pep supercontig:CcrdV1:scaffold_2121:20118:33352:-1 gene:Ccrd_006570 transcript:KVH91408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MHEYNPLDLNWYLQLGITAFAVFFGLIYLVKNTASKYFFVEGDDDPRFDSHSQSSSSSSQSDTVVSPSSSSMPGLVATSDSCVVCGSLTKKYCSRCKVVRYCSESCQKSHWLSGHKEKCEEFRLSCKSSLQGRRNSTAVALVPGTGISTQLKKILFPYEKFVELFNWQKQGFPPCGLLNCGNSCFANVVLQCLAYTRPLAAYLLEKGHRRGCRRNDWCFLCELQDHVERASQSNQAFSPINILSRLPNIGGNLGYGKQEDAHEFMRFVIDTMQSVCLDEYGGERAVHPSSQETTLIQHIFGGRLQSQVICTKCNNVSNQFENMMDLTVEIQGDAASLEECLDQFTAKEWLDGDNMYKCDGRTAASFCLSVVLPMHIVPFAVKRCHVILSLKAVAQSQPEDHRAFSCNDYVLAWKRLNIHMPPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYMSEAADGYDKYKLYAVVVHVDMLNASYFGHYICYTKDFSGNWYRIDDCKVDAVELEEVLSQGAYMLLYSRVSARRSCLNPIDQTSKKEELEASNVPQETIPITRQPAECSLAAESVDATVMRANSLPSNIFASQKSSMDMDAPDSDAASSSVLVDDGDYGNRKAGSVGPALPVEDTFCSSTDTEASTPQHTEVSLPVCDIETDNRTDITNKPASVQIHTDDIGSSNGEEANGMDSVDFGCKPEKVESTITNLEDASRKLVNTSSSVAKLKPLISPGFLGKRPRKILSTKKTAEVSVETEQANGDLKPQENISDPKGKEKPCLKNNGSVSSDMVKSNTESRVLPNGGSKGSLVQ >KVH91406 pep supercontig:CcrdV1:scaffold_2121:7438:14004:-1 gene:Ccrd_006569 transcript:KVH91406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MDFKKEKQVRFYNGEIGKSNFEHSWQIPGKQFPEHKLSSAPLLMNGVKGRAMPISSHMGIKFGKSRVHPEENNDSKGRRILDPGSEVILQWNRIFLFSCLVALFVDPLFFYLPSVQKEDGSSCMMSDLNLGIVVTCLRTIADIFYMLHIAIKFRTAYISPSSRVLGRGELVMDPEKIAWRYLKSDFFIDLVATLPLPQIVIWFIIPAIRTPNADHTTNALVLIVLLQYVPRFYLIFPLSSQIIKATGVVTKTAWAGAAYNLVLYMLASHVLGASWYLLSFERHATCWKSTCRSDVDPMICSLRYLDCGSTDNDDRKQWANGTNLFGICDPDNNTSFKYGIFGDAVAKGVVSSNFFENSYGQNLSTSTFIGETSFAILIAIMGLVLFAHLIGNMQTYLQSITVRLEEWRLRRRDTEEWMRHRQLPSDLQERVRKFVQYKWLATRGVDEESILHALPTDLRRDIQRHLCLDLVRRRLVSSLSTQGTYIVREGDPVSEMLFIIRGRLDSSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTLNLPSSTRTVRALNEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWAACFIQAAWRRIKKRRMAWNLSMSESSYRPDDDDDEQGHETELSEEAASYNPNSAMQNLNVTILASRFAANTRRGILKTKDVELIKLQKPEEPDYSADPDDD >KVH91407 pep supercontig:CcrdV1:scaffold_2121:60417:69590:-1 gene:Ccrd_006572 transcript:KVH91407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MESSSSRCRRSSYGNRERFLNKHLGPAIMGKSCPICLCRIEEAALITVCLHAYCTNCIRKWSNLKRKCPLCNAPFASLFVGIDFNSRTFRTIHLSALREYGGKFNTNIGDLDGRRRDFMAQRRVIGISREELNVVNRRTRALPRQRSFGQSKMLPPGVNKERILQWRTSIYEQNLRAVPCPSRGSLEQGVMGRNSNRERLLKRIEPWIHRELHAALGDPDPTILVHLVTSIFISSLEEAHKVPSRPSGVEKKYLEPLQPFLFERTSTFWHELSLVDECLRLLHAAPVMAVVKALILLVRLTSSHHNPDPVCFAESSFNMETYDTVVKYVKWSN >KVI11416 pep supercontig:CcrdV1:scaffold_2122:45713:64223:-1 gene:Ccrd_010173 transcript:KVI11416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPESGSGGLVVFSSMSSIKNHQISNFCKSDGSLVSAVWFCAQAETREIKGHYLNATADAIPYGLRHDEWLQVLPRALVASFVKIDK >KVI11415 pep supercontig:CcrdV1:scaffold_2122:28028:30079:1 gene:Ccrd_010174 transcript:KVI11415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MERSEETKCSKTSLDSYLDEDGEEESESKEVVELKDGGSCSNSTVEESDKKPPIRPYVRSKMPRLRWTDDLHLRFVQAVERLGGQERATPKLVLQLMNIKGLHIAHVKSHLQMYRSKKIDDPSQVADPRTEDGDRNIYNLSQLPMLHCYNQTYDSAVRYEDAPLYRRDWMHIALRDHGSFEKTKPRFYNMAADRILAINHVGQANRGLQTNHLPISWRIQKVNDDYFGSRMCEKMKMLQDQYSKLPNSTLKLNRFKVQEHQSNPLDLGYTSPEKHEETKRKASDLNLNLDLNLSLGIHSRNTESLEGSEGEDDEGEEGNLSLSLYSPSFSRNRKRLIREDDHSNDQKAKRASTLDLTI >KVI11417 pep supercontig:CcrdV1:scaffold_2122:79880:80479:-1 gene:Ccrd_010172 transcript:KVI11417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDFFLHYRNPNCHSSSSSSSIAVTNNKSNNAFHGREGEKKRNKRNNKISTKVKLSTDPQSVAARERRHRISERFKILRSLIPGGDTRNMDTVSMLEEAIQYVKFLKAQIWVHQTMISFENFDEYDNAINRNYHQYHDQNPHQDLPLDHIYGDYQHLSSLPQMEYEMLPQLGFEEGSCFKVEGEDNMVSLSHDHHHVIYP >KVH87885 pep supercontig:CcrdV1:scaffold_2123:41634:44189:1 gene:Ccrd_024801 transcript:KVH87885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MLNGIIVGQIMALLQSQPPEVKMAQYQKHSTSLIKMMNRENCKQEEPCVDDYVDWRYRPCKPCKHGGMFAALAVLGLQAFEMMAIAAVGNNLITYVFNEMHFPLSKSANVVTNFVGTVFLLSLLGGFLADSYFGSFRTMLLFGFIELSGFILLSIQAHLPQLRPPKCNMALSVNKCEEAQGLNEFIFFVAVYLVALGSGCLKPNIISLGADQFRRKDSKKLSTYFNCAYFAFCIGELIALTVLVWVQTQSGMDIGFGVSAAAMAVGLICLLCGTPRYRNKPTCGSIFTPIAQVFVAAITKRKQVCPSSLEMLHGNEGTVVLHHNVSTESHGGTSLLHTDKFRFLDKACIKIQDGTRSNESPWRLCTMSQVEQVKILISVVPIFACTIIFNTILAQLQTFSVQQGSTMNTKLAGNFRIPPASLQSIPYVMLVLLVPLYETAFVPVARKITGRQSGISPLQRVGVGLFIATFSMVSAAVVENKRRTMVLKDPKDSLSIFWIAPQFLVFGVSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKVTSRSGHGGWLSNNDLNNDRLDLFYWLLAGLSFVNFFNYLFWSRWYCYNPSLVGRHGGPKESRSSRNDIELG >KVH87882 pep supercontig:CcrdV1:scaffold_2123:81945:82883:-1 gene:Ccrd_024804 transcript:KVH87882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTTLTFKTNTLIEYHAAKVYTRVIFFKVQKEIFKGYRCCSQWQVNSEMGFTVAQSHSDDSIYCDCRHFKYYGTLCRHVFTVLFNLDFDEIPQQYILRRWMRGHSCDEEKLTAFLDNIRSWKSNLLSGVSEKLDFTNKDDVLQSLVGVPRPEKIDILPPQGIRNKGCGTSKRLIGAGERAMTKSKRPKRLCRGCKELVHHDIRNCPVRKASSN >KVH87884 pep supercontig:CcrdV1:scaffold_2123:75630:81242:1 gene:Ccrd_024803 transcript:KVH87884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLYVDTIRCDTVHIIRERPCITSWSMDLLRRRESIQLSTGCFEIGNVAETLVDEQHEDRPRENEEIDIKRYLDEVEHTFNMLKTLMSDFDRILKKGRTRYPTSVEFDVWEKKLIDLVMPDFSLGLTQEFEEVVDSKENAPNKEDDNVVPNGQYAPRHIFESMVDDTFIFRGVIDIWETMLNKNEQYRSKSSPRRLFCDTTTMAAMKNDKELATLRNVDMIFFPIRRQSHFYLIVFNQKNPGLLIIDNTKTEVDIGNKYGELAAQLHKALNDYMKSVRLPKEKIMANVTANVCNLKWSTVRNGVDCIIFVMRHMEHYMGQVINRWDCGFFQEGCGQEQQLRRLRRKYLTKILLSNDNILKDALYKQALEFDELDDNLKESILTSVFNKREMRFGKE >KVH87883 pep supercontig:CcrdV1:scaffold_2123:74354:75205:1 gene:Ccrd_024802 transcript:KVH87883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQTNKKESKFSNTTENPVDVEDENNEVAILNVGEAKQRVIDCSTRRETICKRQLKSREDSKDDDDFEVQDENIRKKVKSVKGDTKGREDNVKGMIKTHLALRTRTSPKPLYTMIQNLSPS >KVH97106 pep supercontig:CcrdV1:scaffold_2124:8959:18720:1 gene:Ccrd_000794 transcript:KVH97106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFFGPESGKAYVVGRALLGTDYEGLTREDKCLYIEHGTEESILLVETCLDHFKIYEEDLKSTHLEPVVASLFRKLLEKPHFSMVFSGFVRRTAISEEFLDVLSNTLQLSAYEKLGFGLALSDSEKNDIRMAGRNFCMTQFEELRATHASLYTADWLPKVLKFLEQSEVFSKHVDSFVQMILQVWLNENSGFILAPPHSDQLHDSKLRMLDFPSSTTKHYSFPFAAVYGNAWKNTEGELPFINHAFKVSPELLFAHSGRLLGYADAENDHKFHADHASHPWLCIDHLEHLCLLAEAGQATSVRSLLEFPLKHCPDVLLLGMAHVITPYNLLQDEVSSAVLPMLLKDDSKREIVLKLWHVNPVFFSRALKDALNFDPENIYRVINLLEELEILLSVLALVPMFLGIRLAALAARKEFIDLEEWLSTNLSIYEDIFFEECLEFLKVVEVDGQDSSDHGEILSVYKETAPTVLKVLQLHTSLLSSNHLFEEMESLYVTSMHNSQIMKDIDGPSSSTSEVYADDVETDVNLYFQQMFSGQLTVDAMVQMLTQFKGSSEKSSAPAGPDHFLFSIVKSPGCLSWTMISGSGRYWLPGCMREQSVFECMINNLFEVYKFINRYPDEQLKIVAVLFGLLIRHRLVSHSILGISLQAVLDALHEPTDSKMFVFGTKALESFVDCLIEWPEYSQKILQFSDLCLTHPRLVLVIEQTLLGPSSSHPKSDEGHNPGTNQHHSSIPPANAEISASSFPLTGADGAQIDSQVCSPIQPHQRNVSHLDERHKASLTSSSDTNLNLSTSEQVSIATSSGSVSIQKPQSVVKSSAETSSSPGFSSPSPAVPSASRFLVIVKFWFGSASSIGTLLAAAERRETPIEAPPSETQDRISLIINNLSAANVEAKAKEFTEVLEEQYYPWFGQYIVMKRSGTFVESGVLDYVAMQQVLLRSELIKSSAEERSLLKNLGGWLGKITIGRNRVLRARDIDPKSLLLEAYEKGLMIGVIPFISKILEPCQSSLAYQPPNPWTMGILGLLAEIHAMPNLKVNLKFEIEVFFKNLDMDLKAVPPSSLLKGRVRKFEGNPDFSNKDVGSSQQHIVEEVNSTMRSDVNQVELPPEAAVPTYQGGHSHMLYQHAAPLHLPAVMLGEDEKMRTLGSCSQLSPAQGHQVAQLPFPVTQVRTRAVAANVSIAFP >KVH97107 pep supercontig:CcrdV1:scaffold_2124:50197:52940:-1 gene:Ccrd_000796 transcript:KVH97107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit MALNGAAPPRGSAAAAAANLRRRRTGGTTGASGGTAGNMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYFVRKE >KVH99409 pep supercontig:CcrdV1:scaffold_2125:25727:27116:1 gene:Ccrd_022358 transcript:KVH99409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHAKTDSDATSLTASSPTRSPPRRPVYYVQSPSRDSHDGEKNVNTMSLNSSPALLSPTGSPPHSHSSVGRHSRESSSTRFSGSLKPHGTRKVSPNDMAMKRFAKSWDKQFNVIEEEGLLDDEESDKGLPRRCYVLAFVICFIILFSLFALILYGASKPQKPKLTMKSITFERFAIQAGSDFTGVATDMVSLNSTVKFTFRNTATFFGLHVTSTPLDLSFSQIALGSGTINKFYQSRKSQRLLTVSVVGNKIPLYGSGQWLKSSKGAPTAAVPLKLNFVVRSRAYVLGKLVKPKFYNKIECDVAYDPKKINVPISLTNKCRFL >KVH99410 pep supercontig:CcrdV1:scaffold_2125:85448:93901:1 gene:Ccrd_022359 transcript:KVH99410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLKFIPRNQIDKTLDEKVNDSNSRKSYKKKKKSHHGGSSDDGSQRIKKRSRKKWYSSEENSSSYSDASESGSEQDTRIRRKSKGEKKRHKRRLDDEEKGKLKKSGRREYSSDDDCAKEDKVVQKDHKKRKGRLSKDSDGDMDGNCGVSHSTEKENIVRKEMGLEWMLRPKDNMDQKSASTSDLLEEAPAKEIKKANPRELNPYFNNDGSGYPEEADGASSGGSNLLSNAVVGDGGASWRLKALKRAQEQAAREGKKLDEVVGERWGSLGKLAVSVASASAAPSHAHLHAIKNRIRLQTREQQTTPADRS >KVH91601 pep supercontig:CcrdV1:scaffold_2126:47171:53874:1 gene:Ccrd_006378 transcript:KVH91601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MTSTSYHIHKPFLNSSCYTAVGVSTTHISRFPVVFSNRSPGKKKVLKTVKAVAAASTGRGGAAVNGGSRSREELSLLSKPSTSSSALEQLDIERGVCIPFRKYTPDTVRSKVLESQGAILSLVGRGIEIVWKLGFYWTRLVYDYLVGRDEEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNQVAFNIIEEELGQPLEAVFSKISSETIAAASLGQVYRATLRASGEDVAIKVQRPEIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKGDPTVKIPRAYRQLSGSRVLVMEWIDGIRCTDPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAQLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSGTKGLADFNFRSVTGKFNQLVYQYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYIAKRLLTDPNPALRERLVQVLFKDGLFQWKRLENLIVLAKENVTKMSSNPAFQGNNMQSSSAVQVQRKLDLTDTIKDGARLFLIDEGIRRQLLLALTEDSKLHVEELVDVYRLVEDQIDIPSVALEVARDLPSAARDFMLSWSASVLSDK >KVH91603 pep supercontig:CcrdV1:scaffold_2126:84006:87169:1 gene:Ccrd_006380 transcript:KVH91603 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like protein MVFWVFGYGSLWGAVYCVKGGEEKERLAMAYLEKRECEYDQKTTVDFFKEGETNDPTLSGVIVFTSTPDKESNKYYLGPAPLEDMARQIATAFGPCGNNRDYVFLLEKAMFDIGHEDDMVIELANEVRKVLGITGLGIPKESSSRLKGPSHKPKSPISPRKLLHLPGAIAMDT >KVH91598 pep supercontig:CcrdV1:scaffold_2126:9714:14831:-1 gene:Ccrd_006375 transcript:KVH91598 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MDPISTYNSNVGNPELVRFHECTTSDSKDMGEIGISMENLTEIDLKLAYSSEKLLNLESLLLYVLSWENDYEALAADDVSEEFVEKSLTIDLLFSLLDSEVKDLDCSMDSIEVELVHTHQRLSSCKHLGELFSIVEGKLHDSEDSLKKSQEHVLEMKMKLAKLQMTSLAFNHNECFPEDQISDIEVKPRLQMMDKVHVLRMLEKSLSRELDLEKKLTESKQDEEDLKLKLRLTEQVAFIMEEAAEVVWGRFLEAENAAVVLMGISRDLVAQHQLVQFNLNGFNNREDVFRSKLDNCIKLLNMKDSSIEKLNSRITQLVADNLEVSTLREKVKSLEEKVKESESNLKKANASNESIQERVREMESIIESLKENVYTAENRADVAEGKVAQLTDSNIELSEELGFLKGSHENNSKKMSLLEKQSRELELQLQHAKVSSESGQEQQNMLYSAIWDMETLIDELKQKVSTAEIKAENAEDQCLLLTDTNSELTKEIEFLRAEVDSLETSLSHANLDKMASAKDINIKTKRIMDTVVQIATERERITKQLRSQTQEKRILMETLRKIDKNTYASMYPDPEGGIEDKNSISSSQCIKDATLTEKLQQNSTKSSSERKKAG >KVH91602 pep supercontig:CcrdV1:scaffold_2126:56926:67403:-1 gene:Ccrd_006379 transcript:KVH91602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQAAGDGGGGRSGGKIVKRRRTAARKTPYDRPTPPLQPESPNWFNGLVSPAKFVVGGATKLLSSIWNPKGWGSHSSSSDSDSDSEGIIGDDYEDNENLSDVGGGLNQKKGSSPGKSEILYLVEQLLMLESFSREECDRLIGIINSRVVECTMRDGTDVELKNPDTCNQAIMEARKLITQNLVGSSSKSDLDSIHGSKALVTPNIAKGEAGSPVDVAKSYMQARSPWVSPISHSVPPTPSPLPADLLNKGTPYSSVGAYSYSLAKRDYLSAGSWNIQEEVRRLRSKATEDVLSRHQSPKLAVEHDFSASSLANDKAVGLFKPSEPFSLEAPKPEEETVNLAADGGSLGFTDTRATGDVFEALSSLPTIEEQNQIPEDQDAAPNHSHHHVHPNSEQNLGLSDDVNRVNEGICDLITESREVPDVNVNGSQGSSNTNDTIPSTKKTTDSPTTRSVTRSRRYNRRGRSQAK >KVH91597 pep supercontig:CcrdV1:scaffold_2126:3012:4979:1 gene:Ccrd_006374 transcript:KVH91597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEKIFYSMPKRDGVSWNSMISGYASFGSCDKAVASYKLMLKDGKAVNLNRITFSTMLILSSNNGLIGLGKQIHAQVVIYGFESYLFVGSPLVEMYSKAGIIDDARCVFDGLPERNVVLYNTMIMGLLRCGMIEASEQLFHCIPEKDSITWTTMVTGFTQNGLDKKAIDIFREMRMQGLGIDQFTLGSILTACGGSTALKEGSQIHAYIIRTDIIDNVYVGSSLVDMYLKCKRLTYAERVFSRLKYKNIVSWTALIVGYGQNGHSEAAVRIYCEMQRNGIEPDEFTLGSVISSCANLASLEEGAQFHGQAQVSGLISFLTVSNALVTFYGKCGTTEKSNQLFDEMKIRDEVSWTALISGYSQFGEANKTINLFDRMLASHLRPDGVTFIGVLSACSRAGLVEKGRHYFKSMVEEYKIVPVSDHYSCMIDLFSRAGQLQDAKCFINDMPFSPDAFGWSTLLSSCRSHGNLDIGKWAAKSLQELEPQNPASYVLLSSMYAAKGKWDEVAQLRREMKHKDIRKEPGFSWIKYKNKVHVFSADDRSSPYSEKIYSELEKLNFKMIHEGYVPDMRFALHNVDESEKITMLNHHSEKLAIAFGLLFIPQNLPIRVVKNLRVCGDCHTATKFISKITQREILVRDAVRFHLFKNGTCSCGDFW >KVH91599 pep supercontig:CcrdV1:scaffold_2126:20387:32683:-1 gene:Ccrd_006376 transcript:KVH91599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEHGHSCLEPDNRTSTIEFAGDMKPLSSILDERAQVNSGDGEEDTKMEYTSSATEQTSEPDGIPAVASVDDNSGDTNTDVYSDSQRFLPISDGVPSSDPAPTIPKEDVVAGFDGDGGITLPSTSGDSEYVSLTDSVLSGGEVTPAGLTQLAKVFQLLGDDEFRFLVAARESVSSGHVKNDDHMMSGSAEFSERLKEQLYINNFEKELVYLQLCEEWDVQKEFDEHRLQWVNEKLVFSASLGDFEEKNKILSEELARCRSELDRVTSEMEKLQMNVSSSKTELDRSSARIEELQAELNRSEHQLLNVSSELVDSRSSLSDLQVKNENLNGSVASLTDEKNKLEHQLLNVSSELVDSKSLLSDLQVKNENMNVSVASLTNERNKLEKEKGDVILENEKLIKELMECNSLLELAQVDNVDAKRNLSHVKEEKTKLEEEKQDYASEKERLLTELAEWKRSVEGLQVANAKLNEVLTSEREDRSKLEEANEHFLYENKKFSSEIMEFKNLVESLRSEIANLSVNLDLVTKERTKLGEEMDHLSSEKEDFLTELTNCKTLMKDLQMEYEKSMNDLKDGALQIEQLSKENATLTSTLDMYEAKMREFDDWKMKSAQRDFQESILVEHNSQHAIEQSNSLEEIFLQHVILDEVLKQYVSAVEAKKGELVVLCEDLRQEGISTKAKNSELTEKLCESESRIRELQVQVDKLHEEEEQMASLSSQLAILHRKLDASIGTVHSSETGVGQNIGTRVATSVDAAVAVIQDLQGKLEDAIKNHNFLSNSHKDMVEKIKDLEGANEQLAYVLHKVFDNLQKLVDDSGPYTEESQDDSPRSGQLDHLEISNYDIFIEQLITILRERAQLESLNRKYNLELLGRIKEMEELHKRCIRPDVIMNLLGDIQSVVTLEDIEIKPDELVSSLESIIHFLINRDRSVEKQVGLLREKLKPKEMELMKLQNQIDNLCFSIVPYEIESHIFKESLRSAMEQLLTLRSEMQLKEAEVHQSEQRVSALREKLHIAVTKGKGLIQHRDSLKQSLTATSSELEKCLHELQLKDAALQETETKLKTYSEAGERMEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLELPEHFHVRDIIDKIDWLAKSVSENSFPASSWNQKGPIGAGLYPDSGSGAVDGWREDLEPASSSGDELRTNYEELQNKFYGLAEQNEMLEQSLMERNKLVQRWEEILDKINIPPHLRSLEPEDRIKWLGAALMEANGRCDSLQQDIYDLEKVRGSLDAVLEESQRRLVDLEAALQSITNEREQLSANLEVLSEKAALYEIENDKLQNEVGALQLKLDEKRVDEEHIHHVHGEIKRLQDLVKDVLQVPETEDLDSSTSDIQRLEGLLRKLADKYAKHPLSEHVVGDATEEHITETSVLTRGERSAEQSSTQWELNLAALERKLEEAEGDLLRVKDERDRHVETNQSLSHELEVLELKNQEFQKLLVEEEQKSAAVAYEVEALEAKNQEFQKLLVQEEQKFAALTHEVEALEVKNQELQKLLGQEEQKSAAVREKLNVAVRRGKSLVQQRDGMKQNINDLTSEVERLKAELKVRENSLLEYQQKMKDLITSQEVMEDKDSEIRFLKDLMSEADSELQDKRNTLSTILGSLAKIDLGVELRSNDPVEKLQQIEKEWHNLQVAMSSSEQDLKKSKRAAELLLAELNEVQERNEDLLDELSRTTMEISKLSKERDSAEAAKHESFSRLEQLSALHLEERRGQFSELMMLKSALDQLRPGFSKIYNLLNDVLPKDLDYLYNLEASIRSSLESSDTSNVNVRSELFLDSTTEEHHNDNEIIDLWRFVGSHMQGLMTNVNDLEEKLQSHSKCLHEEVIILSETVETLHREMTSHKYSLESAKEEIAWLESTGKQKETENLVLRKYILKLYETCKSSVLEIEKSKGQLVANDSAGEDLCIEGDILSRETLSVLEERIMNIVNRLLSLVKDFHSIQVESVEVNLKEMKATISSLQKELTEKDIQKDRICVDLVSQIKQAEATATSNLQELESSKAQINDLKGQLESVNLQHNVLEQRVKELESQESILVDLHEKVKSLTNAFTAKEQEAEALLQALDEEEAQMESLTNQITDLERLVQQKDLDLESAEAARGKALKKLSITVNKFDELHHLSETLLSEVDKLQSQLQERDSEISFLRDEITRCTSDSLLALETDKKDLREIQDILTWLDSMISGAETHDLHLEGNKIDQVHEHKEILKKQISSIISELKDLRQMSQSKDDQLHTERSKVEDLMRRRELLESSLREKESRLSMHQNDDDSAQIASVTSEIVEVEPVINKWPPQGTSQVRSLRKVNNEQLAISIDDMDSDDKDKLEDEDDDKAHGFKSLTTSKLVPRFTRPVTDFVDGLWVSCDRALMRQPVLRLSLILYWAVMHALLAVFVMFAMSYKQ >KVH91600 pep supercontig:CcrdV1:scaffold_2126:37894:43423:-1 gene:Ccrd_006377 transcript:KVH91600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MASSSFSVVSFSSVNTELSVIRLSSRQNLVPLNPRRQCLGIFHRLDRNYRSISASVSSPVQKVSSSSSQLPPDELAASILSKVMQTDRGVTLTREQHMQVADLVSELNKYCVDSPVKCPLIFGDWDVVYCSNPTSPGGGFRSGLGRLVFKTTEMVQAVEAPDIVRNKVSFSALGFLDGEVSLKGKLIVLDEKWIKVVFERPELKIGSLEFGFGGQSEVQLEITYIDDKLRLGKGSRGSLFVFQRR >KVH87874 pep supercontig:CcrdV1:scaffold_2127:23680:29880:1 gene:Ccrd_024806 transcript:KVH87874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1C [Source:Projected from Arabidopsis thaliana (AT2G23310) UniProtKB/Swiss-Prot;Acc:Q9ZWI7] MMDPGAGPTTTIPNTEGLPSVTPLQETETPAAAVQRWAFLVSQRYQHFLDKSTPFLLYRWIIFCAIAIIYAIRVFFVQGFYVVSYALGIYILNLLIGFLSPQVDPEFQDLSDGPTLPSRSSDEFRPFVRRLPEFKFWYSITKAFCIAFVLTFFTIFDVPVFWPILLFYWVILFALTMKKQILHMVKYKYVPFSFGKQRYNGKRASSTESASLLPRD >KVH87877 pep supercontig:CcrdV1:scaffold_2127:27357:29776:-1 gene:Ccrd_024807 transcript:KVH87877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MVAVFNKELLSWYLITLKLKETVDAGIPSTSRSIELPKPSDAVQIQINGDTEDPKGPRSPESEWMITVREKLDLAQKDDVAGTWGKLCIYRVPQYLRDGEDKAYIPNIVSMGPYHHGKRRLRNMERHKWRAFYQILKRCDQDAKMYVDSIKELEERARDCYEGQIMIGSNEFVEMMVLDGCFALELFRGAAEGFIKLGYSRCDPVFAMRGSMHSIQRDMVMLENQIPLFILDRLLGLQFGKADQKGLVAKLALHFFDPLMPTDEPLTKSDRNKMESSLGFTSPFDPLSDQSYLHCLHVFRQSLLRRGPQLEPRRWIKRWSHNSRVADKRRTQLIHCVTELKEAGIKFKKRKTDRFWDIKFKNGILKIPRLLIHDGTKSLFLNLIAFEQSHLDCGNEITSYVIFMDNLINSEKDVGYLHYCGIIEHWLGSDSDVADLFNRLCQEVVFDINNSYLSELSEKVNRFYNHRWNSWRASLMHNYFSNPWAIISFIAAVILLILTSAQTFYGVYGHVEYGEKCQDKSDAEGFCYRVPIIKDTELVTSSNCMNHSQPLAATRHKLSLTHTP >KVH87878 pep supercontig:CcrdV1:scaffold_2127:16310:17797:1 gene:Ccrd_024805 transcript:KVH87878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPLLPTCRLRRRSSQSTTVLTGSLLPTNRKFLPSCSKRSCLLRSISISDDGLILISFRCPILTRLKLCGCREVTDVGMALMAKNCKGLKKFSDGSCMFGAKGMNALLDNCSSLEELSVKRLRGINDGGSTEAIGPGAAASSLKTICLKVLYNEQVFAPLISGSKRLKTLKLLRCLGDRDRSLEMIAVPDNYLVEVHLERLHVSDIGLIAVAEHCKYLRKLHIDGWRTNRIGNEGLIAIAKQRIEAFAWGCPNLVKIKVKKCRNMTFELGDWLRAKRGSLVVNLDACAVEPEVEVVASASDNGKQEDVVEFPASHMVVT >KVH87876 pep supercontig:CcrdV1:scaffold_2127:40330:43648:1 gene:Ccrd_024809 transcript:KVH87876 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-conjugating enzyme Ubc9 MAGGVALGRLTEERKAWRKNHPYGWRPAITVRQLLVGIQDLLDTPNPASPAQSDLHGLFTKNLVEYKKMVRHQAKLYPARV >KVH87880 pep supercontig:CcrdV1:scaffold_2127:45626:54741:1 gene:Ccrd_024810 transcript:KVH87880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAAYYQPPPAGPHAAQYAYYQPPPPPPPPGAAQPPPIAVPQLQHQYHIHQQPPFGSYSTPLHTPPFQEEVRTLFIAGLPDDVSPREIYNLFREFPGYQSSHLRSPNATQTQPFGFAVFLDQQSALAALHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDERHGSEKRLKGPTSFSRGFPDPGVGSVHMHGMSNSAYNMIGYPSAQSHGSLDGRPESIAARSRNSSAPPCPTIFVANLGPSCSEQELTQIFSRCRGFLKLKMQGTYGTPVAFVDFQDTACSSEALNHLQGTVLYSSVSGEGMRLEFAKSRMGMRSKKSR >KVH87875 pep supercontig:CcrdV1:scaffold_2127:30622:33702:-1 gene:Ccrd_024808 transcript:KVH87875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MKFQVLQQSHANRGRSNSCRGASPLDSSADGSGGTTKSAAAIFWIMLHCLCCLISLVLGFRFSRLVFFLLFSSSSTNNLYTSTLTAPFAADIAETLSFTTSLRNFSPPLKTDAVTSSSNSRVVVGRHGIRIRSWPHPNPEEVMKAHRIMQTVQREQRVQYGIKNPRTLIVVTPTYVRTFQALHLTGLMHTLTNLPYEVVWIVVEAGGATNETAALLANSKLQIKHIGYGKKMPIFWDARHKLESQMRLQALRVVREEKLDGIVMFADDSNMHSLELFDEIQKVQWIGALSVGILAYSSHSDEDPFEAPKNLNELDEKKSPLPIQGPACNSSDQLIGWHTFNSIAYRQKSANYIGDMAIVLPRKLEWCGFVMNSRLVWEESEFRPEWIKDLDMVATGNGEIENPLSFLKDSSMVEPLGSCGKKVMLWWLRAEARADSKFPAGLVFLFELQFNIPNSLEWRIEPQLEVTVAAKRTPWPDAPPELPSKVILENGQKNATKTQTQRSRRSSRGKRKHERLSARISRERTEK >KVH87879 pep supercontig:CcrdV1:scaffold_2127:69000:69506:1 gene:Ccrd_024811 transcript:KVH87879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGGRGGGGGELDGRCNSSSCPSTAKRKNDQRPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPIAAARAYDTAVYHLRGPSARLNFPELLASDGGPHELSAASIRKKATEVGARVDAETSCTLMSRSGTPSELKPCWFQEKPDLNKIPKPEPESEYPDGDDNW >KVH87881 pep supercontig:CcrdV1:scaffold_2127:72853:79201:1 gene:Ccrd_024812 transcript:KVH87881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEPSAEAEYAAFQEKVKRTIYLDNLSHQVTKAVLENALNQFGNVMNVQFIPAYFMSSICAALVEMESIKQAEEIIRDVGESPFMISGMPRPVRAQKAQMEMFDDRPKKSHKRIVCHWMDPSHPNFEVAKKFKNLTKKHAAEASFLMEQQLAEEEKLHNQQAETLKANYKKYELIDGAQNDGTVKRLAACYNTKLMDG >KVH87873 pep supercontig:CcrdV1:scaffold_2128:37185:89652:-1 gene:Ccrd_024813 transcript:KVH87873 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MEGSNLASDLVIAELIGMGFQISEVTEAIKEVGPSLNNAIEFILNACNKNHDGKSDGSRCSSGSKKATRKRDLSATGKKSVGRMRQLSIMDHLQSAGRPKISKTSLESDVSVSRAKVLPTNGEGYESISPICSHQGIVPGLSVNSSYSLEEDVGSDWELRADTLLQQHFGYPSLKGFQKEALAAWIAHKDCLVLAATGSALLTGKVVVVISPLISLMHDQCLKLAKHGVSACFLGSGQIDHSVEQKAMRGMYEIIYVCPETILRLMKPLQSLAEGRGIALFAIDEVHCVSKWGHDFRPDYRRLSALRENFNSKKLAFLKFDIPIMALTATATTEVREDILKSLQMSEDPTIVLTSFFRPNLRFSVKHSSTSSSSYERDFCELVEVYTRNQKYSKKNSLMSTALVDSCDNASHTSVGTSSQDEIYKSWLDCTEERTSFGSDDDLTSPSESCDLSLKRKELSVEYLEDECDIFLDVNDFDGNFLSCPLEDTCYDSCITAVTYGEFDGLSSTKDWDVCASSCIASGAEGKIELEQGSTIVYVPTRKETLSIAKYLCRCGVKAAAYHAKLPKSQLRQVHREFHENAVQVVVATIAFGMGIDKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCDLYLYRDSVLDSESDMDLDSDRVSDRHSNLDLDSLSRRLGGRCLGGGGGGGGGDGEEEVDDNGDEPLVSGSWCKGEVVLYANLSRMPSLLPNKRNEEQTRHAYKMLSDCFRYAIRTSRCRAQMLVQYFGEDFGYDSCHSCDVCVKGLPDKQNLNGEARILMQIIAAHYEKRCHVEGSYNADSCDLNRGQICLEKPDVKMFVSRIREQNQQLATTDLLWWRGLVRILEDKGFLIEGDERIHVQIKFPVPTKLGFEFLRTKLEEAFYVCPEADMLLSETRPKSYSSFAEWGKGWADPEIRKQRLARNRSWRQPRQRKKRKRQADIRTVRGRLAAKLSK >KVH96721 pep supercontig:CcrdV1:scaffold_2129:47960:49875:-1 gene:Ccrd_001191 transcript:KVH96721 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561/ferric reductase transmembrane MGLCPKGVFLCCIMVTTLFLPSAYAQTCSNYAFSTNRVFSACNDLPVLNSFLHYTYNPSSQTLSIAYRHTNVDSSRWVAWAINPTSQGMAGSQALVAFQQSDGSMRVYTSPITGYTTQLAEGDLSFPVSDLSATYSNNEIVIFATLGLQNGSSTMNQVWQEGQVSGNVPSVHATSGDNVSWGIMMPLGAIIARYLRVFQSADPAWFYLHVTCQTSAYIIGVAGWATGIRLGSQSPGIQFTSHRVIGIILFCVATLQEHKHRIFWNIYHHSLGYSIIILGIINIFKGFDILNPEKKWERAYTGIIVLLAIVAALLEAYTWFVVLRRKKAADAEKMTNGNEYGSNGINGRYPYAERTNGRA >KVH96719 pep supercontig:CcrdV1:scaffold_2129:20042:20632:-1 gene:Ccrd_001188 transcript:KVH96719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARRWRMTVVQDGAGSNRRHTSRQHLSDGDSCLAGDRQPLYIAKTKNLITITEIGIIPEVIVLTWKNRATKIDHHQTSRLIGTGSFGCGTAIVEGEDFISENITYEAGVRRSGSKQWKQA >KVH96720 pep supercontig:CcrdV1:scaffold_2129:21482:31690:1 gene:Ccrd_001189 transcript:KVH96720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKGLFKSKPKTPVDLVRQTRDLLIFVDLNPETRESKREEKRAEVEVEAAVIESKSGVEEWTVESTSRVAGVLHFAELGKLLRELKQILYGNSEAEPVSEACARLTQEFFRENTLCLLIICLPKLNLEARKDATQVVANLQRQQVQSRLIACDYLEANIDLMDILVSGYEDTDMALHYGAMLRECIRHQSVARYVLESEHIKKFFNFIQLPNFDIAADAAATFKRRYGSCALCKELMTRHKSTVAEFLSKNYDWVEYNSKLLESPNYITRRQAIKESIADQALTLESSKSIQIEAFHVFKLFAANQRKPADIIGILVANRSKLLRLFADFKPDKEDEQFEADKAQVVREIAGLEPQD >KVH96722 pep supercontig:CcrdV1:scaffold_2129:43487:47132:1 gene:Ccrd_001190 transcript:KVH96722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MGSLFYSNCITHSPPFRCLIAHSSIFHSCFVDLDMAEKDSFNEFLTVAVDAAKKAGEVIRKGFYQTKHVEHKGSVDLVTETDKACEDLIFNHLKQHFPSHKFIGEETTAANGVTQLTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPAVGVVYNPIMDELFTAIDGKGAFLNGNPIKVSSQSELLTSLLATEAGTTRDKATLDATTNRINSLLYKVRSIRMGGSCALGLCGIACGRIDLFYELGFGGPWDVAAGAVIVKEAGGVIFDPSGNGFDITAQRIAASNPLVKDAFVEALQQSA >KVH96718 pep supercontig:CcrdV1:scaffold_2129:1173:17198:-1 gene:Ccrd_001187 transcript:KVH96718 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core METDFEAVIWDRNIVLSPTNMKSYLQMTLKGLATKNGFCIGKTMMAKAITKESGAVFTNVRVSNLMSKWFGAAQKLGKALGLCTSSLQ >KVI04469 pep supercontig:CcrdV1:scaffold_213:119690:125664:-1 gene:Ccrd_017214 transcript:KVI04469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein QTIEHLTTVQPSSTVVVRQPRDRLIPPGDTTVLPTAASRNSTSTAETTTLLLSTSSTVFLVSIQPVPGSPLLLMISGRNQVEGGGICSRCESSGGTCWSNYSSSIPSCFCPDDNPYPCFVNDRLYAMIDSIHVNFHGSVVGIAWKIKLSSGVVAALLSIVLTFTAFCIIKRSSSNCVATFKRKTEDDKSVEAFIIRYGSLGMKRYTYVDVKNMTNSFHVKLGQGGFGTVFKGKLSDGRLVAVKVLNTSKASGQEFINEVASIGRTSHVNIVTLLGFCFDHKKRALIYEYMPNGSLEKFIYRHVPLETRVCLGVEKLFEVALGIARGLDYLHRGCNTRILHLDIKPHNILLDEDFCPKIADFGLSKLYSRKESVVSMLEARGTIGYIAPEVFNRNFGGVSHKSDVYSYGMLILEMVGGRRNVDVAVGSGHTSEIYFPYWVYDRLKKDEILLDGVTTMEENEYARKMTIVGLWCIQANPVQRPSTNEVIEMLEGSMEELEMPPKPFFSSPPRSPISTFNTSQEVSRDHRSSAKS >KVI04465 pep supercontig:CcrdV1:scaffold_213:294489:297371:1 gene:Ccrd_017218 transcript:KVI04465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex AP-3, delta subunit MSGGSGGGGGPSLMDSLFQRTLDDLIKGIRIHVPGAAQSTFISKSLDEIRREIKSTDLQTKSTALQKLTYLHSLHGIDMSWAAFHAVELTSSPSFIHKKTGYLAASLSFRPSTDVLLLLTNQLRKDLSSTNQHEVSLALECLSVICTPDFARDLTPDIFTLLASSKNFIRKKSIACLLMVFSQYPDAVRVCFKRLVENLEGTDNAQTLAATVGVFCELASKEPRSYLPLAPEFYRILVDSRNNWVLIKVLKIFAKLAKLEPRLAKKVVEPICDHMKRSEAKSLVFECVRTIVSSFYEYEFAVKLAVAKIREFLLDSDLNLKYLGLQGLLLVAPNHSWAVLENKEVVIKSLSDVDRNIKLEALRLVMSMVSDDNVVEICRVLIGYALKSDPEFCNEILRSMLLTCSRNVYEIIIDFDWYASLLGEMARIPHCQNGEEIEYQLIDIGMRVKDVRPELVRVGRDLLIDPALLGNPFIHRILSAAAWVSGEYVWFSRNPFEIMEALLQPRTNLLPPSIRAVYIHSAFKVLSFCLHSFLLPNKTANSLSKFADMEPDFIYSESEGEFPETSGLRPHQEFNPRDLSEKNADNATISSSSLKNDTFTRESVVNMLTLILTSLSPLAGSHDVEIEERVRNLFGLAQLAQEEICCHDDRKEMMVDRDDLKTSIIIRLINDALSEDFGPVSLSAQERVPVPDGLVLEENLSKLDIICADVQLPKSKSFSLVRPSLLQMDGDFVSSRQNEEESETSAAESTSLLSEHRKRHGLFYLPSDNNQNASTGFQPANDPNQKDNDVEDLVKLTEESLVLKRKSNLARPRPVVVKLDEGGRLPITVKKTQSTDDLISGAVRDVLLGNEASSQSNQSGKPSSRRRGKALSKVDQGSESNNNMENFEIGNSSKRRSKHRIHGKDKKHPSPGNDVEGRDENGEVNKQKSGSRHGRHKARQRADGGINVVVQKPVIPDFLL >KVI04468 pep supercontig:CcrdV1:scaffold_213:165487:183365:1 gene:Ccrd_017215 transcript:KVI04468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MVIVRFLESTIKILYFSFFFPVKITQRLPPSMMLRFLHCSFLLRFLLANIYKLNDELVELAGNESRQANNMKGQTYERSMGFAPVKPIFALTFCGGLDRITGGAHKGPFTGQSHKGLYEILTTSWHAQLSLNLAMLGSLTIVVAHHMYAMPPYPYLATDYDT >KVI04466 pep supercontig:CcrdV1:scaffold_213:71111:73416:1 gene:Ccrd_017213 transcript:KVI04466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHFFNKSCFILTTIIFLAYLTVQSLSLASNSTSCVPQNCGNGPNISFPFWLLQQQEPSCGSPGFNLTCKNNYPVLMLSGDDYLVKDIFYANNSVNLVGMKAFNETNLCPIPLRNFSVDGSPFSYSSLSVYLYFFYNCTSPYAEMTYSIDCSKNGSRLSSFGVFHPEILKKHNYSVDLCQSLVHVPVHVDSINLIIGLVGTTLGIVLVCLFCCFYRRLNKKRRSNYGSSYMSRNISSFPSSITDPEKDGTYNGVQIFKYKELEKATNYFDPANELGDGVHELVDPNLGFETNYEVRKMIHGVAELAFQCLQNERDCRPSMDQVLEGLKGIKNGYEKHEVLDGISDDAVLLKNPSQAMSPDSVAIAWSTSTMSTSSG >KVI04464 pep supercontig:CcrdV1:scaffold_213:372665:377430:1 gene:Ccrd_017219 transcript:KVI04464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDDHKKEKKNNLKEEKEGKQGSMNPDSKSDGKGKKPILKEETTEIRGNFVPVQIRTLDDFTTIMFMVKRDQIAYKIKYFFAKKFDEALGWFFKIEEGEEIMLPAKVSGMKLIIGYGGHEIIIVEDKWNEIAMSFGFPECYGEAFNKLFIDYLLRGRVSRIRRSVSVGGGNGQEDRNATSVQTRSCKDLDGEEIEDEDPSIEGYYLEEDKDPSDDSVGNEDFMFDTTMGFSTSKNLDHEITNGEGLGATLIFCLYSIPLNSKPKQLFTFQIPPTTLEEQPPGLYAINPQVPNQTTESTTPTTNNMVTNTTTGSIKEEPKHITETPRPSISSAFLHGMRDEQLFWRASMVPRINKTPFKKIPKVAFMFLVRGRLPLSPLWARFFRGYKGFYSIYVHTQPYFVGEVALEPMFQGRRIPSEVVTWGEISMVEAERRLLASALLDFSNERFVLLSEACIPLYNFSIIYPYLINSKKTFVECYDKEGPVGRGRYDHKMEPAVTLEQWRKGSQWFEVDRHLALEIICDKKYFPLFRDYCKPACYSDEHYIPTFLNIEFPEANSDRTLTYVDWSKGGPHPYKFGKWEVTDSLLKQMQNGTECVYNGEPTRVCFLFARKFVPSSLIRLLELAPEVMHF >KVI04471 pep supercontig:CcrdV1:scaffold_213:191020:191796:1 gene:Ccrd_017216 transcript:KVI04471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMFSTENSSFSPRISFSHNLDDLLIESYPSPSNPNPNSNPNPKSDFDFDFCFTADPIQNETSSADELFSNGLIRRPNCDEENQLLVPNSSSTDHEIFREGQIREEYLEQKRINSSSTPSMEISKEIVLIESKSFWRIKRSSSLHFESTKQKTSSFWSSLPLLSRSNSTGSVEKDGQSKQSHQLQKKQSKNSSMAVYSSSMKPPLKRNHGGTYDYGTRITPVLNVPPPFVSKGAANLLGLGSFFGHGSDDQKNKKQSW >KVI04467 pep supercontig:CcrdV1:scaffold_213:31849:44957:1 gene:Ccrd_017212 transcript:KVI04467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical NLGLHFVFHEFFNGNVEDTLFDGCVGINQVQQAMSGEASSSQIGEREERKICANGGCVQTETIEAKLDAGNFDQAESALREGLSLNSEEARALLGRLEYQRGNVEAALRVFEGIDLQAAIQRLQSCSTEKISKKGRAGPQQGANLVLEAIYLKTKSLQKLNKITDAAEECKKVLDGVEKIFPQGIPDVFVETKLQETISRAVELLPELWKQAGCYPEAISSYRRTLLSQWNLDNECCARIQNKFAVFLLYSGVEAGTPSTATHVDGTYVPKNNLEEAILLLMICMRKFSLGKAKWDPTVIEHLTFALSLCSQTPVLAKQFEEVTPGVIHRVDLWKTLALCFSGAGERKLAFDLLKKALHPHERPDDITSLLLVSKICSEEGLLAAQGIEYAQRAVNNCKKRNKHLEGVCLRVLGLCLGKQASVSASDHERSRLQSEALKSLDEAVGLEPENLDLIFELAIQYAMQRNLNNALRLAKKYIDATGGSMLRGWRLLALILSAQQRFQEAEVVTDAALDETAKWDQGPLLRMKAKLRISQSRHLDAIETYRHLLALIQAQKKSHGPLQSTHQIEDDRVNEYEVWQGLANLYSSLSRWKDAEICLGKARAIIECSSETLHTEGTIYQRRGEIDDALAAYVNALLVEPNYVPSKISIGSIMSSRGLPMLPVARTLLSDALRLEPTNRMAWLHLGFVHKLDGRLSDAIDSFQAASMLEESDPIESFSSIL >KVI04470 pep supercontig:CcrdV1:scaffold_213:217998:242589:1 gene:Ccrd_017217 transcript:KVI04470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MPLERSEVVRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRMVDSDTDFDPELAEIGIEYVKNNLPVDNDIEEDEEWEEEEDEEV >KVH87872 pep supercontig:CcrdV1:scaffold_2130:27252:28491:-1 gene:Ccrd_024814 transcript:KVH87872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVERVCCMCGDVGFPDKIFRCIKCHHRFQHSYCSNYYSESSDPPELCDWCQTEVSRTTKHAGSSKKPSSKSDAGASNQLEYSGDKIKQHDREEGFEKGKSSSSTGAPSPKTSTRRYKLLKDVMC >KVH87871 pep supercontig:CcrdV1:scaffold_2131:77480:78375:-1 gene:Ccrd_024815 transcript:KVH87871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit G2 MQRQQSLFGTLSCFRCTSCGTLEQLNLQSSFGCNFSGTPRFASKVGSRKRLIIYSSHCHNSSKIAKGELDGCLRSSSRQRSVHYTRLEGTFTNGTGKTALIDNSIHTSNGSFAASIKRILGGFISRRTTDGVEKLNLNLAKPVIFRSLQAVLQDSDDHSVSVFGF >KVH94166 pep supercontig:CcrdV1:scaffold_2132:14064:26316:1 gene:Ccrd_003770 transcript:KVH94166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLICIAISNAVESDINCLRSFKDSVQDPENALSTWEFNNRTEGFICRFTGVDCWHPDESKVLNIRLQDMGLKGTFPLGLLNCTSMTGLDLSSNHLSGTLPANISDIISLVTTLDLSSNNFFGPIPMMLSNCTYLNSLILDNNHFSGQISLELGQLTRLKEFRVANNLFSGPIPTFRNATIELNYGGNLGLCGGSLGACSESKKNRMGVIVVVAIIGGTTLATFLLSMFENPVSNMRLSDLMKATNSFSKENIIGSGRTGCLYKAVLEDGSSLMIKRLQDSKHSEKGFQSEMAMLGKVKHRNLVPLFGFCVAKRERLLVYKYMANGNLHDKLLPVGDDEKRLDWPSRLKIGIGAAKGFAWVHHNCNPHMLHRNISSKCIFLDADFEPRISDIGLARLMNPVDTHFNNFVNGEFGDLGYVAPEYVRTLVATPKGDVYSFGVVLLELVTGERPTHVAKAPETFKGNLAEWVTELAAESRLRDSIDESLAVEKDYENEMFQLLKVASRCVVPAHKERPSMFEVYQLLRAIGEHYHFTMDDEVLMMPSDDGGDAGQIELIVARDGKNNAVESDINCLRSFIHSVQDPENVLSTWDFTNRTEGFICRFTGVDCWHPDESKVLNIRLPDMGLKGTFPLGLQNCTSMTGLDLSSNHLSGTLPANISRIIPLVTTLDLSSNNFSGPIPMMLSNCTYLNSLILDNNHFSGQIPLELGQLTRLKEFRVANNLLSGPVPTFRNGTIQQSYGGNTGLCGGPLRDCSGSKKNRMGVIVGAAIGGATLAALLVGLGMTIFMRKVVRKRKLSMFENPVSKMRLSDLMKATNSFSKENIIGSGRTGCLYKAVLEDGSSLMIKRLQDSKHSEKEFQSEMATLGKVKHRNLVPLLGFCVAKRERLLVYKYMANGNLHDKLHPVGDDEKRLDWPSRLKIGIGAAKGFAWLHHNCNPRILHRNISSKCILLDADFEPRISDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYASTLVATPKGDVYSFGVVLLELVTGERPTHVAKAPETFKGSLAEWVTELAAESRLRDSIDESLAVEKDYENEVFQFLKVASRCVVPAHKERPSMFEVYQLLRAIGEHYHFTTDDEVLMMPSDNGGDAGVKTTFATTLSISKILHIDINSSITFETFSDGFDDNGPDQFVSPDEHFPKLRKVGSESLSDLVQKLDGLDAIVYDGFLPWALDVAKRFGIVGAVFFTQTCAVNNIYYHVNRNLLKIPLQNSVVKVPGLPQLEDWETPSFVHKFDQFPVVSDLAVDWMGKLWRVRTIGPTLPSMHLDKRLLDDHDYGVNLFKPKCIESMNWLNDKPKRSVVYLSFGSVTQPGPEQMKEITCGLIDGGFNFLWVVKSSEEDKLPNEFLDGRSKKGLVVTWCPQLQFRYLVRQNATANSHGHSIKHLESMLLLTDYYDQSCTI >KVH94168 pep supercontig:CcrdV1:scaffold_2132:72500:78951:1 gene:Ccrd_003774 transcript:KVH94168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family, ATP binding MDIRELISLDDVMEELGLGPNGGLMYCMEYPFPVVFSAHLEENLDEWLSEELENYMEDDYLVFDCPGQIELFSHVPVLKNFVEHLQRKNFNVCAVYLLDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTRKRDIEDYLNPEPQTLLSELNERMAPQFQKLNKALIDLVDQYSMVSFVPLDLRKESSIQYVLSQIDTCIQFGEDADVKIKDFDQDEDQD >KVH94163 pep supercontig:CcrdV1:scaffold_2132:62629:70474:1 gene:Ccrd_003773 transcript:KVH94163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease T2, active site-containing protein VAGEDGLRKEEISENSVVHGGIPIDNTLGNGYRPEKMDWERRRRVKIMWYMVVPQLGNITTMASIPILLLILLVGSLYSVEVNGGGGGGTVELVSTVAEQRVFDYFALALQWPPTYCSKSTKCCSQSACCRGANSPSVFTIHGLWPDYNDGSWPSCCSGSAFDEVEISTLFGALEQYWPTLSCSKSSTCHGKKGLFWAHEVEKHGTCASSVTGEEYNYFLTTINLYFTYNVTEVLFEAGYVPSNSEKYPAGGISSAIENAFHTTPQLICLNGALEEVRLCFNKDFTVRECVAKSDCPDYVSLPEFASLELNGGTTTADRSFSYHESS >KVH94165 pep supercontig:CcrdV1:scaffold_2132:27157:30787:-1 gene:Ccrd_003771 transcript:KVH94165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MAPLLNLTKKMHLFFYFLVLTSTFCTATTVAAHDRRTLHQPFFPQDAPIIPPVQPPAQPPVQPPTALPPSSPSLNVPFLSTPTTTTPPNDQPFFQSFPAPPPPPSSPSSSASFPANISSLSLPTSPKPKRVSSKLIPIAITLGIAAVVVLSIVVFLRIQKRRWYHEDSQSFSDEKARRVVFGGVGGGAGNGGGGGSGGGSNSYNRIPKLTRPSQTSSEFLYLGTLVNSHGGMDATTTTTTTGGRDNRGDGESSLQKVGSPELRPLPPLSGACGGRSQTILHGGFENSEVESKDEEFEEFYSPKASEGGRSGSRPPFAAAGATGNYQPRRSMGSGSSSSYSSSSSGSPARSISLSISPPVSLSPRNSRMKSPDLVAVQTAPPPSRPAPPPPPPPLPPHPLMIPSPDVGLSKNSLESSPRLSNSSNDQNSPPSKIPPSIPPPATHLQIPSPKLQPLLNPPVLIKPSRPLPVTSHQPPSSPLETLPENLETNQKTPKPKLKPLHWDKVRASSDREMVWDHLKSSSFKLNEEMIETLFVVKPPNASNSMENLTFKRNAATLGTEVLESLLKMAPTKEEERKLNDHKDTSPVKLGPAEKFLKALLDVPFAFKRVGAMLYVSNFDSEVEYLKQSFHTLEAACEELRNNRMFLKLLEAVLKTGNRMNVGTNRGDAQAFKLDTLLKLIDIKGADGKTTLLHFVVHEIIRTEGARLTTNQTAKPGLQVISSLSSDLSNVKKAAAMDSEVLNGDVRRLSNGITNIAEGVRLIDGTKSTKFSDSMDEFLNMAKTEVIRLQEQERVSVSLVKEITEYFHGNSAKEEAHPLRIFMVVRDFLTVLDRVCKEVGGINDRITVSSGNKFPVNSMPVFDGFHGRRQYSSSDDESSCSL >KVH94164 pep supercontig:CcrdV1:scaffold_2132:47592:54242:-1 gene:Ccrd_003772 transcript:KVH94164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEFRKFGKGPKELTGAVNLINYYKLLPHYEFFCKKSLPLSISDTHYLRKVVGDTEIRKGEGMQLGQLIENKSSRETKTHIQPFDLDVLREAFYLRETAPIDLPASEKGIPTQTGRSKSESKDKDKKHKKHKDKDREKNKEHKKHRRHHKDRSKDKDKEKKNDKTMAAEILKKTNEKKRKHDGDEDLNGIHRHKNSKLKSSKMDELGAIRIAA >KVH94167 pep supercontig:CcrdV1:scaffold_2132:79682:83396:-1 gene:Ccrd_003775 transcript:KVH94167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MTNKDIVAQIVSASIAGDMVLASAYAHELPHYGLKVGLTNYAAAYCTGLLLARRVLKMLVMDEEYQGNVEGALDGGLDIPHSEKRFAGFNKDGKQLDADVHRKYIYGGHVASYMRAGVEPDNLEELYKKVHAAIRAEPNPKKSEKQPRKEHKRYNLKKLTYDERKQKLIERLNALNAAAGRHDSDDDEEDDE >KVI03167 pep supercontig:CcrdV1:scaffold_2133:60148:68925:1 gene:Ccrd_018538 transcript:KVI03167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEVPAECDRVYQALLQCHRRVPNGPPRDAACRHLNRSLAECMISFICPEESAAVRTLCGNKGTALKRSQCQQAQISLATCISCHQDPS >KVH92241 pep supercontig:CcrdV1:scaffold_2134:17231:73225:-1 gene:Ccrd_005725 transcript:KVH92241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRYPAIKDGAEHAILKLRSLSSSSEIAQHDDILRIFLMACEVKTIKLSVIGLSCLQKLIAHDAVASPALNEILATLKDHGEMADEGVQLKTLQTVLIIFQSRLQPDGEVRIAMMLLSYAFADNEVADEAWMHSLGLVMNFRFIPLIYPNWLISETKEERLAETKRMITIHHHHQATTTTTTTTTTTTTTNSSSPEPNCCSGFEHHLMQTQPPPLSFLNPIFITISPDPKLQHCHCPCCHYMIHEHTAQALGICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVSSESLPAGKFVHGGYVSRSASVTIDVNHSINNSKYVTYFEHGLFFFVEGILLLQAISCIYVMLSRSLEEDFVSLDKSKMRETPTKAGKLGLRLLEDLTALAAGGSVCANFCFYYFLNATWLRVSSIQRTFALDILEFILSNYVAVFRTLLPYEQVLRHQICSLLMTSLRTNSEIEGETGEPYFRRLVLRSVAHIIRHYSSSLITESEVFLSMLVRATSLDLPLWHRILVLEILRGFCVEAHTLRILFLNFDMNPKNTNVVEGMIKALARVVSSVQFQDTSEESLVAVAGMFTSKAKGIEWSLDNDASNAAVLVASEAHAVTLAIEGLLGVVFTVATLTDEAVDVGELESPRCDSDPPAKFIGKTAVLCTTMVDSVWLTILDALSLILANLFNRSQGEAIVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTISSSNETERRRYLKMNGVQQMVSEQSILEMTKSLLANFNKIIGDLRPEQSELSSIKENFQTVKQMLKEQEVQEAQEDTFQKSPIQVRSINPSRFETPTPSQRLISKIPRFCCENKGKAEIKSTVSVRSKSTTKKAPAEKKRGMGKRLFQVSLTIYKEKKRTKNIVERTGNYSRGRFWLGINHHLPPPPPPPPPLLSPQPLSLPLLLLTPSQQPSLLSLVFHASASAPSVFRDGYIKYSDPEETNESVDQLQEGMLKKTTTTSSKEDEHVDLDMRKPKKDGQSSIIAVCVPFSRSLLSPGSKRTEVVVDQRDVVVLTLKNVQVLETLAALDRAIHSPHATTQEVSAAVSKLTREPSGQYSDFSILSSLNSQLFESSGLMHISAVKSLLSALRQLSHQSMAGTLSGIAQTSSQKTGSISFAVERMISILVNNLHRIQPLWDDVVGHFVELANSPNHHLRAMALKALDQSISAVLGSDQFEENASRQRGVCDDVTIFHAVMLVKVLIPFFFRSVAGSSEKDLVTLGFQVSKITVTAIVKKLPGIVSRWNSSGSQDDVPDIKSFAMIWARGDEHCAGDVASVKHTFSTKFFEREEAVKPISRKSLFHCKRPLIFFRVLESRTLDGRFENLSLRVIMNDGLSTVPSEFLHVCIDVTGAYSAQKTELNISLTAIGLLWTSTDFIAKGLFEGPTEDKGRETLDHKNGEKIEQTLNTVKKANQQDPSITVAEHEKLLFSVFSLLQNLGADERPEVRNSAVRTLFQILGSHGQKLSKSMWEDCLWNYVFTTLDRASHMVRCSAATSSKDEWHGKELGVRGGKAVHMLIHHSRNTAQKQWDETLVLVFSGIARILRTFFPLLRSITNFWSGWESLLCFVKNSIANGSKEVALAAVGCLQSTVLSHSPKGNLPMPYLKSVLDVYDIILRNPTSCNDMVANKVKQEIIHGLGEVYVHAQGMFDSSMYAQLLSIIESAIKEANITQSNFEAEFHLGTLAASVCPCCRVLLPSVPSQCLVATHSITVKSASTSVASLLPIVVALGFSLLPTILCNIMTYRYRALGHVPPVQRVVLEIFPLLRPPNHLPSLWAVFFQKLLHYLPNSDSFVENEGDAAKPVESRGYTSDTMKMSDIPNGTTSNKRVEVESPSSSSDSKRDSATTISSDLFAEKLVPVLVDLFIQAPVAERSITFPYIIQGLGRCMITRRENPDGGLWGLAVKSFNQLLVDDIEKLACLSAPDLTNNRHARIRLWKEVADVYEIFLVGYCGRALPSNSLAAISKEDDESLEMELLDVLGDKILMSDIDASHDILERLIITLDRCASRTCSLSVETVELVPPHCSRFSLTCLHKLFSLSRCLEKKLWVAPLSTKINAGMARISPTNLSALESKTYLTQAHRVRLRWLRDGLDSSLDHNYNNESSVWNQTRGEISKISVMILMTRCEFILKKFLTDEKELGERPFPSARISEIAFVLQEMARVVMHPETASVLPLHPYLKGGLVEENPDQRAHLFVLFSPLCELVKSRNSRVRDLVQVLLRLVGTQLGLHKICLTN >KVH92238 pep supercontig:CcrdV1:scaffold_2134:72947:76453:1 gene:Ccrd_005726 transcript:KVH92238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIEQKCRNVRKEDNRNSFLSKINLQDCVLSSVFDGRVPTACFGGERAFFAYGSSSLRKEKRSQLSCLVALHVFRAEKRRRARSEPNGISMGFDKKDESQKMIILHCFEDETNANLDYKAMFSTDSYPTLLSLKIHHGGLFTKAPDMKYINEWVGKNDMMIVSSNVEGDANTINLEDFESNSDENELETDRKKQLKNLRRNKADSGGKHQFCLRHIHENMKHTWKGKLYKDHLWMCATATTIPQFDKEMDKVKKLDIGLYEWLKKIPPHHWARSHFTG >KVH92239 pep supercontig:CcrdV1:scaffold_2134:84409:85704:-1 gene:Ccrd_005727 transcript:KVH92239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHFQKVLFLLLFFTISFLASSATLQPSIQLVGSRDLLEIKDSDGIQIPVKKKKLVTTPDALATTKNKNNTKLIKPTTTTTVTNSTKLTANKNSTKINKLQEKTIVKLKLNATSKPSNSNSTKLTSKPSNSTKPISKSLNSTKPILKSSNSTKPTSLLSQKSTDPAKKNKPTIVKKEVKKSTKPVGGLTYREDDDEDDFVSEFRDLPSRFQETLLPDLEVLSSTSKAYLNKANKQISKGFNPIVGKKYAPMVASIISFAFILIPFLLVSLIFNRIKAYFSLQKLVIFIQIYLSIYFSILSLSSIVTGLEPLKFFYATSQSTYICIQLLQTLAYVLYLLVLLMYLVLVFSTESAMASKLLGLGQTFVGFAVGLHYYMTVFHRAVLRQPPKTSWKVHAVYATCFLLICLLGTAERRKKAYVVDGSEEGKKS >KVH92240 pep supercontig:CcrdV1:scaffold_2134:3716:5752:1 gene:Ccrd_005724 transcript:KVH92240 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MAAASSPREENVYMAKLAEQAERYEEMVEFMEKVVAAAEGGEELTIEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEGHVSTIRDYRSKIETELSSICDGILKLLDSKLIGSASSGDSKVFYLKMKGDYYRYLAEFKTGSERKEAAENTLSAYKAAQDIANGELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDSAEEIKEAPKADE >KVH91480 pep supercontig:CcrdV1:scaffold_2135:37172:38995:-1 gene:Ccrd_006500 transcript:KVH91480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase MNRNHAVAATKMSQLLLMQLRPRLFSTTSHFASRSSIMGPSKIPMDTTIGTARVLAMHARNWSAHASDLGTNKKEDNDQKAPWEAYEADTSIDMKKHHKPVTWNDKIAFGIVQALKYPTHFYFQKKHIHHAVLLETVAAVPGMVGGMLLHAKSLRRFEQSGGWIKALLEEAENERMHLMTFIDVYRPKWHERALVFAVQGVFFNAYFLAYLASPKLAHRITGYLEEEAVNSYTEFLNDLEKGVMEDMPAPAIAIDYWCLPQNSTMKDVVRVIRADEAHHRDLNHYASDIQCGGHELKEYPAPIGYH >KVH91478 pep supercontig:CcrdV1:scaffold_2135:8412:12869:-1 gene:Ccrd_006498 transcript:KVH91478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/solute symporter MAPTCSPFQFSGNYYQLSGDGSCVRQSSFFGGKAVLNQGVGYSVILGFGAFFAFFTSFLVWLEKRYVGARHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGVSGPFWYASGATIQRSKERLLMLIPWGTAAHVVFLVFCFMTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLAMHVVLVIFVFLVYTASSELGSPSVVYRRLMEVSSRSRMCQEPLSHDGQACGPVDGNYNGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATALGLGALALDLPLTASEASHGLVPPATAIALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYVNPDANGKQILKVSRAVVFSFGCFMGILAVILNKVGVSLGWMYLAMGVFIGSAVIPIAFMLLWRKANAFGAILGTTVGCLLGIITWLSVASVEYGRVNLDTTGRNAPMLAGNLVSILTGGGIHVVCSLLRPQNYDWETTKQITVVEKEKSELSVDEFKEEKLISAKKWIIKWAWGTIGSAVIIVLPLAESWQAIQCVMLGMFTNDKLVGKIEELNLKLETIIKAVPDAERIYLLEKGKMKKNETSQLV >KVH91476 pep supercontig:CcrdV1:scaffold_2135:3845:5309:-1 gene:Ccrd_006497 transcript:KVH91476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MMHVTKQFHHIQIQLEAIISATNNFSDDNCIGNGGFGKVYKGELLHSERQTVVAVKRLNRAFGQGDPEFWKEIIMLSRYKHENIVSLLGFCDESGEKILVYEYASKKSLDLYLNSEDLTWIQRLKICIGAARGLAYLHNPGGTQQRVLHRDIKSSNILLDENWNARISDLGLSKFGPANQQITFLVSNAVGTIGYCDPVYVETGVLTKESDVYSFGVMLFEVLCGRLCIGNNNDKYRPLTGLARQCYEQNKINEIIYRNIKDEIKPRSLKAFTAIAYQCLKRDLEERPLMTKVVRILERALQHQGDANSPADYDSDDEDVVESDFSPLDGGTSRNHVAEKRLTMNMRLKELRERVKKQQEVVEEKASTSTDSLFH >KVH91479 pep supercontig:CcrdV1:scaffold_2135:59254:87168:1 gene:Ccrd_006501 transcript:KVH91479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MINVRSNEWVKCTVIMCIMMFLKTEGLEEVGITIIQSGIAKGAVCLDGSPPAYQLAKGFGDGVSNWLVHIQGGGWCNSVQDCVSRKTLIYGLGSSKLMTPLNFTGILSNEKEQNPIFYNWNRVIMRYCDGSSFTGDATSLYFRGARIFNVIVEELMNIGMKDAQNVFLSGCSAGGLASILHCDKFRGLFPTSTRVKCIADAGYFPHIYPTFLPPMNLILMANSPIVGKSSSNGMFINTCFAHCQSESQQAWSGNDASKLDNKVGITIIESGVAKGAVCLDGSPPAYQLAKGFGDGVNNWLVHIQGGGWCNTVEDCVYRKTMDNGLGSSKLMPMLNFTGILSNEQEQNPNFYNWNRVIMRYCDGSSFTGDVEEVDPATNLYFRGARVFNVIIEELMSKGMNDAQNALLSGCSAGGLASILHCDKFKGFFPSSTRVKCIADAGYFAHTISEGVGDWFYEKSEFRKIDDEHKLPHYC >KVH91477 pep supercontig:CcrdV1:scaffold_2135:24676:27816:-1 gene:Ccrd_006499 transcript:KVH91477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase MMSRGATTVVRSVVGQMGPRLFSTGVMKTSTAALVGSEKAMVAWWITSSPVNGARNASTMTLGDKQQKEEAVGGSATAAGSSQKAKEVVSYWGVQPPKVTKDDGSEWKWNCFRPWETYQADLSIDLHKHHAPTTFLDKFAYWTVKSLRFPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFMEVSKPKWYERALVFTVQGIFFNAYFLAYLASPKLAHRITGYLEEEAIHSYTEFLKELEKGTIENVKAPAIAIDYWRLPADATLRDVVMVVRADEAHHRDVNHFASVTDVHYQGLELKDSPAPVGYH >KVH88994 pep supercontig:CcrdV1:scaffold_2136:53724:59657:-1 gene:Ccrd_009027 transcript:KVH88994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKKFSSLIYKSRCHCFSSSSPSSSRGAAISSEVLNIVNSLEPMETALEQVVPFLSPGIITSVLQEQQNPSLCFRFYVWAAKRKQFRSWESHNLLIDMLVSSTLDMFDAYWKVLEEIKSCGIRIPSDAFTVLIDGYWKMNNAEKAVESFGKMKDFDCEPNLFTYNLILHILINRGMVLLALAVYNMMLKLNSHLNCSTYSILINGLCKSEKTSDALELFDEMMQKGIMPSKVTYTIVLSGLCQAKRMDDAYRLFNNMRSSHCKPDFITYNTLVNGVCKLGRMEEAFVLLKAFNKDGYDLDLNGYSCLIDGLFRARMFKEAHDMFQKMMEAGITPDVILYTIMIRGLCDAGRVQDAFEFLRNMSSRGLVPDTRAYNTLIKGFCDKGLLDEARSLKLEISEVNQFADSCTYTILISGMCKHGLVGEAQNIFDEMEKLGCIPSVVTFNALMDGLCKSGELQKAHYLFYRMEIGRNPSLFLRLTQGSDRVVDSGSLQTLVTKLCESGLTLKAYKLLTQLADTTILPNITTYNILINGLCKSGKINGALKLLKELQLKGKSPDSVTYGTLIDGLQSIGRENDAFMLLEEMVKNGCTPTAAIYRSLMKWSCRRKKTFAAFSLWLKFLSSTLKREEKTMKLVEEQLQKGEVERPVRLLLDMDIKLGDFDSAPYTIWLIGLCQAHNTVEALKLFDILKEYNINVTPPSCVILIATLCKQKKLNLAIEVFLYSLKKGFILKPRICNNLLKSLVHSQYEKEHAFELIKKMESCGYDLDACLNDHTRFLLSSCWRTQDTGIISTR >KVH88992 pep supercontig:CcrdV1:scaffold_2136:35585:35785:-1 gene:Ccrd_009025 transcript:KVH88992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MVQPLYDHDLTATKLIGRKVSDEVVQEDIKLWPFEVVAGSGNKPKIVVKYKDEKKDFLLKRYLPCF >KVH88995 pep supercontig:CcrdV1:scaffold_2136:43887:45470:-1 gene:Ccrd_009026 transcript:KVH88995 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4308 MATTVHSMSTNSTTTTLIDGKAPLRQSAVATSSQCVTLPSLPPPPLQQQNRAWKATGYCRKLARNVAAMATGEASPEVASTDLPELIKPIQEAWDKVEDKYAVSSLAVAGVVLLWGSTGMISAIDRLPLIPGVLELVGIGYTGWFAYKNLVFKPDREALIEKIKCTYKDIIGGSS >KVH88991 pep supercontig:CcrdV1:scaffold_2136:10652:16194:-1 gene:Ccrd_009022 transcript:KVH88991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTERHQVPIVASTGGLVETVKEGYTGFQMGASNVEVTTTISYIIYINTVTRALTVYGTPAFSEMIQNCMAQELSWKV >KVH88993 pep supercontig:CcrdV1:scaffold_2136:35034:35579:-1 gene:Ccrd_009024 transcript:KVH88993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MKGVAQTFLGLTVGKAVITVPAYFNDSQRQSTKDAAKIAGLEVLHMINEPTTAAIAHVLDERANTDDKMNVLVFERGGGTFDASLLTIDEGGDTHLGSENFDNRMVNLYVQEFKRKHKEDLSGNRKALARLRVHCERAKRIISTAILTTIDIDRLFNGVIFSTKLLAPSLKRLTWTCSRSA >KVH88990 pep supercontig:CcrdV1:scaffold_2136:34402:35001:-1 gene:Ccrd_009023 transcript:KVH88990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKNIDEVVLVGGSTRIPKIFPDEAVAYGAGYLAANLSDLGDEVVRGLKLIDVTPLSLGVSCKGDVTAVLIPKNTPIPTKKEDTLSGLPSAPRGGVEIKIRYEIDDNGILHVSARELTTGRNKAIKITGGSGLLKAENAKMIKDAERYKQEDEAHIRRRWPIKL >KVH88989 pep supercontig:CcrdV1:scaffold_2136:7376:10427:1 gene:Ccrd_009021 transcript:KVH88989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MAFSGSKIIQQPLPLHTTIRSPDNPLLSDPFTAAPFLGSTRTLRLTHSISASQRRSASPIVAVSDVFKEKKLKSNTDLLLTKEEGLQVYEDMILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSSGFIKLLKKEDSVVSTYRDHVHALSKGVPARAVMSELFGKKTGCCRGQGGSMHMFSAEHNVLGGFAFIGEGIPVATGAAFASKYRREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIIFVVENNLWAIGMSHLRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKARYAARDPITALKKYLIESKLVNEAELKAIEKKIDELVEDAVEFADESPAPSRSQLLENVFADPRGFGIGPDGSYRCEDPKFTQGTAQV >KVI00711 pep supercontig:CcrdV1:scaffold_2137:63711:73550:1 gene:Ccrd_021036 transcript:KVI00711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MRMGGRIKKHDFGSLSVELGAGWIAGVGGKESNPVWELAVQSGLRTCFSDYSNARYNIYDRSGKIFPSGVAADTYKKAVESAIQKLRTDQDSLLTADVSDVPELSTIPETPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEHLLYKMAEEFLFTSDSKILDNRLKLNTVVRELQHSRDGVKVRTEDGCVFEANYVILSVSIGVLQSHLISFNPHLPRWKMEAIQKCDVMVYTKIFLKFPYKFWPCGPGKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVESQSDQETLREAMEVLRDMFGPDIPEATDILVPRWWNNRFQRGSYSNYPIYANPQMVQNIKAPVGRIYFTGEHTSERFSGYVHGGYLAGIETGEGLLEEIKSREKERILEPLIAVTLSQKCDEIHRQVLFHGNSKREAIL >KVI00712 pep supercontig:CcrdV1:scaffold_2137:74314:75618:1 gene:Ccrd_021037 transcript:KVI00712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-1,5-bisphosphate carboxylase small subunit, N-terminal MASISASSATVATPGQLTLVAPFTGLKSNAAFPLTKKSNNDLSSLPSNGGRVHCMKVWPPLGLKKYETLSYLPPLPESSLAKEIDYLLRNKSPGYYDGRYWTMWKLPMFGCTDSAQVLKELNECKKEYPNAFIRIIGFDNVRQVQCISFIAHKPKGTMFIEIEGFVVSFI >KVI04608 pep supercontig:CcrdV1:scaffold_2138:90833:91099:1 gene:Ccrd_017073 transcript:KVI04608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCNSQGKLLKSCGTQVAEPLRAIAIGVSLEDTRHPTLHYDTIWQEVEAQVIEVFRRSTKVMDGTGNQELRTRLEADESEADESKWK >KVI04609 pep supercontig:CcrdV1:scaffold_2138:37711:43151:1 gene:Ccrd_017072 transcript:KVI04609 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT-like protein MQQQHQQTPQPPTLNSGTSFSPNAITTEQIQKCLDDNKNLILAILENQNLGKFQECAQYQAILQKNLMYLAAIADAQPPTTQSQPSIPSQMPPNSMPQQANRGMKQDGSEAASGGDGQGKSRGGRDS >KVH99369 pep supercontig:CcrdV1:scaffold_2139:53389:57777:-1 gene:Ccrd_022400 transcript:KVH99369 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEEQHLFYYFLPFFSFLPLLLLLSKFLLXTQTRNHTNLPPSPPSFPIFGHFHLIKDPLHRVLQKLSLKYGPVFTLRFGSYPVLILSSPSTLEECFMQNDVLEDRPRLITGKFMKYDYTSIIPAPFGPYWRKLRRITTIELFSITRLNTYVDIRQDEIRSLIKTLFLESVDHDDFTKVGFRSKLQDMSFNIIMRIVSGKRNFGPELDDLKEAVNFQDMIREISKVGGGLYLSDHFPFFRWIDFQSMKMTLLRLKVKSDAFSDEYGKKHGVSNKKLMDAMLSLHESEPESYPDQILKGIMLVCFPLIQFSVNYFRDDKKYPITESTILLVGTDTSAVTIEWAMSLLLNHPHVLQKARFEVDEHVGQDHMIQEHDLHKLRYLQNIVNETLRLFPTSPLFVPHESSKDCTIGGYSIPRGTMLLVNTWAIHRDPKVWDDPTSFRPERFEKPVGEGYNYIPFGMGKKQCPGAGFANREVGMALAAMVQCFEWKRVSEKMVDLNEAKGLTMPKNEPLEAMCRTRESMVNVLSKL >KVH90267 pep supercontig:CcrdV1:scaffold_214:345028:347638:-1 gene:Ccrd_007742 transcript:KVH90267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEYWLEAALTNDTLVAEFLLRLKQSSSSDSLHAPPPPPSSITSLLPPPGWGHRKNRSKSTPSTTIVIAKDQRGSPTTHLSWSGASTSDGYEESSRPSDLSSGGRSIKANEGASTSGYNKSLKRKSFAELKEEEGSLLKERMHINKELASMRSNLHQQMATSENLKRIKINYDENPRNVHEPAPVLANQSVQIPCRVEAESKALEKGFVLPDLNMMPNEDDVTMVS >KVH90263 pep supercontig:CcrdV1:scaffold_214:96257:101072:1 gene:Ccrd_007723 transcript:KVH90263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAGKVVANRPSTSFDFEIVDNDYDQLTTISASANHINPWINPASIKLRHRLGRGPFGDVWLATRHQVTEDYEEYHEVAVKMLHHIKEDNIKAVLDKLNVLLSETQGLQGACLLHGVSVISGKICIVMKFYEGSVGDQMARFKGGKLSLPDVLRYGIDLAQGIMEVHSKESLILNLKPFNILLNGDDKAILGDLGIPYVLLGVPLPSSDMTRRLGTPNYMAPEQWQPEVRGPLAFETDSWGFGCSIVEMLTGVQPWCGKSIDEIYNSVVKRQEKPHIPDGLPPAVVNVIIGCFEYDFRSRPLMADILHVFESSKNAAYHDGSWTNTGSRTIVEKSNWNGYTEWFLSKDRLQVGDMVRSRKPLNSCKPENMVVPAGIIVGLDTDSNQNGFVLVRVHGIHDPVRVHGSTLERVTFGLAAGDWVRLKKENNKHSPIGILHSINRDGSVAIGIIGIETLWKGVYSDLQMAESYCTGQFVRLKSNVISPRFQWLRKRGYEWATGRVSQVLPNGCLVVKFPNRLAFGDENSCFLADPAEVELISFSTSPNMMKKYQHLEDFHWVVRPFLVSLGLFTAIKLGFCVGKKMGRATAKNSEILENQQRVNITNAKWLPPNMANIIFREGVTTTPR >KVH90268 pep supercontig:CcrdV1:scaffold_214:361762:364043:1 gene:Ccrd_007743 transcript:KVH90268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MGFFEILLLISCSFLEIVHGYYLPGSFPHKYDVGDRLTVKVNSLTSIDTEIPYGYYTLPFCRPIEGIKDSAENIGELLMGDRIENSPYRFKMFKNETQIVLCKSNPLTADEFKTLKERIDEMYQVNLNLDNLPAIRYTKKDEYFLRWTGYPVGIKVKDVYYVFNHLKFTVLVHKYEHNNVVGVIGTGDGAELITHGNETNNEGYMVVGFEVQPCSVNHDISLLKYAQPYSKYPKEIKCDPLSVAMAVKEGRYVTYSYEVSFVLSDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGVVLVIFLRTIRRDLARYEELDEAQNQMNEELSGWKLVVADVFRAPNHPALLCVMVADGCRILGMAVATIFFAAIGFMSPASRGALISGMLMFYLLLGVLAGYVAVWLQRTLDAGNPRRWFSAASRVACFFPGISFLILTILNSLLWASQSTGAIPFTTFIVLILLWFCISVPLTLIGGFAATKARYLEYPVRTNQIPREIPTPRFPSWLLVLGAGTLPFGTLFIELFFIMSSIWLGRVYYVFGFLLVVLILLVMVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVALYIFLYSINYLVFDLKSLSGPVSAILYLGYCLFMVLAIMLATGAVGFLTSLFFVHRLFSSVKID >KVH90270 pep supercontig:CcrdV1:scaffold_214:281785:285678:-1 gene:Ccrd_007739 transcript:KVH90270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVWSGQPFLYSSTTRAYLYGLQPKHIRLFSSSSSSSSPPLFPPTSLLPNSQKFPKFLHVKRFKNIEFWIMGCGNFFFTLIITFSVALITYNIIISANAPLRQELPGSSQGVLSVDPIIKMPGDRKSASASKKRLFHTAVTASDSVYNTWQTRIMYYWFKKMKKNGGVNCEMGGFTRILHSGKADKFMDEIPTFVAQPLPPGTDQGYIVLNRPWAFVQWLQQAEIKEDYILMSEPDHVIVKPIPNLSRDGLGAAFPFFYIEPKKYESESLKKIAPTWMNVSLAMKKDHEADKAFGWVLEMYAYAVSSAFHGVGNILHKDFMLQGKLTYGKIGEWRFDKRSFDTFYPPRNLPLPPPGVPESVVTLVKMVNEATENIPNWGP >KVH90276 pep supercontig:CcrdV1:scaffold_214:239574:248842:-1 gene:Ccrd_007736 transcript:KVH90276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSRKSNAPVMPLTSTFHRSSSPSGRFGVHSSAFAAEVSSPFASSTSSSFYSSPSPSHVYGRPSSPTRVNLHGLAPVTSSSVRFSLASRSGSPNRSMAMSSRDQVVRKQNAGNPLKNLPKKTCMCSPTTHPGSFRCSLHKNYNTSHGMTYSPNRLNARRSAMTNSLVRIGTVEGGDLVKRALAALIRPSSHQQRRRSAFEPRPSHLPALVLGFWRRLQFVIRFRVRPVMFRAVHQNPCYVPLSAVTFRYPSPFTVLFAVIFEEQAAGYHGSSGGICLSCKKIEVRDGSETIH >KVH90275 pep supercontig:CcrdV1:scaffold_214:264087:267392:-1 gene:Ccrd_007737 transcript:KVH90275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTIPYPFSFLLLFLALFLSSSNGESRFSISQSKPTSGNTGRGIYDLVDSWGRKRFLGDEEKNRNTSLVLAQQRTTRKDPLDKFKIYTGGWNIKDRHYWASVAFTAAPFLVVAATWLRNVSDDLAAAKKIAVAQVFLPVDVQADIDNIQTKLNVSATELSKRTKENKKYVESLQLGVVRMGFCDRNICVMWCVPLSPKVYSKFVCQVSASGVCTTAGRLTPDSFNQMSAGIELSYSLYLYGPFLVELQDCTFVRQTFTDISQDHCPGLCRYLNWIYIGLFMVSLAVMLSLVFWVILGRERRHRVYTKTVVSSSGRGGEKFM >KVH90266 pep supercontig:CcrdV1:scaffold_214:150764:153673:-1 gene:Ccrd_007727 transcript:KVH90266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MEEEERVEKRLARARVAILEAGRMRSYKMSWRLTDRTTFTPRGPIYRNPYAFHQSHIEMEKIFKVWAYKEGELPIFHGGPMNNIYSIEGQIMDEIESRKSQFLARNPNEALVFFLPVSVVSIRHYLYKSRSDYDRRIIQDVVTDYIAALSNKYPYWNRSSFQPERDVSLPEINIPYYHLGPPLVGQPPENRSILAFFAGGNHGHVRKYLFDIWEGKDEEIKVLNYLPKTLNYSDLMSRAKFCLCPSGYEVASPRIVESISAGCVPVIVSDHYVLPFSDVLDWSKFSVEVPVAKVPEIKKILEAIGMDEYLKLQKMVMKVQRHFIIHRPSKPYDLIDMVFHSVWLRRLNVKLS >KVH90269 pep supercontig:CcrdV1:scaffold_214:293270:296375:-1 gene:Ccrd_007740 transcript:KVH90269 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELK-like protein MAFHPNHLSQEMALQQHFSDTDNNSVLRTILPDHHHHHLAVAAQSSPSSRSVGKDHWLNSAILRQQNQYGAGAADGGNNFLNLQTNNNSESAITTSSQLHHQQHTGNNNNQWLSRSMMQRNVSDVRGDDIVSQVSNDSIIAAMSSHDSPDLNNQSRSVVASQVDNNGGESEVGGGEGALVNWQNARHKAEVLSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVSKYSALGGHANLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVESDANLFDGSLDGHDSMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKSWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNPSSSSSSTVLKTKRKR >KVH90260 pep supercontig:CcrdV1:scaffold_214:70157:72841:-1 gene:Ccrd_007720 transcript:KVH90260 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MAPTTFRKAIGAVKDQTSIGIAKVASNMAPELEVAIVKATSHDDDPAGEKYIREILQLTSYSRGYVSACVHAVSKRLSKTRDWIVALKCLVLIHRLLNDGDSVFQQEIMYATRRGTRLLNMSDFRDEAHSNSWDHSTFVRTYGFYLDQRLDLIAYERKQSGAGSGDPEKSREERWKSPPNRGYDYDYNEFRDEPGYGNMRKSRSSGDVREGSGGSSQEKKDATPLRDMKPERIFGKMGHLQRLLDRVLSCRPTGLARNSRMVLVALYPVVQESFKLYADICEVLAILLDRFFDMEHHDCVKAFDAYAGAAKQIDELVGFYNWCKDMGVARSSEYPEVQRITGKLLETLEEFVRDRAKAMKSPEKKPEVVEQVKEEEPVPDMNEIKALPAPETCAPPPPPEVEPPKPKPQHTGDLVDLREEAMTADDQGNRFALALFAGPAANKGNGNWEAFGSNGEAEVISAWQNPAAETGKADWELALVETASNLEKQKAAMGGGLDPLLLNGMYDQGMVRQHVNTSQLTGGSASSVALPGKTATPVLALPAPDGSVQTVGGDPFAASLSVPPPAYVQMADIEKKQHLLVQEQMVWQQYARDGMQGQVSLAKINSGGYVAPGQPPMMPYGVPPVNGAGYYYPTPY >KVH90283 pep supercontig:CcrdV1:scaffold_214:28857:34334:-1 gene:Ccrd_007717 transcript:KVH90283 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2, C-terminal domain-containing protein MVDVDDSMVVSGNGSTHDVDHQQFSNYEEEDDSVEIIARIPNYNSESKEGNGSSIESFAEEVEESNNLDAFKELEVKDTDLSAQPKCQDATGNSLGVKKDTKHKIDGNDAKKASSVRSGSVASDVHLKQSVPSEKKSSNSKPAIVQVKAPLSKFFRKSICLPQEPGKSDSLMSTANVVQSEGVKEKNKAKSVKAVHGSTTDGRTHSSESSTTGDEKSQRLGTLPAYGFSFRCNERAEKRKEFYSKLEEKIHAKEEEKNTLQAKTKEHQEAEIRMLRKSLMFKATPMPSFYHETPPKMELKKVYMYIFIPTTRAKSPKLGRKKDSAAAEMEAANGGNTFRPARLSLDARKSLNNKTVAKVVSAVPTKKPTRKSLPRLPSEKTKLPSDSKDSREITISSKKTNEVESEAKQDSSQEQEVGEEKMEK >KVH90274 pep supercontig:CcrdV1:scaffold_214:165880:171264:1 gene:Ccrd_007730 transcript:KVH90274 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MDGESYSFPNVMTCHDVMTTVSIPKAFTKHLKSRRNNKTAILKRGGQKWPVKIVDHWVFGEGWETFVRGNGVQDFDFVFFKHEGDLVFDTIVFNASCCERDYPSNKVTIIEAEKACPEAKSLRNCIIDTSGSETGNKLIRCHSEADGSNLIDISMKSLLKAEGTRIPDQNHHPCFIRTLKSATSKHKLYLPKAFALSNGLINGEIILKNVENEGSWKVNMTNYCGEVFYVHHGWREFCIANGLEQGDSFQFELIKKGEKPVANISRISKKTPMVNAAHNPCFKSTATPYSIKNSLVNIPSDFARSNGLDKRNCEMIVMDEKRRLWPTKLCCKDNRVRIKGSREMQIANGLKEGDEFLFELVDKGNKPLMNFHSNLSLSPPFNLQVTQDL >KVH90271 pep supercontig:CcrdV1:scaffold_214:277454:282694:1 gene:Ccrd_007738 transcript:KVH90271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVASASEYLVITGFGIDDIKIAKKAWVLPGQSYSIFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRSDDMDSLHKYAKLISPHDKLSHHVNELVKGIIEGETRVLAASMTMEEIFRGTKDFKQEVFEKVQLELNQFGLWIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKIDVSEARMKGEIGSKLREGQTLQNAAKIDAETRIISTQNQGQGKKEEIRVSTEVKVFQNEREAEVAEANAELAMKKAKWAKDSQVAEVEANKAVALREAELQKEVEIMNALTQTEKLKAEFLSKASVEYETKVQEANWELYKKQKDAEAILYEKEKEAEAQKAIAEATLYARQQIANGELYAKQKEAEGLVALAQAQGTYIHTLLGALGGNYAALRDYLMINGGIYQEMAKINGEAVKGLQPKISIWTGASGGGEGIDGAAMKEVAGVYKMLPPLFNTLVDVPGHEYFSYLGQKTQMGAANQDKIDVSEANMKGKIGSKLRDGQTLQTAAKIDAETKIISTQRHGQRKEEIRVSTELTTLSGTPGGGSGRFLGG >KVH90280 pep supercontig:CcrdV1:scaffold_214:48271:54550:-1 gene:Ccrd_007718 transcript:KVH90280 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MSITGFDLSLRNLCSPNIPQFALRFKFPAQVRRKTDYGCNCTCTAVRPALCSTSSSSSTAVSDSRDADENHVPTSYGRRMMISVFGFLFPLHGSMQSSGANAADDLLLLQGEIRKVLSKGKAAGVLRLVFHDAGTYEMDEDSGGMNGSISFELDRPENKGLKKSLKILVEAKKIVDETQPGSHRPWNYGILSPLSLADMIAVAGAEAVSLCGGPKIPVQLGRLDSMVPDPEGKLPEETLDAFGLKHSFQRKALSGAHTLGSKGFGNPVIFDNAYYKVLLEKPWLSSDRALIEDDECLRWISKYGDDQDLFFEDFKNAYIKLVNSGAKWRRNS >KVH90262 pep supercontig:CcrdV1:scaffold_214:89381:90559:-1 gene:Ccrd_007722 transcript:KVH90262 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit B/B', bacterial/chloroplast MANMIMASSKTLITSPPTPKSKHQPLPQPSVSLPLPLPLIKPRFSLSITPKSLAAAALVAASVAATPLPSLAVEIEKAQLFDFDLTLPIIAAEFLFLMFALDKVYYSPLGNFMDGRDAEIKEKLSSVKDTSSEVKQLEEQAAAVMRAARAEISAALNQMKKETATEVDAKLAEGRKKVEAELQEALAGLEKSKDDTIKSLDSQIAALSQEIVNKVLPVQ >KVH90264 pep supercontig:CcrdV1:scaffold_214:118824:119168:1 gene:Ccrd_007725 transcript:KVH90264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPQALSNKLNVIGMLRLIPKTLALMAVQRQAATSKSTKPSSSAQHLLDAGVPTTTLTRPRTSAQTPNFSVSLGQKGFAGGPLGGNGVGIHEPQASEYVTVKMRKKMKILVME >KVH90261 pep supercontig:CcrdV1:scaffold_214:77926:85985:-1 gene:Ccrd_007721 transcript:KVH90261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin MKRYNQVETRISPPCFLSLSLSLSVYVHCLVMGILSFCFLVFSIHKLVDAQITTEPSEVAAINKLIDYWGLSSKLNLTTDPCTQGASWAAETANPRIACDCPANICRITHLKIYALDISGELPPELFQLTELMDLNLGQNILSGSIPPGIAKSFSSNNFNGPLPVDLGNLTSLEQLYIDSSGVSGPFPQELSSLKSLQTVWASDNGFTGKLPEFFGTFTNLTTLRIGDLGGEDSTLDFLVNLTSLSILYLGSNRLNGEIPSNIITPQLRALNVVGTLVNGDGLLDGFDDDSETLGASSVYTASNNKWAVSNTGSFISNPNGPQYTAQTASQITGTLESELYKTARISPNSLRYYGLGLRNARYNVELHFSEIQMDDSESWKGLGRRLFDGERVLQDFNVKEEARGSKRALVRSFETNVTNTIIDVHLVWAGKGTCCIPVQSTFGPLISAIHVSRASIGTGSSNDNKKQVGMIVGITLGGVAAIFIIASVFYLWRVKKTPEHVPIHIDSPKKSRTSI >KVH90265 pep supercontig:CcrdV1:scaffold_214:143949:147930:1 gene:Ccrd_007726 transcript:KVH90265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MTILYTLVARGSVVLAEYSGTSTNASTIARQILEKIPGNNDMNVSYSQDRYIFHVKRTDGLTILCMADDVAGRRIPFAFLEDIHQRFARTYGRAVLSAQAYGMNDEFSRVLSQQMEYYSNDPNADRMNRLKGEMSQVRNVMIQNIDKVLERGDRLESLVDKTANMQTNTFRFKKQTRRFRNTMWWKNVKLMVALVFLLLVIVYIILVFMCHGFALPTCLR >KVH90259 pep supercontig:CcrdV1:scaffold_214:157299:163316:-1 gene:Ccrd_007729 transcript:KVH90259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDVGPGLEDKNSSLMLRERGKFSPTRYFVEEVITKFDETDLRRSWAMLEGKESQHRQKLESGQGMISKALIVDMSEELSEGEKVETVKDLSPMGVSPKGGLKRVNSVDVFNNFATQQKEKKLYIVKYVVELARALGAMPGVYRVDLLTRQVSAPGVDWSYGEPTEMLNPLNIDEGESGESSGAYIIRIPFGPKDKYIPKELLWPHISEFVDGALSYILQMSKVLADQIGDGKPVWPASIHGHYADAGNAAALLSGALNVPMLFTGHSLGRDKLEQILKQGRQSKDDINTTYRIMRRIEAEEITIDASEVIITSTRQEIDEQWGLYDGFDPVLERKLRARNRRNVGCFGRFMPRPVVIPPGMEFKHIVPHKDEDPDGNQDGSTTPDPPIMRFFTNPHKPIILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDAIDEMSTTNSAVLVSILKLIDKYDMYGQVSYPKHHKQSEVPDIYRLAARTKALDNGLLIDPHNQQSITDALLKLVADKQLWARCKQNGLKNIHLFSWPEHCKTYLARIACCKPRHPQWESSAVGYEYSEAQSPEDSLRDLNDLKISLDGVIHDQGTTSDNSQNPDKNSHSIKTKSSVGKKLDLLAKLSLKLEKLGGSKKETLAKIGSQDKLEFPGLRRKKSIFVVAVDCGMTKDFVELLNIVIEIVRVEKKGESVGLILSTAATISELRSFLKSSKIGLSEFDALICNSGSEIFYPPSSSEGSQKSLQLVMDSDYSSHIDYRWGGEHLKNTLVHWASSIRERKKDQEFVVADDSDSRHCFTFRIKDSES >KVH90279 pep supercontig:CcrdV1:scaffold_214:55235:57652:-1 gene:Ccrd_007719 transcript:KVH90279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MGTSELFCLLVISWFFLFLPHTCLSSVRRIGKVKPGFQGSQMQFIDNNGLFLVSNTSSFGFGFNANSDITSFTLVIIHMSSSRIIWSANRDYPVGNSDSFVFDDDGNANLQSNGKVVWSTNTAKNGVSAMELLDSGNLVFVKDDGAVVWQSFSHPTNTLMPNQDFIEGMKLVSNSKNNLSFSLQIKTEDLILSAEFKNPQPYWSMSKDKRRIINKSGGDTNSATIEANSWRFYDENKVFLWQFVFADDPDANATWIAVLEDDGFIKFYNVLSRITASLQIPDDSCSRPQACSSYFVCHDGNICQCPLGLAQVNCKPQIASSCSNSKDSSSLVNAGENLSYFALGFVSPSSKTDINGCKSSCLNNCTCLAMFFDNKSGNCYLFDQIGSFEDAKNGPKVESYVKVSGTQSDTGQGQKNKKHSTRVVILVIVIVIAGTFIIIGLVLLGIRYYKNNGSIGVPDEISEEDTFLENISGMPIRFSYKDLQEATNNFTTKLGQGGFGSVYQGILKDGSRIAVKQLEGIGQGKKEFRAEVSIIGSIHHHHLVKLKGFCAQGKHRLLVYEYMANGSLDRWIFGKFLMDWDTRYSIAIGTAKGLAYLHEDCDVKIIHCDIKPENVLLDDNFLAKVSDFGLAKLMTREESHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKNYSSSEISQFPTYAFKMMEEGKGQIIVDEKMKVDENDERVAVATRVALWCIQDDMNLRPSMTKVVQMLEGLCPVPTPPVTAQTGSRFYLGLFKSVSEAGTSSGPSDGNVDSYISDARLSGPR >KVH90284 pep supercontig:CcrdV1:scaffold_214:25401:27236:1 gene:Ccrd_007716 transcript:KVH90284 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MYTNPMDLGIFSSLGHHVEVYSLPCKRSRISAPFVFSGEVCKKQKTTIEVLPDECLFEIFRRLGGNKERSSCASVSKHWLMLLSTIHRDEISKDSEKESIPSDGHLSRCLEGKKVTDVRLAAIAVGSAGHGGLGKLSIHGNNVVRGVTNFGLTAVARGCPSLTDLSLWNLSSISDEGLVEIASGCHRLEKLDLCQIPAISNKSLMAIANNCPNLTELSIESCSNIGNEGLEAISRSCHNLKSISIKNCFLVGDRGIASLLSSASYSLKKVNLQALSLSDLSLAVIGHYGIALTALVLAGLPKVTEKGFWVMGNGQGLQKLRSLIITSCSGVTDLGLEAVGKGCPNLKQFCLRKCSLLSDNGVTSFAKSALSIENVLLEECNRVTECGVFSLLVNCSSNMKSLTLENCFGIKDLAPQIPFSRCNAFRSLSVRNCPGFGNNSLVLLGNLCPQLQHVEFTGLHGITNEGFTPLIRCCEAGLVKVNLSGSMNLTDNMVSEITKVHGETLEILNLDGCRSVTDASLVAIAFNCLKLSELDVSGCTITDSGIAALACAIQLNLQILSISRCRLVSDKSLPYFAKLGVGGLNLQNCDGISNPAVSHLVNRLWHCDILS >KVH90273 pep supercontig:CcrdV1:scaffold_214:187319:193121:1 gene:Ccrd_007732 transcript:KVH90273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold GVAVQNGGRIVNAEFNFLRISHSLFLHGCSTEIPNDFILTASTIVFSISFLFFFTRNYNWIVKLIGVHLSRDLMMYNFLNQEKIARNGGGYTINGQVKIPGFGAKGFGLPVKTNNAKVTLNGGQRVTFLKPDGYFSFHNVPAGTHLIEVDAIGYFFSPVRVDVSARNPGKVQAALTENRRGLNELVLEPLREEQYYEIREPFNIMSIVKSPMGLMIGFMVVVVFLMPKLVENMDPEEIRKAQEEMRNQGVPSIANLMPGGGRSN >KVH90278 pep supercontig:CcrdV1:scaffold_214:204903:210775:-1 gene:Ccrd_007734 transcript:KVH90278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 79 SLILSLHLTNFLVFLSFSLSFHHSLSFSLHLKKLMMICKSTNGKRRPKPVVLEMCLLIGIMGLCLLVVMLIIRNLHSHKIRFEKGMVYVNGTTSIGFVDQDFICATLDWWPPQKCDYGTCSWGTASLLNLDLNNKILLNAIEAFSPLKLRLGGTLQDKVMYQMAGNQEPCPQFNGSPKELFGFTQGCLPLSRWDELNIFFQKSKAKVIFGLNALSQRHVSMDGSVVGPWNSSNAEALMKYTVDKGFTIHGWELGNSFGLKTNNCIVGNELSGNGIGARIMADQYASDTISLQNLVQNIYKSFEVKPIVLGPGGFFDANWFTEYVMKSNGSLQAITQHIYNLGPGVDNDLVNKILDPSCLDGGSQPLRDLQKILKEFGNSTIAWVGEAGGAYNSGHDRVSNTFIFSFWYLDQLGMASSYDTKTYCRQSLIGGNYGLLNTVTFVPNPDYYSALLWHRLMGRHVLLTSFDGTKKIRSYAHCSKHSDGITLLLINLDSYTTTAIGLSVENVTMITASNQLKQTQRTQSFQSSSNEFTREEYHLTAKDGNLNSQTVLLNGKELSVNSTGIIPSLDPVEVNISNPIIVAPFSIVFVHMPDIHLPACT >KVH90272 pep supercontig:CcrdV1:scaffold_214:195209:203969:1 gene:Ccrd_007733 transcript:KVH90272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGPLFYKEGLPTTIPKTEKQRGRSSSASSKNSSRPSLLLAFFSCLAWLYIAGRLWQDAENRTLLSNLLVKNSAQWHSVRYDSDHNYPELLSELLFDWVGLGLAQWARARLGHRSARPKVLTVEDKLMVLGCKDLERRIVEAEMEITLAKSQGFLENHLKQPGSSSSKKLLAVIGIYTGFGGRQNRNAFRGSWTPGGQFIICITFYTSYDVDSLKKLEERGIVIRFVIGRSPNRGDSLDRNIDEENRTTKDFLILDGHEEAAEESPKKAKFFFSAAVQNWDAEFYVKVDSNIHLDLEGLIELLESRRGQDSLYIGCMKSGVVVSEVGKQWYEPEWWKFGDEKSYFRHAAGSLIILSRNFANYININSASLKTYAHEDTSVGSWMMGIQATYIDDGRVCCSSSRQDKVCSLA >KVH90258 pep supercontig:CcrdV1:scaffold_214:156516:156924:-1 gene:Ccrd_007728 transcript:KVH90258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase MVASRAQALRYLHVRWGINLSSTVVIVGDYGDTDYECLRGGIHKTLVIKDVCNESKKLHNNRSYPLEHVITFDSPNVVLADGCKKNQLSDAMEKLGTLKV >KVH90277 pep supercontig:CcrdV1:scaffold_214:229436:234534:-1 gene:Ccrd_007735 transcript:KVH90277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MGKPTGKKKLLPRVEKVVKQNKSASSDRTSKQIDEDTAVFIRMSQEMKEEGNKLFQKHDNEGAMLKYEKALKLLPRNHIDVAYLHSKMADCYMQLGLGEYPRAIEECNLALEVAPKYGKALLRRARCYQALNKFDWALRDVKNVLSMEPNNVTALEIEDSVKKAIEGKGLKVEDIDVGLPPENIEPNSLEAVKVLKEKVKKKKSNSFENKKGEEVKESKSEEKVKVSKVNRVKDKRADQVEKKADKKVVVEDRTSIKEEKVVMRTVKLVLGDDIRWAQLPVDCSIGLVREIVRDRFPGLDGVLIKFKDREGDLVTIITTAELRLAEASSDPQGSFRLYLAEVSPDKEPFYEGLKSEGTSKAHSIISSVSENVEKNREVEKGTTCVEEWIVQFARLFKNHVGFDTNPYLDLHELGMELYSEAMEDTVTTESSQKLFDIAGSQFQEMSALALFNWGNVHMNKARKWVVVAEDGTKESVAQQVKVGYEWAQKEYGKAEKRYEEALKVKPDFYEGHLALGQQQFEQAKLSWYYALGSKADMEDGPTSQVLELYNKAEDNMEKGMQMWEELEERRLNGLSLSDKHKDELLNIGFEGIIKDVSADEAAEQAANIRSQIYILWGTMLYERSVVEFKLSLPSWEECLEVSIEKFELAGASPTDITVIIKNHCSNGTALEGLSFKIDEIVQAWNEMFDTKRWQTGLPSFRLEPLFRRKVSLLIAVFNHVPFATGSKRSEEFFRISLLLVRVRLLSTAKVTEAPGPTYLFP >KVH90285 pep supercontig:CcrdV1:scaffold_214:332877:335478:-1 gene:Ccrd_007741 transcript:KVH90285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 GGRKQCLSRLVINQNKFIYLYSLHSRVFFDSPGTLKPFAAVHCENMKTILSSETMDIPEGVEIKVKAKIIEVKGPRGTLTRNFKHLNLDFQLITDEETGKLKLKVDAWFGSRKTTAAIRTALSHVSNLITGVTQGFRYKMRFVYAHFPINASITNNNSAIEIRNFLGEKKVRKVDMLDGVTVVRSEKVKDELILDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSDKAKIEEEN >KVH90282 pep supercontig:CcrdV1:scaffold_214:7985:9664:1 gene:Ccrd_007714 transcript:KVH90282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MAFKVEIKATHIVKPSSSTSDHLETHKLSLLDQLSPSVYPPIIFFYDTKQDSNLTPLLKASLSRVLASFYPYAGRINGDAFVDCNDDGIPYSEAIVDCSLSDVLKECDLNLMTQFVPLTEESVNPDHTIPLLVQVSFFKCGGIAIGACSSHKIGDAANFFTFIREWANVSLNDNLLLVPDFTISSLFPSIGSLNFNTGIKIPMNEKLVRKRFVFDASSISSLKAQTTPSTRVQAVSALIWKCAMNAVKTSVHGPDKPETKSSIAMTLVNMRGRLNPPLPETSFGNFVGSFLAEKRFDDDGEIELGGLVAQLRHGFKEFCDVYMKEVQDPKDGMLAILNYSKKIGEMLQRNGTEVFTFSSWCGFPLYEIDFGWGKPRWISVTNTPFRNGIMMMDTKEGNGIEVWANLEEDVMAIFEQDHHLDHIVLDHEIGVTTKRRRGK >KVH90281 pep supercontig:CcrdV1:scaffold_214:11834:17014:1 gene:Ccrd_007715 transcript:KVH90281 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4050 RIVVKQTERTQGFNFQWELAIKENNTPHIISHLIKSTNKYHVLRLLCSLVVRFDLQEIRFGSLTFCFLIFRCKFSDQFPVASAKFSNSWGGGWRGGVYHVHRKMFNVVCVTSRGCLGCCTKPPLVTTDNKPTGGLGKSADTSKPSILEDFWSSSTYEMDNSAPQSQISASSTSNLAADAQCSSGSNPSEFVNRGLLLWNQSRQQWIGEKAPHHHKKAQESVISWNATYDNLLGTNKPFTRPIPLPEMVNFLVDVWEQEGLYD >KVH87870 pep supercontig:CcrdV1:scaffold_2141:49644:50196:-1 gene:Ccrd_024816 transcript:KVH87870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEQSPSVANVELSSLALFTTLVTLLRRGMIKLGKQKRVVLTLSKLMFFGTLMSLFLVSMIFLATWILSGFLKPFKMKRFIFFFVWGHMFVPNGIMGFPTWLHYIPRIELQDENTWFM >KVH87869 pep supercontig:CcrdV1:scaffold_2143:48481:89131:-1 gene:Ccrd_024817 transcript:KVH87869 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein MEDLQRLLLHDRGLSVLTLPEKGRCLITTRDFSYALVIYMIICIIRIQNLCKFRPAFRSVRLQYGVDYYPHRWMLINLFLIIYVIGETILSEEPYVSVPNKTPVQSRCDWCFTSSNVKRCSSCQVVWYCGSRCQKSDWKLHRLECQLLARLEKSRIRSLTPSIRLMVKLYLRRKLQDEKVIPTTVIDNYNLVKALISRILAYLLYCYVFPFILSLQNMFILKQPYLIYTNFLDHVYADISEVGETQLVLYAQMASLVNIILQWPGLNIKEIAENFSKAQSYNNQLEEACSIMKQLQTRNTILPIIIKLACNAHTICDSELIPLGTGLYPVISIINHSCSPNSVLVFEGRMATVRAMQRIPKGSEVLISYIETAGSTMTRQKALKEQYFFTCCCPRCIKLGQHDDIEESAVLEGYRCKNHTCYGFLLRDPESNGFKCQQCQLVRDKEEILKIAGEEKAMTEKASVALSSGHTNEALHMYLMVEKLQVKLCHSFSINLMRTRETLLKILMDLQDWKKALTYCRLTIPVYERVYPRFHPLRGLQYYTCGKLEWLLGYTKEAIKSLTQAVDILCITHGTTSLFMKDLIIKLEEARAEASFKLSSTDD >KVH99292 pep supercontig:CcrdV1:scaffold_2144:6594:10443:1 gene:Ccrd_022474 transcript:KVH99292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MGWAIALHGGAGAIPVDLPPERLEPREAALRRILQIGVSALNARCSPLDVVELVVRELENCPHFNAGKGSVLTTNGTVEMEACIMDGNSKRCGAVSGVSTVVNPISLARQRPLQENRQGLETIDESHFITTENIERLKQAKEANRVQETPVPDGDSKLGTVGCVAVDSLGNLAAATSTGGLVNKMVGRIGDTPIIGAGTYANKFCAISATGIGEAIIRETVARDVAAVMEYKGLGLKEAADYIVHQVSPKGTTGLVAVSSTGEVAMPFNTTGVFNFEFGTEETKPDRI >KVH99291 pep supercontig:CcrdV1:scaffold_2144:17410:17790:1 gene:Ccrd_022475 transcript:KVH99291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTNQGHLLRLALSCRKITAQVTTPGTDSIVAMASSTEQEFMAHYRSKLTTFPRSHKFWDAKIASRIGEKLGFRLNDIGISHLEIDLTEELSRPIHYRKMVVPFFNSVKRAGISVHGSEKLESQA >KVH99293 pep supercontig:CcrdV1:scaffold_2144:75245:79344:-1 gene:Ccrd_022477 transcript:KVH99293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MASSVTPTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKSSCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPLFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPRERNTRFVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSANLPKDCTTVQSCYKELSKQVKAKLGKVDDYFNKLADAMVTWIEAWEELNPSAPITNGK >KVH99294 pep supercontig:CcrdV1:scaffold_2144:23053:40670:-1 gene:Ccrd_022476 transcript:KVH99294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGRVTLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDADVALIVFSTKGKLCEYSTDASRMERILERYERHLYVERQLNADDPQSQELQNLEQQIDMALRRLRLRKDKALQDQNNVLSQEIKEKEIEQSQSPPVEQQTHENIEIYGAGGEEGEIMEETQRGKSVMPHWMLQYMRQ >KVH99295 pep supercontig:CcrdV1:scaffold_2144:76670:79269:1 gene:Ccrd_022478 transcript:KVH99295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKYIAGPISSRSKARFIPHMGKRVPFGIVITASTNLATDAPSVPLTRLDKLSGGIIIGNVKPEAVRNGGRHSLTKTERVSTNKIRTFSIWIIEGVEEKRSRWRQERNREDTYKAIIVNGEDILLLGNHITEATTSRILKGDARRFRTENSVDIITIVEFIIETFGYFDDFRRIAILYDDQMVGLEEWPPHLEEIEVSDCGNDDVEFIFQQWCWRYRGSHCCCC >KVH87868 pep supercontig:CcrdV1:scaffold_2146:35024:72930:1 gene:Ccrd_024818 transcript:KVH87868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSDAESSTTNSKPTSINKWMAFDNDSNQNKPPMARITPPEDEFDVMNIVERTSEWPGAATVVASLIGDEERNKRSSSASWRTSDDEFSSSTQPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFTMTGYSSKEGKETDQNEVDKIRHAVKTGSSYCGRLYNYKKDGTPFWNLLTITPIKDENGKTIKFIGMQVEVSKYTEGVNEKELRPNGLPTSLIRYDARQKETALGSIVEVVQTVERPRTHNQSSKTEIEEKVIDSSLAISAEKQSLATPGRETPLFDPKGDLSRTNSWGASNTKSRKSIRSSLMGFKGRASTNEPQPTIEPEELMTKDIARTDSWDRVERVRDMRQGIDLATTLERIEKNFVITDPRLPDNPICLKVLSFMRCSINLDPESLLEMQIFASDSFLELTEFTREEILGRNCRFLQGPETDQGTVDKIRAAIREQREITVQLINYTKSETEYTVAFPLWNLSQSNTFNIQGELQYFIGVQLDGSGHVEPLRNRLSDTTEKQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKYNSSWKAIQKVTVVNPAMDRVLSLLQKFALDAQKGKIPKDKLRFGAPWRHPPNKDDPCLRSEWAKLQLMDFIQSLVNAEFGINYFSDCSLEIFDDPSVNAMIEVGLLYVQRDPSFFRPISGAIQRCLVRWLVQERIQMSFKNSLLFQWHRVINADSLGGGASSRASSGAIIGLDHFKPIRPLGSGDTGSVHLVELKGTGELFAMKAMDKAVMLNRNKVHRACIEREIIALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFALLDKQPLKLFKEDSARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQIDGHVVLSDFDLSFRTSCKPQVIKHPQPKRRRSRSQPPPTFVAEPSTQSNSFVGTEEYIAPEIITGAGHSSAIDWWAVGILLYEMLYGRTPFRGKNRQKTFANVLHKDLTFPSSIPVSLAARQLIHALLNRDPASRLGSIGGSNAIKEHAFFRGINWPLIRCMIPPPLDSPLEFIEKDPNAKDVQWEDGAMLDNSLDIF >KVI04533 pep supercontig:CcrdV1:scaffold_2147:76387:84710:1 gene:Ccrd_017147 transcript:KVI04533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease, phage-type/RecB, C-terminal MLSTSQYRIGCCTCCTTRFLCTLSGPIVESVVLRHWFKNWQEQRKYKLTASTFAQAIGFWPGRRVQLWLEKIGAVEPFTGNLATCWNNIKEEEALERYKLITGNSVILPEFQVSGKLNPADNWFAASPDGVVDKIIYGLPYRGVLEIKCPFYKGDMRKGYPWSQVPYNFIPQAQGLMEILDRDWMDFYVWTPKGSSLIRIDRDVEYWKVLKIALSDFWWDHVQPAREIYSNYVNMPYSRISPAVTHGYLEQAVSFCLSSLLSTGSADVERRFGEREMDDSEEQGRRNMTKKRGKRDRRAKL >KVI04535 pep supercontig:CcrdV1:scaffold_2147:37532:45079:1 gene:Ccrd_017149 transcript:KVI04535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDERKKLIKKKRRDESVSFSASLPEDVDGVFAESDCVVKYSSHPCFEIRKSILEMIRYTKSARVEPRLLLCTDDEASSDCKVSAKVIKHYKVDQHQMFNLMSLVKVPGVEV >KVI04532 pep supercontig:CcrdV1:scaffold_2147:4982:13234:-1 gene:Ccrd_017150 transcript:KVI04532 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MMNVLPAKYLPVGYRFRPTDEELINHYLRLKINGYIDEVSCIREVDVCKKEPWDLPDLSVVDSIDNEWFFFCPKDRKYQNGQRLNRATIAGYWKATGKDRMIKTTRGNHVIGRKKTLVFYTGRAPKGERTHWVIHEYCATEKELDGTHPGQSPFVLCRLFKKHDGKDENFGGTNSVDVDQSIASPLSNVKSSTEDPPSDPVTPMLTGQPEVQPSSNNSWAIEDSDRTSVDPPHYFDWHTMNHENEMPDVDLDEALNALCDPIPNDLDSKIFSPLHSQMHLEFGSSYQGNPTLCNPSNEHSGYGTNVKDMMEFLENVLVEPDHFPIEDTGYNEALAAQASMQEDHVKETGFISESGGEMVLKEPSASGFSSRGPSVQDSGRPLHMAHEMYRPAYAGPSEVFDRVYLQSLDIISRPPAEDQVHNLVKEEQSENNFGTGITIKSRQKPKPVGLPSQGTANRRIRLNVNLQISSINQHPLPNWSSTDENHDEKSSLTEMDMDCFPSEDAEKGSAAQPSIQEDQVKEAESSGNGNVNSLVKEEKFSKSDGKNDGGTGIIIRTRKGPELPDLPSQGSASRRIHLSVNRLSSINQKQPPPSMSSSDENHEEKSSATEVDCTRFEDHVKEAESSYKFPEANAILLPPAEDQLNSDSENNSATGIIIRTRQNQVPDLPSQGTANRRIRLQKKPRFIHQDQLLNLGTTEEGLDEKSCVTEAKEGTEILTDDETVDQDSSSNVIQAKKPSLFSRFKAALTSIPEVLHMPKENQILQRRRGVFPRSNPAVSTTDPNQDLPSIQPENIIKKELFTVFSVETTRNRVDRSKEPEPKKP >KVI04534 pep supercontig:CcrdV1:scaffold_2147:87927:88307:-1 gene:Ccrd_017146 transcript:KVI04534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKPPSPILLLLLLTIAVAVTALPTSVRSNPDLIVLPSSTSLCPHSVNPGSCPIKCFRPAPVCGVDNVTYWCGCSEAICAGVPVAKLGFCDFENGGSGPVSGQALLLVHIVWLILLGFFVLFGLL >KVI04536 pep supercontig:CcrdV1:scaffold_2147:42044:45889:-1 gene:Ccrd_017148 transcript:KVI04536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRRALAEISHRCFALKRNRPSLLCSALLANLSLVNGQWINALDTVVKKILCQSDAAEFLVAFAGIQETVHQVEQQDYTAHKSKLEADLARRAEHYFSDNMRVIKDTLQSDEASSSVQSNKRGSTRADYKKVRKEMAGHR >KVI02963 pep supercontig:CcrdV1:scaffold_2148:12595:13438:-1 gene:Ccrd_018745 transcript:KVI02963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKTTETGVLLENCVGNSDRACGTVGGGGIFVPMLTSDKGCRRRTTYPLERSSTNSSFEESRKIKKRRRRSYKLRRTR >KVI08073 pep supercontig:CcrdV1:scaffold_2149:46993:60472:-1 gene:Ccrd_013558 transcript:KVI08073 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, BadF/BadG/BcrA/BcrD type MKRYRNGEIWDFEQEVSGEMGGGQKLILGLDGGTTNTVCICMPIIPFTPNHHSPDPPPVYARAVAGCSNHNSVGEIAARETLEQVMAEALSKSGSTRTAVRAVCLAVSGVNHPTDQQRILDWLSFCCFGFDPVHLLSILRDIFPSDVEFFVENDSVAALASGTMGKLHGCVLISGTGTIAYGYTEDGREARASGAGPILGDWGSGYGIAAKALTAVIRASDGRGPQTMLTRTILHELQYSSPDELIGWTYADPSWARIATLVPVVISCAEAGDQVANDILIHAVKELASSVKAVVHRLGLCGKDGNDTFPLVMVGGVLEANRRWDIGKEVISCIAKDFPGMLPIRPKVEPAVGAALLAWNYIIEQSRQDHSEADYN >KVI08074 pep supercontig:CcrdV1:scaffold_2149:70649:87704:1 gene:Ccrd_013559 transcript:KVI08074 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MMKLKKLVRDSIRNLAETPSIIVWKNFSSAGVPKEMADCVVIGAGVVGIAVARELSLNFGRNVLVIESASSFGTGTSSRNSQVIHGGIYYPAKSLKAYFCVRGRRLLYEYCKKHAIPHKQIGKLIVASRHEEVPKLNYLMNLGNENGVEGLRMMEGSEAMALEPELQCVRALWSPTSGIIDSHSLMLSQTGEAESQGTTFCYNNTVVGAHLEGQQIHLHISETESLRNWDCKSQRYPDVVLLPKLVVNAAGLSSAALARCFSGLNRGVIPQSYYSRGCYFSLSNTTVPPFRHLIYPIPEDGTLGVHVTLDLDGQVKFGPDIEWLNDIDDISSIQNKFDYTVHADRAKEFYPKIRKYYPSLKDGSLQPGYAGIRPRVSGPGNGFVDFIIQNKTVRIVEN >KVH98508 pep supercontig:CcrdV1:scaffold_215:115505:126644:1 gene:Ccrd_023266 transcript:KVH98508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MTTASLGSSTLVQSQINGFGKFLKPSGFQSSSVACARRAIRTVVKASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIISVHCEQSSTIHLHRTVNQIKSLGVKAGVVLNPATPLSTIEYVLDCVDLVLIMSVNPGFGGQSFIESQVKKIADLRRMCVEKGVNPWIEVDGGVTPSNAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKASTRPVAVPA >KVH98501 pep supercontig:CcrdV1:scaffold_215:166471:171378:1 gene:Ccrd_023271 transcript:KVH98501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S16, lon N-terminal MATGEESSSSGLSLEGIDDVEDFVWGNQGGSSSMSLGRFSHIYDHMDMGNKAFRENRFEEAVNCYTRANELKPADPVILNNRCAAYLRISQFLRNRPPSASERRPLSGLDPTIHAGLALKDAEQFMNLQTQSVTPYILKANALILLERFDLAYDVILSGLQVDTSSNALRNLERITANTFGRRINGRPPRSDDFDCTLCLKLLYEPVTTSCGHTFCRSCLFQSMDRGNRCPLCRTVLFVSPRTCAISVTLMSIIERTFPEEYAERKLEHESLTIMAPDLIPLFVMDVVLPCQKLHLNIFEARYRLMVILDSTTGSVADYACEVEITDCEPLPDGRFFLEVESRRRFHILGNWDQDGYRVARVEWVQDLSPPEGTREKYDLQQTTNKVAAYARSWIRVAQETAQRDEARLAELHKAECLMPSANNPESFSFWLATLTNQRPQERLALLRIRDTKEVPNLELLAAFYDDCLQR >KVH98512 pep supercontig:CcrdV1:scaffold_215:33158:36654:1 gene:Ccrd_023262 transcript:KVH98512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNHIDVRRHYDNPSASSLSPSLAVPRDLAHCSRTDSHIDDSTLTQSHADTAHCSLVTSLCRLLLPLSPSLSVPRDLVVPTYRQGVIDPQATLKLMKEKIKLTSASAITAGAVILMELRHACLTSIKYFIILYMFVEWLILLHQCYSLFVRPIWWSIFATQRTNTCSAARAVTARAADTQEFCRVGKNSLGVDVNMIMERLGMFWDRDGKAQIIVSEDIIDLFDEEEPSLDEVKEAFRVFDRNNDGFIDANELQSTLREMGYLRISEKDCRRMIDGYDVDKDERISFREFLKLMEDCL >KVH98505 pep supercontig:CcrdV1:scaffold_215:352572:359956:1 gene:Ccrd_023281 transcript:KVH98505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCVSLFLFLASISAIFAQYVQESELVINATLPLAHTDANYICATIDWWPDEKCNYNHCPWGSSSALNLDLSHPILAKAVQAFERLRIRVGGSLQDQVVYNVGDFSGPCHPFIRMKGELFGFSRGCLCMDRWDELNRFFVKTRALVTFGLNALHGRHQLKKGVWGGNWNSSNARDFMKYTISKGYQIDSWEFGNELSGKGIGAMVHAEQYAYDVIELRGVIDSLYQEFQPKPLLVAPGGFFDKQWFAKLLKVSGSEIVDVMTLHMYNLGPGVDPNLVKNILDPHFLSRASITFGDLRQTIQRNGPWASSWIGESGGAYNSGGLHVSDTFVNSFWYLDQLAMAAKYHTEVYCRQSLIGGNYGLLNKTTFVPNPDYYSALLWHRLMGTGVLVVERINAGPHLRSYAHCSKGKAGITLLLINLSNQTEFQLKVHNILNLNLPTTKPNNGSFTHRLKSMFSWVGSKSTDEKLPREEYHLTPQDGNIRSKTMLLNGVPLQLTETGDIPDLKPAMVDVSSPICIAPLTIKFIQFPSFDACGCK >KVH98515 pep supercontig:CcrdV1:scaffold_215:134514:141134:1 gene:Ccrd_023268 transcript:KVH98515 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MWEMEPNEILMSRSGGGGNTSGKPGEGFIDRSKVRILLCDNDTKSSEEVFILLCKCSYQVTSARSPRQVIDALNAEGPDIDIILSEVDLPMAKDVSFQVHSRYSFSIICFFPVMSAQDEVALVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNIMNCEFDPVPSDPSDANTNSTTLFSDDTDEKSLKSSNPEMSVSIPREDESNVIISPGLVTTQLINSSDDRPNVPEINEQQRGKFISGPKKSELRIGQSSAFFTYVKSSMFRSAVTIDGTTPPPLLDNKLGLSDENGPQYVHVDNKVSPGEQDYTQAQENFHSNDSFLDSMSLDGYSTPLPECSQQMNSKTISELSEPQMQQSGNNYHPDVSGYNAYSAYSYYPLSGPMNHVMYQQNMNDLQNQYCPPHHLPGMASFPYYPVSFCIPGQMPPSMQPWPSFGSSSSNNVKVNKVDRREAALLKFRQKRKERCFDKKVRYVNRKKLAERRPRVRGQFVRKINGINVDLNGHPTSTDFDDDDDYEEEEDQAGGD >KVH98507 pep supercontig:CcrdV1:scaffold_215:126266:131216:-1 gene:Ccrd_023267 transcript:KVH98507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFFSIMGFLKSSSLIKIQNILAKFPLTKPSQIHSHGRTKLRPNEVLKEYLKTNATAKALFLFRDLIRKSISSIDSFSLLYITKVCTRKSLPHEGRQFHTLVVKFGYEPIIFIQTSLVSYYSSVANLADARQVFDEMLTKNVVCWTVLISAYVDNQKPNSALKLFREMQMDNLEPDQITLTVTLSACADLGALDMGKWIHNYIRRSKKLDKDLSLYNALLNMYTKCGDIETAKILFVSIEKKDVKTWTSMITGYAIHGQATEALSLFRAMTQTKIIPNDVTFIGVLMACSHVAMVDDGKRYFKKMTEDYGLKPRISHFGCMVDLLCRAGLLQEAKDFILQMPVKPNAVLWRTLLGACGNSGGVDLAEDVRGRLFELEENWAGDDVIMGNIYGSSGIWDKKEITRNRINERRVPGCSWIEVGSEINEFVAGDCDHPFACKIYEKPKIE >KVH98498 pep supercontig:CcrdV1:scaffold_215:241023:244763:-1 gene:Ccrd_023276 transcript:KVH98498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein MVLRLIGRIGRRPASLFSTRTFYRPITLIQYPSPHRSPVEHNYPQQSRGYVSEMRKSAFEDRIRRLIRNDIQYELDRSPLTQLVPKFKSFAIDERPGEQWIRLNKAFGDNEEIKVEVTMFRVSAPDTKDITDNNPELFISMVVDIFKDEESGILEFVCNVWPESVEIEKVFMHDQDGMTGKPYLGPPFNDLDDELQTSLYDFLEMRGINDELAVFLHKCMQHKKKNEYIRWMGSVESFVARKQ >KVH98514 pep supercontig:CcrdV1:scaffold_215:139159:141993:-1 gene:Ccrd_023269 transcript:KVH98514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVFQGYERQYCEISANLSRISTSAAVLDGEQKKQKVSEIAGGLEEAEALLRKMDLEARSMPPGLKASLLAKIREYRNDLNNLKSEMKRLKSGNANLAARDELLDSGRADAMQRLNKSTDAVKESRRTMLETEELGVSILHDLHQQRQSLLHANTTLHGVDDTIGRSKKVLTSMSRRMNRNKWIIGSIVAVLTNFQMMAMAALRVASGQ >KVH98511 pep supercontig:CcrdV1:scaffold_215:68148:69720:1 gene:Ccrd_023263 transcript:KVH98511 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MMEDFCISGVVKEDDQMDLPPGFRFHPTDEELITHYLSNKVVDCKFSARAIGEVDMNRIEPWELPRLAKMGEKEWYFFCVRDKKYPTGMRTNRATAGGYWKATGKDKEIFRRKLLVGMKKTLVFYKGRAPKGEKTNWVVHEYRLEGKSGQKLSRSTKNEWVICRVFHKNSGGNKVQISGLTRMNSGGNELLPASLPPLMDSPVAASGGKISKPTLSEPLHVPCFSNPIDIQKKSMINGYLKTADFTFASNLDHWRIRNLCSTGESVHQIKTNFQFPQSSTFPANDQALMRGLIENYGQNMKLERDMVTGSQDTGLSTEMNTEISSVMSNLEMGTRRFEEQETPLTSIGAIDMDCFWNY >KVH98504 pep supercontig:CcrdV1:scaffold_215:321016:321537:1 gene:Ccrd_023279 transcript:KVH98504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVRLTMADQESVFHYQLEELHRIYRKQVELMEELKGTAIGRTQEQLESIRKRVKKLKLELENEMVNFNELIEVEIQVGKDMDAPATPEITNSTMAQGKQVLQEEEQA >KVH98509 pep supercontig:CcrdV1:scaffold_215:112183:113636:1 gene:Ccrd_023265 transcript:KVH98509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, PpiC-type MGKDAKGGKGKAKQAGGSEDGGAKGKAKGGKSADGLGTCTYLQDGWLSNGDKVPPAEFAKIAAEYSECPSAKKGGDLGWFPRGKMAGPFQEVAFNTVVGATSPPFKSTHGYHIILAEGRKN >KVH98497 pep supercontig:CcrdV1:scaffold_215:237777:238468:-1 gene:Ccrd_023275 transcript:KVH98497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKWVMTLHQFGSLLARFYLQSYA >KVH98506 pep supercontig:CcrdV1:scaffold_215:331506:343108:-1 gene:Ccrd_023280 transcript:KVH98506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEETSGEAGDDQGSGWLQVKKKHRSNSKFSLQGWVGGLSRKQNSNVVPHQPHHVQHGTSNVSCSEPIIKTKGSSTPDSGNSNNTYVPTEYEKDVNYLDKCVVSHDDDNKTGYDHELPQIDNLDVFPKIKWGNLDDGALVQTSSKTASIDIKFGDIGNEVAEIAVTEDDSVSSMPLSTELKEKEIVSAAEEDTGSQEIEEVKEVSSEDVKIQIINEEVISQSDGGSQELDKNLKTENLDASGSENLVTNRDLSFSQDSEFTLDEKVTIEIPKESTPTTSTEDSDGKQTASVFNDLSEGQIMSAIGSGEIEAGESKERFRQRLWCFLFENLNRAVDELYLLCELECDIDQMKEAVLVLEEAASDFKALNSRVEEFEKVKKSLTQSSDKSPMTMKAEQRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQDRAAMNNNSRKIGFTDSYDRSSGRVLNKHTSRTEVASGGRESATELRKRSGVSVLSQGNSSRVKKNADPSKNKKEVVGYSELEKVGPRKDNLKSMDQTKKATFMSERDKERRNNLNGMSYKSMDAWKQKRNWEDILASPYSVSSRFSHSPGMSRKSMERARILHDKLMSPDKKKKTALDLKKEAEEKHARATRIRNELENERLQKLQRSTKKLNRVNEWQAVRSTRLREGMHARHQRSETRHEAYLAQVARRAGDESTKVNEVRFITSLNEENRKLMLIQKYQDSELRRAEKLKDMKSKQKEDMAREEAVLERKRLVEAEKMQRLAETQRKKEEAQLRREEERKASSAAREAKAMDQMRRREVLARAQQEEAELLAQKLAERLRESEQRRKFYLEQIRERASMDFRDQSSPLLRRSLNKEGAGKSTPSICGEDDLQTSSAAGVEGTMLPARSLAIQHSLKRRIKKIRQRLMALKYELSEPFIGAESASIGYRAAVGTARAKIGRWLQELQRHRQARKEGAASIGLVTADIIKFLDGKDPELHASRQAGLLDFIASALPASHTSKPEACQVTIYFLRLLRVILSLPANRSYFLAENLLPPMIPMLAAALENFIKITASSSNVGGSKTLIENSDTITEVLDGSLWTVAAIIGHSSSDERQLHMQDGLIELVIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSINLLVILTSRYRTVSSIDWESYPVETMADNGIQEAKHMEVAEPRGSLFNSSGNSESSSKLLQDVPEDRPLDDLCKKDEKNTGSYVLPSNFEEVATGVLKVLNNLALIDINFIQMMLTGLLLLESLLLLGYFAMFHPENQAVCDLPFVFFSDPDLMPVLAGTLVAACFGSEQNKGVVQQELSIEMLLSLLRSCRSVSPSGLTQVNPPIDDSSESTQSGPETRKLNGDVSQRSNRHNTRSTRVQSGRGGAVGNSSRSIKIRNQKDSSKSTRVCESNSEGCSNLMLHSRFPASFIDRAELFFSTESPV >KVH98502 pep supercontig:CcrdV1:scaffold_215:196085:228154:1 gene:Ccrd_023272 transcript:KVH98502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRICTKSMTITRLGCYFMVPMARSKLDVELKLGPDSESTYNNNHLKSPLPPSVICRRLLPLPATSDYFINLFSAFSIVVLLPSQILATDLFAWAQILLVTCIILNSHNAEDQYVVGRRTAIFTAPFTKTISPRPPADHLHLAVPLTAAKNTIPKDYIVYARRSQKPTVEPMHCQITSPVSQPASDHTAPPGRDNPRPLGGGGPIPGGPGGRGGPGGSGGGGGLGGSECGGRRLLGNGGEGDGRLSLGNGGGDAPWFEGGGGEGSLNEGAGERRPPLGGGDGGVGPCAKRGDREWASCTKGDGGGGRAS >KVH98503 pep supercontig:CcrdV1:scaffold_215:306900:310103:1 gene:Ccrd_023278 transcript:KVH98503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRFFAGKDAIGDSLESDKQVLLNLKSFLEQKNTVNQGNYKKWDRQAPTPCMWPGISCSGDRVTEIRLPENSIAGNLFGNFSALTQLAYLDLSANTIDGTIPADLGGCRNLKVLNLSHNIIDGQFNFTGLDNLQILDLSVNRLSGDIMMSFPRICNSLVVANLSTNNFTGEISSMDGCSKLEYVDLSSNFFTGNLWFGFHRFKEFSVSENNLNDSLPAWIFPENCTLEALDLSENTFSGGIPKEISNCKNLTILDLSGNHFSDKIPTELGLLTKLEQLFLGNNSISREIPESLLGLRILKYLDLSRNNFGGDIQEIFGRFTQVKNLLLHANGYTGGLNSSGILRLQNVSRLDLSFNNLSGPLPPEISQMSSLKYLILAHNHFSGRIPSEFGNLRGLQALDLSINDLNGSIPQSLGRLSSLLWLMLANNSLSGQIPPQLGNCSSLLWLNLANNQLSGQISPELANIGRNAAPTFLFNRENNPMAGSGECSAMRRWIPANYPPFSFVYTLLNRKKCRSLWDTILKGHGIFQVCLPGSNIRTRQISGYIQLSGNRLSGQIPPEIGKMDNFTMVHLGDNEFSGTLPAEIGGMPLVVLNISRNNFSGRIPIQLGYLKCLRNLDLSYNNFSGTFPTNLNNLTDLSKFNVSYNPYISGVIPAIGQLATFEKASFLGDPLLSLPSFIDNSTNSSTANGGRRSQPPRKWSAVLVFMFLLLAFTVFGVMTILICFVIKSPVDQPPYLMNQIKSGKDFTLNSGCSSPWSSETVKVIRLDKTAFTHADILKATGNFANERIIGRGGFGTVYRGLLPDGREVAIKKKLREGIEGEREFRAEMEVLTRNGFGWPHPNLVTLYGWCLYGSEKLLVYEYMEGGTLEDVIPDRSGFNWKRRIDVAIDVAHALVFLHHECYPAIVHRDVKANNVLLDKNGKARVTDFGLARVVDVGDSHVSTMVAGTIGYVAPEYGQTWKATTKGDVYSYGVLVMELATGRRAVDGGEECLVEWSRKVDSMIPVSLLATAGAAEGAVEMCELLRIGIRCTAEAPQFRPNMKEVLDMLVRILANRKDFSYGSFIPSS >KVH98516 pep supercontig:CcrdV1:scaffold_215:361076:366622:-1 gene:Ccrd_023282 transcript:KVH98516 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPN-loop GTPase QQT2 [Source:Projected from Arabidopsis thaliana (AT4G21800) UniProtKB/Swiss-Prot;Acc:Q8W586] EQEQGDDELPNLEENKENDELGEEDLDEDEEEENEEVGGRGRSRYLFDRNGPYGFSLISRSVTAALHSDLSQLSISRSNRSPNRSISHSSQSPDLLSSSPSHTSQDLQISTSQESNVKVSEEAASSMQVESASSPGIKSTGEVKDDIAKSMEKLQIDQSSSGTTNFKRKPVIIIVVGMAGSGKTTFLHRTVCHTQASNTRGYVMNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVIEVIERRADELDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRAESPNTFMSNMLYACSILYKTRLPLVLVFNKTDVAQHQFALEWMEDFEVFHAALDSDNSYPSTLTRSLSLALEEFYKNLRAVGVSAVSGAGMDAFFKAIEASADEYMETYKADLDKRRAEKERLEEERRKENMEKLRKDMEKSGGGTVVLSTGLKDNNDKDNTSRMEEEDEEEDEDEDEVEMYSDDDDDDEDDDEDEEIASFKF >KVH98510 pep supercontig:CcrdV1:scaffold_215:84069:102088:1 gene:Ccrd_023264 transcript:KVH98510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MEIELQVLTTKNLLSLRVELKTSRLLNGCSNQLSYESLLISSSMSPISTIFICISLRSKISRRILVWGGSNRGKSATALVVFLLKPFSSNSQNRLSNTMARALSNMLFKGFRSIESTRIAASASGPFLRHGMQFSTSVPNDPDTHDDFKPTNKIENSGITLKDIVEQDVKDNHVMIYMKGDPEQPRCGFSSLAVRVLSEYRVPIHSRNILEDPELKNAVKAFSTWPTFPQIFINGEFIGGSDIILNMHQNGELKEKLKATSEE >KVH98500 pep supercontig:CcrdV1:scaffold_215:147673:148774:-1 gene:Ccrd_023270 transcript:KVH98500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAFPSSTMADDEEDEERTLFVPPDALIEWERFQLRPIPDQFQFQSHPQSQSPSLSDPHGSGEIWSTIHEDHQPSVVFPPMNHEGIHLHHHQDVREIDIERAVPPSPVSSPRPGPVAMAESIAVGWWDAGMEALRFKFVGIVSFLQSLSLNRGGLLRSHFPLAGSVVLLLLFYLRSRRRRRLRRESIIELIRIIKEKDEKIHQLLHQIARMNELLLATHHGIPMISKATSA >KVH98499 pep supercontig:CcrdV1:scaffold_215:250415:254448:-1 gene:Ccrd_023277 transcript:KVH98499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGCVSSKQAVSVTPAVDHSGGFRDNALVGSGRNLDGNGGDVVAELENTKSKSKSKSKSKKRNKRSESGLSGTGSELGESGRTSSTTGGGDSVSFRLGNLQKYVEAEQVAAGWPAWLSAVAGEAIHGWVPLRAESFEKLEKVGQGTYSSVFRARELETGRIVALKKVRFDNFEPESVRFMAREIVILRRLDHPNVMKLDGIITSRLSCSIYLVFEYMEHDISGLLSCPDIKFTESQIKCYMKQLLSGLEHCHSRGVMHRDIKGANLLVNNEGVMKIADFGLANFCNPSGGERKQPLTSRVVTLWYRPPELLLGSTDYEASVDLWSIGCVFAELLLGSPILQGRTEVEQLHKIFKLCGSPPEDYWKKSKLPHATLFKPQHPYESCLWETFKNLPKCSVDLIETLLSVEPYKRGTASSALATEYFKTKPYACDPSSLPKYPPNKEIDAKHREDSRRKKPVGRTRGPEMSRRLARKQNGMTKLAPEESLPPAKSQIRHKINGNSLDNQKEGDIILGFELRKPSANTADDGSHAKHASQGDIPYSGPLQVPGSSGFAWARRRLDDSLSIRSRSRSSSRSLISEPSKHFESKCNENLERIDSRGRESNEMGTRGLVVKNWSQLERPDSFDAGSDGFVKRINMIYQDQEEKVEFSGPLLSQSHRIDELLERHERQVRQAVRRSWFQRGKKAG >KVH98513 pep supercontig:CcrdV1:scaffold_215:17518:19655:1 gene:Ccrd_023261 transcript:KVH98513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type ASAICQQYSSTFSHSKDPFLRRRQPPPLIGKFISMEATGIKLFGKNIAYQDRGRITTNLPVFSTATATATATATAAESSDGSYGEHGCFKHGHGLRREETCLKEEDSKREHSDKDQIREKKQENKSSPKAVVAESDGNPKTPITEDTDTSKTETLNNATNLQSEDLKKPDKTIPCPRCNSMDTKFCYYNNYNVKQPRHFCKSCQRYWTAGGTMRSMPVGAGRRKNKNPTSHYGFVTISKAAQIEVTASNGVHGVPSKVISFGSVSPHVDSVRASAVSCRERENGDDCSSGSSVTTSNSVTEKMQDRNGFHSHLPCIPGVSWSYNPWNSAIPIPGVCPTGYSPMSMPFYPSPYWNYIPWLPPSSHTQNQNVQSATDLNSFILGKHSRDGELINPNGSEEPKKQKSSILIPKTLRIDDPDEAAKSSIWSTLGIKNENFGPRGVFKAFQTKDEETKQHPASTTSPVLHVNPAALSRSLCFQERA >KVH98496 pep supercontig:CcrdV1:scaffold_215:227182:231337:-1 gene:Ccrd_023274 transcript:KVH98496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MALFRRFFYRKPPDHLLEISDKVYDPFFSTEVTPMRNFVPMITSFLLSDWPWSLSIFEVFIIHITFLATFWTVFDCCFSTHAMENDAYKIYMADIVAQLQDYYPDASFVVFDFREDHNESQIADVLSQYTMKVMEYPCHYEGCLVLPLEMIIHFLQSCESWLSVGAQQNVLLMHCQRGGWPVLAFMLAGLLLFRKQYSGEQKTLEMVYKQAPKELLRLFSSLDPQPSQLRYLQYISRKNLASDWPPSDIPLSLDCIILRVLPLFNGKGCRPIIRIYGQDSSSTITNRSKLLFSSFKTNKQARHHRLEKCELVKIDIHHRVQGDVVLECIHLDDDHVREEMMFRLMFHTAFVRGYVLMLSRDEIDVRWDTREQMPKNFQAEVLFLDADPMPSIITTEAGPEDGSETESASSDEFFEVEEIFSSVVDGEDAKAESGPHLIKDSKGDAKSHDKVFREETEPQAFQDSVPDDGDKKCDDKKKCNVEVDSNLQSVKDTDLGNRDGDLKPTVMAANEHDKTDTKEVKLDLSQEMTDKQDGPRQKLGKEDSQQKLSGNISWETSTNSKAIADTGDKQKAIVQLSLAPTPAPSPPLRNLEAKSPEVPAEDESSASLVSPPKPALYPSAASQVPPSPPPSGGPPSPPPITYKTPPPPPLVHGSTSFSPPLAHGPPPPLPPPSGSPTSHPPPLMVPSPPRPSNNEAPSPPPLPSGSLSSPYPSLPSDHLAPPSPSIHEALPPPPSPLVHEAHSLSPLLAHGPTPPSPPPSGGLLSPAPSLRDPSPPPPSNHGASPPPLPRDSLPSPSPPLPSNRLPPHSEPPRPPPPPEPPGPPLPPRLPSIGPPPPPAGGHAVSPHLFLGPPPGVVPPLPLPVGIGPPPPPPPPGGHAPGPPPPPGIGPPPPPPPPGGHAPGPPPPPGIGPPPPPPLGGHAPGPPGIGPPPPRGRGLSRPGGAATKRSNLKPLHWSKVSKALQGSLWEEFQRFGELQ >KVH87867 pep supercontig:CcrdV1:scaffold_2151:45126:47819:1 gene:Ccrd_024819 transcript:KVH87867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin/actin-like conserved site-containing protein MPKACQRQVTLSFLVVRMIPPSPPFTPHFQIYTPSSASPNILLDYTSEPRVSFAGHSSSIKMADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KVH89361 pep supercontig:CcrdV1:scaffold_2153:13217:13762:1 gene:Ccrd_008648 transcript:KVH89361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKRSAAEYIKDEDKLLCQVYMQIAQDPIKGVYQTSDQFWSRVEGTYNNEKDTTWTVHPKRSLQSRSLQSRVLLIEKATRKLHACIRQYENRHQSGASNDIIKSNGCCQKIQNLRMVGNLIIFWSIIKEFEKFHDGNTRTKQIPIPIPNRDGFGCVFR >KVH89362 pep supercontig:CcrdV1:scaffold_2153:15886:26617:-1 gene:Ccrd_008649 transcript:KVH89362 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-RNA ribose 2'-O-methyltransferase, Hen1 MVTGDSSLPPKKAIVNPKAIIHQRFGDKAHYNIEEIQESVSNGCPGLAISQKGPCLYRCCLQLPEFSVVSEIFKRKKDAMQSAAQKAVEKLSDPPVEDPSDQLVARLSYLFSNEFYSTCHPLSGHFRAALHREGCINGFVPVSLMTIYDSKVANLCKSIKPDIESKPLEVMLMIMDAATRLSDTVFASKELLSLRRTSPYPPEVLQSVEVSCKQETYVKVIRVPCLTEKIIEPLTLDVSSNNYYMDAIARELGAADASKVLLSRCIGKASSETRLYFHAPKSFQLDVMSEREVKDHQAYLNARASYLSGQDIYGDAILATIGYMWKSCDLFHEDVSSRTYYRLLMNKVPTGIYKLSREAVLAAELPEKFGARSSWRGMLPRDILCTFCRQHRLPEPAFSSTTDGGTKDRRPSEETFKCEVKIFSKHQDLIIHCHTGESFKKQSDAIQNASLKVLSCFNNYLMKLNMSQEKWTNGNGLGLDFYPEHISKELQFFSLVHKSWPGIKANTKRLLAVNGTNQSNSELADTICSYNIDGQDSENFPSNGCLVCICYSVCLVKEGDRELIEKNDEFEFELGSEAVTPDLEAVVAQMGVGQSANFRVELPPQELIFAANGDPTRTLSLLSSGGCSLEFSTTLLLVTEPLEDRMEKALFSPSLSKQRVQYAVQHIRESCATFLVDFGCGSGSLLDSLLDYPTSLERIVGVDISYKALPRAAKTLHSKLSQNSTSPVQGSGIKSALLLEGSITTFDSRVYGCDIGTCLEVIEHMEEDEATLFGHVVLSSFCPKILIVSTPNYEYNVILQKSTPEEDTEDKNQTKPCKFRNFDHKFEWTREQFRMWASELAEKHNYSVKFSGVGGVEGVEPGFASQIAVFRRVWDLTKDGNSSDLPYKVVWDWSSDNV >KVH89363 pep supercontig:CcrdV1:scaffold_2153:45356:56101:-1 gene:Ccrd_008650 transcript:KVH89363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, conserved site-containing protein MWKLKIGAGNDDEYLYSTNNFVGRQTWEFDPDAGTQEERDQVERAREQFLINKKKLDISCCGDLLMRAQLIKESGIDLLSEPPIRLGEEEDVSYEAVTTSVKKAVRLNRAIQAWDGHWPAENAGPLFFTPPLIIALYISGTLDSILTNEHKKEMIRYMHIHQVWSALNYVALRLLGEAPPPDGDDGPLGRGRKWIHDHGGATSIPSWGKVYLAQKCGVTVEPHTCQCHICMARATMLRERGLKRVVDLMRYGAEEGRYIAMGCVDKVAFPTYTCLNQLILKCVYALQIMSFYAEDPNGIDFKRHLARVPDYLWVAEDGMKMQSFGSQLWDCTLVTQAIIASNMVDEYGDSLKKAHFYLKQSQIKENPKGDFTKMCRQFTKGAWTFSDQDHGWVVSDCTAEALKCLLALSQMPQDIVGEKAQVDRLYDAINVLLYLQKEIEVAIEKGIQFLENKQKDDGSWYGYWGICFLYGTFFVLQGLVSCGKTYENSEAIRRAVQFLLLTRNLEGGWGESFESCPKEKFIPLEGNRTNLVQTSWAMLGLLYCGQAERDPTPLHKAAKLLINGQLENGDFLQQEITGSYMKNCMLHYPEYRNTFPLWALGEYRKRVWLPKQEAI >KVH87866 pep supercontig:CcrdV1:scaffold_2156:3424:3843:-1 gene:Ccrd_024820 transcript:KVH87866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGAFVIFFVLPVKNWGLWHRDLCRYKFPLTLVLSYVNISFVDIFYDHSVCVNIPIVLSGKKEELVSNYNFKKPFLYLGRKLAGLTIIKLYSRKRDPNLHFVESPTLSPPEVQMNI >KVI07974 pep supercontig:CcrdV1:scaffold_2159:60624:62218:-1 gene:Ccrd_013660 transcript:KVI07974 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30-like protein MCAKVGVDPLASNKGFWAELLGIGDFNYELGTCLGMQIVDVCLATRPHNKGLINLEELCKLLRSGFEVITVGNRKLARSVPNELKKDHNEILELSQ >KVI07771 pep supercontig:CcrdV1:scaffold_216:316925:370586:1 gene:Ccrd_013845 transcript:KVI07771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MDHSELDDMHLRKMTLHKSSELFILRELKPSTSARAGGSKVAEVLDTIGSRLSSLNSVGEFVSITASRSNTVSILAFEVANTIVKGSNLMQSLSKENIQIFKKEILHSEGVQLLVSTDMKKLLSIAAADKRFGNLCIDPQWHNLDRYLERLNRFFLELYHELEALDKMEQHYRRRLEEVQSLHLLRKGKSELKHHKKLVRKLKKRSLWPIILEEVVEKLADVVIFIHQEISEAFENDAHSTMNGKSIKEPERLGVTGLALHYANLITQMNCIASRPTCLIPNMRVTLYNNLPASVKSELRSSLQALDSPEVMTVSQIKAEMDKTLNWLVPLATDTTKAHHGFGFVGEWANTGFNFELLTYIIWVNTIKRIFQFWVFKMGGVCTGGTLKRSTAADYGNDKGSVEFSGKLRSVKSFSNHHQQQQQKKNDYNDGNGNGNDDSILSSSYTQDDDLYHRKTTSYDSGELFFSISRELKPSTPARVGASKAPHVSTFLGKAGSVGLEVLDTLGSSMSNLNSHSGFVSNTALRGNKVSILAFEVANTIVKGSNLMQSLSEENIQILKKEILHSEGVQLLVSTDMKELLCIAAADKREEFEIFSREVVRFGDMCKDPQWHNLDRFFVRLDLDPVTNKQLREEAEITMQELANLAQHTSPFLARYKLQIVFMMLNKMFMQELYHEYHALDRFEQDYRRKLEEVESLHLPRKGESLMILQSELKHQRKLVRNLKKKSLWSKSLEEVVEKLVDVVTFIHQAIAEAFEENATSSTMNGKDPNKKRERLGVAATDTTKNEFGKKTAGSNNIIRLQTLYHADKQKMDRYILDLIIWLHRLISLVRYRDNIPKYTPSRSPPTSKTLNLHSNGKHKRIEISSEDKNLLEEVPKRRTLVPGVSKSQEFVMVKKKRRAEIFASSRSMGSSPRRDLKYANANMLDVLDGIGTTN >KVI07790 pep supercontig:CcrdV1:scaffold_216:271762:272133:-1 gene:Ccrd_013850 transcript:KVI07790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 100 MRRYFWLDIKQLNDIYRYKRKEYSHTAVNKFNAIPDSLCGVYFIGNVSPAKMDFRWFCLGDCMAILSSLVTPKQSSGYSGSYRMTLARTCQRNVAKDLLSGDGKSRMENCDRM >KVI07776 pep supercontig:CcrdV1:scaffold_216:4584:5281:-1 gene:Ccrd_013866 transcript:KVI07776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQPRGHQNLVEWKRPMLARRNKLKGIMDPRLGQSYPLEAAFKYAEPTSKCLANEPRLRPSSEEVLQSLEQIYSISKWRNGVKSMEWWVWLCNGLMHIRSDDRNLNPIASNFLSPSANSVSGFEDESSVIRLSTHTLSKSSLIDFKSHDKPPTPQNRKNRGCKVETEGTISMTDLVPSAMRVPFESNVSYYSSCSCCSNHYTQQQLQHTH >KVI07784 pep supercontig:CcrdV1:scaffold_216:204683:212118:1 gene:Ccrd_013856 transcript:KVI07784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTGPSSNAGKSIPTPPPALPAPPPLPPPKMAKGSSRFSSATRQTEGGDSDGILHKSPKKLKKLSSMAEMNQSSQSTSTTTSSKRVKLPKKFFDDYDTVNHTSVPRKLRSAMKKRQYESVSPSSPNNKNRVRKFEMDSEDRNRKLKVGVDEQHPTISESNAEKITKDEEEAMAALVAMAGIIPGNDNSTEDINLKNETSAAEASNLQEKSDSKTGGSPAASKPKDLVQESVQIEDLNEIRNTFSVKKDSKDVTDGNKLRKRCSSHVYICQFIKDLQFAEGKLVNSVQERKQRAYTDAKTDKIPENVTNGNADKISNKTSTENRTIFQDQRQVQNHSAAASGQVPVLPLFGSPLYDPSQWARPLFPKQSMWMSPLIPGARYQNWPNGGCETMPPGSNSYGRMSAGSNYAQSSLLDTGKTPQQLQYKTQFGHRSSGFDESGGLFLVDRSPTLKLTLQ >KVI07773 pep supercontig:CcrdV1:scaffold_216:302129:305260:-1 gene:Ccrd_013847 transcript:KVI07773 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-tubulin SHALLFQLPLCPPIFIPRSLSSSLNSKNSTKMREILHIQGGQCGNQIGSKFWEVICDEHGVDPNGNCTGSSTDIQRERINVYYNEASSGRYVPRAVLVDLEPGTMDSIKGGPYGHIFRPDNFVFGQSGAGSNWAKGHYTEGAELIDSVLDVVRKEAENCDSLQGSGMGTLLINKIREEYPDRMMLTFSVFPSPKVSETVVEPYNATLSVHQLVENTDECMVLDNEALYDICFKTLKVTSPSCERVSGQFTTMFRRKAFLHWYTDEGMDEMEFTEAESNMNDLVSEYQQYQDATSEEEEEEYDYE >KVI07772 pep supercontig:CcrdV1:scaffold_216:335208:338315:-1 gene:Ccrd_013846 transcript:KVI07772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18, bacterial-type MSFNQPRGDKNEPSHHRKLGRSGNAALQRNYSGGGGKGGGGGGSTTAPPSSSSSSSNMINIVPGVPSSFNLPGGRDTRKAKIVCLCSNKHLYVQVIDDTKMHTLASASTKQKPLSEEFDYSSGPTIDVAKKVGEAIAKSCMEKGITTVAFDRGGYPYHGRIQALADAAREHGLQF >KVI07780 pep supercontig:CcrdV1:scaffold_216:64527:64955:-1 gene:Ccrd_013863 transcript:KVI07780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase, catalytic domain-containing protein MELVFLGSKQFCPSDECFDTGVDNLQNPTHYVIWNMNMNTHIYPEYSVSFKMSPSAEEPVQSQIESLAE >KVI07791 pep supercontig:CcrdV1:scaffold_216:173881:175191:1 gene:Ccrd_013859 transcript:KVI07791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MSLLIKLTIVVVAFISHEHEAIAQFQPPYTSIVIPVSKHIDAAKPLYSAKILTVYEDYTQYLPLNFLIDIDAPFIWHECDLQWNHYPGSCPPNTLCNYPISCEEYQCEDVRTTYSYDNPSCRPVNKGPTLPGWGYCTCPVTVVNPVTGSCGQALLSYDHEYTVSTSDGRNVLSGLYGAYPNAACAPSSSFESFPTNVTGVMALSTSPYALPTYLNGPLKSIMALCLPSTLSVPGVLFYGNSSYYLLPQSDVDVKSFLSYTPLLKHPYSFGYFIGVNAIVIKKRSIDLLANTTTKLSTIDPYTTLRTDIYNHVVRRFSLVTKRIPPAEPIAPFGLCFNTSTKGPKVSIKVPDIDFVLEEGKKWSVSTGNSIKQVTEDVACLAFVDGGATSEPAIVIGTYQFEDNFLVFDLEKSRFGFSSSLLRKKTCCASFNFTMAT >KVI07789 pep supercontig:CcrdV1:scaffold_216:270668:271100:1 gene:Ccrd_013851 transcript:KVI07789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEDPSHLGMISLEEDKQMKPQMKRDFMVNAFRKILSHNSIGAFRILVESFIYALLEKMRPESKE >KVI07775 pep supercontig:CcrdV1:scaffold_216:278823:282476:-1 gene:Ccrd_013849 transcript:KVI07775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 100 MAPSTVEGTSNGSVKAKEEEGSTQPSLFEIDDSDLTRLLEKPRPVNIERKRSFDERSFSEMSITMSPPRNLYRMSDNSSRGGGFDNLDCMYSPGRWTGTPRSGYFEPHPIVGDAWEALRRSMVNFRGLPVGTIAAIDNSSEELNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTVFLQSREKKIDNFKLGEGVMPASFKVLHDPVRNLDTILADYGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAEMPECQRGIRLIMTLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKRDCEGNDCIDRIVKRLHALSFHMRSYFWLDIKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPDWVFDFMPTRGGYFIGNVSPAKMDFRWFCLGNCVAILSSLATPEQSSAIMDLIESRWQELVGEMPLKICYPAMESHEWRIVTGCDPKNTSWSYHNGGSWPVLIWLLTAACIKAGRPQIAKRAIELAESRLLMDQWPEYYDGKLGRFVGKQARKNQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPQMKRSASWVC >KVI07782 pep supercontig:CcrdV1:scaffold_216:97826:98083:1 gene:Ccrd_013861 transcript:KVI07782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFMFSIPSSKATASSGGGSGAVRRRSCDRPDPPRASCNSSSYYSSNTHYNEAIADCIEFFNKSSSSSSSPSFSRDGGRMSDVMV >KVI07785 pep supercontig:CcrdV1:scaffold_216:220108:221451:-1 gene:Ccrd_013855 transcript:KVI07785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAISKPFLALVLLSMLLLVQATELVDGSDRKHGFKINCGAACKARCRLSSRPNLCHRACGTCCARCSCVPPGTSGNQKVCPCYYNMTTHGGKRKCP >KVI07788 pep supercontig:CcrdV1:scaffold_216:270299:270562:1 gene:Ccrd_013852 transcript:KVI07788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 100 MSLKICYPTMESHEWRIVTGCDPKNMSRSYHTALFFLFILRSMFFLVFDHVVYLSLQFSYDFSRQRVSRQADPRLPNVQSSWLKADY >KVI07792 pep supercontig:CcrdV1:scaffold_216:182205:185931:1 gene:Ccrd_013858 transcript:KVI07792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase MSFAVNPPPAVRSFPFSRSPIGRTPRVSMVVRSGAGVPIATAAALPILTKLQNDCATPLPVLRHVADAMATDMRAGLAVDGGSDLKMILSYVDSLPTGNERGLFYALDLGGTNFRVLRTQLGGKDDRVIATECDQVSIPQDLMFGTSEELFDFIASALAKFAQKEGGKFVLPDGRSRETGFTFSFPVKQTSIDSGILIKWTKGFAVSGTPGKDVVACLNEAMKRQGLDMRVSALVNDTVATLAGARYWDNDVMVAVILGTGTNACYVESVDAIPKLNGQKTTSGRTIVNTEWGAFSNGLPLTEFDREMDAESINPGEQLFEKTISGMYLGEIVRRILVRMAESGSLFGKHVPERLRTPFTLGTPNISSMQQDTSEDLEAMVVEVCDTIAKRGGRLAGAGIVGILQKMEEDSKGIVFRKRTVVAMDGGLYEHHPQYRRYLKDAVTELLGPELSNNVVIEHSKDGSGIGAALLAATNSIYEH >KVI07770 pep supercontig:CcrdV1:scaffold_216:376456:376920:1 gene:Ccrd_013844 transcript:KVI07770 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAISTPSSFFTSPNSPLTSHPSPRPVSTSVQFGRWRISAAYATAERVETESIRSFMNAAPSSLYDVLGIRMGADAREVKAAYRRLARVLHPDVGSHDSSADEFMKVHSAYSTLADPAKRADYDRSLAQRRVGGPSPMRSGGAYKSRRWETDQCW >KVI07778 pep supercontig:CcrdV1:scaffold_216:3748:5348:1 gene:Ccrd_013865 transcript:KVI07778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MEELSLFLQIRFLFTNLRIIRSHGDDLAPDCWNWWSRNYSYTEISNHTLLLGIVVAATGAGGVVAYIGLEGNSHSRWNKICHTYGSFCFHFAASILPVLGGRRLVMALEIN >KVI07783 pep supercontig:CcrdV1:scaffold_216:196369:196563:-1 gene:Ccrd_013857 transcript:KVI07783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIYRVRVSIEHSISRSEKPRRRSSGWCHCSLFSIVVVDVGDRYGSPNPAVVHLGWGGYRPALI >KVI07774 pep supercontig:CcrdV1:scaffold_216:303852:305050:1 gene:Ccrd_013848 transcript:KVI07774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTWVLTTWAGDFQSLETYVIKGLIVKDHTFVCVFNQLVHRECGVIGLNNGFRDLWRRENGECKHHPIRRITILSLLTYNIKNGINQLRPFSVMPLSPITASTGLTEHKIIRPKDMAIGTTFDTIHGTRLKIHEDCTRDVSATGCLIVINIYSFTLDIS >KVI07777 pep supercontig:CcrdV1:scaffold_216:59306:63566:1 gene:Ccrd_013864 transcript:KVI07777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQDDDSGLIVFPSIDLLLYDILTSLLEELQASLHLHIELICFPFVSLLLFFHYRSESLHYPPSPKSHWDLSVLSYTRNQRSGPANQDPKLIDLLHQESKAKDCKEIASAKISINLKFVVFPLLWVFH >KVI07781 pep supercontig:CcrdV1:scaffold_216:162061:166164:-1 gene:Ccrd_013860 transcript:KVI07781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRADIDTSSPFKSVKEAVALFGEKVLAGELSANKIKQMRNNGNATTVELAETKQRLEEAREDRMLMATCLSSLQQELERTKYELQQLKDNSKNRLAIEEDLKFIEDVTKFEVKPESTSRDATSAMEFQKKRYVSFANPHSVAQVMVQPGDAGVLERHPSLRKKKKKPLIPLIGGMFTKKKNIIVV >KVI07786 pep supercontig:CcrdV1:scaffold_216:248950:252245:-1 gene:Ccrd_013854 transcript:KVI07786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein IVTGSAPNSSLQDIDCDEKCLLRCSKASRTKMCLRACGTCCERCHCVPPGTYGNYDTCPCYADLTTHEGRRKCP >KVI07787 pep supercontig:CcrdV1:scaffold_216:254353:258584:-1 gene:Ccrd_013853 transcript:KVI07787 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MKGTTKRPIQVVRTWVRRQPPKVKVFLAFVCAIAALIFIRMVVYDHDNLFIAAEAVHALGISVLIYKLATEKTCAGLSLKSQELTAIFLGSRLYCSFVMEYDVHTLLDLATLAATVWVVYMMRFNLNASYMHEKDNVANYYVVIPCALLSLLIHPTTQHYIVNRILWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWILQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVQSVMGGQLVLRLPSGVV >KVI07779 pep supercontig:CcrdV1:scaffold_216:78475:81522:1 gene:Ccrd_013862 transcript:KVI07779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILSAIKGTKKKPSNNHLFLSFFSSFKSCSFSVPFMALLTFPSSSETQKVQTRRKEIQPKSKPKSKPKPISQNPSSSSSSWDQFKNLLTCKQMDVVHGRSNKVHIPVKNSNGYSKLSSCSSICSFRDVVHGNTRVVDRADNSPESSSVGLDSGLLSRKKQSTTGGGSSSSSSSSRTLAGSMRSNGPGSHTSCSRGMQFRKLSGCYECHAIVDPSRYTLPRMSELGPEDSGRNIVEIIFKSSWLKRDHPIFTIERILKVHNTRRTIQRFEDCRDAVKIRANATASRCAADGNELLRFHCTTLSCSLGSRNSSSLCSSVPGCGVCTIIRHGFQGPKSGGIGGGDGGAKGGVRTTASSGRAHDCLGVDAHGRRAMLVCRVIAGKVKRVGEDAPPEEDGPYDSVAGYAGLYSNLEELYVFNPRAILPCFVVIYKTLES >KVH92282 pep supercontig:CcrdV1:scaffold_2161:9988:14559:1 gene:Ccrd_005682 transcript:KVH92282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MIVKAASTARLHKKNCMQFAMHLRLIGNLLQQLKISELKKHPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWTIVYQFRKAQEEIDRYLKIIPLITLETFKYIEMDQHEYTLDDDDRKVQDVIMNPDPSKTDAVVLRKSLSFSYPDLPFNEVIKKENEKLHLELQHSQSYLDVGQCEMIQRLMEIADVFASDSQFEKDVSYYPYLSSNKGQEDNNNLPMNAHSALRSISSTSSRQDLLESHRHEEWHSDLLGCCSEPMMCLKTFLFPCGTLSKIATVATNRHMTSVDACNELVAYSLIVSCCCYTCCIRRKLRNTFNIRGGCCHDFVLHFCCCCCALVQELREIEMRRIHGPQQTKTSPPSGQYMES >KVH92281 pep supercontig:CcrdV1:scaffold_2161:17076:19920:-1 gene:Ccrd_005683 transcript:KVH92281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MARAFMRELLRYGRNHDFLINPFSKSQRNHYYSSSELASDFPYFSLKETSVNLIQTCRNSSRFYQIQSRFITSGFLQSPSMAGRVLKLSLNFCDIDYTLMIFKCIDFPDTFCVNTVIKAYACASLPNKVVGFYFEMLQEDGVLFQPNSFTFPPLISSCTKSRDSVLGRKCHGQAVKVGADITLHVENALIHMYASCGFIDIAWKVFAEMPVRDLVSWNSLLDGYIKVGDMGDAHKLFDKMPERNVVSWNAMMKGYLDVKNPGLVLKLFRKMIGTGESGNDTTIVSVLTACGRSCRLKEGRSVHAVLIRMLMAVSLIIGTALIDMYSKCGRPDIAQIIFDRMLNKNLVCWNAIISGQSIHGNPKCGLRLFDEMLKLGEVIPDEATYIGVLCACARGDLLPEGKNHFLEMTKVFNIKPNFAHYWCMANLYASHGLVQNAVEILKNMPVEINVSPQSSLWAGLLGSCRFKGDVATGEQFAKALIEDDPLNHSYHALLIMIHAVAGRWEDVATIKCMMKERGFSVPGFSLVELTEIVNNLEISF >KVH92278 pep supercontig:CcrdV1:scaffold_2161:22515:22856:1 gene:Ccrd_005684 transcript:KVH92278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MIHKLISSVRSYFGLRAVTPTNRPQAIEPGLEGESCCVCLLRLEKATDDEKRVLACGHEFHKACVDKWFDVCRKTCPVCRFPVEVEEVKSKKREELTEEMVIWFSSFHVAGYI >KVH92280 pep supercontig:CcrdV1:scaffold_2161:55031:63382:-1 gene:Ccrd_005686 transcript:KVH92280 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Lupus La MAAMNFQSDDSGGGVIKSPRSPGHSQTVSSPWSSIVQGSPVIVSDAVSAAPVSVAPAPTFSSSVEEQIGNFTSDWCPPTTVPEIASSPDDSGTDGQGSDSGGVGSSSASKKPVWNKPNGVVEVVSPVMGAVSWPALGESTKASPKSSSSDSLKALADGPLPPALQVVTGNSSPSSHKQASDSNLHPTSTPNHVAPARQRSMKRGGSFNSNLSVNGGATHMSSTSHDLVVESPHNTSLKPGTAAAESSPRDQTHIESQRGGFGAQSHNGNDHQHQRHSYRRGSGGQHTRVDGSYHHNYGGKRDQDRGNHEWNQHSRSFNSRDTHLQPQRGYPRGGYIRPSVHASTPFIPPPMPLPVRSFGNNMIYPDMPSPLFYVQGPPPPPESLRGMPLVAPIPPPMYFAVPDPMLHARIVSQIDYYFRDLTASNPLHIFGSNENLVKDTYLRKNMDEHGWVPVSLIAGFKKITTTGLMGVTEKVLYLTDNVQLILDAMGASTIVEVQGDKIRRRNDWMRWLMHPPAQYSNLSSPQAVGRSPNQDVLASQLQGVTLDETASTNQDSVDTFFGRSSSGEFQLQQAGGEEIGHVGGQQQAGPERATLA >KVH92279 pep supercontig:CcrdV1:scaffold_2161:82354:84840:-1 gene:Ccrd_005687 transcript:KVH92279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLSSLLIFSFLSLGTPLSSNYYQKTCPNAESIIRKAVTDATKNDKTVPAGLLRMHFHDCFVRGCDGSVLLNSKGKNKAEKDGPPNVSLHAFYVIDNAKKALESAYFLAPVYAHLRVYDVSGLIYMQSGGPSWDVPKGRKDGRTSKASESTQLPAPTFNISQLQQSFSQRGLSLEDLAALSGAHTLGFSHCSSFNNRIHNFNSTTDIDPSLQPSFAASLRRVCPAKNNAKTAGVPMDPSSTTFDNTYYKLILQQKVLFSSDNALLGSPKTKSLVSKFASSKDAFSKAFVKSMIKMSSITGGQEIRKDCRVVN >KVH92277 pep supercontig:CcrdV1:scaffold_2161:29825:31496:-1 gene:Ccrd_005685 transcript:KVH92277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MEEVWKDINLSSTNHPVATXKGYRGFIFQDFLAKPFSSNDTPTRISSPAYGSPSPPPPPPPPPPPVAQPPMLLNLNSGPDQMNFLAKDPTRNVCPLDVPFDQVLASANSSSGLLSNSIGGMWMLPATERTGGDRRHKRMIKNRESAARSRARKQAYTNELENEVERLKEENVRLKRQQQQV >KVH90820 pep supercontig:CcrdV1:scaffold_2163:24352:26084:-1 gene:Ccrd_007168 transcript:KVH90820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLVMDTNGLGDGSDIEGDDIRCENIAEKDVSDEEIDAEDLERRMWKDRIKLKRIKEKQKILAQQTAGKQKAKQTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNLRAWWKEKVKFDKNGPAAIAKYDSGCLEKNRPSNSYDSDYDVYGFEDGGAASVSSKDDRRQPRKDAEQSGHSRDPPPPVPDKEQPQPRAEKKRKREKSKPSQEQLVDGLPDINQADVSLVDYPMQENIPEDHPLTAVRPLAATNGTEVQIPPNMLLYPPVQESEYRPQGLGITNGHEVAGVHHGPQSSELHPLVPYSLYMPPIEEQQPVHEFQIRPEYSGVHAPESQPHRDENSNAIPGGFHNDHEQPVDNQFESSINSLSLDYPGFNSPFNLGLDATTSLDPDLDFLLDDDLI >KVH90821 pep supercontig:CcrdV1:scaffold_2163:29075:34498:-1 gene:Ccrd_007169 transcript:KVH90821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASPQIAIIGAGIFVKSQYLPRLAEISEIVVLKAIWSRSEKSSREAADIARKVFPNVECKWGDDGLDEIIGDSNIVGVIVALAGQIQVDMSLKLLKEGKHVLQAISEVETALSCHTSLYNNPSAQSIWAVAENYRFEPAFVESRKLMPEIGDMMSVQVIVEGSMNSSNPYFSSSWRRNFTGGFILDMGVHFIAGLRMLVGCEVASVSAMTSHVDMTLPPPDTISSLFQLENGCSGVFVMLVSSKSPKIVWRVVGLSGTVQVERGNKDGRHGYVATLFTANGETKSTFYPFSGVTEELKAFLSDISRASLQKGSKIEAEPRSSFVEGARDVAVLDAMLESGMKQGAFVKVKKV >KVH90816 pep supercontig:CcrdV1:scaffold_2163:67260:78250:1 gene:Ccrd_007171 transcript:KVH90816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MFKPQLHHHHSNSTQSLLTRRHKVFAVAGDGSTCGGGVDKGSSTPFLSLNKLPLPIKRCRSFHAASRSPPPVPAMAADQTSSSTKVKAVIVVQVTMGGVISSLDFTKGLDDITDLLGKSLLLELVAAEVDHKTGLMKDTIRHYAHRLSSDLKDVKYVAEFEVPKEFGEIGAVLIENEHHKEMYLETIKLDGFSNGPITINPSSWVHSKFDNAEKRIFFTNKVFRGKDLASLRGDGILDGPRKKHDRIYDYDVYNDLGDPDKKPELARPVIGTKDFPYPRRCKTGRRRTKSDPLSESRSSSFYVPRDEAFSELKNLTFSAKTVYSVMHAVVPSLQTSIIDKELGFPHFTSIDSLFNEGVHLPPLQSKGFLGDALPRLLKLVGDTQNNILRFETPERIHRDKFFWIKDEEFCRQTLAGLNPCGIHLVKEWPLKSKLDPEVYGPPESAITKEIVEHVIGGFIPLEQALERKKLFIIDYHDILLPYVNKVREIKDMKTTLYGSRLLMFLTPTGTLRPVAIELVRPPGNGKPQWKQAFTPSWDSTAAWLWKLAKVHFLAHDTGIHQLLSAMHPIYRLLHPHFRYTMEINALARESLINCGGIIESCFSPGKYSIELSSIAYGQLGMAEEDPNSPHGLKLAIEDYPYANDGLVLWDAIKGWVTDYVDHYYPNADLVASDEELQAWWTEIRTLGHQDKKDEPWWPLLTTPQDLIGTLATMIWVTSGHHAAVNFGQYDYGGYVPGRSTIARIKMPCEDPTEERWESFRHRPEDELLATFPSQLQASQVMSVLDVLSNHSPDEEYIGEKMEPSFELNPKIKAAYERFAGKLKELEGIIDDRNANTNLKNRNGVGIPPYQLLKPFSKPGVTGMGVPNSISI >KVH90818 pep supercontig:CcrdV1:scaffold_2163:10323:14457:1 gene:Ccrd_007166 transcript:KVH90818 gene_biotype:protein_coding transcript_biotype:protein_coding description:IRK-interacting protein [Source:Projected from Arabidopsis thaliana (AT5G12900) UniProtKB/Swiss-Prot;Acc:Q9LXU9] IGNNDKFSLDFTSLSHRFLVICTPLIFFFIWKLNPTVKFSHPLLFFSPFILDNPSLFLFIYIHICSPLFFPKPSLMATTTTEDHHPTTTTGGGEVSKQEIQAAIAKAVELRALHAALLQSNSPAHLRLPSASPISRHASQLSAQDYPVFTPSYDDVPRNQSFPSCWDECSVNGGGNDDLRFLSDYKNPNASSRTLLPPELMNIVPHTCPSDDQNSVVASGHHITLLRASPGTEFSKSRRNSLGDFRSISSCNKCKPATLSTNNNLDGAKNHVKYSNLVVPMTDSHSSIQSHAKPRSGMSLSWLFPRLNKKKHKNVENSPNRIGSEEFSYKDSGIMSIEVLKKDLIEANERRDVALMEASEMKSSIGDLKEKLEYLENYCEELKKALGQAVSQAKDSDHSQLHKGKPIDGNSESMPVTKEVMVEGFVQIVSEARLSVKHFCKTLVAQIDEGDTTLLDNLNSILQPHKLSLNSKYSKAVLCHLEAIINQSLYQDFENCVFQKNGTPKLLDPQQERQAQFSSFCALRNLSWNEVLRKGTKYYSEEFSSFCDQKMSGIITALNWTRPWPEQLLQAFFVAAKCIWLLHLLAFSFSPVLGILRVEENRSFDSVFMEDVFVDRQRSQNPTRVKVMVMPGFYVQDRVLRCKVLCRYKTAG >KVH90819 pep supercontig:CcrdV1:scaffold_2163:15025:21339:-1 gene:Ccrd_007167 transcript:KVH90819 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MANHHRDDVFGTPVLDDQAHSLLQSISEEGGYAYVSMSTRAAAGDIRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHYANGDFREALRVLDMGLIMGGMVLRKDLDSAVEKATERANALLRVSEESVNGSANAKLLTNQNAINLMEVVQSLPKRSLSCKLVGKRSALSLEGFMRDYFLSGSPVLLSDSMTHWPARTKWNDLNYLKKVAGYRTVPVEVGKNYLCTDWKQEMLTFSEFLERIQSKSSAAPTYLAQHPLLYPASLSEELYPHSESMLKNSSQVDLDNMDANEFPKIQDLEFVDCILEEGEMLYIPPKWWHYVRSLTTSLSVSFWWSAAPSSPTS >KVH90817 pep supercontig:CcrdV1:scaffold_2163:47186:54607:1 gene:Ccrd_007170 transcript:KVH90817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein-like protein MERGSTIEDEFSKLHPSFHTDTRIGIIGAGPSGLSAAFALCKLGYTNITILEKHHSVGGMCESVDIEGRIYDLGGQVLAANSAPTIFHLAKEIEAETEELDAHKFAIIDSSTGKFDDTKVIDDYLSIISLTLKLQDEAKATGRIGVHAVSDSASELTPSFLKANGLKSVPKSIAYGFTASGYGYVEDMPYAYVHEFTRTSMAGKIRRFKGGYTSVWDKISKRIPAQVHCNTQVLAVKRNANNITIRTQIVDGERKDMEFDKLIISGSFPLNSGKTYRSPLKKTEETVNEVMDLSNLEKDLFSKVETIDYYTTVLKIDGLEHIPVGFYYFEEFMDDPKTIGNPVAMQRFYSDTNIFLFWSYGNSVNIMGEKVIRLAVDAVTRIGGKVEKVTLQRRFKYFPHVRSREMKDGFYDKLEHQLQGQNNTFYVGGLMAFELTERNSSYALSLVMKHFASDNPEPSFPYVKRLITMKSDNHSWIPKQLDEALGVEFPDISSIDGYLRHWATHECIANKTLYTWTNDKGEAINQRTYKELDANASYIAHSLLTNNKPNIKSGDRVLLVYVPGLEFVDAFFGCLRARIIPVPAIPPDPSQKGEQSLIHIENIAKRTKAVAILSTFGYHVYVKANSAKNKIMRVGKRKNSACWPDLPWLHTDSWIKNSKVGNISYDDVKILVNRSQVLPKDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMHKVYKSTSKTVLVSWLPQYHDMGLIGGFLTSLVSGGTAILFSPITFIKNPLLWLQTMSQFQATHSAGPNFAFELLIRRLVSKKEKILKLDLSSMVFLMVAAEPVRSKTLKRFIELTRTFGLSQEVMAPGYGMAENSVYISSAYGHGEPIFEDWQGRICCGYVSPNDPDVDIRIVDPETGEEHIECGKEGEVWISSPSAGVGYWAMEELSQKTFQNELQGHAGKMYLRSGDLGRIIDRKLFITGRIKDLIIIGGRNIYSSDIEKTVESSSDFIRPGCCAVIGVPEQILLAKGIMIPENSDQLGLVVIAEVRGNKSLVEEVVEHIQTLVAEEHGITIASIVLIKERSICKTTSGKIKRFECLKQFTDGKLQVIQLQHGKKPSLIQSTTSKAKDSISIISKKEIIQFLKKLISDQTGIPTASISITESLSNYGTDSIGVVRAAQKLSAFLGIPVGAIDIFTATCIDDLADFAEDLVRKSCPQSPSFPSDFPDNKTSSMKLSVQVSSSRRLGVWLFHLIALIYISFLLMIPMSLSISTFTTLISEISRLMDITPWFGYLISLTCAPLAWMFCIGSSCMTIALFGNSFLQPNYGLNPDVSIWSIEFVKWWALYKAQEVSTRVYAVHLKGTLFAKLWFEMLGAKIGSSVLLDTIDITDPYLVTIGDGTVIAEGALIQSHEVKNGVLSFQPIRIGENSCVGPYTVIQKGSILADGVELPALQTCKGGQHEFKMSKTPKDQQGPVLQDIKSGCHKKHEPFYHIIGIYMVGFLSCLSAAIGYTIYLWLLQKPQSLQHFSFLCLCASVHWLPFNVIAYIIMFRDVPTSPLSFSINIAIAYLAHGMILTFLTSILLRILSKVKHRNLLVMWFCHRLTTSCHLKFAKFLSGTEAFCVYLRLLGARIGHHCSIRAINPISDPELVSIGDGVHLGDFSRIVPGFYNSKGYVYGHVTVQENSVVGSQGLVLPGSVIEANVILGALSVAPINSVLRTGGVFVGSQTPIMVKNITHTLDDRIEEMDIKYKKVLGNLAANFSAATLKVKARYFHRIGATGKGTLRLYKNVPGIPEHKIFSSGKSYPIIVRHSNCLSSDDDARIDPRGAAIRILSDEHDTSTALLDLTLKTGKSFHARTIGDFATWLICGAEAREEQVKNAPHVKDAMWDSLRKANSYAELHYYSNICRLFRFEDGKEMYVKFKLRPFDKEINEDSGKVEPTSILPPETGAIPRDENDTRPLLFLNDDFQRRVNSPEKIQYVLQLQFRPVPNDETVREAALDCTRPWDEKEYPYVEVGEITIEQVLTNDESRKLEFNPFLRCHEVDVIRATSCSQSASMDHGRSVVYSICQHLRNNKPLPEAWRSFLDHSDVKVDLNGCPMAVLMEKEDVQKVTLARPWYQNLWMMFAQPFLQIVVPYFLMGLTIFTPLNVTIYLKEQNSLQLHWLLPFFWFSSGILAGLVCVLAKWVLVGKKKEGETVMIWSIGIYMDTIWQAIRTLVGEYFMETSSGSVVFGLWMNLMGSDIVWDQGAYVDSMGAVLNPEMVSIERYGSVGREALLFGHIYEGEGGKVKFGKIRIKEAGFIGSRAVAMPRVTVEKEGSLAALSLAMKGEIIK >KVH87864 pep supercontig:CcrdV1:scaffold_2164:25104:41511:1 gene:Ccrd_024823 transcript:KVH87864 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MSLANDLTLKPSFHNLPSLPQDSSGLLFQALTFGGDVSKETDVASMIKTAIDAWGTVDVLVNNAGITRDVSVLLDNIQSIPRAVEFAFQVKEDDVWSQVVRSWPVDRKVTLIEINSEK >KVH87863 pep supercontig:CcrdV1:scaffold_2164:7347:16169:1 gene:Ccrd_024822 transcript:KVH87863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MEKDKSPNVGGGLLPPSGRHSVFSPSGNNLSPKPEPSVSTTLPPVGPGTGETGRFGGHEMPLESSHFSHDISRMPDNPPKHLGHRRAHSEILTLPDDISFDSDLGVVGGFNGPSFSDETEEDLFSMYLDMDKLNSSSGFQEGDSSSSAVQPSEAATPQPENLVPSSSERPRVRHQHSQSMDGSSTIKPEMLTSGPEETSSADAKKSMSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQSLQTEATSLSAQLTLMQRDTSGLTAENSELKLRLQTIEQQVNLQDALNEALKEEIQHLKVATGQNLTNGGALMNFPPSFGGGNQQQQFYPNSQAMNTLLTAQQFQQLQIQSQKQQHQFQQQQLRQFQQHQLQQQGSSSDLKIRSLKEHGSEFNPPRFE >KVH87865 pep supercontig:CcrdV1:scaffold_2164:41550:45011:-1 gene:Ccrd_024824 transcript:KVH87865 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MGFVSFLGRVLFASLFILSAWQTFNDFGDDGGPAARELAPKVASCHRFLTSKIGNGIPNIDVKHIVLASIAIKGLGGIVFVFGSSTGAFLLMHYLLFTTPIVYDFYNYEIDEPEFHMLLAEFLQNLALFGALLFFVEMKKLSPRKVVRKKAPKAKTT >KVH99391 pep supercontig:CcrdV1:scaffold_2166:76064:76432:-1 gene:Ccrd_022379 transcript:KVH99391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHKLGKNGGKGMYYKYIVVLEILIKTILHRCLLYRMHDNVGVGEVKKLETETLPGHFAVIAVKCKKPERFMVALGCLTNPGFLRLLNKAGEEYGFKHEGAITIPCGPRELQLVLQEMHEK >KVH99390 pep supercontig:CcrdV1:scaffold_2166:75395:88470:1 gene:Ccrd_022378 transcript:KVH99390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein METKSVVVMKKKMPTPPENKLHFATSQSIFLPDPKKLASCFLSVDANQPIHFTPFELPFLNANYSQKGLLFAKPPPENQDFSVTTYLDELRXSLSATLTQFYPLAARLATRKEKNPPSYVIYIDPENSPGVKFVYATVDLNISDIIMSTHVPSVVHSFFDLNNVINHDGHTLPLLSIQVTELNDGIFIGGSINHLIADGTSFWHFMAAWSETFRSKEQKRCFRRSAIQGYKPIIKLPFTHLDQFIERFERPQFKERFFHFSSATVSRLKEKANAECNTHKISSLQAVTALLWRCVTRVRRQSSDSETICKLVINNRRRLNPPLSDDYFGNPIQTVRGTARVEDLMAHGLGWAALQLQQAVANHDNTAVEKSVESWCKKPVIYKLSELFHSNVVHIGSSPRFDMFGCEFGLGKAVAARSGGANKADGKMTMYPGRNGGGSMEVEACLLPESMKDLEDDEEFVNAVSDHN >KVI04240 pep supercontig:CcrdV1:scaffold_2168:7865:9016:1 gene:Ccrd_017450 transcript:KVI04240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARNSGKSKKSMEETRNFTQMDFDQMGFDETREWKQVFDEASTHTHSRPLKKIRSPEHQSSSSSSSSSSRLFPFAFDGNNLHTIESLQQFRSNSHLNPVYPPPPPNPQMISFDPQQHYNHHPQPPMIHQNFGFPPYFSGENSGLSQQQLLQYWSDALNLSPRGRMMMMNKIRPPIQPLHTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPERFLGKTKNESVSAGQSSSSSSPPPTELVKDNGKTATETVKLKESEETVTENEGVEVGFHGDQVTGEANSDPAWGEMAENWYNSGWGPGSAMWDSLDSNNNLMFPSNFNLENQQQQEQEQGNYDFSSFESQMSPFFWKDEA >KVI04237 pep supercontig:CcrdV1:scaffold_2168:53617:53820:-1 gene:Ccrd_017453 transcript:KVI04237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lanthionine synthetase C-like protein MTGNVEFLHKAKAFACFLLDRGHKLISEGHMHGGDTPYSLFEGIGGMVHLFFDMTDPANARFPAHEL >KVI04239 pep supercontig:CcrdV1:scaffold_2168:29524:32433:1 gene:Ccrd_017451 transcript:KVI04239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MWTNKDSTNSNPRGFPFSTPQPEKRRSSSSSMYSSPPYPVRQRPLPSSEKKWTPPPPNNSNNLFHIIHKVPSGDSPYVRAKHVQLVDNNPSKAVSMFWAAINSGDRVDSALKDMAVVMKQLNRSDEAIEAIKSFRHLCPLDAQESLDNILLELYKRSGRIQEQIELLQAKLKYIEESTPSLGNRTKLARSQGKKIQITTGQEYSRKALSLEPDKNKRCNLAICLMYMDKMTEAKFLMSTIKDSNRTREMEDESYVKSYERAIQVMNELETEKRCNTGKKISFSSFLSRNKETDSVDKLAKKGNHNDPDPGFRKSYASPSPVCGTPRAPFTQPRRREWERQDSGGGCFRRLHFGQAAEVIQRKPSGNCSLEVKLPSTGREMSIIPSQEPKEARNGKKSWADMVEEDEQILLKSNWNSGDEEYDDENEQILLESSRDCWKNDEEYGDENEDCNIRSEKIECVQDGYQTQPGTATNHEIAERCLSFENSVDQEPNEDGNYWCSSPGQKEMADFNGGYSGRKGRLQVFQDIVLSSENRGGLE >KVI04238 pep supercontig:CcrdV1:scaffold_2168:45038:50860:1 gene:Ccrd_017452 transcript:KVI04238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO IFIGFPTKSNPSKKLVSESLFESKRIIGNIVKFGLTSSSPPMTMTMTISSTDSLNVAVIGAGTCGLLTARELLRQNHRVTVFEKSDRVGGTWVYDSQIEVDDGLGLDPNRSVVHSSLYSSLRTNLPRPLMGFSDYSLEHRSYGDSRMFPGHEEVLKFLEDFANEFGVIEVIRFKSEVVGVELRDGNKLAVEVGGGTAEEEVFDAVVVCNGHHTQPRVANDIPGIQEWSRKQVHSHNYRVPEPYRDQVVVVIGNGPSALDISRDIATVAKEVHLSSRSSDVKASRLDGYENMWQHLEINRVFGDGRVVFQEGLSIEADIILHCTGKTGVVHVDDNRVGPLYKHVFPPQLAPRLAFVGLSYNQGVLFRMFELQAKWIALALSGKISLPSQDEMLIDFEYVEWLGAHVGCEVDDQLKHISKNAREHFISHLNSSRDTFVI >KVI04236 pep supercontig:CcrdV1:scaffold_2168:64759:71586:1 gene:Ccrd_017454 transcript:KVI04236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MTMTMTISSTDSLNVAVIGAGTCGLLTARELLRQNHRVTVFEKSDRVGGTWVYDSQIEVDDGLGLDPNRSVVHSSLYSSLRTNLPRPLMGFSDYSLEHRSYGDSRMFPGHEEILKFLEDFANEFGVIEVIRFKSEVEGVELRDGNKLVVEVGGGTAEEEVFDAVVVCNGHHTQPRVANDIPGIQEWSRKQVHSHNYRVPEPYRDQVVVVIGNGPSAFDISREIATVAKEVHLSSRSSNVKASSLDGYENMWQHLKINRVFGDGRVMFQEGQSIEADIILHCTGYKYHFPFLRKTGVVHVDDNRVGPLYKHVFPPQLAPRLAFVGLAYSQGVLFRMFELQAKWIALALSGKILLPSKDEMLIDVHKHYQEMEENGIPKHYTHSLNFQVFV >KVI04235 pep supercontig:CcrdV1:scaffold_2168:74337:77547:-1 gene:Ccrd_017455 transcript:KVI04235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lanthionine synthetase C-like protein MTDRYFENFMSDFVEEKATVTGIEAQEEKEEDSLLKLLSTPYPTLSEKLKRAALDLKETIVVETWGITGQKVQDFSLYRGTLGTAFLLLKSYQITGNKNDLDLCSEIIKACDSASSSSRVVTFLVGRAGVCALGAVVAKRQGNKQMVDYYLTQFKEIEVSEDCPDEIIRGRAGYLWACLFLNKNLGDGIIPCPLMFEYNGERYWGAAHGLAGILYVLMNFELAPDVLADVKETLKYMINNRFPSGNYPREEDKRNDDLVYWCHGAPGVALTLVKAAEKVGICHGISGNAYVFLSLYRMTGNVEFLHKAKAFACFLLDRGHKLISEGQMHGGDTPYSLFEGIGGMVHLFFDMTDPANARFPAHEL >KVH87861 pep supercontig:CcrdV1:scaffold_2169:56416:71933:-1 gene:Ccrd_024827 transcript:KVH87861 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MVPLGLRRKHKRELEKLTLTLQPFKTLKFFTLAILQHVNRSVVFIFTHGFLLMLLTTAIMAVGVLLVTDDGPHRKHVEVVLEYLRFGLWWVALGVASSIGLGSGLHTFVMYLGPHIAFFTMKAMQCGRVDIKSAPYDTIQLNRSPTWLQKDCSEFGPPMFSSPDGHEVPVSSILGQLQLEAILWGFGTAIGELPPYFISRAASISGSEGDEIEELDGAPSNTNQLKRWFLSHAQYLNFFTILLLASVPNPLFDLAGIMCGQFGIPFWKFFLATVIGKAFMKANIQTIFIILVCNNQLLDWIETELIWTLSFIPGVASVLPGVVAKLHAVKDKYMAAPPTESSNFKVESWDLSFATLWNTIIWLMLLNFFSTIVSSTAQSYLKKEHEKEMAALKKKLVKPNETVD >KVH87860 pep supercontig:CcrdV1:scaffold_2169:41745:44433:-1 gene:Ccrd_024826 transcript:KVH87860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin SPT2 MMLDRPSRYLSFSAVGSRDGFGVKAGPSGQKPGTASKLNSTSLEPRKQLGRNDGSGSARPSAPKTLPLKAPMVRKEKVISASVATGSMPGLHKLLHSKPAPSVHKKPYDDDDDRDMEAGFDDIMMEEKRRSVSLQNSLDNLDLILLW >KVH87862 pep supercontig:CcrdV1:scaffold_2169:90312:90511:-1 gene:Ccrd_024828 transcript:KVH87862 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCNT-C domain-containing protein MKEAKDWGEFKEENRLDEELETYKKNKVSFLQRADYREFERERDARLAVQAKRKSNMRED >KVH96641 pep supercontig:CcrdV1:scaffold_217:199910:200916:1 gene:Ccrd_001270 transcript:KVH96641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVQSHLAVAATFCFSNPAKLNLNIDSNTSKFSRKVERRNRNGFSESNPYGDKTQVVRY >KVH96640 pep supercontig:CcrdV1:scaffold_217:331673:337292:-1 gene:Ccrd_001276 transcript:KVH96640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type YKLHHPFGPLYVQKKKNPNFVVLSLRTLTTKYRIEGISVSSVVVGLNWDLEMSSDSRSRSRSRSPMDRKIRTQRSSYRDAPYRRESRRGYSQSNLCKNCKRPGHYARECPNVAICHNCGLPGKTGHLARDCLNDPVCNLCNVAGHVARDCPKGNMIDDRGGPRGGDRGMGGFRDIVCRNCQQVGHMSRDCVALMICHNCGGRGHLAFECPSGRFMDRFPRSTG >KVH96643 pep supercontig:CcrdV1:scaffold_217:279144:281309:1 gene:Ccrd_001272 transcript:KVH96643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine racemase/group IV decarboxylase, C-terminal MPALACCVDAAAPLPPGYTAWDGSLPSPEPFSGVPHSVLADLSSASHWSPSHSAALYRIDGWGAPYFSVNSSGNVTVRPHGSGTMDHQEIDLLKVVKKASDPKSSGGLELPLPLIIRFPDVLKNRLESIQSAFNCAVESQGYGSHYQGVYPVKCNQDRFVVEDIVKFGSSFRFGLEAGSKPELLLAMSCLCKGSSESLLICNGFKDAEYISLALIARKLSLNTVIVLEQEEELDSVIDMSRKLGVLPVVGIRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLEKYGMLDCLQLLHFHIGSQIPSTALLADGVGEAAQIYSELVRLGASMKVIDIGGGLGIDYDGSKSTDSDVSVGYTLEEYAAAVVQAVKFVCDRKSVKHPVICSESGRAIVSHHSILIFEAVSRSKYTVPTLSSFDVQQFGEQLPEEAHSYYHNLSQSAIRGDYESCLVYADQLKQRCVEKFKDGSLDIEQLAGVDGLCDLVGKAIGASDPVSTYHINLSVFTSIPDFWGIGQLFPILPIHRLEECPSKKGILSDLTCDSDGKIDKFIGGDSSLPLHELEGKYYLGMFLGGAYEEALGGVHNLFGGPSLIRVSQSDGPHGFAVTRAVAGPSCSEVLRLMQHEPEVMFETLKHRIEEYVDDEGGMAGALMAGGIAESFHNMPYLTVASSCCLTAANGMNEYYYSESAGGDVVTGGDVVTGGDVGTGDDEQWSFVCA >KVH96634 pep supercontig:CcrdV1:scaffold_217:193118:195291:1 gene:Ccrd_001269 transcript:KVH96634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MNPLLLLLLPFWLLILQFVVCNSIYLNYTEALSKSILFFEGQRSGYIPSNQRITWRGNSALGDGPGFFSDLRGGYYNGGDNVKSTYTMAFTTTMLAWSVIEFGEMMPKEELRNTLVAIRWSADYLPEDMDMNRTVYKLQAIDPASEVAGEVAAALAATSIAFRSFDPYYSDSLLKAASGAFDYADLYHGSYSETVLIKYGVCPYYCAFDGYQASIYSHTHTHTHTHTHTYKRMVTHWQDELIWGAAWLSKASKDERYMEYIQKNGDTLAANENINEFGWDKKHAGINVLLSKEVLERKAYGLDSYKTSADNFICTLIPESSSSSHIAYTPGGLIYREGEKNLQHSTSITFLLLVYAKYLKQSSGSINCGSVRVGPAKLRVMAKRQVDYILGKNPKGMSYMVGYGRKYPQRIHHRGSFIPSIKDHPQVIKCKEGSIYFNSSNPNPNILIGAVVGGPQKDDEYEDDRTDISKSEPTTYINAPFVGALAFFAAKPIHHN >KVH96635 pep supercontig:CcrdV1:scaffold_217:162936:170673:1 gene:Ccrd_001268 transcript:KVH96635 gene_biotype:protein_coding transcript_biotype:protein_coding description:K homology domain-like, alpha/beta MPQSIFTTNHHRSPPTTTAICCSLSTFLPSLPSSIRFPAAKFGYALMFSPYRSTNAARNRVVICMAKERRVKMVAKQIQRELSDMLLTDQVLQYAILPEAALGADRYLSSLTTISDVEVSSDLQVTSFPTSASSHTFLSPQVAIALELKTEDVKHGHLIFDARDLGEAKPARKLAGRIFEEEKQRLTRVVKVYVSVFGDERGKEVAISGLKSKAKYVRSQLGKRMKLRLTPEVRFIEDESLERGSRVRSYRILKNLHICC >KVH96638 pep supercontig:CcrdV1:scaffold_217:301725:301997:1 gene:Ccrd_001274 transcript:KVH96638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLMQRWSTTAKRLLVTAEQRYAFTSLSPSSTPPVPALCGRGDKRTKRGKIFKGSYGNSRPKKEKKIQRIKDKVEVPRSTPWPLPFKLI >KVH96639 pep supercontig:CcrdV1:scaffold_217:311957:317781:-1 gene:Ccrd_001275 transcript:KVH96639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MKHLFFFFFPFLLLILQFSLCNSSYLIYGEALSKSIMFFEGQRSGFLPNDQRINWRGNSGLGDGSAVNVDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGEMMPPPELRNALVAIRWSTDYLLKMVAQPDRIFVQVGDPIKDHNCWERPEDMDTARTVYMVEAPNPASDVAGETAAALAASSMAFRSSDPGYSDTLLRTAIRVFGGPTAITQTLGMVLAHFTDELLWGAAWLGRASGDERYLDYIQSNAKSLGADENINEFGWDNKHAGLNVLVSKVQSNLTPFILFMFLAWIRTIKMFRLEVLEGKSYDLESYKASADSFMCTLIPESSSSHIAYTPGGLIYRPGGSNLQHSTSITFLLLTYANYLERSSTSINCGTISVGPTKLRVIAKRQVDYILGENPKGMSYMVGYSDRYPQRIHHRGSSIPSIKDHPQFIGCKEGSIYCNSSNPNPNVLVGALVGGPQEDDEYEDDRFDFKKSEPTTYINAPFVGALAFFAANPNPI >KVH96636 pep supercontig:CcrdV1:scaffold_217:127705:128130:-1 gene:Ccrd_001267 transcript:KVH96636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MSPAIVKSSRIRHIVRVRQMLCRWRRRATSSSRRLMASDVPAGHVAICVGISCRRFIVRATYLNHPVFQKLLREAEEEYGFCNKGPLTIPCEESEFEEILRFVSRPELDNNNKSGRLEDFHRFCHVGYVAESKPLLHGSVY >KVH96644 pep supercontig:CcrdV1:scaffold_217:295262:299697:1 gene:Ccrd_001273 transcript:KVH96644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation protein UFD1 LSLSKIYINPNSQIKFLSKGFLFSKSLEEVRYLSRLIIRFCSSIRLLEIRLFGWQVRSLRRINMYFDGYGYHGTSFEQTYRCYPASFIDKPQLENGDKIIMPPSALDRLASLHIDYPMLFELRNSATERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIESKPSHAISIIETDCEVDFAPPLDYKEPERPVTSVPSSKAPAEGEAAPVEEPKFNPFTGSGRRLDGKPLKYQPPPVSSSTGSKEKQPAVSGGGGVQPSTGSTSQSSSRQSQGKLVFGSNANSSADPQKQKEVAKETKEVVKEEPKFQAFTGKKYSLKG >KVH96642 pep supercontig:CcrdV1:scaffold_217:204638:210295:1 gene:Ccrd_001271 transcript:KVH96642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLQTHNASAPNSRAYNQPLISSFTPLQPTNSIFSDQTILLRWRHRLALTPVARVSAFSAGLLYGSIKLKYLKAKVKSQRKAEAKAHH >KVH96637 pep supercontig:CcrdV1:scaffold_217:38309:38605:1 gene:Ccrd_001266 transcript:KVH96637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGKSNKLSQAALLKQILKRCSSLGKKHGYDDVPKGHFPVYVGVKRSRYIVPISFLTHPEFQRLLRWSEEEFGFHHEMGLTIPCEEVVFQSLTAMLR >KVH87859 pep supercontig:CcrdV1:scaffold_2171:36512:38465:1 gene:Ccrd_024829 transcript:KVH87859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g30950 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G30950) UniProtKB/TrEMBL;Acc:C0SUY4] MEAFVDHTHYVTTFPFSYPFATTTTNPPWMDCRIWSRLPQRLIDRVIAFLPPPAFFRARSVCKRWYSLLFSHSFLQMYLQINPKPYFFIFFKQKTTHPKTTTTTTTTTTTIFKPTITTAAADDVVPKEGYVFDPETLSWHRLVFPLIPPGFSPTCSSGGLICWGSDEAGSKGLILSNPLFASQVTPLPSTLRPRLFPSVGLAITNSSIDVMVAGDDLISPYAVKNLTTESFHIDVGGFYSIWGTTSSLPRLCSLESGRMVYVQQQGGSTSADEEVFEVSESGGEQREAGADSSCGEKQAECAEESEDVAIAKLRDYMGRDREDATTAVCTVFGGGRRERVHMCWKWGVCGGDVIVGMEHISGGSGSSKWTIWHHFSCRFEGMGNT >KVI03327 pep supercontig:CcrdV1:scaffold_2172:40098:42460:1 gene:Ccrd_018373 transcript:KVI03327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLGCDGKRRQQPAAAVGGPRCDGKRRQQPAAAAVGGPRCDGKRRHRNNLISPSKSMDSNDRAWIVSRNRISQEYTLGLNNFLATAKRHLGVDGRTLCPCNRCENTWLQRLPMIRAHILQYGMLATYQRWIHHGESLSDEEEHDHFEDSSNNDEDDDTLRDAIMDEEGRMFFNVDRSTENDVEDKSDVNRGRFDKLIEQLNKELYPGSGNLTLLQYVVKLMHIKVLNKWSDKSVNMLLQFEQSILPSGHNLPTSYYEMKKIYNGLKTWI >KVI03329 pep supercontig:CcrdV1:scaffold_2172:81024:83177:-1 gene:Ccrd_018375 transcript:KVI03329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MANRDVPVNGKQSELSLRRDGNLVLIDAGRTVIWSTKTKSTSSSSLQLQLLNTGNLVLDVGGQTLWQSFDYPTDTLLPNQPFTKSTKLVSSKSRSNYSSGFYKMFFDSDGILRLIYDAPETTTIYWPHPSFRSWEVGRLQYMYSRRAILDSNGQFNSSDGWKFKSADFGMGRQRIMRIDVDGNARVYSLVEHERRMKWEVEWQAISQPCKIHGTCGPNSLCTYSQDSGRKCSCLSGYKMVNYEDSSYGCEPEFKPCIQDDCDFIELRHVEFYGYDVRIHGNYTVDACKKDCLQDNTCIGFQFGWKEDSGFFYCCIKTSLQNGYEMGYEYSMYIKLPKRLVSSFKQKTVSQSSLNCPPPMLTTITRSYEENNDIKPLGFMLVFGCVIGFIEIVCIVVFWYFSSERSSTTEQIYIPAATAFRKFTYSELKKASCNFSEEIGRGGACVVYKGRLSDNRVAAIKRLKNTNHHGEAEFQAEISTIGRVNHMNLIETWGYCAEGKHRLVVYEYMENGSLAKNLGIGKLDWATRLGIATGTAKGLAYLHEECLEWVLHCDVKPHNILLDANYNPKVADFGLSRLFDRSGINQSDFSMIRGTRGYMAPEWVFNLPITSKVDVFSYGVVILEMITGRSPAGKHHSNNENGEVEVELGLIEWVKSMIQESDQSRTECWVEKIVDPSISGNYNRTTMENLVRTAVQCAEEDREARPSMSQVVSMLLHA >KVI03330 pep supercontig:CcrdV1:scaffold_2172:68165:72085:1 gene:Ccrd_018374 transcript:KVI03330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate enzyme, C-terminal TPP-binding MDTKIGSLDVVAVPNSDVCCPPPHGGLSALQTSTVPFNFSEATLGRHLARRLVQIGISDVFSVPGDFNLTLLDHLIAEPGLNLVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHELIDTAISTALKESKPVYISISCNLPAIPHPTFSREPVPFSLSPKLSNLMGLEAAVDAAAEFLNKAVKPVMVAGPKMRMQYGSIGWSVGATLGYAQAATNKRVIACIGDGSFQVTAQDVSTMLRCGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALIDAIHNGEGKCWTSKVFCEEELVEAIATATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KVI03328 pep supercontig:CcrdV1:scaffold_2172:6727:13294:1 gene:Ccrd_018372 transcript:KVI03328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRLLLIIMYLFYLPSSPGAAPTVQLHPLKKISESVTVNNISIPGCPTKCGNLTVPYPFGIRGSNSDCSIGPWFDITCNTSFNPPKAFLPANLFSYRGDDSFHRIEIIDISDEHVRIKNVVASTCYNETGEIIEITSTGLVVATSYFTLSELNKLIAVGCDDYSVIAPVNGIEGKNFSSGCVTVCNGVRDVLAGSCSGLTNKSGPNVDGGAEVDSGGMGCCSTSLPTGLKTYIANSFTLNRHVDVWPFNKCGYTFLGEESAFTLQGASDFTDPNFVKRTEETVPVVLDWVIGSRSCNEYKNTSDYYCQQNSVCVDFKRGNGGYRCSCKNGYQGNPYLSPGCNDINECADPNNNPCDGICTNLPGTFNCSCPHGHEGDGRKDGNGCRAHNPRSPALKLSVGMGVGFLSVLIGMGWLYFSHQRRKVIKLKEKFFLKNGGLLMKQQIDSNEGGGVKELTKIFSTDELKKATKNFSKDRILGRGGYGTVYKGILPDGSVVAIKKSRVMDESQIEQFINEVVILTQINHRNVVKLLGCCLESEVPLLVYECVSNGTLFHHIHTNGGMDWLSLDNRLRIAIESASALAYLHSAASKPIIHRDVKSANILLDENLVTKISDFGASRLVPLNQTQVTTLVQGTIGYLDPEYFHTSQLTDKSDVYSFGVVLLELLTGKKPLCMERSQEQRNLATHFLVSLRMNNLFQILDRRVIREGSLEQLQAIASLVKRCLNMNGYDRPTMKEVAMELEGLRKFTKQPWVNHRGNEDAFSRTSEGQHSDLYNVSLNPYMDSIELSSLNASDQIGLLYSFDELK >KVI11569 pep supercontig:CcrdV1:scaffold_2173:72942:76577:1 gene:Ccrd_010021 transcript:KVI11569 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MHLDFCFYFCKVILKRRFFVELVGACALVSEIWRKKRLNLNSMRSMQISSVMRCYEDSRQLHSRYRFVRSPFKEVTSQVSELHNSLTGSSIYQCGFFSFASSQNNENEIVQPGNKTRTTVETNSAHGAGLPDNKVDSGASDISQSAKRRRRGTKRTKFSDSDSDSENDLSRDDLVKLLAKKEELLNKKNKEMEIMQEKGLRSFAEIDDVIARTKRAAENSKKFAIQSFAKSLLDVADNLGRASSVVKDKFTKIDTTEDPAGALPLLKTLLEGVEMTEKQLAEVTVSLHQLVFKKFGVERYDPVNEQFDPNRHNAVFQVPDPSKPPNTIAVVMKPGYTLHERIIRPAEVGVAVGFATDDKESD >KVI11565 pep supercontig:CcrdV1:scaffold_2173:26151:30343:1 gene:Ccrd_010018 transcript:KVI11565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MGDSNKVSNLNSIDLSSPDIPTSVSLLKQACMECGFFYVTNHGMSQEFMDQVFSESKKFFDLPLEQKLKLLRNEKNRGYTPVLDELLDPVNQLHGDHKEGFYIGIELPQDDPDAQRTFYGPNLWPPSVVRKIARLIALALDLDPDFFERPEMLGKPIAILRLLHYGGQVSDPAKGLFGAGAHSDYGLITLLATDGVPGLQGLRCKSWRYAGAMEQRYFQVDLASSVGQWSRAIFGTTFSRYYYIYSLDSNVPRTTSPLKLELIQAFCFLYVKIPYFVEPSHDCVVECLPTCQSKENPPKFPPVKCESYLLGRYRDTHADLNTYNKH >KVI11566 pep supercontig:CcrdV1:scaffold_2173:29142:34543:-1 gene:Ccrd_010019 transcript:KVI11566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme, NAD-binding MESTLKQMRDGETVLDMSPRSTVGGGVEDVYGEDRATEDQLVTPWTVSVASGYTLLRDPRHNKGLAFTERERDSHYLRGLLPPAISSQELQEKKLMHNIRNYDVPLHKYVALMELEERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFKRPQGLYISLKEKGKILEVLKNWPERSVQVIVVTDGERILGLGDLGCQCLPITIDVGTNNQKLLDDEFYIGLKQKRATGKEYYDLLEEFMSAVKQNYGEKVLVQFEDFANHNAFELLAKYGTTHLVFNDDIQHPCVRLITLVDLWRHFYALGFRRNKLAIFTDILNILVFQAGTGIAELIALEISTKTNIPVEETRKKIFLVDSKGLIVSSRKESLQHFKKPWAHEHEPHTTLLDAVKGRAIFSSGSPFDPYEYNGKLFIPGQANNAYIFPGLGFGLVISGAIRVHDEMLLAASEALANQVTQEHYDKGMIYPPLTNIRKISAHIAANVAAKAYDLGLATRLPRPADLVKYAESCMYTPNYRSYR >KVI11568 pep supercontig:CcrdV1:scaffold_2173:77388:78656:-1 gene:Ccrd_010022 transcript:KVI11568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MITLAKTLSTQITNCTNIQQLNQIYAHVIRIHMLDLYTEPFYWNTIIRAYTRLSSPSKALYVTIAMTRAGVYPDTYTLPVVLKAVSQENKISIVRQLHTVAMKHGLETNLFCESGLISLYAKAGEFDDALKLFDESPERNLGSWNAVIGGLSQGGCAREAVDMFFELKRSGLEPDNLTMVSLTSACGTLGNLGLALQLHKCVFQAKTLNKSDILMSNSLVDMYGKCGRMDLAYRVFSRMRERNISSWTSLIVGYAIHGHVNDALQSFHQMQEASVRPNGVTFVGVLSACVHGGLVHEGKRYFNMMKNEYRIEPWLQHYGCMVDLLSRCGLLEEAREMVEAMPMEGNVVIWGSLMGGCEKYGNVKMGEWVGKHLQEMEPWNDGVYVVMSNIYASNGLWEDVGRMRRIMKERRLAKIPGYSLGA >KVI11567 pep supercontig:CcrdV1:scaffold_2173:82362:85828:-1 gene:Ccrd_010023 transcript:KVI11567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFLFLIGCLLGFLFLMAALKELLPPAKSSTSTFYDHSSDPWFKQRYSAPTEDDLTEIIKTNLIPLYNTPERLKYRPSKPQDFGDGGAFPEIHYGQYPLEMGRKKDAASGPKTLPVTVDDHGNLTFDAIVKQNENASKIVHSQHRDLVPKILKNEDDEEEEEDIEKQKEIEKTTLQTKAALEKIVNVRLSAAQPKNVQTQSQDSKFIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLDPPKFKHKRVPKANGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKDKERKEQELRALAQKARSERTGAVAPAAPLSSNHIMMDMDGPMRNEHEHERVKERETREEREERLQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASAGGSRGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDKGLFTTQPTLSTLYRPKKDADDEMYGGADEQMEKIRKTDRFKPDKGFSGAGEKSGPRDRPVEFEKEVAEEADPFGLDQFLTEVKGGKKALDKVGSGGTMKASGGSSMREGYEGGSGRSRIGFERGR >KVI11570 pep supercontig:CcrdV1:scaffold_2173:43207:65187:-1 gene:Ccrd_010020 transcript:KVI11570 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MAHWLKAAEDLFEVVDRRAKLVVGDEQPNSQSPASNGQGSQTKRRKSKLKGQSSDRSATVDNALKKTGSRASVSKVSSDEDLSAASTDNDAINQAHSSLKNKDEEQRKVNNDVSQSDVPVSLSSLDDQPKQDTMFDKDTTSAINQEAVPPIFNSDIVNATSSKSSEEILSTSVTLVESEAEKNHPTDSGEDVLLKDKGSDMVIKKEGSQSSNSDTPSKVDSLKVSNLKIETQLDENKDQEHKPVASPKKVQEQPGSPKKVQDQPGSQKKVQDQPGSPREVQDQPSKKVQDQLDEAQGLLESSKTTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVAERDLSKSYEARIQQLQKDLSLSKDEVNRVESNMLEALAAKNAEIEALVNSMETVKKQAALSEGNLASLQANMESIMRSRELTETRMMQALKEELASAERRAEEEHAAHNATKMAAMEREVELEHRALDASTALAKIQRTADERTSKVVELEQKVALLEVECSSLTQELQDMEARARRGQKKSPEDANQVIQMQAWQEEVERARQGQRDAERKLSSLEASYTWSGHHPTTSTTLYCFCFTSGAEVQKMRVEMAAMKRDAEHYSRQVKKYEPLGLAFILPAEPGHREMSNSNVFFALISDTATEQEHMELEKRYRELTDLLYYKQTQLEAMTSEKAAAEFQLEKEMKRIQEAQVEVERSRVPRRASTTWEEDTDMKALEYASPLSSPPFGWGKYTGNLVSLLYQLFCFFAAAVINSSKFLVLMQLQKAAKILDSGAVRGIRFLWRYPVARLILLFYMVFVHLFMMYLLHRLQEQADSLSSREVAESMGLGNHTLP >KVI06224 pep supercontig:CcrdV1:scaffold_2174:37640:43042:1 gene:Ccrd_015435 transcript:KVI06224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFDLKQLHENLSTHSTPWQRSFQFWARAADIYTGYKVFQVRVSFEKDVQKAEEMWERHHEHAADKIYSMCYDMGGFFLKVAQVVGKPDLAPAAWVRKLVTLCDHAPETPSNVVRLVLEKELGKTVDEMFERFEWDPIGSASIAQVHRARLKGDKKDVVVKVQHPGVQDLMMTDLRNLQTFALCLQKTDLKFDLFSVCKEMEKQVNTNSARIGYEFDFKREADAMERIRSFLSENNRKTVVKVPRVIRGVVTSRRVLVMEYIDGIPILNLKDEIMKRGIDPDGKIAAAAKQELGIDTVNLCENERAELFNLAQVMFDTKLPPGVKMLQPYAEDATLKKIAVQSFPEELFSVLRTVHLLRGLSVGLGLNYSCAEEWRPIAEEALYLSGRLRAEDLKSKNRRKWRFFRR >KVI06222 pep supercontig:CcrdV1:scaffold_2174:45969:48623:-1 gene:Ccrd_015437 transcript:KVI06222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MESVMALGRSPCVSPSLSSIRLSSSIGTSISLSLPATAASATSLRHLAAFQSPSHLFSNSLSSRIHATEKKKKNPQKTHIFLPHLVASMEVEESYIMIKPDGVQRGLVGEIISRFEKKGFKLKGLKLFECPKELAEEHYKDLSSKPFFPKLISYITSGPVVCMAWEGVGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRRMITNPNLCCGFPLWFKEGELTEWTPVQAPWLIE >KVI06225 pep supercontig:CcrdV1:scaffold_2174:24235:28813:1 gene:Ccrd_015434 transcript:KVI06225 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7 MEGTEGTQQPHLVLANKLFLLTHSDVDDIEKVRLRDEVMSTVVADDMAPLYETLAANSVLELDQKVLDSMRAKIAEELKKLDEKIADAEENLGESEVREAHLAKSLFYIRIGDKEKALEQLKVTEGKTVAVGQRMDLVFYTLQMGFFYMDFDLISRSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAADLFLDSISTFTTYELFPYDTFIFYTVLASIISLDRVSLKQKVVDAPEILTVLGKIPFLSEFMNSLYDCQYKSFFSAFEIRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >KVI06219 pep supercontig:CcrdV1:scaffold_2174:54930:56642:-1 gene:Ccrd_015438 transcript:KVI06219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MAHLFMGHPLYSDQRWNHLKVGVNGVDPRFKLGGTKRKAERWVSLESSLQVGTPGFLENPLYAHDEDEDDVQAHITTNEADLGQDHSISPFYTQCYLSVLDNPASHWQLPGLFNIPFGHKKMKRTVSMPETSAGNSSLLDGVNVTIHRSSSSNSFPKLRFRDHIWTYTQRYLAAEAIENGILENRPVENEGNVDGMHLVQLLISCAEAVACRDKAHATTLLAELRANALVFGSSFQRVASCFMQGLTDRLALVQPLGAVGLAIPATSLNVVASEKKEEALHLVYEVCPHIQFGHFVANFTILEAFEGESFVHVVDLGMTLGLPHGHQWRALIESLANHPGQALRLLRITAVGPCVNRFHIIGDELEAYAQELGIKMEISFVESSLETLKPEDIKTYENEVLVVNSILQLHCVVKESRGALNSVLQIIHELSPKVLVLVEQDSSHNGPFFLGRFMEALHYYSAIFDALDAMLPKYDTRRAKIEQFYFAEEIKNIVSCEGPNRVERHERVDQWRRRMSRAGFQATPVKLMAQAKQWLAKLEICEGYTIVEEKGSLVLGWKSKPIVAASCWKC >KVI06216 pep supercontig:CcrdV1:scaffold_2174:77084:80208:1 gene:Ccrd_015440 transcript:KVI06216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde 3-phosphate dehydrogenase, catalytic domain-containing protein MGKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISVDYMTYMFKYDSVHGHWKHHELKVKDDKTLLFGEKPVAVFGSRNPEEIPWAQTGAEYVVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPPLNGKLTGMSFRVPTVDVSVVDLTVRLEKSATYDQIKAAIKEESEGKLKGILGYTDEDLVSTDFVGDSRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSSRVVDLIVHMASVE >KVI06218 pep supercontig:CcrdV1:scaffold_2174:61604:61825:-1 gene:Ccrd_015439 transcript:KVI06218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKVEGGMKNRQQQWKKSQNQKSQNYRKQIVMQCNKSNTPKFKRSTGYIEDDGFSSAILLLACIACNPSSYL >KVI06217 pep supercontig:CcrdV1:scaffold_2174:82720:88991:-1 gene:Ccrd_015441 transcript:KVI06217 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAI1-like protein MYRERGGGGSKAKIEVTSRVGGGGLNLNGKDDNKDRLSAGSSNLSRSSYPDDRSSKVLPQPPARNTNNPGRGGGFGRGRWQNDRGGGFGRGRWQNDRGGGFGRGRWQNDRGGGFGRGRWQNDRGGAGILPRPGPFPQRQNYGYGSKFYAPRNDERFVSELKFSKSEETLSRKCIAFQERLFKRLITEDIGADLNQGFDTFIEKKDLGSQGFGDLLACIRNKNIPLQNMHFVTYRNNLNKVSCCLPIFFWHASVAHVLAYGVLVDSIVNLYVFNRWQILATAYMRHEPWEMGVHKRKGVVYLDVHKLPERPQRELDRRRCYWGYCFETLATEDPTRDDGEGIHHVDANVEYCSVVKTKLGAHGILMGAEMDCCDSMDDGRRFYVELKTSREVCDLHFKYTPFLLRVVFTPFFRIYLQLDYHTEERFEREKLLKFWIQSFLADVPFIVIGYRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAAPFTRLELLTAQSCPQEITDHVEQEQL >KVI06221 pep supercontig:CcrdV1:scaffold_2174:3720:9678:1 gene:Ccrd_015432 transcript:KVI06221 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MVHVAEVCAECTQNCLLMHKKDKNPSPVATTFFKVMFGDEYSKVLFLPPRFAHSVRNMVGKATRLEDPSGEKWKVKFTMIDGFLAFEEGWNAFSTAHGLKVGDFLVFHYIMESHFVVLMYGQSGCPEIQHFGFNRHQMEDTRKKQSLITDNTNSKPSNVNTTDHHISCESSQSEHSDNEHPEIRPSVGTQHKDERIKKKGTAVQLPPSVNAKNTCNRLLASSSRPGPSVSGKELVGDENPDNMVSETPPTVKQFCLVDNDIEHVEDEHRSNLINRFHLDRLPTKKRAIENLENLSERLSSRDCLHDLSIPEINPLESDDVRKNNVAVGGCGNATQINKSGKTLETRSEESRENPKKSTIMPSEATCNNSIKKRLRSSNLPIARPKKAKKEPAGASETDTLGSRLHDAVRPSPSTEIFPKSIAKPMIATKKELISDEKDNDRFVKPEPVDYDEALPPGPTNSLFSAVMSSYQYLELPEWVKLKKVILLRNGGDLWPVLYQNQLGLKALTQSWQVFARERGIQPGDNCEFVLESEPNADLPCSVFRVHVTAK >KVI06223 pep supercontig:CcrdV1:scaffold_2174:44068:45392:1 gene:Ccrd_015436 transcript:KVI06223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRVRACSLSSLEVLEMERTPKLLKDDSLSIYEKTLLKLQQGSKRNLSLIPEESMKTDDTSPSMATNEAALVAVNGSDYGSTDSSDNQSMIIAKEEPRGNLSVVYLFSRYKTSRNDNISSSDDSAMTMAMAMEDDYSSTNTSPGLQQECIIDSLSPN >KVI06220 pep supercontig:CcrdV1:scaffold_2174:11202:23744:-1 gene:Ccrd_015433 transcript:KVI06220 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4110 MSSWRRMSSWRRKSVPRNSPMQRSDILFFFGKTVVGTGTFSGGSSSGGEKNEREAGRMGKKAKKPGKGKEKTERKTVKAEEKKARRESKKVSPEDDIDAILLSIQKEEAKKKEVHVEENAPRPSPRSNCSLNINPLKETEMILYGGEFYNGTKTYVYGDLYRYDVDKGDWKLISSPNSPPPRSAHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNYKGCPSPRSGHRMFCFPVCHPKIMLHLQVLYKHKLIVFGGFYDTLREVRYFNDLYVFDLDNFKWQEIKPTPGCLWPSARSGFQLFVYQDDIYLYGGYSKEVSSDKNSSEKGIVHSDMWLLDPKTWVWNKVKKGGMPPGPRAGFSMCVHKKRAVLFGGVVDMEAEGDVLMSLFLNELYGFQLDINRWYPLELRKEKSTKDKIKKQKDEDAFCNAPNSSIDPMEVATNDEDDTMDCDEIANMESNIDDISSSMERNIRVDGSEVAVKSNMKNHESSSKLLRPSATPEEVVKPCGRINSSMVVGRDTLYIYGGMMEIRDQEITLDDLYALNLSKLDEWKCLIPASESEWVEASEDDDEEDDDEEEDSEDENEENDDSDESDDDDDVEVPLLFRAQLLPTIATNGGVLGDAVAIIKGEGKKLRRKEKKARIEQIRASLGLSDSQRTPMPGEALKDFYKRTNDYWQMAAYEHTAHTGKELRKDGFDLAEGRYKELKPILDELAILEAEQKAEEAEGPETSSSRKKGVKKNKHVASK >KVH87856 pep supercontig:CcrdV1:scaffold_2175:52805:55338:-1 gene:Ccrd_024832 transcript:KVH87856 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MTPQKINVLGSSFFYAHSIYYLFVAPISLGAMVKKGWFMNHDIELGRTMPNQSGLVLWLTIGLTLHLQSYRGFDEIYDVEKFITSMSGVVHVETRKHPELKLVSVRVPYNANRNYILTNIQPLFYTTQYLRVITYFPSSTTSQGKVDKDMNPHSCWATFEALHLNPELQEVLDSIVGKIKGHGLNGQFIAIDYKGEIVGTSACRNDGMNRIKSCYNPMEIAQFLRRVGYQKDTTIYVTDQSRSDNGLNVLKDFYPNTFTKDDIMQETEKGKSELELKLIDFKLCSISDVFVPAKSGLFYANVVANRIASRKTEVFVPAQVTSTLARDHISSYISKRSHPAYACFCP >KVH87858 pep supercontig:CcrdV1:scaffold_2175:17449:29521:1 gene:Ccrd_024830 transcript:KVH87858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, N-terminal autoinhibitory domain-containing protein MSSGSSPYRKHKNDVESGGGGSGGFEDYESPSDPFDIVSTKSASVDRLKRWRQAALVLNASRRFRYTLDLKKEEEKKQIIAKIRTHAQVIRAAYLFQAAGAQSNGVQRAPPSPIPTGDFSISPDQLASMTRDHDFSALQNYGGAIYNHVSKLWSFLLYLYQVKGLSEKLKTNPEKGIHDDDSQILERKNVFGSNTYPRKKGRSFWRFVLDACRDTTLIILMVAAAASLALGIKTEGIEEGWYDGGSIFLAVIIVIVVTAVSDYKQSLQFQNLNEEKQNIHLEVVRGGRRIEISIFDIIVGDVIPLKIGDQVPADGILVSGHSLAVDESSMTGESKIVHKDHKAPFLMSGCKIADGYGTMLVTSVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLVVAIAVLIILLARYFSGHSKDDKDKVEFIAGKTSVGDAVDGAIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNLVGVFAFYR >KVH87857 pep supercontig:CcrdV1:scaffold_2175:40047:52704:1 gene:Ccrd_024831 transcript:KVH87857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, subfamily IIB MEKKSFVLGDVKLTGCKGSSLLPFVTHGLVLRSTKRLWVLIMTVVEAYICGKKIDTQNNKSELPSKVVSLLIEGIAQNTTGSVFTPEGGGNIEVSGSPTEKAILQWGVNLGMDFDVVRAESSVVHAFPFNSEKKRGGVAVKVPNSEVHIHWKGAAEILLDACTSYLDDNESLVALDGDKVRYYKKAIESMAAGSLRCVAIAYRACKGETVPNDEEELGIWQMPEDDLVLLAIVGLKDPCRSNVRDAVQLCIKAGVKVRMVTGDNLQTARAIALECGILESNADAKEPNLIEGKAFRALSEEQRLEVAEKISVMGRSSPNDKLLLVQALRKKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRTPVGRREPLITNIMWRNLLIQLLIPIPYLCVKLQALYQVMVLNVLNFEGIKILNLEHESNEHAKKTKNTLIFNAFVFSQIFNEFNARKPDEMNVFKGVTKNRLFMGIIGFTILLQVIIIMFLGKFTTTVRLSWQLWLVSVGIGIISWPLAVVGKLIPVSRRPFSEYFSSIISSCIPSHRRNMGGSLRGNEEVL >KVH95539 pep supercontig:CcrdV1:scaffold_2176:343:4315:1 gene:Ccrd_002378 transcript:KVH95539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MSNFLVSTCSSPLALDENNSTKQDHVIRNTVTFHSSIWGDQFLTYDEKDDLVAEKQLAEELIEETRKELIITTSSHEPIQHMKLIQLIDAVQRLGVAYHFEKEIEDALQHVYRTYGHQGIHNNNDLQSISLWFRILRQQGFNVSSEIFKNHMDEKGNLFSNDVQSMLALYEASYMRVEGEKVLDDALEFTKTHLAIIAKDPSCDSSLRTQIQDALRQPLRKRLPRLEAVRYIPIYQQQSSHNQILLKLAKLDFNMLQTMHKKELSEICKWWKDLDMQNKLPFVRDRLIEGYFWILGIYFEPHHSRSRMFLIKSCMWLVGYMPTLDEYISNSLITCAYAVMIARSYVGGDDKLVNEDSFKWVATHPPLVKASCLILRLMDDIATHKEEQERGHVASSIECYIKETGATEEEAREHFSKQVEDAWKVVNRESLRPTAVAFPLVMPAINLARMCDALYKGNHDGYNHAGKEVIQYIKSLLVHPLI >KVH95543 pep supercontig:CcrdV1:scaffold_2176:61497:67473:1 gene:Ccrd_002387 transcript:KVH95543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MRCQLQTLARIVSVARTPAGGRRRSSKAVSRLMSTKSTGLMFQLKVNPLTGNSEWVVIEEEVDAISPKPTLATTSYLDMLNDAPRNRAYRNAIDRTVSKQCHVLDIGSGTGLLSMMAARAMGIDDLSECSKSKGMVTACESYLLMVKLMKKVLRANNMDRKIRVLNKRSDELKVGVDIASRADVLVSEILDSELLGEGLIPSLQHAHDKLLVENYRTVPYRASTYGQLVESTYLWKLHDLFNNEANLIDGIHLVPKGKECILRVKPQQFAMHCDAMGEEIRLYLSSCQNLSKFLSLTFGNGQTVTDKPRWVLQLDEEGEIFYSTSPKWINNPLSKNGLPSSYPCDCSSTSVGTRDWCDHWKQCVWFSKGKGLPVYKDGDVCMDATHTETSISYEFEAQSQRSEVYHLEVSTQDSHIQDSHINLSPERIAIYGDSHWRLAILKALNSALQKKDSPLCVIADDSIFLTISVAHLSKTSHIIALFPGLREKGSQYLQAVADENGYSMDRIEVITTRKQQWTMNDTHQKKVDLLIAEPFYFGAEGMLPWHNLQFWSKRTMLDSILSEDVCIMPCKGILKACAMSLPDLWRSRRSLENIEGFDHTMVNSTLGACGGLSSSSESPCLPFFIWQCGEIKKLSDTYTIMEFDLLKPLSSCHGKAELSMHLLIHRPVNFRSHNDSLDDIKNEYIGF >KVH95548 pep supercontig:CcrdV1:scaffold_2176:28467:30526:-1 gene:Ccrd_002382 transcript:KVH95548 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MLQRFKFQVLGTNYKNDFIEAIDPSNLPSFFGGNCECLDYGGCLLSDKGPWNNPEIMDMLQEMTDSGDESGASNNKVSESDMDDIVIEDVNDITNPTENREDENKLSSMKLRSLEAVLNESSMIFQKLEVALNDAKLGLDWAFFKSWISKLISSNFLLNFPDDVTSTLWVAISFISLKIKHEALTRGGCVATHLKESSVTSMSGPPTYDPSITTA >KVH95541 pep supercontig:CcrdV1:scaffold_2176:43182:44612:-1 gene:Ccrd_002385 transcript:KVH95541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MAMASSIFIYAFFLLISATSSSKLLLFPLTHSLSNSELLNNTHHLLKSTTSRSITAQGGDYTISFSLGSAPKRTVTLYMDTGSDTVWLPCKPFTCIMCEGESDPKTTPPPQNLSASAAPVTCQSRACSAVHSTLPTSDLCAMARCPLESIEMSECHNYSCPSFYYAYGDGSFVARLYKDNLEIPMSSPPSLVIKNFTFGCAHESLGEPIGVAGFGRGALSLPAQLAVFSPHLGTQFSYCLVSHSFETERLRQPSPLILGRVDSVGSENKAKRVPTSQPDDFAYTPMLENSKHPYYYYVGLEAITVGNHRLPAPENMKTIDRKGNGGMVVDSGTTYSMLPEEFYNSVVSEFAKRMKSGHKRAKAVEDRTGLSPCYYVDEGAKRLVPQMVLHFGGNSSVVMPRKNYFYEFMDGGDDGKVKRKAGCMMVMNGGYFPESGGPAGLLGNYQQQGLEVVYDLLKKRMGFARRNCASLWERLS >KVH95544 pep supercontig:CcrdV1:scaffold_2176:56727:60794:-1 gene:Ccrd_002386 transcript:KVH95544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad (HIT) protein MAGTAAASPSCIFCQIARSSTTTTLLHTDEKVVAFQDINPSASRHYLVIPVDHIATVKDLRRRTEDYSLVSHMLNVGQTLLSRDAPNSIQYR >KVH95540 pep supercontig:CcrdV1:scaffold_2176:6733:11962:1 gene:Ccrd_002379 transcript:KVH95540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MGTRTNFYKNPSYTYNKDFDLNSVLQNLKAYNAATGNPTPPEEEPVSSNQKVGLQSNRRKRRKISSPLDKRHKIEESDGPMSHHDYIQKIRKEADSAQAFEELTNNVLEGSASGGRLVGYDSDNGTSSQGEENQEPHISGLILDICDEKDPHNAGNMNTADHVKERSEQRFPVPGEPACVICGKYGEYICDETDDDICSMDCKAELLENLKNSQRPASNQRLFEVSSGPKFPLQIVESGGDTWDFNRHRWSTKRSSLCTYECWKCKKGGHLAEDCLVITSIPQSSSSGLQASSSTKRSSVIPKELLELYKRCHQISKKSRAAKCNACHRLSTLAMCLDCGITFCDSAGHLVEHIQTHPSHRKYYSYKLNRLIKCCKSSCKVTDIKDLLACHFCFDKAFDKFYDMFGATWKAAGLSIVAGSICCEDHFAWHRMNCLNAGVEDGAYIFKKHMQNEKRSQLSDFIF >KVH95549 pep supercontig:CcrdV1:scaffold_2176:85025:88400:-1 gene:Ccrd_002388 transcript:KVH95549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSKHTSPPVASVSRQITTVSFFFLSFVGFFFRPTLCSSSAAEVRALLDFKKQLKDPFHYLDSWKTAGSPCRFYGVLCDDVTGRVVEISLNYKSLSGKISPAISTLESLRSLVMPSNFIVGELPAAIANCSDLRVLNVTANNMTGVLPDLSKLTKLEVLDLSDNYFKGDFPTWVGNLTGLSALGLADNNFDAGGIPESIGNLKNLTWLDLGGCQLTGEISKSIFDLKELRTLDLSNNNISGDFPVGITKLRNLWKIELFANQLTGTIPPELTELNLLQEFDISANQMHGELPTGIGNMKNLTVFQCYMNHFSGVLPPGFGDMLNLKGFSIYRNNFLGTLPENFAKFAPLVDIDVSENKFSGEFPKFLCGSGKLEKLLALGNEFSGEFPENYAECKSLIRLRVSLNQFSGKVPDGLWALPSIDFIDLSDNNFSGEISSSIGISTKLTQLLLYDNDFSGEIPSEIGKLSQLEKLDLSNNKFSGRIPSEIQNVMQLSYLHLENNLFSGSIPPELGECDKLVDLNLGRNFLTGKIPDRLADISSLNALNLSRNLLTGEIPDNLKRLKLSLIDLSINRLSGRIPSDLLSMGGDDAFAGNEGLCVNENSGRKVNLELDVCDGKQRHREINKSKLLMFCVILVSLIAVLGGLMYAIYKNFKMRRGKADNKHGFDDEKGTENPKWKLENFHQIEFDADELCDLEEGNLIGVGGTGKVYRVELKKSGLTVAVKQIGKGVQVQVMTAETGILGKIRHRNILKLYACLMKGGSSYLVFEHMVNGNLYEALSRVVKNGDPELDWFQRYKIAFGAAKGIAYLHHDCTPAILHRDIKSSNILLDKDFEPKIADFGVARLADDGCLGSDSNCFVGTHGYIAPELAYTLKVTEKSDVYSFGVVLLELVTGRRAIEEGYGEGKDIVYWALSNLKDRENILQLLDPMLISEDESGDLADDMMKVLQIGLVCTTKLPNLRPSMREVVKMLTDAEPCNGLRWRDDRDKMGKVLF >KVH95546 pep supercontig:CcrdV1:scaffold_2176:16034:16750:1 gene:Ccrd_002380 transcript:KVH95546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGLNILPQKRWNVYNFDNREKVRKDEEAAAKEEQLKREQSRKRDTEFRLEQLRQARGLASASASASASSSRQSTAVAVESPPAPVPEPQPEVEPKSRHINLFEGIRIFDPVEIVDKNKSEPGDEQRKGYKRIKKEAEMPKVVLPEDEKYRLGYGVAGKGVKLPWYMEKRPNGDDKGVDDCDSVTVTKSSRKKSVEELREERLKREGKEKERERALLLHKGRRGSLIQNDRYSRR >KVH95542 pep supercontig:CcrdV1:scaffold_2176:39651:41352:1 gene:Ccrd_002384 transcript:KVH95542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAIQLLLLWLLAASLDLTESQSVGVCYGRLGNNLPTPPQVISLYRSRNIRRMRVYDPDQGVFQALSGSNIEVMLGVPNTELQYVASSRENAREWVKRNVRDHSPGVRFRYIAVGNEVKASDTTLAPLVHPAMTNVHEAVAFHGLKDQIKVSTSVDTTLIGVSYPPSQGAFRGDVRGYIDPIIGFLVSINSPLLVNIYTYFSYVGNPREISLPYALFTSPGIVVQDGANGYQNLFDAMLDGVYSALEKAGGASLEIVVSESGWPSDGESAATFDNARTYYTNLVAHVAQGTPKRRGAIETYLFAMFDEHNKQPEYEKNFGIFYPDQRPKYQLSF >KVH95545 pep supercontig:CcrdV1:scaffold_2176:26491:29047:1 gene:Ccrd_002381 transcript:KVH95545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MSTSSVSISLSSLVIDENNSTKQDHVIRNTVTFHPSIWGDQFLVYDEKDDLVAEKQLVEELTEEIRKKLFITASSIHEPLQQIQLIDAIQRLGVAYHFEKEIEEALQHVYRTYGHQGIHNNNNLQSVSLWFRILRQQGFNVSPEIFKNHMDEKGNLLSNDVESMLALYEASYMRVEGEKVLDDALKFTKTHLAIIAQHPSCDSSLRTQIQEALRQPLRKRLPRLEAVRYIPIYQQQSSHNQLLLKLAKLDFNMLQSMHKKELSQICKWWKDLDMQNKLPFVRDRLIEGYFCILGIYFEPHHSRLRMFLIKSCMWLIVMDDTFDNYGTYEELKIFTEVVERWSISCLDLLPEYMKVIYLELVNIHQEMEESLEKEGKTYHIYYVKEMAKEYTRSLLAEAKWLKDGYMPTLDEYISNSLITTTYAVVIEGSYVGGPDMLVTEDSFKWVATHPPLVKASCLILRLMNDIATHKEEQERSHVASSIECYIKETGATEEEACEYFSKQVEDAWKVINRDSLKPTDVPFPLVKPVINLARISDVVYKGSINGYNHAGKELIQNIKSLLVHPLI >KVH95547 pep supercontig:CcrdV1:scaffold_2176:30554:31904:-1 gene:Ccrd_002383 transcript:KVH95547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKRNSHKPTSIKEDWFTEWTSSSMVSSSSPSPQQEENEKESILRLNDIMLKYPLKVHKRSADQKFKMVLEGDHDPRDEEIIASFRQMLFLDGLLPQEHNDYHTLIRFLRRMNFDLIKAKYSFLEYLKWREDFHVDSITKEFKYEEYKDVKRCYPHGFHGVDRHGRPLYIERIGMVDLNVFLQTTSIDRFVKYHVSEQEKTLKSRFPACSISAEKHIASTTSILDVKNVVSKEFPTSPNLQDIYLWKFRRSIATITLRHTLHCLYIINAGPGFRVLWNTLKAFLEPRTLAKIRVSSSPAIPIQH >KVI01567 pep supercontig:CcrdV1:scaffold_2177:18515:33347:-1 gene:Ccrd_020159 transcript:KVI01567 gene_biotype:protein_coding transcript_biotype:protein_coding description:XS domain-containing protein LIDHIIVVVSSHSDLGVLLLVFHFEFEAISERKGMAGGNPLKAPSNKPSSSSSSSHHRKSHRESGNNLENAAQKPSPSKPPTNSKPSPSSKHKPSPKLNPNPNPNPSPRPRPENVGPPPPPAYGFHMLDRRTIVLADGSVRSYFALPLDYQDFTPPLPRPPMRPQGPESWLGFDRQFPHGPPIPGGDDRYRQQNQDYWNSLGLEGSRKRKYGDERDGGEINDEFARQRQQLLQYGNNSNGSYMAGPSSLVHLDEMRAAKYMRPEGGYGSVQVRHNEIDQAKFKRAFLNFIRSINENASQKKKYLADGKQGSLQCLACDRSSKDFPDMHSLIMHTYNPEKATSDHVPDHLGLHKALCILMGWNYLMPPDNSKAYQLLSAEEAAADRDDLIMWPPHVIIQNTITGKGRDGRMEGLGNKAMDMKLRDFGFSSGKSKSMYGREGHMGTSVVKFAGDQSGLRDAMRLADFFEKQKHGRVSWASVQSLFRPGKDDEKDPNLVKLDKKSGQKERILYGYLGTVFDMESVDFDTRKKVTIESKRERAQAQPN >KVH87855 pep supercontig:CcrdV1:scaffold_2178:33952:34507:1 gene:Ccrd_024833 transcript:KVH87855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MSSSYRKVTFIYAYKTYVKRLIGRRVSDEVVQEDIKLWPFKVVTGSGDKPKIVVKYKDEKKYFSAEEISSMILIKMKGVAQTFLGSTFEKAIITVPAYFNDSQRQSTKDNS >KVH92257 pep supercontig:CcrdV1:scaffold_218:355671:360552:-1 gene:Ccrd_005688 transcript:KVH92257 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUC153-like protein MGSKNKKNKKAPKETPDANTAAKVAGNRVFGSGNKVITDERFAASQKDPRFQDVPKHKNKVAIDSRFSRMFTDKNFSTSSARVDKRGRAKQDGDSSQDALKHYYRIDNEEKEQKKQPEESDDDDDDDMESDEENKENERKEAEKLKKVREKLSKSKSKSESETESESELESESLGEEEDFKDDWTSTDTDEDDEAYLEEENDALQLEENVPEIDKETHRLAVVNLDWNQVQAVDLFVVLSSFLPKSGQILSVSVYPSEFGLKRMEEEAVRGPVGLFDDEDGKNTKNDDNDDSDDDSEIDNEKLRAYELSRLRYYFAVVVCDSIATADYLYKSCDGIEFERSSNRLDLRFIPDSMEFTHPARDVATGAPANYEGIDFQTRALQLSKIDLTWDENEPQRSKKLKRKINVDQESEYVKDELELKEFLASSESETDEDENDVDGGNKPGKRQKTDAYRALLQSGDGSDEDEDDDGIDMEVTFNTGLEDLSKKILEKKDKKSETVWDAYLRKKKEKKKARKNRSKNSSDDESGGSDDEPVEEPGDFFTEESAPKKKVSGDRRGQETKTGLAGEEAAASRAELELLLADDDGGDANVKGYNLKRKRSKGKKGKQEAIDEGKIPTVDYDDPRFSSLFTRPDFALDPTDPQFKRSAAYARQVVHKQHKGEPEEKGREGNVVGVEADKTDSKKDKHEMSLLLKSIKMKSKQVPLPSDGKKSRRKGK >KVH92266 pep supercontig:CcrdV1:scaffold_218:1714:7157:1 gene:Ccrd_005708 transcript:KVH92266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand GHPHRRYTHPLLKSIQAHPLQRDSLSITQALISVYDSPDFFLSHSPSVPISVQKQLGLPPSQEMKRFVAEVIAAKLSDEEISGLKQMFKMIDTDNSGYISFEELKDGLSSFGANLEESEIHDLMQAVILVSFLPSHQQEHQKPPKKSILEPIQAILPPPIAATSVFKQATERRQFYNLSPRHGEFHSVNLWYLVEQEIGVEGGGSERAALQINPPPTEFRLAPPPTKNGVGLFIFFNLRRE >KVH92273 pep supercontig:CcrdV1:scaffold_218:312158:315551:1 gene:Ccrd_005691 transcript:KVH92273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MQSFFIIFIVFLSIWANKLVHHSNACVFTLKMHHRFSDPVKKWSEGINKFTPGDFPAKGSVEYYSQLANHDKIFRGRRLSDSGEQRLTFSDGNSSFRISSLGFLHYTTVSLGTPGQKFMVALDTGSDLFWVPCDCSRCASIDNTAYSSEFKLSIYSPTGSSTSKKITCNHSMCTHRHECPGSEAFNTCPYSVSYVSSQTSTSGILMEDLLHLETEDSNGQIIDAFVTFGCGQVQSGSFLDIAAPNGLFGLGFEKISVPSILSREGYTADSFSMCFGHDGTGRISFGDKGSLDQELRVGSSLIDSCFTALFDSGTSFTYLVDPHYTRLTQRFHAQTKDSRHPTDQRIPFEYCYDMSPNANTSLIPSMSLTMKGEGQFFIYDPVIVISTNREVVYCLAVIRSAELNIIGQNFMTGYRIVFDREELVLGWKKSDCYDIEISSDSRTTPLNPNNVPPAVAAGLQGRTQTTARTSVGSPFYTRSLVLEKNPDGSYTIFVDGLNSILNSK >KVH92259 pep supercontig:CcrdV1:scaffold_218:85259:89566:1 gene:Ccrd_005705 transcript:KVH92259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MASARRNLLLFVIFVAINGVTLRTVMAATGGYKTDAAIFIFGDSTADVGTNNHLKNCTARADHRYHGIDFPFSKPTGRYSNGKNAADQIELLDSPKAVDDLLQNSIYILSIGSNDLIEFELAGQPGGRDKFLAKLTETYAEHLADLYELGARKFAIIDIPPIGCCPAARAYNATGGCMQDLNDNARSFYRSMQSNLARFSLVFKGFKYSLGNTYAMTMNVIDNPRGNQKNSCYIDYNKNMNKTIGINVFPGFKEVKTACCGSGPFNGVTGCEVGANLCAKRSDFLFWDQFHPTETASELAALTLVYGEGSEYVTPMNFSSLAMAA >KVH92264 pep supercontig:CcrdV1:scaffold_218:132061:137728:-1 gene:Ccrd_005702 transcript:KVH92264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSLLNSQLHQQTTVSSLLTTTSSISLSKTHHKTLTFLFRKPTISSLQFSLHHHPQQQLYNNVNRDDEGYEEEQVIGDCLVFEEGIFEDPFIQNEVELEVPKQSKKFNNNKTKIEVKPEDLIPANWKDVQAEINITKKERRKMAQEMEFGSKLQRKKQGLRPIPMGYESAKEYKAAKLRELKPVVLDNPELLEENDEDEIEIDEGEGSRGIRVAPRNPKMAVYGRSLDDISRFLNSGMYDPDAAKDPQGSRKLFTKEEKFLMNRRVPDLAAATSDKWQPLHTLAASGEFYLLDTLLKYSVDINVSNQEGLTAIHKAILGKKHAICNYLLRNSGNPFVRDKDGATLMHYAVRTASTQMIKILLLYNVDINLQDNDGWTPLHLAVQSRRTDVVRLLLIKKADKSLKNQDGLTPLDLCLYSGRDTRTYEMIRLLKQPPKPRKYAELMG >KVH92268 pep supercontig:CcrdV1:scaffold_218:349769:353304:1 gene:Ccrd_005689 transcript:KVH92268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MGFGCNLILVLVGLIFLGSRLIDAFGTFGFDIHHRYSDPVKGILDIDDRHLPQMGSVDYYTAMAHRDRIFHGRRLAGAGTVESSLAFIDGNDTYQLPSLGFLHYANVSVGNPSLWFLVALDTGSDLFWIPCDCRSCVKGLVTRTGRRVPCDSSSCRLRKQCSARPDICPYQVNYLSSNTSSTGILIEDTLHLTTEDSSMKAVDAKIKFGCGIIQTGSFLDGAAPNGLFGLGMENLSVPSILASSGLTANSFSMCFSSDGAGRINFGDKGSLDQGETPLNLDTPHRTYNISMTQTVVGDNVTDIDFSAIFDTGTSFTYLNDPVYTIISESFASQTEETRSQPSSDLPFEYCYDISPNQQTVQAPLLNLTMRGGDQFSVTDPLVFVPLEGGGTVVCLGIAKSEDVNIIGQNFMTGYRVVFDREKNILGWKASNCYDAIESNTLPISPRVSPSGSPAMSVGPEATARNRSPRSRQAQPGSMASGLKRLSYTHFIVVASILTIFFM >KVH92262 pep supercontig:CcrdV1:scaffold_218:141895:151744:-1 gene:Ccrd_005700 transcript:KVH92262 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAEDASDRIVYLHGDLQLNIIEARKLPNMDVFTGHIRRCVTFEACRNDNSYAATADTKLRSARRKIITSDPYVKVSVPQATVARTRVLKNSKNPKWDERFIIPLAHALANLEFQVKDDDVFGADLLGSVKISAEKIATGELISGWFTMENSRKKESELRLEMKFIPCEKNPLYRHGIAGDPEHKGVRNTYFPLRKGSHVTLYQDAHVTPECKMPRIELDGDKVFQQNSCWEDICYAITEAHHMIYIVGWSVFHKIKLIREPTRPLPRGGDLTLGDLLKYKSEEGVRVLLLVWDDKTSHNKLFIKTTGVMATHDEETRKFFKHSSVMCVLSPRYASSKLSFFKQQASCRNCVHAPSEMCACRYAGIRKVTAFIGGLDLCDGRYDTPQHRLFHGLDTVFKDDVHQPTYPAGTKAPRQPWHDLHCRIDGPAAYDVLLNFEQRWRKATKWREFAILAKTMAHWQDDALIKIERISWIVSPTYPVPKNGDYTVVPDDEPLLHVSREDHPDNWHVQIFRSIDSGSLKGFPKTSDVAEAQNLISAKSQVIDKSIQTAYIQAIRSAQHFVYIENQYFIGSSYAWPSYKDAGADNLIPMELALKIASKIRAGERFAVYVVIPMWPEGVPTTATVQEILYWQSQTMQTMYAVVAQEIKAMQLDAHPQDYLNFYCLGKREELPAEMVQSGDEKVSDSQKFQRFMIYVHAKGMIVDDEYVYGYRMSLWAEQLGILEKCYEEAESLECVRRVNKLAEDNWKRYTADEFSELQGHIMRYPLQVDADGNVDSLPGYETFPDLGGKIIGAHSTTLPDILTT >KVH92270 pep supercontig:CcrdV1:scaffold_218:303661:309876:-1 gene:Ccrd_005692 transcript:KVH92270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit WBP1 MANFSIATVFIASISLLFALSNSFSLENPTDRRILVLVDDLALKSSHSIFFSSLQTRGFELDFKLSDDPKIALQRYGQYLYDGLILFSPTIERFGGSLDVAAILDFVDSGKDLIVAADASASDLIRNIAAECGVDFDEDSSAVVIDHGSYAVSGTEGDHTLIAADDFIQSDVLLGTTKIEAPVLFKGIGHSLNPANSLARNNARVLFSGSLDLFSNKYEIFCSSSNHQCKRQGAQIITCNRYAKSGNQQFVTELSKWVFHERGHLKAVNVRHHRVGETDEPAIYRINDDLEYLVEIYEWSGSSWEPYVANDVQIQFYMMSPYVLKTMSTDKKGLYRTSFKVPDVYGVFQFKVEYQRLGYTSLSLAKQIPVRPFRHNEYERFIPTAFPYYGASFSTMAAFFIFSFVYLYSK >KVH92269 pep supercontig:CcrdV1:scaffold_218:220555:221001:1 gene:Ccrd_005698 transcript:KVH92269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDQAKQMLSEDPKIKNGWKFDHVWSIIKDFEMFQDENTRAKPIPIPNRDVFGYVSLEYGNLTPQSARNTSSGLSSFSLNLEDSDDIIGDFVSQRPIGVKKAKLKRKTDDQTSMLVNNIEEGNRELLEHLKKASTQRELFLEMQQTK >KVH92271 pep supercontig:CcrdV1:scaffold_218:297935:302146:-1 gene:Ccrd_005693 transcript:KVH92271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MLSRFINFLKACWRPSDGSDAAGRQEGLLWFKDIGQHLNGDYSMAVVQANMLLEDQSQIESGPLTFLDSGPYGTFIGVYDGHGGPETSRYVNDHLFHNLKRLASEEHSISVNVIRKAFQATEDGFMSIVAKQWTVKPQLAAVGTCCLVGVICSGTLYIANVGDSRAVLGRGVRSTGEVIAIQLSIEHNASIESIRQELHTLHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYAKFRLRDPITRPILSSDPSISVHQIQPHDQFLIFASDGLWEHLSNQEAVDIVQNHPHNGSAKRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFLDSNLVSKASSKGPVLSLRGGGVNLSPKTLAPLSTTT >KVH92258 pep supercontig:CcrdV1:scaffold_218:106213:107255:-1 gene:Ccrd_005704 transcript:KVH92258 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MAKTRKSWFGVVRRKFLRSSPRPSETIVVLHTMNTTFSDEPPPPPPPPPSTTTVTEDHARRARKALKSLVKLQALVRGAYVRKQSRIALECMHTLARLQVVTRARQLQLLTSN >KVH92267 pep supercontig:CcrdV1:scaffold_218:228211:243501:1 gene:Ccrd_005697 transcript:KVH92267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MVSKGRSLPRGSFRKSSSSTLIFSIMIICSFFVLILLALGILSIPNTSDSSPKAHDLSSIVHRTTTADRSESEDGIADQWVEVISWEPRAVIYHNFLSQHECEYLINLAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLARGRDKIIRTIEKRIADFTFLPVEHGEGLQILHYEVGQKYEPHYDYFQDEFNTKNGGQRMATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKEGLSVKPKMGDALLFWSMKPDATVDPSSLHGGCPVIKGNKWSSTKWIRVNEYKA >KVH92274 pep supercontig:CcrdV1:scaffold_218:269841:274814:1 gene:Ccrd_005696 transcript:KVH92274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAFYSYPSHSFPSSSHISFGSFALRLRDFFTPAVSVVIGNVFSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWKSDESGIGCLLYLIDVIVSLLSGRLVRERIGPAMLSAVQSQMGAVESSFDEINSIFDVGGSKGLPEYSVRKIPKITITSGNDVDDSGERVSCSDFQLGEIVRSLPQCHHMFHLPCIDKWLIRHGSCPLCRRDL >KVH92275 pep supercontig:CcrdV1:scaffold_218:296027:297169:1 gene:Ccrd_005694 transcript:KVH92275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C (PP2C)-like protein MLLEDQSQIESGPLTFLDSFIGVYSGHGGPETSLSEIVNNKVRVSEIVNNKDQFLIFASNGLWENLSNQEAVDIVQNHLHNVRLSSTPFSSHSKNVLIRGITHFLYVMKMKLMRFFHCEVILDDINSFLIAKEDKTWHRKQPILSWDSSIPIHEIQLYDQFLIFASDGL >KVH92272 pep supercontig:CcrdV1:scaffold_218:331501:331797:-1 gene:Ccrd_005690 transcript:KVH92272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MGRLFVVTLEGSIYSCKHCKTHLGLSDDIISKPPIISKTLRITHRSNHRLSGRGRRRRFNRSRDGEDDDGQNSESRVNRGWNRRRRDGEGDNRRQPTT >KVH92263 pep supercontig:CcrdV1:scaffold_218:138331:141723:1 gene:Ccrd_005701 transcript:KVH92263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLQGLTKAPKSCSWDHSNLQIPTCGLFRNGVLGVFKRSVFDSIRYFTSYVSKKDSYELNRMLTQCQGSIRCINKMHAKIIVGGYGENVFVGSKLFTMYSELGRLYMKDAQKVFDNLSERDVFLWNSKIQTYANSGLSTEALDVYKEMCEQGVVMDKYTFTFVLKASGIVKDENTGCIIHGHVVKCGFYSNVFVGNALVAFYAKCKMIEACRRVFEEIPQKDLVSWNAIISGYTTNGCFIEALELFHALLHDESIGAPEHASLVAILPACTQAADVRLGFWIHCYTIKTGLANDAMLGSGLIAMYGNCGHLDYACHVFDQIPERNIMVWTAMMRSCGMHGNAEKTLNLFSNFLKDGLRPDGVMFLCLLSTCSHAGLVAKGREIFKQMDDYGIEKGQEHYACMVDLFGRAGLVMEAAELIETMPMVVGKDVYGALLGACGMHNNIGLAEVAAEKLFGLDPESGGRYVTLAKIYGSAGRLKEAAAVRKTMVKSGIKKAFGCSMVEVEGVVHRFGAEDETHVRRKEIFETLAKQRP >KVH92265 pep supercontig:CcrdV1:scaffold_218:115403:123193:-1 gene:Ccrd_005703 transcript:KVH92265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nuclease domain-containing protein MLMEPCFYLHQHPPHISLHHRNHSCIMLRLQLSARSFVSSGAGDFADHQTFVSNHLGRSLPSISYSTLQLKHYHRRSKPVVISCSSSPGRRSKRYEYEDGDDYLEAGVLMTETLMHYQMRIQGYQEAIKWQPTGKLFPFSGQEKGPSTRPNIQTLGPEFLRQYRSPTIFLKISCDCDGDYLLPIIVGEYAVEKLISSSLEDEGFPNQFQLVRNIVGKLGYEVKLVQITERVNNIYFANICFHKPGTEDIRVDARPSDAINVAKRCRAPIYVNKQIVLTDAVKIVYGMGKLPDRRPTYDVFLDSAIDGPDVLAEELDIVTKMNLAAKEERYSDAAMWRDQLLKLHSSKNEPEP >KVH92261 pep supercontig:CcrdV1:scaffold_218:38831:60608:1 gene:Ccrd_005707 transcript:KVH92261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MQSLCDTFWVFRPEVSLSLHLASKKSRKILLRKSLTRSCSRSPSPHIFGSWIVETWFPGQPLISARARDEGKNLENTGSDFLVSKQLLGDLDFEEDFGSEDITCATEKGTGLYGPFSRIYQPVEEPWLLESSDFDHFVRETDSSSGLTGEGNISDDLIVDATDSNSLSQSIHSLENKASSLNNKSLKRLITEREYPVEEPWLSIEVSRDDVSVENNVENLDPQGLQIAEILSLDKLGRRSVGNEKENQRPLDELEEVPKFFFDDEGTVGTREVSVDTVILINSSLCTMQRVAVMEDEELVEILLEPIKNNVQCDSVYIGVVTKLVPHMSGAFVNIGHSRASLVEIRHNVEPFIFPPFGHHKEHRSVDGSLLVELLENPRTNETKHKSEEVEVIDNIEDHDIIHDPDQNHHDDFGEQDMDEEFDVTEDFPGNANGGVVSSVLYINGERNIFQPIGSSHDSQRQTMTDITVSMKRSNNADHQLQEMESNKGSCASGSKWAQVQKGTKIIVQVVKEGLGSKGPTLTAYPKTLISFFKKGILNDVQILLTRCNRIGISKKISGVERTRLRVIAKTLQPPGFGLTVRTVAAGHTLDELQKDLDGLLSMWKGITEQATSAALAADEGVEGAIPVMLHRAMGQTLSVVQDYFNDKVKKMVVDSPRIYHEVTNYLQEIAPDLCDRVELYNKKNPIFDEYNIEEELNNMLSKRVPLSNGGYLVIEQTEALVSIDVNGGQCMLGHGTSQDKAILEVNLVAAKQIARELRLRDIGGIIVVDFIDMSDDSNKRLVYEEVKNAVERDRSLVKVSELSRHGLMEITRKRVRPSVTFMISEPCSCCHATGRVEALETSFSKIEREICRLLVRMERPDPGKPETWQKFVLMVDRGAFELKPLADFKDHKDEAQISRPARHPLKPPHATGAMPRRKVTIFPIKKWKTGGK >KVH92260 pep supercontig:CcrdV1:scaffold_218:67804:78485:-1 gene:Ccrd_005706 transcript:KVH92260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MTVMGFCVPSRDTSDRFSDRCSNVFPCLSDPARRSTMCLKLALVMLHLIFVGFLFIVDDELKEKSKEQPLYTLIYMLLVIATLVQYFLTSGSSPGYVLDAMRDFARTEALLRASEISKSNCRIFLCLLMQMIKQRQPASSKNGSVVLTVNRNQVGESLLGNNPMNWTKLVMDMYPPGTSVRTYTCSYCNVVQLVPAEAIGELFATCLLLTRPPCTFGSVCEQMVRADLQRFNIIEGSNYVSATEASAVFTNVNLPPRAKHCHDCDRCVLQFDHHCVWLGTCIGQGNHCRFWWYILEETALSIWTGILYIKYLQAHIDKAWLVDVIMIILLSILSIALIFLLLLLLFHSYLVVTNQTTYELVRRRRIPYMRAIPERVYPFSKGACRNLYNFCCARTSIYRMEVLPSAAELDQKSVPYTCVDVISCRCC >KVH92276 pep supercontig:CcrdV1:scaffold_218:281304:285496:-1 gene:Ccrd_005695 transcript:KVH92276 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGCAMSFGKSGVGEDDDDQLVSVCRERKRLMKSAVDRRYALAGALCKYNQSIYAVALALRLFVARHSSSSSSSQFLITFPATTNLQSKQAAVTNAVEEEEEKEKEKADDNDKTEQSDGSVCEHFYDDGVVMDPTPAIVTSSSSIEQNPDDFVGWDFFNPFDNFTVNGVEQGKEVEKMKVEGDANVSLGVIDANVSLGGSSLAKEDDDDDNNNNGRELLEALKDVEDHFLKAYESGVEFSKMLEFTNVGPLDHLDSKECSEKLIPSIAWHKSSLMTSPSCRSLLSNSSRSSSSMTGFNSNADLFDEAGGMESGSHLSTLGSTENATNKQGIEDQVNDLYSRLMVSIKICDSISKRIEKVRDDELQPQLIELLHGTMFEVRTFPSAAALTSDRSRHLATLQLEAEIQNWRMCFSSYIAATESYIEALSEWAYRTIAPENEGSPPLVFALCRDWLTVTKNLPDKAVTYAMKRFAKDLRTLWAQQGIEQQQKRKVDRLVAESEKRVVGFEREERNIVSSKPMNMKNKVDVLAEKKAQLDGFTKMVETEKAKHKDYVEETCRVILVGFQTGFSSVFDSLTQFSEVCVRKYDDVVQNATSGPNPVLGC >KVH87854 pep supercontig:CcrdV1:scaffold_2180:84801:89432:1 gene:Ccrd_024834 transcript:KVH87854 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein MEMDSTSRGRPFDRSSRDPATLKKPRLLTEETPFLRGSSNVPNGGGRPLVQRQPAFGFRSTAERDRDSESNDSMRGGGGYQPQSLTQSQLQQQQHHELVSQYRTALAELTFNSKPIITNLTIIAGENVQAAKAIAATICTNILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDSAVHPGMRHLFGTWKGVFPLQSLQLIEKELGFQSATNGSSSGLAASRSEPQPQRPVRSIHVNPKYLEARQRLQQSSTAKGPTSDTNLINSPEDSERQDRITANTSSVRPRADPRLKNIQQAQRDVESACIRENDGAPYSDFDYCSDVLIPSEASFGKSSEIVAEQGFDSSWYGAGSNTTETISGQRNGFDVKHGFSNLSASRSANADVKLQPVNNIASKRGGEVNRSWKNSEEEEYMWDDMNSRLATPGKSGSSSKRDPRAHSMNEKLWCVHLNKEYDMDHATWFFILGFENRLQKPQGIQNIGSKVDREASSDSLSANQKDGAVFRQPVQSLGSRRNLLDHAEVHSTSFSGVSTSVNSLSKTSLQPQIGASHIGTQPLGFPPNAITGQRHTLGAASSSGQAPTHQRPPSPLLPTYHSSKILHNLSGRDPPTTHQL >KVH89045 pep supercontig:CcrdV1:scaffold_2181:27493:30686:-1 gene:Ccrd_008972 transcript:KVH89045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFHFIFILLASTKTLVQFLRFHHISTATNRRRSELHSLVGMMRITQMNKFKPLTSSIETLSHRFLQRCSVSGTAKGKGKVKPGQTLKRSKITVKKGQQVPDPSATKGSRKGQLEQMIDDCLQAKAPVRVLKPKERAREAEREKMGLISEARKQEIAYFKKTTKSKAEDEDLSGLIGPKGLDLITLGIVDSDKIPKYDLTVEDGRKLAKEYSRVLMRKHRARQAAETGLLKCKKEAIEALPESLREAAMVPDLAPFPVNRFMATLTPPIEGYIEKINEAAKRQSSMICIWWVRLILAAE >KVH89043 pep supercontig:CcrdV1:scaffold_2181:2492:9668:1 gene:Ccrd_008970 transcript:KVH89043 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/Kelch-associated MKDSNLDLFDPRTAVMDASDYTIEVARGDADFGFAFNDSNFSDRILRIEIISESAETRTDGEGCTSLADWDRNRKRRREDIKRENAFTAVDMAAAGPEEQILNQPDNIPDDGDTENQEEEAAAMIEEPQSGDEGANDVDSNWSMECSTVLRVKTLHISSPILAAKSPFFYKEAALMELLNFMYSNTLTVTTAPALLDVLMAADKFEVASCMRYCSRSLRNLPMTPESALLYLDLPSSVLMAEAVQPLTDAAKQFLAVRYKDITNDDLQVASEDAVYDFVLKWSRIQYPKVEERREILATRLAQFIRFPYMTCRKLRKVMACNDFDHDFAQKVVVEALFFKAEAPHRQRSLAADENPNPNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECTNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVTFAVDYEFAARSKPTEEYISKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIRH >KVH89041 pep supercontig:CcrdV1:scaffold_2181:37880:42035:-1 gene:Ccrd_008974 transcript:KVH89041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLMLRRFWVVPAVVTVALSAVLMCEAGCGYEAIFNFGDSNSDTGGFWSAFPAQRLGIPLVSPYLKSIGSDYKHGVNFATLASTVLLPQTSLFVSGLSPFALPIQLNQMKNFKAQVDQLYDQGSSNLPPPDIFGKSLYTFYIGQNDFTSNLAKGIDVVKPTLPQVISQITSTIKADIDEFGCMIPFNNAVVEYNKMLHDALNQTGKELSDANVIYVDSHKVLLKLFRHPASHGLKYGTLACCGNGGGPYNYNPKVFCGNNKVVNGQNITASACGDPENYVSWDGVHTTEAANKIIVDAILGDGFTNPPFEIKCT >KVH89044 pep supercontig:CcrdV1:scaffold_2181:11218:26320:1 gene:Ccrd_008971 transcript:KVH89044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVLRMLGNGRCESMCIDGTKRLSHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDNIRLNEGIVGGIDDEEDGAGDDYIEFEDEDIDKI >KVH89042 pep supercontig:CcrdV1:scaffold_2181:75209:84409:1 gene:Ccrd_008975 transcript:KVH89042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGNCEETKSCKPEKSSSPPPEHQQTSVHAYPDWAAMQAYYGPRMAMPPYFNSAVASGHAPPPYMWGPPQHMMPPYAAMYPHGGVYPHPGVPLAGSPMSIDSPAKSSGNSDRGLLKKLKGFDGLAMSIGNCNGNGDSVGGGNDNGHSQSGETEGSSEGSDGNTTEDLMLEKCTYLKLFCLYFTNLIRAAEVGKTEPLSGQFFPTEVNGASKKVTGLTVTVPKVSGKVGAALSANLASESELKNSPTTAAKMAAATNERELKRERRKQSNRESARRSRLRKQEKLKNAQQDTEDPRLSPKGSSLSTANLLSRVDNGSSARTDGDGEVYENNNNQNSGAKLRQLLDASPRADAVAAG >KVH89046 pep supercontig:CcrdV1:scaffold_2181:32244:35828:-1 gene:Ccrd_008973 transcript:KVH89046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01150) UniProtKB/Swiss-Prot;Acc:O04616] MATTVYAAATSTSMAATAVMLPRLPTRTTTTARCSAVPNLPTRSFSTSVKQVSESKRSSLFRIKASEDASSATDPNELFTDLLEKWDALENKSTVIIYGGGGIVAIWLSSILIASENNGVGRTWIYGMVCLPISTLQVEQKRASHGYRVHKEEDRRDRVDDRGFDL >KVH87853 pep supercontig:CcrdV1:scaffold_2183:38231:40344:-1 gene:Ccrd_024835 transcript:KVH87853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWILSLMTMISWTKMPLLTSTPLLRELPLQSLSLNRPSLVALSPLLLLFLGKLKDVDSVKKLMPNVIIVCLVILILSIQMASPVLNDKISFYFLMIVRGSLFVFIQEKVLLFSFKY >KVH87852 pep supercontig:CcrdV1:scaffold_2183:52891:85769:-1 gene:Ccrd_024836 transcript:KVH87852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKHDELEAKFFEERATLELLYQKLYEPLYSKVKYICCPVMVEAKDASQFKEIVQHLTDQTPNFRVYSHLATAVAATAAAATAATEKNIYSYGHSHASPQVRIDSYSWKELAEWNRNR >KVI08288 pep supercontig:CcrdV1:scaffold_2185:48018:52782:1 gene:Ccrd_013342 transcript:KVI08288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like protein IASSFYLYDNFQKPSKPKTPNPKPHVLLRWKPPAEQMDIRSSAHSSSSSPATPPKAPQFNLQQAVNKLQNQCSSFFQHLNQYKFFSPPFVNPKPDSGKFNFEFTLTENGNNARQSSRSLSFAKNPLWARIAVDKTVATGTGVGLSDEDIEKRLAGVPVYALSNSSEEFVVVSGQNTGKSLGLLCFKEEDAKTLLGQMKAIDPRMRPGSKVVPIALGMVFQLKVNGVSFRFIPELSQIKNAIEARRRAGVSDDNFSGVPVFQSRSLVLRSENNRYRPAFFRKEDLEKSLIKASGQQRRLNPALRVDDLEVAVLEDIIHGMKDDSTTVWDDVVFIPPGFDVSTEPSRE >KVI08289 pep supercontig:CcrdV1:scaffold_2185:4999:8402:1 gene:Ccrd_013341 transcript:KVI08289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 HILQSSKVSLHSLLFSPIDQSFHHLSFFFKQTLLCFHRFRDMNTQDHSKTGDEGHGPHICHRCGWPFPNSHPSPKHRRAHKRICGTIEGYTKLIESEAISDDEHHSDEDKDKDKTPSPKIEKGIIKESGNSAGGTEDDSFSDAMTEFSDSGISPVATKLLDSPIEVSKADDNLEVFKTPDTHTKDADETEENDINTVCNSVDTQIKLLDSDMKPSDPLIEDRDGSCKDKLMDLVEISNTVEMKPDSANAMDVSSKNVVIFEVSDKDQEEPVVYVLSVPSDIPLVDHSETLIDDFKDHETIYSNVPMVLDHDTFEVKTEEHKIQESQASETGEFSIDESIVSEHPNTGSILVPTDVTEEVKESETKPLEMSKTVPEAKVSLGERTETASKEINQEKLEFDHEHAPEVVKNTEIDHGDPVLTEEDPASERPSEAYTQEFVKEPESGFEAEQKIMGSVGVDSKEMLSTASVDVENAHSIGKSEIVADKSRLGKSEGFQGQSLVELKEEISSETQNSEPPKSEAKIVNESEGRKRNEEAIAKVTNWSTGENSTSTTTMTTPLKNLAKYSNPTELSKNVIRKDEVVEKSREAEKSNLTSETPSPPKYIGDGKKVRKKGKGRGSWIPFGCCSSVNVN >KVI00851 pep supercontig:CcrdV1:scaffold_2186:74928:75609:1 gene:Ccrd_020894 transcript:KVI00851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MAILPLIPCALICDCLSPINESFELQTRKYGMFYCSLCEVEVFKYNKHCRVCDKCVDRSDHHCRNNCVGKKNYRKFFTLMVFALLLVRPTLSILFPFSHIDLICCFLDHKRFSLDSNIDLQTFSYVGLYSLIHM >KVI00850 pep supercontig:CcrdV1:scaffold_2186:33641:51287:-1 gene:Ccrd_020893 transcript:KVI00850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline/Ethanolamine kinase MVWIVVDRAVGDTTRNVEVEDDRVTGEKEGCTSHLEKSLGVAIASTEGSGGSLVMESAEKADAIGSRLPAEAMKMLQSLASHWDDIVDVIRLKGAMTNEVYQIKWPTNKEHSRKVLVRIYGEGVDVFFDRELEIRTFEFMSKQGKGPRLLGRFRNGRIEEFIRARTLSAHDLRDPDISALVASKLREFHDLDMSGPKKAMVWDRLRNWLSAAKSMCSADETKTLRLDAMEEEIETLHKCLAADQRIAFCHNDLQYGNIMIDEETRSITIIDYEYACYNPSMFDFANHFCEMAADYHTETPHLLDYSKYPGKVHLLVFAEKPYENASAPFELQLHQVLKSVEGNELEVDEMLEEIEKYTLASHLHWGLWGEHVNEIDFDYMEYARQRFQEYWLQKPKILGS >KVI01536 pep supercontig:CcrdV1:scaffold_2188:39376:41846:-1 gene:Ccrd_020190 transcript:KVI01536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVNVSTSGFQQDYDRKAELTAFDQTKTGVKGLVDAGITEVPRIFILPSPENLNSDQPFRSELILPTIDLAGINXDPLRRKEVIEKVKDASESWGFFQMVNHGIPISILEEMIEGVKIDVLMEYSSRVMKLGGCVLELMSEALGLNPNHLLDMGCADGLAILGHYYPSCPQPELTIGTPNHTDNDFITILLQDQIGISLFLSKASIHFVSLKSQSIVYSTNLAIHATFQLITNDKFVSSQHKVLANKIGPRISVASFFSTGSIQTSKVFEPIKELVSKDNPAKYRGTTVKEYVEYYRGKGLDGTSALLHFHI >KVI01537 pep supercontig:CcrdV1:scaffold_2188:81870:84880:1 gene:Ccrd_020191 transcript:KVI01537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MLAKKQGQTRPLTTKSDARRICPKILPARVRDTNSSFELPDQTCRHFTLAEIQSATQNFCSALVIGRGGFGMVYRSSSLIGSVSEVAIKRLDSISNQGAVEYAAKIQSTAYIINPNNGQRWRRLWSSWNLFCGKKEKVSILLSFISMVRSFFAGKAELWLAGAVGSESETNAYHIQKSNNRGLRTFTYAELVSATNNFQNEEYSATLLDIIYKGWVDERTYAPTSCGVGLPMYVRKTVKLDLKPEEFNHPNLVKLLGYCLNEQELFWVYELVSDTSLDEHVFRGAAEGLSVLYQRKHHAYIQLKTSLIRLDTDFNARLSDFEMEKSSLALVSYSFGMDAFYAAPEWFRYQADKFDSNYSGLFHFDDVILLEILTGMKVFDVNRPYGKQNLVNWATPLLADEVNLGMIMDPKFWHNSYPPKGAFKLALLVSKCLQPTPNERPLMEEILQVLYQCYREGTTK >KVI01538 pep supercontig:CcrdV1:scaffold_2188:26661:33511:-1 gene:Ccrd_020189 transcript:KVI01538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVTTEDGRSYVALGKILGKQSKENEARTMYEKGCQATEDENAYIWQCCAILENRMGNIRRARQLFDXATVADKKHIAAWHGWAILELKVGNKHNANSRNKEHYDHHQQHVESNSRNKEHVK >KVI01539 pep supercontig:CcrdV1:scaffold_2188:14194:14858:-1 gene:Ccrd_020188 transcript:KVI01539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEYSSRVMKLGGCVLELMSEALGLNPNHLLDMGCADGLAILGHYYPSCPQPELTIGTPNHTDNDFITILLQDQIGISLFLSKASIHFVSLKSQSIVYSTNLAIHATFQLITNDKFVSSQHKVLANKIGPRISVASFFSTRSIQTSKVFEPIKELVSKDNPAKYRGTTVKEYVEYYRRKGLDGTSALLHFHI >KVI04788 pep supercontig:CcrdV1:scaffold_2189:37425:41517:1 gene:Ccrd_016887 transcript:KVI04788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADYSLEHKSYGDLRMFPDHEQSLEDFANKFEVIEVVVVIWNGPSTHGIISRNRNCCQRGSCIFEIFERQSLEVGWIRKYVATFRDQGVVFPIFELQSKWIVLALSGKILLPS >KVI04792 pep supercontig:CcrdV1:scaffold_2189:1531:15526:1 gene:Ccrd_016885 transcript:KVI04792 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3351 MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHRKRKRKGIDYNAEIPFEKRPPPGFYDVTDESSIVEQPKFPTTIEELEGERRADKEARLRKQDIAKNKIAQRQDAPSAILQANKMNDPETVRKRPKMNLPTPQIPDYELEHIAKFGLPALTDELSEGSGATRALLADYTQTPRQGMTPLRTPQRTPAGKQDAIMMEAENQARLRLSQTPLLGGENPDLHPSDFSGVTPKKKDIQTPNPMLTPSATPGGVSLTPRIGMTPSRDGHAFGVTPKGTPIRDELHINEEMEMHDSAKLELRRQADMRRNLRSGLTGLPQPKNEYQIVVQPAPEDNEEQEAKIEEDMSDRMAREKAEEEARQQALLKKRSKVLQRELPRPPVGSLDLIKNSLIRADEDKSSFVPPTLIEQADEMIRKELLSLLEHDNVKYPLDEKLEKDKKKGSKRAGGKSVSIPAIEEFDEDELKEADQMIKEEAKFLCEAMGHKDEDLDEFIEAHRTCLNDIMYFPTRNGYGLSSVAGNMEKLAAFQEEFENVKKRMDDDTRKAQRLEQKIKLLTNGYQMRAAKIWSQVEATFKQMDTAGTEYECFQALQRQEQLAASNRINSIWEDVQKQKELEKTLQNRYGDLLVEKERIYNLMEKYRQEAKIQEEMAEKQRMLQLAEAEAAAANEEETKMAEAESEPQVEEANQVADTSETAKVNDESSNEQRDVPSEAAQSTNNQQTTDHQELEEPMAVEPSHDSSTQINASEVSSQPTVEGHVDHPAIESNSVAADATQTVEGQVDHPAIESNSVAADATQTVESNSETIEPKNPIVDES >KVI04790 pep supercontig:CcrdV1:scaffold_2189:70409:84020:-1 gene:Ccrd_016889 transcript:KVI04790 gene_biotype:protein_coding transcript_biotype:protein_coding description:NERD-like protein MWVELIFGLVVIYRVVRRFFYDDEDHVDFGSSGSNALFAVAERLQALYGGKAYVGLRIPDPDTASRQNIDLVLVTKKEAVVVSVINASGIASIDGDGTWVCTDGSKHKTERLQDPMVEAKRQAAVLESYLEQRGVALPDGYLSCKIVCPNPNFRTIHPDYFPPEVVTYEQWTELKPERKSISGWIKGALHGDKKEMQESVQNLGFILSTAPMWDRLELKNNIQLLGEFLEFKGKQDDILSLRNIKRSKVSRLTIQKTSMFAHSKLQLLYAPRDYRSEGGSGLDWNEVTVRSSTEVLFQLQDPAKVQKIKLSSIINMSLSP >KVI04791 pep supercontig:CcrdV1:scaffold_2189:54759:64399:-1 gene:Ccrd_016888 transcript:KVI04791 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase I, bacterial-type MCCGRGHTCEGLFRVGLRRVTVVSGGFAKATSLKPRRASFSFESRTNGSYSAFPFQPLLRSGSADYMEPMNYGIINGGFGFFAPYKGAFTFSQVPRAVTSKNIACDGEKRGKSKSFLAFNKHWKQSKTLTHKKPLEFGGRTYLKSSINALENHDSIASRDPLLEDGKKEMNVVVPPLTNKEDQLSKAKVKKKQQTKIKKVKEKSTVASEEPAPQASSRKVSQSKKSKSAKVGSTLNSSIEVSLEEEQSKGTTNKTRERKPKIQVKSTVENSTVGELPSKSKTSAIVENKKISPLYPPSGKSVVVVESATKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPEDDFSMVWEVPSAAWTHLKSIKVALAETENLILASDPDREGEAIAWHIIEMLQQQNALPENINVARVVFNEITESSIKEALQAPRDIDFNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSVALAIICDRETEIDQFKPQEYWTIEGGFNKEKGSSNFSCPSHLTHYASKKLNQMSVTCQMEANAIEDVLRSSEFKVVGSSKKKYKRISPPPYITSTLQQDSASKLHFPSAYTMKLAQKLYEGVKLPDGKSAGLITYMRTDGLHISDGAVEDIRSFVTERYGHNFISNNARKFFKKVKNAQEAHEAIRPTDIQRLPSMLAGILDEDCLKLYTLIWSRSIACQMEPSVSEQIQVDIGNAIGSIIFRASSSRKDFLGFQAVYKDVETETIRNDEDQEDEQNESFEVLNNLKSGDSMSLGKLELKQHHTQHPPRYSEGSLVKKMEELGIGRPSTYATTIKVLKDRNYVIVKNRVLYPEFRGRMVSAFLYHHFSEVTNYSFTADMETELDNVSGGMTEWKGLLRDYWTRFSKYCERASVVHIHQVEKMLEKTFGDFLFASLPDQSRTCPSCSEGYFIGCDQHPQCKYIAKTLYGDDDDDASPDNGRAVEEPKVLGLHPGSNEKILLKDGPYGHYVQLGEDKKGHLPKRASVSQISDVSSITLEDALQLLRYPITLGKHPDDGQPVVLKLARAGLSVKHRRTQAPVPKNTNPDDITLEKAMKFLMGKDAKQTGRPKKNRDKEKVEVL >KVI04789 pep supercontig:CcrdV1:scaffold_2189:23323:24057:-1 gene:Ccrd_016886 transcript:KVI04789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYFDETETGNSFNGDDGAWKSTAKSVNKPERSFSGGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWIHHQNTSSSDQTLEKKTPQCPVCKTEVAPKTMVPLYGRGQQAINPGTEEKSPGLEELAIPRRPPAPRYGVLDAEAGSQLSRRRFHQRAPPPLAMPVPDLTTMVMSPSPTINMLGEMVSGRILGDLETPLFASPNSYNLAGLSTRRARREATQADRSLSRIYTFLFCCIIFCLLLFT >KVI06817 pep supercontig:CcrdV1:scaffold_219:134922:142350:1 gene:Ccrd_014828 transcript:KVI06817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MGLSDCSSVGSSTISASSHDMNLRLNLKATELRLGLPGSRSPERNPELSLLSSSKIDENSHFPLFSPKSVVSRNKRGFAFSEGTLGSNTEAHIIQSSMAASVQESHCEANDTKQNHSNAANNSNTPPTKAQVVGWPPLRSSFRKNSMATITSKKVEGKSGASALFVKVSMDGAPYLRKVDLRAYSVYQELSCALEKMFSCFTIGEYGSHGNEKLSETKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIGTCKRLRIMKSCDAIGLAPRAVEQSCNRK >KVI06816 pep supercontig:CcrdV1:scaffold_219:148143:152443:-1 gene:Ccrd_014829 transcript:KVI06816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme/RWD-like protein MEGWDPTTKSTLTQIPLLTVKAGPRDGAAWTQRLKEEYKALIAYTSMNKSRDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPQLDGKTHKVRQVAKKSSLVPCDAVSETKKPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDAVSTSEP >KVH98754 pep supercontig:CcrdV1:scaffold_2191:27074:31496:-1 gene:Ccrd_023018 transcript:KVH98754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPSFSLSLFLPISREGSGHENASAGIKQKVRTPEDERENAPRGTSSSPEAIDISDDEQTESDDISEDVDDSSNGDSDDQVDDNDDSSYGDLGDQDDDNDNDVDLIP >KVH98755 pep supercontig:CcrdV1:scaffold_2191:63716:65417:1 gene:Ccrd_023019 transcript:KVH98755 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MGAVSILHTVFGIFGDATGLFLFLAPTITFKRILTNKSTEQFSGIPYPMTLLNCLLSAWYGLPFVSKNNTLVTVINGTGAGIEAIYVLIFIIYAPKKEKAKVLGLVTFVLAAFSTVALVSVFALHGKSRRYFCGFAAAIFSVIMYGSPLSIMRTVIKTKSVEFMPFFLSLFVFLCGTSWFIFGLLGNDPFVYVCNGFGSVLGALQLILYAIYRKNKGQKDEKAAAKDGGSTMEMGLVKRPDKATVTAIQPPENTRV >KVI07961 pep supercontig:CcrdV1:scaffold_2192:76155:78984:-1 gene:Ccrd_013673 transcript:KVI07961 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MKGGGSKVYQNTASEFGFEASNIGMEGSDVAITTRRSTITSDGSGCGFATPLKRKRGRPRKYDSHGNFIRRPSAQSPSPLPIHQQPLGSAHHQLHAMGEFVYDVAEKIIAPFQIGPRCISVLSAIGMVYNVVIRQPDSSSYAHLLKYEGIFEILRLSGTFNISEDGKIQTGGLSVLLSRTDGHIIGGTLGGSLLASTPVQMVVGSFIPTRKQKLPKKRNLYGLRMRVSPHVGLDPKVGTSENPISQAPPVHKLQVTSHEPAPTTGMKTNDGGTSYTKHKPRASSLVPSWNLKLSPDLNVDASME >KVH96087 pep supercontig:CcrdV1:scaffold_2193:68434:75437:1 gene:Ccrd_001825 transcript:KVH96087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLLSFVLSAALLQHPPLHQTYRFDLSIGHKTADDMALARRSSNLLKPLSTASSSSFLNRRPFSTDDSTTITVETSVPFTGHNCEPPSRSIDTTPKELMTFFTDMALMRRMEIAADSLYKSKLIRGFCHLYDGQEAVSIGMEAAITKKDCIITAYRDHCIFLGRGGTLLESFSELMGRQAGCSRGKGGSMHFYKKDACFFGGHGIVGAQVPLGCGLAFAQKYRKEDHVTFTMYGDGAANQGQLFEALNMAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDAFAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLILAHDIATEKELKDIEKLARKEVDEAIAKAKESPMPDDPELFTNVYRKGFGVESFGTDRKEVRATLP >KVH96088 pep supercontig:CcrdV1:scaffold_2193:32500:47392:1 gene:Ccrd_001827 transcript:KVH96088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGDLFTGMKRSRDDDDVCKSPQVKRPFVSERPGHPQMMDSNGQKLTTKDALTYLEKVKDIFQDKKEKYDEFLEVMKDFKEQRTDTTGVIARVKELFEGHQELILGFSPFLPKGYEITLPREHDQHHIKKPLEFDEAILFVNKIKMRFQGQDHVYKYFLDTLSKYKKENKSIKEVHQEVANLLNDQQDLLKEFTNLLPDSSAAGSNHYCQASRNHNPGRDGRSPPAVAIGPLQSEKVLNPPSWFKSDANLHDQFNIRLSSESEKTVASHAECKVSVDQSDPDHEKGCIMADKEQKRHGEKEKDTWENTEHREHHSDDRDCDRMHHLTQHKPAHTLEDSVAELFHKDVHGQMLCLREKVKERLSNADDYQAFLKCIAHYCTEIITRPQLQSLVNNLLGAYSDLVEEVDEFIDRSEKTRSLCSDGHLPGSPKVDGGDRNRDCDRDERDGDHEIKERNRPAIGSKDASPSKPSSLSSKEKYLWKSIQELDLSNCECCTPSYRLLPKNVGTSTSRTFGFLSFSWFFYLKVGFCLVQYPIPSVSQRTKIGVEVLNDHWVSVTSGSEDFSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTAKRVEELLDRINDNSIKTDSVVHIEDFTAIHLRCIGRLYGDHGLDVMDVLRKNASVALPVILARLKQKQEEWLRCRSDTKKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSAKALLAEIKETSEEKSIEDNIRQCIAPGKKQHSIPHQEFKYSALDIHEDLYQLMKYSVAQSGSPEQIDKAVRIWTTFVEPMLGIPPRPSCVADQDATKTSNHAATSGSTAVRQSNIKVPHGAFNIKQSTIAKNGDEEVFTENSCSFRASMLDDKNGLKENGFSNTGHFGHKSDSLCNTPKHETFQISVHPSDARSGLSKQVITNEPILIQNASIATGPEKTHGIFCGEHMSGGPCITSAKSGTTALDGGLESDRNKILSSPEGGACKKPVSSSNAAVAEGGRTERCHNGTDSYLKIERNEGESSVNGDTKGDNLAAYRDPGVESTHKSKDITVNNFFYQNRHQQGDLQNRAGVDDKGKERAHRSLDNSENGDDTGSESADAEDLSPKEQDGDHDNATGSEGMVDGMGDESCQFSEHFLEIAKPFMLYVPATLHDKKRNSRVFYGNDDFYVFFRLHQILYTRLEEAKEKSLIERWRGSNDTTPNDSYARFLDLLYSFLDGAIDSAKYEDECRAVLGTWSFPVFTLDKLIDKLTKLLLTIAMDEVDNKLLDLYAYENLRKGESFIDELYNANASVIMMNFGYDKSEAPASLMDPDFAAYLSTQFLSVVPGKKRHRIFLKRNKSKSKSKYACEDEDLAMAKAMEGFHVYYVMGTADSLVRMGRKRINNRVPSHEVASLSNGFGPRKDDNKLDERYAVNAWQRKCTTSTKFKSNWFLVPLPILLFPSLKKTLRYVCFVPQFELCL >KVH96090 pep supercontig:CcrdV1:scaffold_2193:5155:12657:1 gene:Ccrd_001829 transcript:KVH96090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHTDVDRQIEQLMECKPLTESEVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNPPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLSLISRAHQLVMDGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >KVH96091 pep supercontig:CcrdV1:scaffold_2193:14514:16310:-1 gene:Ccrd_001828 transcript:KVH96091 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF23 MDSPEQRRKRKRFSRTTNLLPLRHFLLVRSLFYCLGFFVFFYLLFRRHTSAFHHVVALSRLSSLPAYQIGSVTVFSKFNDLAQFKIEDRVSFPDHVLLLVSKRTGTETEIDIGIGNNLECVYTSNKSNSYPDLGDDGVMVRKGVFSVDEYSGNRLLVRCPLPPVNFSSVITLQRRGLMIDKGEDKPSNSWENLAYEAMLDGNIAVVFVKGISHRQDKESDQTQFSCHFGLGDWETDSKYMLISKALTAAQEVVRCVLPRSILMHPHKARGVRATVSFRMPRVHGRAHRVLVPSVAKISTLESDMKTHKHELCVCTMLWNQADTIREWITYHSWLGVSRWFIYDNNSDDDIKSVIKNLNLAGYNVTRHVWPWIKTQEAGFSHCAMKARPECNWVSFMDVDEFYYFPYPDLTRPRPTLIPFPGQGSLRALVSNFTSSSSIGEIRTACRSFGPSGLSSRPKQGVTVGYTCRLQSPERHKSIIRPDALDSTLMNVVHHFHLRKGFSYVDLPQSVAVINHYKYQAWEAFRAKFYRRVATYVADWRDNQNEGSRDRAPGLGTEAIEPADWRLQFCEVWDTGLRDFVLANLVDVSNGVLPWDPSL >KVH96089 pep supercontig:CcrdV1:scaffold_2193:49219:58561:1 gene:Ccrd_001826 transcript:KVH96089 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MVGRYNLICPAMNTYSSSSFILSPLSLQIPILHISLHRYFRFTTPSRRTLPFSFHNSFQNRNQKHLPFPSNYKFPKSDFSVGESQVSEDTDEDDEDDEEAAEEYEVVGEFSQEIEEDDEDEVESDDLEGDDSKSRFEEFKWQRIERIRNDVKEYGDGIIDVDELASVYNFRIDKFQDNVGLLTGDSAINRDAQVLIMTTEILRNMLYQSVGIMSSESGLFHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELSGWINQLSLNYLQLNSLGPKSYEDERPRRRNSRKFENDVSPPSKNDRNNVRRSQVPQVMDTLSHLKTRDMLPTVWFIFSRKGCDAAVQYLDDCKLLDEFETSEVDLALKRFRFKYPDAVRESAVKGILRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRSESGRIQLTTNELLQMAGRAGRRGIDKRGHVVVVQTPYEGAEDCCKLLFAGLQPLVSQFTASYGMVLNLLAGAKVTQRLNEADGTEVSRVGRTLEEARKLVEQSFGNYVGSNVMVAAQEELNKIQKEIEILTSEISDEAIDRKSKNILSNSAYKEMADLQDELRAQKRVRTELRRKMEMERMSSLRPLLQNLEDGHLPFLCLQYHDSAGVKHLVPAVYLGDVDSMNGSKLKNMVVENDSFTLKVTAEGVADDLKKQLDTKPSYGVALGSDNTWYLFTERCIKTVYRTGFPNVGLTNGDALPREIMTILLEKEEMQWQRLSQSELGGIWSMEGSLETWSWSLNVPVLSSLSEDNEVLQYSQAYYDTVEAYKNQRNKVSQLKKRIARTEGFKEYKKIVDMAKFTEEKIRRLKARSDRLMTRIEQIEPSGWKEFLQVSRVIHEIRALDINTQVIFPLGETAAALRGENELWIAMVLRNKILLNLKPAQLAAVCGSIVSEGIKVRPSKNNSYIYEPSTAVLDIINFLDDQRRSLLQLQEKHDVKISCCLDSQFSGMVEAWASGLTWREMMMDCAMDEGDLARLLRRTIDVLAQIPKLPDIDPQLQRNATAASNVMDRPPISELAG >KVH87849 pep supercontig:CcrdV1:scaffold_2194:39:910:-1 gene:Ccrd_024837 transcript:KVH87849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3529 MAVAKASLLLLLPSKLEISRQSPAFYHHHFHNQKSWGLNNKSRRGGISISSSLLATIHPVVEVAEAASVGYSSASYYTSLGLFVISVPGLWSLIKRSVKSKVVQKTFIEEEKNKGPNQVAGEILSFFTRNNFMVLDKGETITFEGMMVPSRGQAALLTFCTCISMASVALVLTITVPDVGNNWFSLTLLSPLA >KVH87847 pep supercontig:CcrdV1:scaffold_2194:19273:33130:1 gene:Ccrd_024839 transcript:KVH87847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA synthase, domain of unknown function DUF3448 MATRKNLTTTNHLRHVESMATLPSGAGKISELNAVILGESLASEEDDLILPSPDFSQQAYVPSPQKYLEMYKRSIQDTAGFWSDIALEFYWKEKWGQQVYSENLDVTKGNINIQVNSSLLFPDSASLILYHTHNQLMVIPIYLSIYLATQWFKGGITNICYNCLDKNIDSGNGDKIAIHWEGNEPDLDGSLTYRQLLERVCQLANFLKDNGVKKGDTVIIYLPMLMELPIAMLACARIGAVHSVVFAGYSAESLLQRIMDCKPKIVVTCNAVRRGNKIINLKDIVDAALSESSQNGISVDSCLTYENESAMKKEATKWQKGRDVWWQDVVPKYATKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMIYTATTFKYAFDYKESDIYWCTADCGWITGHSYVTYGPLLNGATTVVYEGVPNYPDSGRCWDIVDKYKVTIFYTAPTLVRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGNEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFRAFPGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTTEVESALVSHPHCAEAAVVGVEHEVKGQGIYAFVTLVEGVPYSQDLRKSLVLVVRNQIGAFAAPDKIHWAPSLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPSVVDQLIALADS >KVH87848 pep supercontig:CcrdV1:scaffold_2194:6771:18395:1 gene:Ccrd_024838 transcript:KVH87848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQLNKRPKVTNIIRHTSWELQKVKKKKFSHYDLNPRSSISLQWDDKKEHVVPKKEQISIARRELAPFLPLVLHCQNVLGDVFAAPPELFELDNLMGLLSYEVWQTHLSVQEREFLTQLLPEGAEPREVVHELLAGNNLYFGNPFSKWGASICSGDCHPDAILRQEQCNKANKIAYYSELHKYHSKMIGSLQLWKERWASCVNSENDFMQKILRVSRMDLENKCYDSSGGWRSVARRRKGDRLHRLNVECGDGAKYMSYIKVSKEQHQRVKSSMKHSNTSIQPRSLNNVLGNLDSFCVQPYEMFEEEERQKLREHWFVPCLTDKGFLYAYLILQDSLFFSRLHLAKKDLSAGFENWKHWRAAKWQLTKSLRKEMEDKWKSNGQSVLNLYNQDEENEESRILILHGTCNATSDDGIVNATKVEEKEQLLSNLHHERQADGEKDELSIPPEDNEEQNADPIFQSVADLNATNHETAMQIEHTDESSQDSAHNRHLPQIVIQDGGENFCAMAINANNDVFPESDAFPSNLGEYTENMNHGDAPVGEQFPLPSAAASEIWPAVSLPSMYYHQPSSVSHGYASINALSLGHPQVRRDPSSQAIVLESEMQQKDTLRSFLQRRSDGGDSFFYPYANQDRNELLLHSLFKDPGSSYLHEQKLSRLGFHPAGSEAMLGSGQFPRNLCSSLPLDPKQKRLGDVSMHQNIQENIFSDGGGRFLIPRQEHLLPLNHVQDWPGNGGVNMPMLAPSQHRLNSSGELPSQNWFSDDEVVCDGWSSGGVVPNQETGNGSQVADESLFSILSQCNGLRSGVHFGSTTGEFIQPGNYVGMGRGASDGVNYMSGNGGQSGGARARGGSSLGWINVPRTLQEGGGKSWNDKGINKGGNGSGLLFVVCLLAILSESDVENEG >KVH87850 pep supercontig:CcrdV1:scaffold_2194:33744:37783:-1 gene:Ccrd_024840 transcript:KVH87850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPAKQNKEVIRLERESVIPVLKPKLIMTLANLIGWCVRQNVDFKYYLCWNCLYRAEQSSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYALFDPVYGAQKLEQQNLSSEEIDVLEQNFLNYMFKVMEKSNFKIATNEEIAIAQSGQYLLNLPISVDESKLDKKLLKKYFAEHPKENLPDFADKVLEKIFSSKLSARFKKDIKKDDETTEDQEFQDDLRVGGTKGKKERGIFVKHLKQIPMADMEIVLPEKKNPSLTPMDWVKFLTTAVLGLGAATGSIETPQADFWVIVAVISTVVGYCAKIYFTFQANMDTYQNLITQFMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISFFILMEQGKATLKELDIKCEELIKEEFGERCNFDVDDAVQKLEKLGIIVKDAIGRYYCVGLKRANEIIGTTTEELVLKARQESSA >KVH87851 pep supercontig:CcrdV1:scaffold_2194:38963:61044:-1 gene:Ccrd_024841 transcript:KVH87851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MELQIQVAQAVHVLNHDAQSCNRVSANQWLVQFQQTDAAWEIATSILTSAHPTPYVSDFEVEFFAAQVLKRKIQNEGHCLQLEAKESLVNALLLAAKRFSLGPPQLLTQICLALSTLVLHAVEYYKPIEKLFYSLQNLQGQDDGNIAVLEMLTVLPEVVEDECATYKTKSGQRSDYGPELLSHTSAVLEFLLQQSEESFDGVVQLHERSRKILRCLLSWVRAGCFSEILPVSLLAHPLLNFVFNSVQVLSSFDLAIEVLIELVGRHEGLPQVLICKVGFLKDVLLRAFSGGDEKVISGLACLMSEIGQADPSLIMEAHSEALVLVDALLSCVSFPSQDWEIADSTLQFWCSLASNIVRMDAAITENKKHVQDVFSPVFSALLDALLMRSQVDDSTYTVETATRDLPDGLAQFRQNLVELLVDICQLLKSAVFLQKIFFGGWLSSNIQIPWKEVETRMSALNVVADVVLHEGQTFDLSMVLHLVTILCDRASYEPKGFMCIVHRSLADVIGSYSKWMSSYITNARPLLLFFAAGMSEPLCSHACATAFRKFCEDATAVMHKPSSLEMLMWIGEGLEKRHLPLEDEEDVIGAVTLILGYLPSAELRNNLLLKLLSSSFESIGKLIDRDHVHSLRQLPAAYTHLVNSAAKGFFRIGIVFSHLVMPLSSCHDIDNSIIFVLGSFWPLLEKFFQSEHIENANLSMAACRALSQAIKSSGIHFVTLLPKLLDYLSANFASFQTHECYIKTASVVVEEFGNKEEYGPLFISTLERFTHASSVMALNSSYVCDQEPDLVEAYCSFTTIFLRSSPKEVVASSGPLLEVSLQKAAICCTAMHRGAALGAMSYMSCFLELGLNSLLESVTCNSESLITSMAVHVISHSGEGLVSNVVYALLGVSAMSRVHKSATILQQLAAVCSFSEKTTWKSILCWDSLHGWLHSAVRSLPGEYLRQGEAESLAPVWLKALADAAVDYVESRSSDGHMWGKGKGKGGRMLKSLFREFVDTHRNIGTS >KVI04520 pep supercontig:CcrdV1:scaffold_2195:29959:51312:-1 gene:Ccrd_017163 transcript:KVI04520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MHGMAPIQVAALKDVELLSDSVYQLKRSLEEMKQPGSKSLWLVMSDLQTWVSAAMTDEDTCIEGFVNTKLKNVVEKKIVNVANLTSNALALINCYATLSELVDSQDMEASYSTNSLAQNTLLIILLYTAFSITLISALENTNVEFIRSSCSLTTYPTLCFNSLSTRAGAIQTSSKLLAQTALSVALDTTRSTSSAMVKLSQVHGMAPREVAAMKDCIELLGDSVYELKRSLEEMNRPGSKDSGLVISDIQTWVSSAMTDEDTCSEGFVNDPKMKRVVRGKIVNVAHLTSNALALINSYASFS >KVI06467 pep supercontig:CcrdV1:scaffold_2196:40855:44046:1 gene:Ccrd_015184 transcript:KVI06467 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MYETDMCRVDDRDKKKRRFAIGAMGLKTLGETRVARLKSSMANARSPKMKVWLIRATTTVLLWTCLVQLTALGGTWGPRVLKGWPSCFTQDSHSAAALDIKLLPTVPARVLPPKRVYKNNGYLVVSCNGGLNQMRSAICDMVAIARYLNVTLIVPELDKTSFWSDPSEFEDIFDLDHFITSLRDEVRVLKQLPPRLKKRVELGIVHTMPPVSWSDISYYHNQILPLIQKYKVVHLNRTDARLANNGQPLELQKLRCRVNFGALRFTTQIEELGRRVVKLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNAEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDNDIQIYIAAGEIYGGKRRMDSLADAYPKLVRKETLLGPADLQYFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRRLLVELIDKYNSGFLSWDEFSNGVKEAHAERMGNPTKRLVISDRPKEEDYFYANPEECLQASAEDEPVSIL >KVI06468 pep supercontig:CcrdV1:scaffold_2196:18521:20122:-1 gene:Ccrd_015183 transcript:KVI06468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein MLVSHMESKGQRWPNVRLGEIGDVSAAFNYGISDMMMNNLWLYDFNILEEPQNDQIAVFPEQPSSEFTVSDPDLSLKFVVDTQHNRENDDPNSMKAPSGYGTNNEMEMSEPKTTQKCIKGPRSKRCKRTVFEGPWKFKALSADLYGSTDNQGSAMSKRTFGNDVNEPTFKIQKGGNFENNDLISESSGRYNDVGVNSVGRWLEDVGFGRYAGVFEMHEVDEEVLPLLTFDDLKEMGVLAVGPRRKLYAAICGLKARCNN >KVI06466 pep supercontig:CcrdV1:scaffold_2196:47113:49829:-1 gene:Ccrd_015185 transcript:KVI06466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSNILPSGIGSYSINHISYINQSHRSSKPEAAQSSSSDRNDENSKGSSYTAGNGNGFKLWEESAGNKGKTGKENIAGNRHIIREGDMKIGGVPWITSPSQSSSIHNHPTTNIGYPWVKFFSFLHIFYKVLNLFLAAEFSISIHSAQKNQSFVDMLKSAKPSQENVDDNDGEFVIKTETSSHRKVKVEPKPDQTPNILRSKHSATEQRRRSKINDRHVLLKLQLGLISLSKPVFSMLRDIIPHGDQKRDKASFLLEVIEYIQFLQEKLHKYEDSYQGWSSEPPKTIPWNSQRPTQVPNGASGPAMVYAAAKLDENNISIAPNIPREGQNLLDTDMSRLDSIKEIDQSTKEASSFPMALQPNICAAAPLSRHEPEFCHSRLCATDCSVKWDNKLKEQELIIENGTISISTIYSQGLLSTLTQALQSSGVELSRASISIQIDLGKRAYPNSSTPNFKKTQIVGVDASGREEEENDKGLKRLKTSIND >KVI06828 pep supercontig:CcrdV1:scaffold_2197:73217:74752:1 gene:Ccrd_014816 transcript:KVI06828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPLSRFVDISMMSKNPSVYSTSQTFNPTSNTSFLKNMDLSSHSFHGSTSRSMQYNMPERWKNFVVPNNITPPEPPLPSGSPSGSLRNWVLGLALTFILPFFTHKWGPFILLKDKVEKVMETTEHVMEAIEVVAKRTDEILDEITGDLPEDNKLRKTLEAIDEKVEGVTETAHLANQIIDNVEEMKEKLETLIVDEAKEQEAASKRVRQAQEEKLPTQETSG >KVI06829 pep supercontig:CcrdV1:scaffold_2197:86735:88841:1 gene:Ccrd_014817 transcript:KVI06829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSMSRFISASRTLPNPYSTSLTFHPTSHSSLFLKNKASASYSHHGSISCARRNFVVRSNLTPPPGVPLPSGPPSGSMRNWIVGIVLTFVLPFITHKWGPLIVLKNKVDTVVDTAEYIMETIEDVAERVDKVIDNITDELPENSRLRKTMEAVDGVVEGLAKSAHLANDIIDKVEEVEDKLESLIIQEEVKEKEEVSKQVEGKDRVSTTSVVSKQVESKL >KVI03311 pep supercontig:CcrdV1:scaffold_2199:74343:81614:1 gene:Ccrd_018391 transcript:KVI03311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MEPNSTSWGVHFWCLTVQELAKKPLSKVPTRYVRPHHGPPAISSLSPAMTEVQVPVIDMQRLASKDSCNHELKKLHLARKDWGFFQMINHGVSCSLLDKVKEETQEFFKLPMEEKNKFWQDVGDIQGFGQAFVVSQEQKLDCADMFYLITLPRHMRKANLFPNLPLPFSIVHLEKQTVRERKKLLFKSLRRDTLEAYSREMKCIALTTLVFIAKASKMEVEDMKVLFDEGMQTMRMNYYPPCPQPEQVIGLSPHSDPLRITFLLQINEVEGLQIKKDGSWVPIKPLHDAFIVNIGDSLEILTNRMYKSIEHRATVNSKKKRLSIAIFLGPKCDGDLGPAPSLITADTPPRFRRVSVADFLRNFFSKELKSKTNLEQYFMVVNIQVCIPEEEDMQVRLFGVPGEYHWREQLAKEGNGCRTGNVSDGDRRREEGIGLRPREGEEARATATTAACGVWSVEDEERTAQRASDQRRSDQRRRQAEVEAALEGRQPAPSRP >KVI03313 pep supercontig:CcrdV1:scaffold_2199:8504:11476:1 gene:Ccrd_018389 transcript:KVI03313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MEAKAKTLGGSLLVPSVQELAKEPLVKVPTRYVRHHHGFSVASSVSAVPIIDMQRLASKDSSDHELEKLHFACKDWGFFQMINHGVSCSLLEKVKEETQELFKLPMAEKKKYWQEAGDIQGFGQAFVVSEEQKLDWSDTFFLITLPHHIRNPNLFPTLPLPLRSLTLPSFSISISLCKCKFLVVKHKDTLEEYSREVKNISLKTLNFMAKCLRMEVEDMKALFEEGIQSVRMNYYPPCPQPEQVMGLTPHSDCHGITFLLQINDVEGLQIKKDGAWMQVKPLPNAFIVNLGDTLEIVTNGIYKSVEHRAVVNSEKERLSIATFLGPNLDGDMGPAPSLITSETRPRFTRISVADYNNNFFSRELKGKSNLEQYYI >KVI03312 pep supercontig:CcrdV1:scaffold_2199:39199:50174:1 gene:Ccrd_018390 transcript:KVI03312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MESKRTSMGGSLLVPSVQELVKEPLIKVPTRYVRSHEDLPPTSCLSSSLCEVPVIDMHHLLSKDSTTHELEKLHLACKDWGFFQMINHGISCSLVEKLKEEIQEFFKLPMEEKSKFWQKAGDFEGFGQIFVVSEEQKLDWADLFTLLTLPRHFRKPHLFPNLPLPFRDALEAYSAEMKNISLTTLIFIANALKMEVEDMKILYDEGTQFMRMNYYPPCPEPEQVIGLSPHSDPLGITFLLQINEVQGLEIKKDGNWVPVKPLPNSFIVNIGDHMEILSNGIYKSIEHRATVNLEKERLSIATFLGPKLDGDLGPAPSLITSDTPPRFTRVSGMDFYKNFFSKELKSKRNLEQYHI >KVH90655 pep supercontig:CcrdV1:scaffold_22:187304:188554:-1 gene:Ccrd_007281 transcript:KVH90655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MRTPSPLPNPHRLAFTIQCAASRFDSAPNATNPSVSSAAVPSTNYFASGGVGKNRADWQSSCAILASKVVSQQQNTEKTGGADNITVVNGHKTLDLVPVDNLPKPLTITDLSPAPMHGAQMRVAYQGVPGAYSEAAAGKAYPECDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYINRVISHPQALAQCELTLTKLGLNVTREAVDDTAGAAEFVAANNLRDTAAIASARAAELYGLNILADGIQDDSSNITRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFDASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSRDD >KVH90641 pep supercontig:CcrdV1:scaffold_22:761788:764083:-1 gene:Ccrd_007342 transcript:KVH90641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin MREIVSIHVGQAGIQVGNSCWELYCLEHGIKPDGCMSIVGSSDNTSSSGSNDSFGTFFSEGSSGKYVPRAVFVDLEPTVIDEVRTGSYRKLFNPKQLIAGKEDAANNFARGHYTVGKAVVDECVDRVRKLADNCSNLQGFMVFNAVGGGTGSGLGSLILERLSNEYGKTLKLGFTIFPSPQVSTSVVEPYNSVLSTHSLIEHTDIVVQLDNEAIYDICKKKLDMERPTYRNLNRLISQTISSLTTSLRFNGSMNVDISEFQTNLVPFPRIHFMLSSYAPIISSAKAYHELLSVPEITNAVFDPSSMMAKCDPRHGKYMACCLMYRGDVAPKDVNTAVGSIKTKKTIQFVDWCPTGFKCGINNQAPSAVPGGDLAQVKRAVCMISNNTAVSEVFSRIDHKFDLMFAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAQDGEEEGDEY >KVH90723 pep supercontig:CcrdV1:scaffold_22:575111:582120:-1 gene:Ccrd_007318 transcript:KVH90723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24, helical domain-containing protein MALRATVVRFPSDPDAQESSGLPWGVTVTPFASKDENGNSPVYGSGGDLIPRCENCWAYYNTYCDQDQWAWTCSLCGTLNGLSSETIARYSLPESAPENMSSFVDLELPLEGSEEDMQARPVYVAAIDLASSEEFLELTKSALLAALEGSLFGLATFSHKLGLYDVQGPIPVVKNVFLPQDSDGTLPIELEDAMPLFSFLAPVETCKDRIASALETLRPTSSWDGTGSGQGLDRILLGGRGFGLAMETLISYLGSEHGNTFALARIFAFLSGPPDYGPGQLDTRRYGEQYASKGEDAELALLPEQTPFYKDLAAVAVQAGVCIDILAVTNEYTDLASLKFLSIDSGGSLFLYPNTDDSTLPQDMYRMLSRPYAFNCIMRLRTSSEFKPGNSYGHFFPDPQYENVQHVICCDSYATYAYDFDFENNHGFSRHTSELPMLQLAFQYTVVVSPQELPTSGSGLTSRSKYTIKRRLRIRTMQFGVAHNINELYDSVEHEVVLSILVHKVILASLSEGVREGRMLLHDWLVILTAQYNDACKTVANGYGSSIGSLIDVTFSQCPQLQPLPRLVFALLRNPLLRFHEEGIHPDYRIYLQCLFSGLEPSSLHRAIYPLLTSYATPDKLAYPRHSLSRAALLTSESPIFFLDAFTTLIVFYSSTADPTLPYPPPHDCLLRTTINKLKQERSMTPRLMFIRGGQEDPTPFENYLIEEQDVDGSGFASVMGFVSFLEEVSQSVLEYMK >KVH90704 pep supercontig:CcrdV1:scaffold_22:661060:662190:-1 gene:Ccrd_007330 transcript:KVH90704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF602 MNSNPRNSQIFIQAPDLEIPTRALTLTPNLTIHHLKQSFLSQISPIHQTLASSTFFTLNGRLLSDSDTVEKTGVTSLSTLSLRLRLSGGGGDGGATGAESRDCYLNMYAEKKPDKVDPNEQRLSLFMNCALSNEPLKHPIVVDYLGNLFNKQALVESLLMKKLPKAFSHIKGLKDMIAVELASIPGSDSPNGGEVKFQCPITGLEFNGKYRFHALKSCGHVLSAKALKEVKSSSCLVCHKDFVESDKIVINGNEEEVIALREKMEMEKVKLREKKVKKVKNGGGVGVNGEMGASNDGVKLSGSKHGIDLKAAEKVSGKIETNGKVVNGKSEGKRFKAGDRAPQHANKEVYASIFTSSRKSDFKETYSCRSLPLGRN >KVH90666 pep supercontig:CcrdV1:scaffold_22:599483:602142:-1 gene:Ccrd_007321 transcript:KVH90666 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MTEVLHSPSSSSSSSLQAHDATLFRSVNQEPEESGEGVSRVTGCEEEEEEEDDDDDDDDDDVKDRRDRDNQQLSLLALLLTLFRKSFWVSSSSTKNTVAEERLDLPGMEIGWPTDVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTASMQLSYDTRGNSIPTILILMQQRLYTQGGLQAEGIFRINAENGQEEHLRNQLNSGIAWFRELPTGVLDPLSPEEVMQCQSEEDCSTLVRLLPPTETALLDWAINLMADVVQHEHLNKMNSHNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLITKTLREREDPVIEPSPAPPQEPSDENGRQGPPLNPHLQQKNDEVEEKEHQFVAEDDHLGYLTPTEESDGPGFYKSPVQSPPVEPKSQVDHIHEPKPAQSSNPDKVQALVQGMSNLSCINSQTERIEAWR >KVH90668 pep supercontig:CcrdV1:scaffold_22:613136:613777:1 gene:Ccrd_007323 transcript:KVH90668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MAVLLANLVCFSICVGITLTGLVRASDPDILFDYILPPNMTVADGNFFTYTKIRGFFDGIDLTKSMQASLAEFPALNGQSVSLSVLRLAPGGVSAPHSRPHATGLFFVLEGRVEVGFVDTTNKLYTQSLQTGDMFIFPKGLVHYQYNADSKNPATAVAAFGSASAATVSIPASLFGGNVDDVVLAKTFKTDVATIRKIKSGLQGKAAGIRRFH >KVH90676 pep supercontig:CcrdV1:scaffold_22:484926:486144:-1 gene:Ccrd_007305 transcript:KVH90676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLKTAHLIGSNGLKLANHSFPKLSTTSNHWMLRKTLLYLTFTAGIYPQDVQALFEYPPCYSRKVWRKDSPLFPLETSCVGKT >KVH90718 pep supercontig:CcrdV1:scaffold_22:554757:558041:1 gene:Ccrd_007315 transcript:KVH90718 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin, iron-sulfur binding site-containing protein MINVVNARSSSSIFLRGFSLPSMKSISKTFGLKSSCSFRITAMATYKVKLIGPDGEENEFDAPDDCYILDSAESAGIELPYSCRAGACSTCAGKIVTGCVDQSDGSFLDDNQMKEGYVLTCVSYPTSDCVVHTHKEGDLY >KVH90720 pep supercontig:CcrdV1:scaffold_22:570509:573529:-1 gene:Ccrd_007317 transcript:KVH90720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSYPHYSTGYNTSPSAPPVPKPQSQQPGVAYPYQPPPPSQQPNYSYNYGQPHNPMMAGGYGSPYGYPPTPSFPPGTHPEVIRSFQMVDMDRSGFIDAKELQQALSSGYQRFSLRTIRLLMFLFRNPNDDLRTGPKEFAELWSCLGHWRAIFERFDRDRSGKIDAAELRDALYSLGYAVPPSVLQVLISKYDEQKGQRVDLSFDSFVECGMIVKGLTEKFKEKDTQYTGSATLSYETFMTMVIPFLVAE >KVH90711 pep supercontig:CcrdV1:scaffold_22:315871:317905:-1 gene:Ccrd_007292 transcript:KVH90711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MKVVAAYLLALLGGNTSPSADDLKKILGSVGADADEDRIELLLSEVKGKDITELIAAGREKLASVPSGGGGVAVAAAAGGGGAAPAAAAAAETKKEEKVEEKEESDDDMGFSLFD >KVH90698 pep supercontig:CcrdV1:scaffold_22:717784:724656:-1 gene:Ccrd_007336 transcript:KVH90698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 51 MRVAGQSPPPPPLSSSPKQQPPFLTAKDAESLFRTKTISEIRNVESATRKQIQEKSEELRQLVGNRYRDLIDSADSIVNMKSSSHSISANIAAIHHGILHSLSSTTISLNSVSSNPARARNYGIACRIKYLVDTPENIWGCLDESMFLDAAARYTRARLVHHSLTSNENSKSVLWKFPLLQHQWQVVESFKTQISQRSRDRLLLDQSLGLRISDYADALAAVSVIDDLDPSKVLRLFLDSRKSCVSQKLGSCKVASEDVIAVFCEVLKVIQVSVAQVGELFMQVLSDMPLFYKTVLGSPPTSQLFGGIPNPDEEATLWKGFRDKLESLMVMLDRDSIANACSDWLRSCGEEIVNRVKGRLLIDAIDSGQQLSAAEKLIRETMDGKEVLEGSLEWLKSVFGSEIEMPWSRTRELVLGNDADLWDEIFEEVFTSRMKEVIDSGYDAMYHAVNVKDSVHTIMESPGDQVDFQSYLNRTHLGGGVWFMQPRCKKISPVTNSKVTPDDSNFDSCLDAYLGDEVGQIRKLVDSHCQNVLMDLLRFLESPKASLRLKQLAPYLQRKCFGSMSAILAELKSEMEHLYAALGHGDKDGDSAAPPAIVVQTSLFVGKLLFAFQKYSRHILVILGSPRLWVNEILAAHSGKTPPLLRYSRALVNFPLESTGKKMLDSSRRQAPLAASALFGVEDSSSPQLEELRKTTQDLCIRAHNLWVIWFSDELSAILSHNLRNDDCLSATMPLKILSYVLSSINLTSFLSSGKGWEQTVVKQVDSADSQSEMKILLPFMPSLYITSYLFQACEEIHRVGGHVVEKPILQIFAARLLEKQFQVTMSYSLLSCMLMQVIDVYVDFLSIEEASATQVSEKGILQMLLDLRFAADILAGCDLSGNGGISKMPKTKIAFRRKLEVQETKSVIRERLDGLVNRLSQKLDPIDWLTYEPCLWENEKQSYLRHAVLFGYFVQLRRMYTATTQKLPTNSESNIMRCSTVPRFKYLPISAPVLSAKGISKTSTPSSMDDVSSRNKWRSYTKDELSRNLDMDDGSSFGVATPFLKSFMQSLKLGSMLTEGQVGRFGDILPAQAAGLLSSFTASRSDH >KVH90683 pep supercontig:CcrdV1:scaffold_22:804552:805211:-1 gene:Ccrd_007348 transcript:KVH90683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MFGTLSEETEVKVPASKAWALYGTLELGKAVTGKFVEAIEVVEGDGGAGTILRFALKPGSRFSRYSEKFTKVDDENKVKEVEVVEGGFLDLGFTFYRSRIEIKENPNDDTGSSCLVKFTIEYDVKEEVAADASLVTNKPLIGIMNIANEYLLKSG >KVH90659 pep supercontig:CcrdV1:scaffold_22:643265:647999:1 gene:Ccrd_007328 transcript:KVH90659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MTRVFVQRGSATAGSSSSSNNTNRSSSSSSSSPPQVQVAPPQFLEAGEEVQEQVLCEDLPLETVDRDRNDDIDAPISEKIEDETVMGSEDILKRIGGLRVNEEEEKKDGLKIDDYPSQTIGGRPYPPPPPAPPPKPSSASSNSRRFVPGNSAGLRIGPSGRATAWPVVSTRTSPAGSRASSPRSHCENDGYNSADEQNSCYGSSYGDAERERQFEIDIRRTKGYEVKRMLEDGNCLFRAVADQVYGDSEAYDLARQMCIDYMERERDHFSQFVTEGFTSYCKRKRRDKVYGNNVEIQALCEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSCLQGTNVDKDKVKAAIKAQQDQQMDNALLWSDVELTEKEIERMVMEASRAEYMANDKFKQQLGPRESSTSGAEPSSSGGTSGGESEGKVLCSSSSMQMVLSMGFSYLQAMEAYSIFGDDVDSMVCYLLETSSRRKGKATE >KVH90653 pep supercontig:CcrdV1:scaffold_22:247357:248265:1 gene:Ccrd_007283 transcript:KVH90653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MMGLISCYNELTVQTSSCSSYKNVSSCVSPNLVPSIQTAATNLYKTTLSTGNHHLITVTWCRNTTTQGLQINSGDDPSTAFRLNTNSRLFRKKKGSKAFEINNSKFEVFYDLSSAHYAAGAEPIEGYYVLIMVDSELGLFLGDMAEEAAVKKVKTYKQIANFSLLSRREHFYGNTLYATKARFSDAGSCHDILIRCTGEDNGEKHPSLSVCIDKRVMIRVKRLQWNFRGNQTIFVDGLSVDLMWDVHDWFFNTGSGSGSGSGHAVFMFRPRNGLDSRLWLEEKVAKKDEKKEFSLLVYATKS >KVH90692 pep supercontig:CcrdV1:scaffold_22:115689:117754:1 gene:Ccrd_007276 transcript:KVH90692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDAQGNPIDPRKIQDHFEDFYEDLFEELNKYGEIESLNVCDNLADHMVGNVYVQFREEEYAAKALKNLSGRYYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKRIGRELRRQLFGRYRRRHSRSPSRSRSPYRHRSYDERGHGSRSHSRRYDDRDRDYHHESRSRRHRSTSPLHRRERSRSSEGRRHHSPVREGSEERRAKIEQWNRQREQAKLSETNDDGVENNYESDNYTGKGDGYYNQQSEQYEH >KVH90678 pep supercontig:CcrdV1:scaffold_22:479892:482489:1 gene:Ccrd_007303 transcript:KVH90678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNLQKLKSFRKLHICYYNQCCSTQSFASTIEIETQPCVFRDAANPFDGMPQKDVSGANHNHLLFEYARTNNNFQALKHFLGIRRLGLQVNGASFSCVLKICGSLCDQISGKQIHCDCIKYGFVEDVSVGTSLIDMYTKTEGVWMAEKVFDEMPDRNVVSWTSMLTGYSSTGMHDRAVELFLQMQVEGIKPNPFTFATILGALADIGAVVKGMQVHTMVVKFGFELTTYVCNSLISMYSRSGMIRCAIAVFGGMEVRDAVSWNGMIAGLVTNGNYLVALDLFHKMRLSGVKLTQPIFVTILKLCANIKEIHLAKQLHCVVSKNGMESDPNLKTALMVSYTKGCEMDDTLKLFSTMNGVRNVVSWTAMIGGYMQNGCIEKAVNIFRQMCREGVRPNDFTYSTILAAHPTISPFQIHAQVIKTDYESITSVGTALLDAYMKIGNRNDAIRVFETVEEKDIVSWSAILAGYAQSGDVDGAVGVFRRLADNGVRPNEYTFSSILNVCASPMAAVEQGKRFHVGAIKEGYNNALCVSSALVTMYAKRGNIESANKVFQRQPERDLVSWNSMISGYAQHGYGNKALEIFEELRKTKLEMDDITFIGVITACTHAGLVEEGERYFDMMVKDLHIDPTSEHYSCMVDLYSRAGLLEKAMALINGMPFPAGATIWRSLLASCRVHKNLELGKLAGDKLLSLRPQDSAAYVLLSNLYATTGNWQERAKVRKLMDERSVKKEAGYSWIEIKNKTYSFVAWDTSHPSSDLIYEKLKELSLRLRDAGYLPDTSYVLHDVEDEHKEDILSGHSERLAVAFGLITTPPGVSLQIMKNLRVCGDCHTVFKLISKIEGREIIVRDSNRFHHFKDGVCSCGEYW >KVH90722 pep supercontig:CcrdV1:scaffold_22:589953:594557:1 gene:Ccrd_007319 transcript:KVH90722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAGSQLVAKYLVRRRRLQRMSTSRCLQACIDYLMFLVAFGIVFTNLLGWLISLAVLCYNAHAIHILGKKTEREKLEKLAQKGPKPEETPAANAGGSGTGAEAKASESTSAKTGVSTDDHRNYAVVAGVVTGLSALGWYLLSKDKKTEELHD >KVH90651 pep supercontig:CcrdV1:scaffold_22:262396:263769:-1 gene:Ccrd_007285 transcript:KVH90651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MNRNHVITIDQEAKLMNTQMIQSPKLLHKFAGNESCCIFRVPQSLVELNKEAYQPRIVSIGPYHHGSKNLQMIEEHKWRFLHGLISRTRKPLSSFLYSIVSMEKAIRESYSESIEHIGSNDLAKMMVLDGVFLIELFRKVGRLIPTDQDDPIFKMVWMSPLLLRDLLRIENQIPFFVIQKLFDESRFGTNDVRKLPSLILDFFNHTVDRPEKVLDRYVKLEGKHLLDFFRKSFIKDPVPIEGTDKPNNPSLKRKDPVPIEGTDKPNNPSLKRIQPATTLVVAGVKFEENHEADSFLDIEFKKGVLSIPRITMDDFYTSFFLNGMAFEQCYSKCSKDITTYVVFLGWLINNSADVGLLSESKVIENYFGTDKELVKFFNHVGKDVPFNIKDNYLKGLFIEVNEYCKNGWHVTWAGFKHRYFESPWASISAGAAFALLVLALLQTLYTMIQYYHASKSK >KVH90679 pep supercontig:CcrdV1:scaffold_22:432706:434162:-1 gene:Ccrd_007300 transcript:KVH90679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase MNSKKKEICRTIDSLALGYGKGRLTCFLGDPEAIYDVIPADMVVNAMIATITAHANQTFSETIYHVGSSVSNPLKFTTIQRCGYLYDDMNTEKLRRAVKGSGEEDNMFYFDPTIIDWDEYFQHIHLPGVVNREFK >KVH90647 pep supercontig:CcrdV1:scaffold_22:128022:129459:-1 gene:Ccrd_007278 transcript:KVH90647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRKSSPKRQGKNKKERLAEKSMSFHGRLAEDMVVKLIRPRTVPNLVTGRVAVTTETMPLPKLTKLLLNVTVQRSLGPVQVLISPESTVGDLIAAALRQYSKEGRRPILPSLDPSGFHLHYSQFSLESLAPEETLNELGSRNFFLCPKQASSIAGNNGGHGEIGVGYGGMTTSTPPSSSCSNEADKVTKGGAGWLRFMEFML >KVH90660 pep supercontig:CcrdV1:scaffold_22:649941:659644:1 gene:Ccrd_007329 transcript:KVH90660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MGLVKAAIGDGVLTFLWVICASSLGAATSVIAKTIGVKGMATILITISLVFILLFIFGMIGDALGGASFNPTGTAAFYAVGLGGDSLISAAVRFPAQAVGAVGGALAILEFMPREYKHMLGGPSLKVDMHTGAIVEGMLTFTSTIAVLYVILRGPNSVLMKNAMLSTSIVTLVVVGGGYTGVRMGVRKQSTQYMGAVLRLLDCSVHGGNIGRMGFSYCLSTTFEAEIRLKTRRSGHQKKELESVQENSHQSVKPPSAAAAGNGQIRYRSPSAAELLEGQVFSTPPLADQLDKMLKRNRQQPYNESLSDKIHRYRGVVLVISIPLLLVSFVLFLMPSTRSPNDAVLPTNRKFTPNYVLQTDRSSNSYAVIFDAGSSGSRVHVFCFDQNLDLLHIGKELELFEQLQPGLSAYANDPKAAADSLLPLLVKAEKVVPKDVRQNTPVKVGATAGLRQLGVDASERILQAVKDFLKVKSSLKSNDDWVTVLDGTQEGAYQWVTINYLLKRLGKKYSDTVGVVDLGGGSVQMAYAISEADAAKAPRISNGEDTYYGLLAARAEILEVTKDSDNPCILAGYNGVYKYGGTEYKASSPPSGSNMNKCREEALKALKVNESTCTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVDPSKPVAIVRPADFQEAAKRACETTLENARSTYPNVKKPDDNLPYLCLDLVYQYTLLVDGFDLDPWQEITLVKKIEYQNALVEAAWPLGSAIEAVSAAA >KVH90684 pep supercontig:CcrdV1:scaffold_22:813124:813793:-1 gene:Ccrd_007349 transcript:KVH90684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MFGTLSEETEVKVPASKAWALYGTLELGKAVTGKLVEAIEVVEGDGGAGTILRFALKPESGFSRYSEKFTKVDNENKVKEAEVVEGGYLDIGFTLYRIRFEVKENPNDDTGASCIVKLTIEYEVKEEAAANASLITNEPIIGIMSIANKHLLKSG >KVH90691 pep supercontig:CcrdV1:scaffold_22:59088:59924:-1 gene:Ccrd_007271 transcript:KVH90691 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase MPIVAKSDLSAENKAHASYLLRCGQLLFLITAPYSATISTVDTNTASIPTFSHAACRAFIAAHGLAVRSVAIEVDDAELAYSISVSNGAKSFSLPITLGEPENSVVISEVQVYNDAVLRYISYTKPTVDIASTFLSGFEPIETSSSFPGQNYDLRCLDHAFGTVPELASAVNYLKSFTGFHKFAEIGGEDIGTIESWLNTVCLACNDETVILGLCEPVYGTPWTSQILTYFEHNEGPGFQHLALESEDIFWTLREMKQRSGFGGLALMPPPLRLTTGI >KVH90700 pep supercontig:CcrdV1:scaffold_22:695971:704424:1 gene:Ccrd_007334 transcript:KVH90700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGAETGRILSVKGTIDLGYVFRVKFDWGRLGLTPTTCFWRRRVNALMANVPPFQLPANSQGMYDYAYQNMGEVSSRPPEKEAVTLLIRHLPEAIPHDTLSRLFSHYGASRVHPCTTGRMRNCAFVDFSNEGLATQAQRQLNGLRFLGKVLSVERSVTPPHDTRSQQNKSLSGNDAKPSIDDATLAKDSEQEPVTKSLPALEPIAAKLGVEYPFPPHLVYAYPPPDGNILTNILNALIAVPRFYTQVLHLMNKMNIPAPFRAALPTPPLPPSAPAPHPPPPPPEDVGARRPAGPRRKRIKREAIVGPAIDKDVAHEAVGLKPATLVPKEIPMIKKKNPVLQIKITPKRPQTEPVDDGTAEDVEEMENENLSTKPFATVEELEHGKLPPEEILSLPMFKNYNAGNPAPVLYIKNLAKDVVPDDFYFVFGTFFESIETAKTNLSVKLMQNLVNGFVFKGKPMIIQFGRNTSAGKTNEK >KVH90671 pep supercontig:CcrdV1:scaffold_22:830298:834357:-1 gene:Ccrd_007353 transcript:KVH90671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MSTMGNPTNVFWHECAVGKAERQKLINQQGCVVWITGLSGSGKSTLACSLDRELHSRGKLSYVLDGDNVRHGLNKNLGFSAEDRTENIRRVGEVATLFADAGLICIASLISPYRKDRDACRAMLTDANFIEAMLLSELFSVNSVCVLLGKEAIHIIYLQVFMNMTLEVCEERDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEINIEQKDGVCPTPSEMAGQVVSCLDENGFLHACN >KVH90694 pep supercontig:CcrdV1:scaffold_22:109397:113602:1 gene:Ccrd_007275 transcript:KVH90694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVPGESLMPGYLPGMPVDLATEFGMIWDLIKAPLIVPLLQLAVYICLAMTVMLFVERLYMGVVIILVKLFWKKPEQRYKWEPIREDVENGSQAYPMVLIQIPMFNEREVYKISIGAACSLSWPADRLVIQDMIEKECKRWASKGVNIWYQIRGSRGGYKAGALKEGLTHDYVKDCKYVAIFDADFRPEPDFLQRAIPFLEFNPQLALVQSRWRFGTGGVWRIAAINEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVPEIIKTKQVTLWKKVYVIYSFFFVRKIIAHMVTFFFFCVVLPTSILVPERSNEWVVTEKLGDTLKNKKSTPKAAPSLRKTKTYNYRDRIHVTELGFAAFLFFIGCYDFMYGNHQYFVYIFLQTITFLIVGFGYVGITVVPR >KVH90664 pep supercontig:CcrdV1:scaffold_22:640128:641383:1 gene:Ccrd_007327 transcript:KVH90664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MDSTKESSKIPIPVTESKASKTASSPVVVAVAKKPAQRPLVARWKRGLGIFDFILRICAIVAALAAATAMGTTNQQLPFFTQFFQFKADYNDLPAFTFFVIANATAGAYLVLSLPFSILCIVRPHMIGARLMLLIAVPLITAAASAAASIIYLAHNGNSNANWAAICQQFDDFCQRVTGAVVASFITAVIFMVLVVVSAVALRRN >KVH90638 pep supercontig:CcrdV1:scaffold_22:3245:8463:1 gene:Ccrd_007266 transcript:KVH90638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHYFFRGDILFTFEISIRDQLQSIDFKNSTHSLLFPLPNRRVLNHLMAEEYNNDRRNGGAGDDRSEASDYTSEDEGTEDYRRGGYHAVRIGDTFKHSRYVVQSKLGWGHFSTVWLAWDTHKSRYVALKVQKSAQHYTEAAMDEITILKQIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGIPLHKVKEICFHILGGLDYLHRQLSIIHTDLKPENILLLSTIDPEKDPRKTGSPLILPSSKEKVLADSGTSKDIKSSNGDLTKNQKKKIRKKAKKAAYNGAGKEESEEVESVNAINGADSCCNEKSNGDSLEEHATASVVKDESNDFSEARNSRQGNQLRRRGSRSTRQKLLAEVDVKCKLVDFGNACWTYKQFTXDIQTRQYRCPEVLLGSKYSTSADLWSFACICFELXTGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRHGDLRHIRRLRFWPLKKVLMEKYEFSEEDANELSDFLVPILEFVPEKRPTAAQCLAHPWITGVPRHLASEETDNKTLESKREKDEREAMEVGMGNIAIGGVSKPALR >KVH90706 pep supercontig:CcrdV1:scaffold_22:351346:362228:-1 gene:Ccrd_007295 transcript:KVH90706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRYDRISQAWSQLWSNCNCTPLPANVLFEAMHNYKHLAYQSDEQDRFGKPMVWIGVYIALASLFCILPMVVDLVHGLRNKKFWFPCKYFTLNAASLSVIAVAMKLPMDLNNQMPGIVDQSAEIGSMAFMCTIMANLLPSLSTMDSNELLTNIIALGVLVITLVVNVCIQITTGVVSSESFALWFQFAGGATGFHTKDLYKIIAIIYVAMLLMLLMIHTCSSLAILMSKHILEVKYQAGHQTAVKDQELQQLRRLTVEKLKQHVSNYCIMAATGSPQFMTTCSTTTSASGVICAISTAIHFFMMFVIRLRMKDYDSDYKWSTSMILIIQFSGVMLGSISPVSRCFASLSFKLSIKWIWNHIKVFKVESYWTEKLCDWKHSSVPFTFCSRKCKVVIQKLKILILNFCIGVQKVVVVACKIIGLVPIFLVILVLYCFHCWKWLKTVFSASGNVQGSRLEQNKVLSPYVLQLQDNMNLAERTLKSISKSVNSFIQRAEKQQPNHLMRLLEKSRGFEGVEKYNSDLVPPLLAQDFLDCWSLPLVTLTTIAISLPNIQNDMVENLLSSVSEGLVYVKLVEENLNATEDYESIQKAAKTLWLEVEVYHRWFGNRLQKPVPQVNTAEKILQWFRDTAKNLVTEMEQKDIGGRNDDSMCRCISANSMYRITETILLSYHANTDEVSQEELFEKLSSMIADILAACLTNLPRLIAIKCHTSAIEKREASVHAAAQLLGETMQIINSLEDRELPSLNPDELGFLDKWNDQNRFSKPMLWIGYYIVLASLFCILPMVADLLHGLRNRKLWFPCKYFTLNAATLSVIAVAMKLPMDLNNPMPGHADQAAKLGSMAFMCTIMANLLPSLSTMDSKELLTNIIALGVLVITLVVNVYIQITTGLVSYTRVLHDGLAYDDGKNIYSKRTAIVYVVMLIMLLIIHTCSCLAILKSKQILESKYQFRHQTALKDQELQQTRRLTIERLKQHVRNYWIMSTTGSPQFMTACSATTSASGVICALSVAMLAIVILTIGPDMMDYQSDYRWSISVILITQSIGVLLGSIAPISRCFASLSFKLSIKWIWNHIKVFKVESYWTQKLSDWKQSSVPVAFSGHKCKVIIQKLKTLTLSFCIGFQKAVVVACKMMGLVPIFIVIWVLYCSHCWKWLKAKFSASDILLVKRPEHNKDLIQYVLQLQDDMELAERTLKGISKSVNCFIQRAEKRQPNHLMKLLEKSRGFEGVEKYNSDLVPPLLAQEFMDCWSLPLVTLTTIAISLPNIQNDMLENLLSSVSEGLVYVKLVEKNLNATEDYESIQKAAKTLWLEVEVYHRWFGNRLQKPVPQVNTAEKILQWFRDTAKNLVTEVEQKDMGGRNDDSMCRCISANSMYRITETILLSYHANTNEVSQEELFEKLSSMIADILAACLTNLPRLIAIKCHTSAIEKREASVHAAAQLLGETMQIINSLEDRELPSLNPDELGFIVNMLASRLRQQKRFCDPMPRIGYYITLASLFCILAAVAGLLHGLQNRKLWFPCKYFTLNVASLSVIAVAMKLPVDLSSPMPGYVDQSAKLGSMAF >KVH90708 pep supercontig:CcrdV1:scaffold_22:396918:399576:1 gene:Ccrd_007297 transcript:KVH90708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease Zc3h12a-like protein MKNQIKKRNNNKALTPESKFRSDLSICSKKKDFADAISLFDSAISQNLKLNLHHLNCFLYICSNSIESTTDKAIEKGFSIFNHMISSKISPNEATITAISRLAAAKDDGDLSFELVKSLVKYNENPRLRTYDPALFCYVKKLDAEKAYLVEKEVLNMGLFLEEPEIAALLKVSAEVGNEEKVYEYLHKLRMGVRCVSESTAEXIENWFXGEMGAKVGGLENLDMGRVKDVILRNGGGWHGIGWIGRGKWVVQRTTVGSDGCCCACNEQLACVDIGREETEKFAQLVVALAIEREKRSNFTQFQNWLDQHHDFEAIVDGANVGLYQQNFAEGGFSVSQLEVVVNELYNRSKKWPLVILHDKRIRALLANPSNRGLLEEWIERGFLYGTPVGSNDDWYWLYASVKLKCMLVTNDEMRDHIFELLGRSFFPRWKERHHVHYTFAKGKLKFQMPPSYSLVIQESEKGTWHAPLAGEYNDESLRTWLCITRPGCCEASDALVSKFAEVSETNRIHDESYNSSTKTQVGITGKRKERSPSPIR >KVH90703 pep supercontig:CcrdV1:scaffold_22:665042:666532:1 gene:Ccrd_007331 transcript:KVH90703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFFSSSTSTTLGNSDCDEHDGDQLENYGEHDGYQLENHGERLVLFKDSVKKSELEREVGLIMDIIRQPGQSCVAVRNNLEKSSVSASPELVTEVLSQVQNHWELAFTFFLWADKKPDYAHSLRQYHSMIAILGKMRRFDTAWTLIDEMKRGGKNGDQSMVTPQTLLIMIRRYSAVHDVGKAINTFYAYKRFNFEVGIDAFHDLLSALCRYKNVKEAENLMLCNKDVYPLTTKSFNIILNGWCNIIVSPREGKRIWWEMCNRGIHRDVISYSTIITCYSKSSETKEVIKIYNELKATGISPDRKVYNGMIHALAKAGFVEKARDMMKSMEQKGISPNAITYNSLIMPLCKCRQILEARDVFDEMLQRGLLPTVRTYHAFFRASRTGEEAFSLLKKMTSMGCCPNHDTYIMLIRKFCHWGELENVSKLWNEMISNGLDPDRSSYVALIHGLFLSGMLEEAYKYHLEMKAKGLLPEPEIEKRLQAWMAGKPRVKPSNKD >KVH90649 pep supercontig:CcrdV1:scaffold_22:286629:287745:-1 gene:Ccrd_007287 transcript:KVH90649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin, RAB6-interacting MALQQQQQSQVMTRIKNSGLISYNGSPMNGDDEEELSRSALLAFRVKEEEIKKKKMEVREKVHAQLDRVGEETKKLAEIRQEKEYREALEAFNEKNKEKSQLLARLMELVTESERVRMKKLEELNNNIESLGQFTPRSS >KVH90714 pep supercontig:CcrdV1:scaffold_22:520987:524534:-1 gene:Ccrd_007311 transcript:KVH90714 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MADEYPTTTTAAAPEGVVIQSPPLTSTSEGNLETPPPVSVTDKETPLSLPLILTEKDTAVSPPSSTTNTTLADVIEQPEKPTKKVPESMVSFKEESNRIKDLSDLEKVSLDEFKHLVQEAITNKDFNFSLTSEEPTSEIKSNPEEISIWGIPLLKDERTDVILLKFLRARDFKVKDSFTMLKNTLRWRKSFSIDALVDENLGDDLEKVVFMHGYDKEGHPVCYNVYGEFQNKELYQKTFSDEEKRTRFLKWRIQFLERSIRKLDFWPGGINTIFQVSDLKNSPGPAKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLAFYTMMSPFMTQRTKSKFVFASPARTAEALFKYVSPEHVPIQYGGLSVDYCDCNPQFTIDDPAAVVTVKPATKQTVEIIVNEKCTFVWELRVVGWEVSYSAEYVPNNESNYTIIIQKARKVTPTDEPVISHSFKINELGKILLTIDNPTSKKKKLLYRFKVDPLSE >KVH90642 pep supercontig:CcrdV1:scaffold_22:766540:769844:-1 gene:Ccrd_007343 transcript:KVH90642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQGKPTKIRSLSNIVNVTRTLTPSIQEVERPSSSLDDRFETLPPCELGNSLDDVTYTKLVQSSMHLGCSVHGKLVQSHMVKTGYNPGLFLHNTLLNMYLKCNESDMALQLFDEMAERNVVSWNSLISGYTRLGLYSNAKEIFCKARIANIDINKFTFASMLSICAQTSDLKLGKVVHGLLMSSGIGVAAFLTNPLVAMYSKCGRVDQARVAFDQCDGLDDVSWNSMIAGYVKAGLHDEMLQVLVKMHRYGVRFSSYVLGSVLSACCLNFDRSLVWGKLLHSCSVKLGWDLDVVVGTALLDMYAKIGDLDDAVSTFSVLNDKNVVMYNAMISGLLRGEENSDEYAKKALNLFAEMQRHGLRPSEFTFSTIIKACIACKDFEYGKQIHGLVCKNNLQSDEYIGSMLVEMYSMWSSTDDALSCFDSTCKQDIVIWTSMIVGHAQNGEYERALVLFCKLLSSGLKPDEFTISTMLSACANLAAARSGEQIQSYSIKTGIIESGVVRNSLIYMYAKSGDIDSANQTFKAADKSDVVSWSVMICSTAHHGCAKEALSLFELMISSGIAPNDVAFLGVLTACSHGGLVEEGLRDHGIAPTEKHCACIADLYGRAGRLSDAKTFIMDSGFSHAPIMWRTLLSSCRIHKNTEIGKHVAKRLIELEPQASSSYVLLYNIYNDARMEEAATEIRDLMSNRRIKKEPGLSWIEVGNRVNSFLVGDKCHPQSEKIYAKLDDLLQEIKKIGYVDELEKDEVNHHSEKLAVSFGLIGLAPSAPLRVMKNLRVCRDCHTVIKLISKVEKREIVVRDPIRFHRFRDGSCSCGDYW >KVH90645 pep supercontig:CcrdV1:scaffold_22:789079:792743:1 gene:Ccrd_007346 transcript:KVH90645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MEKIEKRGGCKQQESTTDLFLRWGNKKRLRCVRVRDPDDTADPSFAVSGRRRIRRKINSHFVAFSSDNEHREPSLPPQSTRLTRNSEAATTLRSESNRKSSPDKEDKLYPTRGYTAAGVVEKPKISSVDGGGGVEESSSKSKHVWPKLYIALTSKEKEEDFMAMKGCKLPHRPKKRAKMIQRTLLLVSPGAWLTDMCQERYEVREKKSTKKRPTGLKAMGSMDSESE >KVH90685 pep supercontig:CcrdV1:scaffold_22:777213:780920:-1 gene:Ccrd_007344 transcript:KVH90685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4E (eIF-4E), conserved site-containing protein MDASTEKRGETDKNINNNSQSEYDSSEDRDRQSRELKAGLHPLKHKFVFWYTRRTPGVRTQTSYEDNIKQIMDFSTVEGFWVCYCHLARPSSLPSPTDLHLFKQGIRPLWEDSANCNGGKWIIRFKKPVSGPLVGDQLDYGDNICGIVLSIRFNEDILSVWNRNASDNPAVMALRDKIKRHLKLPHGYVMEYKPHDASLRDNSSYRNTWLRG >KVH90686 pep supercontig:CcrdV1:scaffold_22:784479:786333:1 gene:Ccrd_007345 transcript:KVH90686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEDEGWVNIHHDGFLEVHDDGDEKIFSSKYVTSPVKLFEPKYFGTPQKSRNLVQEEPRFQKQLVHLPTELDHEVKEMIKLPILINNEPKEARHEPELDQDPIFQVFFKKENQFVETKTGSLRMNSREPDVSCIERGLFQYEKRSIDDDDCSSPSKMIKKEVVGWGSKSNQRLNLWRWGLSGFGAFCCVGMAAATIGIIIFGNGRRQTQKLRIQFYSDNKGMKQVVQQANESMSTVRGVPLVKAQITYGGYYESL >KVH90707 pep supercontig:CcrdV1:scaffold_22:373661:375145:-1 gene:Ccrd_007296 transcript:KVH90707 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MELQASFCFLFFTFTAVFSLFSLSLFILRLKPCCNCDVCTAFINRSWAAEFVNLSDWYTHLLRKSPTGTIHLHVLNNIITANPDNVEYMIKTNFDNYPKGKAFSAILGDLLGRGIFNVDGNCWRFQRKMASLELGSLSIRSHALSVVVEEIESRLIPFFSSVADKDGDVLDLQDIFRRFSFDSICKFSFGLDPGSLKPSLPVSDLESAFDLSSKLCAERGLAPTPLVWKMKRLFNLGSEKKLKESIKIVKRMADQVIKTRRVTGPSSNNDLLSRFMGSISDDDYLRDIVISFLLAGRDTVASALTSFFLLLSQNPKVVEKIREESDRVMGTTLDTLASFGDLRHLHYLRAALHESMRLYPPVQFDSKFAEQDDVLPDGTFVRRGSRVTYHPYAMGRMERIWGPDSLEFKPDRWIRDGMFKPESAYKYPVYQGGVRVCLGKEMSLMEMQIASLSLIRRFDVRVVNPSQTLRFSPGLTANVSGGLPAVVRRRDVPE >KVH90695 pep supercontig:CcrdV1:scaffold_22:740633:744658:1 gene:Ccrd_007339 transcript:KVH90695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRGSLSFNGRKMDTVLPIDPSSSMPAKAFENPTPESNIFGPESPLPDPLMNRHLAALKLQKTYKSFRTRRQLADCAILVEHRWWKLLDFAVLKCSSVSFFQIEKPETAVSRWSRARTRAAKVGKGLSQHAKACKLALQHWLEAAYEATVEDGKFMYKLSRKLLDTRSGPAGSKWIFVLSTSNILYVGMKQKGKFQHSSFLAGGATLSAGRLVVIDGILKAVWPHSGHYLPTEENFDTFMSFLEQHQINIQTVKRSPEDEEEINDEKVTGYEMRNSVSEPDITRATEETDRKDSKQSLERHGTCPPLLSRGLNPKITALEIPKKEDIILAFQKKGPESQPEPELEPDSDDDDDDDETTEDFLSSIELMVSKRNLFDFREDAYDKSIPEEKIMNRINSHKETKSLQLAKQLSCRWSTGAGPRIGCVRDYPSELQFQALEEVCLSPKTSSFRFGNTNGERSNMRKKSYSMDNACMPNIEAP >KVH90637 pep supercontig:CcrdV1:scaffold_22:13035:19567:-1 gene:Ccrd_007267 transcript:KVH90637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 IQIHNHHWISHSQKFTWNRILKHNHPLPIEFTHLAFAMALKTIIPNSSTSHFPHLSVVHLPPSCILCHRDTLIRQTYPAISLRKSCYFLFPIPLEILSTGRSKRYVKSTEESTEETSAGNDDDDDSGEESYQSSDEFDRITTGGSRRNVSSLSDALNLGSRDPVYEPVTLKTYSQGSCGSKFKRNGLNQKSSQTASTKVKWFLISGLRPRDIRSVDPSLWLTNTMPSLLVRENAILLNLGSLRAIAMQESVFIFNYNRRGGKAFIDDLLPRLNPKSMIGGGLVMPFELEVVEAALHSRIQHFEHRLMDLDPHRNLCIVLQVQDLLKVLPNRLTAGILEQLRICKQTLVELGSKAGALRQMLLDILEDSQEIRRLCIVGRNCILGRNSDVECSVPLEKQIAEEEEEEIEMLLENYLHRCESCHNQAERLLDSAREMEDSIAVNLSSRRLQVSRFELLLQVGTFCLAVGALVSGIFGMNLRSYLEEHVFAFWLTTAGIVFGAVVAFFLTYSYLRSKKIL >KVH90709 pep supercontig:CcrdV1:scaffold_22:302027:305810:1 gene:Ccrd_007290 transcript:KVH90709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEPPWLDDLADDLLSISFNSTTTTTTTTTTNDINRRTSSGSETTWTTATSSARHNLPLPSAATEPHAPSGDPCWDAVHRCRSESGGNLSLTDIRFLHRLGAGDIGSVYLAKLKCSPSPSSTAVGSAVFAAKVMDKRELASRNKEGRARTEREILEMLEHPFLPTLYASLESPKWSCLLTEFCPGGDLHVLRQRQPCKRFPESAVRFYASEVVVALEYLHMLAIVYRDLKPENVLVRSDGHIMLTDFDLSLKCHLSTSTPAQVNPVYRPLHPPKSTCILPSCIVPAVSCFHPIRKRKNKPANHGAPEFVAEPVDIRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFLFELFYGVTPFKGMDNELTLANIVARALEFPKEPLIPPMARDLISQLLVKDPGQRMGSMMGASAIKHHPFFHGVNWALLRCTTPPFVPPPFSHHEVPPDRGGCAATPVDYY >KVH90662 pep supercontig:CcrdV1:scaffold_22:628269:631429:1 gene:Ccrd_007325 transcript:KVH90662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MRNREISREREGDRQRPMAILYALVARGTTVLAEFSAVTGNAGAIVRRILEKIPFSYLEDIQMRFMKNYGRVAPYAPAYGMNDEFSRVLHQQMEFFSSNSSADTLKRVRGEVRTIMVDNIEKILDRGERIELLVDKTSTIQDSSFHFRKQSKRLQTALWLKNMELL >KVH90717 pep supercontig:CcrdV1:scaffold_22:530561:535852:-1 gene:Ccrd_007312 transcript:KVH90717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MLKERQKVERERDMFEKKTGPFFELIILNQEWRERRFCMASHRVGETGLSDSGPSHHHHHHQHLPYSVFPAFNPPNTGFINQEGSAFDFGELEEAIVLQGYKIHSDETKLPLYTTVPRPAATLDMFPSWPMRVHQTPRGSNSSTDSGSAVNAAIASKPETHSHIEAESPISSNSRKASSDPQQQQQEAIYRQQLQNLQIPTQQLEMESEGGSPVTTGGSSHSQQAPKPFSEKKKGIGSTSEKPLDVKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQDLQRARSQNREFVMYLAIRVVLVFFICYCIVLVTKMVMLYRGCSWEVEVVEATLVLMVLDPVCAGAAIFDMEYTRWLDDDQRHMAELRKGLQSHLSDGDLRVIVDSFVAHYDEIFQLKTVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKVSHFLVITNYNIFSIMLSIQLDPLTEQQVVGIYSLQQSSQQAEEALTQGLDQLHQSLVDTIASGSVNDGVHHMAVALGKLTNLEGFVRQADNLRQQTLHQLHRILTVRQAAKCFLVISEYYGRLRALSSLWASRPREAMVSDENSCQTTTDLQMVQSSHIHFSNFG >KVH90716 pep supercontig:CcrdV1:scaffold_22:545929:547200:-1 gene:Ccrd_007313 transcript:KVH90716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVPVEVIGNILSHLGAARDVVIASATCKKWREACHRHLHMLSFNSDDWPVFRDLTTSRLEILITQTIFQTKGLQGLSILMDDVDEFSASAVIAWLMYTRETLRCLFYNVRTTPNVNILDICGRQKLELLVLAHNSITGVEPNYQRFPFLTSLSLSYVSISSLDLNLLLTACPNIEFLGLISPEIAITDAQVTVELSSQTLKKVYVEAISLDKFTLEADNLEKLHLKDCALELFELSGKGTLKHFKMDDVSILHLDIVEPVDGLEIVDVSNFTIIWSKFYQIISKSSKLRRLRLWNVVFDDEIEFVDLESIALCFPDLSYLALSYDLRDDLKEGILHYSLQGSSPMENVSVLDLGWTVLDEHFADWVACLLRRCPNLKKLIIRGVVSESKTHVECHMLASFTSSIVQLMRKYLHVDVQFVYE >KVH90721 pep supercontig:CcrdV1:scaffold_22:566617:567896:1 gene:Ccrd_007316 transcript:KVH90721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein FPQKFPIFLEKLQLFQKDFESGFIELIELSSTLCFPESFVGTFGLGVGINYGQIANNLPSPSRVSTLLGSLNISRVKLYDADPNVLTAFANTNIEFIIGLGNEFLQKMQDPQQSQIWIQQKVQPYLSRTRITCITVGNEVLGGQDPQLPQYLLPAMKAMYQALVNLGLSSQVYVTTAHSLQILKTSFPPSSGAFRDDLVQYIQPMLSFHAQSNSPFLINAYPYFAYKSDPKNVPIEYLLFEPNSGTVDQNTNLKYDNMLYAQIDAVYSGIKALGHTDVQVRISETGWPSKGDEDEPGATVENAGIYNRNLLRRMQEGQGTPAHPSQRIDIYVFALFNENMKTGPTSERNYGLYYPDGNPVYNLGVQGYLPRIDYSSSAKNALCILRLSGLLLGSLLLA >KVH90702 pep supercontig:CcrdV1:scaffold_22:667620:674215:1 gene:Ccrd_007332 transcript:KVH90702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRHHHQPAPHHEPPPPVVHVSHHTPHHQPSPPPPVVHVTHHTTHHTPKYTDNKPTVRFYSKAKPDYSLTIRDGKVILAPTNPSDHHQHWIKEEKFSTRVKDEEGYPSFALVQLTEYNPDKLDESVLWTQSKDLGDGYHAVRMVNNIKLNVDAFNGDKEHGGVHDGTKIVLWEWKKGPNQRWTVAPFYNS >KVH90665 pep supercontig:CcrdV1:scaffold_22:598396:598869:-1 gene:Ccrd_007320 transcript:KVH90665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSASLINHKPDFNHQHYTMSLESLSICTEGLGFESFDDVEDQLETDSHEVKLGTTTTTTTTTTTKRAAAISMLGDGGKRSRITGSELPPPISSLGRSGKPWVCLKSYRENGRFILKEVRIRTHESLHASREDGRLKLKFIQFDDDDDDDVIASH >KVH90701 pep supercontig:CcrdV1:scaffold_22:690656:692672:1 gene:Ccrd_007333 transcript:KVH90701 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEGGTMVVNEGDDALIGLPPGFRFHPTDEEIITHYLTPKVVNRSFTASAIGEVDLNKCEPWDLPRKAKMGEKEWFFFCQRDRKYPTGMRTNRATDSGYWKATGKDKEILKKGKSGGRLVGMKKTLVFYKGRAPKGEKTNWVMHEFRLEGDFSYYNMSRASKDEWVVCRVVQKNTEMKRNPISDSGMTRMNSFVDELLDSPPWLPPLMDPPAPHFDPDRAGSSFTSSDKIITNNHTENSSVQKFKGLVDHENCPSYFSHDQSQMLQRDQDYKNFLVSPYNYNFDTNSYQMSPFISDHQLSTHPNFASTLANNFPYLSSPGSTRYMDQASGLEGEAEKVGGQCKVEPYVTSTNQSSKISVTSQDTGVSNDITTEISSSSKHDMSMRPYDHPDDQTTPSVLSELDSLLEY >KVH90643 pep supercontig:CcrdV1:scaffold_22:745698:752777:-1 gene:Ccrd_007340 transcript:KVH90643 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MELSLSSSSPRVYGTSPHYPKSSINTKCKFHETVFKCPETSTLRTNSYSIKRSSTLVSSRCPKQFSIRACSEVGAAGSEPALDKVADFKDAFWRFLRPHTIRGTALGSVSLVTRALLENPNLIRWSLLFKAFSGLVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVLSFAVVGVIIVGMNFGSLITSLYCLGLFLGTIYSVPPFRMKRFPVVAFLIIATVLSVPPALQFCSPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTFATKLGVRNIALLGSGLLLINYIGFQGKLDDTIPCYLGFMFDFPGLGT >KVH90667 pep supercontig:CcrdV1:scaffold_22:608051:612862:1 gene:Ccrd_007322 transcript:KVH90667 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MSGRSFAVGFMIMFLFMNLTGYCYGGLQFGFYNGKCGASDVEDIVRKVVISKFFNDRTITPALLRLQFHDCFVNGCDGSILLDGTNSEKTASPNLSVRGYDVIDAAKTAVERACPGVVSCADIIVIATRDVVSLIYIQCVCVLCIGGHTIGIAHCSLFSDRLYNFNNTGKPDQTMDLSLLTSLRRTCPQGATVDRTANLDQNLFSSQVVDKSFYSQIIKGRGVLQFDQQLALDNLSKSTVAAIAGSSDFTTKFGQAMVKLGALKVLTDTQGEIRRSCRSVNSQTLTTIAFIFLNLTGHCYAGDLRYGFYNGKCRFSDVEDIGCDASILLDGRNTEKTAQPNLGVRGYDVIDAAKAAVERVCPGVVSCADIIVMATRDVVSLVSKLHLVHGSIYFFMEWRRQILCANRKKRWIFISCTKCFNPPISFFIDRLYNFNNTGKPDPTMDLSLLTSLKRTCPQNATVDRTANLDQNPLSSQIVDKSFYSQIIMRRGVLQFDHQLASDRLSKTKVAAIARSSDFATKFGQAMVKMGVIQALTGTQGEIRKSCRAVNPRGPLSFLFN >KVH90699 pep supercontig:CcrdV1:scaffold_22:705966:708812:1 gene:Ccrd_007335 transcript:KVH90699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin ARFLKINPKTPLQYCISKNPFFYNQIGFKNCWRSSMAKIMSNHYKFSACNHGFARNKSDNLSPVMIKMPAASSNLRKSSTVSSAAGDFFGRRVDLGGKRRRVRTQVAAASNAMMSISIGKSLKWWEKGLQPNMKEITGAEDLVESLLRAGDKLVVVDFFSPGCGGCKALHPKICQLAEMNPDVQFLQVNYEDHKSMCYSLNVHVLPFFRFYRGADGRVCSFSCTNATIKKFKDALAKYKPSGCSLGPTKGLDEKDLVELGANRDLSFTYTPKSDHPQTEIVRPPSPPAPSPLPSPSPVAGGLSELPLPRPLKSTSETKEDSKDKTLNKTRGRLKNENETNPQLIKTKIENEDEKD >KVH90677 pep supercontig:CcrdV1:scaffold_22:468997:474147:-1 gene:Ccrd_007302 transcript:KVH90677 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MIAEKPNWVRHEGMQIFSIDIQPGGLRFATGGGDHKVRIWNMKYVAKILHLDPDKPKLELLATLRDHFGSVNCVRWAKHGRYIASGSDDQVIQIHERKPGSGTTEFGSGEAPDVENWKIALTLRGHTADVVDLNWSPDDSTLASGSLDNTIHIWDASNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWTKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWTATFDFLGHNAPIIVVKFNHSMFRRNSTNAQEPKSASAGWANGFSKNGGKESQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGTVATFHFEVKELGHRLSDAELEELKKSRYGDVRGRQANLAESPAQLLLEAASKKVAANITNNSSSTMKSSSGLGIASKVSESLPDLSKNDVGVLGDAANRAATPSTRVSSPVKQREYRRPDGRKRIIPEAVRVPVQGENICGTTQSQTVDFSMKSTENGVVHADAGFREGPNKRQMVGGPDMKERSGVSARATVSESLIIEKVPASADKDGNISVEQIGAPKNLGSLASFRPLSIRVFDNKEGGDAPQVCLEACPKEHAANDIIGVGSTCVMKETEIACTRNGQTLWSDRISGNVTVLAGNTNFWAVGCEDGSLQVYTKCGRRSMPTMMMGAAPVFIDCDESWKLLLVTRRGALYVWDLFNRKCILHDSLASLMASDPKSTGTVKVISAKLSKSGSPLVTLATRHAFMFDMSLMCWLRVADDCFPASNFASSFNLGFPQNGELAALQVDVRKFLARKPGWSREADESRLREVCENFLGPPTGMAEAAAAVSDATNPAWDPCVLGMTKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYKTTNPSLEPPATTTNTILAPPVTDQTTTATATATPVTDQIDTAPPVTNEVAQMDPVSQPMDEEQHPPSSDQMDVDPPGANKSESVPVDEMAS >KVH90687 pep supercontig:CcrdV1:scaffold_22:155972:156722:-1 gene:Ccrd_007279 transcript:KVH90687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phytosulfokines 6 [Source:Projected from Arabidopsis thaliana (AT3G44735) UniProtKB/Swiss-Prot;Acc:Q8LA14] MKHSFHSGVPLIIILLSLLISFSHTSSARFLDTKPPGETTVKLDESINTSEGSLVDLQTINSFYEVMGMEECGSRDEECLKRRVLAEAHLDYIYTQHHRP >KVH90663 pep supercontig:CcrdV1:scaffold_22:637719:639212:1 gene:Ccrd_007326 transcript:KVH90663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene cyclase, beta/epsilon MEALITPFSSPLTSISHKPPSSSSFPPLTTTPFFSRKPHKHLTHRVLNSKFGSFLDLIPENKREPIKFDINWLDPSSTSRFDVVVIGAGPAGLCLAERVARNGIRVCCVDPDPLSMWPNNYGSWVDDLSALDLDDCFDKTWPMASVHIDDHNTKYLDQPYGRISRKMLKMKLLGGCVSNGVKFHKAKVWKVDHQEFESSIVCDDGNEFKASLIVDASGFASSFVEYDKPRNHGYQIAHGILAEVDEHPFDLDKILLMDWRDSHLGNEPLLRVNNSRLPTFLYAMPFDSNLVFLEETSLVSRPALSYGEIKSRMVARLRHMGIRVKRVIENEKCVIPMGGPLPRIPQSVMGIGGTAGLAHPSTGYMVARTLALAPILAESIVECLGSTRMIRGQPLYHRVWNGLWPTEKKLTREFYTFGMETLLKLDLKGTRSFFDAFFKLNPEYWHGFLSSKLSLVELGVLGLSLFGHSSSSSKLDIVTKCPVPLVKMMANLVVESL >KVH90656 pep supercontig:CcrdV1:scaffold_22:170645:172139:1 gene:Ccrd_007280 transcript:KVH90656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase MSINDVPPNAEQHPQTLAVDVTLVRLPHLTDYLPHLQTHTTPLEHNNYYSPPDGFYLSHSDVILRRTIYDLSTNGLYSSHHSAYHRAGPRKQIFYQPQNVRAAIVTCGGLCPGLNTVIRELVVGLWEQYGVREIFGIKAGYRGFYSSDPVRIDVKMVHNWHKRGGTVLETSRGGFDLHKIVNAIEDRGYNQVYIIGGDGTLRGAVKIFNEIHRRKLNVGVAAIPKTVDNDVGIIDRSFGFQTAVEKAQQAISAAHVEAESAPNGIGLVKLMGRSTGHIALNATLSSRDVDCCLIPENEFYLEGKGGLFEFLDERLKENGHAVVVVAEGAGQDMIPRTDAQKQEEDESGNPVFLDVGVWLKSELKRWWERDHEGELFTVKFIDPTYMIRAVTANATDNLYCTLLAHSAVHGIMAGYTGFVAGPINGNYAYIPMDEIAETKNEVDTKDHNWAWVRSVTMQPDFRS >KVH90710 pep supercontig:CcrdV1:scaffold_22:308008:314942:1 gene:Ccrd_007291 transcript:KVH90710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein IESGIARSGAPQHQLKVFLSFANSYYANSSHTLRTIMEEAQNDNKDNNGSLVTVVDETDAQEEPMVGPGPAPVRRPKRPLQFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSAADFFITGSQDGHLKFWKKKGVGIEFAKHFRSHLGPIEGLAVSVDGLLCCTISSDRSVKIYDVHNYDMMVMLRLPYTPGAVQWVYKQGAVKAKLAISDRNSPFVHVYDARAGTNEPIISREIHMNPVKVMRYNHACDTVVTADTMGIIEYWDPTTLQFPESGVNFKLKSDTDLFAIVKSKTAVSAIEVSADGKQFAITSPDRRIRIFWYRTGKLRRVYDESLENAQDLQRSDVPLYRLEAIDFGRRMAVEREIEKTENVPQPNALFDESSNFIIYPTLLGIKIVNLHTNKVARILGKVENNDRFLRIALYQGDQSSKKVRKIPAAAANVNESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPDELMAASDIGKSVTTSLPENVIMHTTLGDIHMKLYPEECPKTVENFTTHCKNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVIKGMDVVQDAQEFQHEHGGAE >KVH90673 pep supercontig:CcrdV1:scaffold_22:487624:490045:1 gene:Ccrd_007306 transcript:KVH90673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNGKKQHQNQRNRKPASYYLEDDEQQSYPLSQPAPSFQSDPNSSLSDEETESDDKQDQNPSVDDDHLPSKFSLYQQSVQSPKGDISYLQNTEWLRSDSRRTAVGLDLDVEALDWCMENNVNKVGADLSSRIFLYHGNVLQPLEAKLVKSNLQNIMHNVTLEEKGNGSESIADSEALPVPPRDIICAFNYSCCCLHSRQELISYFKHALHALSKKGGIFVMDLYGGTSSECELRMQRRFPNFTYTWEQAGFDIIQRKTRISLHFTLQKQQKKKLRHAFSYSWRLWSLPEIKDCLEEAGFRSVHFWIRQMPDSENIKSMEGFGAARDIKYEEVTSFQQQDSWNAYIVGVS >KVH90680 pep supercontig:CcrdV1:scaffold_22:434196:437501:-1 gene:Ccrd_007301 transcript:KVH90680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase MEDKRTSGEKRGFVVRITAVFVEKILRVQPNVKKLYLLIRAADAKSALQRFNTEAVAKDLFKLLKEKHGTNLQNFLSEKVTPKCVNIKLFLHVSTAYVSGEKPGLMLETPYYLGDSLNGTSGLDIEEERRIVEDKLKELKSDQNADDKSIKLAMIDLGIGGDDCGALERKYTGGHSTSDHYH >KVH90715 pep supercontig:CcrdV1:scaffold_22:518079:519963:1 gene:Ccrd_007310 transcript:KVH90715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRRVVGMMMGCLGMSCLRIADQPTRPAPSDCDSPSCVSDDADSVDPKNPRSSSGGIGKIKLSDGRYLAYKERGVPNNLSNYRVIIVHGFASNKEMNFMASQKLMDEFGIYLVQFDRAGYGESDPNPKRSLKSEASDIQELADQLQLGSKFYIIGVSVGSYPTWSCIKNIPERHKNTTQSLSVACGVALVVPFINYRWPSLPDDLIQDDYRKNLSRWTVWISRHTPGLLHWWLTQKMFPSSSVLDRNPKFFSTKDLEVLKNTPGYQLLSKSKLKEEPIFHSLRKDFIVAFGKWDFDPLSISNPFGQSQSQLHIWQGYEDKVVPVELQRFVSKRLPWIKYHEVGDGGHLLVYDSDVCEAILRSLLLGEDPPLYKPKFH >KVH90696 pep supercontig:CcrdV1:scaffold_22:730927:733839:-1 gene:Ccrd_007338 transcript:KVH90696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSYSPSPPRYRSRSYRSPSPRGRHGGRARDLPTSLLVRNLRHGCRGHSYDRRGSSSMRYSRSPRRGRSYDRSPSYYSPSPRRGRYSRSISPEERRYRERMRERSYSRSSYGSMSRSRSPVRSSRSPERY >KVH90690 pep supercontig:CcrdV1:scaffold_22:49698:51313:1 gene:Ccrd_007270 transcript:KVH90690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEDELVNGSGVAGKQDRSPALDFKPVNYNNFNRTNPMSDLFSVRRFHHVEFWCSDATNTSRRFSWALGMPIVAKSDISTGNTTHASYLLRSSQLLFLITAPYSATISTVDTNTASIPTFSHAACRAFIAAHGLAVRSVAIEVDDAELAYSISVYNGAKSSSPPITLGEQENAGVLAEVQVYNDAVLRYISFTKPTIDITSTFLPGFEPIESSSSFPGQDYGLRRLDHAFGNVPELASAVNYIKSFTGFHKFAEFRAEDVGTIESGLNSMALACNNETVILGFCEPIYGTRRRSQIQTYLEHNEGPGFQHLALESEDIFWTLREMKQRSGFGGLEFMPPPPPTYYRNLKKRIGDALSDDQMKACEELGILVDRTDEDTLLQIFTKPLGDRPTIFIEIIQRKGCMIENNKQKPGCGGFGEGNISELFKC >KVH90693 pep supercontig:CcrdV1:scaffold_22:91441:98115:-1 gene:Ccrd_007274 transcript:KVH90693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGAVHEEPIKEAQAFSTTATTITAINHHKQPLDAGALFVLKSKVAPPLLSLPFAFASLGWTAGVLSLVIGAIVTFYSYNLISLVLEHHAALGHRHLRFRDMAYDILGTTKGTNKNYSLSTNDETRIFGIFNAMAIVATTFGNGIIPEIQATLAPPVKGKMFKGLCVCYAVVTVTFFSVAISGYWAFGNEAEGLILSNFLDHDGNPLVYLQPTNEVLEKTFADPTRGEFSARNVVPRVISRSISVILATTIAAMLPFFGDINAMIGAFGFFPLDFVLPMVLFNMTFKPSKRSPMFWLNSSIAVIFSAVSVTAAVAAVRQISLDAKTYKLFANV >KVH90657 pep supercontig:CcrdV1:scaffold_22:294320:298492:1 gene:Ccrd_007289 transcript:KVH90657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MAATSEAVCQVLCSAGPRISHMGLCFNKSNFLVLSKYGSSYRSENGSGCTQIKSSSHQNHRRICAFHIVDGVLCGSNLNNCFRSLNCKCRQTDSVTDLVNEDLNRRINGVENASVLENEKRDVLLNGDLATNGNGGFSSTLPIASISSVEEEAWDLLRASMVNYCGNPVGTIAANDPSDSNILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEDILDPDFGEAAIGRVAPVDSGLWWIILLRAYGKSTGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSAREMLTREDASADLITALNNRLVALSFHVREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWMPNKGGYMIGNLQPAHMDFRFFSLGNLWSIVSSLATADQSHAILDLYEAKWADLVGDMPLKICYPALEGQEWRIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAENAVKVAEIRLARDKWPEYYDTKRGRFIGKQARLFQTWSIAGYVVAKQLLANPEAAKILVNVEDTELVNAFSCMLSTNPKKKRSRKGLKQSFII >KVH90682 pep supercontig:CcrdV1:scaffold_22:501726:503959:-1 gene:Ccrd_007309 transcript:KVH90682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFEAPPFFEWLKPSPPPSSSSSSSSSSSYDTMMFSKLHHHHNQEQDFPHKTIQCLPLLSQLLGEDEKDRVLKIKEEDYNLQKVTVALHIGLPSYGTEIARDSMITNKFFDEFKEEKDDQKNSDMKVQFNITNNDGYTVNNNHDQTRFWIPTQSQILVGPMQFVCSLCNKSFNRYNNMQMHMWGHGSEYRKGPESLKGTQPAAMLRLPCYCCAPGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFTCRKFCTKRFAVKGDWRTHEKNCGKLWYCNCGSDFKHKRSLKDHIRSFGSGHSPKPAWLERFDHDEKECITGSEDEIMH >KVH90697 pep supercontig:CcrdV1:scaffold_22:726644:729830:-1 gene:Ccrd_007337 transcript:KVH90697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISGMASADSMDVLQPALLSAEMISLMEEELDLLRAALKDAAMTDEQLTFLTIGWYAGVLARIRINAFRIELAGDPNTHIVWVENVDATLKALRDIKAGEELRICYIDASMGRDARQNLLSNGFGFECRCSRCMSND >KVH90672 pep supercontig:CcrdV1:scaffold_22:822992:823219:-1 gene:Ccrd_007352 transcript:KVH90672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYLRFAIRYSIASKDFDSGKHHYDGTTTAGDNPLVVAAECPLVVFINSKSGGRHRPELKARLQDLMGEEQVYF >KVH90675 pep supercontig:CcrdV1:scaffold_22:482620:483916:-1 gene:Ccrd_007304 transcript:KVH90675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps4 oligomerization, C-terminal MYSNFKEQAIQYVKQAVHEDNAKAFPFIHERFGILHFRTHLKIREAITLKFTEYLRSPEEIGALIDDAGAGPAANGGDTATKTKDGEGDGEDPEQAKLRTGLNSAIAIRRRFDKLIYIPLPDLKARLHMFKLQRDAPNNKNESDFESLACKTEGFSGSDIAVKDALFESVCKTQDAMFFVKTNEDLWVPCGPKQPGAVRSQELAEKRPTVSKSDLEVHETFTKEFGEEG >KVH90674 pep supercontig:CcrdV1:scaffold_22:493234:494499:1 gene:Ccrd_007307 transcript:KVH90674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 29 MTAEIYSFFRSRSVTESVSVSGFNFDKDHRKGIIMMKRSVRPLFSVVFVFAAAAATLCFRIIVSGGAGDPFVASDVGEIQKLPPVFNATLLTFAAVDLAQDSLRRDVEQLVDGNFRNARNNRQRSFLSAGRYRLDIGARSARGGPLDLRSPEFYRLWLESRRYLQDWWRHRRFESDVMSNMVNVTSKMAGVLGSGRKYRTCAVVGNSGILLKGNLGKAIDSHEFVIRLNNARIGGFEQFVGSKTSLSFMNSNILHLCARRGGCFCHPYGENVPIMMYMSQAVHFLDFAVCNTSHKAPLMITDPRLDVLTAQIVKYYSVKRFLKETGKPLEAWASLHGGAEFHYSSGMQAIMVALGVCQKVGIFGFGKSDSAKHHYHTNQKTELSLHDYLAEYDLYQDLVETPEAIPFVSDKFKFPTVIMHR >KVH90681 pep supercontig:CcrdV1:scaffold_22:494941:498764:-1 gene:Ccrd_007308 transcript:KVH90681 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MKVHESKSQNHPSGDEEKIMTRKQKAEKQQDHPNKKPKSEDNQNGNATTKPLSDIVAEFDNFCKATSQHLSIDQMREILEANNADASGSDDAVVPRCQDMMFYGALRECPVCGGTLRYDGQNYTCTGSYSEWSTCTYNTREPPRLEEPIRFPDFVQESGISDQYWKSKIEKHGGKVANHIIGATCLVVSPSERDRGGSSKVTEALLVSSCPFPYIEITSHFLEFWSKYNYSTLREREIPVVREAWLSDSIEKQEPLPLDAYDVVSDLAVDGKGIPWAMQDPSQEALESLNAELKMYGKRGVHKDSNLDKEGGVIFEKDGILYNCAFSVCDRGRKVNEFCVMQLIMVPENRLHLYFKRGKVGADPRAEERVEERENIDDTIKEFAKLFEELTGNEFEPWEREKKIQKKHQKFFPIDMDDGYDVRYGGLGIRQLGTAAAHCKLDPLVANFMKLGLDAPDLPVAMLTDLHIRRCEEVLLEFVENLSTTKEEQKAGAIWSDFSQRWFTLLHSTRPFIFRDTQDLADHGASVLETVRDINVASRIIEDMTGATIDDPLFDRYKRLGCSISPLEKESEDYKMILKYVDKTYEPVKVGEISYGAAVQNIFQVEVGAGPSYDEIKKLPNKVLLWCGTRSSNLVRHLHKGFLPAVCTLPVSGYMFGRAIVCSDAAAEAARFGYTAVDRPEGFLILAVASLGNDIMEVTSPPEDPKSLEEKKAGVKGLGRKKTDEKEHFVWKDDIKVPCGSLVASEHKDSPLEYNEYAVYDPKQVSIRFLVEVKFEEQDVEYEEVDPAGADAPV >KVH90719 pep supercontig:CcrdV1:scaffold_22:548800:552506:-1 gene:Ccrd_007314 transcript:KVH90719 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b-c1 complex subunit 9 MTTVRKRSVSNLDTPKNPTASLLRSADPSTRVRDTDYSHTITMSAAVRRTGGLFEGLYKVLMRRNSVYVTFVIAGAFVGERAVDYGVHKLWENNNIGKRYEDISVLGQRPTE >KVH90646 pep supercontig:CcrdV1:scaffold_22:797567:802294:-1 gene:Ccrd_007347 transcript:KVH90646 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2470 MKTLVAPSTLRCSISSATSRIHDLDRLLIYKNGRISSSCGITPPLLSHSRSSYIFSATSTSRRRPPISAMASSTQAPSQSASSGDATADVFELIKAHQERAARLPPIEEVKTILHHGMRGVLSTFSQKHDGYPSGSMVDFACDAYGSPILAVSSLAVHTKDLLANSKCSLLVAKDPEDRTDLVIIVHGDAVSVPNEDTDIIRTAYLARHPDAFWVDFGDFQFLRIEPKVVRFVSGVATALLGSGEFTKEEFRAAKVDPISQFSKPVTSHMNKDHSDDTKLMVQHSTSVPVDFAHMLDMDSLGFNVKAGYQGRSFKLRIPFPRQAEDRKDVKTLIVEMLQAAKSSVS >KVH90661 pep supercontig:CcrdV1:scaffold_22:619707:622960:1 gene:Ccrd_007324 transcript:KVH90661 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGWVDCGDYGGDVIGSSWVLEGFSVGVWFFFVPRQEREAQGGRPSRTTASXYWKATGSPAYVYSSDNKVIGVKKTMVFYEGKSRTVKRTEWKMNEYRAIKKDVDNTNTSSVPKLRHELSLCRVYVVSGCTRAFDRRPLGLEPTPMVTSKASQGAPSSQNAVQTYKTASPSNCSSPGSSNRMVDTVGNVGLVPEWEWQHLTG >KVH90669 pep supercontig:CcrdV1:scaffold_22:821328:822374:-1 gene:Ccrd_007351 transcript:KVH90669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNPLVYLDVYQSIDSAHLMAYGLFGHTSNKFENRSFDMFIPLVFWKXGAGGSMHYIEVQN >KVH90688 pep supercontig:CcrdV1:scaffold_22:64124:80010:1 gene:Ccrd_007272 transcript:KVH90688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex AP-2, alpha subunit MALSGMRGLSVFISDVRNCRNKEQERLRVDKELGNIRTRFKNEKGLTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINAVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLFRKNSDVVNVDGWSDRMAQLLDERDIGVLTSSMSLFVALVSNNHEAYWSCLPKCVKVLERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPSTRRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDSEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVHEIIKRHQAQIITSLKDPDISIRRRSLDLLYGMCDVSNAKDIVEELLQYLGTADFAMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAALKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKEIFSIIHEKLPTVSYVYLLDYAFIHNTNNRYSSFNVCKDFDALTATGPRIAESNLGSIPQQRAVEYFALSRKGAALMDILAEMPKFPERQSSLIKKAEDTDADTAELSAIKMRTQQQASNALVVTDQRPANGAPQVSQLAMVMVPSMNDVDHASVDQPVTQANGTLSVVDPQPSAQDHAHVRSAAPAADILGDLLSPLAIEGPPGGSAPSEQNSVSGHEGTLGVDDALALAPVGDHEHTVQPIGDIAERFHALCLKDSGVLYEDPYVQIGIKAEWRSHQGRLVLFLGNKNTAPLESVHAVILPPSHLKLDLSPVPEIVPPRAQVQIPLEVVNLRPSRDVAVLDFSYKFGTSLVNNRLRLPAVLNKFLQPIQVSAEEFFPQWRLLTGPPLKLQEVVRGVRPMSLGEMANLFNSLRLMVCPGLDPNASNLVASTTFYSESTRAMLCLVRIETDPADRTQLRMTVASGDATLTIPTAAKPSAPVAQPTTPAIAASDPGAMLAGLL >KVH90650 pep supercontig:CcrdV1:scaffold_22:275115:275750:1 gene:Ccrd_007286 transcript:KVH90650 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEIIEDDASSSSASSSSSSQKDTNKIVVPPLMKRKAGRKKFKETRHPIYRGVRLRNGTKWVCEIREPSKKSRIWLGTFPTPEMAARAFDAATLSLRGDKSPLNFPDSAHLVRRAKSSSVRDIQEVAMEAAIAFGPKRFENSSVLASTSLRSEQVVVEVAETAFVDEEVLFNMPSFYNSMAEGLVITPPGMKKGFDWNDEFDSDIDLTLWRY >KVH90658 pep supercontig:CcrdV1:scaffold_22:292335:292640:1 gene:Ccrd_007288 transcript:KVH90658 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase, subunit VIIa MTKANPVNPSLSIGHVMSKNGNLELVDISCRMVDEAPFRPRVKLIEKQRLYQSIHRHTHLKGPMDKITSVAIPLALAGSSLFLIVSLPSYSLPYKAMFGKV >KVH90705 pep supercontig:CcrdV1:scaffold_22:342366:345735:-1 gene:Ccrd_007294 transcript:KVH90705 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOP5, N-terminal MLVLFETPAGFALFKVLDEGKLSKVDDLWKDFSSADTARQVVKLKAFSKFENTSEALAAATLLIDSKPTKGLRKFLRAHCDGETLAVADSKLGNVIKDKLQIECVHNHAVMELMRGVRSQLTELITGLGAQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKAVKLMGYRTNAAKLDFSEVLSEEVETELKEAAVVSMGTEISDLDLINIKDLCDQVLSLSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIFHASLIGQAAPKIKGKMSRSLAAKTALAIRLRNLEGRELGRSAGSTKGKPKIEVYNKDQKKGSGAMITPAKSYNPAADSVLGRLEAEAEEAQMDEGKKDEKKKKKKVVTDEEADEDVGKEEKKKKKRRHADEEAGEVQNGEEKKKKKRKHAEADEAETPSKKKEKKKKKTTEV >KVH90712 pep supercontig:CcrdV1:scaffold_22:404979:418963:-1 gene:Ccrd_007298 transcript:KVH90712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase MVVGDVSLENFGVTDFDQLNKMRRQVDVVVNSAATTKFDERYDVALAINTLGSKHVSNFVNECFNIKLLLQVSTAFVSGEKPGIILEKPFKMGETLNGRNDLNIREEENATQERLGQLDAEKADEEVVSSAMKDFGTQRIIDGYIAAYSRGRITCFLADPVKVLDIIPADMVVNAMFVAMVAHINQPYSKIIYHVGSSKSNPITASSYNDTNLRKLLNMVNLSEMDSFFFDSKLLNWEDYFVNIHVPGLLVLASEAPTTLRYLIGDGDLSFLLSVIPICVLVDELQLSSCILLVSNASADLETNASEASQRPGRVMQSHVLNDSSLYDVALAINTLGSKHVSDFVNECFNMKLLLHISTAFVSGDNPGIILETPFKIGETLNGKNDLNIREEKNATQERLEQLTVEKADEEVVSSAMKDFGIQRAQLHGWPNTYVFTKAMGETLLLEGLRKDVSLVIVRPTISSSTYKEPFPGWIEGIKTIDSFIAAYGRGRISCFLGDPVKVLDIGLKYANLIFGGAFNASYLGAQRKIKIALRFAELFRPFVLGHSM >KVH90670 pep supercontig:CcrdV1:scaffold_22:818230:818900:-1 gene:Ccrd_007350 transcript:KVH90670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MFGTLSEETEVKVPASKAWALYGTLELGKVVTGKLVNAVDVVEGDGGVGTILKLTLKPESGFSCYSEKFTKVDNENKVKEAEVVEGGYLDIGFTLYRIRFEVKENPNDDTGASCIVKSTIEYEVKEEAAANASLITNEPIIGIMSIANEHLLKSG >KVH90689 pep supercontig:CcrdV1:scaffold_22:81930:90015:1 gene:Ccrd_007273 transcript:KVH90689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MGNKITDELIEMVRSIVGHDYSKMDVVRALHMANNDATAAINIIFDTPSFKRSEAPRSPKVLSPNATAKTQDAVRNSKRNGVSTVDDEPSLSRSVELTVDKGKEVVNNLNAGSDLNGSSKWWFVGCCGVMGLSTCKGRKIKAGDQVNFTFPPEKWSSGPSPGRFGGGGRGRQPTACSEIVSTDGGLGQIGRIPNEWSRCLLPLVRDKKVQIEGFCKSAPDVLGLMDTINLSVSVYINSSMLHKSHKTSLKVPSSSIDETAIQPLPSLFRLLGLVPFKKVYIFIISQSFLVESGTAEFTPSDLYTRKRPLDIKDSSGVPAPLLNASKTINSSLNVSKVETEETISDNDLDNIVGVANGSELEEMEPPTALLCDLRPYQKQALHWMVRLEKGPCIDDAATTLHPCWDAYHLADTRKFIVYVNAFSGEATTEFPSILQMARGGILADAMGLGKTIMTISLLLAHTERGGALGRYANSQACSESNEVGNGSNQTSSSPKKPSKFSGFDKLRKQKQALIGGGNLIICPMTLIGQWKAEIETHAEPGSLSIYVHYGQSRPKDAKILAQSDVVLTTYGVVASEFSSENAEEHGGLYSVRWFRVVLDEAHTIKSSKSQISMAAATLVADRRWCLTGTPIQNNLEDIYSLLRFLRIEPWGSWTWYLLELMAVVLLSYLNRWNKLIQKPFEDGDARGLNLVQNILRPIMLRRTKFSTDREGRFFDQLLFGGRPILILPPAEMQVIYCEQTEAEKDFYDALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFSDLDKLAKRFLKGGKDTMEEGKEMPSRAYIQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSLNSGLCPVCRKEVSKQELITAPTESRFRVDVEKNWVESSKVSALLCELENFRLSGSKSIVFSQWTAFLDLLQVPLSRNKISFARLDGTLNQQQREKVIKQFSEESDILVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRIHRIGQTKSVSIKRFIMKGTVEERMEAVQARKQRMIAGALTDQEVRTARIEELKMLFT >KVH90652 pep supercontig:CcrdV1:scaffold_22:259201:259656:-1 gene:Ccrd_007284 transcript:KVH90652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLQQVGLPVLGIVAAAAVTFYVVSFSELREKSLNKEWDDDSENGGFRTSLSSRERRSRRKAQKQSNKP >KVH90639 pep supercontig:CcrdV1:scaffold_22:38605:43352:1 gene:Ccrd_007269 transcript:KVH90639 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase SSSLLFPSPLNTFPRSPKNPLFDAGSDPIQYLERERENLYCREKREREMASTTARVDLDGNTIKPMTICMIGAGGFIGSHLCEKLLSETPHTVLAVDVYNDKIKHLLEPDSLPWAGRIQFHRLNIKNDSRLEGLIKCSDLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSYLPKDSPLRQLLFNHLFGQLCVQDPAYYILKEDTSPCIFGSVEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARASGHIFNVGNPNNEVTVRQLAEMMTQVYSKVSGEDSIETPTIDVSSQEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKQAIAKPVAN >KVH90654 pep supercontig:CcrdV1:scaffold_22:218732:224606:-1 gene:Ccrd_007282 transcript:KVH90654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAHNSGTTKRPQTPASDSDVPSSPAIPPSSWAKRTGFRPRFSGETNASDSGQFARTNNPSPASTPSPAPLDLEAGRVRPISAVNGTAPTPQPANAQVQLQSNKDQSVEKRTEAEGSPAPVPPKHGVNGHARIAAATETPAPAPPQPSRRAVRNGDVENVLPQVVDDELVSRHSHMKYRLRDTPGLVPVGFYGFQHYLSMLGSLVLIPLVIVPAMGGDHEDTSIVISTVLFVSGITTLLHVNLGSRLPLIQGPSFVFLAPVLAIIKSPEFLGLNGNFQAYNEGTTGGHYYCISFSSIIGIQWTDDSTLEVNRFTSFLFLLIFTVDGMNLHQTFVLLLRLINPVVVSPTIAAVGLSFYSYGFPQLGACLEIGIVQILVVIIFSLYFRKVSLFGHRVFQIYAVPLGMAITWALAFLLTEAGAYNYKGCDVNIPTSNMISDHCRKHVARMKSCRADTSHGLESSPWFRFPYPLQWGTPVFNWKMTIVMCVVSVISSVDSVGSYHASSALVASRPPTPGVVSRGIGLEGLSSIMAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACVLIILSLVGKVGGFIASIPQVMVAGLLCIMWAMLAALGLSNLRYSEAGSSRNIIIIGFSLFFSLSVPAYFQQYGLLPNSNFPVPTFLVAVILDNTVPGSRQERGVYVWSEPEAARREAGVVKDYGLPFRVRKVFQWVRWVGL >KVH90713 pep supercontig:CcrdV1:scaffold_22:425632:426489:-1 gene:Ccrd_007299 transcript:KVH90713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase MVVNAMFVAMVAHINQPYSKIIYHLGSSMSNPITTSRFTNCISDYFTKHPLTNRQGNPIKNDNRVKLLSSKTNFYMYVAIPYMIPLKLHYNDTNLRKLLNMADLSEMDSFFFDSKLLNWEDYFTNIHVPGLMKYAIKSNI >KVH90648 pep supercontig:CcrdV1:scaffold_22:117122:121637:-1 gene:Ccrd_007277 transcript:KVH90648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase HDT1 [Source:Projected from Arabidopsis thaliana (AT3G44750) UniProtKB/Swiss-Prot;Acc:Q9FVE6] MEFWGVEVKPNEILKVTVEDFKLLHISQVALGEVKNGKKVENIPVRVNFNDKKFVVGTLSSERAPQIIFDLVFQQDLELSHGWKDGNSSDEEDELNFNANGTLQTKPKASIKEVNEEDDSDDSEEVDSDEEDESEEEKVVKPPTKRPAESAQKTPVPAKKAKNNTPQKTDGKKGGHTATPHPSSKPNFKKGNYDSTGNQDSKKLVSDHVHEVAVTTPIARVLLILPASSFPEISH >KVH90644 pep supercontig:CcrdV1:scaffold_22:756901:759740:1 gene:Ccrd_007341 transcript:KVH90644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/S7 MEAVPPLAEDGKLHSDVLLFNRWTYDDVQVSDLSVEDYITATASKHPIYMPHTAGRYQARRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDANPIQIIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KVH90640 pep supercontig:CcrdV1:scaffold_22:20156:23152:-1 gene:Ccrd_007268 transcript:KVH90640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MGMVETSSWRVDVLLVLFVGVLFLSSTEGNGQPLVPGLFIFGDSVVDAGNNNHLETLVKSNFPPYGRDFTDSHPTGRFCNGKLASDFTGENLGFTSYPPPILSKEANGKNLLLGANFASGQSNATSIITGSIYLLSSGSSDFIQNYYINPLLYKVYTPYQFSDILIQAYSHFIQELYGAGARKIGVSTLPPIGCLPASITIFSEDSNECVTKMNTVAVYFNKKLNETSASLKSKLSGLNLVVLDIYNPLYDLIQKPTDYGFFEARKACCGTGLVETSFLCNKESPGTCANASEYVFWDGFHPSEAANKIVADDLLLDGISLVS >KVI00482 pep supercontig:CcrdV1:scaffold_220:95405:96184:-1 gene:Ccrd_021249 transcript:KVI00482 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MKTESPQGQSFHRFFDSWLVELHNNLEQLVSAANRHHDDEHIQDNSSVLSPLIEKTVGHYEEYYKAKSDGAKGDVISMFSPTWLTKLEDSFLWIAGWRPTTAIHLLYSKSGIQLEGRIADLVPLLPVGDLGDLTSNQINQIDELQRKTVREERKISEKMAKLQESVADTPMVNLSNEESEKNRNGNDDGGRNGDRKVDSALEPKKDELEEVLHKADGLRMETLKSVVEILTPMQAVYFLIAAAELHLRLHDWGKKSDAV >KVI00484 pep supercontig:CcrdV1:scaffold_220:49627:64144:-1 gene:Ccrd_021247 transcript:KVI00484 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MTDNHKRRFLTCVEGDRISNLPEHLIGSILERLAVQDAVRTSVLSKRWRYKWTTMTSLVLDEEFAKKIVKYGAFGLNGFIRIVNQVLILHPRPISKLTLYIPNMFLDSFQEVDQWMLFLSRNGVRELVLINANWLYLLPSHVFSCSELRNLKLANCIFKSPLGFVGFPYLEDVFLRNVVFGTNFSGAMVNLPRLRKLTLHSCTHVYNLDINAPNLQCLSLVTCPDAMLCRLANNPSLTVVGITLRRPLENFLRVEYMNLARLLSILRNVQQFFIDGHFIKDRNLSCVEEDRISNLPEHLIGSILERLTVQDAVRTSVLSKRWRYRWTTMTSLVLDEQFAKKIVKYVAFGLNGFIRIVNQILILHPRAISKLSLYIPNISLDSFQEVHQWMLFLSRSSVRELVLFNANSFYPLPSHVFSCSELKKLKLENCIFNTPLEFEGFPNLKDLFLKNIVFGPNCCVTKFLSADKMSNWLLHADNSIEFLWFKDIQLSDMDQVQSVLSLLQTSPNLVRLDIIYSPMPQGMHYNVEPALNHLESPNCLGRTLKQLETMSIKSLTGSRTQMLFRKLLLAHSPSHDKLTIIVSKTSDALQRLNIAKEIMQFPRASRQAEIIYLDPRS >KVI00488 pep supercontig:CcrdV1:scaffold_220:271100:271997:-1 gene:Ccrd_021260 transcript:KVI00488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MMPKKTKGCQKIEIVKITDDKSLAVTFSKRRSGIFRKLSELGTLCGAKTAIVVFSPIGKPYSFGHPDVETIVDRYLVTSLFVESRPNANTEALTRQPADMLGHLEVQKKVGKELDEIKKARERSYWWGAPIETLGFEELEKLKVALLELKKDRGKQAERLMLEAANPTPTIPLSELGKFCGAETAIVVFSPTGKPYSFGHPDVEMIVDRYLVNSHFVEFHPNANTEALTRQLADMLGHLKV >KVI00495 pep supercontig:CcrdV1:scaffold_220:364490:369627:1 gene:Ccrd_021268 transcript:KVI00495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSSSSTSTSPQPTKPPIIKKSIPPPSSNSKPNWVLKHKTPNLQHLYTIGRKLGQGQFGTTHLCTEKSTGIPYACKSIPKKKLICREDYEDVLKEIQIMHHLSEHPNVVRIKGTYEDALYVHIVMELCAGGELFDRIVQKGQYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFSTTHEDAALKATDFGLSVFYKPGETFSDVVGSPYYVAPEVLRKHYGPESDVWSAGVILYILLSETEIGIFRQILQAKLDFESEPWPAITDSAKDLIRKMLDRNPKKRLTAHEVLCHPWIVDDKMAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKEGLRKVGSELMESEIKDLMDAADIDNSGTIDYGEFLAATVHLNKLEREENLLSAFSFFDKDGSGYITIDELQQACEDFGLGEVRLDEIVKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRTNLNLGEAFGVVVPEKSL >KVI00483 pep supercontig:CcrdV1:scaffold_220:52291:53765:1 gene:Ccrd_021248 transcript:KVI00483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRKKRFLSCVEEDRISNLPEHLIDSILERLSVQDAVRTSVLSKRWRYRWTTMTSLVLDEQFAKKIVKYVAFGLNGFIRIVNQILILHPRAISKLYLYIPNISLDGFQEVHQWMLFLSRSSVRELVLINANSLYPLPSHVFSCSELKKLKLKNCIFNTPLEFEGFPNLKDLFLKNIVFGPNCCVTKYLSLVTCPDAMLCRLANNPSLTVVCITLRKPIENILRVEYMNLARLLSILPNVQRFIIDGHFIKFLSADKMSNWLPHADNSIKWMWLLDIQFSDMDQVQCVLSLLQTSRNLVRLGIHYSPMPQGMHYDVETALNHLESPNCFGRTLNQLETVSIESLTGSRTELLFIKLLLAHSPSLDKLTIIPIKASDALLRLNIAKEIMRFPRESRHAEIIYLDP >KVI00491 pep supercontig:CcrdV1:scaffold_220:337741:344297:-1 gene:Ccrd_021265 transcript:KVI00491 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MDTSRRAVESYWRSKMIDVATSDEDKVTPVYKLEEICELLRSSHVSIVKEISEFIFKRLQHKSPIVKQKALRVIKYAVGKSGGDFKREMQRNSVAVRQLIHHKGQPDPLKGDALNKSVRETAQEALSAIFAGEDSTKPPPKEGLTQRIEGFGNTNFDMPPDDNKSFLSGVVGIGSATIKQGLNSLTQGQTQSKNKTGTYRSPNLRRSLTNETSYSGSNERHNETMPSSRVSTDISGHWGQELKITQTDNTGGSSGSNSSREKSREERLLETIVTPGGIRLQPTRDALQAFLAEASKLNALALSKALEAKLQSHMWQVCVKGLCVLEAILRKKDDEHFSIVASYFTENIDAVVQCSESPQASVREKANKVWSKATHSLVSGYAALLHPSLLISWPKEALVLSILNGEQSGSRMSQPDRNLKTEKTVVQMPDLIDTNDPNGNEHSFSMKDESSASLIDGFLGDGLGTDVHNNQPGNNDDPFADVSFHGQSNKEEHESADIFSGMATVDKPGAVFTQTMASGSGPELFDIFGSDSGIPQGSQGNAKDDLNDLMAGLSVNGNESSSLQNGTSLGGPTETLFSDSTANPNPQMSDVLSNILQFQAPGITPNPIFPIDPKLYNGQSSGLMFNPMAFGSQPINYGAMSNLLAQQQFLSTMTNLQQQTGNLQSQNIGPTSNPSGTQVGGYTSALPDIFNPIIASQAPTSTMNASKKDDTRAFDFISDHLAASRDPNRILSRDVIMYIEVDVDGCVEVRRYDCQELMSAIKVVIRKRSQRVTNVGKFTVFFKDVL >KVI00497 pep supercontig:CcrdV1:scaffold_220:257529:266449:-1 gene:Ccrd_021259 transcript:KVI00497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELVNAATSEKLSEMDWTKSIQICELVTRDHRQARDVIKAIKKRLGSKNKDTQLFAVMHFYTQILFWPLAFTSPRIMIFLTICWHFMIIFFQLLEVLMNNIGEPIHTQVIDTGILPLLVKLVKKKSNSDLPVREKIFLLLDATQTSLGGASGKFPQYYSAYYDLVSAGVQFSQRPEVLPKNYSTSDSSKSNSSNGELATTGNDRSAPPTAPQAVPESRYMLLSFLTSYQSPPMHKNMGFSHQNRSMELLNLEENYFWWLEPWSCNSDLTTPSIPRYLKSLIWVPKNVLVSTSAHEAFSGKFCYHLSLNSIIQKAGSALEVLRDVLDAIDTRYPEQAKDEFTLDLVEQCSFHKQRVMHLAVTSRDEKSISQAIELNDQLQQALSRHEALVSGRSTLTATQVDHEDAEEEDPEQLFRRMRKGKACVRPEAENHQTDRPLGLLGSAVPADRLNRPLIRPISLEPKQGPITTRPAAAVSIPPPPAKHVEREKFFQENKADGSGLGGHMRGLSLHSRNASSSRSDSFDFSD >KVI00486 pep supercontig:CcrdV1:scaffold_220:21540:35576:-1 gene:Ccrd_021245 transcript:KVI00486 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MKMENHKRRFPTCADEDRINNLSEHLIDSILERLPFQDVVRTSILSKKWRYRWTRMRVLVLDKQFCQKIAKNGAYGRNGFIRIINQVLILHKGPISKFSLHIPKMYLYSFEEIDELMLCLSKNSVRELVLTNSNRRYELPSYVFSCIELRKLELENCIFKPPLEFKGFFNVVNLFLKDIDFGANSWETPFSLPHLKRLCLHTCTNVYNFNIKAKKLKTLIVITCPQANVLRLLDSPCLTVIALSFQKPIKDFVRVERVNLTILLSKLPKIEQFYIDGHFSKPQLHDDVGASSNHLEAPGCLDQTLKRLQLADISLEGSRPELLFIKLVLAHSPSLKKFFIRPSGPHDAQKWFNIAKDTMQFPRASPNAETVYLNPKP >KVI00505 pep supercontig:CcrdV1:scaffold_220:155197:164556:-1 gene:Ccrd_021253 transcript:KVI00505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KVI00500 pep supercontig:CcrdV1:scaffold_220:215267:217880:1 gene:Ccrd_021256 transcript:KVI00500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEYAAGGELFDRICTAGRFSEDEARYFFQQLISGVSFCHSMQICHRDLKLENTLLDGSRAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPSDPKNFRKTISRIMSIQYKIPDYVHISQECKQLLSRIFVANPSRMESTQNNYYQKDNPSFSLQSVDEIMKIVAEARNPPPSSKSVGYFGWGAEEEDEEKEEEVDEEEVEEEEEDEYEKRVKEVHASGEFNII >KVI00501 pep supercontig:CcrdV1:scaffold_220:222079:237166:1 gene:Ccrd_021257 transcript:KVI00501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSTYKNWEFERTQCKGPLTESCSKGGKQLLGSLKILDLSFCEQLRTLGGFLEFPALERLILVKCKELTEVCGTIENCVKLVLIDLSYCNMLKKLPRAVVKLKKVETLLLDGCNLGEFPIDMNLPEMVIPRDFRSFVVSLSSSLACLSLKNNNLCNESFPMDFSSLSMLKELYLDGNSIMSLPNCVRSLPRLEKLSMEKCLMLKTFEQYPPCTLKELKFDRCHSLQKIVFDKEMSPLTFSMNPCCTSDSRDVIEGMLKVDAMKLVPKEVVCGLGWTNLESVNEQQMVYEFGIFSTIYGGEELPNWISDHISEESSISFNIPSSSHKLRGLNFCYVFMARLPYYLYLPRIIISNKTKNHTWIYHRYSYHVMPHAECEILLSHWMFGKNEMEDGDQIMITISKGDVNGIRKCGVSLVYDDDQVKMEEEDPLSDYKLWNHIIGGNLSPFQTTPGEYILDVRRFFCGTGFQDVNIMKNTELSLQVSPTEVETLEVSPTEAQSLEIEAMSHVAEEVSRRLGWSNLEFINIQLVETKDLMTGTHKSQVQMVYEFGIFSTWFKGKEIPNWISDRRWEGSSISFTIPSSPNNLRGLNICFAFTNPNAGYFVGDSYHLLLSSIRISNITENHTWIYNRYNYSITPIPECVILLSHWMLGKNDIKDGDQVTITVRGYGTGSIIRECGVSFVYDDDDDDDDGEHKMEEDVLDYYKSWNHIIGGDLSAFLTTRGEYLLDVTRFFGWTSLYCPFFEDHCYYEEKHPTFRAFSPRKSNVFVKDITE >KVI00492 pep supercontig:CcrdV1:scaffold_220:328316:330650:-1 gene:Ccrd_021264 transcript:KVI00492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MIWVQLVDKVWMEVSERLNQVCISNRYAFSFIQVMTFGNSFQEHWDAVISSRSASSVSASKRAFKATKECARNLTDIDLFTQHLEEWILENSHDLDHGFSSPFLLDELQNLDFALESVLFQQLHRMPCSYNSKEEEFLALEDFLHAISDSLWHTLWDENGSSPYSVWCPRYSGSKFYTLEKAISRRRLDSLCGAALVLKNELDPHVRWDQVVQFALFRPHIMNRNKLTLSSTSICEALFFGARILLSRTLSKYNTASSDCVYVLVLDSSFGGVVKLAGDLGKLEFIDGNPYLSVVNWIKHHAAVNVSEVDRIWNKLGNANWRDLGSLQVLLATFCSISQWSGKAKRSITSLASDHSLRLRERRIERQLEVENSVVPFHHDQDTIKHEKYDQDQRLKLNQGEVLELEDLQDRFQVRDLLQGWNGGCYGAFALEFPTEPLILYVGAHPTRLEPSWEDMSLWYQVQRQTKVLNIFRDQGICSKNLPQIVASGRILHSGTCNRQSPKGRCDHPLCGTPILVTHPVGDLLSSKSIPFTCDDAIRCCRDCLSALKSAKSANVQHGDICPENIITTNGLYVLVSWGRAVLDDKDSCPAVNLQFSSAHALQHGKLCPSSDAESLVYLVYYICGGKMATQQQVDSIESALKWRQRCWSKRVIQNHLGEVSSLLKAFADYVNSLLGTPYSVDYDVWVERFNKVVDGI >KVI00485 pep supercontig:CcrdV1:scaffold_220:41153:48517:1 gene:Ccrd_021246 transcript:KVI00485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirtuin family MEGIILIFSNSKMQSFNNAREVLARITTGKFHGKAMTISFRGSVKHLQTVCRISVPGSSWESVKNPPSNSLKDRKLVPDSDPPSTKDVNLLYEFFDRSTKLVALTGAGISTECGIPDYRSSTVSSLCAQQFIRSSRARRRYWARSYAGWRKFNEAKPSVAHTALSSLEKACRISFMITQNVDRLHHRAGSSPLELHGTVYTVGCVDCWFSFPRESFQDQLKSQNPKWAEAIESLAYDSKSDKSFGMKQRPDGDIEIDEKFWEEDFHIPTCSKCNGVVFFGDNVPKDRANIAMEAAKGCDAFLVLGSSVMTMSAFRLVRAAHEAGAATAIVNIGITRADEFADLKINARLGEILPRLLNTGSLSVPAI >KVI00504 pep supercontig:CcrdV1:scaffold_220:131006:153112:-1 gene:Ccrd_021252 transcript:KVI00504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 4 MATNLKLFLSSKPPPHDNYHHCTRTTNLSRHLLPFPVSNRTFCSLHSFRSSKNNSNDYSRSRRRSYRVCNKAADVQSKDVSDAAEVTVLAGRDRLLKILRLEVQVPTENIRNFSIIAHIDHGKSTLADKLLQVTGTVPSREMKEQFLDNMDLERERGITIKLQAARMRFMHEDRPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQASLSIFYRVGPFSLNYLCYYGLPWFQDGVEAQTLANVYLALENNLEVIPVLNKIDLPGAEPNRVVQEIEEVSSQEAIVIGLDCSNAIYCSAKEGIGIKEILNAIVQRIPPPLNSAGRPLRALIFDSYYDAYRGVIVYFRVIDGTVKKGDRILFMASGKDYFADEIGVLSPNQLQVDELYAGEVGYISASIRSVADARVGDTITHYSRKAEQSLPGYKEATPMVFCGLFPIDADQYSYFLSYYLPQKLHCYGTFLPSSVISLIGIIRCNRFPDLRDALDKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCTNGETVECSNPSLLPEPGKRRSVEEPIVKMVGDFFDQLKSRSKGYASMEYSFIGYKESDLIKLDVLINSEGVEPLSTIVHRDKAYSVGRALTQKLKELIPRQMFKVPIQACIGTKVIASESLSAIRKDVLAKCYGKCGDISRKKKLLKKQVIAAGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >KVI00502 pep supercontig:CcrdV1:scaffold_220:116167:119700:1 gene:Ccrd_021250 transcript:KVI00502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF869, plant MDRRSWLWRRKSSEKSPGETESSVNLNQNTQSIEATPKADQRQNEHNHGVKTLSEKLSEALLSINAKEELAKQHVKVAEEAVSGWEKAESEVLALRQQVEVLTVRNSTLEDRVVHLDGALKECLRQLRQTREEKDQIALEVLEKKNSETESTLTSIDTELHYKLEMAEKENSDLKLELSSIAEELEIRLIERELSNQAAEQASKLQLDSIKKVAKFEAECRRLSSALQKANDHRSCLNNRSRTRRRPSKLENNDSRRNRMDSHVEIDLMDDFLEMERLVALPEVEERLRKVDSLEIVLKERENELTVSKNRLEEAGCKLVECENNLKASRRRLEESEYKLAERENELMAQLKEAECNSAEGENELKASRSRLEEVECKLADHENELQGSRNRLEEAECKLAEREYKLMVSRNRLKEAEYKLAEHEKELEGSRNRLEEAESMLTERENELKASRNLLEEAESKLVESKSRLEMAEVGLETTYTKKEESISRCSSLEAELEISLQRVKSLESNVQKVEVKCRELEAEVSRLQHEARSTKSENPAKELRFLRLKQDTGLAMAGSKFAECQKTIASLSQQLKTLATLDDFLIDTNDS >KVI00489 pep supercontig:CcrdV1:scaffold_220:307422:316858:-1 gene:Ccrd_021263 transcript:KVI00489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFLPNEATCNPFYEIKTLETTCEQATMEQGEGSGSKPSDEIWSKLVPSDSRYSDVELWSDEAEIRSEVKVSSFEKEGWCKITRNLDLSSAMLQNNSSNVISVDGTDVQTKDTIMIRCGSEIIPGPASEGYLSYRFKVMPMNESAKKVLKIPIMQNAAYEILQSDSSLRRSDKELAALNSYALIKSPFVIDNGKKSRRKRSRLQPEEDSLGCDRAFCGAYWHSQQVTRSDTHHMCALETFKPIAERTLTIIPFLAHERNRHEQDITERCILQMGKTLQNVVSEWITKSDKDATESYRDDYCRNTYLQLLPGDALQREDCWYGYTCRTQHHNEDHARKRNHVCRPTRGSIGN >KVI00499 pep supercontig:CcrdV1:scaffold_220:185103:206559:-1 gene:Ccrd_021255 transcript:KVI00499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGRAIRVLNVAEKPSVAKTVSGILSRNQGLRMREGRSRYNKVFEFNYTIQGQPCQMLFTSVTGHLMEIEFEGRYRKWHACDPVDLFQAPIQKHVPEDKLDIRKTLEEEARKCQWLVLWLDCDREGENIAFEVVEVCSNVNSRLNIWRARFSSLIEREIHQAAQQLVRPNQLFSDAVDVRQEIDLRIGASFTRFQTMLLKDAFVFNFSTDGRNLVLSYGPCQFPTLGFVVERYWEIQAHEPEEFWKIQCSHTTDEGTATFNWIRGHLFDYTCAVLLYEMCVQEPVATVTKVRQQERLKYPPHPLNTIELEKRASRYFRMSSEQTMKIAEDLYQSGFISYPRTETDSFSARTDLHILVQEQQEHPVWGPYAQRLLDPSSGLWRNPSGGGHDDKAHPPIHPTKFSPGQSGWSEDHRKLYELVVRHYLACVSQPAVGAETTVEIDIAGEMFSALGRTIVARNYLEVYRFDSWGGSLIPNYTFGQQFTPTSLTLDSGTTRPPPLLSEADLLDCMDKGEALVMGYDDMGYELWKPYLRSMMEEDMKAVSVGNKRKAEVLATCLQQMKACFLDARLNKVKLFQALEIFFDRTNRTGGDEQHNIGELVRRCDVCEDSDLVLRKKPCRNAIWLPGSISEAAVTTTVCNVCTPGPIFKIQFTFRRLEIPPDFSVHHLGCVGGCDDIMRELMEISASAHRNFSSVPARGRGTTASSRNNSPNNQPQSTCSFCHQTGHSSSDCYSLTSQSRSAQSQGGNSHNGDSLIPCSTCGSPCLLKTANTENNRGRKFYSCQTQGCKFFVCWEDSVPTTTTSRRGDRGRGGGANGSDVRFVSATGDPVSGRSCFICGDPSHFANVCPNRGIRN >KVI00487 pep supercontig:CcrdV1:scaffold_220:300569:302228:1 gene:Ccrd_021261 transcript:KVI00487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSPCCEKDHTNKGAWTKEEDERLAVESSNSGGGGGVSSSTTSGLSTEEHIPVVNITQPEINLELSIGLPVVSDQKTVAIAALPPSSSFSLYKEKIGDGCGGTARIGDEHGLLYPNEHSVSIGRCDEHN >KVI00494 pep supercontig:CcrdV1:scaffold_220:345746:349141:1 gene:Ccrd_021266 transcript:KVI00494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MALFSRGRIVSSSLFSKLVHHPPIQRSFSSSSNAYNQKSHGSQSGEKASLMMNNNPMFSLDISSQVGSCMPISMMRIGTIIHNIEMNPGQGGKLVRAAGTSAKILKEPAASKLCLIRLPSGVEKLIDSSCRATIGVVSNPEHATKKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGCRGGVSKTPWGKPTKSGFKTGPLKRRK >KVI00493 pep supercontig:CcrdV1:scaffold_220:350190:355350:-1 gene:Ccrd_021267 transcript:KVI00493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hepatocellular carcinoma-associated antigen 59 MKKNKNFRKRDRAVEEDEQTGVAEDGNEMRLALEEIKFLQKLREKKSGVPAIVKTGQAAAASGNNNAGGLVSKGGDKGEGDGEKDDLVLQDTFAQETAVMDEDPHMLRYVEQELAKKKGKNIDEANQVENDIKHAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEIQLPIEFKLKNIEETEAAKKLLQEKRLMGRAKTDSTIPSSYSADYFQRGKDYAEKLRRDHPELYKDKGANSNGESRASDTAAVDGGGGGGGGGGGGGRQAATDEFMLERFRKRERHRVTRR >KVI00490 pep supercontig:CcrdV1:scaffold_220:301956:306435:-1 gene:Ccrd_021262 transcript:KVI00490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 21, chloroplast MAAAQLNSTSVLPSFEGLRSSTSKTSSVVVSFPFRNLTLRSARGLVVKSATTVAPKYTSLKPLGDRVLVKIKAAEEKSIGGILLPSSAQTKPQGGEVVAVGEGRTIGEKKVDIGVKTGTPVVYSKYAGTEVEFNGSNHLILKEDDIVGILETDDVKDLKPLNDRVLIKVEEAEETTAGGLLLTQASKEKPSIGTVIAVGPGPLDEEGNRTGLPLSPGNTVLYSKYAGNDFKGPDGSEYIALRSSDVMAVLS >KVI00503 pep supercontig:CcrdV1:scaffold_220:122976:125824:-1 gene:Ccrd_021251 transcript:KVI00503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRSHSGTPRRRRSRSPISRHKKSRSPTPRRHRRRRSRTSSLSPVESPSPSITSTERKTALAKSRKEEEEKKSYVNQEQARREREELDKMLEENRRRVEEAQRREALELQRKEEERHRELELIQRQKEEAARRKKLEDEEELMKLSSKVKSR >KVI00498 pep supercontig:CcrdV1:scaffold_220:168923:173561:-1 gene:Ccrd_021254 transcript:KVI00498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MQRSRKALLERRALGKPILGKHNFYKVSLSLAVFIWGLLFLLNLWIGRGDGYRDGLEDLPKGLRTWGELKVEPDKESYCSISSDECAATDLDSKNLIKSACISDAEKKERVISQEFQMEEKEPSDLSIATAEQKEIIDPKGSSKTEKSRYVPLRLDEFKNKAFNTKNRPSNDNVGSIIHRLEPGGADYNYASASKGAKVLACNKEAKGASNILSIDKDKYLRNPCSSEEKFVVVELSEETLVDTIEIANFEHHSSNLKEFELLGSSVYPTETWLKLGNFTAGNVKHTQRFVLQEPKWVRYIKLDLLSHYGTGFYCTLSFVQVYGVDAVEMMLEDLVSVQDKKFPSKELESDSNPKDFNAKRDSFDHDSIDGVEHAQSVDESNVNRAVTTIDLPDPLAEVRQQQAGRLPGDSVIKILMQKVRLLDINLSLLERYLDELNSKYGSIFKEMDAEIGERDILMEGIRTDLDSFHESKEALTKQVDDLESWKTLVSVQLDDITKSNAFLRSEVAKVREYQVHMENKGIVIFLVSLIFGSLAIARLFLGKVLFVLHSNNRLEEGSGSSDGSWMFLLSSCMIIIIILSL >KVI00496 pep supercontig:CcrdV1:scaffold_220:239427:256923:-1 gene:Ccrd_021258 transcript:KVI00496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylcysteine lyase MFTAVLIILSLFSLTIPSSSSSDQQPQTTADVCIIGTGIAGSSVSHFLRQYSPTIAQIRMFERHPVVGGRMATVTIAGETFEAGASILHPKNYHALNFTHMLNLKVKGPSPSENSFSLGIWDGQQFVFKTIDSKSKSQLVQYLVSLANSIRMFIRYGISLLKMTGFVEVTVDNFLKYYESRESRPIFSNVEDMLKWAGLYNLTTQTLEDELVGLKYSPLLIQELITVITRINYGQSVRISGLAGAVSLAGSGGDLWAVEGGNWQMAAGLVNRSDVTLHLQEEIVSVTNLGGFYELNSTQGNSYTCQVTVVATPLDELNIQFTPVISIPERKLQHTHATFVRGLLNHAYFGLDAVSDIPELVGTIESPKLPFTCISVLKEHSAEDMTYKMFSRQSLTDTLLDQIFSTRKETLRINWGAYPHYHAPEKFAPFMLDDMHLYYVNAFENAASTMETSAVAAENIARLIISRLSGQQQPMSLYGLKTSTSDSNLQHPEL >KVH91317 pep supercontig:CcrdV1:scaffold_2201:53429:60170:1 gene:Ccrd_006662 transcript:KVH91317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MKSHNFRGMNVVATGGRASRCRCSSIRTRFGVFRVLDEKEMHGVNSQRIMHGFKVYQLTKVSNDGRVITVDGQRRIILSGSIHYPRNTSDAHEPLPYEYDFSGNLSGLLKPFMMKGFMLLFVWGHMFVIFEQAVYIKVSKHVLNEIMGTYRFSYVVA >KVI11365 pep supercontig:CcrdV1:scaffold_2203:4469:4961:1 gene:Ccrd_010226 transcript:KVI11365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MCFYVKLRLACIKGRVGTSINKETTIVCRAGFWSKSKYMFGKFNIQIKLVEGDFAGTVTAFYVS >KVH93475 pep supercontig:CcrdV1:scaffold_2204:63187:67186:-1 gene:Ccrd_004471 transcript:KVH93475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MVGSFVPQPPEFSVSGLGSLPKPFHLITNAAALRLAHFLRFSVNFSHLGHVLQLNRMSHGRSHQFNWFKLPQWPHDAWATTFSSCILFFGGEGNKTNYGDQEKAYLRTPTRGPVNKRILTDILLAINVLVYVAQVASQGKLLMWGAKVNGLIDKGELWRLVTSAFLHANVGHLMINCYSLNSIGPTMEHLSGPRRYLAVYMTSAIASSTMSYWLSKAPAVGASGAIFGLVGSFAIFVLRHNNMVKGGVGDLKHVARVIALNMAIGLLSQGIDNWGHLGGLLGGIATSWLIGPAWKFESASHHGRQILVDKAPIFSLPGTKRAPQ >KVH93477 pep supercontig:CcrdV1:scaffold_2204:77606:84818:1 gene:Ccrd_004473 transcript:KVH93477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MNFAGISNLRFITVKAISLFIVLLLLVIESADASIHVYDRDPFREVGNAYLVSGGSEGLAASRITTPLPRHSSPVTANDGRTYIRLDGLEFLLEDVVVGIGTSFPPHVTAGRQFQNVTFRRSEAAAAKHSKTERRTGLVQVIIFEAADRDNIGGSPYGGQRSICCTSDLAKLEGCKQGEVIRIPSARDSNWPVTVNVYFRGKSLTAQLKTTEAYITKTGMYNLFFISCDPSLKGMTLSGTTAWKNPDGYLPGRMAPLKKFYVIMALAYALLCIAWFSQYARFWDDILQLQHCISAVVAVGLFEMILWYFDYAYFNNTGTRPVAITTWVVTVGSVRRTVSRLLMLCVSMGYGVVRPTLGGLTTKVMLLGVTYFLSTELLNITEYVGTISDIAGRARVILVLPNAMLDAFLIMWIFTSLSKTLTQLQAKRSSVKLDTYRKFSNALVITVVLSVIWIGYEVYFKATDPFNERWQSGWIITAFWDILAFALLCVICYLWAPSQSSQRYAYSEEKGEDSDEETEALYSGTPKGDISLVRQERREKKTDDFDLDEDDEAEEGKRE >KVH93476 pep supercontig:CcrdV1:scaffold_2204:58350:61058:1 gene:Ccrd_004470 transcript:KVH93476 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MAKKPRLFFQVILFMLIMVKSGDCIWLNLPKSGRKCVTEEIHNNVVVLADYVVISDVHIHPTPSITTKVTSPYGNVLHHKENATHGQFAFTTSEAGQYLVCFWADDPNQGGALSVNIDWKTGIAAKDWESVARREKIELEGAVEAIHDNLLYLKGSFRCTTMVLNALFPKEETNLDSGPVTLQE >KVH93481 pep supercontig:CcrdV1:scaffold_2204:51250:51888:-1 gene:Ccrd_004469 transcript:KVH93481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] MEALISQFTFLSDQSLNDKNFDPSTIEDLMKLFELESYKAWAAMELEQEEEVAEAEDSMQEAEDCLDAAMESAMEEFRRFEEEMERESNEELQGLLSIGESARRLGRSMERAANFASNKYIETALNSATASMRSAMKTISSKSKKVHPS >KVH93480 pep supercontig:CcrdV1:scaffold_2204:36974:46149:1 gene:Ccrd_004468 transcript:KVH93480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 MLIGKHPSPAAAVHRSGCSVSQHQSIDVGWNGCSVALLLAVNIPFALPFLCPDRFAKSTDPLLCYVISVDTPLLLLTVSAMGFSGKSEVMNHKRWVLRGESKSEGVFWKMENDGPAIDEKSKGFAANPAPGMEGIAGMLPDCVSVELDSEEVITNLQNAISIYPISSYSGSDVVPKDERGGYTLNHSVESQEKFEEMEDLFTLQITERNWYHKRFSFSVDSYGLDPKQFLNAVFNSNS >KVH93479 pep supercontig:CcrdV1:scaffold_2204:36701:47307:-1 gene:Ccrd_004467 transcript:KVH93479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSQVNEKDAAVKPATATEEKTDPAMKKTSSESSSTDTQSGSIPAIPSMPGAGFAANPFDFSSMAGLLNDPSIKELAEQIAKDPSFNQMAEQLQQTFHGAEGVPQFDTQQYYSTMQQVMQNPQFMNMAERLGSAMMQDPSMSQMLESLSNPAQKDQLEERMARIKEDPSLKPILEEIESGGPTAMMRYWNDQDVLKKLGEAMGLAVTEDATASAANPVADEAEEDVNEDESIVHQTASVGDIEGLKKALESGANKDEEDSEGRTALHFACGYGEVKCAQALLEAGAKVDALDKNKNTALHYAAGYGRKECVSLLLDNGAAVTLQNMDGKTPIDVAKLNNQNDVLQLLEKDAFL >KVH93478 pep supercontig:CcrdV1:scaffold_2204:71768:72589:1 gene:Ccrd_004472 transcript:KVH93478 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein MEDLYSNLHYWLVDHPTITHFQWKPNQTWGASPLFLSLTVLAYLLLTFVLRRASLPTLNSTFLRLISALHNLILLILSLIMAVGCSLSALSQMPNHRWIFCFPPNQTPPRGPVFFWAQIFYFSKLLEFIDTLLIILSGSNRRLSFLHVYHHAVVVVMCYLWLSTSQSLLPVALVTNASVHVLMYAYYLLCALGGRPWWKVLVTNCQIIQFVFSFMVSGLMLYYHFTGSGCSGFSGWCFNAVFNASLLALFVNFHFKNYAKRKKANRNTKSKPL >KVH98824 pep supercontig:CcrdV1:scaffold_2206:30048:36337:1 gene:Ccrd_022947 transcript:KVH98824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAQGKYSRVDGRKSSCSTATIVVVVGVCLVGVWMFMSSSAAPGQNLDLPSAESRQKVSTKSSSQFEDNSGDLPDDAVKDQENTSSEGDTNSDEKESNTNDVETNTGDDSSSSDEKSNSEDTNSNSDSNESEKSNSGDNDSNSDTNETEKSDEAKDESQSEEKVEKEEEKQAEEKQAEESSSDNKTEDLSAATQSEILKESNTQNGAFSTQAAESESEKESQKPSLSKNQEVYKWKTCNVTAGPDYIPCLDNLEAIRHLHGRSHYEHRERHCPAESPTCLVSLPEGYKTPIKWPRSREQIWYSNVPHTKLAAVKGHQNWVKVTGDYLSFPGGGTQFKNGALHYIDFIQNSLPDLAWGNRTRVILDVGCGVASFGGYLFERDVVALSFAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPSKIFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYQNKPEDVEIWEVRGSKWPKRWPKRLESPPYWLKSSEVGVYGKPAPEDFTADYENWKRVVSKSYLNGLGID >KVI11105 pep supercontig:CcrdV1:scaffold_2208:50438:57008:1 gene:Ccrd_010488 transcript:KVI11105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MIAQYETTEPYYLIGGLPTKSEVALPETKTIPSENGNSGETQDKDVMVEGLGSVGAYDQWVAPPVSGPPAVVDDKMYIFGGNHHGRYINDLQETMDGQTKDTVSCDEVWMIADGAVTAEKMVSRGPSMAILVDVPCALVSRLMRTQFEMLDSIPIVQLLLLGRIEMLHSYYMFLLVSVQEENPAIYKLIINACTFTINGAKVNSGLSTILLLHHDTYFTIVLLCGLPTKSEVALPETKTIPSENGNSGETQDKDVMVEGLGSVGVYDQWVAPPVSGPRPKPRYEHAAAVVDDKMYIFGGNHNGRYLNDLQERMDGQTKDTVSCDEVRMIAEKMRPWEGNKLISFVGHSEDPSEVVEGLC >KVI11106 pep supercontig:CcrdV1:scaffold_2208:43689:46526:1 gene:Ccrd_010487 transcript:KVI11106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHRRSPTPLKSLSFNAFSRTRFVNPHHPYRNNLFISSYFTSLQLTPSTAQSHSPIKRKNAPSKWDKEKLRRYSEKLRDCAANRSVNEGKAIHKQIMGSDIELDSHLWVSLINFYAKCGCLSVARQVLADMPQRDVVSWTALMSGFVGEGCGIEGVVLFREMLEDGIRPNEFTLATVLKACCVCLNVEFGWQLHGQIVKSGFFSDGHVGSALVDLYAKCGELEYAEKVCHNLPAQNAVSWNSLLNGFALVGDDQKVLRLFCRMKEAEMKFNKYTLCTILKGCASSGNLRTGQLVHGMAIVSGCQDEEFVSCSLVDMYSKCGLADDALKVFWRIKSPDIVTWSAMICCLEQQGREGKAAELFCMMMSLGLRPNQFTLTSIVSAAKDLGDISFSQCLHACVYKYGFAHETVVCNALITMYMKNGSVDDGFKIFNAMSQRDLVSWNGLLSGFHDSKSCDGLRIYREMLVDGFKPNMYTFISTLRSCSSLPNSEAGKQVHAQVIKDSLGSDCYVGTALIDMYVKSKCMEDAEKIVNRLNEIDLFTWTTIIAGCAQTDQGEKSIHYFSQMRKDGVKPNEFTLAGCLRGCSGIASLKNGTQLHSFVIKDGHVDDPFVASALVDMYGKCGCIDDAEMIFEAMESHDTVLWNTIINQYSQHGEGEKALLAFESMLTKGVLPDGVTFIGILSACSHLGLIEVGREHFNSMSEVYEISLSIEHYACMVDILGRAGKFNEVESLINQMKLTPNNLIWETLLGACKVHGNVELGQRVAEKLFQIEPEVDSNYIMLSNIFAAKGMWDEVAHIRASMSHQEIKKEPGCSWVEVDNQTHVFLSQDTSHMRILEIHKKLEELEECLFSVGYIPNTDYVLHNVPDREKRKILSHHSERLALAFSLINNNSNKMVRIFKNLRICGDCHEYMKLVSSIKNKDIVIRDAKRFHHFQDGACSCQDYW >KVH92671 pep supercontig:CcrdV1:scaffold_2209:33978:39840:1 gene:Ccrd_005288 transcript:KVH92671 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU MNTCKFLRRCSLSCLDFSTTRIKTSTPLLHFSCHHRLSRNQGLGFRLKPYPNFSRAYVSIRSAVSIESTDAGCTSPEEEEEEDDDDERPTVRDLIWRNTNDDVSSFMKMERRTNGPQSNRWFPYLDQFKAGNTFLSSREVLDAVDPSLMDLRKERFMNVVNNRTYSVCLVVEGLSDAGNVSAVFRSADALGFQSVHVVSLDSRKRYREHRHVSMGAEKWLDIELWDTTKECFEVLKSRGYRIATTHVGIETVSVYDMDWSCPTAIVVGNEGSGISEEALKLSDMHCSIPMKGMVDSFNVSVASGILMHHAGGHGDLTPKEKQILLAEFSLRHSRSSISIAHEYAKRKIRSESEL >KVH92669 pep supercontig:CcrdV1:scaffold_2209:4757:12713:-1 gene:Ccrd_005286 transcript:KVH92669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase, AroQ class, eukaryotic type MEAKPLRLPFSPPFSATPFTKSLRSIASFRPPMWKNWNLQTSNKLGFSKDGVQSVQASATSFGVDVVHLFPNRSKNQIRVDESEIYTLDGIRSSLIRQEDSIIYSLVERAQYCYNEDTYDPTAFFMDGFQGSLVEFMVKETEKVHAQVLHPCAQDININRKIWDIYFKHLLPRLVKEGNDGNCGSAATCDSTCLQALSKRIHYGKFVAEAKFRASPADYEAAIKAQDRTKLMDLLTYPAVEEAIKKRVETKTKTFGQVVNVGFGEDATDPIYKIKPSLVADLYGVWIMPLTKEVQVEYLLRRLD >KVH92670 pep supercontig:CcrdV1:scaffold_2209:34261:49856:-1 gene:Ccrd_005289 transcript:KVH92670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin conjugation factor E4, core MSTTTAKPQRSPEEIEDIILRKIFLVTLVDSMGNDTRVVYLEMTAAEILSEGGELRLSRDLMERVLVDRLSGNFTSAEPPFQYLIGIFRRAHEEGKKITNMKDKSVRAQMELVVNQAKKLAVSYCRIHLGNPDMFPDSERTKSNVSPLLPLIFSEVSSSLDAFGGSSGVASCPPGFLDELFRDSDYDTMEPILKQLYEDLRGIVLKCSALGNFQQPLRALMYLISFPVGARALVNHQWWIPKGAYLNGRVIEMTSILGPFFHVSALPDQTIFKGQPDVGQQCFSESSTRRPADLLSSFTTIKTVMNNLYDGLAEILRSLLKNTSTRENVLQYIAEVINKNASRAHIQVDPISSASSGMFVNLSAVMLRLCEPFLDANSSKKDKIDPKYVFYGSRLDFKELTALHASSEEVSEWLNKNNPTSASGGENMLLDSQETTSSGSGTGLLQNNNMASSQRDSTNYSFICECFFMTARVLNLGLLKAFSDFKHLVQEQAPSPRLVQDIARLEKEIEAFTQEKLCYEAQILRDGGLLQQALSFYQLMVVWLVGRIGGFKMPLPQSCPLEFACMPEHFVEDDDFMNFIIMFMASPEYIRNPYLRAKMVEVLNCWMPRRSGSSSVTSSLFEGHQLSVQYLVKNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQILELKELEAEMSNTAEWEQRPAQERQERTRLFHSQENIIRIDMKLAMEDRKSLSLKDPEKYEFRPKQLLKQIVNIYVHLARGDNEDIFPAAITKDGRSYNDQLFTEAANVLRRIGEDPRIIQAFDDLDMLIPDTELKQKIEEFVRSQQRKQQNEEMSMQSSSKSSIQSPDVGRSSSSSSSSSSSGDVQPASVDSIETADRMDTYALEKFG >KVH92673 pep supercontig:CcrdV1:scaffold_2209:63320:68190:-1 gene:Ccrd_005291 transcript:KVH92673 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MIITKQYRCIHSASCVCTKGHLSEDVIFLLLQHLNWNPKIIAILSCVCKWFDDLVKRVLWKEFCRTRAPKMMHDLQSSGSHSVDGNWSALGKLLIYCSGCKKDGLFNKIHIPGHFAYRTRFSRTSGKSFLLPNCRTDVLYVSDPCEHLDQGEEGDVGFFRGVFKSFATSKVRKMLIRRGAKFHPTEFCPYCKQKLWSMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHVLGVCTLLPLSDSEEVSELD >KVH92668 pep supercontig:CcrdV1:scaffold_2209:16694:18599:1 gene:Ccrd_005287 transcript:KVH92668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF179 MEACFLSSNSFTKSMEIVIPSIKSRIFVQQPKKKRSSSQFQIRKATIHSPATCCQSQSNSPSPSSRDEENPSIDADWRLFRARLVAGEQALPSQESSPTTDPDTVPNQPPSISIGDKWAHAIHEPEKGCLLIATEKLDGVHIFERTVVLLLSTGPVGPTGIILNRPSLMSIKEMRSTTTLDVSGTFSDRPLFFGGPLEEGLFLVGGGDGVRKSGVFEEVMKGLFYGTKESVGCASEMVKRNVVGLGEFRFFDGYCGWEKEQLRDEIKGGYWAVAACSPNVIGLDNVGRVGLWEEVIGLMGQKKVW >KVH92672 pep supercontig:CcrdV1:scaffold_2209:52082:60525:-1 gene:Ccrd_005290 transcript:KVH92672 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding MHKCKEKECWEIIHIPDKSPFPPHQQPTVKVYAAVIDPKLTNTLVRKLNQILPLENLRHVKRVCRYAPVSKEEWEEQCKIWPTSYHPPTYNISGITGFSEEESHSVCTFMKLALELAKCKGQMVNAAVIVDPSTNQVIARACDQVHSCSCSINHEVTTSTSFAYRTQNHDNMLLNSSATEPKLLYHGGSCLNPWQWSNQSSCGSSCSWHPLRHAAVVAIEHSAARDRRLFPCSGLAADDLDETDHLRADLTCPSSKKQKTKSMQVKDDDELNSHSNGCHPELARPYLCTGYDIYLVWEPCAMCAMALVHQRVKRIFYGFPNPTAGALGSVHRLQGEKSLNHHYAVFKVMLPEEIHLDEGKSLVLRSL >KVH95688 pep supercontig:CcrdV1:scaffold_221:93893:98549:1 gene:Ccrd_002253 transcript:KVH95688 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein VLSSCSTFEAIDRRSRRFDYLDRRYPVHPFLKFCRLRLFLAKSRFSDLNQLIDIRIGVYKMALNYSQRPIFPAHTSEDNLVSPLRIVNGYVVEGMAEKGGEGFIKPRHGNGEMHDRFGYGREKVDKGGSPDPMSVDIVDLLPSDPFGMDIDISTTFTAITGWLEDLEVDYGAYVRNNIVNTNEDYGLFAGLNLIWNSAMRFQSFPSTEASDNKDGASCMVDKCTMGCQFRSFEGEGVIRPVHCAEDVMGFNHGSTSSLVTKCGDEDDFGHSSNAVGGDPHEAFVLALSYLGTRDLLSVERVCRSLCYNIHNDSLLWRSIYIDQPLNERITDDILVQLTSRAEGNLRCLTLIKCPRITDDGLKRVLQTNPKLTKLSVPGCTRLSIEGILNNLKAFKSHKGSSGIKHIRTGGFYGITHEHFKELKFLLGTSGNMHKNEYNPHYYHRGNLYMPSDDDRDIDVEVCPRCQNLRLVYDCPAESCRVKDQCGDLCRACIICIPRCAQCGRCVHNSEYEETFSLEYLCSSCLKEMPRCQKAQEMEVDG >KVH95697 pep supercontig:CcrdV1:scaffold_221:329704:334036:-1 gene:Ccrd_002229 transcript:KVH95697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MLQTTVIDMDWNTKWDWENLDLFSSKGVASPKKLQSPDWGIKEGEDIDVSFNLSGVVGGSGGSASDVGNNSSAKSSISASTESSFKDGMKVSNFPFEGAGGFPDAFSKEFTGGELNGTSPSLEASVCSSDQSIGLKLGKRTYFENSFARGNTKTCSSPNIPASSVSTVKKLKLSCQSTPIPRCQVEGCNLDLTSAKEYHRKHRVCESHSKSLKVVVGGLDRRFCQQCSRFHSLSEFDGKKRSCRRRLSDHNARRRKPQQETIQFNSTSPSSSFYGSQFNGQQQLSFVFNNVPLVQTKPGGSSIWESTCNSKPLVATVKAEKLGGHDGLTHSVGQFPNAVNMPSLAVNGIMPSKGATANVFDQVESVDVGRALSLLSNNWWGSSCEPDFMHTTNMGLPFEYWQQVDQESHSILKPWNGIQYGNPMDEILKPL >KVH95682 pep supercontig:CcrdV1:scaffold_221:268673:272398:-1 gene:Ccrd_002235 transcript:KVH95682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWEGQKVAEQLMQIMLVVFAIGGFITGYFMGSFQLMLFIYAGGVVLTTLITIPNWPFFNRHPLQWLDPSEIEKHPKPQVTSSISKKQPSKKK >KVH95669 pep supercontig:CcrdV1:scaffold_221:160513:164063:-1 gene:Ccrd_002242 transcript:KVH95669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3537 MSDHSPTPIEHESKEEPTQIKTPLILHDSDQTQNLNEANQDDNEEEEEEGRTHLDKSLQRLNFYLCLLGFDQSSVIRCVISWVMFLVIGVAIPVVVLLLTTNCLSCDLYQINGFELVIVASHACLAAVALLCLSHNLRKYGIRKFLFVDQYSGHVERFSKEYIQKISVSKYPIPVLISVPFPGIYTLASIVGSAMYDLEDCTGNHQCYVHAPSIVVEVFQCPCSSDVIIHFDDYGKLLETETDVLVFIEEHARLRHDLSKISHRFRIYLILVFTVVTSSQFAMLFQITEFSDKVTFINGGDFAVSSIAQVVGLILCLNAAAKISHRAQGIAALATRWHALASCGPDDMSHMRLSNRAMSTVSSESDLEAMNYIPLPTNTQLASYLSSYHRRQAFVMYLQNNPGGITLYGWTVDRGLINTIFFIELSLVLFVLGRTTVFTSESLP >KVH95667 pep supercontig:CcrdV1:scaffold_221:214235:220986:1 gene:Ccrd_002240 transcript:KVH95667 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MLKNDLTLFIAPSSPSIPPVDGEFQSTASIASIASIASLLSIPRCIVICNNNPRRAFEGHLETMVLGLRSKHRKDGSVQVNYLVHVQEIKPWSPSQSPESSLSMSLQWETGDQLSGYLSPIVVDSKIEFNKSFVLPLTLRREKRDRDKFQKYNLEFHLYEPRKDKPNKDQLLGSAIVNLGDFGIIDNVLSVSVPITSKKSSKSVAQPVLFLNIQSNDKDETNSSSRSSLSKQSSLEKNGQESASEVTNEENDGELEIASFTDDEDDYTNSSYKNNKDVVESRKQGNEQLPALPLGVGPPNNHNTSSKLPERSNTSVRKEPAAPFLQSSFSTMGSHDKTVDPKTRSRILEPGNRCHGVQEDVVNTSGRTKEGNPQPVITNSVSKAARGVESSHEQSANSETAKEDMKQEGSEQDDRTPEEKRQFSEDNLVGKFLASRKQDKLRSNTLVSSRKPAGGQGNIVSTNKLKHLKSVQLPKAGGFSGSGLPTPSPNRADKDKAIRTNDSVETGVPKSESSNRKTEDMSRIEMLEEELRETAAIEAGLYSIVAEHGSSTNKVHAPARRLSRFYLHACKENYQERRVNAARAIISGLVLVSKSCGNDVPRLTFWLSNSVMLRAIVFQTVGEPPILDANSTKKHKNGSKKVSDDWQDPQTFIIALEKVEGWIFSRIIESVWWQTLTPHMQSTATNASGKMMGLTSKKTSGSKNGLGNHQGNFSIELWKKAFKDASERLCPTRAEGHECGCLPVLPRLVMEQLVGRLDVAMFNAILRESAEEMPTDPLSDPIADLRVLPIPAGRSSFGAGAQLKNTIGTWSRWLTDLFGIEDNDSHEDTDDLVDDKKAEKAEFDTSFKAFRLLHALSDLMMLPFEMLADKSTRKEVCPTFSTPLIRRVVCSFVPDEFSPNPIPNELIEALDTEMQDNEIEASEGSLINFPCIAPPSAYKPPPSHSLSTVIGIVGTQSLRRSSSSVLKKSYTSDDELDELDSPLTSIIRDTSKGEQWFPKGGRSIMRYQLLREVWKDGE >KVH95666 pep supercontig:CcrdV1:scaffold_221:117126:117517:-1 gene:Ccrd_002249 transcript:KVH95666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGETISKIDEKICVDCKTSKTPLWRSGPSGPKCVLQSLCNACGISYRKKKSISGSEKKKEEEKKREKQPPSSPTSSSSCCLTMGEDDSDLRRIKLVVLLQSRRERE >KVH95665 pep supercontig:CcrdV1:scaffold_221:118459:122505:1 gene:Ccrd_002248 transcript:KVH95665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde 3-phosphate dehydrogenase, catalytic domain-containing protein MDCLLLHCAGSDKKIKIGINGFGRIGRLVARVALQRDDVELVATYMFKYDSVHSQWKHHELKVKDEKTLLFGEKPVTVFGIRNPEDIPWGEAGADFVVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYEQIKAAIKEESEGKLKGILGYTEDDLVSTDFIGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLICHIASVEA >KVH95680 pep supercontig:CcrdV1:scaffold_221:290423:291739:-1 gene:Ccrd_002233 transcript:KVH95680 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MRTRRGTSYPVVNNMAGRKRNDRSDAGAQIANSRKRLRSSSPRAPVAARFDFFDKLPNDIVLSILARVVYDATCPADFLAVSATCKRLNDLGFDSSVLSKASPETFAVKAKKWSESAHRFLKRCSDAGNADASYTLGMIEFYCFQNWSSGASLMAKAALDYHAPALYSLAVIQFNGSGGGKKEKDLRTGVSLCARAAYLGHIDALRELGHCLQDGYGVRQNIPKGRRILVHANGRELDVVLSRYPLAVKSGEWLKWNPLNLYRPENAMVVSFPLLSDFGWNVPPLESHPANRFLAEWFAVQNPSPLMRMCSHAGCGRPETRIHEFRRCSVCGVVNYCSRACQALDWKFRHRRECRPRARFMNAIPGGDNVNVDRGNQ >KVH95695 pep supercontig:CcrdV1:scaffold_221:79037:83152:-1 gene:Ccrd_002254 transcript:KVH95695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MPSVSVKFYSLLHKFILKRQLQTLTETHTKSPNYFGVVSRPDESVVPANPSFSQDGVATKDIHIDPLTSLSLRIFLPDSVLADSRGLKHGDGVYGGYAPILRDNLRKLPVIVQFHGGGFVTGSSDSLGNDLFCRRIAKACDAIVVAVGYRLAPESKYPAAFDDGVEALNWLAKQANLAECRISGGVDLRRGQIVDGFGSSMIEPWIAAHADLSRCVLLGVSSGSNIANYVTQRAVEAGNLLDPVRVVAQVLMYPFVIGSTPTKSEIMLANSYLYDRTMAILAWKLLLPDDQFNLDHPAANPLLSATKVPLKHMPPTLTIVAEHDWMRDRAIAYSQELRKVNVDAPVYDYKDAVHEFATFEMFLKTPKAQACAEDIAIWVKKYISLRGLEFSY >KVH95671 pep supercontig:CcrdV1:scaffold_221:141740:146417:1 gene:Ccrd_002244 transcript:KVH95671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMGESEMSGSPPIQNSRHVHMNYALLSALVAFALAQSIKVFTTWYREKRWDLKQLVGSGGMPSSHSATVTALAVAVGLQDGAAGSTFATALILACVVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAQSRPLRELLGHTPPQVVAGGLLGCVTAVVVHLISWALA >KVH95693 pep supercontig:CcrdV1:scaffold_221:51930:60819:-1 gene:Ccrd_002256 transcript:KVH95693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNDLEQVTGSPTSGESGFVHVEPIDSASIDGSPINQDDGVVVTSIDTVQYESSDIRMAEDGGNEEFVDCPDDLVSYDGMTSFVESSEGEVVPENQQTFRDDTEDNRHGFSDERRRLMIELTNLHHQLRDLIENQPLIGGNDGGLSTDQFTSATGVGDDKSFLPLHEMINDCSRFIQLALSKQSQTEVTIRELYSTLDAKDREIEDLNVKVTKHNVPQLSSVETIADRILSSIATVVGGEEFPDTSVSGKLSHLEKSTSLLIEKYNHFLSEVEMLNQCLAEIKSEYHMQTDMDKVLFTVREELIESKRKELELANRSSHLEDEYRKLMEQVDKGRKTIEMLYSEIGKLKGEVEVEKARFSNAKEKLGLAVTRGKSLVQQRDSLRQVIVEKTNELDKCLIELQEKSSALEAAELRKEEMEHAEALANSLKQALLQRDMILEKCEEVLSLSGAAEELQSSDIIDKVTWLSNERSRLALVSLEFQKLTNALSSLELPEVAQYTDLGSQVSWLLESFNMAKSQSSKLQEEINTMKEGTCAEIDRLTLLLLVEAQEKSYLEDEFEDLTHEYKEVVKEKCQVFLEKEQMLTLLLDASGISRDGLEDSIKLHSDIAMVIDRCLSRIKENAIGSYEPSPMKTELLEKFMDLLYEKDQACKLYEQILEEETVDKLELDKCLNKIAKLSEELHVLKDKNGSLQIDLQRSEDKAMLLREKLSMAVKKGKSLVQERESMKQQMAEKNTQIEALKQELKQQEATINDCRDKISKLSSDVEQITKLESDLLCSKEERDQIEQFLVESNTILQRVIEAIDCIVLPVDLTDPVEKVKWCAAYLNECQVAKAQAEQELGDVKDEASMLTSKLTDALTTVKSLEDALLTSEKSVSQLTEEKRESEMLRTHIEEELHKAMEEWKISKTRAEQEAGVLQEEVATLNNKLMEVLTNLKTLEDVQSGSVKMITQLTEEKRELEVAKSCVEQDLHKALEDASSQMSKFQEIIASKNSLQEELSLAEKNISAVRSEKEDAQASTVASERELQKIKEEVSTHVINLDEAYRTIRSLEDAMSQSQTDVSQFSNENEKARDNVSLLESEIKKLKEEIAHHERMFADASATIKTLEDELLKAKNHISDVVGEQKIAEQEILTLNTQLSGCRQELACKHDFELPSFLDNLQVILKDGTLLTLFKQSFEKKIEIFIEMDRHLKDIMDCFDSEQLKDYPAIELSTFLPAGFENVLNTEIDAPDAEDIGSFAGNFLEKFILRNQILADEFGRLSTSTNDLTTSLLIKLDAIRNTVPFMVEHTKTLQKNVNNLHIDKQAQEDRVVVLEHDIKVLLSACADVTEEMKNLVENNILEIDSAHGLDKLNSNPSSNIREIVIDNEALADVKVAEEMLSAARNVQSVIEHCVDVKQKMTTTITKLQAELEKTRSMYDKAKEENDIFQNKVSKLEPKLAASPNLHYEMGIKLEDSQPKEDDWNEREAELSKQTTAFIEDHEAQNDLLSASEIKSLFDKVNGITIPFPNAGAGDIQPHDLDPTKKLFYIVDSVNELLDQITLLSNAKEELQSTLSRQALEIEHLEEEFDEALKDKDSDKIKELFELSIGLESIISKFGGDESIGGKKSGDVAALLPVLERLVQSMVLDGENTKMEAQDLGVKLLETQKVVEELASKVKLLEDLNQSRIDSPDTIHEKGITEASALPPRSEISEVQDLGPIGKRSMPSAAHVRTLKKGSNDHLSINIDQESDRLIDTRETVEDKRLIFKSLHTTGLVPAQGKIIADRLDGIWVSGGQALMSRPRARLGLIAYWLVLHLWLLGTLL >KVH95690 pep supercontig:CcrdV1:scaffold_221:105960:108374:1 gene:Ccrd_002251 transcript:KVH95690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALTQQFEFHMFTQAINFCPASIQTNMMMESTTTTTPTPHHHQTQLIVAAPATSSEENTIKSSAAATPTVSASPPVKKRAETWVKEETRTLIILRHEIDSLFNTSKSNKHLWDQISFKMREKGFDRSPTMCTDKWRNLLKEFKKAKQHENGGNHNGYSANSKMQFYKEVEEIIRDRNKNCKVDSFMHFSDKERRLDQEGHPLAITAADTMAATGVSPWNWRETPGNGDQNNSYGGRVISVKLGDYTRRIGIDGSSEAIKETIKSAFRLRTNRAFWLEDVDNIVRTLDRDMPLGNYSLHVDEGLMIKVCLFEEPDHVPVHTEDKIFYTEDDFRDFLSRRGWICLREYNGYRNVDIMDELCPGAIYRGIS >KVH95668 pep supercontig:CcrdV1:scaffold_221:168463:186107:-1 gene:Ccrd_002241 transcript:KVH95668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSTSLEDVPSLDIMTELLRRFKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKETMEKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVAQAEKLDEMLEKQRTKIDKVLDFAIDDSILEERITGRWIHASSGRTYHTNFALVTGEPLMQRKDDTAEVLKSRLQAFHRQTEPVIDYYKKKGVVAKLHAENPPKEVTVEISRKKSAIAGNDHDEIIVDAAMIKAPQMVTSATAFPTLDGFGKSPVEMASNNTFLVNAPSLDIMTEFKCSSKPHKRIIFIGPPGSGKGTQSLIIKEKYGLCHLATGDILRAVVAAKTPLGIKIKENMEKPLIQRKDDTAEVLKLRLEVFHRQTEPVIEYYKKKGVVAKIPAENPPNEVTAEVLKVLLS >KVH95687 pep supercontig:CcrdV1:scaffold_221:43535:48849:1 gene:Ccrd_002258 transcript:KVH95687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MALAFDEYGRPFIILREQESKSRLRGLDAQKANISAGVAVARILRTSLGPKGMDKMLQSPDGDITITNDGATILEQMDVDNQIGKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRVAEGFEISSRIAVAHLEQIAHKFVFSATDTEQLVQTCMTTLSSKIVKRCKRSLAEIAVKAVMAVADLERKDVNLDLIKVEGKVGGRLEDTELIHGILVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKAFGTTKDRMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGAAEISCSIAIEAAADKYPGVEQYAIRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKDNNPCCGIDCNDIGTNDMREQNVFETLIGKQQQMLLATQVVKMILKIDDVISPSEY >KVH95696 pep supercontig:CcrdV1:scaffold_221:350367:352524:-1 gene:Ccrd_002228 transcript:KVH95696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum, stress-associated Ramp4 MTTSRRLADKKVGRFDKNITRRGSVPETSTKKGNNYPVGPIMLGFFVFVVIGSSLFQIIRTATSGGMA >KVH95689 pep supercontig:CcrdV1:scaffold_221:102178:105287:-1 gene:Ccrd_002252 transcript:KVH95689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKNPAKPSTSRPRGIRTLSDLNRPSAHDYDNDSDAPQEYYTGGEKSVVEQYLSVIVELCRIEQRETTLAMTNACVTRLCVIHNKVTTVYIPKRKTFQINFFRPFPTCASAASTALAYNPQWLTVTCQLTPSDAPAQRSEEWFALRKDRLTTSTFSTALGLWKGKRRYELWHEKVFPSDAESTITLASKNAMEWGVLNESTAIEKYKTITGREVSSLGFATHSEDKFDWIGASPDGLLGCFPNAGILEVKCPYNKGRPESAMPWATMPFYYMPQVQGQMEVMDRDWVDLYCWTANGSTIFRVCRDQEYWKLIHEILREFWWENVVPAKEALLIGSTEEAKKYEPTSTHKLTGLVIHKSLKLAGESKLLCREVAGNVEFL >KVH95676 pep supercontig:CcrdV1:scaffold_221:236302:240487:-1 gene:Ccrd_002239 transcript:KVH95676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDHSEIEEKLFALGEPKLHGGMCSTLSSIYIKVLSIFPDLEAARPRSTSGIQALCSLHIALEKTRNLLQHCAECSKLYLAITGDSIVLKFEKARSALQDRIEFSVDPLEKQVGDEIIGLLQEGRNFNSSCDVNELETFHQAASRLGITSSRGALRERRSLKKLIEKARIEDDKRKESIVAYLLHLMRKYSKLFRSDFSDDNDSQGGSTPSSPTLRGSFEGGTHGFERQLSKLSSFNFKPNFRRSGQMSMPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSSGHNTCPKTQQQLAHLCLTPNYCVKGLVASWCEQNGVLVPEGPPDSLDLNYWNLSLSETELTNSRLAESIGSSKFEREGDELEVVPEHEGKVFERYEDFLTILDMGDETRKLRVIEQIRHLSKDDEEARILMGASGFVEALLQFFEATVRERNAAAQECAAMALFNLSVNNNRNKETMLAAGVLPLLEEMIINSSSPGAVAALCLNLSCLEEAKPVIGSSEAVPFLIQVLENGEDSESKSDALHALYHLSTCHSNIPRLVSLGILNTLQHLIDDNTWIENVIAVLTNMANSAKDEIVSTNGLVSGLSMVLDLGEPMVQEQAAACLLILCTGNEKCIQMVLQEGSIPSLVSISADGTVRGKQKAEKLLMLFREHRQREPPVDHTQQCNIGEIGVGLVDVDRKALTKHGSRRKMGRAWSSLWKSKSFLVHQC >KVH95691 pep supercontig:CcrdV1:scaffold_221:107506:110573:-1 gene:Ccrd_002250 transcript:KVH95691 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA MASRTASKDIITLRGSTAIVSEFFGYAANRLENVDFVGVMCSILYNRGVYPEESFGRVKKYGLPLLLSQDEGVKTFIANLHAQLSEWLEAGKLQRIVLVIMSKATNESDKEIMREIQAIMRQIASSITYLPCLDEPCIFDVLAYTDTDVAVPFTWTESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEQ >KVH95674 pep supercontig:CcrdV1:scaffold_221:123630:126211:1 gene:Ccrd_002247 transcript:KVH95674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGDSKFDTRTSEEKAIDEWLPVTSSRNAKWWYSAFHNVTAMVGAGVLSLPYALSQLGWGFGITVLVMSWVITLYTLWQMVEMHEVVPGRRFDRYHELGQYAFGERLGLYVVVPQQLVVEVGTCIVYMVTGGKSLQKFQQSICPNCRPMRTTYFILIFSSVHFVLAHLPNLNSISGVSLAAAVMSLSYSMIAWVAAWNRGAHEGVDYSLRSKTAIGQMFGMFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWLGCVVAYIIVAICYFPVAIVGYYAFGNLVDDNILITLEHPAWLIATANMFVIIHVIGGYQVQLQSTDLLGYILVPKSNIFFLSWDGPNTFQLFSMPVYDMIETYLVKSKKFKPSNALRFVVRTTFVAITTFVAITIPFFGGLLGFFGGFALAPTTYYVTSLHHLAGDQETQKVEPKLVHKLDLHRNWCYIDDRSSHRRSKVDHYVGWELQIFLVNFHLSLSPILDIVDDDHEEDEVRSQKVREE >KVH95692 pep supercontig:CcrdV1:scaffold_221:50761:51834:1 gene:Ccrd_002257 transcript:KVH95692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLISPQNPYSDKTTILNTLTPLVLTSYMPNFTHLPFSVSPQNSMRTKGVVVGLGIGGGLATSSGLFATPEAAAGEIAAVAEAAANDNRGQLLLIVIAPAILWVLYNILQPALNQINKMRN >KVH95675 pep supercontig:CcrdV1:scaffold_221:273541:277765:1 gene:Ccrd_002234 transcript:KVH95675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific mitochondrial import receptor subunit TOM20 MEPSQNDLERLMIFEHARITAESAYAKNPNDADNSYVWWKIHKQTLDAVSKLEEALVINPAKHEALWCLGNAHTTNGFLTIDHDEAQIQFDCATEYFQKAVEECPGNEHYLQSLANSSKAPALHNEIHKQGGFAQAQQSLGGSGASSNAKSSVKKKSSDLKYDIFGWIILAAGLVAWVGMAKSHMPPPPPQ >KVH95694 pep supercontig:CcrdV1:scaffold_221:63754:69746:-1 gene:Ccrd_002255 transcript:KVH95694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGFVLQLLLLCLACSISSLLCQVTEFVSIDCGGTGNHTDPKTGLAWVVDSGIIGHGTSVELENKNGSSIQYQRRRDFPIDNKKYCYTLNTKERRRYIVRTTFLYGSSESENTYPKFQLYLDATRWATVSVLDASTVYIKEMIIRAPSSSIDVCLCCATTGSPFLSTLELRPLNLSMYPDDPYDRIWDSDLAKRQNFLVGVAPGTVRISTTKDINTNTREYPPVKVMQTAVVGTQGTLSYRLNLEDFPANARAYAYFAEIQDLPKNETRKFTMKEPYVPDYSNAVVNIEENANGSYTLYEPSYMNVSLSFVLSFSFKKTQDSTQGPLLNAVEISKYLPIASKTDRKDVSALSAFCSMLEIGDLIEEGDPCVPVQWEWVACSSNTPPRITKIVLSGSNLDGEIPSGIKDLQELTELWLNGNSLNGTIPDMSNLEKLKIIHLEDNKLTGPLPSYFGSLPNLQELYEGNHGLRRHAKQKSRYKVILGISVGILAVLFILFLGSLLLLRYFRRKAPTQRRDDKGAISSRKSTIGLTAYSAARCGSLMDEGVSYYISLAELEAATSGFSKKIGKGSFGPVYYGKMKDGKEVAVKMMADSSSHGAQQFVTEVALLSRIHHRNLVPLIGYCEEEHHRMLVYEYMHNGTLRDHIHDKVNKKHLDWRARLRIAEDSAKGLEYLHTGCNPSIIHRDIKTSNILLDINMRAKVSDFGLSRQTEEDLTHISSVARGTARSLIRKGDVVSIIDPMLTQDVKIESIWRIAEVAIQCVDQHGSSRPRMQEIILAIQDAIKVEKGNDGKLTSESSRAQSSRKTLLTTFLDIESPDLSNDCLVPSAR >KVH95670 pep supercontig:CcrdV1:scaffold_221:148111:159418:1 gene:Ccrd_002243 transcript:KVH95670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAAGRHGGYYNEFRENEADFDASKREIPYSRDEYERLKNGGSQDYERGRNRARDGKDRGRVRQRDVKERELVTGGGYQSASSRSDSGGSGGDGGLRHREYPVRVRDREPGELSSDSGSDEAVDLEIHGKNDKGSRIEEAARSHSHSPIKKRKFSPIVWDRDDKEVGNISKSRFSTANTTVAMPPVPKSHRQSPDPVPPAVVQISTLEKDKLQTAEQSPGNTPVDIGTHESYGFAHAGLSSSPLQREHVDLSSLPAQEERLVYVQNAGQPEDEDYAPAHNIRSSRWANDVNSPADEGEVSDALGNHRIQKRQKIPSGSDHLGSEKKSLSPEIGELHREGSEGTRTRSSESYENGYHIRASSSDDYSEKELARKDYMEIDEDHSSGRPSVSQSYTDSESEDGSCGTPQTALPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKSGEVVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETMKQPFSQSEVKCLMLQLLEGTKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKQPLFNGKTEFDQLDKIFKTLGTPNEAIWPGFSKLPGVKLNFVKHQYNLLRRKFPATSFTGSPVLSDAGFDLLNKLLTYDPEKRITAEVALEHEWFREVPLPKSKDFMPTFPAHHAQDRRTRRVMKSPDPLEEQRRTELQQAKLGTGGTLHDLGAEIFLLLLPDLIHSQVDLQAEATFWRSKALKLRSCYIM >KVH95683 pep supercontig:CcrdV1:scaffold_221:251369:253039:-1 gene:Ccrd_002237 transcript:KVH95683 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MVTNFLLFMLLSIGPTTTQPINPQETTGINGEWQLLHENIGISPTHMQLLHNDKVVMFDRTDFGPSNISLPFNHCRYDPFDSVLQKDCTAHSILYDIRANTFRPLSIQTDTWCSSGAVLPNGTLVQTGGYNDGDHTIRTMVPCDDGTCDWIEFPGYLKERRWFSTDQLLPDGRIIIVGGRRQFSYEFYPANPPDYFTSVNSSSFLIDFLRGTADWVTENNLYPFVHLLPDGNLFIFANVQSVVFDYEQNKVVKHLPPITGDNPRNYPSSGSSVLLPLDENNPVEAEVMICGGAPRDALLKIRRSRNFSRAIPTCGRIKVSNEKPSWEMETMPIARVMGDMVILPNGDVLIINGAGSGLAGWENAQDPITRPVIYHPNNPQNARFSVMQSTTRPRLYNSAAILVTDGRILVGGSNPHMFYNFTNVEFPTELSLEAFLPPYLAPEYNPIRPEIVKLPSKIKYKKPLMVTFTVLKFLKLSRVTVRIIAPSFTTHSLGMNQRMVVLKEDKDVSFVGGSSNKTYTIGVVGPSTAKIAPPGYYLLYLVHAGIPSPGMWVKVQ >KVH95673 pep supercontig:CcrdV1:scaffold_221:129810:136201:1 gene:Ccrd_002246 transcript:KVH95673 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MEDQIRAEFRKNNFVLVEEEEIVQKCLTFCINYNLSPSDLVSSWDLYSINRQLELIVSDAHMDAFRQQLQIEQKQAIIEKEQGLHTYTDVTMEFNDEHEDAKEIVPTSPAGQNDVHLDSFDATPIMNGSMHKLGKPLELVTPFGQRKDKFVVHSTLNSIPVVNDIKKEQGKENSDDDVIKRVHPSQRCSLEILSSQPAPGCRYMYDRIEDKFNCLEDRIMKYSKALVASQLYEEPVDPSVASQSFYNLVTYVDAFFQKSIFAVGMICCEEEGRLKEKPIMLQSSVEHSGGQRVRLDLQKLDQFSLFPGQVVGVEGHNPSGHYLIATKIVDYVPLSVSVDADTFRQTKRQAVDHDNQPVDPSDIPSDLSLIIASGPFTTTDNLFFEPLSELLAYAQRTQPQLLVLLGPFIDSEHPEIKKGTLNRTYDELFRLEVLRRLQDYVEYMGSAARVILVPSIRDAHHDYVFPQPAFDINLADLSHQITCITNPGIISANKTLLWTCHSPQKLFKCLPFQTSSSSLQISHRRLARGEGSGHFVQLNFHATPDSSSASVIRI >KVH95686 pep supercontig:CcrdV1:scaffold_221:33152:40369:1 gene:Ccrd_002259 transcript:KVH95686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MDPSTSRPAVVIDNGTGYTKMGFAGNVEPSFMVPTVVAVNESFINQGGRRLIKRSSSWLAQHNAGVMADLDLYIGEEAMSKSSTHNLKYPIKQGQVENWDAMEHFWQHCIFNYLQCDPEDHYFCLTESPLTLPESREYTGEIMFETFNVPGLYIAVKSVLALAAGCNASKREMTGVVVDVGDGATHVVPVAEGYVIGSSIKSIPVAGKDVTLFIQQLMRERGEQISPEDSFEVARKVKETYCYTSSDIVKEFNKHDNEPSKYIKQWKGIKPKTGAPFSCDIGYERFLGPEIFFNPEIYNSDYKTPLPALIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFQRRLQRDVKKIVNARVRASYARSNREVKAHPVEVNVVSHPIQSYAVWFGGSVLASTPEFYTACRTKAEYEEYGASICRTNPVFKGMY >KVH95677 pep supercontig:CcrdV1:scaffold_221:249954:251105:1 gene:Ccrd_002238 transcript:KVH95677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome B-type subunit MNPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLPNILYSYRGMVYHVGPKGGTKLSGDDVGELHYSYYPVEPTAVEQEMAKM >KVH95678 pep supercontig:CcrdV1:scaffold_221:317527:321528:1 gene:Ccrd_002231 transcript:KVH95678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0250 MGSPTLWPTSPNFTSFSLPSLSPNLHNSSTCACRIAARVPDFRFGRRSHHQLNCSYHQNSSSMENEQKPPQEAVLKAISGLYLLKLTTKYDSEVSKAEGRVGQTTNMVLGGTITGDSTTQWLGLNEMLNIYPAPRGFTAIGSGGDDFVQSMGQVKQKLSSGGKYVSVNIGPVQIASSKQVQAVYHAMRRDVRMRYFL >KVH95685 pep supercontig:CcrdV1:scaffold_221:21388:23693:-1 gene:Ccrd_002260 transcript:KVH95685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEDTTYDRFAVTLDPSAQEFRPTYPLSLPPPLPNTYYSYNFYSPPPPLPPPPAAVYATHKQPSLPPPLPPPSTTPTRTLLLSSVPSDVSESIIRRDLEVFGDVRAVQMEKVRDGIVTVHFYDLRQSTEALHEIQEQHMQQQCRLRKHFDSLTLSSPSPSNNSVPPYNYHTNSYLSPPLPPPAPGLIAGRAVWAQFTFPAAAGLPDGYNQGTIVVFNLDSDVTAGTLKEIFEAYGCVKELRGTPLKKNQRFVEFYDTRDAAKALMNMNGKEIHGKTVVVEFSRPGGHKGSPKHNRFNSISTTIRPPSIILARNFPSDSLAYRRPSPPPPPPPPQQGGNGKVVDWFRSSLKKTGKKQPENVGGGAWSKQRKGSRQTRERYDPRFLIKEDGIISESSFSDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIAGGSGGDQPLSSYDFVYLPIDFMNKCNVGYGFVNMTSPEATWRLYKAFHHQNWEVFNSKKICEVSYARLQGVDALKEHFKNSRFPCEAEEYMPVVFEPPRDGRKLTEPTPIVGRSMVEVGSYSSSNNEIVVEDVNSDNSNSDGGGDGGGGGDDDR >KVH95684 pep supercontig:CcrdV1:scaffold_221:254045:267715:1 gene:Ccrd_002236 transcript:KVH95684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 63 MSGGDARKTARNRIKPSTSTVSGEDHRELRNRKVLRKERGKDQLRILDVNFKLLLGLTVVSSLVALFFIFHSVQFADGDDRLPRVITPFPAPKIMDLEMFQGEHKESLYWGTYRPHLYFGVRARTPKSLVAGLMWLGVKDGRYFMRHFCQDADDLQTYGWNKHNGRDFGHQILIDHGMTLTTSFLKSKVDGSGYGGDWAFRVGVQSEKLNEEMPGSAHLFFYVADEGENALSLGRSVTAIHEKSMLAFGSRLDVGGWELHLKSSDDFEVHHVGLKTPHIHNLSDMVQGILALQVRNFGHLELPDTSDNSPNILVYQISATTPFRADIAFVTGTDIDDAKVEERVNGLTGTSLTNQLHKKENEFDDKFKKIFNQSDKLDSEFAEVGKAAIGNLLGGIGYFYGQSKILFPQPSKHRSGGDSILYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDMHISLDIIGHWLDLMNIDGWIPREQILGAEALSKVPEEFVAQRPSNGNPPTLFLVLQDVVCGVMRNKFSATESKEISVFFERSFGRLEAWFQWFNTTQSGKHRSSYYWHGRKESQTIFELNPKTLSSGLDDYPRASHPTEEERHLDLRCWMLLAADCMHSILEQLVKKKESGKAYGETAKLLADFDLLNKMHFDKDYGAYLDYGNHTEKVRCLLVLLHIDKSWILEKQLDIISNTSILWTNYGLRSLSKTRNVMKNHKQTGYLWEQYDQKKGNGKGAHPFTGWTSLVLLIMAESYSEC >KVH95698 pep supercontig:CcrdV1:scaffold_221:368478:372340:-1 gene:Ccrd_002227 transcript:KVH95698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFFSPQPLYRELYTLNPATFFVHSFVKAFSASDKNKDESIRSIVSEPTPGVYTFDMLQPRFCEMLLSEILTSSVNVILSLGVKHPTFPFSSLTLEDILLIPIMDLWLSTEWIEMSNWSWEVPDLSLGVIVSMAVMQAWHKLRKKSHGSFHVDDSEVTLNVCLGKQFTGGELFFRDVRCEKHVNSETHPEELLFQISFVYCDPHTTSKLTYLRGEING >KVH95672 pep supercontig:CcrdV1:scaffold_221:138777:140420:1 gene:Ccrd_002245 transcript:KVH95672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRASSNLNSQILGILDKVNHLNHLKQLQAYLITSGHSQDQFFSFKLVRCCVLSLSNFDYARQIFSSLVSPNVYLYTAMITAYSFQPDHDSSLLLYRDMVRKKRPKVSHFIYPHVLKSCPEVLGSNGTKMLHTQILRTGYVLCSLSAFGHMGMLQLGKSTHGYVLRNGLAPNSLIANGLVDMYGKCGSLKEARRVFDDTLKRNLTSWNSMINSFALHGQSESAIMVYEEMMQHNVKPDAVTFVGLLNACTHGGLVEKGRSFFTSMVNDNGIEPDIHHIGCFIDLLGRAGQFAEAMEVIKAMKTPPDEAVWGSLLNGCKIHGRMDLAEIAVKKLIEIDPNNGGYGTMLANIYGALGEWEKARMVWITLKEQKAYKIPGCSWIEIDNQVHQFYSADDSHFKIEDMHSILESIFSFADENIFHHHLLPHT >KVH95681 pep supercontig:CcrdV1:scaffold_221:311143:313427:1 gene:Ccrd_002232 transcript:KVH95681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MASTACASSAIAAVAFSSPSSQKSGSVVGSTKASFLGGKKLRVSKFSAPTGARSFGTVCVAADPDRPLWFPGSTPPEWLDGRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDKTTLFIVELIFIGWAEGRRWADIINPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPQKLKELRTKEIKNGRLAMLAVMGAWFQAIYTGTGPIDNLFAHLADPGHATIFAAFKG >KVH95679 pep supercontig:CcrdV1:scaffold_221:321975:322376:-1 gene:Ccrd_002230 transcript:KVH95679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis protein MNTYRSEPECAPSKSYLRGNTRCKLALTGLPDVVYDKEWDIIMIDAPRGWFAEAPGRMGAIYSAAVMARNRKKGGVTHVFLHDVDRKVEKAYAEEFLCRKNLKDGAGRLWHFEIPAAAAAGNVTEGKGGTSFC >KVI04566 pep supercontig:CcrdV1:scaffold_2210:10191:27491:-1 gene:Ccrd_017116 transcript:KVI04566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MSGWISSKLKIDQQAAESLRKNEASRSDDDLSHAIPKKPSDILPPLKDQLKKKKKTPPEKSNFVGKLRNDGNLKVSGNEVGGSPNVNPKSSLTDSDWTELLSAPNKITSVSNGGVPRVPGLLKDGKRQVRSGSNLLALDRKRSQTVQNKSARRSDVVLGDKVNGGNLDGNQRSDSSDSTQASVGVQSLAENLSSEMLGKDASGSYVVENVTDINDARNKELSAPINSLGVSYLTDENTPEMQSDFNPVPESDGEGKNEQSRFNSGMLLGNKISGFPITSSSSKTDSLSVSDGESNMETDSDSTSDSESEREKEERRKRREQLLAEKAAAKAIEAIKDRENLVARLEGEKQSLEKIIDERAKQQAQEASELQMTVMETMEAVDLEKQKHNNTRMEALMKLAKLETTNAELAKSLATAQWSLEVERVAELHRQIELKEANHKGLTSKEKSHPSGNKLTASRGIELEHEILEAEHTFVTDKVGQLKEKVKTLETTINVTRKEIENPTEVEIELKRRLGQLTDHLIQKQAQVEALSSEKAMLMFRIEAVSRSLEESKSCLKLGDRPTNDLESGTWEPLFQERLRKGQKHIGSLVRQLDSIFLTGATFIRRNPMARIWSFAYLVCLHFWVLYIFRSQTAVSAGSGAVISLENINNTGV >KVI07806 pep supercontig:CcrdV1:scaffold_2211:19491:21694:-1 gene:Ccrd_013831 transcript:KVI07806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MCDEIGGYSNDVFKNMKSIRLLNIYHDFTSTKPTTLPDELRWLTWYEYPFSSLPVGNLRKLVGLEIEYGNIEHLWIGYKFLPNLKFIHLQWLGCIESFPDVSGAPNVESLILYDCTNLMEVHKSLGTLRKLAYLNMTGCYNLKYLPSMIEMESLETLILSSCFMLEKFPEVSSRVEKLSNIYLRACPYLKIIPNSICELKNLKVLDLQECRALQHLPEELGSMEKLEELWLGSQNPNCLWPSDIISFHTWTKLCCLRKLDLSCRQIEEHDFPNDFHAFSSLEELYLSDNSKLVHLPASISHLSRLKHLELNECQHLRNIQGLPSGIQVLKASNCSALEEIEDLTEEYVSLHKIFLPGCERLLENQENLDKMLQQSFVKKCAAGDHVLSIRIPGSKIPSWFKEQQLGNHISLKLPPEWHTDIKGFVVCGVFQGKWPRVRITCATQIKFKFGINATLMPELEVDIINAFAVNDKLSIWIVYVPFSLYQQQTYDDSNREGNLLINISEPLMLGKKAVRCGAHIMYKEDVESMHQIGSCIHDYQDFHFLHDVNDGTVTYAEKES >KVI07804 pep supercontig:CcrdV1:scaffold_2211:51288:57392:-1 gene:Ccrd_013828 transcript:KVI07804 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLDc MGASSSTEEVSPEQREAESLAASTGALTVLEKAFSDLSDPQTHAKCFELSIDYQSCEASFKPENFPGLLNHLGHAIVDQFFIAEKGGLDWVAFLNGYTNCCGRMPTSSSLNNLLKVFGLAMVKSGATTGLQLESSEADHKINGYLLPADLLILLWMCWVMSWSSKNPELSKGKAKFGLPDINNLVLSAVSSCADGAADLNIWDCNLFDSNIQLPIGKLHVWALRTVPNLPECLVQFIHSRLSNSSPQQGLVHFPYPEKYCTSSLHGKGLNRLWSNIEGYNGPILVLISATSEDRSWIIGALTHQAYENKDAFYGTSGSLYAISPVFDHFTSSGKEKNFVYSHLHSPGYERNPKPVGIAFGGSTGNERVFMDEDFSKLTGYLATEAQIFEVEAWGLGGEKAKKVQNSLKKREELFTEQRRKIDLKTFTNWEDSPEKMMMDMVSNPNAAQRERR >KVI07808 pep supercontig:CcrdV1:scaffold_2211:1373:16970:1 gene:Ccrd_013832 transcript:KVI07808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEDEDFPSYLHELSSLEELHLSGNSKLVQLPPSISLLSHLKHLELNDCRQLQNFHALPSEIQVLRASNCPELEKIGDLTEEYVWLYKIWLPGCKKLLENQEDRRCLDKMLQQSFLKKCAAVDRRLSIAIPGSKIPSWFKEQHGNQIELKLHQEFRTKIMGFAVCGVFQHKWLLDFVPTSIHFSIGKSRISFPKSMVDEAKVDYIDASEVAENGNVWIAYIPFSSFQQQMVDDFEGKDWSLFDKDYLTISIIIRGAQKVERCGVHVVYEEDVESTQQIKTCIPDYRNSDNVLRYDNTFVYRETLRTIDRADVFENMKNLRLLDICGGFTSCKPTTLPDELRWLRWDEYPFSSLSVANLQKLVGLIMIGGEIEHLLMGDKVMPYMKLIDLRDSFSFRRLPDFSWTPNVERLILSNCCNLVEVHESLGSLRRLVYLDMSGCDNLKCLPSRIEMESLETLSLSYCYSLEEFPEVSPCMVKLSNINLDGCENVKNIPNSICELKNLQTLHLYDCMKLRTLPEELGSMKKLEEIRLGFKDHVKGFERQPESINFHTLTNLCCLRILDLSWRQIEDEDFFNNLHACSFLEELYLSGNSKLVQLPASISRVSRLKRLELNDCRQLQSCQALPSGIQVLKAHNCKALKKIDDLTVEYMWLYKIWLTGCKELLENQENERCLSKMLQQSFLEKCAVIDRRLSISIPGSKIPSWFKEQRHGSAVAFKLPPNYHTRIMGFTVCGVLRGKWKSEYNPTSIFLSIENNGKLIPESEVDCINAFTENTSVQIVYIPVSFLEQHMDDEYGLGDGSLIVEGSRFITISIQCDQEVVRCGAHVVYKEDVEWIQQPETCISDCRNLDQVQRFGNTLVYKDEVSYMYE >KVI07807 pep supercontig:CcrdV1:scaffold_2211:32957:42298:-1 gene:Ccrd_013830 transcript:KVI07807 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MVTKAADGDAIKYDSSSDETFIIAERELLRLKGVVKLQAAVRGHLVRCHAAGALRCIQSIVKMQALVRARQANLSVERSSLGKKVNEKHMKAGNGSKFPERLTFCDISWKWLERWNSVSSPEVMEPHSRRKEQSKVNDMKSQGGKPMPPNCELTDVKLDFLSDENKCSSIGSQIAHTLSPEKSVAEAEQPKRPAKRVTTEQADSEGRKSGFGSRKASNPAFIAAHSRFEELTSKSISLRSVGSSNQDHVVDSPADNGLSNLQNSTPTSNIEPGEPLVYQSLRVGQTGGSECGTELSITSMLDSPDPSEVGNIEYDKEAKVIDKEAEDHALLGTELTHSISVFSEKYDGNIGGDSEHSKPDDNTDVSQPFEENASNADIELEPVTGRQVYKSNMHKKIETEMHHQVDKLSPEASPRSHITAPESQETPSSQISTNNKIRTDRKVSGQKPKSWSNSKKSPVSSSLRSSLENLPRDPKPGKRRNSFGSQSSDQIDQEPRDSSSSNSIPSYMQVTESARAKALANNSPKSSPDMQGKEAYMKKRHSLPAAVNGRHGSPRLKRSSPQAQQTTKGSDNRARRTQSNPAPFLLRSVNLRDMSAVLALC >KVI07805 pep supercontig:CcrdV1:scaffold_2211:49754:50929:1 gene:Ccrd_013829 transcript:KVI07805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGSVSLKIGDETARFKKPTICSSPTNILMLFSVITTNLFALYAFKYAQKPHNHHLLPHHHHHTHKTISLISEQVFFILKEIDSSQKKLSQIETHLLGFESIDLSTPTIPKELKSFLNRHQLPLGKDSKTGITEMVSSVGHSCVKSIDLLSEFMNYNINGVCPDDWNLSQKLILRGCEPLPRRRCLSRIHSLNSKKLNGHCFDLVKGYESRRFLKPRGKNDFLIDEVLALGGNGGIRIGFDIGGGCGTFAARMAEKNVTIVTTTSNMDAHSSEFIAARGLFPLYLSSDHRFPFYENVFDIVHAGDGFGIGDDALEKSKLEFLMFDVDRILRPGGLLWLDNFLCSNEENRRNLTHLIDVFGYKKHKWVVGEKVNGSGKFDVYLSAILQKPIRA >KVH87845 pep supercontig:CcrdV1:scaffold_2212:25717:43853:-1 gene:Ccrd_024842 transcript:KVH87845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQRWPNALKSILTDESKLPIKGQHTAEAYELSKQESDDVLFKQAWLMYFWRRVKVHELEPDIVDERLQFWMNQGDRPPTSHDAVDVERGLVELRKLGIEAQLWQASRKLIDPDSEHKWKMHINF >KVH87846 pep supercontig:CcrdV1:scaffold_2212:81001:84316:-1 gene:Ccrd_024843 transcript:KVH87846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGALDHAGMDRQMKPVYVREKSATSGGGGSDGQLSPTSSTRGGVSPMTMLRHSRSGSVVKRSQTTKAAAQRLAQMMTHQQTSDSEDDDDFLSDYNPPAAATSSSSSSATAAERSQIRPRSPMTVGTTTEQHSAPRAASIGRASQPVIKHAELRHQSMRSSSSSSYAMEHIHPQYDRSSSDQTPNSLLPSQSNNTAERVQPTSARSTRSPLVHPVEHPSSARAVPASRPNLGIKPVTMVPPSVPLTLRPTLFGSQVDTRSDTQKNKSSLLSRLSLDLGAAFAFRETSNQRSANASTSASASALQDEIDMLQEENESLLEKSDRCSGVFLRVLRIDHADAWTHGYYC >KVH87842 pep supercontig:CcrdV1:scaffold_2214:74472:78440:-1 gene:Ccrd_024846 transcript:KVH87842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDGKAAKELIHDVGKQLAAKQKCPHKDFLVKLLRACSEATSTLDGTKESTVLLGDSEVSAMMNDKQKGIGY >KVH87844 pep supercontig:CcrdV1:scaffold_2214:49655:67556:-1 gene:Ccrd_024845 transcript:KVH87844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical QAASALPKLKTQSDLLKPAIKPLSDSILKHGLLRQNDKDVRLLVAICVCEILRILAPNPGFRDEDFRDIFQLLLGMFAELADTKSPYFSRRVELLETIAKFNFCVLMLDTGSENLVMKMFTIFFSVIRYGSSSYMEYLCFSSCLLFLLKEHPSNVFGAMSSIMSLVFEEKGSQALIEVILQNLLKDEKDASPASFLLAVSVIQGCCQALQPFVCRFLNCCILDRNSVNSELKESYHAIIFEIFQCAPQMLIAVIPNLTQELLTDQVDVRITAIKFVGRLFSIPGRHVAQEYHHLFIEFLNRFSDKSAEVRLNAVLCAKPFCLTNPPGEQSVALLTALEGRLLDFDDKVRTQAVAVVCDLAKSNLRPVPPEMIAQAAKRLRDKKVSVRKKALKMLLDVYHDYCIKCSEGISKLSIDFEQIPCGILMLCYHKDTEFGPQNIEHVLEEDLFPISLSVEERTRHWLFLFSHLVSAHQNGIFSSAHERALTAVLSKKKRLQIEMQSYLEFRTHEKNISGRGEERVKKLFAKMSTCFPTTINAEECFHKLHVVKDVDLFNILKEILVEVKFESSQIIKDNFLRKIKDMHSIFEFLQSLVTQCSFNIFSADHVSCILYHLSKGNFREANLKNACVTLLMIIVDAFPLLLRGSEEQFCILLLEEKSLFCNELLQILVKAGRHISLNLSVIYPFLEGICLNGTRAQAKLAISAISELIVSSEQF >KVH87843 pep supercontig:CcrdV1:scaffold_2214:20360:31212:-1 gene:Ccrd_024844 transcript:KVH87843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHSVSAFESHAEEITRYIVENIFLVKEGVMLDDLVSSHDTSEGSTCKLKIFGLKALVKSFLPHQCADVTRQIDEVLGIISQMLQRTKVSKGTLSRETDLEHLRFAAATSVLRLSQMWDPHISPHIYRLTVLTAKDHSSMVRQLFLEKTFKLLKNNVIHCKYLCAFALAASDSSGDLQNDDSLNYMSEIIRIHHRQAKVPRTSAVKRDAADDPVCTVIFLIHILAHDTEFPSQDSEYEEKSGSFFSPLVFTLQALLNPCYVDGDMNRICTVVSKLYNIFKAIKRTEDALDVQKTSRLHVLANFGAKYLVETKMSGTMVPQTTTSVLLPSLLYRKNCAKGGRESVEDPSALDGQLHKRSKSLNAHKRKLHETEEQIISGERENQDFASPESVTFHIDSR >KVI11525 pep supercontig:CcrdV1:scaffold_2216:17978:30200:1 gene:Ccrd_010063 transcript:KVI11525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPTRKLKKPQTSCSKFDLEEIIGLTTTNGNGLASSFYDSKFVYIAGCVVVLYDVDLGTQSHLIVSNRSPKPLTCVAVSQDGSYVAAGEVYFQYSSEFCSILLYTSEGNNLRVCLLGLTGIFVALEKWPSGHQPAIVVWSSATLASVSELKGHRYGVACMAFSPDGKHLVSVGFPQDGYLCLWDWRSGRLVKKLKACSSFSAVASVSFSVDANFILTAGKRHLKTWTVGLPTKSRAKTDSLSPSMHGKTVNLGHHKGCTFIAITSSLKTKGNLADGKSGELVLVYALTDSGVLCLLHGGLTITHSVNLKVEKGYALSASREFIACACNNGVVKLYTVGSLGYAGSLQYSEDKQSNKTSNTQCHSEVSQEGIQHPPTFPDAIACQFSNSEKLVVVYRDHSLYIWNIHGKFQATKCCVLVSHSACIWDVQNLSCENMHDPSLACVARGCNGGVSFATCSADGTIRLWDLALQSEPPEPVGTSCLVGAGTFERESVVSGVITQGYRSMAVSSDGKHLAAGDSDGNLHIFNLNTTDYTCIQDVHKAEILSLDFSLPIKKKMNSAEDLESYYFLASGGGDRMIQLFDVNRNFDLIASIDDHSAAVTSVKLTGNGRKIISCSSDRSLILRDVAGRGMEYDISHSHQHIASCGTVYDIAMDPTTETAVTDKRINMFDIAAGQVIRSFKQSGDFGDPIKVVLDPSCSYLVCSYSDKSICMYDFMSGEMVAFGMGHGEAINGIIFLPDCKHLVSVGSDGCIFIWKVPTLLTSRMLQRIKEKFCSLSPSTIAQCAQINYFEENYLRSQRTARAVLCEGKCPQETSTFRFSVSRLPQWAKSKVTSPLVIPMDPILCEVAEREDHSPSKSLSGGNAPVDLVLHTPSNHNTERFTRSSSSGTSNSKASTTQGACRSFALDKRWLTIHTVCLDLLNSPEAYNTKERSVPLSSNLSQSHALETTDIESMNHGAAKFCLSGTSYNHHDVEPAACLNVHIGLNDSACLSNIIGQHQCNEASDSPEEFTHGSSEQLQLSTSVNQIPDNCILNSGNNSANFKTEAPKSSSRKSYSARFTVGRDLLRGQKLPMNDTGETINGCKETNLTNLTDLSVTEQEDSERGSCSRQGMMRSNTTLNLLSPNHIAASQSNSCSSSAKPTKADKLPIIKLKTLDACKEALRSLAASSETALEVFSKLKDVMPTREGPEAEFYAETAEMLPSIAKNVHEIAKFASSYGDVKIDIPGFEPLLGKFAESLSQRVIELLKENCTTL >KVI11524 pep supercontig:CcrdV1:scaffold_2216:72725:75237:1 gene:Ccrd_010064 transcript:KVI11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0503 MNPTTDQLPPPPPPALPLQPPRSSFSCDRHPDENFTGFCPSCLCERLTTLDQSAINAASSSRRNSTSSSSTAAAALKSIFRVPSSSNTTFEAYRNKTTATGTTKTSFFPELRRTKSFSASKNEGLGFSAGVFEPQRKSCDVRNTLWSLFSIDDDNKPRLSSAQQQALGNTGTVLETNKEDEEEEEEEEEEEEEEEEEEEDQVNDENIEQEVRNVNDSENNIVEEVAEIEEEEDVIVDDLKTMKDHIDLDSQSKKPTLSNFWSAASVLGKKWQKWRRKQKKSNEDCNGVLSSSTLPVPKAISRQYRETQSEIADYGFGRRSCDVDPRFSLDAGRISFDDPRYSFDEPRASWDGYMIGRTFPRLPPMVEDVPVVQVPRSDMQISVEEPANADDIVPGGSIQTREYYSDSSSKRRKSLDRSNSIRKMAAAVVAEMDETKATAVPVSNAKVSPATIDYNYNPGGLRFPSIGGERELARDSNSKSLRDDCSETFELGFRDNGVEKKKGRRWRWKLWGFIHRRGSKDEEDDGFSRINGVVERSYSEREGAGNRKVFRSNSSVSWRNSSKKMNPETNGFGNGKNRRAGGDEFVLERNRSARYSPNHTDSGLLRFYLTPLRGSRRSGSGSGSGIGIGTGKSRPISNSQSNGKTLPREG >KVH99771 pep supercontig:CcrdV1:scaffold_222:244259:246614:1 gene:Ccrd_022005 transcript:KVH99771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MKMVIFIFKNIFLLTLLLLLLSMNVVQGRYHYHKNQKAAPPPSVPSDPVSSPVTDPGDGDGDGDAAAPNCVFDVKDYGAIGDGSTDDTAAFVAAWKEACAVESATVLVPSGCTFIITSTIFSGPCKPGLIFQVDGVVMPPAGPDCWPKKDSYKQWLVFYKLDNMSLTGTGTIEGNGQDWWELPCKPHRGPNGSTLPGPCDSPTGVLCPQLIRFFMSSNLAVTGLRIQNSPMFHVKFDGCEGVLIDKLSISSPKLSPNTDGIHIENTKSVGIYNSMISNGDDCISIGPGCVNVDIEGVTCGPSHGISIGSLGVHNSQACVSNITVRNAVIHDSDNGVRIKTWQGGAGSVTGIAFENIQMENVRNCVIIDQYYCSNKNCVNQTSAVYVRDISFRNIKGTYDVRSPPIHFACSDSVACTNISMSEVELLPYEGELVDDPFCWNAYGVQETLTIPPIDCLQDGMPQSISDKVEYGCI >KVH99758 pep supercontig:CcrdV1:scaffold_222:154545:158804:1 gene:Ccrd_022000 transcript:KVH99758 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MQFFFLLPRNLLLAYQSFGVVYGDLSTSPLYVYRSVFAVALQNHQNPEVIFGAFSLIFWALTLIPLLKYTCIVLSADDCGEGGTFALYSLLCRHAKFSLLPNQQAADEELSSYKYGSSGHPPSSSSLHRFLERHKKSRTTLLVVVLLGASMVIGDGVITPAISVLSAVSAFQVKGVGVALTHGQLVLLACVVLVGLFALQHFGTHRVAFVFAPVIILWLVSIFSIGLYNIIRWNPKIVHAFSPHYIIKFFSETRKEGWISLGGVLLSITGTEAMFADLGHFTASSIRLAFGFFVYPCLVIQYMGQAAYLSKNITSIPDSFYKSIPEPIFWPVFALANLAAVVGSQAIITATFSIVKQCHALGFFPRVKIVHTSKHMFGQIYIPEINWILMILTLLITVGFQDTTQVGNAYGLACMTVMFITTFLMGLVITFVWQKNVIYGMSFLLFFGFIEGVYLSAAIRKAPQGGWVSLLLACVFMFIMFVWHYGTRRKYKHDMHNKVQLKWLLNLGPSLGIVRVPGIGLIYSELATGIPSIFSHFVMNLPAFHNVLVFVCVKYVPVPFVSLDERYLIGRVCPRPYRMYRCIMRYGYKDIPKDDENFENQLTQSIAEFIQMEAVEPQSPNSEASSFDGRMAVISSRSVSIPGLIEHFKLVLLGCMDSFGIWVENGIWERKKVMTTIKCDVRSIESRPALIISDHVDPTENMKSSRLKILRGWYDEEKAAEEMNPRRRVRFEVAPRNGDVDGGVRDELLALVEAKEAGVAYITGFSYIKARKASSLLKKFVIDVVYSFLRKNCRRPAVALHIPHISLIEVGMMYYV >KVH99774 pep supercontig:CcrdV1:scaffold_222:327670:333991:1 gene:Ccrd_022013 transcript:KVH99774 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MIHMGFSGAVAFFLVRVFKVVAPVKMTFEIYATCVIPISAFFASSLCSLIMKMDTVPVATLIMAVLCGTDKLRWEVFLNMLLVSVGVVISSYGEIHFNVIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCRYWTTMLANISLLFYVDKFCLSDVSFPKFSFPGCFGFLKKKQFVYCLLFLMINYKWSSSNSFAFLFVPWYFLEKTDMEVSQIQFNFWIFFSNAICALALNFSIFLVLARTGAVTIRVAGVLKDWILIALSTVIFPESAITGLNIIGYAIALCGVVMYNYIKVKDVKASQLPPESIPERLTKDWRLEKKSSDVFVPDNNIKDDARSSRFGSTSDTNVDEEAPLMASSRLSYIGRSQLSSRDA >KVH99770 pep supercontig:CcrdV1:scaffold_222:100426:114474:1 gene:Ccrd_021994 transcript:KVH99770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRSTLLCVFLVLILKYSESATPQAYRRDPGHPQWHHSAFQDVRDSVRSDVHRMLHSRAEVPFQVPLEVNVILIGFSGDGGYRYTLDSQKLQEFLQVGFPSHRPSCLETGEPLDIDHHMVFNAIPAAQPEVIALEKALKAAMVPAGTAREADFGRLVPAFEVNAADVEPEFQKLYSYLFYFENIGYSAEEIDRPMPTAIFIVNFDKVWITIPVRMDPRNKNIDLDSLMYERLGQLTEEDIKKQEGGYIYRYRYNGGGASQVWLGSGRFVVVDISAGPCTYGKLETEEGSVSSRTIPRLQNVMLRQGSTAANQFTTHDNFVGQLAALIRITVEHLVAPDVRFETVDLAMRLLIPIIVLQNHNRYNIMEKGHTYSINVDAIEAEVKNMIHKGEEVVIVGGSHLLHLHEKLAIAVSKAVRGHSLQETKKDGRFHVHTKMYLDGAILKEEMERSADVLAAGLLEVSDPSLSDKFFLRQQWMDETDGPIDSILKHKPIQAHNPKTRGKKNKGVPKKQGDLHRTYGTRVVPVFVLSLADVDPQLMMEDESLLWTSNDVVIVLQHRTEKIPLSYVSETERMHVIPSQVQRHIVAGLASAVGGLSAPYERVSHIHERPVVNWLVAAGCHPFGPFSNVSKLSQLLQDVTLSVEAFAGEYLRTPLGEPVKGKKNKTTTELWLEKFYKKETNLPEPFPHELVERLETYLDVNLSSLLYDHRLQDAYMNSTDILESSIFTQQYVDHVLESERKRMKCCNIEYNQPTHSSQSLIYAVILLAGFFVYFVVIFFSSPVSSCIFVVSISLPVEVKILDGSFASFAHIELKLGNIVELELAG >KVH99749 pep supercontig:CcrdV1:scaffold_222:3473:10053:-1 gene:Ccrd_021982 transcript:KVH99749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLKNRSSPSLQFLPTAAAMSLRPSSSYRGGRNQWRGRGFSNRPYAPVTDNIVNGNDDPNREFRPDCNPRPPRFRFRPNSDPPFNQNQSFQPRGQPPPPYLNPNQQFAPPPLYNQNQQFWRHGQPQPHFNPNQQFRPPPLYNQGQQQPSFDQNQQFRPQGQPPQPFGQFRPQQRSRQRPSKPLDYRNWEYAKPGPPPSCERFTVLSYNILADYLAINHRGKLYFHIPRHVLDWEFRKKNIMFELGLWSADILCFQEVDKFQDLEEDLKIRGYSGIWKMRTGEPVDGCAIFWRSSRFKLLHEEAIEFNKFGMRDNVAQICVLESLNEKCSAGSPPPACSEGHNKVIICNIHVLYNPRRGEIKLGQVRVLLDRAFATSKLWDDAPVVLCGDFNSTPKSSLYNFISEQKLDVSELPRNMISGQMSAEIPHKRPFTNTIRHHTYFCRTQSTDNATQSPRRVDNQEVHKEEAGIKGDSTCSMSSQPECISDMPDLSASSLTDTMHEDKKTVPQLEAKEESEGNAANCTSESLENVPADAIGDGISLSEDHILVDETILTTSPDEPLEAVEDVSVGEDSVSFLSALHGPDGSDFVDDNETSEAELSLGTPDILDGSFSTGNEAFVQKPAYDPSGWTPMEIEAATGSSECTTMEHPLTLRSTYSEVKDYSGTRDSNGEPQVTSYHRGFQGTVDYIWRSKGLQTVKVLAPIPKHAMQWTPGFPTKRWGSDHVALVTKLAFNKEPKSQVE >KVH99778 pep supercontig:CcrdV1:scaffold_222:367007:380616:1 gene:Ccrd_022015 transcript:KVH99778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MVEFASAIIGPIFECLIVPVKKHLGYLFLSRKHVTDLGDGMKQLEGESVDVENHQDRNNINTLEIPARVPGWLKEVAKIKRDAETISRNEYRCFNMKARYQMGRNAWKTTQEIERLLQERREISWTDAQKPLGRVYTRRASTPAPSSGGIGDDFKSRDTTFKDALKFLQQDQNIQVIALCGMGGVGKTMMMEQLKKVVEDKKMFHWIVKVVIGKEPNPLSIQQAVAENLGDPLIETTKATRADRLQMKFVEILKKAENGILVILDDIWEKVELKDVGLSPLPNGVKLLLTSRYEDICKSVAIESNLDLIILKVNVLEEIEAHKLLCQITGISKVYDPELYQIGGEIVKKCSCLPLAIKLIAATLTSEEKSIWKDRLRCLKKHDLDPSVHEIIKISYDYLKEEEDKEIFLLCGLFPEDANIPIEDLTRYAWGLKLLNGVSTVEDGRNRIKACVRNLKKANLLIDSDYFGCVKMHDLVLAFVLATVSKGDHVSIINHGDMSKWSGVDLSESCKRISLTCRGMCEFPKGFKCQSISLLKLMHGDDSFEFPQGFYENTKNLKVIAYEEMQYPPLPKSLQCSTNLRTLCLHECSFMFDCSSIGDLLNLEVLSFANCGIRELPSTIGKLKKLKLLDLTGCIDLHIDDGVLKNLVKLEELYMKVASTLQKSKLDKKAIRFTDANVDELVWCSKNLIALEIEFQAPLKNMSFTKLERFKICLGCYLREYEDQNKYLFGNTLRLVISSCPDLETLVDGENSGVEVIKFEALKFLSLRGLPKLKSFCNAVDVIELPQLRDLKLKGLAKFTSIYPINKLATTCMSGDPSERQSLFKEKVMIPRLEKLDIDDMENLIEIWPSSEEVDVCMLREIRVMGCDSLVNLFPSNPMSLLHYLQEVTVEDCDSLEVLFNIDFGCVGKIEEVSSCLRTILVCGLRKLREVVRVKGANNSSLIIGGFETLERLKIERCKRFRNVFTPTTTNIDMRALSLLEIDCRKSWRGEINVTSNEEISEVGDAVVFSSKVIHTFHHIRTLHIRHHKRAEGVFEIETPSNREIATTLHNTQRSLLLPYLTDLELSYMECMSHVWRCNWNKFLIPQKQQPEGSSSFHNLANISLHGCNSIKYLFSPLMAKLLSNLKHISISYCDVIEEVVSNRDDEDEVRVVSTSANTSNGFFPHLHKLYLSNLPKLMRVGGGGGSNAIFSNTTTNTSIHHQFKLSQVNDVAWSLCQYFRKIRIHDCQALPYVIPSCVLGQMQKLEKLKIEDCESLAEVFETQGFKINGTSGGTDHNTLVIPTRKNINASQLSTLKKLVIKGCDLLKHVLTCSTLESITQLEKLRIISCKALEVIVKEDNEEQTTISSKVVVFPRIKFIQLRDLPNLTGFFLGKNEFEWPLLDNVMIDACPQMTVFTSGLSITPKLNYIHTEFGKYSLECGLNFPVMGSTLHEIPCSSLDNTNSCATTSEGTRWSFHNLIEIDASENNDVKTIIPSNELSQLQKLEKIRLYDCDLVVNVFEALEGTSSSESQSVVEIPKLTEVDLDCLDRLEYIWKNNPWMVLKFPNLTKLCIRGCESLRHVFGSSMVGSLLQLQEIHISYCKNMEVIVKEEEEERDGKVNEIITMLPQLKSLILIKLPSLKGCYLGNEALSWPSLDTLEIKCCPSIEVFTKAHSATPELQVIDTSFGRCELVKEEGLNSFIINTKQQQGLQF >KVH99760 pep supercontig:CcrdV1:scaffold_222:167756:179374:1 gene:Ccrd_022002 transcript:KVH99760 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF814 MVKVRMNTADVAAEVKCLRRLIGMRLSNVYDLSPKTYVFKLMNSSGITESGESEKVLDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGVGVNAHYIILELYAQGNILLTDSEFMVMTLLRSHRDDDKGVAIMARHPYPVEICRVFERADGAKLQETLTSFNNQESNEHVEDGAGGNHAPVAPQVKKGSHKAVKSNDSNKKKDSAHAKQATLKVVLGEALGYGPALSEHIILDAGLAPNLKVSKDLKLEDGCIQTLSEAVKRFEDWLEDVISGAKIPEGYILMQQKKMGKDQAPAESSGTDQIYDEFCPLLLNQFKSRVSVKFETFDAALDEFYSKIESQRVEQQQEAKESSAMQKLTKIRNDQENRVQVLKKEVDRSVTMAELIEYNLEDVDAAILAVRVALANGMSWDDLTRMVKEEKKSGNPVAGLIDKLHLERNSMTLLLSNNLDEMDDDEITQPVEKVEVDLALSAHANARRWYEQKKKQESKQEKTLTAHAKAFKAAEKKTRQQLSQEKSVAAISHIRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHKPDSTVPPLTLNQAGSFTVCHSQAWNSKIITSAWWVYPQQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGILFRLDESSLGSHLNERRVRGEEDGIIEAEDGEPFKELSDSGSERETAEAEDPVNVSDFPTDEQKLTSLSSEASSTHEISSSDVKTTNLQEVSVEEEASHSGNIDDNGLETSGRAAATVSPELEDLLDRALELGSAASSAKKYGLHVSEVESEINNHEEKKATVREKPYISKAERRKLKKGQPHSVGGNAGHGEEDVEKNNKVNHQPQKDVLNLRLDGGGKVSRGQKSKLKKMKEKYADQDEEERKIRMALLASAGKAKVNEQDAQSEAVSTNTELKSVGAERMAMEEDDIKEIGEDEKEKLTDVDYLTGVPLPNDILLYAVPVCAPYAALQSYKYRVKIIPGTAKKGKAAKMAMNLFGHMVEASQREKELMKACTEPELVAAIIGNVKVSAAGLNQLKQKQRKGKKAAKAS >KVH99772 pep supercontig:CcrdV1:scaffold_222:275707:281462:1 gene:Ccrd_022008 transcript:KVH99772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRPPNLPSPPRPPKPPSLMPPRPPESKRLIPPLSFPNPGPPRAAISRTSAVKEFKVIKQANRCCYVMLLTVEHWNYPLEADFEQVAPQLDGSGMERTQPVFLAENQYPLSARMQPFALVEETVQDAVYAVDSNNTIHRCSRQPSLSKVQAMLDQLEHNEVPERPDLPQQPCLTEVTT >KVH99756 pep supercontig:CcrdV1:scaffold_222:248307:256000:-1 gene:Ccrd_022006 transcript:KVH99756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MDKTLRLLEIGFSEQEISAAIEKYGSEVSISELADSIVCDRMGGPCIKTEEDPFGANSWMTGNKFKSSSMGAERVLDASFYSNLALRTEESSQAAASQIRDFDIGDSCKGKQPKEETADELITIQRPKPEFDDLNSYSGPACTVPKPPVSSKVLQRQLKYKARRMAATGVPKLIQPVSCSSVDQMVAKAPLFFYGNVMNLSQDSWVKISQFLYAIEPEFVNTQFFSALSRKEGYIHNLPTKNRFHILPKPPMTIEEVIPQTKKYWPSWDTRKQLTCINSETIGISQLCDRLRNILIDSKGLLSVEQQKDLLHQCRSLNLMWVGRNRVSPIEPELVERILGYPMYHTREDGLSLGERLQSLKHSFQTDTLGYHLSVLKSMYPEGLTLLSIYSGVGGAEITLNRLGIRLKAVVSVEPSEIKRKILRQWWDKSDQTGELVQIENIQKLSSSKLESLIKKFGVFDFIICQNPYTYAPKSVTMAAAETESFAGLDFSLFYEFVRVLQRVRSAIKTR >KVH99776 pep supercontig:CcrdV1:scaffold_222:298942:301523:-1 gene:Ccrd_022011 transcript:KVH99776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSTPSPLSPTKFALTSHKPTNPSSKRIQLIKTLKNPTFEPLKDRLIRLSSVGQIQEAISILGIMTQQHQLTPDLTVFSLLLKSCIRTRNFELGKLVHLKLNQSGIKLDSIVLNSLITLYSKCGDWVTAKTIFDSMGDDRLRDLVSWSAMISCFAHNGMESQALLTFVEMLRHGEHPNQFCFSAMIQACCNGDNAWIGEVIFGFVIKTGYFELDVCVGCALIDLFAKGFHDLVSAKKVFDKMPERNSVTWTLLITRYAQLGHHENGIQLFLSMLLCGFMPDRFTLSSVVSACAELGFVSVGQQLHSWVIKLGLCLDVCVGCSLVDMYAKCATTEPMEDARKVFERMPHHNVMSWTAIITGHVQSGGLDKIAIKLYCKMITQGDVLPNQFTYSSLLKACANLSNLEVGKQIHNHVIKSGLGSVNCVGNSLISMYARSGSMEDAHMAFEVLLDKNLISYNAILEGYAKNADSGDAFKMFNQFEETGTGVDSFTFASLLSAAASVGAVGKGEEIHARLVKSGLDSNQRVCNALISMYSRCGDIEAAARAFSKMEQRNVISWTSIITSFAKHGMATRALEKFDQMLNAGMKPNEVTYIAVLSACSHVGMVSEGLKHFNLMYHEHNISPKMEHYACVVDLLGRSGSLERALDVIKSMPFKVDALVWRTLLGACQVHGNRELGKLAAKMITEQDPDDPTAYILLSNLYASKGQWEEVVNIRKTMKERKLVKEAGCSWVEAENRTHKFYVGDTCHPRTNEIYKELDELVRDIKKLGYVPDTDFVLHDLEEKEKEGYLVQHSEKIAVAFGLISMSKTKPIRVFKNLRVCGDCHTAMKYVSVARGREIVVRDSNRFHHFKNGSCSCNDYW >KVH99752 pep supercontig:CcrdV1:scaffold_222:16716:19014:1 gene:Ccrd_021985 transcript:KVH99752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MADKYNMKNPSVKRILQELKEMQSNPTHDFMSLPLEENIFEWQFAIRGPGETEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSGKYLILTLRTALTALIAFMPTDPKGALGSLDYKKEERRVLAVKSREAAPKFGSPDRQKLIDEIHEYMMSKAPPVPPPNSLESSEPPPVYTEQSGEARASPRKANTEITEAEEQHEAPAKEKIEAESSKVSEQIAPVVPCAAPAMVQQQEAMKPVVVQKAGDERLFTWAAVGLAVAIAVLLLKKFMKASGHGAMFMGES >KVH99757 pep supercontig:CcrdV1:scaffold_222:264387:281439:-1 gene:Ccrd_022007 transcript:KVH99757 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MAAEGGPDALGPRYAPADPTLPEPWKGLVDGSTGVLYYWNPETNVTQYEKPNALLPPLPTGPPPVPSAPKLAPIPGARTGQPNGVPGQQAHEMTHNTQQPGSQVTSQSQQHAEQVSQVAQQNSSQLPQGGQQLGSQMGPGMQQLGQQMAQHIRPQMGPNQHTFSTVEQQMPPQQGVQIPQTYQGGPLGQQQGYQFTHQQXHYMGYQQNIPQAGQPNIPQHTQHPEQFPQQEQKAAFLQREDTDFQQGKQVGFSPSQIHQVGVPPVQSLPPEGNSSVQPGQTTPYGGSQLSVQQPSSFGQLQHSGIHHQQHGPRFQNQMGQTQMHGQQPNVPPVGFKMGFEENQPGRGGNEHYFNANNDGPNIAPHQPKLAAIPMARNQPEMRFGAPLPQNVPPGVFGGSNNMPGPASHNILGHATGGPPYTNNPMTMQNQAAMTSPDALNMSSVDIYRQKHDVTATGDNVPAPFMSFESTGFPPEILREYVEVVPHMEKQRRVEQILRSQERGSKIIIFCSTKKLCDQLTRNIGRNFGAAAIHGDKSQGERDWVLNQFRSGKSPILVATDVAARGLDIKDVRVVINYDFPNGVEDYVHRIGRTGRAGAKGMAYTFFSEQDWKYAADLIKVLEGADQPVPAEVREIAARGGPGFGKERGGMSRFDSGGRGGMRDGGFGGRGGDGRFGGRGGDMRDGRFGGRGGELREGGFGGRGGGGFGGRSGQRDEGFGSRGGMRDGNYGGRGGAPEAEIVGEAAIISCPVPVPDVLNKSSPPAVPPSANRSMAAEGGPDALGPRYAPADPTLPEPWKGLVDGSTGVLYYWNPETNVTQYEKPNASLPPLPTGPPPVPSAPKLAPIPGVRTGQPNGVPGQQAHQMTHNTQQPGPQVTPQSQQHAEQVPQVAQQNSSQLXQGGXQXGSQMGPGMQQLGQQMTQHIRPQMGPNQHTFSTVEQQMPPQQGVQIPQTYQGGPLGQQQGYQFTHQQSHYMGYQQNIPQAGQPNIPQHTQHPEQFPQQEQKAAFLQREDTDFQQGKQVGFSPSQIHQGGVPPVQSLPPEGKSSIQPGQTTPYGGSLLSVQQPSSFGQLQYSGINHQQHAPRFQSQMGQAQMHGQQPNVPPVGFKMGFEETQPGRGGNEHYINANNDGPNVATHQPKLAAIPMARNQPEMRFGAPPPQNNNMPGPASHNIYGHATGGPPYANNTMIMQNPAAMTSRDAMNMSSVDIYRQKHDVTATGDNVPAPFMSFESTGFPPEILREVSAISAPEAEHMSYAALPCAHFATSILIRLQNGQLEPSLASSEGWLACIRITLIIHAAGFASPTPIQAQTWPIALQNRDIVAIAKTGSGKTLGYLIPAFMHLRFCRNNPQNGPTVVVLAPTRELATQIQDEAIKFGRSSRVSCTCLYGGAPKGPQLKELERGADIVVATPGRLNDILEMKRVDFRQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIAGDLLVNPVQVNIGNADELAANKSITQYVEVVPHMEKQRRVEQILRSQERGSKIIIFCSTKKLCDQLTRNIGRNFGAAAIHGDKSQGERDWVLNQFRSGNSPILVATDVAARGLDIKDVRVVINYDFPNGVEDYVHRIGRTGRAGAKGMAYTFFSEQDWKYAADLIKVLEGADQPVPAEVREIAVRGGPGFGKERGGMSRFDSGGRGGMRDGGFGGRGGDGRFGGRGGDMRDGRFGGRGGELREGGFGGRGGGGFGGRSGQRDEGFGSRGGMRDGNYGGRGG >KVH99773 pep supercontig:CcrdV1:scaffold_222:291718:293853:1 gene:Ccrd_022009 transcript:KVH99773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MYPLLLLPFLLLFPLGCFPVSLPLCRNSCGRIHIDYPFAIDDGCGSPLYRNMFNCSTTFASTSNTSTSTSTATATATATDLFFQTPSGSYKVETIDYASKSLTIYDPSMSTCTILQPHHDFLMSDLQFAIIPPSPDTIFALLNCSLDSPILNHYKSLCFDNYHSCDDLYASCTSFKIFQMLSNNTPPCCFTSYTTLKFMSINILDCTHYTSFYDADKLNKPLDWSYGIKLSYGLADTGCDGCRRSGGTCGFDVETQGLLCICSPTLNSTRECGAGTTDAGGRGGDGKRLVVPFLRLITTIAIATAFALL >KVH99768 pep supercontig:CcrdV1:scaffold_222:132498:135340:1 gene:Ccrd_021997 transcript:KVH99768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPPSPIEQQVYVDIESVVNEGLSQLDPPRSGEKTKLCDQFSMSVDDGPLLLVKEGNSSVMVLMDGGKEKRKKGSISAKKPPKPPRPHPHRGFSLDAADEKLIKELAELAMIKRARIERMKALMQKKASKAASSSSNATLFAMLFTTIFFIIILLQGMSCRNSHGTFEGSPQTNENSLLYIQAQLNHSTHTFV >KVH99759 pep supercontig:CcrdV1:scaffold_222:161841:165467:1 gene:Ccrd_022001 transcript:KVH99759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MVVANQMSPIRVEKKKIPTIDLSAKESQVSKLIIEACEEYGFFKVINHGVPHHIIKTMEDESFRFFHKALPEKQRVGPANPFGYGNRNIGLSGDTGELEYLLLQTNQNSIANACKLISSAPSKLSYTVNGYIAEVRRLACEILGLMAKGLGLPLQVFTTLLTDRDTDSLLRLNHYPPPTAAAAVSSTTNNPIGFGEHSDPQILTLLTSNDVPGLQLSLGNGHWLPVTPDPQAFCVIVGDLLQVAFTWADYKSHAYAHRLGETRLDHFKLS >KVH99767 pep supercontig:CcrdV1:scaffold_222:79543:82064:-1 gene:Ccrd_021990 transcript:KVH99767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDEGIERVEDSKDLQQQSKALDKLTDHVEDRQLDSTRVQEAMASIAASKEADLNAMRLREKELAAVKINSAEVDIIANELELDKKVAERTLREHKGDAVAAIRHLLHVGNL >KVH99762 pep supercontig:CcrdV1:scaffold_222:147109:152039:-1 gene:Ccrd_021999 transcript:KVH99762 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated interaction domain-containing protein EIILEILARLPIKSLFRTKCVCKLWYKLASHRSFTLAYNELSVKNVMVLVEVTESSTESTSSLILIDNKRGVSEFSLDFVKDRVKIRASCNGLLCCSSVPDKGVYYVCNPMTREFKLLPRSRERPITRFYPDGEATLVGLCCDLLSNRYNVVLAGYHRMFGHRPEGKLICWVFDSESNKWRKYVCDEDDHGFTHMNRNQVVFVKGCLHWMTQSFSYILVLDLKLDLWRRMVLPAEMGCGNGSGNRVYLLESQGKLSVIQICSGWMNMWVLEDYEKGKWDLMDRVSLRCIRGMVPGIFPISQGGDYVFLAGHKQVLVYQRKTRIWKEMYSVKNNCTMPLWFSAHSFRGTIYKMKPKPILVPMAMAMAMATTFYVLCFFLSVAPVPLPKSDQNLLEFPLNLEYMEAEFFLYGSMGFGLDHIQPNLTGGGPPPIGARKANLTPLITDIITQFGYQEVGHIRAIKSRIGGGFRRPLLNLSAESFGAVINQAFGKPLYPLFDPYANDINYLLSSYIIPYVGLTGYVGANPYLQTPTAKKLVAGLLGVESGQDAILRNLLYERATLCVVPYGITVFDFTNKISELRNKLGRAGLKDEGLVVSPYLGAEGKVKGNVLSADTNSLSYGRTPKEILRIVYGSGKEQVPGGFYPHGRGLDHIQPDLTGGGPPPIGARRANLTPLIRDIVAQFGYQEIGHIRFHLLPNLLYM >KVH99769 pep supercontig:CcrdV1:scaffold_222:120609:121707:1 gene:Ccrd_021996 transcript:KVH99769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MASPTFISDPVTNNMERARDSSKRRKKKKIQRQSGGGGRDQMNHNLSNNQMLPWKSEAQQQVYSSKLLQALRQVRISSDSSPPSAPRRGRAVREAADRVLAVTAKGGTRWSRAILTNKLKLKFMKNNKRQRGAVVTATGNSRLKKPRVSILRLKTKNLPAVQRKTHVLGRLVPGCRKQPLPVVLEEATDYIAALEMQVKAMAALANLLSGGGGMISQPPPSL >KVH99777 pep supercontig:CcrdV1:scaffold_222:293056:297513:-1 gene:Ccrd_022010 transcript:KVH99777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDQIQQEAQHRWLRPAEICEILTNYHKFQLRTSPPANPPAGSLFLFDRKVLRYFRKDGHRWRKKKDGKIVKEAHEKLKAGSVDVLHCYYAHGEDNDKFQRRSYWMLDKRFENIVLVHYREVKEGYKSGSPHVLNADSGSLLQSSESSLSPGCCHASVDRNEQSASSRLNDGQSFKDVGISSLDQPNRSTFHAGYLDREPFHPVPDSSTWTNFNGSARDTITADVLSYKLTEARLDVGSHSHDFLNGLFPDPLQVHAGFEAIDTSAVNCSIQNDDAGGLKKLDSFGRWMDKEMGGDCEDSLLAANSGNYWNALETENDRDQASSLTHHMQLNTDSVGPSLAKEQQFSILDFAPDWAYSGTETKVLIAGTFVGDKKRWSEIKWCCMFGEIEVPAELVTENTIRCQVPFHAAQRVPFYITCGNRLACSEVREFEYVEKPAEHPVDEDELVLMIRLSKLLSSLGIQRKWLGCSMMNCEKCKLKDVICSLVRDKERSWKRITEAFKGNSEELLIQNLLKDKLYEQLLPEAHETCQGLRMLDEKGQGVIHLVSALGYEWAVGPIVAAGVSSNFRDARGRTALHWASLYGREETVVALVKLGAFAGAVDDPTGLNPGGQTAADLASSKGHKGIAGYLAEEDLTSHLSALSVNGGNSAVANGDKEHSLRGSVAAVRRSVHAAALIQDAFRARSFRQRQVSRNMNEELVALATAAAASSSHKVQKRGEYEDYLQVAAASRIQQKYRGWKGRNDFLKIRERIVKIQAHFRGHQVRKHYKKVVWSVGIVEKAILRWRRKSRGLRGFRVGKEEAAGEYDFLRIGRRQKYVGVEKALARVQSMARHPEAQEQYMRLVEKMKRHEEDR >KVH99779 pep supercontig:CcrdV1:scaffold_222:350933:351644:1 gene:Ccrd_022014 transcript:KVH99779 gene_biotype:protein_coding transcript_biotype:protein_coding description:S locus-related glycoprotein 1 binding pollen coat MTKSLVFIICFLFFMTPGMKLLMAQERYCIIEKPFPKCTKDACVAQCTKTYGKGSYGECKKPELCHCRFTCAVPPPMKDEDGMNRDVHPIPSIPKKNGYV >KVH99751 pep supercontig:CcrdV1:scaffold_222:11964:15738:-1 gene:Ccrd_021984 transcript:KVH99751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSSIKEFDHLKIPLQHLKSTTHNFNDNDLIGRGGFGKVYKGELLLSTGLTTVAIKRLDTALGQGAPEFWKEIMMLSHYKHENLVSLLGFCDESGFLTKESDVYSFGVVLFEVLCGRLCVQTYKEIGPFLARLAQQHYEEKKLDLIIDGSLKDQISTKCLETFSTIAYQCLQIDRVKRPSMTEIVRELENALKYQIKENLENGELLEMEDSGLWFSSLHDNHSSSREEGETDSRYYEILLEDLNIREQCLHQVTLFEQQEYPDDVIRSFKNEVSLIKRLRHPNILVLIGAVISPQPLGIVTELLPRGSLFQMLHPNPSQLNWRIRLGMAVDVAKGMSYLHHRKPPIVHRELNSSNLFIHNNWTLKVGDLGLSSIKQQLYIKPQWMAPEILRDEPSNEKADVFSYGVILWEITREKIPWESLNPAQVIAAVGFKGKRLEIPTDVDPLWASLIKSCWCNEPWSRPTFQEILKTLANLQKRFEAE >KVH99748 pep supercontig:CcrdV1:scaffold_222:3:1472:1 gene:Ccrd_021981 transcript:KVH99748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFLNPLDHEQFRKQAHLVVDFLADYYSNIEKYPVCSQVKPGFLTETMPDSPPFQPQPVEAILQDVQKHILPGITHWQSPGFFAYFQSNGSTANFLGEMLLTGFNTVGFNWLASPAATELEMVVMEWLLKLLQLPKSFSFSSDGGGVMHGTTCESFVCTLVAAREKKLSQIGNNRGDTLGKLVVYCSDQTHCSLQKASQIVGISPNNVRAIRTTRSMNFQMCPDALLXTIEEDVRAGLVPLYLCLTVGTTQTTAADPIGILCEVAKKFNLWSHVDAAYAGTACILPEFRHFLDGIEGANSFSFNAHKWLLTSVGCCCLWVKDRTDLTKSLSTDPEYLKNKATDSKQVVDYKDWQITLSRRFLSMKLWMVMKSYGVSGLREFIRRHVNMAKHFEALLSGDKRFEVVVPRNFATVCFRIAPSSESSNEEDINQLNRKLLESLNGTGSVYMTHAVVENIYVIRVAIGATLTEEKHMNKLWDMVQEHVNTLLAC >KVH99753 pep supercontig:CcrdV1:scaffold_222:30058:35106:1 gene:Ccrd_021986 transcript:KVH99753 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S ferredoxin-type, iron-sulpur binding domain-containing protein MALHFSCHAAALNTHLHCKLNATVNKPHEKVRDLIIRSKVPSITTVPQKSLQKGNWVKLICGASFEDVVDVRNLSLVYTLAGVDCIDCAADLAVVNAVNEGIDAARTILPIRRPWVMISVNDDEDLHFRKAEFDPDDCPNDCSRPCEKICPANAISFQDAFSSYKVLTSFLLQPGVITERCYGCGRCFPVSLPYIGDSTVSMMNKMYSILEQDLRCLNLWQLDGRPMSGDIGRGATRESIAFALRLASTNNRPPGFLQLAGGTNAHTVDGLRKQNLFQTATVSESSRVSNSNALISGIAYGGYARKIVGRVLQSDSDSEGGVGEIESYPDHLLMAVIEALGLVGGVKSYNM >KVH99747 pep supercontig:CcrdV1:scaffold_222:42028:61757:-1 gene:Ccrd_021988 transcript:KVH99747 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAC motif-containing protein MEKSKKSPSAEELLRKILELEKRHAHLKQEMSKLMFSGNRPKPDHRRSNSDSLWPVVGGTHDGVSEPLAMKLTATQYWNVVQSMGQAIHVFDLNCRIFFWSRTAENLYGFTPDEAYGKTPMELLVEPKDSILGGYILKRTFNGESWSGQFPIRNKRGERFMVIATNTPFRDENRRIIGGICVSSDARPYQVTEPGLSVIASSRVGPDSQQPLQPSVASKISNLASKVKLKMKKGEKYTDHEDLSYVGASDYTDNSLESEASTPRGHIAPSPFGVFCSAGTEEHLSGKLTIDSGDESENKPRIKILSSKAQAWMGKKGLVWPWKEREVESFDARIGLFGWHRLDVDEEHEPGPQMSCSAKLECQMWESSNLGHNNKQEASGLWLSSIHFSSLSSSSSGRSIKSNAIKKMARESDYEILWEDLITREKIGQVVTIFIYSLCMWILCMQDVAVKLFVHQEYSVDVILSFKQEVYLMKRLRHPNILLFMGAVISPPHLCIVTEFLPRGSLFRILQRNTTRLDWRRRLHMAMDIARGMNYLHQCNPPIVHRDLKSSNLLVDKNWNVKVGDFGLSRVKHETYPKTKTGKGTPQWMAPEILRNEQANEKSDVYSYGVVLWELTTQKIPWDNLNAMQVIGAVGFMNQRLEIPKDVDPMWASLIQSCWCRNSAVFWSCKSKTPEFCFVGFAEIKCLKMEKSKKPASAEELLRKILELEERHAHLKQEMSKLMLSRNRPKLDYQTASRHCDRAGAGVFTSSLWPVIKGTHDGSSEPLVMKLNETRYWNILQSMGQAVHVFDLNGRIFFWNRASENLYGFTSDEAYGKTPTELLVEPKDAVLSDYLLMRILNGESWSGEFPIRKKSGEIFMVIATNTPYRDENRRLIGGLCVSSDAHPYQVSFRNIASQQPLLPSIASKISNFASKVKLKMKKRDDYKDDEGVSDVTTYDHTKDALENSLTPRGHIAPSPYAVFHSTGTEEEYNTIDSGYESENKLGTRKILSSKAEAWMGKRGIVWPWKEREVEFLDAGIGRFGWHRLDINQEHKPGLQISSGASVKLDCQPWENTQLVSNKMDASGLWFSSLHVSSTSSSSSSKSNTIIKVERETDYEILWEDLITKEQIGQGSCGTVYHALWYGSDVAMKLFVHQEYSDDVILSFKQEVSLMKRLRHPNILLFMGAVTSPPHLCIVTEFLPRGSLFRILQRNTTRLDWKRRLHMAMDIARGMNYLHQCNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRVKHETYLKTKTGKGTVIGAVGFMNRKLEIPKDVDPMWASLIQTCWCRSQTAENLYGFTPEEAYGKTPTELLVEDPKDXVLADYLLMRTVKGESWSGEFPIRKKNGKIIMVIATNTPYRDENRRLIGGICVTTAVRQYQVMKPGKSPTDIVSAKASRVKLKMKTREKYTDHEGDHTEDTLESSLTPRGHIAPSPFSVFHSTGTEEHLTEKLTIDSVDESENKLGICKILSSKAEAWMGKRKIVWPWREREEESFDARIGRFGWHRLDLNQEHEQDVAVKLFVHQEYSNDVILSFKQEVSMMKRLRHPNILLFMGAVTSPPHLCIVTEFLPRGSLFRVLQRNTTRLDWRRRVHMAMDIARGMNYLHQCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRVKHETYLKTKTGKGTPQWMAPEILRNEQANERSDVYSYGVVLWEITTEKIPWDDLNAMQVIGAVGFMNRQLEIPKDVDPLWASLIHSCWSRVASEHDPNQNPDQHSKKYYTSLKICRRSLQLNEGNKMLL >KVH99763 pep supercontig:CcrdV1:scaffold_222:139148:148481:1 gene:Ccrd_021998 transcript:KVH99763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISGPLTPAQVSFLLGIIPVCVAWLYSEFLEYKKTAASLKTGRDSDINLVELGNDTVKEDDRAVLLEGGGLQSASPRSHGSFIASPVVRLFTMEESFLLENRLTLRAMS >KVH99755 pep supercontig:CcrdV1:scaffold_222:181207:239907:-1 gene:Ccrd_022004 transcript:KVH99755 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 membrane targeting protein MPVNSAIGYLGPKFSTSVIFVGDISSCMLAHCKSYQILMTQKLEVLREILIQKLFFYGGMCSSCYADFVCFRFLAHAKVESVKSKEEAEQRMLKKNSWFSFGWSVNLSIFKSCLRSASADAPEGSQTVEDGLSKEEWQAINNLLSFQPDEDSTSQSGKDMQNMTQRMVIVSIGQAAARIINVNEIEIGCGRFEQLQICTKFKHRSIYCDLTLKFYGLSAPEGSIAQSVCSQQKANALAASFVYSPVGENLDWRLSATISPCHVTVLMESYNRFLEFMKRSNSVSPTVAFETATALQNKIEKATRRAQEQFQTVLEEQSRFALDIDLDAPKIRVPIGAHASSKYDSHFLLDFGNFTLRTEGEHDNQGQNLYSRFRISGKDIAAFFMDGGSDIQTSDQESLSHSSQLSAYPVVDSACHSYSLVDRCGIVVIVDQIKVPHPCHPSTRVSVQVPALGIHLSPSRLSRLMALLKVLSGTIESGTKLVENCQAEHAPWSTPDLAAEVQILVWRGIGYSVASWQPSFLVLSGLYVYSLESRTSENYQRCFSMAGKQVYEVPSNNVGGSSSCIAVCIRGMDIQKPRVYFVSIIMVRLGGCDGGCACTLAIGNSAWLLCPTEAHSRMGRQWSAGKLVLILDAVHWNPEAPPQRYRAFAALESSSTLVVKFASEKEKTSWLRGLVQATYRASATASVDILGKEGDLVTELSEPRSTNTKIADVIVDGTLVETKISIYGKVETEVHDEVVEVKMLEVLTVGVKVYVASCEGDLTVKMNLHLLKIKDELQNTSSIPQYLACSVLEEYHSVDPIGTINPPVEELSGGLADDDDTFTDALTDFMSFAESGEAFHEKDHRKVRGNSGDVFYEAEGIDASDFVSLTFSKRTRQSPDYDGIDTQMTIRMSKIEFFCHRPTVVALIELGIDMGTASSGTSGTSTSKEDGETIVQKDKTEEHGQAKVKGLLGHGKDRIVFSLKMNMDSVTVYFNKEDGVRLAMLVQESFLLDLKVHPGSISIEGTLGNFRLCDSSLGMDHRWGWLCDIRNQGDDSLVQVRYLIVMLYALCLSGRLSAVRIVFLNRFIQEISAYFVALAPPNSEEAFKFVDKVGGFEWLIQKYELDGAAALKLDLSLETPIIVIPRNSMSKDFLQLDLGHLSVKNEISWYGPQDDPAAIHLDILHARILGINMAVGVNGCLGKPMIRQGREIHIFVRRSLRDIFRKVPNFALEVKIGSLHAVISDKEYSIITSCLAMNMGEEPMLPPNFRDTNAGSSETIRLLADKVNMTSQVFLSRSVNILAVQLDYALLELCNGIQEDSPLAHITVEGMWASYRMTSFSEIDLYVTIPRFSILDLRPDTRPEMRLMLGTSTDVLKQAYNKQSYNKAGFVRAETMSHVDVPHSTMLLMDLRWRSSSQLFVVRIQLPRVLVVPDFLLAVGEFFVPALGAITGKEEVMDPKNDPISKANTLVLSGSLYKQTEDKVNLSPNRQLVVDAAGVDDYTYDGCGKTIILNEDPEQLQSSEFRPIIIVGRGKRLRFVNVTIKNALLLTEYTYLSNDSSFSVSSEDGVEVVAQDSSSFISESKSLDKAEKPSYTPITSNTSQTESTKKPSLSFEAQVGNSVLSLVLLPKLHFLHSALFQLNLKPLHALKFASTLCLVSLGHYAIESIRCMLSKFCCCLKCTLEDFSFQFIHLCRVHLLMPQVVSPEFTFYDSSKSSIDGSFHAEKLLRARMDLSFMFASKENDTWIRALLKDLTVEAGSGLRILDPVDISGGYTSVKDKTNISLICTDIFVHLSLSAISLILNLQSQLVAAIRFQNADPLSPCTNYDQVWVSPKAKGHHNAITFWRPRAPSNYVILGDCVTSRPIPPTQAVMAVSNTYGRVRKPLGFKLVGLFSSIQQSERVEDANCDCSLWTPIAPPGYLALGCVAHIGNQPPPNHTVYCIRADLATSTAYSACMFSASSNNTYPSGFSIWRLDNFLGSFYANPSVSCPSQDICYDLSHLLLLNSSWRRMSARESRSDVNVDRSSTDQQSSNQGTSSSGWDVLRSISKASCYMSTPNFVRIWWDKGSDARRPVSVWRPVSRPGFKVLGDCITEGLEPPALGIIFKADNPDISAHPVQFTKVAHIIIKGLDDAFFWFPIAPPGYTSMGCIVTRIDEMPKSNLVCCPRTELVSQATILEMPISKYSSSKASQCWSIWKVDNQACTFLARPDLKKPSSRLAFTIGDSVKPKTRDNIMAEIKLRCFSVTIIDSLGGMMTPFFDVTITNIKLATHGGMDSMNAVLISSIAASTFNTQLDSWEPLVEPFDGILKYDLTYNVLEFIETSSHNVGEEGSVLDVDDFQTVIIENRLGCDIYVKQYEQNEQNEQSSSTVKLLEYEDSASVWIPPSRYSDRLNSVEDSREGRCYIAVQIIEAKDLPIVDDGNSHSFFCALRLVVDSQEASQQRLFPQSARTRCVKPLISKANDLGSARWNELFIFEVPRKKKLCMILANILFSVLLQGLARLEVEVTNLAAKAGKGEVVGASTISVGHGANPLRKVASARMLQHATDGQKNVTHLLTRRGQQKNDDLLFQGCLVASTAYFEMKTISNLQSSLEEEKDVDNDVGFWVGLGPEGVWESFRSFLPLSVITRKMDNDFIAMEVVMKDGKKHAILRGLATIANDLNVKLDINVCSASRSQDPSADEVGGNIVVEEIFENQKHSSILGWGIRRPSFRGNDPGRWSNRNFSYSTNDFYEPPLPPGWTWTSAWMIDKSDSVDVDGWAYARDYQSLLWPPTPQNASKSSQDNIRRRRWIRTRQKDTEQETANTGSVIRVLEPGCSVVLPWRSLSKDSDSCLQIRPSAGHLQGMYTWGSPVGLVTSPGGTKDHSSNDQGQHSRENSVKQGKKMLNSSFKLNRLEKIDTLWCCSTTEGKQFWLSVGADASVLQTELNAPVYDWKISVNAPLKLENRLPCPAQFTIWEKLNNGNASERQRGILSSRSIEPIYYADVRNPIYLSLSLQGGWMLEKDPVPVLDLSSNIHASSFWMIHQQKKRRLRVSIERDMGASAASPNTIRFFVPYWISNDCSVPLTYRVVEVEPLENADADSLLTTKASKSGKLKNLPSLADAKLTGSRRNIQVLEAIEDTGSTPSMLSPQDYVGHGGAMLFSSRNDAYLSPRVGIAVAIQHSENFSAGLSLLELEKKQRVDVKAFTSDGSYYNLSALLHMTSDRTKQCETQSLVWIHPTDAPNHFRWQSSAKVELLKLRTNGYHWSTPFSVATEGWMRVSLRSETTGKRLYLKVEVRSGTTNSRFDVIFRTNSFSSQYRIENRSMCLPFRFRQIDGDDDSWRCLLPCTTASFSWEDLGRQRILEVLVDDTDTKESTKYNIDEVRDYQPVQVDGRPTKAVRVTIVKEEKMNVVMMRDWMPDNNDPQASADKKIASSSSQTSRNNLQPSVSTSDGEFHLTLELTEFGLSIIDHTPEEILYLSVQNLLLSYSTGLGSGITRIKLRMRGIQVDNQLPLTPMPVLFRPQRLGVEADYILKFSMTQQSDGSLDFCAYPYIGLQGPENSAFLISIHEPIIWRLHGMIQQIDFSRFSDNQTTAVSVDPIVEIGVLNISEFRFKFSMAMSPTQRPVGVLGFWSSLMTALGNTENMPIRVNQRFLENVSLRQSVLTTNAISNITKDILSQPLQLLSGVDILGNASSAFGHMSKGVAALSMDKKFIQSRQRQENKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKASGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIAAAITSEDLLLRRRLPRVIGGDNLLRPYDEYRAQGQVILQLAESGSFFLQVDLFKVRGKFALSDAYENHFSLRKEKILLVTHRRVILLQTSNLIPQKKFNPARDPCLVLWDVLWEDLGTMELTRGKKDGANAPPSKLILYLKSKSSENNDHTRVIKCYRDTKQASEVYSSIEQAMKTFVPNQPKEIHKRKVKRPYSGTGDVSTAEAVLKERGWFIEQVPASVPQNPIFGTSASSHH >KVH99750 pep supercontig:CcrdV1:scaffold_222:10738:12846:1 gene:Ccrd_021983 transcript:KVH99750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MRDGKGRAVVVGGSIAGLCSAHALLSAGWQVVVLEKTTAPPTGSPTGAGLGLDPLSRTVINSWLPQKQSDNLLNNQTLPLTIDQNQATDSEKKITRKLTRDESFNFRAAYWSDLHGLLYKALPPDIFLWGHMFLSFHVSDNNKSVEVQSQVLQTSNVVKIVGDLLVAADGCLSSIRQTFLPDLKLRYSGYCAWRGVLDLSSNEKSEIFVALQKVYADLGKCLYFDLGSGTHSVFYELLNQRINWIWYINQPEPQRKGNSVTIKVSDNMIKKMQEEAEKVWVPELVRVIKETKEPFLNVIYDCDPLEQIVWDRVVLVGDAAHPTTPHGLRSTNMSVLDAAVLGQCLMKSGVENLSAGLKEYQSIRVPVTSKQVLHSRRLGRMKQGLVLADGKVFDPKTCNLEECRDLQQKNMPGFNDMPPVFSRIATSKY >KVH99775 pep supercontig:CcrdV1:scaffold_222:308152:318297:1 gene:Ccrd_022012 transcript:KVH99775 gene_biotype:protein_coding transcript_biotype:protein_coding description:DBP10CT-like protein MQLLGPSSQWVDVMKMKRAIHEGVINKVNQQLSSNHSVKEDELECNRSPGMGKKVSGSKRKAQSFKDEEFFISSVPTNQHFEAGLSVRSNQGFASNRLENAVLDLAADDSGGLQKQKSSYHWDKRSKKYVKLNNGDRVTASGKIITESGSKGKANKTGIYKRWKEKSHSKVSFQGTNNEGSAVESTSLKGGRGMHKRGGFRGGNKSRSVPNAHVRSEVKNLDQVRKERQTKATKAALMKNNPKKGKKLGKNGGKRGKGRK >KVH99761 pep supercontig:CcrdV1:scaffold_222:177167:179276:-1 gene:Ccrd_022003 transcript:KVH99761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, NAD-binding domain-containing protein MDGEVRKQFQRMLEKQNMKFMLKTKVAAVDTSTDVVKLTLEPSAGGDQTILEADVVLVSAGRTPYTAGLGLDKIGVETESLWTEDSLQTSKAFTRLVM >KVH99765 pep supercontig:CcrdV1:scaffold_222:87691:91191:1 gene:Ccrd_021992 transcript:KVH99765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MDKSSPESLIVVKKDHDGIAYVTINRPKSLNSLTKPMMTDLARAFKSLDSDESVGAIILSGSGRSFCSGVDLTSAEDVFKGDVKDVEADPVAQMERCRKPIIGAISGFAITAGFEISLACDILVASKDAKFIDTHARFGIFPSWGLSQKLSRIIGPNKAREVSLTASPLTAEDAEKWGLVNHVVEGSELLKKAREVAEAIMKNNRDLVLRYKAVINDGCKLDLHHALLLEKERAHEYYNGMTKEQFKKMQEFIASRSSKKPASKL >KVH99764 pep supercontig:CcrdV1:scaffold_222:93149:99786:1 gene:Ccrd_021993 transcript:KVH99764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MLEGLMNLLSVCWKPFIKGDSSETGAAVGVNGNYNGDGDDFEKDGLLWFRDIGKYGSGEYSMAVVQANQVLEDQSQIESGSFGTFVGVYDGHGGPDVARYVCDNLFRNFQAISAEDYGVVTPETIRNTFLETENGFTAVVSELFNVRPSIATVGSCCLVGVIYQQTLFIANLGDSRVVLGKKVGNTGGMAAIQLSSEHNANLEEVRHELKSLHPHDPQIVALKHGVWRVKGIIQVSRSIGDVYMKHSEYNTDQIAPKFRLPEEVVMPILSATPSILTHAIQPSDSFLIFASDGLWEHLSNEEAVEIVHNNPRAGIAKRLVKAALHEAAKKREMRYSDLRKIDKRVRRHFHDDITVIVLFLNYDQISRGSANGPNVSVRSALEH >KVH99754 pep supercontig:CcrdV1:scaffold_222:37625:41913:1 gene:Ccrd_021987 transcript:KVH99754 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAF domain-containing protein MASGSRASSRVHPQDPPPSSTPSTSAANNRVDSMSKAIAQYAVDARLHAVYEQSGESGKSFDYSQSIKTATESIAEQQMTAYLSKIQRGGHIQPFGCMIAIDNSSFKVIAFSDNARERLGLAPQSVPSLEKPEILTIGTDVKTLFTPSSAVLLERAFRAREITLLNPIWVHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISNLQSLPGGDIKLLCDTVVQNVRELTGYDRVMVYKFHEDEHGEVVAESKRADLDPYIGLHYPATDIPQASRFLFRQNRVRMIVDCHATPVHVIQDDALMQPLCLVGSTLRAPHGCHSQYMANMGSIASLAMAVIINGNEDGVGGRGTMGLWGLVVCHHTSARCIPFPLRYACEFVMQAFGLQLNLELQLASQMSEKRILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGNYYSIGITPTESQIKDIVEWLLACHTDSTGLSTDSLADAGYPGAALLGDAVCGMAVAYITSKDFLFWFRSHTAKEIKWGGAKHHPGDKDDGQRMHPRSSFNAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFKDSEESISKAVIKVQNDEMGLQGMDELSSVAREMVRLIETATAPIFAVDVEGRINGWNAKIAELTGLSVQEAMGKSLVQDLIYKESEETVIKLRTFNLAQEEKAIFIVVNACSSKDYMDSIVGVCFVGHDVTRQKVVMDKFVQIQGDYRAIVHSPNALIPPIFASDENTCCSEWNTAMEKLTGWGREDVIGKMLVGEIFGSCCRLKGPDSLTKFMIILHNAIGGVDTDKYPFSFFDRRGKYVQALLTANKRVNLGGEVTGAFCFLQIASPELQQALKIQRQQENKCFTRMKELAYICHEIKNPLSGIRFANSLLETTDLTEDQKQLLETSASCQKQMLKIIKDVDMENIQEGHLEVEKEEFVVGNVIDGVVSQVMLILRDRGVQLIRDIPGEVKTLSVYGDQLRLQQVLTNFLLNMRIRTLLFPYRAPTSSTLHNVKHPLDISFRY >KVH99766 pep supercontig:CcrdV1:scaffold_222:82789:84333:-1 gene:Ccrd_021991 transcript:KVH99766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MFRLPLIMFKMINVAYVFMLITSMYFLDGVADKGVLTLKPTRVDATLLTSKIGSNRTIIVDIHGSEDFMSVQDAIDSIPLGNPNWVIIHVKEGVYREKVRIPREKPRIFLKGSGRTKTSIVWSQSSENNYESSTFKVEAPYFVAYGISFKNDAPTGIANTSHNQSVAAYVGADKVAFYSCGFYSNHNTLLDNKGRHYYDGCYIQGSIDVIFGRARSIFHDCEILVIMDNRMEILGSVTAHSRTSTNENTGFVFIRGKIYGTGHAFLGRPKGDHSRVVFVNTYMSKSVRPEGWSKWNHNGNLENIYHAEYDCHGPGSATNNRAKWLKKLSDEEVAPFLSTDFIDGKKWLITDHVSTKNL >KVI07978 pep supercontig:CcrdV1:scaffold_2221:5257:9140:-1 gene:Ccrd_013656 transcript:KVI07978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDTIEATKMVMSRIQNIDPENASKIMGYILIQDQGENEMIRLAFGPENLLASVIKQAKAFLDISSNTSSAPSSPSPFGHQRSPRIIIPNNGFHHMNPPPPLSPHSPWSSSGSFPDRRSSPRAASYASVVNGGGSSGSFYNNFGDVTEDYSSNSGLQVVTDQLSFLDNSKNVDFMDPIMSPGGRSDSILFPYPNSSTDWAADAGNCGDAHHHLHRRSCSVNDMFLGGGGGSDDVGSGLGGWRPCMYFARGFCKNGTSCKFAHGNGGFGDEMCLGSSSPTAVVGSPTGNIDKIEEFLRIKAIQQQQRIAAMAAGGAHPFPFSRCMNFLNESPRSPSAALMMGEDFHKFGRFRPDRHDLAAMGLGNSSSSSRQIYLTFPADSTFKEEDVSNYFSIFGPVQDVRIPYQQKRMFGFVTFVLPDTVKAILAKGNPHFVCDSRVLVKPYKEKGKIPDKKHQQQIERGDFSGCLSPSVLESAEPFDHIPFGARMFNHEMMLRRKLEGRAELQQAIDLQDRKLMNMQLTDLNNHQLHHNLAPVSSPTLCHAQTNQSLLLSSDGNNENVVSGDVNGSGTQGSTMDPITNASDLKMPLEVNDDDGNNSGNSSEKEENSNLSEIYNHESFEHILPDNLFASPTKTTATDHQSIFSMPEADGGVSAPSSSSDTTPVLPANSAALNMASLKSCYFQMPRKLKSRSRERKILSSCIGENTTNNNKKRKDL >KVI06815 pep supercontig:CcrdV1:scaffold_2224:59187:62351:1 gene:Ccrd_014831 transcript:KVI06815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase type 1 MRPELHATSRGRLFGHSGSKLSIEWTCPADTISSLGDRKSDLDANQLDHETSFSSFSKEFKNKVHGGQIVLKVCQTRKFLWASYTPQDLYGLQFHPESIATCHGSQIFKNFREITEDFWGGKDTFFISEGKVQYNGELQIKTNQLLTFLIHTQVASGGKLYLSLTHAGEKWKLAVQKQPRSNYLPILDTNHFNMYKMVNSSNLTNGVKFLKLKWRKLEHLSCQVGGVNNIFLEMFGDEKVGNTFWLDTSS >KVI06814 pep supercontig:CcrdV1:scaffold_2224:9208:16872:1 gene:Ccrd_014830 transcript:KVI06814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCASICLAPCMPFAEPIDISMASMDGVEEPNYKAASIFGLRKMYLRSKK >KVI04739 pep supercontig:CcrdV1:scaffold_2225:66618:75638:1 gene:Ccrd_016940 transcript:KVI04739 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDVIVCLREIMFSSPFILFALLFLLFIMKHIKLISFRKLKNLPPGPPKWPIIGNLHQLGEKPHVTVTKFAKEYGPLISLHLGKQLLVVATSPEAAMGILKTQDRVLSSRIAPSAFNHASLLPYSLIWSECNERWKTLRTICRAEMFSNKAIESHSRLREEKVGDMLDFLRSKQGQMVNIGEVVYTTVFNSISGILFSKDLLDLKDENEACGGLKKSLEKVLEHLGKTDLSDFYPIFRSLDLQGISKGFTKHSKELFSIWEVMIKERRSQIASSTWSSEDARSFLDRLIENEFSDSQINQLLNELFIAGTDTTTTTLEWAMAELLRNKEARSKLEQELRKEINYDKITESQISKLSYLQACIKETLRLHAPGPFLIPHRAFQTCEVMGYTIPENTELFINIWGISRDPKIWDDPLSFKPERFLGANLDFISQDFKFIPFGAGRRMCPGLPSAIKSIELILATLIHGFNWVLPNGEDLTKLDMNDKFGKSETENGFLQNLDFGLYFIVEVSSKIWVSVPCRDLQQDLCSRAS >KVI04738 pep supercontig:CcrdV1:scaffold_2225:53209:57084:1 gene:Ccrd_016939 transcript:KVI04738 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDVIVCLREIMFSSPFILFALLFLLFILIKLVSFRKLENLPPGPPKWPIIGNLHQLGEKPHVTVTKFAKEYGPLISLHLGKQLLVVATSPEAAMGILKTQDRVLSSRMVPSAFNHNSLLPYSLIWSECNQSWKTLRTICRAEMFSNKAIESHSRLREEKVGDMLDFLRSKQGQMVNIGEVVYTTVLNSISGIIFSKDLLDLKDENEACGGLKKSLDKVLEQVGKTDLSDFYPIFRSLDLQGISKGFTKHSKELFSVWEVMTKERRSQIASSTWSPEHARSFLDRLIENEFSNDQINQLLNELFIAGTDTTTTTVEWAMAELLRNKEARSKLEQELRKEINSDKITESQISKLSYLQACIKETLRLHAPAPFLIPHRAIQTCEVMGYTIPENSELFINIWGMGRDPKIWDDPLSFKPERFLGANLDFISQDFKFIPFGAGRRMCPGLPSAIKSIELILATLIHGFNWVLPNGEDLMKLDMNDKFGVIENRNLVVHAVTIVEVLVILSCVFALVILVYLAVFIHYMHILDHPLP >KVI04740 pep supercontig:CcrdV1:scaffold_2225:82000:85278:1 gene:Ccrd_016941 transcript:KVI04740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase class 1/Sedoheputulose-1,7-bisphosphatase MQSPITTTITATIQNSNLTPSFPPKFPLNPLRLRPEFLNRRSSTNMASSSTSFGRFKMNSKLDNNSDGFLTISEYAGKGGVDVGDDLVVLFSHLEYAFKRIAALVASPANSSLGRTSGGGEDVSSGRDKPKPLDTVSNEIILSSLRKSGKVAVMASEEDDAPTWIADDAPFVVVTDPLDGSRNIDASIPTGTIFGIYNRLVELDSLPVDEKAMLNSLQSGSRLIAAGYVLYSSATIICTTFGSGSHAFTLDHSTGDFVLTHPNIKIPPRGQIYSVNDARYFDWPEGLRRYIDTIRQGKGKFPKKYSARYICSLVADLHRTLMYGGVAMNPRDHLRLVYEANPLSFVVEQAGGKGSDGKNRILSIQPVKLHQRLPLFLGSPDDIEELESYGDVQQTVNPGYDV >KVH93678 pep supercontig:CcrdV1:scaffold_2226:12397:16851:1 gene:Ccrd_004269 transcript:KVH93678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MLRLTKEINRKEITQLQNKEIALKKVAAKGSKAEQKAKKKQVEEQISQLTTELKEKHVAELASVGYASSTTSGEGKENNIEILAKAIAGVSVTNQTEHSKPSKSAKRKEKRAQEEAAREQRIQEEQSNIVSDRMVENEKLEKKLEPLGLLVHEIKPDGHCLYRAVEDQLKIRGSPFYTFQELRKMVADYMRKNSSDFLPFFLSETVADGGYDDSVVEKRFESYCNEVESTAAWGGQLELGALTHCLKKHIMIFSGSFPDVEMGKEYKSGDSSESTIMLSYHKHAFGLGEHYNSVVPV >KVH93676 pep supercontig:CcrdV1:scaffold_2226:41873:46711:1 gene:Ccrd_004271 transcript:KVH93676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNEDFSTEFDVPPFPSPSDITFPSFIPPSEEETSKKRKRTTELSKMVEATKNSIDEATTQMKRLALVISDSTPEMDGLREELSGLGLGLIEIIQMGGHGRSNRRFWCPGEGDNEYRRKIARFNVLGEIKILFELEWMAGRPRFDKKR >KVH93677 pep supercontig:CcrdV1:scaffold_2226:17623:20141:-1 gene:Ccrd_004270 transcript:KVH93677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIMKGIAYESSSHVSYEDVRARFKHQTLLQDYLELQQETEAARNKLEAMKQKKQTLEAEVRFLRRRHKFLLKTKSSTSQQLKSQNVETTQFRKNKKEKVYPKKSATFPNLPPIHNLNQRGRGYTEKKNMVPSRPVPVYDLDQRVNLHGVNLNQEVVGNNLSLVNEFNQKEVVVQARAPIFDLNQISMEEEDVQEGYEEQRKEQHNDLKLSMCRNVGDGSTSRSGKRKISWQDPVALRV >KVH96172 pep supercontig:CcrdV1:scaffold_2227:1949:4235:-1 gene:Ccrd_001742 transcript:KVH96172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Septum formation topological specificity factor MinE MAIAGDLTVYAALRPSPTNLRRTISPPPHKVGFSNFAKGVSASSETMPKWSTSLTHSQNTRCRSNQRVGIISDYETSTSTIAHNHESFLFNAINMSFLERLAMAWKIVFPSPSMIQNSNANIAKQRLKMILFSDRCAVSDEAKQKIVSNVVTALSDFVVIEPQDKVQLSISTDSALGTIYSVTVPVRRVRAEYQEEDEEGTIVNIEYKDTGATSGSVDVKFDFYVPSE >KVH96170 pep supercontig:CcrdV1:scaffold_2227:62504:65457:1 gene:Ccrd_001746 transcript:KVH96170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF863, plant MMEEVKRREFHKYQISIDTSSSSSIMPSQKPYRDAHKWQISSFPLVNSTSARPSIFGAEISNSPLSCSKGNASKDCELSERRPSKVRKKLFDLQLPAHEYIEPEEGEQMVDNQASEISSYPSKENIFLPKNGVKRFLDDGGKKDSYKDGQHFMGSNGLADLNEPVYIQDATALPPVDFFGASVKPETFNHLPLEGKGNGRDRSNMNYTSQCFEPRKLQIPSDTMQRLHGKEVQRRATYSEISSQIQDHSHLNQTPLIFGASSEYQFVNTSDIGNSWAPLGPSWGKPNDSSTRKLTSFQTHPSFLSSPWSWEVFGDKWHRNGGYGMNTGSGSDIPSCNGFYHGSSSGSKERSARFPSVGFDYRNCNKINGGSQKIFKGSNFVDLTDTTKGLDLNTVQTLSNEDDDDSRKHDQTVLPWLRAKTVDVPKEKDDSLAATNRKLLGFPIFGNVCITKNDASSAVSTSASMEHRGIDVNVAWDDGTASEHIDKQIDIESCNEKREETDAEIKNFKNHFDLNSCVTEEDDDLLVTESYKSSKKTMTMEIDLEAPAVSEIEEEIEQKPDHSESEELAKIAAEAIIAISGQKDHLGSPVHGAKNDDDPLLWFVEVINSCKKKAREMDEYEMMALQLEETKEEDYMPMPLVPKEFQEPDDVGPALTSRPRRGQARRGRPRRDFQRDILPGMASLSRHEITEDLQVFGGLMRATGHSWNLGSTRKNGKKARGRRKVKAVETTPTASPPPPPPPPPPPPPLPSSPKQVNNIEVVGWGKTTRRPRRQRCAAGNSFAVQLT >KVH96169 pep supercontig:CcrdV1:scaffold_2227:16396:19567:1 gene:Ccrd_001745 transcript:KVH96169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MDEEMMTLHNVMPVKLAIKREMEYRSKMEVLRNRRFNNLNPLLPSQVQPANQATLKRKEPSSSGTALERRSTGLICKICQITFGTVLHLKQHSETIRHKGNILQLKKRGQNVSTPFLCELCNSSCSSGIVMEDHLKGTKHATVLQEFENAKRARAEEAFAAKLY >KVH96173 pep supercontig:CcrdV1:scaffold_2227:6344:11319:1 gene:Ccrd_001743 transcript:KVH96173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF155 MGRWRTSLFLSRFAADSFSRKTHVFQPNFVFRRLITPLYPNPSPTSLTPTTNFGTFRHFSAFSNAASPIFDHVNYDDEQKYAEELFLHDEDDEELGKISVRAIFLCTRVHVEQSKIYGFGSVDLKSLQVEISSHVVPPTSRSINHIALRFCNINSDYTYGSAVLFNVEDHEVESYLDLIRRHASGLLQETRKDDYVVKEKPLLSEDMQGGPDYIVLKNLDIDSIRIISSVLGQSIALDYFVSQKTGTFTMDRKKLFQLVGKANSNLADVILKVGLFDRSEIAWREAKYAQIYEYLREEYEVTQRFGNLDYKLKFVEHNIHFLQEALQNRKSDLLEWCIIVLLSIENVIGIYEIIQETNIVVM >KVH96168 pep supercontig:CcrdV1:scaffold_2227:10413:13108:-1 gene:Ccrd_001744 transcript:KVH96168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MEKAMVKVGSIKAKAGSYWISKKAKKEFSNITDDLNPIDNGLMFFKKYKVGKPVKSLPELLRDYNLPPGLFPNNVISYEFDETKAKLVVHLPSTCEVTFKDSSVIRYATRVKCILMRGKLTGIEGMKTKVLVWIKVTNVAVEGYKSDKVWFTAGIKRSRPRDAYEMACDAIRVEEF >KVH96171 pep supercontig:CcrdV1:scaffold_2227:76930:87041:-1 gene:Ccrd_001747 transcript:KVH96171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing MYRPVSAITIRGGLPTDNGDAVVTLDQVPRWIDGDFRHSYEIEDPSSHFPDPLASSSEAESRANGMVSRFPVDHEVNSKIYLWRGNPWNMEVDAVVNSTNENMDEAHCSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPASVLQDHNLSSILQDGSKLFSNITNYMFWFSCRRVIHTVGPKYAIKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKITGMVFCTTTANDTEIYKRLLPLYFPRDRKEEKIAITKLPADVGDENGETIIDERKIRITPLLNVKKTVSKPPQASVDFPVNDLGLARRDSSSLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQNGFKFAKILGFGNLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYIVKEFEPLIQKPYSIVYFHSAASLQVQPDLGWMRKLQQILGRKSQHNLHAIYVLHPTFGLKAAVFTMQLLVDVVVWKKVVYVDRLLHLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYAYQRP >KVH99394 pep supercontig:CcrdV1:scaffold_2228:57473:58274:1 gene:Ccrd_022373 transcript:KVH99394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MSMGSHMVVIAMIRRVTEFSPFVGIAVESETYFGVYNVFSKHITLNHVGVGIHLKTNIGRGEIIRNITISNVYMVNVHKGIKIAGDVVDHPNENYNRNALPVIKHVRITNVWGKKVQKADLIIGLKNSPFTNICLSNINLRNEKFNRFK >KVH99396 pep supercontig:CcrdV1:scaffold_2228:2854:6109:1 gene:Ccrd_022375 transcript:KVH99396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAEDEQRLLCQAATNGDVQKVKALIASRADVSYFDEDGFTPLMLAAKHGHADVVKILLEAGAPWNALSPSNLSAGDFAMDSGHQDAFDVLLNAGIQAELILGTIARRENKIGDLDGDYLEDRVSFSEDKLMDANSKAVMMAWEKPLMEAHAKAVCSRGGHILNIGFGMGLVDTAIQQYGPVTHTIVEAHPEVYDRMRLSGWSEKENVKIVFGRWQDVLHQLESYDGIFFDTYGEYYEDLREFHQHLPTLLKPGGIYSFFNGLCGGNAFFHVVYCQIVSLELESLGYSTQFISLPVKDCLGEEVWEGVKHKYWQLDTYHLPVCQSSEDSE >KVH99395 pep supercontig:CcrdV1:scaffold_2228:41036:43515:1 gene:Ccrd_022374 transcript:KVH99395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGFGLLVLVTALICLCFLSFPPPPDQGGAGRKLKDDPVPSSKASINHGPVQHGTPLIPYIPNLPPPGPDHPNHVDAVFP >KVH99206 pep supercontig:CcrdV1:scaffold_2229:50518:58209:1 gene:Ccrd_022563 transcript:KVH99206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirtuin family MSLGYAEKLSYIEDVGNVGMTEIFDPPHVLQEKIERLTMMIRKSNHLVVFTGAGISTSCGIPDFRGPTGIWTLQRGGNALPEASLPFHRAMPSMTHMALVELERADILKFVISQNIDGLHLRSGIPREKLSELHGNSFMERCSSCGVEYFRDFEVESVGLKETSRRCSNADCDLKLKDTVLDWEDALPPEEMNPAEAHCEKADVVLCLGTSLQITPACNLPLRCLRGGGKVVIVNLQKTPKDKKANLVIHGFVDKVVIGGVMELLNMRIRPFVRIDLLQTVLTQALSLDKRYVNWTLRIASIHDKKALLPFIKRTVWTAEPFDVILKVNFSEGCGCVCSRIKIPIDFHASTDTLKRDKDHVFRKLKSKAIKGSCCGHNSVLERKVMIVPKSETIVRAIVTNIRWYDDKMVKTTGAGSLSNGSLKRRKEGKSDTEAGRKHKKGQNRHKNR >KVH99208 pep supercontig:CcrdV1:scaffold_2229:9632:19136:-1 gene:Ccrd_022561 transcript:KVH99208 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase, conserved site-containing protein MEMQLPAKEDLYGGVVVEMKENMDPDVFHALLKTSKLQWKLQGKKGVWIKLPINLVNLVETAIKEGFWYHHAEPKFLMLADWLPETTSTLPGNATHIARVGAIVLNDKQEILVVQEKLGGKTEVWKISTGILKQGEDIHVGVVREVKEETGVSFKPLLCISIIDTEFVEVRAFSQEHDVFFGKSEVLFLCMMRPLSFDIQIQETEIKAAKWHKEHGINEFD >KVH99209 pep supercontig:CcrdV1:scaffold_2229:58782:82347:-1 gene:Ccrd_022564 transcript:KVH99209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MEEERNGGLFETKGGGKGWKAYKVFATTVLVSIIWIWIYRATQVPPAGYRRWGWIGIFGAELWLGLYWILTQSVRWSPTYRRTFKHRLSQRYEDELPAVDIFVCTADPIIEPPMMVISTVLSVMAYNYPPEKLSVYLSDDGGSELTFYALIQAAAFSEHWLPYCRNYKILLDHNQDTEGISLPKLVYMAREKRPHHFHNFKAGAMNALFPQCFDNLTKNDLYNGCMRIIREVDFHGLDGLGGPLYIGTGCFHRREILLGKSFDDGSRIDWKAENNRKETTENMIEKTKSLASCTYEIDTEWGNEAFIGVAATTVDQILLQHKRWSEGDLLILLSKYSPAWYGIGRVHPGLLMGYMMYCLWSPSSLPTLYYTIVPSLCLMNGVSLFPPYLQFLGYGGTMRGWWNDRRIWLYKRISSYLFALLDTILGSNLSFVISSKVTENDLRERYEKEIMEFGGSSPLSTMVATVSVINLVCFLVFITKLVSMDTGTQRAYYEAMLVNIVLCVVFLVLNVPLYLALFVRTDKGKVPSVVTVKSMRMMSTAVAAAVMVLLTANIGGVFGIRFVIDRDECFSHKTEYGTTVRFSFVVIKVEGAWHYTEDGVDLVVKGPAGEQIHDFRDKTSDKYDFVAHKEGLYQFCFTNKSPYHETIDFDVHSSHFYNDVEHAKDDHFKPILEQISKLEDALYNIQFEQHWLEAETDRQAIINEGMSKRAMHKAMFESAALIGASVLQVYLLQRLFERKLGMSRVKGPKGEQIHDIRDKTSDKYEFVTHNEGLYQFCFSSKSAFQEIIDFDVHSSHLYNDFQHAKDDHFNPILEQISKLESALNNIQFEQHWLEAESDRQTIIMEAMSKRAMHKAIFESTALVGASILQVYLLKRLFKRKLGMSRV >KVH99207 pep supercontig:CcrdV1:scaffold_2229:41238:47131:-1 gene:Ccrd_022562 transcript:KVH99207 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-containing protein MEQVTIGNCDERVQLLPAICDEYGGVVVELKEHMESDVFLTLLKTSMSQWTLQGKKGVWIKLPIHLANLVETTVKEGFWYHHAEPDYLMLVNWIPKTTSTLPLNASHRVGVGAIVLNDKQEIRSTEVAYDHHMETYQTVIFHSTPVLMLVVQEKSGILRGTGIWKIPTGIVEVVWLLEVVHYFANIPFSKTLIEFNLQGEDISAGVIREVVEETGIDTEFVEVLAFRQSHKAFFGKSDLFFVCMMRPLSFDIQIQELEIEAAQWMPLEEVAAQPFAQKTDSLMNRIMKLCKAKVEKDYSGFTPHPVTSYFSESPSYIYFNNTDFN >KVI08930 pep supercontig:CcrdV1:scaffold_223:169961:173167:-1 gene:Ccrd_012696 transcript:KVI08930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGLWEVVLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADHNNLSISKSELHDLLSKPALSGIPLLVLGNKIDKAGALSKDALTDQMGLRSITDREVCCYMISCKNSTNIDSVIDWLIKHSKSKN >KVI08918 pep supercontig:CcrdV1:scaffold_223:255104:259533:1 gene:Ccrd_012703 transcript:KVI08918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, N-terminal autoinhibitory domain-containing protein METYLKQNFGGVKPKHSSDETLKNWRTLCGVVKNPKRRFRFTANLVKRHEAAAMRRGNQEKLRVAVLVSKAALQFLQVAYTSCEWEASSLTNIYVCFKLTVYNSSVPPSQNALSSYWLHFHDVLSTGVEPGDYTVPEEVKAAGYEICADELGSIVEGHDPKKLKLHGGVDGIAAKLKTSTTDGLSTDKEGLSRRQELFGINKFTEAEQKSFWVFLWEALQDMTLMILAVCAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKLSIYELLPGDIVHLAIGDQVPADGLFLSGFSVSIDESSLTGESEPVMVSAEIPYLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFALVTFAVLVQKMLTRKIIEGTHWSWAGDDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMKDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICTEVMDLNKQVTSEIPDKALKLLLQSIFTNTGGEVVINQQGKREILGTPTETAILEFGLSLGGDFQSERQASKVLRVEPFNSTKKRMGVVLELPEGVVRAHCKGASEIILAACDKMINANGEVVPLDQASIKHLKSTIESFADEALRTLCLAYIDIKSDVSAETPIPASGYTCIGIVGIKDPVRPGVKESVAICRAAGITVRMVTGDNINTAKAIARECGILTGDGIAIEGPDFREKSLEELDRLIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVARWGRSVYINIQKFVQFQLTVNVVALIVNFTSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRPPVGRTGNFISGVMWRNIMGQSLYQFVVIWLLQSKGKSYFSLHGDDSDLILNTLIFNAFVFCQLFNEINSREMEKEDVSDGIWDNNVFVIIVEYLGAFANTSPLTIMQWFYSIFIGYLSMPIAVYLKRIPI >KVI08932 pep supercontig:CcrdV1:scaffold_223:52333:55942:-1 gene:Ccrd_012685 transcript:KVI08932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MFSLRPPPNALHYTHSNHRTNFTIASHRSINHRRQGPPSSISSPPVPQLTSSSTVLTSKKKIMENTIDNSYIVGTFFASFLGFVLLSVLRRRTSNSISHSKKITSGNNTTARNRSDPTRGEFRPRLGSADADVIIVGAGVSGAALAYTLGKDGRKVRVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVEDIDAQRILGYALFKDGKSTRLPYPLEKFHSDVSGRSFHNGRFVQKMREKAATLPKVTLEQGTVTSLLEDEGTIRGVQYKTKSGDVVKAFAPLTIVCDGCFSNLRRSLCKPQVDVPSCFVGLVLENCELPYPNHGHVILADPSPILFYPISGTEVRCLVDIPGQKLPALANGDMAKYMKTNVAPQVPAELHDAFVAAIDKGNIRTMPNMSMPTAPVPTRGALLLGDAFNMRHPLTGGGMTVALSDIVVLQHLLKPLRDFTDADSLPKYLESFHTLLASTINTLAGALYKVFCASPDEAMKEMREACFDYLRLGGGCATGPIGLLSGLNPNPVSLVFHFFAVAVYGVGRLLLPIPSPKRLWIGVRLILGASGIIIPIIKGEGVRQMFFPATVPAYYRNKVSQ >KVI08913 pep supercontig:CcrdV1:scaffold_223:280492:282044:1 gene:Ccrd_012705 transcript:KVI08913 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MNNSCKETPSIGKKLELVSPLHGTSTIVVGHPVLSTTSIGHPKGCTLSKNKSSWMQRNCCTSLAMIELWDRLFDEAYRADVKIHTDNGTIIYAHASILGFASPVFRSMFKKSRSRGIISIPIRGVPAEAVRIFIRFLYTSCYEQAQVEEHVLSLLVLSHAFAVPKLKRECEYQLEHLLLNVDNVVDVFQLALLCDAPRLSIICHRFMLRSFNAVSSSAGWKAMRESHPALEKELLESVREEDRRQKEKMRKREERKIYAELYEAMEALVEICRDKVQKEDQKACKYEGCRGLESLVRHFYGCKLRVSGGCPHCKRMWQLLELHARLCANSNACRVPLCRRFKQKIAKANKKKRKKKDETKWNILARKVLRSKSITGAPYFRLALS >KVI08912 pep supercontig:CcrdV1:scaffold_223:260915:262384:-1 gene:Ccrd_012704 transcript:KVI08912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MDSGTTFSLPSLLCEEDESSLNEIKLKVSIPKSEDDHYIRCLIERESKSKDYGCVCDDDDHTRKWFKCARLDAINWILSTREILGFHFRTAYLSLTYFDRFNSTRVIDNGKEWAIQLLCIACLSLAAKMEEQIVPSLSHYKAQGYNFESSVIQRMELMVLTTLEWKMCCITPFAYLHHFFSKICDECGCNQFLVSKAIGLIFDFSKGEVNLMDHRPSVVAIAAVLLACDDQPTRNTLEFKIGVVSSLHSLEKEGIYQCYNLLKGIETKKNNTPESMNSFGSFRNHWSPSSTVGIKRKLAYNGLEQKCPLQKT >KVI08933 pep supercontig:CcrdV1:scaffold_223:29739:31692:-1 gene:Ccrd_012684 transcript:KVI08933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MLSAKATCNSHGQDSSYFLGWEEYEKNPYHHLHNPNGIIQMGLAENQLSFDLLESWLKNNPDSAAINHHSIFKQLALFQDYHGLPAFKNALVEFMSEIRENKVTFDPNNLVLTAGATSANETLMFCLADPGEAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFRITKSALQEAYQQAQNQNLKVKGVLVTNPSNPLGTSLSLHELELLIDFISSKNIHLISDEIYSGTVYCSPGFVSIMEVLKNKGLMNTEVWKRVHIVYSLSKDLGLPGFRIGAIYSNDDIVVSAATKMSSFGLISSQTQYLLSEMLSDKKFTKNYLRENRKRLKQRHEMMEKALQKAGIRCLKSNAGLFSWVDMRHLLSSPTFEGEMELWKKIVYDVGLNISPGSSCHCSEPGWFRVCFANMSKETLVLAMQRLSSFVDSMTKESNQSRRRQPLIGNPRRLKSLPKWVFFTIVHYRKVGEERYVVRCDDQLVSNNKRVDFL >KVI08922 pep supercontig:CcrdV1:scaffold_223:115607:116932:-1 gene:Ccrd_012691 transcript:KVI08922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MPVFSSRRYGVDSQVLDLDTAVKDGVLGGGGGVGFGGGFADKLDLSKMIEELDLPDVPSVFICPISLEPMEDPVTLCTGQTYERSNILKWFSMGHFTCPTTMQELWDDSVTPNKTLHQLIHTWFSQKYVQMKKRSEDVQGNASDILDTLKKVKGQARVQSLKELRRVITDHATVRKTVVDKGGVSLLSSLLGPYTSHAIGSEVVSILVNLSLDSSSRLNLMQPAKISLVVDMLNEGSIETKINCTKLIQILMEEEDFQVEVVSSHSLLVALMRLVRDKRHPSGNLPGLSLLKSICSHKQVRILIVSIGAVPQLVGLLPGLNPECLELALFILDAVSTVKEGKLALSSCSNTIPNMVRVLMRVSESCTQLALSILWSICKLSPEEYSSIAVDMGLAAKLLLVIQSGCDPLLKQRSAELLKLCSLNYTDSIFISKCKLTRTIR >KVI08925 pep supercontig:CcrdV1:scaffold_223:367446:370330:-1 gene:Ccrd_012711 transcript:KVI08925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetamidase/Formamidase MSPRNQPNRNSPFTTAGTPIYHQLPRLALVSFFESRWWTFLEAGSHENTPPTISNTLTSRFHRHSNNSSSMAPSTPRLVVPVDLKKKPWEQKLPLHNRWHPEIPSVAEVKTGEFFRIDMVDCTGGAIKDDDSATDVKHADHSTVHYLSGPIRVVDEEGIPANPGDLLAVEICNLGPLPGEEWGFTAIFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSMELLNIWNERERELEENGLKSFKLCEVLHSRPLANLPSTKGCLLGKIPERSREWEKIANEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANFSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRRISGIVDAPNAVATLAIPTAIFDQDIRPKRNKLPVGPRVVRNPNIPRCSYDGNLPITKNPGATGS >KVI08931 pep supercontig:CcrdV1:scaffold_223:186699:188141:-1 gene:Ccrd_012697 transcript:KVI08931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDTMFTNVTLKHQQFISDHQSFNSTLTSSGSSGSSAHDHHQTTTNHHHHQQCFMEEASSSSLMQQPHFHFHHQFPTSTATATHDVSLEFLASFSTNGWASHVLLEAARAVADKNSCRLNQLIWMLNEISSPYGDIDEKLSSYFLQALFSRITDSGDRNYRILSSISEKMCTFETTRKLVLKFQEVSPWTTFGHVASNGVIMEAFDGETKLHIIDVSNTYCTQWPTLLEAIATRTTEETPHLRLTTVISSTPKSDGSDGIEMIMREIGNRMEKFARLMGVPFKFNVIHHMGDLSDLNFSQLDIQSDEALAINLNGTLRSVSNHRRDYLISMFRSMNPKIVMIVEEEADLDVGIHGIEFLRGFQECLRWFRVYFEALDESFPQTSNERLMLEREAGRAVMNLVACPPANSVERRETADRWSCRLRASGFSGVSYSDEGCDDVRALLRRYKEGWSMGRSETGAGIFLMRKETAVVWASAWKPI >KVI08919 pep supercontig:CcrdV1:scaffold_223:313935:316360:1 gene:Ccrd_012708 transcript:KVI08919 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKFMKLGSKPDLFQSTRENTRYIAGDLATDMLVNVGNIKFYLHKFPLLSKSARLQKMVSISSNESTNEVELHDIPGGPAAFELCAKFCYGMTITLNAYNVVLARCAAEYLEMHETVDKGNLVYKTEVFLDSSIFRSWKDSIIVFQTTKSLLPWSEELKVVNRCLDSISMKASMDPSRVEWSYTYNRKKLPSENGNESPLYNGVKKQLMVPKDWWVEDLCDLPVDLYKKVVTTIRSKGKVSNDVLGESLKAYMHRRLKKGSRTSGDDVKNRSLIETIIFLLPTERNNVSCDLMIQLLQESVRLGCGETRKNELVKQIGEQLQNTSVADLVDLDVDLVQELVKIFMMQDQIADDGDEHDFLEVKFVDSAAKVKVARLVDCYLAEIAKNPDLPLSKFADLADMVSTLSRRSHDGIYRAIDMFLKEHPGISKNERKRVCRLMDCRKLSADACMHAIQNERLPLRIVVQILFFEQIRATMGAGGSQGSSRSTITNTEEEWDSLPTSEELKGESRVRDGRKQKGKGMVMSTRILSKLFSSKDSDNESSDTAESPRSTKSITRSKSRRHSAS >KVI08914 pep supercontig:CcrdV1:scaffold_223:286608:288008:-1 gene:Ccrd_012706 transcript:KVI08914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-/4-kinase, catalytic domain-containing protein MFMENCGSCEYMGLSAFPVEEVHKISVLDIRMANADKHAGNILVNKGQDGRFVPETVNYIKSLDAEEDIALRNFYGWNLPSGCARTFRVSTMLLKKGVEKGFTPFSIGNIMCRENLNKQSVIEEVVQEADDLVLPGSSEAAFIETVSQIMDRRLGLFA >KVI08923 pep supercontig:CcrdV1:scaffold_223:156229:160859:1 gene:Ccrd_012694 transcript:KVI08923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MAVSSSSSSCISLQTFRRQTYPLDLVSNGTPGKVFRRFMTGPVKMPVFNSVVNFRKKKVSLSMCNVLGEFESEVTGEANMNDIKDELFVTFFREAWPYFTAHRGSTFVVLLSAEVLDSSLLDPILMARTHVQIDQLLAEKGHEPKYVGRYRITDSDSLKAAMDSAGRIRLMIEAKLSPGPSLCSIRRHGENRRWHDSVSVASGNFLAAKKRGVVEGIDYGATGEVKKVDVPRIRERLDNDSIVILSNLGYSSSGGVLNCNTYEVATACALALGAEKLICIIDGPILDEWGRLIRFLTLEDADMLIRRRAKQSEIAANYVKAIGDEDSSSLGYNTINGVVPAPQNGMASSDSYTATFQNGVGFDNGNGLWSSEQGFAIGGQERLSRSNGYLSELAAAAFVCRTSYCVILPEFLCLTCCYKVGGVQRVHLLDGNIAGVLLKELFQRDGVGTMVASDLYEGTRMAKVDDLVAIRQLFKPLEDSGTLVKRTDEELLNALDSFIVVAREGQIIACAALFPFYEDKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASSIGLQRLFLLTTRTADWFVRRGFSECSIESIPEERRKRINLSRGSKYYMKQLLPDTSGIRFNSIFA >KVI08928 pep supercontig:CcrdV1:scaffold_223:224112:224889:1 gene:Ccrd_012699 transcript:KVI08928 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MKYNPNVSSSRRKNRKAHFSAPSSVRRVLMSAPLSGELRSKYNARSMPVRKDDEVQIVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGTTVNVGINPSKCVITKLRLDKDRKSLLERKAKGRAVADKDKGTKFTAEDIMQNID >KVI08934 pep supercontig:CcrdV1:scaffold_223:82868:83449:-1 gene:Ccrd_012687 transcript:KVI08934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MLSSVFPATGVRMPSDLFLGTGFTPWDTVTVETPFVYPPQESVFSFSGSDDSTPKTNSSNSGSDDGEPEQNLPEVDPIDERKRRRMISNRESARRSRMRKQKHLENLRNQVNRHKTGNRELMNHLRFVSHHGQLVRQENERLRSESVMLRQKLGDIRQVLLVRQLQSQLFSSAWPCNNNVTSMNEQNPPSLIT >KVI08938 pep supercontig:CcrdV1:scaffold_223:93159:95541:1 gene:Ccrd_012688 transcript:KVI08938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine MFNLPFTPHLLVSINHIFPHLSLGTLITTTIMARATILLLLLALLLCSTSSTATRHHPTFHKITTTKHQDKGDVETAEIEEGCKGIGEEECLMRRSLVAHLDYIYTQKETP >KVI08924 pep supercontig:CcrdV1:scaffold_223:162195:168642:1 gene:Ccrd_012695 transcript:KVI08924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MGVPKQKWTLEEEAALKAGVAKYGPGKWSTILKDSEFVSVLHLRSNVDLKDKWRNLNLMASGYGSRQRARPGKSKTQSIHKLENISTASKEDHDMKVCIPDPSTTLAARLQIGSSNMSMPRLDSLILDTIANLKESHGSSRAAIAEYIEEKHSAPPNLEKLLKAELKALIGCGKLIKVKHRYRIAPSSSYLGLKENPSLLLLEVKQEYCSMEETGATKILTKADIDAELEKMRSMTPQQAAAVAVKAVAEAEAAISEAERAEREAQAAEADAELARVFAAAAMQSLKRTALCT >KVI08917 pep supercontig:CcrdV1:scaffold_223:248490:252708:-1 gene:Ccrd_012702 transcript:KVI08917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic porin/Tom40 MNSGPGLFSDIGKKAKDLLTRDYLSDQKFSVSTTSATGVTLTSTATKKGGLSTGDVGALYKYRNTLIDVKFDTQSNIATTLTFTEIVPSTKTIASFKLPDFKCGKFEVQYFHYHATLTSAVALNQTPTIVLSATIGTPTFAIGAEACYETSSSKLTKYTAGISVNKPDSSASIVLGDKGDTIRASYIHHFDLVQKTAAVGEITRRFSTNENTFTVGGSYAVDGLTVVKAKLNNHGKLGTLLQHEIIPKSLVTVSSELDTKALDKTPRFGLALALKP >KVI08935 pep supercontig:CcrdV1:scaffold_223:63872:64687:-1 gene:Ccrd_012686 transcript:KVI08935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGFFKLTRLLMLMCAFLPPSAPDPNDESCLTKFFESMEDPNHNLMNWTKPTFANPCSGFFSNLVGATCNNGRIYKLSLPNLDLRGSISPYLSNCTNLQSLDLSNNSFTGFIPTELQYLLNLAVLNLSANRLSATIPPSLAMCAYLNVIDLHHNTLTGSIPPQLGSLVRLSVFDVSDNKLSGPIPASLGNRTGNLPRFNASSYSGNKDLYGYPLGPMRSKGLSVVVIVGIGLGSGLLSLVLSFTVVCVWLRVTENRMAAEQEGKITQLMPEY >KVI08926 pep supercontig:CcrdV1:scaffold_223:356193:365115:-1 gene:Ccrd_012710 transcript:KVI08926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPSLHFIASSINITKSSLSPSSTLLLRRIASATAPPQQHHHRKQKHKHIYPPQKPLPHPPTPSFNSLRPTLSLQETLAQKIGKAIRRPGAPSKARVYTDVNVIRPKEYWDYESLTVQWGEQDNYEVIKREIRILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDALDYCHSQGIMHRDVKPHNVMIDHEKRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNTYLQRYRLELDPHLAALVGRHSRKAWTKFINSDNQHLAVPEAIDFLDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTHRA >KVI08921 pep supercontig:CcrdV1:scaffold_223:107796:109503:-1 gene:Ccrd_012690 transcript:KVI08921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17e MGRVRTKTVKKSSRIVIERYYGKMTLDFHTNEKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDLIEVDKETIEMLTALGMADLPGVVTKSVEPQALPSVPAFGRGGDGGGFARRY >KVI08920 pep supercontig:CcrdV1:scaffold_223:138560:140241:1 gene:Ccrd_012692 transcript:KVI08920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDPVLRTHKPLSVELGPGILGNIFDGFQRPLKTIAKRSGDVYIPRGVSVPALDKDILWEFQPKKLGKGDLVTGGDLYATVFENSLVEHHIALPPDTMGNITYVAPPGQYSLKDAVLELEFQGVKKKFTMLQTWPVRTPRPVASKLAADTPLLTG >KVI08916 pep supercontig:CcrdV1:scaffold_223:226418:227544:1 gene:Ccrd_012700 transcript:KVI08916 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MRHVGQLVQLANLMATRFHHLTINVLVMHLPNDPMAPITHTSFFLLLKLMMTTVESNSSNSLLWILILFQIAQMPRHKPIVRELIASWFNRLSALVIDMFCTSMIDVGKEFGVPSYIIFPNAAVLGIMFYFLTLQDEQGQETSELSNSGTPLIIPSYAKPVPPAVFPYVFLDKNSWSKRFGRYTRKYIEVKGIIINTFLELEPHALLSYDDEIPPVYTVGPMLKPEKPTPNNELLQWLYGQPKSSVLLLCFGSRGWFELDQVKEIAIGYRFIWSLRRPXSEDQKGFPGEYTDYNEILPDGFLQRYHLAPRRPRWWLVAVGGFISHCGWNILESLWNGVPIASLPHGQYTMSNS >KVI08915 pep supercontig:CcrdV1:scaffold_223:290057:294434:-1 gene:Ccrd_012707 transcript:KVI08915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MRLLFILSFLTLLSSAHPTKRRILHQPLFPVSSEPSPDNPFPPPPPPITTTITTPDQPFFNENPNGQTPDQPQLQPPPTPPLVVGADTSNNSISHPIAPQPATKPAKKIAVAISVAIVTLGMLSALAFYIYKHKSKHPGESQKLVRGPNSQRNNEDNMPPSTFLYIGTVEPSRSVGETTNAPQNVSPYHKLGSVKTSDRYRPSPDLQPLPPLTKPQPPPTITSPPAMSSSSDEESHDFYTPHGSIASTEEGNSISRSKQSRSSDNNSSLMIQQRSKARSTSPIPHSKRTSPRSRLSVSSSPDTKHTTSSSQKLPSATPQPPPAPPPRPLLAPPSLEYSQPLAITYGPRRTKFAAPPPVDMMSLNSVYNQSQQKLKPPVPPPPPPPPPPPPPALPTPQKMGISPAKAISVACAQQVAKLESRSPSPRVTPGIVKGKPLEEVNNNGIEAHDAGGLKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMESLFGSNSAGPAKKEEIRKSVLPPIEKENRVLDPKKSQNIAILLRALNVTRDEVSEALLDASSSSELYFGGTGNPEGLGAELLETLVKMAPTKEEEIKLRDYRGDMSKLGSAERFLKAILDIPFAFKRAEAMLYRANFENEIKYLRTSFSTLEEASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGEATAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGTDPDAKNNVISTTMNPGFNEGHFKKQGLQVVGGLSRELSNVKKAAGMDSDVLSGYVAKLEMGLQKIRLAGYEKPDMQGNFFDSMKIFLKQAEVEIAKIKIDERKALASVRDVTEYFHGDTAREGAQPFRIFMIVRDFLRILDHVCKEVGQMQDRTTVGSARSFRIPATASLPVLSRYNVHDSTSSDDESSYSSP >KVI08936 pep supercontig:CcrdV1:scaffold_223:1520:2602:-1 gene:Ccrd_012683 transcript:KVI08936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein DHSFIWVLIIITTNSFLYPLLHIHTHFISHIFSNLKIEMGFHSFAANTQLVLELGLNSSSSASIPQNPSKISNPTTHHHHHPSLTLALSGDSCGGSSFSNASVKREREVASEESERVNTSGTDQEDEDGSVSGRKKLRLTKAQSGLLEEAFKLHTNLNPKQKQELAKDLKLKPRQVEVWFQNRRARTKLKQTEVECDYLKKCCDTLKDENRRLRQEVEELKAEKVSTTSQTVYMQLPAATLSMCPSYEHMPNPKTPFTMPPKPHFLNPFTTSSSAAC >KVI08937 pep supercontig:CcrdV1:scaffold_223:94181:102963:-1 gene:Ccrd_012689 transcript:KVI08937 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD-like protein MSWFVRSMANSLKLDDGGGGGDEGHPVNKSTDVLTNKKHEQQVNDDNSPQSPGRGVKEDLSEITRTLTRQLWGVASFLSPSLPPGHSNERSESSDPEASAPEAISGIRRDFAEIGGKFRTGIAKLSNNLDVSEITKMASSFLELESDDDDYGSTDDDGAVGVTDEVVAFATDIAMHPKTWLDFPLLKDENDDEDFDLSDAQQEHALAVERLAPRLGALRIELCPAYMSESSFWKIYFVLLHPRFERHAAELLSTPKIVKVRASLAYELKNRFYPESKKEGPRSNFYPENMLDSAPGERHSVPSSTKLESVPLEISAIETGTPTILANEETEKHPIHSDEIQIVDKSVIQEEPHNQNRGSNVTSVAMEGKDETDDWLKEENSEIVGGRITIPIENDEDVSFSELEDDDDDDDDDGNIPINYKKPTYGSDSSTKDSRGWVQLGRSSTGLGKDSHSSTIERGGSGQVSIHNSERKEPNDWLDGLACTHKRKKWILLIAALGVSTYGAYKVCTLPSVAKKRARAIKFLAALASLVQFLGDSADTIGIMNKDLKQFLQSDSDQIPSSLSQLFKITSSDGFSDSVVRVTRALTVGVLQGSLVETRKGHSDDTGFADKALDKLFSPAGSGFVSVVVGSFAKNMVMAIYADKEVTRGSVVNGSKNSADSVQRWVDVIGDDDKCRELIGDSIQQFVSTMVTVYLDKTMDINPYEQIFSGLSNPKHEENVRDLLAVVANGAIETFVKTSHQVLTNSNTNGNSDSSSTPSYFLRGSSSNVGKVLDGKELASSKLKARKDHNGWVSKMSSSLAIPGNMGFVLDMTGRVTLATMKSFLGFSLNKLSEGMKKSGQEAYRYLTAKSLIVMTIYISLYLHLLSSPWTLVSA >KVI08927 pep supercontig:CcrdV1:scaffold_223:204724:207837:-1 gene:Ccrd_012698 transcript:KVI08927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylylsulfate kinase MAAAAKFSLIFTSSPGLDHVDPSPTKLGFANFREMSVSCQRNITSALKNLAPIKAMEASRTPPYKVDVEVKPNGRAVTNDSDGSILHETSTNGRRSTNIMWHKCSVEKIDRQELLQQKGCVIWITGLSGSGTTKMFNSMITSGKSTVACALTQALHARGKLTYILDGDNVRHGLNCDLTFKAEDRAENIRRIGEVAKLFADAGLICIASAISPYREDRDACRSILPDGDFIEVFMDVPLCVCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNSEIVLHQEGEDCPPPDAMADKVISYLEAKGYLQA >KVI08929 pep supercontig:CcrdV1:scaffold_223:144393:145891:1 gene:Ccrd_012693 transcript:KVI08929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRERQLKLHDSLINVLYAPPSPPPHRNEFDEQTLTLAREIANSDHHRINTCELEGEEERSLSSSSEEEGGSGSQKLTRAQRKRLRKKKLKEVASHRRLIIGPQLPSTGGDDQIDGDGSDGPEYQQSEGVRRNVAEGPESGNNHNFSKTLKPCSASSGGETPSTIKVKHRRMSKKKARDKTKTTDLCHEASSPADKIKDQRHGC >KVH87841 pep supercontig:CcrdV1:scaffold_2230:59960:68977:1 gene:Ccrd_024847 transcript:KVH87841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MENVDKLEMNSSAADRRLSTLARHLAVTINNEGSSIFSSPTSAGDSAFANVARAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDRSRVKEYLPIVGLADFNKLSAKLILGADSPAIHGNLVTTVQCLSGTGSLRVGAEFLARHYRERTIYIPNPTWGNHTKVFTLAGLTVKTYRYYDPVTRGLNIQGLLEDLESAPTGAIVLLHACAHNPTGVDPTLQQWEQIRQMIRSKSLLPFFDSAYQGFASGSLDGDAQSVRMFVGDGGECFIAQSYAKNMGLYGERVGALSIVCRTADVASRVNSQLKLVIRPMYSNPPIHGASIVATILKDRNLYHEWTLELKAMADRIITMRKHLFDALGARGTPGDWSHIIKQIGMFTFTGLNRKQVTFMTKEYHIYMTSDGRISMAGLSSRTVPHLADAIHAAVTTVV >KVH87839 pep supercontig:CcrdV1:scaffold_2233:19585:27857:1 gene:Ccrd_024848 transcript:KVH87839 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATH-like protein MAGSSIEESGVGRSLEGMSNGEALAEWRSSEQVENGITSTSPPYWDTDDDHYCGPKPSELYGKYTWKIDKFSQINKRELRSNAFEVGSYKWYEAKFPVSIFPCSMSILRSSGKFVAEVFCCGWQNYAAIFMCSCRWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFVDADTLIIKAQVQVIRERVDRPFRCLDCQYRRELVRVYLSNVEQICRRFVEERRGRLGKLIEDKVRWSRVEKDTFILVDDVLLLLERAALEPLPPKDEKGPQNRTKDGGSGEDFSKDFIERDERRLTELGLCYSSKIEVAYQEAVALKRQEELIREEEAAWMTGIEQKTKRGASEKEKRAKKKQVKQKRNNRRQKDKGREEKPGTVVEDKVEQQNPIDGRKGFLIEDAELLLEKSDTFEDVSDVSDSINCVPEALVQPESDDRDSNPDTWEGQPPTEGDTNGVTGVHNGGRKSSSMMEDSSSTCSTDSLPSAVVNQPYKWNSPQNQKRGKGKSSGEVTGQTDDMHRQPPYVMSEPAHSYVAGKCCKVDEADTDVSVLTLWDRVKWLEQHKEVLLQKEPSVKAEVDPEVLNENTAIIPSSPRSPPKTSLPAVRQNTDLKKITGSDPAIARRPPSDKTINIDKANSAESLVSSRPHAHKIGSQKPTEKAINGQVTLITDKPVVHQVSRTIEKSPAQQVSVSVEKPLSQTVPTMLRPLSAPLVPGPRPTATTPIVSTVQAAPFLPRSVSAAGRLGPDVSPATQSLVPQSYRNAMMGIGTSSTFSQPHSPNLTVNPSHSYSQPSHPPMISAPMFLPQSSERVDSARPRLSFGVVNHDVVQNGSQWMESLHTGINNGRGLHNDPCLLNDIQNLDLHKSAHRRSQDQFYNEFPAGGRHGHGILADEFPHLDIINDLLDDEYGMASTTQVRPLFQSLSNGAHHHHLSRQLTYPGPGGIVDQSWCTSSCRFERSRHDEFQHSYGGGVQFEQVANNLQQQPQPYMIDGMIQNQWQMEMEMEMEMSGCDVSYPSMSLRNMDNDGYQYSNLMMGVNGYNTLYHPSSNGQ >KVH87840 pep supercontig:CcrdV1:scaffold_2233:39122:59408:-1 gene:Ccrd_024849 transcript:KVH87840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQPPLKDLFQVQVYSFSFHLIGFSTNNEVKNSFGFCRIPTHAHKNKFQYMVSFNIMSVHSVRTCSLGHLTSSECAYLFLMCDLYIIANDKKEKIASGLLSPFIAHLKTAQDQIAEGGYSVLLEPEAGGNATWFTKCTVESFVRFVSTPEILERVYTIESEILQIEEAIAIQGNNDLLIDEQHKKPVASNEGNKSTCDADDEKAIVIYKPGAHETAGSIDKERNSNLQVSSAAILSIASVSWVNCIQDIRCNKAQRSPFGLGVRLQLLNVLETRKTVLKKEQGMAFARAAAAGFDIEHVANLLLFAESFGASRLMSACLKFVDLWKQKHDSGQWVEIAAEDAMSSKSDYSAMNASGVVLSSMTNIHNEPRSEATSETKEKPGSDRNAAGQHTGQFPHPFFAPWAMHSSPGGVPVYQAYPMQELPYYQHYPGSGPFYPPPYPSMEDPHSSGGHRTEQKRQSMDNRYSNPESESVNEESSFGSERHKSAGKSGKKKSGRVVIRNINYINSKRQNSSEDESETVSDTDDDDETESDTHKRSLRSPKRKGNLKESESETVNRKEADSGHWQAFQSYLLKGAAEDGRSANEDIFAMDRDPQTKRRQKTLANDPLAHEERDNDGEGHRIDIQSYDKNGRKIVYRSGNDDFMVGGRLHANVQNSSDLLADNGFEGVTANLDRHGLHAIDGEAMMVSLRSTSGVNDSRTTINMDYEISSNTQNPENKSNRVNFEPHDLSLMPERGLEKRSIGYDPALDYEMQLAEDAASHERKSKEALTDVKKGSKSAEKHQQSKANQATSDKKFGGPIRKGKPSKIINPLEDARARAEKLRSYKADLQKMKKEQQDAEHKRLEALKMERQKRIAARASSMSGQSTVPTKKQLPSKLSPMSNRGSKFTDSEPGSSSPLQRSKIRTTPLGSSNSKKPSTSSKSIDGSNSAGNRLTRSMSSMSDTKKEINPVTPDSKASMTRIRRLSEPKKINSHAATSFKTRSADPVSKPKLSNGPESKRVSAIMNLDRSKAAMLPELKIRTSKVPSKPSQKISGTKETVVKVKDDKHVATSEVVKLNLNERLLHQSDAADNPIIEKTVMLEHKQPSITVGTAARVTKEFDSNHGIGKNIEEDCAASHEVPLSMEIARKSSMEELAENPGTRSAEKTYQAPYARVSSFEDPSTRNSDYAKAPQTTFGIASSGGGTEKAYIYDFNNLKLEKIPEVLEKPQVKEAKGFRRLLKLGKKSHSPSDTANGNGYEPDNVTLNDATSGEAVQTLKTLISEDQTPSHAHTSQKCEFLAIFYSIRFQPIFCFSVDLKVGMLQDTFLYCPPSVGRPRQQRHEKWRHSYYYKCLTQIPVPGF >KVH87834 pep supercontig:CcrdV1:scaffold_2234:1016:6954:1 gene:Ccrd_024850 transcript:KVH87834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGCSFSGLNALYDAVSGGADVWINDNRFKVVSQLGEGGFAYVFLVKEVINDASGGGVSKKFKDPSHISEDGTYAMKKVLIQNNDQLEMVKEEIRVSSLFSHPNLLPLLDHAIIPVKGTPEQTWTHEAYLLFPVHLDGTLLDNAKTMKSKKEFFSTSDVLQIFRQLCAGLKHLHTLDPPYAHNDVKPGNVLLTHRKGQPPLAILMDFGSARPARKQIRSRSEALQLQEWAAENVSAPFRAPELWDCPSQTDIDERTDVWSLGCTLFAIMYGASPFEYALGESGGSLQLAIINGQIKWPSASGGGPKPPYPEALHQFVSWMVQPQATVRPHIDDIIIHVDKLISKFCV >KVH87833 pep supercontig:CcrdV1:scaffold_2234:10733:13047:-1 gene:Ccrd_024851 transcript:KVH87833 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MNPQASLPPTTGVLSGIVSETTIGSFSNTMDLPREWTPNHFSLQPFHYGNLIDETVGLSHSNSTSISSEGTHLISRAAGSSSSKKPFFKLPPMSRQERVLRYFEKKKARKYVKKIQYSSRKTYAQTRPRLETSSSGVI >KVH87837 pep supercontig:CcrdV1:scaffold_2234:56743:94515:-1 gene:Ccrd_024855 transcript:KVH87837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3353 MASLLLSNSIVSSPFLGKNLSVDGNLRKSRLCALVVRRSPRCAAADATFGGNIPKFPRSNVWDPYKRLGITTDASEDEVWSSRNFLLDQYAGHERSAESIEAAFEKILMTSFQNRKKTKINLKTRLKKKVEESPPWVQNLLSFVELPPNIIILRRLFLFSFMAVWSVMNSADGGPAFQVALSLFACIYFLNDKSKSIARASVIGFGSLVVGWICGSCLVPIIPAALLQPTWTLELLTSLVVYIFLFLGCTFLK >KVH87838 pep supercontig:CcrdV1:scaffold_2234:48776:53123:-1 gene:Ccrd_024854 transcript:KVH87838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase RRLHLLPKAVIKTTLLSPPPTADFSILPPLIYASSIYDFFNSLPLSNILNFLLLTMALAPSTTLLSKPFFSTKPSLSSTTTPLVNLPTTYRKTRAPLVVRSSIAVAQSPSVSSTKSSTVKSVKARQIIDSRGNPTVEVDLVTDELYRSAVPSGASTGIYEALELRDGDKNVYGGKGVLTAVKNINEILGPKLVGVDVRNQADFDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGIPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFLTKDGKYDLNFKKQPNDGAHVLSAPSLGDLYREFVRDFPIVSIEDPFDQDDWTSWTSLQSSVDIQLVGDDLLVTNPKRIAEGIQKKACNALLLKASTRITYIVINQVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >KVH87836 pep supercontig:CcrdV1:scaffold_2234:14366:14926:-1 gene:Ccrd_024852 transcript:KVH87836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLCTDASNPMNQWYNNVVPNSTNLLTYQGAPQNPISGFPLVSDPVDPLFHVENGQEVREQMGTKYSEEIDSWLLLNPDNREDQNSLSSNQIDGCLNLRSYNPWTGNPYQGQLNQLQTHGIQQVNHDRNYGMIPIQYSTREGLAEQQEEQILRFYQGIQHDFSRAAFNNSPANFLDVRFHILFGS >KVH87835 pep supercontig:CcrdV1:scaffold_2234:32767:43347:1 gene:Ccrd_024853 transcript:KVH87835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteamine dioxygenase MFFQRFFAMANYSFSSSPSSSSKTSIQAIYDICKKNLTPISAPPPEVIHELSSLLDTIGPADVGLKEEHQEDDRGHGLSTPNTFNRVDRWAQPITYVDLNESQSFTMCMFCFPTSSVIPLHDHPEMTVLSKVLYGSLHVKAYDWVEPACIKDSKETARAPVRLAKLVVDKVLSAPCSASILYPKTGGNLHCFTAITSCAVLDILTPPYEESAGRKCTYYRDYPYSSFRSGDEPVAGKEDEYAWLEEIETPDDLYMRQGIYGGPPIQI >KVH87832 pep supercontig:CcrdV1:scaffold_2235:19106:21166:1 gene:Ccrd_024858 transcript:KVH87832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MDDEVTSLIEYWANDAGKHRRMGKMQFEFKFHENVGRCQSLSSEPQQKGLSLSNIQGGGHTAAESKPEECFAMFKRWISYQPL >KVH87830 pep supercontig:CcrdV1:scaffold_2235:16163:16482:1 gene:Ccrd_024857 transcript:KVH87830 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MIVLRILFRKSTMSVCYWNVPPNPSMVEARKEAXIVMFGAIDELLAKTGVKVKDIGILIVSCILFCPTPSLSSMVLNHYKLKGNILSYNR >KVH87831 pep supercontig:CcrdV1:scaffold_2235:2837:14024:1 gene:Ccrd_024856 transcript:KVH87831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFLLLIVIILCFRVVILASTERVESLPGFQGPLPFYLETGYVGVDKNEDVQLFYYFIQSDSDPKNDPIMIWLTGGPGCSSISGLLFEIDIPVGTGFSYARTTSAAHSDNIQYADHAYEFIKKGYVLGNPKTFPNESNFEIQFANGMGLISDELYKVCPIPIIALS >KVH87829 pep supercontig:CcrdV1:scaffold_2238:77636:84658:-1 gene:Ccrd_024859 transcript:KVH87829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, epsilon subunit MLKLIAGTIFMHEQDYNEALKYTNAGGTMELYALNVHIFLKIHRSDYAEKQLRVMQQIDEDHTLTQLATAWLDLAVGGSKIQEAYLIFQDFSEKYQMTSLILNAKAVSCMQMGNFDEAESFLLEALNKDAKDRETLANLVVCNLHLGKPSSRFLSQLKLSDPEHMLIKRELTTQENFDRAIQTVG >KVI11121 pep supercontig:CcrdV1:scaffold_2239:47436:48858:1 gene:Ccrd_010472 transcript:KVI11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRHSCCYKQKLRKGLWSPEEDEKLIKHITKFGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQQEEKLIIELHAVLGNNNERNSQGSYQVSNLDEKPEPPTTAMAASYPLIDNPPPATHEFFLNRFVTSHERANKQPDSHHLSGFLPFNYTQQQQPPPPPPPDSGDLFFNTESKSSSEMNPEYSNTISNTLLSAPPLPRSTTIFHQNNWGSSNNGTNGGYFQTNSGGYPWGLTDCTKSQNQINDTVDGEQDYTKWNDQFLQVPFLMGKSSIEGKPEPNFHELYHGQQGVDIYNKHFQRISTSYGHFS >KVH91439 pep supercontig:CcrdV1:scaffold_224:300771:308031:1 gene:Ccrd_006541 transcript:KVH91439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHARTQHCCFLLCLITLQVICRADRNILNVGEELQKETLPLQSGSRIYQLEGLRPRTWYEVKISYPASIPASFSLQLNKGNSDLLLKPQRKLLNTEKLIFKNDLQDDQSGTYVMLTVEPEGVVAIPHGKERELVIYNIVCDELVVGIPHKAWWVVIMAVVCLGVAFAIPSFLPLVLMSTDPTSSKNS >KVH91440 pep supercontig:CcrdV1:scaffold_224:280677:287363:-1 gene:Ccrd_006540 transcript:KVH91440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLIPILVFSPTSSLPTHLSPPSSPFGRQFSSLPSPARRTSSLPSPARHTSPLNNGRKRIFIAKIIGSQLQLSTIQIPKTSGNPLPLRKKRRQTLEFRLSQIYQEGLLKLQAKDYEKARELLESVLKDHLLPNGQVDNSGSDGHLLQLSV >KVH91437 pep supercontig:CcrdV1:scaffold_224:91518:97009:1 gene:Ccrd_006537 transcript:KVH91437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTTPSSMGLLSFFVFVVLTSVVSSQNFSTSERSTLLNLKQWWGSPPSLTNWNSNSSPCSWPEVQCRNGFIIGLQLETKELTGSMPPFICDLRNLESMFLHDNFLTGEFPRVLYNCSKLIELDISQNMFVGRLPDDIDLLSQLISVEFGANNFTGDIPPAIGNLSGLTSLLLYQNLFNGTIPPEIGNLSNLETLGMAYNEFAAPEIPPEFGKLNNLKILWMPETNLVGKIPESLSNLVNLRLLDLSLNNLEGEIPPRLFLLTNLDSMFLYKNRLSGRIPSVIESLNLTQIDISMNKLNGSIPDDFGKLQKLEVFNLYSNQFSGRIPTGISQIPTLRIFKVFRNNLTGELPPELGLHSKLESFEVSENNLTGKLPENLCSGGTLLGMIAFSNDLTGEIPRSLESCDGLTTIQLYDNNFTGEIPSGIWTLLNISSLRLTGNSFSGELPSKIAWNLSRLEISDNKFSGQIPAEISSWVKLNVFKASNNLFSGEIPPEFTSLSELTILYLDGNSLSGEFPSEIESWNSLTILNLAGNKLSGRIPSSISWLQDLLELDLSENQFSGQIPPQLSNLKLTSLNLSSNKLTGKIPSAFDNMAYQNSFLNNPNLCSTSPISNLQTCLAKSSNSKKFPPKIIAMITILSTFVAIVVVLFTMFVFRDYLKKKRKRNPTTWKLTSFHKLDFTESNILSCMSEGNVIGSGGSGKVYRIEVGQPRGYVAVKRILNNKKLDQTLEDEFSSEVQILGSIRHSNIVKLLCSLSSHNSKLLVYEYMVHQSLDKWLHQKKRKLEAGSVPRLTLDWPRRLQIAIGSAQGLCYMHHDCSPPIVHRDVKSSNILLDSEFKATIADFGLAKILAKEKPGDANMSSIVGSMGYFAPEYAYNTRINERIDVYSFGVVLLELVTGKEANEGEGDLNLVEWAWKRYGEGESVVGALDPEIKDPDTYMEEMSSVFNLGLICTSTLPSSRPSMRDVLEILRRCNPLDELPEEMKEGREEFDVAPLLKRESYITHYDARGKDVSDDTQV >KVH91436 pep supercontig:CcrdV1:scaffold_224:269907:280625:-1 gene:Ccrd_006539 transcript:KVH91436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIGNCMEKLLEVLIAIGDEVACLSVAEIILRHWPSHSRAIHVKHVIVESEPTPFAPRGIDKLEPQHVRLKFLDKRKATNHDLDDNGATKRSNQNIILHLPEASWTALAGELLGILTPLNECDPKTGAYELHRSADIRLIIHLPCSSDNVSRERKISYNPSKDNEAVEHASTETSLNEEPHQERRSSRLERLRSRKPDKEEPDFTVTKDLVKLVPQFLKPFIMVESGTTNCSMDAAASFRCSEVMTNTQNVESIDVARFVYETSKNYGACHIGHLLLEEIACKGIPYQDSFAKFLELEQLTRNSGENRTPECSIFLAELSYDFGIRSSDPSTRTDFMSRASYHLCKLIESVALDYPFDCSGGIPENSICPTTDGFSMGSLLDNKRALWVRFYWLSGKLSVFNDNKEKAQKEFGVASALLTAKEKESNPLVSISLPHLKVMNELTADWVLHELNLLEVDFLMKNSVSDMIEKGLYLECIVLLAPLLFCMKDDHVAVSAFLNKDDEGVASAELSALNVLIKACERSKQMDAIVYLRCHRQKLRLLMSATGVEECFGSQKPSNFSKPKVLAASETELTENSSTVLHPLLSEEVKAISQCTMEMRNSISPCVTFAAHGKAAGVLSNLKLMTLFYLVCSAAANGVTVLSACSNGSVVPMRIIGDIQSFLLAVMCHIASICFSRKSLGADDLDDKESKQKCCFVDAAIAFFRLQHLNPNVPVKTQIELIIAIHEMLAEYGLCCASGDGEEDGTFLKLAIKHLLYLDMKLKSTSINKGSEPTQCDEQVPQEGYNKISGNDSNEPEFDKLNLEVGPSDMGKTRATDADATRSVINNGNSSTKDTGKEKAAVECGEFAGHSSNGMFHKGEEASSQLLESGKQLTEEEKEDLEIEIDTALDQCFFCLYGLHLRSDSSYEDDLAMHKNTSRGNYQTKEQCADVFQYILPYAKASSLYRASHFNGLLDSYLQRTGLVKLRRVLRAIRKHFPQPPDSALDGNAIEKFLDDPDLCEDKLSEEAGSDGFRDAIMNIIYQDGSIPKRQPATIESSEPYMDVYRNLYYLLAQSEEMSATDKWAGFVLTKEGEEFVQQTAKLFKYDLLFNPLRFESWQRLATIYDEEVDLLLNDGSKQINVTGWRKNATFAQRVDTSRRRSRRCLLMTLALAKTAIQQGEIHDLLALVYYDGLQNVVPIYDQRLNLPVKDAEWRMFCQNSMKHFEKAFTQKEDWSYVYYLGKLSEKLRYSRETSFAYYDKAISLNPSAVDPFYRMHASRLKLLWSCGKNAKEALKIAAMYSFSQSMKETVMTILDKIDPENSSCIDADNLNTGTDSENVNPSHCNLGEMWNMLYSDCLSALQICVEGDLKHFHKARYMLAQGLYRKGESGDLEKAKDELSFCFKSSRSSFTINMWEIDSMVKKGRRRTPGMVGNRRPLEVNLAESSRKFITCIRKYILFYMVLLEETGDISTLERAYISIRADKRFSLCLEDLVPIALGRYVKALVSSINQIEIGKSSDNIALEHLLEKIFSLYMEQMNLWSDICNLPEIKCPEISESSLFGYLFKYIQILETNCRLEALEGINEKMRKRLKNPKLSNSNCAKVHKHVCMAWCRCLVISLASVTPLNSRFSTDSEVLTLLDVNNQMLCIDLRTDEFWQSTFEDVNYLKVLEMKWNGLLSKMKDVVIKRPSEENLEAATMLLRSSYNFYRDSSCALPAPCLNLYSVPLTEGNVQSNVHAVDTLEMNTTKKLLLWAYALLHGRCITNSKMKKGGGGSSTPTPTTPAMHSVVACQTGTNCDDGSASLQEAENEGESRKMISAIPLLLPCKNADTTMTGDKDDKPQAAEADSEKS >KVH91435 pep supercontig:CcrdV1:scaffold_224:188071:198903:-1 gene:Ccrd_006538 transcript:KVH91435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase, class I, C-terminal-like protein MRHMTPFSLSFGCFEMVGSERENGSSPTPPAQKADVKKYGVMNPISYAGPSEVDVQKNALLEKLLMDSGIYESAEETARREEVLSRLDKPAACASLVVARLKSLKNYYLVQLMLGLLGFAFCTISCETSLGKLKESSDMPDQIIKDWVKQLTRQKGYTEKMVEEANGILRTFGSYRLGVHGPNADIDTLCIGPSYVTREHDFFVILHNILLEMEEVSDLQPVDDAHVPVMKFKFQGISIDLLYASISLLIVPEDLDISHNSVLYNTDEKTVRSLNGCRVADQILKLIPNVEACIVNFCTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVAQVCQLYPNAIPSMLVSRFFRVYTHWRWPNPVMLCPIEEDELGFNVWDPRKWSRDRTHLMPIITPAYPCMNSSYNVSASTLRVMVEQFHFGNKEIELNKANWSALFEPSLFFESYKNYLQVDIIAADADDLLSWRGWVESRLSYSGIRTTISVLMCYIKCQIERDTDGMLQCHPFPNEYVDTSKPCSHCAFFMGLQRQPGVKVQEGQQFDIRATVDEFKQGVNSYNHWRPGMDIFVSHVRRRQLPAYIFPEGYKRQRQLRHTPQHSTRTSNGDAERSSSPSSDRRFKRKHDASIADIGCGKPKKLSPESAGIAPSSPVIQGLACESPIEQNVVMESEDGANSESMQPPTIRHVEPGINGLNYRQLQIQVFVVVHKSTMALVPRNQWNFLARLCTRMTSPFDFSVMFMFMLMLMVHVYRISYDIETELVLVMFRVNRILVRFGLVLELMDFSEIGLRFKIRTISIFLVFKTLK >KVH91438 pep supercontig:CcrdV1:scaffold_224:362679:370347:1 gene:Ccrd_006542 transcript:KVH91438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MNGGVCVIRILNPHPLPPSAATTSTLNHRIKPIYANAAETNEIRVCTNRTCRRQGSMESLQVLSGIAPPNITVNSCGCLGRCGAGPNLVILPSATFVSHCATAARAAEIMAIVTGFDLGSWNKSLEALSIRKKAEVHMEKGDFATAEILLSQALDLNPVGGIHHIYRHRSVARLAMNNTIAALGDAIEASTLAPKNPQVAGDSYSMALELDPSIRRSKSFKARIAKLEEKLLILDL >KVI01517 pep supercontig:CcrdV1:scaffold_2240:1308:8914:-1 gene:Ccrd_020211 transcript:KVI01517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MSNLVQVPYDATVKLMLVSLQRNLLPDAVIRRLTRLLLAGRLRSCFKPTAQQQLQDLLGFVQSLREMPIAVKTEDAKAQHYELPTSFFKLLSSLEDAEEAMLQLYCEKSQLQDGHTVLDVGCGWGSLSIYIAQKYSNCKVTGICNSVTQKAHIEDQYISTFEMEGSYDRIFSIEMFEHMKNYKDLLKKISNWMKTDGFLFVHYFCHKTYAYHFEDVSEEDWITRYFFSGGTMPSANLLLYFQDDVSVVHQWLLNGKHYAQTSEEWLKRMDENITSVKPIMESTYGKDSAVKWTVYWRTFFISVAELFGYNNGEEWMVAHYLFKKK >KVI01516 pep supercontig:CcrdV1:scaffold_2240:48912:52776:1 gene:Ccrd_020212 transcript:KVI01516 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MMMSNEESGWMSSDDFGSSRLLHDIEEIRKALYSQDAPSTTSMPPRDHPPWNPKYAKDDLLPNGKKSSIWKWKPLKALTHIRNHRLSCCFFLHVHSIEGLPLNFNELSLCVYWKRKHEVLKSHSIRVKDGVAEFEETLIRRCSVYVSRSDPHDDVAKYEPKLCVFYASVVGAPGLDLGKHRIDLTRLLPLTLMELEEEKNRYGKWVTSFKLSGKAKGATINVSFGFSLSGEHFMRSSNLGKNLNILKGNGSSHNGMLQRVGSIPSNSSRRTHVSNLSLDMKVHNGIVPYEGPSIPFLYQLLDESKSSYSKEFNSVSTDLESSPESEVYDSEFTILDRGTEFATKDQSKIEEDNVESVENSCIETINVAELFEGDGDDYDDCGNLEIETVFDDISSKEHNNVCTEEFNIEDLELFFHNLSTFESQEMDFSFHENQVLEHDYHMKNDSICEGGKMVRSHSLDDLTNVVDDFMNLVGSDSEPESPRELLLRQFEKEALVSGNFAFDLNPKEEQGDCSNIFDLSFLFQETEMERNDGVGPSLISRRKAKILENLETEALMQEWGLNERAFQNSPRTTSGAFGSPVYLSPERPSELPSLGEGLGSFLKTGNGGFLRSMDPSLFKRAKNGARLIVHVSSSVVLPPAMGSNAMDILLNWATVGAEKMHLQATRLMPMEEITGKTLQQTSWEAESQMEVIERGQALLRESEAKEMPTSICREIDSEYVSEGNTAPLAIEKIQYLLIEGLRIQSGMSTEEPPSSISVQCIRGNSASTSKGVKEGSYSNFVGNLKNIEELMDMSISLEEWMRLDSTSFDAKSEVNDHISKVFATRCAKMDQKVRKSSDKKRGHLSNNFTLALQMLLRDPFRDYEPVGIPMLALVQVERTYVESIVDPMFKITEVHVTGLRADPGKKQQSGSRWLHSSGMTGKTKSYPLAKSNALVRSSLQLMNKAKHEEILWSISSYVHGEVAKWKELSGLSLYARNPDIVFK >KVI01515 pep supercontig:CcrdV1:scaffold_2240:58672:60827:-1 gene:Ccrd_020213 transcript:KVI01515 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDGYEEMSKAYSYRSLDSPVDYDVPNHQSSELFDSFLVFDDWLNEDQASIVPEYPGHTPVYPSAAIEDGNHSIGSSSSSSHLQGNSSMFLLLGGIGIRQAQKGTKEKVAFKTKSQVEILDDGFKWRKYGKKMVKNSPNPRNYYRCSAQGCPVKKRVERDVEDARYVITTYEGVHNHERPSNF >KVH89200 pep supercontig:CcrdV1:scaffold_2241:20225:21920:1 gene:Ccrd_008815 transcript:KVH89200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPYNWFDQIRVFNLCIHMD >KVH93701 pep supercontig:CcrdV1:scaffold_2242:77050:82663:1 gene:Ccrd_004246 transcript:KVH93701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MAASIHREADQVIFEEVVGGRKVILNRQKKLNTLNYEMLSKMTQKLKAYENDPTIKIVILKAIGKVFCVGGDLTSAFQFVAFGHWTFGTNYYRKEFCLDYILATYKKPLVAILDGSVMGGGVASMALVINKFAEEVDLKPGNAYSRLDMINQCFSGESCEEILSSLIREGRSKTLEQCLQTEYVAICHVLRRTVSNDFYEDVSDEMVAKCLSRSFTEDDDWLPLRLPSRLKKVEAMTSKI >KVH87827 pep supercontig:CcrdV1:scaffold_2244:67590:70367:-1 gene:Ccrd_024860 transcript:KVH87827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESPKTATPYVDPKEKSSLPEDNGMSSQDVAISRVDIQMDIIKDSDPRNEDKHLKSVIDESCLLKPAMAEEQEINKTLSPGTIISCIVQEPIQESRSSEKRSSPEPHAQHVVHDLYAHSLADNVSTQGTTSDVQEEEFRNVVRMGSSITSDEQSGNVRHVDELVPTRSSSFENSSEHMNSESQKGEMSKVMDDNVLIGHNDGDDTSKTESHLESSMTHGMEDLSHGEMIDRRNADSNLKLHMGSLDSGTTIDELQSEKESKNIRSHHSLRQNSSPLATQE >KVH87828 pep supercontig:CcrdV1:scaffold_2244:71202:86279:-1 gene:Ccrd_024861 transcript:KVH87828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase, MBOAT MNNSWRQRELVFLLLYAVIFYIIIIRDSLQLSHDHYNKLYGLRPGLISSRLNDASDAQWRNFRSNLPILTFVFGLLTLIANILRTCYNLKAEGMSVVWLLISLTYLSYLHGAFIFFILLITSANFLLVKIFGRTKYFSFVLWVFNLSFLLCNRVYEGYSFTSFGERWAYLDKFRGTFRWHICFNFVVLRMISFGYDYHWSDHNVHVDQEERSVGSDKCSYTIYLCYLLYAPLYIAGPIISFNAFASQLDTPQKSYTLKQVSWYGFRWVLSLFLMEIMTHFFYYNAFAISGIWKQLSPMEVFIVGYGVLNFMWLKFFLIWRYFRFWALVSGIEAPENMPRCINNCYNLESFWKNWHASFNKWLVRYMYIPLGGSRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCIFFIPEMIVKSAATSYQVDNVFKEFIFRELSAVAGAITITCLMVANLVGFVIGPSGISWLKSVFLQAEGLPTLFGLLVTFYVGTKLMFHVSDTKKRTHQS >KVI08705 pep supercontig:CcrdV1:scaffold_2245:58705:73280:1 gene:Ccrd_012921 transcript:KVI08705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MAVVDLFVVALIPVLKTLLITALGLLLAVDRVNIMGDAARHHLNNVVFYVFIPALVGGSLADTVTATSIVSLWFMPVNILLTFIIGSALGWMLVKITRTPQHLHGLVIGSSAAGNLGNLLLIIIPAIGAIYIWTYVYNIIRAYGNVSGKDLSKASTISIDCSGKTLDMFNENYTESLLQTSRASFEGCEVQDDEYSDVEEQNSEFEDDEVEKETGLTKIKQHFHMVLDKINLKMWLTPSTIATVLYDSANHQEHFIVGLLIGVISPIRKLMIGDNAPLRVIDSSASLLGQATVPAMTLIVGANLFKGLKKSGVGLWLVIGILVVRYVALPLVGIGIVKAAHRVGFVGSDSLYQFVLLIQYSLPPAMAIGTITQLFEVGESECAVIMLWTYVVAAIALTFWSTLFMWIMGFLDLFSAASMPVLKVLILTGLGSFLALDSIDILGQSTRKQVNNIVFFVFSPALVGSNLANTITVKSISSMWFMPVNVLLTFIIGSALAWVLKGSPFGDPDVCHEYAMAYASLSMALGAVFLWSYVYNLVRFFSGHPQDSGTNGVTPLKEEDLTENLLPSSSSSTVNIKGKVKVMLDTMKQNLGKFSRRVNLKAIFAPSTIGAMVGFIIGTIAPMRRVLIGTAAPLRVIQDSASLIGDAAIPTMTLIVGGNLLKGLKGSGVPLSVVFGIVGVRYVLLPVFGILIVKGALYLGLVAADPLYLFALLIQFAVPPAMNIGTITQLFGAGESECSVIMLWAYGLASISLTLWSMLFMWLIVFFVFNPALVATNLATTITYESIVSMWFMPVNILATFIIGSALGWMLIVIATPPQHLKGLILGACAADWSRVSVGLCLQPSTGFLRPRFRDRPTGVDPNFASFISLFEFNYHEAKREGDVRCNEATSGELLKTDQLEAGVCTIDYRSGMLLFSSSYVTFSVDKGQPSMEAETYMHITVSFVVKIVGFVVGTIGPLRRLLIGTTAPLRVIQDSASLVGDAAIPTVTLIVGGNLLRGLKGSGISLRIVFGIVAVRYLLLPVFGILIVKGALYLGLVPADPLYIFVLLLQFALPPAMNIGTITQLFGAGESECSVIMLWAYGLASISLTLWSTFFMWLVA >KVI08701 pep supercontig:CcrdV1:scaffold_2245:10006:13392:1 gene:Ccrd_012917 transcript:KVI08701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II WSIARATRGLVRRSSFSINNPHRFPFSSHPFTPSLLKTSLSTSIMDVRRRPLKKLLHRSSKPYKSGQLLKHQDQQKPSDAFPLPLHVTNALFFAMFFSVMYFLLHRWRDKIRNSTPLHVVTFSEMTALISLLASVIYLLGFFGIDFVQSILRPSPASWVIEDDNAEEDTPVKPCGQALIPHILPTNLTSEMEVEKKKPPPTIDYNPEENEEIVKQVVAGTIPSYALETKLGDCRRAASVRREALERITGKSLTGMPLEGFDYESILGQCCEMPVGYIQIPVGIAGPLLLNGAEFCVPMATTEGCLVASTNRGCKAIYMSGGATCMLLKDGMTRAPVVRFGSAKRAAELKLFLEDPENFDTLAVVFNKSSRFGKLQSIKCAIAGKNTYIRFCCSTGDAMGMNMAVIKEEVVRKVLKTNVAALVELNMLKNLTGSAMAGALGGFNAHASNIVAAVYIATGQDPAQNVESSHCITMMEAINDGKDLHISVTMPSVEVGTVGGGTQLASQAACLNLLGVKGASKEEPGSNSRVLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSTRDMTKLAP >KVI08708 pep supercontig:CcrdV1:scaffold_2245:86895:87182:-1 gene:Ccrd_012924 transcript:KVI08708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSKRSSKKEAPQTFQQQEKSNSDSLPDSSTGDEYRSLRRKYLLLEEESFNLGRETKDIQDAVNSLEEEKLSLLDELVVLEGLVDPSELDASRRLP >KVI08702 pep supercontig:CcrdV1:scaffold_2245:30842:41644:1 gene:Ccrd_012919 transcript:KVI08702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MPSQSDVTESSPQTTPESAVIDVAVSQPPPSSSFDDLFSTASLASVSSSSLSDDNRKPSPSPDPSQSRPQIPITWPSDGVLTLDWVTNLMSTFDWSSRNLRPTELPTVLPVEVFDILVLTASKILHKEPNCVSIEPDRDGMVGSSVVVVGDVHGQLHDVLFLLKDGGLPSENKFFVFNGDYVDRGAWGLETFLLLLAWKVFLPQRVFLLRGNHESKYCTSVYGFEKEVLAKYGDKREGKHVYRKCLGCFEGLPLASIIAGRVYTAHGGIFRKIPATPSKRAKGKKNRKVILNPESVPLSLGSLEELSKARRSVLDPPWEGQNLIPGDVLWSDPSMKPGLSPNKERGIGLLWGPDCTEDFLKKFNLKLIIRSHEGPDAREKRPGLGGMDEGYTIDHVVESGKLITLFSAPDYPQFQATEERYKNKGAYIVLESPNFDAPVFHSFEAIMPRPKVNPYYDFENVIDSDEELDLMMMVEAS >KVI08703 pep supercontig:CcrdV1:scaffold_2245:19082:19462:-1 gene:Ccrd_012918 transcript:KVI08703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLHYTRVRRRYYGTRGFRLNLKRFSVQRLRAKFFNFFKLLMRFWRSSSYEKRPSMSWSKLSIIGGSRRHLVAKENSDRVDICRLESFKRTNSFYAEAIADCLEFIKRSSVSSDDKSETYVIDKI >KVI08704 pep supercontig:CcrdV1:scaffold_2245:50337:50937:-1 gene:Ccrd_012920 transcript:KVI08704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRWVHFGKQLRGLCGLDVGRAASKSQPISKVSFIVSCEGQSLVSSSRSPLHPFSDFLDVL >KVI08706 pep supercontig:CcrdV1:scaffold_2245:72524:77854:-1 gene:Ccrd_012922 transcript:KVI08706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase MSMTEETNGIPPQPPSSAEPTPAEYTGKIVSPIHTFFAMILWLGSVHLIALIILGSFLLLPLHKFFLVLGMLVVLIVIPIDENSKWGVAMARYIYKHADGYFPATLYVEDIKAFKAEQAYVFGYEPHSVWPIAAGILSDLTGFMPFPKVKLLASTAVFYTPFMRHLWTWMGLTAATRKNFSSLLKAGCSCIVIPGGVQEAFYMEHDSEVAFLKARKGFIRIAMETNSPLVPVFAFGQSFVYNWWKPRGKFFLKLSRAIKFTPIIFWGTLWSPIPFRRPIIVVVGKPIHFKKNSTPTMEEVSEVHGEFLKELQNLFNRHKARAGYPDLELRIM >KVI08707 pep supercontig:CcrdV1:scaffold_2245:82704:87182:1 gene:Ccrd_012923 transcript:KVI08707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MGSSNTLFLGMLISMSLAIFTSAKVYVVYMGSSTDTEDPNEILVQNHRILSSVHSGSIEEAKASHLYSYKHGFKGFAAKLTADQALQIAKMEGVVSVFENTRRRLHTTHSWDFIGLAGKEAMEIPGFSTKDQVNVIIGFIDTGIWPESPSFSDTDMPPVPAGWKGTCQPGEAFNSTNCNRKVIGARYYHSGYEAEEHAKLEHEEDDDPRKKVSFRSARDSNGHGSHTASTAAGRYVENMNYKGLAGGGARGGAPMARIAVYKTCWDSGCYDADILAAFDDAVRDGVHIVSLSLGPDAPQGDYFNDAISIGSFHAVSRGITVVSSVGNEGTKGSATNLAPWLITVAASSTDREFTSKLVMGNGAKLKGESLSVHQMRAPARIISASIANRGYFTPYQSSYCLESSLNYTKTRGKVLLCRHVERSTESKLAKSEVVKAAGGVGMILIDETDKDVAIPFVIPAAIIGKRMGNRILSYINNTRNATSRIFASNAKIGSQHAPRVASFSSKGPNALTPEILKPDVAAPGLNILAAWSPAIGRMKFNILSGTSMACPHVTGIVALIKAVHPSWSPSAIKSSIMTTATVFDXNGKPIRVDPEARRGNAFDYGSGFLDPTTVLEPGLVYDANPTDYKAFLCSIGYNEKLLHLITRDNSTCKNKAFSTPSSLNYPAILVPKLKSSFSVTRTLTNVQKQRQRTTYRAVVATPHGIQVTVLPHRLVFNHYGQKMNFTVTFKISAPSQGYVFGYLQWKNKRSRVTTPLVVRAAPSNLGXLV >KVH96503 pep supercontig:CcrdV1:scaffold_2246:17224:18234:1 gene:Ccrd_001410 transcript:KVH96503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MALNHLQLLLSLVLIASMLGMGMSNRDFQKGAQNWNFGFNYTNWPPRHSNPTQSSRRIVVGGSDNWRFGFNYTEWAMKNAPFFFNDTLVFKFDPPSINNTHPHSVYLLPNLWSFIRCDLRWAKQVIKTTQGGGEGFEFVLNKWKPYYFACGESKGFHCKSGMKFFAMPMFRWY >KVH96504 pep supercontig:CcrdV1:scaffold_2246:74929:85149:-1 gene:Ccrd_001411 transcript:KVH96504 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 HHVVISKRSFLCLTGTQFPEQRPSVLRRSLTGKSSISGDPVVKSMRPPLGKPININAMARKIDVDDRIPLRNYYRIADNLLKQARIYREEKNVIDLYVMLLRYSSLVLDTIRFHRDYQVLCSKERAYCKKQLLVVMDELESLKDEVGRQVDGLNKGHTRNEESQPNGLGETLPHRSGESYFQVPAVNNRVPSGFDNKQSHNRESLLSWNQNLGSTQNKQSSSNDMQFQKLSLLPPPRQETLSRHSLLGPNGLRSQWAGPSAQIKMLSAYTIFYCARSLIQSAQSDLTGVKDGNSGVDISTMESVLSLDDGRWSRPAVESFTQFDDDHFLSGNIRQPSPPPVLARLQPEHSPISPARVADPRPGPAKSLQDDATTSNTYHHLHIPVQMMQAFLRLAQENTKKNLETCGVLAGSLKNRNFQITTLIIPKQESTSDSCQTLNEEDIFEVQDKRSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPSDTSSPHGIFHLSDPAGVKLIRNCDQRGFHPHEEPDDGSPIYEHCSHVYMNETVKFDVVDLR >KVH94616 pep supercontig:CcrdV1:scaffold_2248:55606:58753:-1 gene:Ccrd_003318 transcript:KVH94616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGEKLTPGDKKKIEDAIDEAISWLDTNQLAEADEFEDKMKELENVCNPIIAKMYQGGAGDAAGGMDEDAAPSGGSGAGPKIEEVD >KVH94618 pep supercontig:CcrdV1:scaffold_2248:19670:20322:1 gene:Ccrd_003316 transcript:KVH94618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase-like domain-containing protein MASNLNPEYAYTVVYVKDVAKSVEFYGKAFGYTVRRLDDSHRWGELQSGPTTIAFTPLHQHETDDLTGEVQELVKKRRNQLEVCFAYADVDAAFKRAVENGAEAVCQPEEKEWGQKVGYVRDIDGIVVRMGSYVKPR >KVH94617 pep supercontig:CcrdV1:scaffold_2248:43246:52005:1 gene:Ccrd_003317 transcript:KVH94617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGLGIGLSFLFGCVILGFVAELYYLLLWKKQREDVENQNLSSSNYTPTHLSSCFKNPNSSNTQQKDLQNCVNEIESRGKQQNSTQKDPPLKGFGEESLDLELMRLHNLCGPPRFLFTINEETKEDLESDSRKGSRTRSLSELLCTPETPICCSSPLKGPQAPNLEGYLNPLYDSDTNEFELNKVRSSPPPTFKFLRDAEEKLLKKLMELEAEKRGNLRRNQDGDCSRKTEVEGKMVKREGGIHHLQMSASSQLCVNEANMTRLLKGNDTQKG >KVH90812 pep supercontig:CcrdV1:scaffold_2249:48638:49833:-1 gene:Ccrd_007177 transcript:KVH90812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide translocator 1 MADQNQYSATVSKLASQLHLSQDVRARYGSFQRPASSQRQFTSIRKGVAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSQPYKGIGECFSRTIKEEGFGSLWRGNTANVIRNFPIQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARILLANDAKAAKKGGERQFNGLVDVYKKTLASDGIVGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSMQDSFFASFALG >KVH90807 pep supercontig:CcrdV1:scaffold_2249:85973:88631:1 gene:Ccrd_007180 transcript:KVH90807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSNDHQPYSRHFNGSWFNLNSTQHLSSLYSSSPPPPFSYASFSRYYEPSPPSPPLREALPLLSLSPARRFNHDLEYPFDTTNDSCASRSMEIDPNSMPSDDQETVTVALHLGLPSPTFSEADLISRLSTDTHNNIESDHKQVEKEEEEEEGTISNGYLTSTLNKGQYWIPTPTQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRRGPESLRGIQPTAMLRLPCYCCAPRCHNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCSKAFAVRGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIKAFGNGHAAYGIDDESCFEFEEEEAASEIDHQDNDHLQ >KVH90814 pep supercontig:CcrdV1:scaffold_2249:18553:19544:-1 gene:Ccrd_007173 transcript:KVH90814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTPSSELAIAALNKFEKDPQELKDIFKSGESDQEQKREFVLEGEKEEEEDDEEDDELDDENNEEEDEDEDEIEEDESGDGERKRKKRGGVGVEDKLLNIQEMKESMEDDESREYGVNKKKQVVKKMARKFGEDDEVEDDDEDDDDELGVLELAGEEDMSDVEDAG >KVH90809 pep supercontig:CcrdV1:scaffold_2249:54122:58212:-1 gene:Ccrd_007178 transcript:KVH90809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MDVHWKEDLRMPFLQQSDDVGLDGSRSIHNVDQGVTTITFKIGGVEFASSLASIKSMLQKLDGVDSITVSPLQGQVVVKYVPELVSARTIKETIEAAGVQVTEFLEQEIAVCRLKIKGMSCTSCSESIESALFMVEGVKKAVVGLALEEAKINYDPNVTNTACIIEAVVDAGFGADLVGSENDANKVHIKLQGIVSPEDMDAIKQSLELVDGVNHVEMNTEEGKVTVSFDPDLTGPRSIISFIQGIGTCYQASLYIPERQRDTERNHEIRTYRYHFFWSCLFSVPVFIFSMVLPMTPPYGNWLSYKIHNMLTIGMVSRWILCTPVQFIIGRRFYVGSYHALRRRSANMDVLVALGTNAAYFYSVYTVIKALLSDKFEGQDFFETSTMLISFILLGKYLESMAKGKTSDALAKLTDLAPDTACLLTMSEEGNTPSETEINTRLIQRHDILKIFPGSKFPVDGIVVEGQGYVNESMITGEATPVAKIKGDRVIGGTMNENGCLLIKATRVGSETALSQIVQIVEAAQLARAPVQKLADQVSKFFVPAVVLAAFVTWLGWFIPGVAGIYPKSWIPKAMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVNTVVFDKTGTLTVGKPVVASAVLFSRISMEDEHPIAKAVVEHAKNQLPKHKTQPSNGELVKDFEAHPGAGVECKVGARMVLVGNKRLMRLFNVPVGPEVENYFSDNEKLARTCVLFAIDGKVAGAVAVTDPVKPEAPRVISFLHSMSIRSIMVTGDNWSTATAIAKEVGIENVFAETDPLGKADRIKDLQAKGMIVAMVGDGINDSPALVAANVGMAIGAGTDVAIEAADIVLIKSNLEDVITAIDLSKKTISRIHLNYVWALGYNVLGLPVAAGILFPFTGIRLPPWLAGACMAASSVSV >KVH90808 pep supercontig:CcrdV1:scaffold_2249:67428:73127:1 gene:Ccrd_007179 transcript:KVH90808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial surface antigen (D15) VRELAYALTKTLGNVITELTSNTGVFRRLESIVTPPITTMPENKHLHFRSSSIKIPPFFPIHNHRRQHHNRRPLPLFADLNLSISSILNSSKHPITQFISYINKFQASTQKLPKLSNPFHSKKQPPLCSTSLALIRGDEEVGEVTQSSKSHPVSRHDDERVLISEVLVRNKDGEELERKDLEEEAMAALKTCRPNSALTVQEVQEDVHRIIGSGYFSTCMPVAVDTRDGIRLVFEVEPNQDFQGLVCEGANVLPTKFVEDAFRDGYGKIVNIRRLDEVINSINGWYMERGLFGLVSGIEILSGGIIRLQVSEAEVNNISVRFLRRTGEQTTGKTRPETILRQLNTKKGQVYSMLQAKRDVDTLLAMGIMEDVSIVPQPAGDSGKVDLTINAVERVSGGISAGGGISSGITSGPLAGLIGSCALYHRNLFGRNQKINLSLERGQIDSIFRINYTDPWIEGDDKRTSRAIMIQNSRTPGTLVHGNQPNNSNLTIGRITAGIEYSRPFRPKWSGTAGLIFQRAGARDEKGNPIIRDFHSSPLTASGNIHDDMVLGKLESVYTGSSDPSSSMLVVNMEQGLPVWSDWLVFNRVTSRARKGLVIGPACLNLSLSGGHVVGNFPPHEAFPIGGTNSVRGYEEGAIGSGRSYAVGCGEISFPLVGPVEGAMFADYGTDLGSGSTVPGDPAGARLKPGSGYGYGVGMRVASPLGPLRLEYAFSDQGTGRFHFAVGQRN >KVH90815 pep supercontig:CcrdV1:scaffold_2249:3119:18570:1 gene:Ccrd_007172 transcript:KVH90815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWFYFIILLLLRPNAISVAASLGNQLVAVAEGGGDAVIKCFHKEKQALLHFKASLQDPLGQLSTWRLEDDDCCKWSGVTCNNQTRHVTKIDLSSNPLTGLRGLGGEVSPSLLNLSYLNHLDLSSNSFHGPILNFIGSMTXLSYLNLFYNSFHGTIPNSIGSMTRLSYLDLSLNSFNGTIPTSIGSLTKLRYLGLSDNSLHGTIPPELGKLTNLQYLSLEQLARCSVENLDWLSNLSRLEYLHMDEISLAKANHWVDIILSLPKLTSLSLQRCNLSEVVYPCSSFVNASSSSIGFLGLGSNNLNSSMYRWLFPLTSNKLLFLDLSNNMLDEIPKYLGNLCXLTYLNLYKNPVVVTFADFLNNLSGCTTVTLQVLDASYNQLAGPLSDEIQKFSYLQNLILSNNHLNGTMSGKVWELPNLQVLDVSSNSLAISQNIEKSKLGYTDLSNNSLQVVPSKDHILVHSYTERIYLSGCKVGPLFPKWLQTYKKLTILNVANARISDTIPAEFWKTWPSRLTNLNLSSNNISGRVLDLSSNFDLYATIDLSSNNFYGPIPNVPPTLLSLNLSKNKFYGGISFLCQIVDGFLSFLDLSHNSLTGQLPDCLWHFKQLKVLNLGQNSLSGRIPASIGYLVQLEVLHLYNNNFSGELPLALKNCTNLNFMYLGANKFFGNVPDWIGENLTRLYALILRSNNFFGPIPLQLCHLVNLQILDLSRNNLNGTIPSCINNLXAIVQGRYLQSRNIRQPLAYKANATLLDIFFNYVDNGMIQWQGIEREFTXNLGLLASIDLSSNNLTGKIPNELVDLHELLALNLSKNTLLGELPIKIGEMKNLLTLDLSRNNFSGAIPSSMSQMASLNYLDMSHNNLSGRIPSSTQLQSFEPSKFTGNAGLCGPPLTKNCPGDVVPPVASKNENGEEGIDELERWFYIGGGIGFGTGFWIACGALLVNRRGRHAFFPFVDFWKDWVYVKVVVFFRKLRRVEHAYQKNWLQRVQQFSTQQQFSTQQQKHHSLMELEQKQKKNLQLPMIVSLDNGTLHLYNSFKLKGYVNFIKSLGMEMMTSNIRQRTMIWFHVIIIIIMLLLCPNTTILATCSSIGNQLATPAATYGNYGGINKSCFHKEKQALLHFKASLQDRFGQLSTWRPAEDDDCCKWFGITCNNHTGHVTELDLQFYHLEGEISPSLLNLTYLNHLDLSRNSFNGSIPNFIGSMTQLRYLDLSLNNLHGTIPRSIGSLTQLRYIYLSYNSLEGTILPELGNLTNLQELSLGNNERLSIQTLDWLSNLSHLQHLEMRQISLAKANHWVDVILSLRKLSYLSLSRCELSEVMHPYSSFVNSSSSSIQFLDLSDNNLNSSMYRWLFLLTSNRLLSLDLSGNMLDGIPKSLGNLCSLTSLYFYTNSAVVNFTDFLNNLSGCTSVTLRYLNARYSEFIGSLSDQIQEFSSLEYLYLSHNHLNGTMSEKVWELPNLQGLDVSSNSLVITPNIGKSKVSYIDLSNNSLVVIPSKAHISNLYYVDYIYLSACNIGPLFPKWIQTHKNLTQLDISNYKISGTIPVEFWKTWPSQLTYMNLSSNNFNGKISDLSSNFGPMAMIDLSSNNLCGTISNVPSTLTWLNLSKNKFNGGISFICQIVDEFLVFLDLSHNSLTGQLPDCLWHFKTLRVLNLGHNNLSGRLPASIGYLIELEVLDLYNNNFSGEFPMALKNCTKLSFLNLGANKIFGNVPVWIGENLXGLYGLILRSNNLFGPIPLQLCHLMYLQILDLSINNLNGTIPSCVNNLSAMVDGRFLQYNIHRYGENMSFVGIYVDKAMIEWQGKEQEFTNNLGLLTSIDFSSNNLTGQIPNELVDLHELVALNLSKNALLGEIPRKIGVMNELLTLDLSRNKFSGGMPSSMSNMTLLSYLDVSYNKLSGRIPSSTQLQSFEPSRYTGNAGLCGPPLEKSCPGDEVPPIVGEHKSGEEDIDELQRWFYIGGCTGFATGFWIACGALLLNLHGRRVFFHFVDSLKDWVYVKGVVFIQKLRRSHNLVTIQK >KVH90810 pep supercontig:CcrdV1:scaffold_2249:44909:45604:1 gene:Ccrd_007175 transcript:KVH90810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MIWFYFVLISMLLLCPNTTILATCSSIGNQSAAATDGNYGGINKSCFHKEKQALLHFKASLRDPLGQLSTWRPAEDDDCCKWSGVTCNNHTGHVTELNLHSYHLRGEISPSLLNLTYLNHLDLCFNSFDGTIPKVITSMTQLRYLDLSFNFFHGTIPRSIGSLTQLRYLDLSFNFFNGTILPEFGNLTNLRNLSLGNNERLSTIQTLDWLSNLSHLQHLDMTHISLANATH >KVH90811 pep supercontig:CcrdV1:scaffold_2249:24979:27445:1 gene:Ccrd_007174 transcript:KVH90811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTWLTFLDIHYNHFNGTIPKSIGSLTQLRYLDLSDTFLHGSIPLEFGNLTNLQNLLLGTSEGSTVENLDWLSNLSHLQYLQMDMISLAKANHWVDAILSLPRLSYLSLFDCDLSEVMYPYSSFVNSSSSSIGSLRLESNNLNSSMYHWLFPLAGNKLLNLDLSNNLLDGIPEYLGNLCSLTSLSLYGNSVAVNFSDVLNNLSGCTSVTLQELDVSDNQLTGSLSNEIIKGGVIFGNTGESKLQKIDLSSNLLEVIPSKAHNLSYLKYLDLSACKVGPLFPKQIQTYKNITHLNIANARISDTIPVGFWEIWPSQLTYLNLSSNNISGEVPDLLSNFDDFSTIDLSSNSFYGPITNVPPTLLSLNLSKNKFYGGISFLCQIVDGFLSFLDLSHNSLTGQLPDCLWHFKQLKVLNLGQNSLSGRIPASIGYLAQLEVLHLYNNNFLGELPLALKNCTKLKFLNLGANKFFGNVPVWVGEDLTLLYAFSLRSNNFFGPIPLQLCHLINLQILDLSINNLDGSIPSCVNNLNSMVYRRFLPKNNMHGYGKLYNEEGDITMVGTYVDYAITEWQGNEHELTSTLRFLMSIDLSSNNLTGQIPDEITELHELVVLDLSKNALLGEIPRKIGEMKKLLTLDLSRNNFSGVIPSSMSGMSLLNYLDVSYNNLSGRIPSSTQLQSFKPSSYSGNAGLCGPPITKNCTGDEESEAPPPSESEGGGEGIDELWTWFLIGGGTGFITGFWXACGALLLNRHGRHAFFHFVDFLKDWVYVKVAIFIRKLQRGAYT >KVH90813 pep supercontig:CcrdV1:scaffold_2249:45674:47662:1 gene:Ccrd_007176 transcript:KVH90813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MHPHSSYVNSSSSSSIQFLDLSDNNLNSSMYRWLFLLTSNRLLSLHLSGNMLDGIPKYLGNLCSLTSLYFYRNSAVVNFTDFLNNLSGCTSVTLRGLYAPSSQLTGSLPDEIQNFSSLQHLLLHNNTLNGTMSEKVWELPNLQSLKVSSNSLVITPNIGKSKVLYVDLSNNSLVVIPSKAHISKLYYVKYIDLSACNLGPLFPKWIQTHKNLTYLDISNNRILGTISVEFWKSWPSQLMHLDLSSNNFNGKISDLSSNFGPMAMIDLSSNNFSGPIPNVPSTLASLNLSENKFYGGISFLCQIVGGLLQYNIHSYGGNMSFIGTYVDNAMVEWQGNEQEFTNNLGLLTSIDLSSNNLTGKFPNELVDLHQLLVLNLSKNALFGEIPRKIGEMMKLITLDLSRNNFLGEIPSSMSNMTLLSYLDVSYNKLSGRIPSSTQLQSFEPSRYTGNVGLCGPPLAKSCRGDEVPHIVGERKSGEEGVDELQRWFYIGGCTGFATGFWMSWETCIFSLC >KVH92540 pep supercontig:CcrdV1:scaffold_225:154497:164996:-1 gene:Ccrd_005429 transcript:KVH92540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MAAVAARLCHFSSSSLSKSPSDSLVGLCEESRNFLFSKVERVDVIQSDGEDEVLMEMERGGSIEVEWSRYRPSSSRSSEMTFFFQIQMHGFKIQIHDTSEMTTTTVDLQIIPLHNCTKCIRRVETTLCRFDGVKLLDVDSENGKFTIETTRHPEEIRDALQRKFAGKFVFLSKRINHSNPFSTSLVFDELQERVRERVEKETERCKRLADDLSTSKGIWTAAIREVKDEVGVVDAS >KVH92527 pep supercontig:CcrdV1:scaffold_225:232353:272716:-1 gene:Ccrd_005434 transcript:KVH92527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATKKTCNKSFCEDYLLLSPKDVGLWDLIMILISKNIENRKFIDCPAGTLETSFSRRFIIFISIVAQKILHLLYGPLYWLGSLIEFVPNFFDGNGGFLKLPVRLITGRLVLPDRKSPEYLSAVGLGDIRRNLDSKIKHEDPRYTSALAVMAAKSAYENPAYIKDTVEKHWKMEFLGFFNCWNDYEEDYTTQGFMWSDKTGDSELIGVSFRGTSPFNAKDWSSDVDLSWYHLPTIGKVHAGFLKALGLQKSQGWPKDINADEQKPYAYYAMRQKLKERLRKNPYAKFLVTGHSLGAALATVFPAILAYHKEIDLLSKLEGVYTFGQPRVGDHKFGEYMKEVLVTHGLRYHRFVYCNDLVPRVPFDNSDQLFKHFGGCHYYNSFYKGQVLLEEPNKNYFSIFAIIPMFVNAVWELLRSFIIYYQDGPEYCETYSCRGLRIFGLLIAGLPAHGPQDYVNLTRLGSPELFNPKDVGLWDLTKLLFSKHIGHRNFIDCPDGTVEESFWSRVAIFMSVVLQKVLHLTYKPLGWLGSAIQFMPNFMDANGGFLKLLLNLVTGKLVVPDIESSEFLSAIGLWDIRRDLDSRIKHDDLRYTSALAVMAAKSAYENQAYIKETVEKHWKDFTTQGFIWSDNTGDSELIGVSFRGTSPFNAKDWSSDVDLSWLHLPDIGKVHAGFMKALGLQKSQGWPKDLQSNVEKPYAYYAMRQKLKERLENNPNAKILVTGHSLGAALAVLFPAVLAYHKETDLLSKLEGVYTFGQPRVGDKKFGEYMKEVLVAHDMRYHRFVYSNDLVPRVPFDTSERYFEHFGTCHYYNSFYKGKVLLEEPNKNYFSIFAIIPMFANATWELIRSFIMYYQNGPDYGETYTCRGWRVIGLLIAGLPAHGPQDYVNCTRLGSPELFAKSTSGKPCDKSFCEDYLLLSPKDVGLWDLIMLLFSKHIGDRKFIDCPDGTVEESFAQRFVIFISIVVQKLCHLIYKPLAWLGSLIEFLANFLNDNGGFRMVVWNIFRDKLVLPDRESAQFSSVIGLLDIRKDLDARIKPQDPRYNSALSVMAAKVAYENEAYIRDTVENHWRMEFLGFFDFWNDYEEDYTTQAFMWSDKTGDTEVIGVSFRGTSPFNSKDWSTDVDLSWYHLPGIGKVHAGFLKSLGLQKSEGWPKDIQSNVDKPYAYYVIRQILKERLEENPKAKFIVAGHSLGAALAIVFPAILAHHEETDLLEKLEGVYTFGQPRVGDHKFGEYMKDVLVTHGLRYHRFVYCNDLVPRVPFDSSDVYFKHFGECYYYNSFYNGQVLTEEPNKNYFSIFAVIPMFINAVWELLRSFIIPYQSGPEYYETVPCRGWRLIGLVIAGLSAHGPQDYFHFSSQFENNLNLVTDLIDKMVCDKSFSSSYMLLNHEEVGVVDLVHMLRSNDIENRKFVDCPKGTTEDKFRRRWLISMSVLAQKILKSIAGPLAATGSAIEYWLNLVACNRNLGGLISNFVRGELIRPEKTSATFLSFTGNLDKRVELDKSIVECGDRDRYNSFLSIMAAKASYENHAYLQYTVTDLWKMEFMGSFDFQNDYQGKPTTQAFMFRDKKDDSDMIIVAFRGTETFDADAWSSDVDLSWYELPGIGKVHGGFMKALGLQNSHGWPTKPTSQTDNQELFAYYAIRDMLKEALKANKNTKCIISGHSLGGALAILFPAVLMLHTEKDVLDMIEGVYTFGQPRVGDEEFGKFMNEKMKEHKVKYYRTVYSNDMVPRLPYDDSTMMFKHFGTCLYFDSFYNGKIVEEEPNKNYFSLLSAIPKMINAAWEIIRSFVIPYTKGADYSEGGLLKMYRIIGLIVAGIPAHGPQDYVNAVRLASSELYKDHEMMNNEETHIMIEDETLKRLGVEIFTATNDSPNKIRFYFSTIFRFSCQNTEYASGFREFWPMLQCGVQYHNFHLYQIVPSLAVEKGRFSHEIISLLEGVKYVIRFHLDDVMVDDKAASY >KVH92543 pep supercontig:CcrdV1:scaffold_225:316240:325247:1 gene:Ccrd_005438 transcript:KVH92543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPYCVQRLREDSTSHPKRSRVLENSLITLMMTMEMKIMNLRKNVPSNSLSLLDFMNLLAPMCSQIRIQHKSQTPTSSRSTNIYSSQSTFAIVDFSFRRKGLFFFRERNEIWWCFSFSIMEEVISTLLVMVSLKKGQDSYQEK >KVH92523 pep supercontig:CcrdV1:scaffold_225:24742:33914:1 gene:Ccrd_005418 transcript:KVH92523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MAMAANHGFDRSKERLLLSRKGCSAFFEEPDNNGGTDASILDRIAKKWEDFQVFMVQIYEMGRSDPRQIIFAAKSGLALALVSTLIFFKESLRYFRATLSKGFNRAIGTVSAAILALCVAQASVWAGAWHEVVVVVSIFAAGTITSFVKLYPSMKAYEYGFRVFMLTFSIVLVSGTSHFYRTAISRLLLVAVGASVCLIVNICIYPIWSGEDLHKLVVKNFRGVASSLEGCVRSYLENVEYERIPSKILLGFAIWEPPHGRYRMFRYPWVQFIKVSGALRHCAFMVLREIGNKLEKLERLSPDFDLLDKVHEAAEDLQMLIDQKSYHLVNSEKWAAARRPKEFEDPEHLQELKEEETHMYTMGSSTSTLKPSHTFKNIDRHITNMSMNPSFANWGSSEDVLRQQVQWPSRLSVLGDVILNDREVRTYESASALSLTNFTSSLIEFVARLQNILNSYEELSEKARFSEPKNDLEKPEDRNSCLYVNVISTILIDA >KVH92533 pep supercontig:CcrdV1:scaffold_225:54866:70505:-1 gene:Ccrd_005420 transcript:KVH92533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTVQHLDLRHVGRSSKPFQPHAATFHPSQALVAVAAGNYVIEFDAYTGSKISSINIGAPVVRMSYSPTSGHAVVAILEDCTIRSCDFDTEQTWVLHSPEKKMERISVDTEVHLALTPLQPVVFFGFHRRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLAVLYVGYADGLIRAYNIHTYAVLYTLQLDNTIKLIGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGIFAQSSGSFASIETPTPSRTQLSKLHHTQVGSQPIISVAWLPILRILVTLSKDGTLQVWKTRVVLNSNRPPKQANFFEPAAIEQIDIPRILSQQGGETVYPLPRIRSLEVHPKLNLAALMFANMTGGDNAKNKAAYTREGRKQLFAVLQSARGSSASALKEKLSALGSSGVLADHQLQAQLQEHHMKGPSQLTISDVARKAFLFSHFMEGHAKSAPISRLPLITILDTKNYLKDIPVCQPIHLELNFFSKENRVLHYPTRAFYIEGVNLMAYNLSSGAETVYKKLFASMPGHVEFHGKYLLHSRKQHMFLVVYEFNGAASEVVLYWENTNSQSSNSKANTIKGRDVAFIGLNDGQFAILDEDRIELSVYTLPGGSSKPAAEKNMIDDENQYENLDVSSIKGPQQFTFESEVDRIFSTPVESTLMFASFGDKIGMAKLVHGYSISTTDGPNMSTKGEGKKSIKLKANEIVLQVHWQETLRGCVAGILTTHRVLIVSADLDILASSSTKFDKGLPSISSAISLLWVGPALLFSTTTAISVLGWDGKVRTILSTSMPNAVLVGALNDRLLLANPTEINPRQKKGMEIKHCLVGLLEPLLIGFGTMQQNFEQKLDLSEILYQITSRFDSLRITPRSLDILATGAPVCGDLAVSLSQSGPQFTQVLRGVYAIKARRFSTALSVLKDEFLRSRDYPQCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVISDYESMLDLFICHLNPSAMRRLAQRLEEENVDSELRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPSSTKSMPQWELAAEVMPYMRTNDGSIPSLSTDHIGVYLGLIKGRGNIVEVSEGSLIKTFKSEATNVKSDGLPTSLTASTNKASMDAASRGDSLMNLDSLTKTSADSSAADEQAKAEEEFKKSLYGTAGDGSSSDEEGISKAKKLRIRIKPAASTTVDVDKIKEATKQFKLGEPLGAPISRTKSLTSQFQDLGLNPPQPALPSGGTANTLPVSAPVDPFATSSFTQTPPVFPAGPSATGAAVGPRPIPEDFFQNTIPSLQVAAALPPPGTYLSRYDQNPQGVESSQGLPSQVNPSVPNAGVAASVIPQVSMHPVSTESFGLPDGGVPPQSMSQPVVMQQPHGQAANVPVSTQPLDLSSLEGPGSANAAKASEPPPPTSVRPGQVPRGAAASVCFKTGLVHLEQNQLPDALSCFDEAFLALAKDNSRPSAISAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYGYAKQMLELLLSKAPPGKQEELRSLIDICLQRGLTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALASPGCIICGMGSIKRSDALVGPAPVPSPFG >KVH92534 pep supercontig:CcrdV1:scaffold_225:107302:107787:1 gene:Ccrd_005423 transcript:KVH92534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTWKPVVLGPTATLISSSSLETPFPYFSVFTFSLRSKPQKSLIMAAPVTGEASLDEERSGSQIVINKLKTPNSAEDNLIIAQLSKTALTQLHVPCASSLSKRRKSKPMRFDVSRTDVRKEHSSPKIK >KVH92528 pep supercontig:CcrdV1:scaffold_225:235497:238186:1 gene:Ccrd_005435 transcript:KVH92528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein IDYINICVPIYNASIRGDWKAVEPFLFRQPDLVRFGISENYDTALHIAASAKTSKLLRSFVKNLMDRMTYEDLKLQNKDYNTALCVAIAAGNFEIAMTMVNKHQALLDVSGRLNRMPFYMACLYGKKKIVKHLYQNFDTMTGIYWTEEICGKVLHNCVQADIFVFAIIHVKVGPANKPSDAMKLVRIIWNKVLTLSDAEIDDIIRGPAKIQGGVRKYTSGILFLAAKMGNTEFVVELIRQYPDILWKRNDKNRSIFHVAVKYRHQGIYSLLNEIGSMKDVIMPLKDQSGNNMLHLVGKCPKIKRLGDVSGVALHMQRELLWFKVLEVENTLPPACRESKNNARQTPRNLFSEEHKRLLFEGERWMKDTASQSMVVSALIATIVFAAAFMVPGGYNQDNGIPMFLHKRIFIVFVIADAVSLIFSSISILIFLYVHITTYSEQDFLDSLPMILMTGLVTLSISIVAMMVAFSVSFFVLYLNNLIWVPIVISVFSSGPVILLVIFHLRVLRDVYRSTYSHKYFFKTKKPSLYIRNPNF >KVH92522 pep supercontig:CcrdV1:scaffold_225:343719:347713:1 gene:Ccrd_005440 transcript:KVH92522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MAPSLLLCTQIVLFLVLLSLTRISRADVPVLDAAKELSLHLATDELDWPTSLMPMYDGVDIDEIEMEDDDGDASTTAAARRSLFWRRMGYYISYGALSANRVPCPPRSGRSYYTHDCWRARGVVRPYTRGCSTITRCRR >KVH92537 pep supercontig:CcrdV1:scaffold_225:110526:113139:1 gene:Ccrd_005424 transcript:KVH92537 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MIRALEVQSSLGIEFPSCIKIMVRSQVSCGFWMGLPLPFCRSFLPKEDTTFLLEDEKGEQCEVKYIAYKSGLSAGWKKFAVTHNLIEGDVLVYILKANVSNEVDGALSLLNLEAHTEQITPVTPSPKTKRSKHTEPLSLTVVEKKHKKTPPSSRSIPPSQLSSHRIEHSGNDSEEVGSEVLEGSRPSKPVLLFQEVKAFEEFRIVVKGLCIDSELPDDVRASYYKLCLDRKQFLHDGLREGLYYKLVAGMIGETVSIANEIKNCKPTTTKEEFGVWDDSLRSFELLGMKVGFLRDRIHTLATLVSEGALDMKRYVEARNEQKRIKDEIKKLTEKISELKENARKFERISGSLKRKAEKYEHRFRDALGTP >KVH92529 pep supercontig:CcrdV1:scaffold_225:220569:220898:-1 gene:Ccrd_005433 transcript:KVH92529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGRSRVARSCCRADPAERQSGKPGPTGKPAERRALRSGGNANPNSASALANSLSDNPCWTCTPEFLRWVKTLSAREVKEMRLLRAGSGVEGDEAAALAERRRRPAR >KVH92526 pep supercontig:CcrdV1:scaffold_225:285680:290109:-1 gene:Ccrd_005436 transcript:KVH92526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 SINNQTITHFLHIFLNFTQKPSIQRNLNQWSAMAAATKKTCDKSFCEDYLLLSPKDVGLWDLFMLLISKNIGNRKFIDCPAGTVEGSFSRRFIIFISIVAQKILHLLYKPLLWLGSVIEFVPNFLDRNGGFLKLPLNLITGKLVLPDRTSPEYLSAVGLGDIRRNLDSKIKHEDARYTSALAMEFLEFFNCWNDYEEDYTTQGFMWSDKTGDSELIGVSFRGTSPFNAKDWSSDVDLSWYHLPTIGKVHAGFLKALGLQKSQGWPKDIQADEQKPYAYYAMRERLKERLQKNPDAKFLVTGHSLGAALATVFPAILAYHKEDDLLSKLEGVYTFGQPRVGDHKFGEYMKEVLVTHGLRYHRFVYCNDLVPRVPFDNSDQLFKHFGGCHYYNSFYKGQVLPEEPNKNYFSLFSIIPMFVNAVWELLRSFIIYYQDGPEYCETFSCRGLRVFGLLIAGLPAHGPQDYVNLTRLGSPELFKYSSTDHAINGVK >KVH92535 pep supercontig:CcrdV1:scaffold_225:94102:99238:-1 gene:Ccrd_005422 transcript:KVH92535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVLPDWLKIIYVVFAFCSALFFGALKSVLVGPIAALILITGNVGVILALFPTHVAWTVYTLIKTNRFDFPLKVAFLFGLPALFGLWLGLSIGGSVLVGIGYGFFAPWISSFEAFRHEDESNKFFHSLVDGTWGTIKGSCTVVRDFADICYHSYPLYLKELRESPSSDELRTLRTDDPTLEAVFFRFIHVPACIIVGLLGLIVDVPLYTAIAVVKSPYMLFKGWQRLIHDLVSREGPFLETACIPIAGLTILVWPIVVIGSVMMAIVSSMFIEFDEYTNDWLYLREGSVFPKPKYRKKRIPHSPEISVGGRSSEAKHNAFPMQPPPVMLMPSLSSSRSVREVIREVKMVQVWENLMQSCEMAGKELLDANVITPRELYDWLNAKKGNESAIVGIGLPCYSFFQNLMRSIKVGSEGLVLLDGLEITHLNRPQDRLLDWFFHPIMVIKEQIRVIQLTEGEIRYLEKVMLFGSDPQRMQAWDNGSFVPDDALRAAQLQGISRRMIGLTRGASKLPTYRRKFRHVIKNLIIYSIPSDDATRSMSMRSVASV >KVH92539 pep supercontig:CcrdV1:scaffold_225:125192:130192:-1 gene:Ccrd_005426 transcript:KVH92539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVEASSHLSPASSTVSPQRHFYLAVDRIQFKMETLVDLLGMAGRRPWLPMVVCCSTRDELDAVCSAVSNISYISVTPLVFSLLLTILLVSSHILFSIIPLATEGGMGFDLCQDS >KVH92542 pep supercontig:CcrdV1:scaffold_225:312588:316520:-1 gene:Ccrd_005437 transcript:KVH92542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MANVDCEEYMLVDLDEVGVWDLCWILICEHMGARRFIKSNNDKELDGTFFRRFIIFISIVIIKVIKLFSKTLERLGWLVESSLNLWTQYGKNEELRRMSPDFSSVIGLTDTRLDLDDEITQEDPRYKSALAIMAAKTAYENPNRVQKIVHDHWKMDFVGFYNCSNEYVVKQDTVEKRHPTQAFIFSDKTGDHEVICVSFRGTSPFSADDWCTDLDLSWYHLRGVGKVHAGFLKALGLQKNKEGHPSWPKELKEDNKPNDRIFAYYEIRKVLREQLQRNEDARFIVTGHSLGGALAVLFPAILGFHKEVKLLEKLEGVYTFGQPRVGDHKFCEYMKQLLGARRYFRFVYANDIVMVKEPNENYFSVPLIPFKYLNAGFEIVRSFILPYAYGRDYKEGILLLGVRSFGMLFIPGVSAHCPQDYVNLTRLASPDTFQHALQDD >KVH92525 pep supercontig:CcrdV1:scaffold_225:19048:23516:1 gene:Ccrd_005417 transcript:KVH92525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSSLVVFSRGYCHDVEALHLKVVALCALFCLSAFGPCTMTGTHNPVGYDEYGSYRNDLNSGSEDVLIGDGRKRTAPQSSIPKLNLENICKRSDLFCFPSTLSGFFADYRSSEANVSGFSEVKADETVNLGSTEAKNNLSWSSDSGNFRLLSGSVVSCSLELKEIDNSDQNDTPSCKRSSLDWKTSGVNQKQESVRIKSSHMDDFSSLRVQVSHPLLDWGQKYLHFPSLAFLTVENRHNHSVLNIFEPYSTDAQFYPCNYSEIKLGPGEVASICFVFLPKSLGFSSAHLILQTSLGGFLIQAQGFAVESPYVIRSSVGLNYSSNGKWSNIVSLFNPSDKVLHLKEVIAWISFSSGSIAYSVKANCSQIDHKGSSEFSVKEWLNVKIGEIGQPVMAIRPQKTWTVGSNSNEPILELEFPDRSQANIFASFCGQLLNGSRDNLDAIILEAEIGGKSGFYDLKTLSISLGVLIPCDANGTTTVSLLLRNNGPGLLTVVKIRAVGENSESLQIKYVEGLMLFPHSVTQVAMVTFTPDSHVNLNCKLVVETNKSDGPALEISCSDIASLCSRSHSYAGHGHDNGALNYDNLETRSNNVHVQSPIEIKATEMTKADEPVLGNWQSQGTENGMSVLDDDEIIFPLVHVGSHQSKWISVLNPSDQPVVMQLLLNSGEIIDECRGSDEVLQPPSSYTLVLNGYTTPSRYGFSVAGNAVTEAYVHPHGKAVLGPIVFCPSSRCAWRSSVLIRNNLSGVEWLSLRGSGGLLSLLLLDGSDPVRNIKLKHNLPSSINRMMKVVFAKNTGDLPLEVNRISVSGTKCGSDGFLVDSCDGFSLQPGELRKLVISYRADFCAAIVRRELELVMAGGMLIVPMEVSLSTPMLRICKQSLIWTRFKKFFLAILVSILLISMASSCSFSFTIRDENLSKSEEHSSDVCPKPEPETGKEVTSSSLSSSSLAKSMVVEASKPENLTVKTGKEKARRRKKKRGSGSGLTGQFEVLSSQSSNSTPSSPLSPASSSTPKRSPELSPNVRVRSPFKNSVALPPQDKPLAPAKTIGPRARAPGAERKAVKPEEGSRSEDRFTYNIWDDHLQLRFLVPGSGSGSSLEVCVMPTITEDNNFGSLFDVSPQELFKSVTETVGFKQDD >KVH92530 pep supercontig:CcrdV1:scaffold_225:167760:171139:-1 gene:Ccrd_005430 transcript:KVH92530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MSHRSVPAPFLTKTYQLVDDPATDDVISWNDGGNTFIVWKTADFARDLLPNSFKHNNFSSFVRQLNTYGFRKTVPDKWEFANDNFKRGERALLIEIRRRKTVTSSQAHANGKPTSGDGGPASSPTSSGDDLGSSSTSSPDSKNPGSVDTPTVEKLENLSDENEKLKKDKQMLTSELAQMKKQCNDLVAFLTQNVKVAPDQINRILGGDGGVGEIVMADEEDDNDEEEKGECFKLFGVFLKEDKKKRGRNENNISFGAQRKEMKMDDASRMNPYSTTGQSTNVCN >KVH92536 pep supercontig:CcrdV1:scaffold_225:113880:117322:-1 gene:Ccrd_005425 transcript:KVH92536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVDIMYNHHHHHHHHHHQQNSDHPKSTLNPPISPRISFSNDFAESLHSRPQNMLRDYNAPPVSSDFEFSVSNNSMMTADELFFKGRLLPFKDAAIGQKTTLREELLAGEDEDGGGVGGGGGVSFRPPKASSSSTRWKGFLGLRKSHIGSKRSDKGDGSRSGSGSGQDEAHISKIPQNVPWHGYLATDHGQGVERASFEP >KVH92520 pep supercontig:CcrdV1:scaffold_225:370860:371144:-1 gene:Ccrd_005442 transcript:KVH92520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKKFYQKRKGHRIVSVNLQGRGVPLYGGGVNWSSENGKLTAPVPLNLNFTVKAKAYVLGKLVKPKFHRKVSCAIVYKPTKINAPMSLKNSCTVE >KVH92541 pep supercontig:CcrdV1:scaffold_225:149348:149902:-1 gene:Ccrd_005428 transcript:KVH92541 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDNFSVTSTTAGVRMDDEDSIIVSALRYVISGGNTTAPSGVIGKYYCIQPPPPEKEICRECGMQIPDCLGCQMFTAAGGGGERRRKKRKKEYRGVNLRPSGKWAAEMMVPGKKERKWLGTFTTAEEAARAYDIASVRFRGKTAKTNFPVAEYSDIVPVENEEENANSVNGLYLNPIYQSKLNKS >KVH92538 pep supercontig:CcrdV1:scaffold_225:134282:134869:-1 gene:Ccrd_005427 transcript:KVH92538 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MQNTIANHHHDGAMITARRYWTADEENSIIVSALTNVICGSYTDTNPISSSSPVISLCAHPMEVCQVCKIDGCLGCNYFWQKAPPCDGGKQRNVAGGRGETKRKRKRQYRGVRQRQWGTWAAEIRDPWRKVRVWLGTFGTAEQAARAYDRAAIHFRGEKAKTNFPESDYLQPEQRPPAVKVEQECQKSMDTDHSL >KVH92524 pep supercontig:CcrdV1:scaffold_225:48873:53656:1 gene:Ccrd_005419 transcript:KVH92524 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MEIQGCKSRLTGKPILNRRLTRRERKLALLEDVDKLKKKLRQEENVHKALERAFSRRLGTLPHLPPYLPPQTLELLAEVAVLEEEVVRLEEEVVSFRQGLYQEAVYLSSRISIGDSDNNNSLEQSSKSTTSKHDALRSSMSPVEVDAESSQESQQKPLNLLSRSASSRMTYSHQIGSDFLNRWVERKHTDLKKPDSSVGDDGVLGKENRSSSNSNSKLKNSPERIANKVQNSVKRIPVKSVTAEKRTPPKLQLQNRLADQERAQESCSSSSSGDRMLEAESECNKISENALKCLIGIFLRLSKLKAKTMDAEAFSNLMSLDLTGGDRGPAFRDPYGICLKSKRRDIGPYKHLFAIEAGSIDFKKKTNASLLTRLTHQQKLAFWINSYNICMMNAYLEHGIPENPEMMPTLIQKATITVGGHLLNAATIEHFILRLPYRLKLSCSKSPEKDETEVRDKFGLEWSEPLVTFALCSGSWSSPAVRVYTATQVENELATAKRDYLEAAFGMTKSNKLIIPKMLDWYLLDFAKDLEALMDWVCLELPGELRKQAITCLEKRGREPLSKRVHVMPYDYRFRYLIQR >KVH92532 pep supercontig:CcrdV1:scaffold_225:80533:82311:1 gene:Ccrd_005421 transcript:KVH92532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MGKYTRKCSKGCSGGGEVAVMEVVQAGVRTRGRAMAVEEAADNSGSAKRRKVDNGELRLSSSKSERIKAREDILTVSKIFGDSAPEKDDLSDPCADARSLSSGDVPASCCSSNGSITEKLKCADLEERAEIVTTVRFNLDRRESTPTSEFKEESSELESSTTAKPSVRIDSRRAIIPVEKMPPAAELEEFFASAEKDLHKSFKDKYNYDIVNDVPMKGRFEWVQLKP >KVH92531 pep supercontig:CcrdV1:scaffold_225:189403:194256:-1 gene:Ccrd_005431 transcript:KVH92531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAAVGSEMKYKGEGEGVYEDETGIGATMEAREPAGDEAPIPGPNWALQPVPGRSPALTGLGMPPPHAAAPSPSMPPFSSPLYKQKSWSPDLHRDEEWMRRKGKHLHRRRRKSKSVTDEDINELKACIELGFGFDRSPELDDRLSTTLPALGFYYAVNKQYHDTISKSSSMSSSSSSSISYSSAVSEPDLSSPWGSPHTIINRGDNPHTVKTRLRQWAQVVACSVRLSTSSTSSSSSSSSS >KVH92544 pep supercontig:CcrdV1:scaffold_225:326572:327306:-1 gene:Ccrd_005439 transcript:KVH92544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEMMTTMMMELDKLPNPFSLPFQHLNSDSSLMDLNFSAGTSSTNNHRPPPPPNYLQHHPTYPTPESPAIPPPQFRVSHDFPRPSWLQQKPDSVEAMREMIFRMAALQPIQIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAVHYMKFLKKQVQSLEQAAAAAAGGGGTTTGISNVAGFCSPTTAMNHHHGGVNYANVIRACGEPAADQMVGSMELLK >KVH92521 pep supercontig:CcrdV1:scaffold_225:364632:365675:1 gene:Ccrd_005441 transcript:KVH92521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MPMEWVRGKTIGHGSFAAVSLAKPTNQNSGFPPLMAVKSCGVSHSASLMNERLILEELKDCPEIIGCYGDSLTIENGKRLYNVALEYASGGALADKVKNSGSFRLSESEVQRYTNSILRGLHFIHRNGFVHCDIKLQNVLLVSDGVKDAVKIADFGLAKKAAGDLNSKYEIRGTPMYMAPETVVGGEQESASDIWALGCLVTEMITGNPVWNCSDFGALLMKIGIGAEIPEIPAKLSDDGKDFLGKCFLKDPKKRWTAEMLLNHPFINTVTYKEEAENQISPRDPFDFPDWESQQSSESSTEFDCWIGDGSEFLSGSPSIPPATRLGQLLTDLKPNWSVSSSWVKVR >KVI04549 pep supercontig:CcrdV1:scaffold_2251:5621:8745:-1 gene:Ccrd_017133 transcript:KVI04549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MGEGKQGVLVVGQQNPVTQLQTKYKEFETLFKTWLAKQSLPVEAAVVTATSALQGAAIGGFMGTLTNDVSSAFTPPTPPNASLSPQARASLQQAQALSGGPLIQARNFAVMTGVNAGISCVMRRLRGKEDVQTSMVAAFGSGVMFSLVSGMGGPNQAANVISSGVFFALMQGGLYKNFKKGLLTDNTLSLLTDSALQDVKIPPGPRLLILDHIQRLKSYGILHVIMYVVK >KVH91605 pep supercontig:CcrdV1:scaffold_2252:9270:10868:1 gene:Ccrd_006372 transcript:KVH91605 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MAREQLQVLKALDLAKTQLYHFTAIVIAGMGFFTDAYDLFAISLVTKLLGRIYYHKDGTPTPGTLPPVVDFSVVGVALIGTLCGQLFFGWLGDKMGRKKVYGMTLAIMVICTLASGLSFGNEPQGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGGFIAAVFAMQGFGILASQVVALIVSAAFDHAFNAPSYARDPIKSTVPQADYIWRIILMLGVIPAALTYYWRMKMPETARYTALVAKNAKQAAQDMAKVLQVEIETEEQKNLFQKDVFTAIGWIPEPAEMSATGEVFMLAKAQTLIALCSTVPGYWFTVAFIDIIGRFXIQLMGFFFMTVFMFALAIPYHHWTLHDNXLGFIXXYSLTFFFANFGPNXTTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFVYASHSTDSKKTDDDDRIKKYLNILGVISFLGMVLTFLVPETNGKSLEELSGENEEDSEPTPTADLELVLLD >KVI11265 pep supercontig:CcrdV1:scaffold_2255:29507:66250:-1 gene:Ccrd_010327 transcript:KVI11265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MAKLPTVAVVDGIVTADGGLLPYLVLLLLLMLLLILIIILIACKPWRVFSRNYRSRTVKATTSSDVLDVERPLVSQDSDIVQSNITEHATNFASEDSCHQNDGHQNSSRTHGLSYKHKLPPTPSHFSHDGSLILDISDEDVVVGQTLKRPSLANDSVGEQKYSTKEDLSYKSGIRLENDSSKQFMSRSTADQRSILTLKVISGPSSGAHYSIQSTNKSKLPLTLGRVSPSDVLVTDSEVSGKHAMINWNLNKLKWELVDMGSLNGTLLNSKTVHHPQTGSRHWGDPVELTSGDIITVGTTSKISFGLFGICDGHGGAAAASFASKIMPEMVTRILSDTFRREKVFSHCDASDVLREAFSQTEAHMDHLYEGCTATVLLIWADGHDNYFAQCANVGDSACIAIVEGQQVKITEDHRIASYTERLRMQAAGEPLKDGEKRLCGLNLARMLGDKYLKQQDARFSSEPYISQVVYMNDGFWDVVNPKKAIQLVHQIRERNCDDASAENIAKLLLSEARAQRTKDNTSIIFLDFDTNHHHDMMMDHEA >KVI11264 pep supercontig:CcrdV1:scaffold_2255:50243:50915:1 gene:Ccrd_010328 transcript:KVI11264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YAYIDFADSNGFNQALGLDGSKVGGCPITVEESRLRGDSQGSGRGGGRGFDGGRSGERGGRFGGRDRGRGGRFGGRDGGRFGGGRGGRGRGPSRPSMATAGTGKKTTFGDD >KVH99377 pep supercontig:CcrdV1:scaffold_2256:73359:77989:1 gene:Ccrd_022392 transcript:KVH99377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MVENGHQHHPSVAAPPLPXFQQPLGAARGPAFPPSEQLSHLNYCIHSNPSWGLPASFFHTVLLAFQHYIVMLGSVVMIATRLVPQMGGDHGDKARVIQAVLFMSGLNTLFQTLLEISPHYEGYSRIPDNIFLCQYDSWIYQGMGGLHKVMLFSPVIVVAYVCVVGLGLFGRGFPELGKCVELGAYNTVGETTKRSCRTDRSYLMESAPWIKISYPFQWGTPIFRASHVFGMMGAALVSTVEFFLFAQSTGTFIAASRFAGATPPPAHVFSRSIGLQGIGQFLDGIFGSIVGTTASVENVGLLGLTNVGSRRVVQVSAAFMFFFSIFGKFGAFFASIPLPIFAAIYCVLYGLVAAXGITFIQFTNTNSLRNIYVLGLSLFLGISIPQYFVSRTDPVTGHGPVHSGGGWFDDILNTIFSSPPTVAMIVGTILDNTLDAHNAREDRGVPWWAPFQHRKGDGRNEEFYNFPLRIHEYMPNRYL >KVH99380 pep supercontig:CcrdV1:scaffold_2256:19196:30106:1 gene:Ccrd_022389 transcript:KVH99380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease inhibitor I4, serpin, conserved site-containing protein MDIRESITHQTHVSITLANHLLSKKSPNSNIVFSPLSLHVVLGLVAAGSKGQTLDQLLSFLKSNTIDDLNTLSSQLVSLVFADGSPSGGPRLSFANGVWVDQTLSLKPSFKQVVDGVYNAASNQADFQNKAVEVANEVNSWAEKQTSGLIKDILPAGAVNNTTRLIFANAVYFKGTWNEKFDPSKTKDYDFHLLNGSKIQAPFMTSKKKQFVSSYDGFKVLGLPYLQGEDKRRFTMYIYLPDAKDGLPSLMEKISSGSDFLERHIPYQKVEVGQFLIPKFKISFAFEASETLKELGLVLPFSGGEGLTEMVESSIGGNLYVSSIHHKSFVEVNEEGTEAAAASAAVVMLRSLRTNDKIDFVADHPFLFVIKEDMTGVVLFIGQSTHHIPSLTRLPSVDIKTFLFINLLWFFLSFTKMBIQESIIRQTQVSIILANHLLSTNSTHSNVVFSPISLYVVLGLVAAGSRGQTLDQLLYFLKAITIDDLNALCSQLLPLVFADGRPNGGPCLCFVNGVWVEQQLPLKPSFKHVVESVYKAASYQVDFITKADEVTEEVNLWAXKQTSGLIEQILPAGAVTEYTRLVFANAVYFKGAWNEKFDPSKTKDYDFHLLNGNKVRAPFMTSNKKQLVSSYDGFKVLGLPYQQGQDKRRFTMYFYLPDAKHGLPSLIQKVGSGSDFLGRHVPYEKVEVERFLIPKFKISFAFEASEMLKELGLDLPFSEVAGLTEMVECLVVGRKLYVSSIHHKSFLEVNEEGTEAAAASAVVXEAQCLRNKVDFVADHPFLFVIREDTTGVVLFMGQVTDPRDS >KVH99379 pep supercontig:CcrdV1:scaffold_2256:42413:43760:-1 gene:Ccrd_022391 transcript:KVH99379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWHSAGTYDVKSKTGAPFSTMRYKAELGHAANNVLLLFSLTKEEPPVEGHLPDANQGNDHLRNVFVGTMGATYKERSGFEGPQTPNPLIFDNNYFT >KVH99381 pep supercontig:CcrdV1:scaffold_2256:591:6555:1 gene:Ccrd_022388 transcript:KVH99381 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDMQSIPAIAIGSTAVAIALGLFFWFFRRHVPDHIDHPNHLPSVPEVPGIPVLGNLLQLKEKKPYMTFTKWAETYGPIYSIRTGAISMVVVSSNAIAKEALVTRFPSISTRKLSKALEVLTADKTMVAMSDYNDYHKTVKRHILTAVLGPNAQKKHRVHRDIMMQNLSNQLHTFVQNSPQEEVNLRKDLGTTMNRDEIFQVLVVDPLMGAIEVDWRDFFPYLKWIPNRNFENTIQQMYIRREAVMKALIQEHRKRIASGENLNSYIDYLLSEAQTLSEKQLXMSLWEPIIESSDTTMVTTEWAMYELAKNPKIQMWFYCFNPTQDRLYREIQGVCGSDKIXEENLGQLPYLSAIFNETLRRHGPVPIIPLRYVHEDTELGGYHIPAGTQIAVNIYGCNMEKAVWENPEEWNPERFFEVEGDQKTMAFGGGKRVCAGSLQAMLIACIGIGRMVQEFEWKLKDEAAQEDVNTLGLTTQKLRPLHAIIYPRKENDAK >KVH99376 pep supercontig:CcrdV1:scaffold_2256:25974:42341:-1 gene:Ccrd_022390 transcript:KVH99376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLAGEKEGLLKLHTNKALIADPVFRPLVEKYAALTDRDEIIDSPIVSNSSARVQEEILNDGGGQGDTVPVLVPTRQSQRTTRPPNRFKRKLLLDPNTIHKTQTRATVWSTVGEHQGQKLRTKSVEIINGDCFEKIEQLIECLTSGTGSDQSQNNVEGNRRENNVGVCKAFSSRGGLILTVDSPMAACDCGFADWGWGSPVGMFEDDWGSDLMIYMVKNIAICSPCIWFLIVDLVSLLIRMELSSDDVEKQDLRRL >KVH99378 pep supercontig:CcrdV1:scaffold_2256:76851:86547:-1 gene:Ccrd_022393 transcript:KVH99378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFRNNIGFEIESHYDIILHSCNSLAFLAQVHSFLTTTGIIKQSVHLGARVIIKYSDFLHLESARFVFDATDHESSSFLWNTMLRAYANTGFCSEALEFYSLMRKTGVQPNNYTFPFALKSCAANLLSTYGKLVHSEVIRTGFGSDIYVEAALVDMYAGCGLIEDGRKVFDKMSKRDLVCWTAMITAYEQAEMAETALFLLHQMQQEGLSLDWVTTVTVASAIGQLGDAKRGRAVHGYAIRNAFFQELPVVNSILAMYAKCGEIENAELIFDHTKQRNCITWNSMLTCYTQNGLASEALLLFERMKISNVNPNQVTALILVSACAYLGSRQLATKIHDFILQNEIEINLTLWNAILDMYAKCANLETALKMFKEVPVSHLDVSSWNTLISGFGMHGYGKEALXHFSKMINEGFQPNHITFISVLSACSHAGLVDEGKKCFSEMERLSVTPEPKHFXCMVDMLGRAGLLDEAYELIKSMPSEPNDEIWGALLLACKIYGNTNLAKVAADNLFHLEPQHTGYYVLMSNIYAASRNWQEVGNLRQDMKNKGLRKPAALSLIEFNNELHGFHTGEQLDPFTRDIYRKVEGMVVELKMAGYIPDLSCVFHDVEDEDKDSMLNYHSEKLALAFGLINIDTRLPIRITKNLRVCSDCHSTFKLVSYVYGRKIVVRDVNRFHHFEGGISQDEGGKIFTGPPQGILDNPLDQIQFGDVSEISSKRNNLCRLSRAIDHQIKILMPLTESNLDEDRNEV >KVH87825 pep supercontig:CcrdV1:scaffold_2257:3276:4202:1 gene:Ccrd_024862 transcript:KVH87825 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MQTTSRAEAERLLGIAEKLLEEKDLNAARDFALLAQETEPLLNGSDRIMAIADVLIAADKQITDNHHHWYAILQIAIHRNDDSDLIKQQYRRLALLLHPDKNKFPFAGSAFKLVRDAWEVLSDSSKKSAYDEKLFEFLKVDLDAMRNQKEKEIQNPPEKMPVRRNSGKEGAAEIPPSENIWTSCPYCYNLFEYPRVFDGYCLRCANCERAFQVVAIPPESMPPLVPGKEAYYCSFAYFPMRFAVTNSDTAKTTTKTTTVPIWMPNVNTVSGVESDEDFGGGRPPESQPMPKSQKKSDSLTTGVNMKER >KVH87826 pep supercontig:CcrdV1:scaffold_2257:26317:29727:1 gene:Ccrd_024863 transcript:KVH87826 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MEEKAEYILKIITEDGDSFRTRAEQYYRKRPEIVNFVEDTFREYRALAERYDHLSKDLQSANRTIAMFFPERVQMSIDDEDYEEFTSSFEENDQNKNPSSSPVPLPLPLPEAPAAPKIENIVQAMIKKNSKMPTKMMSKRGLIKMGVDENASGVSISSGLSKDEALDEIDKLQKDILGLQTEKEFVKSSYENALSKYWEIENNITEIHARICSLQDEFGVGAVIEETDAQTLMSSSALKTCEETLEKLKNKQQRFKKEATVEHKRVDDIRKKFEALIASNKDSNPDSKNLEQTKEHVKDKKAKIEEKQQTIDGNMENSDQEVILDSETVYKSEPKEGELSIEDKKARIKEEILGKNGPITISEVAEKIEQLVDKVFDLETEVTSQAALVMRLRLENDELHERVQKLEEEKQILEDDSNNMKIKIKRLEEELKRLQNLDQKVKGQNVRLETSFDEASVDLDYLSKELLTAKPDEKTPDDHLIIYPKVEEDENGSGFEKDHDHDHDLDEKDKKWREVNDEDAHWIKGCKENPNENEKDEDGEQDKTMEGRKDREWTKMVFKKEQDDDDDINGKEDDQPNWNLIFSYGVEDREKMLLEEYTTTLRNLKQVKKKLNEIEKRNRANTFRSAVQMKVLRTANHSKDAQIKALFEKLKLFERNMNQTNQQKKYPDSVDEGIETRIGHERNKGPNSVDDQGIEERRKGLDEIELKKASDSVDEGIGRRKGLDESVEYFELKEPPDQDLVDEGNESRKGIDESVEFFEPSYDDSIDEGTETRKGIEESVEFFEADPDDSVVEGTNRRKELDGIVDSVDEEEQRSRKEPEEITSSKDQDSVHEITQKSKELDQETTKNLELKKDHQDSVQEGSQRSSKDQVDDDADEPHEVSDIEDEIRKEIEELRKENLELWLRFSTTYHQINRFQDSYHDLLQDIKEARVKKPDGSGKQRHHHQHHSRSTFTSDIRPLYRHLLEMQTELTLWLESSEILEDDLQHRLASLSDIQDELLNLKKEGSKAEKMHDYETAKFQGEVSNMKQENVKILEELQAAAERVKKLLVDMEKTLAMLDEELGENKKKTSRSSSRIPLKSFLFGVKLRKRKSSLLNCMSPSLKRRYSKLQEQAPAQAPATEPATATATATAPLDR >KVH87824 pep supercontig:CcrdV1:scaffold_2257:83514:85178:-1 gene:Ccrd_024864 transcript:KVH87824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 47 MDVKDLTLSHVKSHLQHGQKSYPLRPELIESTYWLYKATRDPSELPNNQHTLGMAKETTAKSSFSLNFGKYCG >KVI06812 pep supercontig:CcrdV1:scaffold_2258:8465:12088:-1 gene:Ccrd_014832 transcript:KVI06812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNHPNNDLWFVPVCSSLWFSLDPSFDLWVYVYDKLSAMILNLLRYPPIPIQFSDKVSTSSRGSRDRLHYCRYHRRVPEHPSWSRCFYVWIIQPALAAVGAPENPVEVITGLSSLPNIFPTASLNFDVGALMILKPQDYLLQQNSV >KVI06811 pep supercontig:CcrdV1:scaffold_2258:45447:54178:1 gene:Ccrd_014834 transcript:KVI06811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucose isomerase, conserved site-containing protein HTLFQKAPLLNLPSYFPWHKLSLSKTPLVSSISVGSSMASISGLCSSSSSLIPKPHLPSSSSKTQFPWMISFPLRTDETLKTSFSGVSSHSLLTHSVARDVPSSLPKTASTGDELSAGSVANKGLETDPKILWERYTEWLNPKLSPNSFLRLQIENTLEAICAFSEEIVGGKIKPPSSPAGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVVSKVCIEELSPFSCLFAFKPAAYCLIQNLTQSGGTPETRNGLLEVQKAFREAGLEFAKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLAAALQVGIDIKEMLAGASLMDEANRTTVVKNNPAALLALCWYWASDGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANGRESITVTVQEVNPRSVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLSVLNEASCKDPVEPLSLEEVADRCHAPEDIEMIYKIIAHMAANDRAIIAEGDCGSPRSVKVFLGECNVDALYD >KVI06813 pep supercontig:CcrdV1:scaffold_2258:39063:44072:-1 gene:Ccrd_014833 transcript:KVI06813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MEKLYAGKEARWRNGEIVLIVEERRRWEAGGRRRWSGRGYWQELIESVVWAHNKLKVAPATHLRALSIVQGRAVGVTHYLLGGIATTKHNAKNVYLLYDSRKLILRRRGEKSFNNVARMGLKNNKDTTNWLFSIKNFAIHVRQIRLSKKNYLSSLW >KVI01677 pep supercontig:CcrdV1:scaffold_2259:22365:37883:1 gene:Ccrd_020044 transcript:KVI01677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYYMGKSLLRKPSYKPTTRHIDYIAANSSKSKRNAKRQISLPKKMNLNISLVPSLNGVPLGSVIGEEDHRTKLDRILNCPVYTLVLYVFHMLFDLDWCCEVYMLDLDCVQQQQVGANRTVATRRSVLYFKLHSVQQNYSFDSKELQQQQHLTDSLMSGLSVHFTRLKAFLQQTVWKFGFVQVGRRDRTAKNCIKFNSTDFQDFCLQVLFDFQEF >KVI05864 pep supercontig:CcrdV1:scaffold_226:89596:90807:1 gene:Ccrd_015794 transcript:KVI05864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDKHRYRGQRDNPSFSSSLLDVIYRSIDERDEDSVVYRRTMKKRQSIDGCFQVDKQKNNGSIGRSGGTKFTGEVVVRRKSAAGVESCSQRSEGDSFFFNSNIGSSCSTYGVGFPSSEVETIYGFPSRPRPIRTSFIEDDNCNTREKQVQTHPSDDLQTKGKFVKTKSRAMKIYGYLKKGKQPISPGGRLATFFISLFTTGNGKKSTMSSSCTGIHDEAIIHSNRKSKSANVSTSSSTTSFSRSCLSRTPPSSTGKLTTGMKRSVRFYPGNVIVDEYCQPRGRKSLQGNRSDSPAVKFAKESFNEELKKHLTEKNRQIEQTTMNLLKNYQKKVEYVFDSIKINSKNDEDYNDDEAASYASSDLFELDHLSAIGKDLCMEELPLYETTSIDANRAIANGFLE >KVI05845 pep supercontig:CcrdV1:scaffold_226:287369:288945:1 gene:Ccrd_015809 transcript:KVI05845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1313 MFFIFQMEGDIFSGTGNESQLGVDGKLIQTFQKSFVQVQKILDQNRVLINEINQNQESKLPVNLTRNVGLIRELNNNVKRVVDLYGDLSNSFGKSMDASSEAESGGTTRSDGKKRGRSG >KVI05850 pep supercontig:CcrdV1:scaffold_226:215488:223074:-1 gene:Ccrd_015802 transcript:KVI05850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDDLEKAILIIFDESGTVTSELKSQAIAFCQQIKDNPSICSICIDRLCFSKLVQVQFWCLQCLHEVLRGRYSGMSSDEKSFVRTSVFSMACYESLDNSNSVKVLDGPSYVKNKLAQVLVTLIYFEYPSIWSSIFLDFLPNLSKGGVVIDMFSRVLNALDDELISQDYPRTVEEGAISGKIKDAMRQQCVGQIVRAWYDILSLYRNSDSELCAFVLDTMRRYISWIEIGLIANNTFLPLLFELILVDGLPDQLRSSASGCVLAVVSKRMDSQAKLTLLQSLQMSRVFGLVAGDGDSEFVLGIASLLTGYASEILDCLKNLNGDLKRASVELLNEVLPSVFYVMQNCELDTTFSIVQFLSGYVATMKGPSPLTEAQLFHVGQILEVIRMHIRFDPMYRDNLDVLDKVGSEEEDRMIEHRKDLLVLLRNVGRVAPDVTQLFIRNSLSSAVGSSLDINVEEVEAALSLFYAFGESLSEEAMRTGSGILRELVPMLLSTKFPCHSNRLVALVYLDTITRYMKFVLENNQYIPLVLAAFLDDRGIHHSKVKVSRRASYLFMRVVKLLKAKLVPFIETILQLITVIHAGSDICFVVQSLHDTVAQFTSMDYAAKDLSGCEDGTHIFEAIGLLIGMEDVPLEKQSEYLSSLLTPLCQQVEILLASSRVQNSEESPSKVANIQQIIMAINALSKGFSERLVTASRPAIGLMFKQTLDVLLQILVIFPKVETLRSKVTSFIHRMVETLGSSVFPYLPKALEQLLAESEPKELVGFLVLINQLICKFNTSVQDILENVYPVIASRIFGILPRDTIPSGPGSNTEEIRELQELQRTFYTFLHVVATHDLSSVFLSTKSRVYLDPMMQLLLYTSCNHKDLVVRKACVQIFIRLIKDWCARPFGEEKVPGFQSFVIEAFATNCCLYSVLDKSFEFRDASTLVLFGEIVLAQKVMYERFGNDFLLNFGNDMKSLKSFYQSLIENLRVQQNGSLVFR >KVI05848 pep supercontig:CcrdV1:scaffold_226:242551:243797:-1 gene:Ccrd_015804 transcript:KVI05848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDSASDYVSIQNRSQKRGMAMVFAMVTAIVLSPLYVNVGQKDAYLETRLWNSGFVLPMVLGGLIVAIKTTSSSSSSNATEDSSSSSLRIGRSSWGLAAFLGMLFFLLDDMYF >KVI05861 pep supercontig:CcrdV1:scaffold_226:357753:364137:1 gene:Ccrd_015816 transcript:KVI05861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota EFVGYLTHLHLSTSSHSVTLHLDQIYLSPLPFMDSSTVAIFLLLVVRVLCIEASVHEYAGDKFTRKGNAFVLHGGSEGIYYSRPNLHQSSATANGDAYIRIERIIFRRPQVVSNFSTGLIYAVLFDVDDRETIGGSAYGGQRAICCTADLAKLGACKEGEVIHRQSLKNPGWPEVFGVSFDVNEETSTMQPRSIEITRTGMYNLYFMHCEPRLNDMIIEGKTVWKNPTGYLPGRMAPLSKFYGFMSFAFLILGSFWFSQYARFWKEVLQLQNCITLVITLGMFEMALWYFDYAEFNKIGIRPTGITVWAVTFGTVKRTVSRMIILTVSMGYGVVRPTLGGLTSKVIMLGATFFTASEVLELAEHAGAISDLSGKAMLFLSLPVAILDAFFLLWIFTSLSSTLNKLQRACGFLNAEQIDRLGRHVGDTYRTLSYAIYISGFIQHRCLFLRYFARPYVGSPLQKTCWLAQVITFPSIWVLSASDVQAKRMMAKLDIYRKFTNALAVAVIVSVGWICYELYFKATDIYNEQWQNAWIIPAFWQVLSFSLLCVICALWAPSQNSMRYAYSDDGSEEFEDGDISMLMKLSPLPTKDVGSPTEVRSSGSEDGTLGGDLEEDKTE >KVI05846 pep supercontig:CcrdV1:scaffold_226:263424:264956:-1 gene:Ccrd_015806 transcript:KVI05846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MTDPISDWLEDSISYLPSFLDDPYESTNIIDDHWWAPAQDLQQHLLTTTLLTSNALNNSTCTNVPDPLIVSDHPKPVVSDLSKKRKAPEDLVPRPAVQVKSKRGQGKSTKDGCSKVNNKNGRWAEQLLMPCAAAITAGNVTRVRHLLFVLRELASPTGDANYRLASHGLQALTLHLSSTKVAAPAISFSTVKPRFFQQSLINFNDINPWFTIPNNIANNSILQVLAEHDRGSRANLHILDIGVSHGVQWPTLLEALSRRPGGPPPLVRLTVVPPTFEDHQIPFANSPPGYNFISNILRFANEYKINLHINRLDNYPLQNLNPQIIKSSPDETLIVCAQFRLNNLNHNNPDNRTEFLKLMRTLEPERVILSDNNMDCSCNICSNFETGFARRVEYLWSFLDSTSVAFKGREMEERKMMEGEASKALINTCGMNEKKEKWGERMRGVGFVADVFREDVMEGARSLLRKYDGNWDLRAEDRNGCVELWWKGQAVSFCSLWKIDAKTSDTTTNH >KVI05857 pep supercontig:CcrdV1:scaffold_226:310205:312901:1 gene:Ccrd_015812 transcript:KVI05857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 MGHSNVWNSHPKTYGPGSRTCRVCGNSHGLIRKYGSASTAMQRRLVSLSIVDLHQRGEQLKWAIVNEKMIPISC >KVI05858 pep supercontig:CcrdV1:scaffold_226:351786:356207:1 gene:Ccrd_015815 transcript:KVI05858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MSWAGPEDIYLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELAQKVEREATDLKGSMRKRMEFLDMD >KVI05851 pep supercontig:CcrdV1:scaffold_226:237295:242463:1 gene:Ccrd_015803 transcript:KVI05851 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD/PDEase domain-containing protein MAVPTIALYASPPSGVCSTPYPCQINSHGSYDFDFNSRPSSSSTATASSSQKPIIGGLSSLFSSSSSFSSGGAEDLTSLRGGEELSNSFSYSAFGSCLKRDHFHQSPVSVIQGPVSLSSTPSMRFSPERDGSVRVGTRRLFKGFVTHALGSCVDHSPSFHLHDNLAEELTFIMDDNNLKEQNPEPYAKEMLLAAQLRHDIFNDELVIKAFYEAAKAHKGQMRASGDPYLQHCVETAGLLATIGANAVVVAAGLLHDTLDDSFMSYDYILQTFGTGLADLVEGVSKLSQMSKLARESNTATKTVEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPLCKQHRFAKETMEIFAPLANRLGILSWKEQLENLCFKYINPEQYKDLSSQLLKSFNEAMIPSAVKKLEQALKDGSISYHVLYGRHKSLYSIHSKMLKKKLSMNEIHDIHGLRLIVENVEECYKALQLVHQLWSEVPGKFKDYINQPKCNGYRSLHTVVMGEGXVPLEVQIRTKEMHSQAEFGFAAHWRYKEGDCMHSSFVLQMVEWARWVVTWQCETMMKDKSRIGYTDAMKPPCKFPFHAEDCPHSYKPSCGSVGPVFVIVIENDKGWSPYGFPVKQELMPMLNRKRANDPSSCKLKMGDVVELTPKIPDKSLTEYREEIQRMYESGISAKTPTAGNMVGWSRR >KVI05868 pep supercontig:CcrdV1:scaffold_226:2911:40508:1 gene:Ccrd_015790 transcript:KVI05868 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAIDGSFSIEYELGRFLRRCPRLAMVPQLDNLVKKGKYLTEEELIDGVAELILHPRYTIPLVGCFRPIARKIVDRVVALLRLVPDLTSNSNDSMLEFDEGVLFKETESSNCEEVICVINLYIKCGRGLRLHELSCLAFSRTIDLIPYLSGSVRDYFKFAPPPFKRITEKELMAQSLVQAGAHLLDAVRVSHRLLLAEPELFTRLWDWSCYLDLVHEITCFHGRHIELLVDVRWCTLQTLTVVLKMSDRFIRKKMSDMAPSDLKFDDEEAFGCLLRWKEFLQDVSLEKASWYLEPFRESKLDSSEENINFDRGPNSQSLGQNSSAVSWSKLPELGLLNWSNMDGTWDMPKTGRPFVLTSAVKKSFEMVLLAVSQRWPILLYGPAGAGKTALISRLAQGHGSQVLSIHMDEQIDGKTLIGNYVCAEQPGEFRWQPGSLTQAILNGLWVVFEDIDKAPADVQSILLPLLEGASSFLTGHGEAIRVSEGFRLFSTVSSSRLDASNVTTARNSLGALWRRVMVAPPSSSDLQSIVKAWYPELESLCGKLIETFEKVNQLSGFQLGTSVTFASPGRFSLRDLLKWCKRIAGLGFHFLGDNLSAYVSKCIYQEAVDVFATSSSLVEMRMRIMRELAEMWAIPISSANTLFPVDKPVVQDMRTDIRIGRVALQRTSMPSSLGSKPFVEIRTSLHVLERVAGSVKYNEPVLLVGETGTGKTTLVQNLATRLGQKLTVLNLSQQSDIADLLGGFKPMNAQFLCLPLYQEFESLFRSTFSSKDNENFLAILRKSVSDKNWNMLFSGLQKGVRTVVRIGKHKSGLKRKRPLGEELIKSWESFSLKLEAAHAQIGGSDGMVFSFVEGVFITALKNGDWILLDEVNLAPPETLQRVIGVLEDEGGSLCLAERGDVDYISRHPNFRIFACMNPATDAGKRDLPLPLRSRFTEYFMDDVLEDEDLILFINRFMDGDHSVKGSTNKVLNFYKIARKESEERLQDGANQKPQYSLRSLYRALEYTKKARRTFGFLKAIYDGFSMFFLTMLDVPSAKLMNTLIVRHLLEGKVAPHVPFDRYIVVGKDSRSAEFLENYVLTGSVREQLSNLARAIFIGRYPVLLQGPTSSGKTSLVQYLAAITGHEFVRINNHEHTDLQEYLGSYITDGTGKLVFQEGVLVKAVRHGYWIVLDELNLAPSDVLEALNRLLDDNRELYVPELCETIHAHPDFMLFATQNPPTFYGGRKMLSRAFRNRFVEIHVDEIPQDELSTILESRCKIPASYAKKMIGVMKDLQLHRQSSKVFAGKHGFITPRDLFRWADRFRTFGKSYEDLARDGYYLLAERLRDDKEKKVVLEVLEKQLRIKLSSNVLYKEENAAGDAVLNVQKSLVGSEDLGGVVWTDSMWRLXFLVERCYRLREPVLLVGETGGGKTTVCQMLSIALGSKLHILNCHQYTETSDFLGGFYPVRERSELALDFKVLCEQLLQSKAYKHFPCETPISLDINQASKSLDQVTKMIEYYRQGQDLHPDVSVNEVDSVEEIRQRLSVLHRKWCTIFNWQDGPLVQAMKNGDMFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGSDLEKITAHPKFFILATMNPGGDYGKKELSPALRNRFTEIWVPPVSELNELKCIALEKILNPEISFVVDVMINFWEWFNQLQTGRMLTVRDLLSWVDFINVTQENLCSEVSLLHGAFLVLLDGLSLGTGISKIEAEELRERCLSFLMEQLKESKPRLNQSNLLTTESCGWTDLLRSAEALSSRGMETNNYFGIHPFYIEKGDNHIEAEGFEFLAPTTRRNSLRVLRAMQLKKPVLLEGSPGVGKTSLVLALGKFSGHSVVRINLSEQNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGHTFKCPSSFRVFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEEDHLFICTSLHPSIPVSLLSKLIAFNKRLYEDTMLNHKYGQAGSPWEFNLRDVIRSCQIIEGAPESSKTDCFLNTVYVQRMRSSTDRQEVIQLYEQVFGLKPFINLYPRVQLSPENLIVGSTCVKRNNIQSSSVSSCELKILPGMRQSLETVAQCLQHQWLVLLIGPAASGKTSLIRLLSELTGNVLNELNLSSATDISELLGCFEQYNAIRHYRLAIEHVERYMNAYCCHQMEMSVEAFTKRKDLISRWLSFSSSIDHSSASFVSAGNCSTGPFHALPLLVDIIEDLKLDLENDSEDLDRLLKTVRKLQDDQRKLLYPAKFEWVTGLLIKAIENGEWIVLENANLCNPTVLDRINSLVEQSGSITVNECGTLDGKPLVLRPHPHFRMFLTVNPAYGEVSRAMRNRGVEIYLMQPYGLLGETYGENELKDVKRFLVLSNIPGGNLVDAMAKAHLSAKCGGLQHNVNISNLELMRWVQLFQRLLTNGNQALWSLQISFEHTYLSSLGEIEGKGIIDEAIGSYLSMPELYNYKSCSDCSLCLPGGWPTTLMVRDFVWHSMETSVKQNCMFLEYLGTQMASHSFRVSLGQCPVVKFSCSLRTYLTDIELLRGMIFPEASDVTGLVAGNQTRFDVAFTYKRLQFAANWTIEQATENGLKVYLIWFGRFGDYLRGYCSFFCSFLELLNKELDHSIWSCIRHCRGVLMAHDGDNRDLISEPMLSLDVANLNASVSKSCSQLLANAINCVGLLRLSYQQWHAESEFEYGDKTRCFIPVLQSLRRLEERILDMLVETPFFDLLFELYSKLIDDHISAWNGLVSSQFDCMFISWRSLAKAAKKLKEFCANEVETFQDDIKNLERGLSWSLNSQRSLLWAYGGHPFSPSSVEIYKKQQQFVNLCHSIWTREISLQELAHEGFIEAAVSTNRELRFLAMQGVCMSAYIMSKVDEDDLDVLKQLEEMFQILLSKFHYEKDNLERTAGTDEQVSLSADVSNCCVLNIDMLCQPSGLSCWLDTSLINDYNSFGLDMRLLQELSNIVTLDGRELESGLSRLRWLLESTLKFSLNFSSRPPSDFSPYQKVVWILDAWTSVNSAHASISSTVLEMWFNWHTSLWKHHPNREKNILSQHGSAGLVPNMLFQPVATLSLDKILRHPIGIKDLNAHSLKLGVASHNLWISSPHVAECRRFFLSSGRSLFQQIILAHEKSFEDDDYSLMRSVFFSAQQTDVSVITSLLASSNHEVLKSLINPFIEPLLHVLYGKNSTDSLFDLGCAWLRIGGLRYHLLVRCDDVDPAVKKNFKYFQLMERIASLELDIEVRRECVHLAGCFSLSEADKDRTKLLNNLKAERNRLRRQVVFRSAPGKFKKLRSECDDFFKLVSTPFGLVKNMGNLKMQRVADQVYNWQETATRFVDRLSNEYSEYVDIVQPIQLAIYEMKLGLSLVLSSNLRNQFLDRVGQNNIDAVLAAIYSFVRFPRGITRKDVSYIEESFHAKLSCFDNAFPTYIGEDDMNMVESLVTFSRGNNNDEAGSVLQMKASIQQNVLLRVVHYVAQAHFFDNASFKLLDRMFDSFADLWMKMKIQVRTKEELDSQQYRFRPRAFDIKNIIEMDVSTLESSIANEAFSEWKELAFEEVSVERNNADEEHEILEQDWNVLLESMLNNMVNVYTTVFGSTDLLQPAGSVEVSDSERLYSFLDSYAMGTRVIKDLEGLLCSSLDSKLIPEHVLRLCLGHDQNFVSSPLQTHAYNFYKDSNASLLAKMVVPVMNLQQRIRHLLSEWDDHPALQKIVDVVDMILSIPFGTPLAKALSGLQFLLNRVRNLHETVAKFPLSDQLDPIIALVSSWQKMEFESWPALLDEVQARFDTNAGQLWFPLYSVLRQSHSADTDSYSQPTMERQVGSFRGHEDNLKILYNTFGFYIQFLPIILEKLASNRKSIESELNELLKLCRWERSEWYLTMETSKRTRELCNIFLKVPTNYSCVSCPLQDVLKQPVMLILTQEAARSGIKTIAVQGPNLFSDSFERYKQVLGVACHETMFKNKKRFMWPSTWRDKVDVSLEDMRRAKTIPLEEAKEWRQVRHTFEKISTNIIDCGELWKDEKKSLGKRRALSELLKLLDGCGLSKHRSTFMEDQPTTNKSSRWFLQPAYIMEHLLLKEGRLSSGNVDVADLDKVQNLHMDNLETDWKMANEYFFKSIASLGHLRQVCLKFHKDFTLEQVNRSVSYLDHLVEIQKSHRAAAYDFAEGLERFKKRTLPLQNLFSSSLPSPGLPGSVCSFSHNQEIVSKCIWRQKELLDNYLFGDCGSLISTSVILYPYGITKQMEXFVQHNFLLIRDFKEQLRAFRKQDVHAGLAKDVLLDHFEDIFKKGDLLDEYYNSALGARNQPEKVKEAIDISKAEAAFGESLRKTCKYIVDGFDRVNLMNNGLDTSEDNLGNINTWLFLFASRVENLQLHTGELMNLYGNEETSLCFVEAQLKHLYSLLDLLMTFGNGLLNDFMVVHRTLSIVTHVLAEIFASLYSQGFGSSTESQEDDGHDDARDASGTGMGEGVGVKDVSEQIEDEDQLVGTEKTGPSVKDDDCSGRELRAKEDDATATDEAGELDPDESNKPNEENVDQIPDENDDVEDMNIDKDDAFSEPTGLKPDEPAVGSDEDIDMNQQDVDHNEDDGTETMDEPAGLDDNEEEKMNPVEENPDETEPKLSENSEMDAGEDDQEKNGDADMEAPRKDVTAPVPTDFGTEHQSNAESATQSKGGSNGASMRDVAPEVTWSNSDDIQSDLAPIRGLPNSSENEINIADSSKGGKLNDEHISQLPEFDPSSLQKNEPNPFRNIGDALDGWKERAKVSVDLEEKNDEAIDEIEDGDADEYGFTSGLEKGTAQALGPASADQINKNIDGKEPMDGDSDGDGGTADKKDVSEMEIEEQHLEARPVKNHPLNIGNTVHEKIEIPEAEIPQETPEVYDAGESDERSQLDSLVHMKRSCMNDDINQFGKLSVSDDDLGKAQNLDDISADMKGAATALWRRYELQTTRLSQELAEHHYRKDKIWLKRTRPNKRNYQVVIAVDDSRSMSENNCGNVAIEALVTVCRAMSQLEVGNLAVASFGKKGNISLTFKQENTIADEPMVDLLKYLNNTLDAAVMNARLPSGQNPLEQLVLIIADGRLHEKDNLKRCVRDILSKKRMVAFLLLDNPKESITEVLEASFESGKCGLVKYMDSFPFPFYVILKDIETLPRTLADLLRQWFELMQHSGA >KVI05860 pep supercontig:CcrdV1:scaffold_226:366017:367897:-1 gene:Ccrd_015817 transcript:KVI05860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MEQPENDSLALETAQQIILRWDATSSEDARLRMIFEGDRQEIDRYLQAVDQIQRSMESTTLTEDAGAQNQSEKINTAVEIAMARLEDEFRNILISHATPIETDTLTDSISSTHLTHRTSTSIGEFPGNGDYSSRGEEDAISREGSSSLSDRGESSRTISSYRSMSSIRELDLMPSDSICDLRSIAERMIAAGYLKECVQVYGGVRKSALDASFKKLGIEKLTIREIQRLEWEALNAKIGKWIRAAKMCIRVLFASEKRLCEQIFEGLGSAADDACFMETVKAPANQLFNFAEGISISRRAPEKLFKILDLHDTLFDLLPDIDAVFDGKSAESFRQQAAEILSRLAEAARGMLNEFENAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLGELIVSRPATGSRYSDDPSTPDMDFTDHEEQSPLALHLIWIIVILQFNLEGKSKHYKDNSLAHLFIMNNIHYIVQKIKGSPELREMIGDNYLRKLTGKLRQSATSYQRSTWVGVLYCLRDEGLHVSGSFSSGVSKSALRERFKSFNAMFEEVHRTQALWLIPDTQLREELRISISEKLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLETAALDLFEGFAVSQHSRRRSQ >KVI05867 pep supercontig:CcrdV1:scaffold_226:76745:85853:1 gene:Ccrd_015793 transcript:KVI05867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1296 MVYGSRIEGGSGTQNLSAGIRKTIQSIKEIVGNHSDADIYVTLKETNMDPNETAQKLLNQDPFHEVKRKRDKKKEVTTFVGSVPIKPRKPTDQVVQGMKPNTYLDRNPRRAGFGRNNAPGVTREFRVVRDNRINQNGHREIKSPANSSVVVSTKEPPISNVSDKSSAETSKNLKSPTGHNSTQSVSLPIGSLSKQVKGVSPSGSERNERSGGKRPLVSNVAPPVQTTKTHDSHQSSVTSPNSSVVGVYSSSSDPVHIPSLASRPAANVGAIKREVGAVGVRRHTSENSAKLSSVQGGSGSNSQSGRDGPSREQFRSFPAVSKSDQPSQSNSSESVPTISAGRSFNNQYTPQANKEWKPKSSQKQSVTDLGVIGTPKKSVSSPAYESKDLASETVPLQDNLTHASTHEDQNVIIAAHIRVSEIDRSRLTFGSLGIEVESSQNSGYQEARHVEEPQVEPLTSSSVGSPDLSSDEPSGSKQVELVDERVQSSGSISPASGTTSEQQTIDRLESSSPQNMENYNDIGLVRQSSPSYTPSDPQQQQQQQQQQQQQVPSELPSFSQAYDPQAGYDLSYFRPAADESLRGQVLQIPQEAFSSHAANTIPATTIPMVQQQQAPVAQMYPQVHLSHFANLMPYRQFLSPVYVPPMVMPGYSNNPTYSPHPSSGSSYLLMPGTNSPHLSANGLKYGIPQYKSLQAGSPTGFGNFTSPTGYALNTPGVVGSASGLDDSSRLKYKDGNVYVPNPQAETSELWMNPRDIPSLQSASYYNMAGQTPHGAYLPSHTGHASFNAAAQSSHMQFPGLYHPPQPAAIANPHHMGPTMGGNVGVGVAAGAAGPQVGGYQQAQLSQLSWTGNF >KVI05865 pep supercontig:CcrdV1:scaffold_226:116598:117634:1 gene:Ccrd_015795 transcript:KVI05865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1677, plant MSDAMMMPATESPPVTANLSSQTTEVEFAVCDCCGLTEECTPAYMERIRERYQGKWICGLCGEAVKDEIVRSERLISTEEAMNRHMTFCRASRSSGPPPNPAVHLIAAMRQILRRSLDSPRSLRSMPSSPTKNIDSSSLSRSESCMPNLTLAVDSSSYPELKEADE >KVI05863 pep supercontig:CcrdV1:scaffold_226:135894:140320:-1 gene:Ccrd_015797 transcript:KVI05863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGVKVLSHFQERGITVFSSLDHLSLPTQVFPGDFWLPSMDSDVTMVLASDASSLPGPSSSSPVASSSSKKPKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >KVI05844 pep supercontig:CcrdV1:scaffold_226:280814:282496:-1 gene:Ccrd_015808 transcript:KVI05844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein Atg12 MASDSPIASRKVVVHLRATGDAPILKQAKFKIAGTDKFSKVIDFLRRQLHRETLFVYVNSAFSPSPDELVNDLYDNFGFDGKLVVNYACSMAWG >KVI05856 pep supercontig:CcrdV1:scaffold_226:325243:334008:1 gene:Ccrd_015813 transcript:KVI05856 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MAVDEFSRSVQMGIQLSRRICYGKDKASMTAPKQPSMKKSLSSSSSASSLRSPQSHRPSAPMVYAVIVDPSTVDNPDICSYQPYVYGRCDPPALVPLHMHGIAMEVECYLDTAFVSVTGTWRVHCVSSSACCDCRIAIPMGEQGSVLGIEVETTRRSYSTKFVTSQDLEKVTTIKNGFLMKGNTYTFKIFQVEGGSYVHVNVRWSQKLTYQDREFCLSIPFTFPAHVVPVVKGTPNKEKVSLNVISGMETEIMCAQMISLLHFKKEVVFLVDISESMHDAPLEKTKDAVIGCLSELNHGDYFNIIAFNGDIQPFSSSLELATEEGITNATEWITNLIAEGGTNLLLPLKQAFDMVGKTGESIPLIFLITDGAVEDEKDICNTMKDRLLDGGINCPRICTFGIDSISEQLPKLLKNALSPVLANITLDALQNLDSHELYPFRIPDLFGSPVIVSGRYEGNFPDIVKARGFMADMSTYVIDVKVCARREVDSLTAHAWLDTNQQLEEKVAKMSLQMGVHCEYTSMILVQGDRVKPPIDSVLPEENLSIGFGNLMASVDGVPPGIEEVEEKEPAGMMMQAASSVWGIFLDRCCCMCFIQCCSRMNDQCAIVMAQLCTALACLECLNCCCEVCDSCSDLCS >KVI05866 pep supercontig:CcrdV1:scaffold_226:45814:73102:-1 gene:Ccrd_015792 transcript:KVI05866 gene_biotype:protein_coding transcript_biotype:protein_coding description:LsmAD domain-containing protein MNLQQVAPPRSSANGFSRRRGEKEMGIRVDNKSQSEKSNFNKMTTTGLPTGNKGGVDSPSRQRLVYLTTCLIGHQVEVQVTDGSVFSGIFHATNAEDDFGIILKMACMTKSSSSQVQKNISDSANKAPSKTLIIPANDLVQIVAKSVPVTRDWLTNELQHEKPQDIMIDSLISRSRHVELERELEPWIPDDDNIECPELDNTFDRHWNRGWDQFETNAALFGVKSTFNEELYTTKLDRGPQMRELEKEALRIAKEIEGEDTQDLHLAEVNVLLLDFPLPSLDQERGIHFHNSFDLDEETKYSSVLRGVDDSGYDENEDIWDTENIETFGNVSDSVMNTSTDLKSGGSQLPSSFLSWAACSTSVLPTVYLKEPFDLRQPVQELFNLQVSVEHWIQDKKAGSQEDTGKHMLFEQNQASESEESSLRLKKESSEKGLSADATAYAPSNVLSKAPETTGSSEASEGATTLKIHEATQPAISRAQPGSSSSTSECGNAAPTASAPGLSPSSLNPNAKSFVPLQTPLRPASPVSDGSFYYPTNVAPVSHMQGVPVGIGIEDSVLEGVRGNGSLNEMGTSFSPHQPAMYGPQTTSFQSQQAYFHSNAPQYGQQMLLGQPRQMVYMPTYPPQSSGFFEAQKMDGGATQYNPRTVEEVFRDFKGRRAGMIKALTSEVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVSGTAKKAQKEKTAVSNHSSTKSKSNSKVREPESQGKYPKQQPPPPPPQQPSLKDDEDGLEDEDDDEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KVI05855 pep supercontig:CcrdV1:scaffold_226:294715:301345:-1 gene:Ccrd_015810 transcript:KVI05855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase, N-terminal MATATMATAAGAAALLYYTLNKKLQSSSTTAEDDEESESLVQNHGPTGVGRVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGITYLLKRQGNLHVSSVFGGEESVQLTGPEIVSELRYLLDLLTLCWHFSKKSFPAFLKETGYPPEDVLIQEPKAGILKPAFTILVNHKTKTFLLLIRGTHSIKDTLTAATGAVVPFHHTVVHEGGVSDLVLGYAHCGMVAAARWIAKLATPCLLKAFQTYPDYKLQLADSGNEFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRTQIEHTRILRTFYRSATALGSRLPSIASARATVAGAAQSMAAAAWSRPSLDISSWTCMGPRRRPTATSPEPSPSNTEPESISTTLELPVSASDGEHVWQSEGADEGVDNLTGPSSHEDRMTEFELWEQLEHELYDQSEGEEADVAKEMREEEEAAIAEGRKGPSETNTPETKEAHRFFPAGKIMHIVTIPKVKTEDENDETSSSDDENDHDHDHDRVVDEKVAIFLTQRSLYSKIRLSRSMITDHFMPIYRREIEKLIRELEKEETSSSSSSSSEEIK >KVI05869 pep supercontig:CcrdV1:scaffold_226:42992:44065:1 gene:Ccrd_015791 transcript:KVI05869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MNLSTVEEESSDQNVHTPTEIDWHMLDKSKFFFLGAGLFSCVSATLYPVVVLKTRQQVSSSHLSSLRTAFSILRYEGLRGLYRGFGTSLIGTIPARALYMTALEVTKSNVGSTTVRLGFPEPTASAIANAMAGLSAALAAQLVWTPVDVVSQRLMVQGNDYSNSKISSSLKSCKYMNGIDAFRKILNTDGPRGLYRGFGISIMTYAPSNAVWWASYSVTQRLVWDGIGSYMCKKDDENNENGGVVALRPDSRTVMAVQGVSAAMAGGVSALVTMPLDTIKTRLQVLDCSDDNNNNNGRKGPTVGQTVRNLVKEGGWMAFYRGLGPRWASMSLSATTMITTYELLKRLSTKNQENLIR >KVI05862 pep supercontig:CcrdV1:scaffold_226:131034:135332:1 gene:Ccrd_015796 transcript:KVI05862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTSNDSSSSSSSMSPLSSPSSIVYVDLYGKRRQIAKVQVLEREIGLIQYVDAFFHPRLQAEIRFVIDPETSGRIFGERLVLCCVVVVVARQQQSVVHAARRQTVDVVAARVTKRKHVPRNVAIVPGFHATAVANRHVQNVRFVVVNHAHAFKCMMNVSIAPRYM >KVI05853 pep supercontig:CcrdV1:scaffold_226:203059:204368:-1 gene:Ccrd_015801 transcript:KVI05853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQDSNSTWCCERISLSSWGGETRHLSRFQNLEYFIGIDNHYPVVAAKRAAALASRCLSHHPKCRPAMNEVVKTLENVLELNDFQDGSFVYIVPKEGSDQLSVKREKGEETDDGKEKDQDNDNSSNGGEEKVEVEVVVKKKENGRRREPERKGHRHKHRIRSMRSRAVYSDTALYKNFKKGSNSPLLPQPKENGGVKLLNC >KVI05854 pep supercontig:CcrdV1:scaffold_226:303009:309142:-1 gene:Ccrd_015811 transcript:KVI05854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, alpha-subunit, N-terminal domain-containing protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGVTSIGVRGKDSVCVVTQKKVPDKLLDPTSITHLFSVTKFLGLLATGTTDKSQIYTQHAYMRPLGVVAMVLGIDDENGPRLFKCDPAGHFFGHKVGVVRRDNPAFRVLTMEEIDEHLTAISERD >KVI05871 pep supercontig:CcrdV1:scaffold_226:169134:175556:1 gene:Ccrd_015799 transcript:KVI05871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MPLASEIMGCSMMQKSSFLPLNDLKDTHKNRGQFCVNPVLVPLDNRRSRSRSSRLKKGVRTTTPMVVVAAISEDLVKIVRVEKPVTFKVRAVLTVRNKNKEDLKETIVRKLDAFTDQIGRNVVLELYSNIIDPKTRAPKKSKEAVLKDWSKKSNLKTERVNYIADILVDSEFGTPGAITITNKHQKEFFLESITIEGFACGPPYLPDETPAGLKSLREKELRILRGDGKGIRKLSDRIYDYDVYNDLDISAESRVEKPLPMYVPRDEQFEESKANAFSTGRLRAVLHNLLPSMVASISKKHDFKGFSQIDSLYSEGVLLKLGLQDDLVKKLPLPNLVTRLHESSQGGGLLKYDTPKILSTIAGVNPVSIAKLEVFPPLSQLDPEKYGPQESALREEHIAGYLNGMTALEEDKLFIIDYHDIYLPFLDRINALDGRKAYATRTIFYLNQSGTLMPVAIELSLPQALPGSESKRVVTPPVDATSNWMWQLAKAHVCSNDAGVHQLIHHWLRTHASMEPFILAAHRQLSAMHPIYKLLDPHMRYTLEINALARQNLINADGVIEACFTPGRYCMAVPDPSKPHGLKLLIEDYPYATDGLLIWEAIQKWVQTYVNRYYPDSDQICNDRELQAWYAESINVGHADLRHENWWPTLASAEDLTSVLTTIIWLTSAQHAALNFGQYPYGGYVPNRPTLMRRLIPDENDPEYISFLDDPQKYYLSALPSLLQSTKIMAVVDTLSTHSPDEEYIGERQQTSTWSGDAETVEAFYAFSAEIQRIEKE >KVI05847 pep supercontig:CcrdV1:scaffold_226:270617:272259:1 gene:Ccrd_015807 transcript:KVI05847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MMMMNNLFTAAQWEELEQQALIYKYMVSGVPVPTDLILSVRRSLYNSSFSSSSSSSSSLLSNQPTLGVWEGSFQYNQLYQMGGSGCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEFSSSSSSSSTANVSSSPSTTISKSISTSDHHAYPPFSSDHNNQTHYSSSRPPIHTNFMDSSSYSHQTPLKDYRSLSFYLFLCVYFCVFIRDSSYVNIIRIYRYLQGMKDLGEDERTAYFQLNDPYTTTQKMAAAAAAAAAASSSSSDHHNYSHFNFQNLNDQFKEQKDKEEQSAQGQHCFVMGTDFIKSSEETTNTKPCIQTDTKVDQTTHTHTHHKQPFHHFFSPPKTTPNHDPGWVEVDQHQHQHQHQHHQHLTNPPKSPLSTQDLFQSKPRPYW >KVI05849 pep supercontig:CcrdV1:scaffold_226:256300:261694:1 gene:Ccrd_015805 transcript:KVI05849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MSPSWPFNPHNFPFILKPTQILQFSYILVLMLSTIRSLRLHRRLLFLYAAVKRLPIEFAELFLSAYFCYCNLSPCTVDLDDCQTSIHIWAPYHRRCNKPNLVLVHGYGGNSKWQFVLQVAQLTRDFNVYIPDLVFFGKSYSTRTERTDTFQAKCVCDGMKKLGVEKFSVYGISYGGFVVYRMAEMAEKMVEKLVIVSSAIVCTEDQKSEHLKKIGGNLLDMLVPEKPEDIRTLCQMSMYRSNVSKWFPDFFLRGFIAADGCKMEKRQLVEYLLSEQPDLHLPVLTQETLIIWGEKDNIFPCHLAHQLHRHLGSKSKVEIIKDVGHAANIEAPYSLNKLVKTFVSGGRC >KVI05870 pep supercontig:CcrdV1:scaffold_226:144320:144688:1 gene:Ccrd_015798 transcript:KVI05870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVNITTFNEVNIAEMDQKQAIYRCTIRRRIWPLRRKKKKLPTVRLGGKRQLLVRMLKRIRIRWLKLKKACTLKKLKEYYSSVLKDVIEAGGTFETFQQRLLLETSFAVPVMGLSFNTFH >KVI05859 pep supercontig:CcrdV1:scaffold_226:346713:349043:1 gene:Ccrd_015814 transcript:KVI05859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKFGGGQGNKNSNTAVSTTHHTNQEPRKEELSDWPHRLLAIGTFGNNDLPRENEEIQDANGTASSSPDLSEFTPEEIGKLQKELTKLLSKKPAANKQGEITADLPLDRFLNCPSSLEVDRRLSTTVFNNPDDKDEDIDRTIRVILGRCKDICLDNSKKAIGKKSISFLLKKMFLLRAMLKNKINPQNSSRASSARKLIEPKKGKGKATERKDEEDANGGCKWVKTDSE >KVI05852 pep supercontig:CcrdV1:scaffold_226:187977:189961:1 gene:Ccrd_015800 transcript:KVI05852 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEPYTNALLATVALLLLLAIVLRRRPTKLNLPPGPKPYPIIGNLNLIGPLPHRSIHELTRKHGEIMHLKFGSIDVVVGSSVEIAKFFLKTMDTNFICRPKTAAGKYTTYNYADITWSPYGHYWRQARKLCVMELFSAKRMESFEYIRVEEMKSLLMEVRRTSGEGFVLKDLLSTMSLNVISRMVLGKSYLDESVVAGGGRRTVVSGKEFKEMLDELFLLNGVFNVGDWIPWMGFMDLQGYVKRMKVVSKKFDGFLEHVLEEHDGRRRAEGEGFVVADMVDLLLQLADDPTLEVKLERNGDLLAGGTESSTMTVEWAITELLRKPEIFEKATEELDRVIGRDRWIKEKDISDLPYIKAIAKEAMRLHPVAPLLTLRRTRGDCTVAGYDIPKDTRVIVSAWTIGRDPRLWDNPLEFCPERFIENEIDVKGTNFKFLPFGAGRRMCPGYSLGIKTVESSLANLLHGFKWKLPGQMTRLDIDIEERYGLSTPKKNPLVTVAHPRLPLEMYSL >KVI09584 pep supercontig:CcrdV1:scaffold_2260:30699:31751:-1 gene:Ccrd_012028 transcript:KVI09584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVCTLQYVAGFPYFPFEPLNPQNSQEHMRGVRPLQARTSFNLRRMMTMTTTDENCIPVHLSVRIFCSNLFQPSVVTYGTLINGLCKSGDMPAAMILLRRMSESRTCPPDTMVYSTIIDSLCKSRQLNEAFHLFYEMIGKGISPDIVTYTCLIKALCYCKLWEEVKILIEEMFTQRISSNVHTFSILVDGLCKEGR >KVI09591 pep supercontig:CcrdV1:scaffold_2260:63602:71345:-1 gene:Ccrd_012031 transcript:KVI09591 gene_biotype:protein_coding transcript_biotype:protein_coding description:FY-rich, C-terminal MIGILENHCNRECVSILTVQFKKTRSHKKDPIPFTHHQSEFVFITTTQVAFNLGIYLYTNMHHVKEESMDIPSIPPGFESLTAFSLKRVEDSKVASTSSVSVSASELQPAKKEPGLEQSDDEKIKRSIRRRPWINYSQLNSSSGDESESEQNQTSSARMTKGVIRGCEKCSNCQKVIAKWWPEEARRPDLLEAPVFYPNEEEFEDTLKYISSIRDKAEAYGICRIVPPSSWKPPCPLKESAVWENSTFATRIQRVDKLQNRDSLSKMCRPGCNKKRKKRRCTKAGIDQKIYGSDIAAPADVMVPEAGFGFEPGPRFTLGEFKKYADDFKTQYFRKNEMMTDACGNSVIQDQWEPSLENIEGEYWRMVERPTEEIEVLYGADLETGSFGSGFPKETCQVSGSDEKYVRSGWNLNNFPRLPGSLLSYESSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSMNYMHFGASKMWYGIPGKDAIKLEAAMRKHLPDLFAEQPDLLHKLVTQLSPSILKSEGVPVFRCIQNPGEFILTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGHNAIELYREQGRKTSISHDKLLLGAARDAVKAHWELNLLRKNTPDNLRWKDVCGKDGILSQTLKARVEIERVRRDFLCNTSQALKMEATFDATSERECSVCYFDLHLSAAGCHHCSPDKYSCLNHAKQFCSCAWSAKFFLLRYDIKDLSILVEALEGKLSAVYRWAKLDLGLALTSYVSKDTSRSPELNGKQSCSISGSPAKEVSSVPSVASLKGKTERVQDFLNPTGVGGRMGSRYSKESSISLNSLHEDETKKSSSKCKKEIASSANKPLVVGNDVILLSDDEGEDSSLPLNQAVTTDPVSGRIGSEDQVKEDALKSHPENLSCHRVLSENTVPSTTKSQALTITKESSNLNGENTGGVSQPIPKSGGGILNNEEKVVIIGLYANSKPADNVQTASGTQSCTQNHPERNPRQKGPRIAKVVRRINCHVEPLEFGVVQSGKLWCDSRAIYPKGFRSRVKYINVSDPTDMCYYVSEILDAGKNRPLFMVSLEKHPNEVFIHLSAARCWEMVRERVNYEISKQHKSGIPNLPPLQPPGSLDGMEMFGFSSPSILQGIQSMDRHRLCTEYWESRPFHSQSHDQAGKSSSKIQDPNNPENNGLTSEVDTVVSGFFKKANLEELNTLLSVLSSNDSTGGVTRLLKNEIGGRPR >KVI09586 pep supercontig:CcrdV1:scaffold_2260:2368:5662:-1 gene:Ccrd_012024 transcript:KVI09586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MKSSWRFEFGFILLLALNQNLRTALASESSKQAYVTLLYGDEFVLGARVLGKSIRDTRSTKDMVVLVSDGVSDYAKTLLQADGWIVTPISLLENPNQVRPTRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIDVLFKCGKFCANLKHSERLNSGVMVVEPSEELFNDMVSKVTTLYSYTGGDQGFLNAYYAGFPSARVFDPNVSPEVLDSRPVAEMERLSTLYNADVGLYMLANKWMVDEKELCVIHYTLGPLKPWDWWTSWLVKPVDIWQDVREHLEESLPGTGRGRDPHNDLLVRNFELFCFLGLIMTPLYSSSGSISTRSLCDHARHIYYKFRSGGALAYSTVSSSNINSNQQFSNGVHSMVPAFLGGISILVCFMAVIVSLGFGFSVVPRQVKPWTGLVLMYEWIFTTFFLLFGGYLHIIYKWGKMVASQTGNPRPGASDYDFEKGHQRVSCDVAPWYYGLGMAFLAVAAPLAPGVFGINALFLRLSMMAGGGLIFACFTTYASEQLAIRSFMRGLEDRDTSRTRNVCFLCC >KVI09585 pep supercontig:CcrdV1:scaffold_2260:7935:9854:1 gene:Ccrd_012025 transcript:KVI09585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVIPWSRLMCTSRTILLPRLQLYSRSYSKTLPGVAANIRILNNKITNLIRDSKLDEARMLFDKSRQRNPVTWNSMLSGYVRRREIVKARQLFDEMPERDTNGDLRNAVGFFKKMPERDAASLSALVSGLIQNGELDEAGKILLETSCENDERLDMVHACNTLIAGYGRKGRIEDARHLFDEIPYHRRNVVSWNTMIMCYVKARDVLSARVLFDQMMERDTCSWNTMINGYIEISDMEEASYLFKEMPNPDVYTWNSLISGFSQMGKVGIAREFFQRAPQRNRVSWNSIIAAYEKNKEYKVAIELFTKMQSEGEKPDRHTLSSLMSVCAENAYIHLGIQIHQQLIKIVTPDVPLNNSLITMYARCGAITEARVIFEEMKFRKDVISWNAMIGGYASHGYATKALELFGSMKKLSIQPTYITFISVLNACANAGLAEEGRMHFKSMINEFGIKPRVEHFASLVDTMGRNGQLEEAIDLIKGMPVKPDKAVWGALLGACKVHNNIKLAQVAAEALIRLEPESSTPYVLLHNMYADVGQWDDATEIRMLMEKHNIRKAAGYSLVDSSSR >KVI09588 pep supercontig:CcrdV1:scaffold_2260:10384:18092:-1 gene:Ccrd_012026 transcript:KVI09588 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MEEASSPLSQPKKRGRPLVSSPSIDSCCSLFRQSTIPVATSRAAKNQRTHTVIIIINIPAAIMALAISNAFQFPNSRFCAKNSCVLHTSLSSIRPSFVRFPTSRFSQRVVCAASSAAGSSSSDNEFNPYEVLGVNPIEGFDMVKAAYTRKRKDAERRGDEAKAALLEKAYDKLMMAQLTNRKKGVTFGSFKVSKDIKYADKQPIIPWGPRFSKSDVKDTRINMAISAAFIAWILVARNADWKPVQFLSFVFVYRVFEKLKTFEAPAPMAFTEEGEDEGRMMRMGKRLLRSLALVFGCIAVASLGYTGLVNLIEFIGGYVPVALYNNQEIIVTAATSLSLYFMATYYR >KVI09583 pep supercontig:CcrdV1:scaffold_2260:33498:41255:-1 gene:Ccrd_012029 transcript:KVI09583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding MAGERRVLTLGGKGSSLSSASVFEFAIASPPMLLKIDPSALARLSSAPSNQKSSSPPFKFQQSVPDFLTPEEARASILLLLYKILLGGSSSSSSTASQLSDILNNETQTPTIDLDVARQDDLYLLNSCWPGVALHGICALLDHTASSLATVADAVAALSCEALKADASTAFNFFTDSGDGSSDKDRVAVANDFKVLLNGSKLRGNLQCDQVYNIPIIHGRLRSLCKSVHSSTRVELNSIPSAGGGASEDLTALLSSLAFALQNLGDSSWTRAKLCLQNLAKDNLFPSLAESFSAGCPDLDSLKASINSFVSSKMEKSYIRSLHEIYNLSEAVRKILSWEATVSFISLEGSELMENGQVGTQVKEDIDGVSAKSDKKKDKKKKVMGKGTTVLMQFIKDRLQSVPSKVVDSSALPERVAQGFLSFLDLKDPGFEHLLQKVKDVVDSNESRRLPKLPKGTRDFAKEQMAVREKAFSIIGNVFKRHGAMALDTPVFELRETLTGKYGEDSKLIYDLADQIKLNHRKLLDGMLDICGVPSHKVRTICSSIDKLDKQSFDQIQKEMIEEKGLDAKTVEKIGSFVKLRGHPLKLLLELKKEGSEFLTNDASNQALKDLEKLFQALDNSRCVDKVVLDLSLARGLDYYTGALRASETQVLVSILGDDISLAAKLVSMCWDAKLKAEFMANKRLTKHFDRAKEFGIPWMVIVGEREINEGIVKLKNKEAKVEVEVPTSNFVDELMRLMNDNAAAAAAASR >KVI09589 pep supercontig:CcrdV1:scaffold_2260:79946:85722:1 gene:Ccrd_012032 transcript:KVI09589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MRRASTLAASSALSRALLASSEQQQYNRLFQAASFYGGINVGSSRRWFSTSMRSISQGGYSGAAKFFLSLGGLAAASIAAGASSFLVEEGAYAKELLKPDLIPKDVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPFSKKEIKWSDYKKVPILMVDGESLVDSSAIIDQMGNRIIPVKSSSALSNDDEEKKWRRWVDDHLVHMLSPNIYRNTSEALESFDYIANNGNFSLSEKYAVKYAGAAAMYFVSKKLKKKYNITDERAALYEAAETWVNALDGREFLGGLKPNLGDLAVFGVLRPIRYLRSGKDMVEHTRIGEWYSRMESAVGESSRIKA >KVI09587 pep supercontig:CcrdV1:scaffold_2260:24055:27254:1 gene:Ccrd_012027 transcript:KVI09587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid beta-eliminating lyase/threonine aldolase MVTRTIDLRSDTVTKPTETMRLAMAKAEVDDDVLIYDPTARQLETEMARITGKEAALFVPSGTMGNLISVLVHCEIRGSEVILGNNSHIHIYENGGISTIGGVHPRPVPNNEDGTIDIDLIQSAIRNPAFEICYPKTRLICLENSHANSGGRCLSVEYTDQVGELAKKHGLKLHIDGARIFNASVALGVPVHRLVQAADSVSVCLSKGLGAPVGSVIVGTKSFIDRARILRKTLGGGMRQVGILCAAALVALQENVGKLGTDHKNAKTLAEGINKIKGLRVDAASVETNIVYFDILEGSHITALKLGQILEEHGILLMPDSSSRVRIVIHHQVSASDVQYTLSCIKQAITGVADLNGSS >KVI09590 pep supercontig:CcrdV1:scaffold_2260:55440:57389:1 gene:Ccrd_012030 transcript:KVI09590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MDFLMVFLKLADWLVYQLLGNSCYRAAMKVKNHRFFWLRNHPSHKSPYHQLPLFPSFTKCCLTKEQPQTVVCDIQNTLLLNSKSFFPYFMLVAFEGGSIVRAFVLLLSYPLLLVLDYELGLRLMIFITFCGLKLKDMKNVGRSVLPKFYLENLNLQVLELFETRRKSETKVIIFTSLPRVMVEGFCKEYLSIDHVIGTELHSFGRYFSGFVSSSGVLVKHKAVKEWFGIDRKPDIGVGSCGLHDQLFISHCKRSARTVMPREKYPKPLVFHDGRLAFFPTPLATLCMFLWFPLGVLLAISRLFVGILLPYHMARIIGGLTGVNIRIEGCDYSWEKKNKQNGVLFVCTHRTLLDPVFLTMTIGKPLTAVTYSLSKMSEVISPIKTVRLTRDRKQDGKTMHKLLSEGDLVVCPEGTTCREPYLLRFSSLFAELTDEIVPVAMNTHVTMFYGTTASGLKCLDPIFFLMNPRPTYHVQVLGKLAKELTCGAGGKSSHDVANHIQKTLAAALGFECTSLTRRDKYLMLAGNQGIVEDHRSQN >KVH91401 pep supercontig:CcrdV1:scaffold_2261:66189:77160:-1 gene:Ccrd_006578 transcript:KVH91401 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type IFNSTLDASIPSAYITPAGEQNNPRPSLLRPSPPCPRLPRSSTTSMPNSGTQAADGEQTTPIIIPSETEDQLAAPDPLLGSGSFIDPNNGGDQNASKEAENSPNPSSALLKRTRPSWLPENWEMQLRQRTSGATEGTVDRYYIAPSGHRLRSKNEVLNFLETGSKRKKPASNSDDATSEGSAPRSKKKTGARKKVHAAFTFDFRNPPEKVSWCLSYATEDVWSPSIGDWSLPLATKQEWAAVFNHVCQK >KVH91400 pep supercontig:CcrdV1:scaffold_2261:47709:56287:1 gene:Ccrd_006577 transcript:KVH91400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase MENHSSCPMKIETGDAGYVLEDVPHLTDYIPNLPTYPNPLRSNPAYSVVKQYFVDADDTVPQKVVVHKDGPRGIHFRRAGPRQRVQFLLLPIIRLILVFAKGGPSLLQVYFAPDEVHACIVTCGGLCPGLNTVIREIVCALYHMYGVTKVLGIDGGYRGFYSKNTITLTPKFVNDIHKRGGTIIGTSRGGHDKPKIVDSIQDRGINQVYIIGGDGTQKGAAVIYQEIRRRGLKAVVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRYCGFIAMYATLASRDVDLCLIPESPFYLEGEGGLLEYVEKRLKDNGHMVIVVAEGAGQELLAAESLSSATAQDASGNKLLQDVGLWISDKIKAHFAKQQSMPITLKYIDPTYMIRAVPSNASDNVYCTLLAQSCVHGVMAGYTGFTSGLVNGRQTYIPFNRITEKQNNVVITDRMWARLLSSTNQPSFLGPKDVIEVQKQEEPPAQTQLLDGENSKTADN >KVI03995 pep supercontig:CcrdV1:scaffold_2262:18930:20144:1 gene:Ccrd_017700 transcript:KVI03995 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MKKIEVKVSSTFELEKSVCNHGFFMMAPNRWIPSTKTLTRPLRLLPHHHHHSSNVTISISQPCSTSLSITLLDDRIISPSQQQEIMDQVKRMLRLSKEDEKQVKEFHRLHEEAAKRGFGRLFRNPSLFEDVVKSLLLCGCRFQRSLEMAKGLCKLQKWLGKKCKWGNFPSAQELANLESEELLRNKCKLGYRAGLVMCLAKAVADGEIKLLDYELDNMQLGDEESMFQKLKTIKGFGDFVTCNVLMCMGFYDRIPIDSETIRHIKQVIHQRKECQRKNIKAMVKEIYDKYAPFQTLAYWYVPPP >KVH87822 pep supercontig:CcrdV1:scaffold_2263:82061:82451:-1 gene:Ccrd_024865 transcript:KVH87822 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, subunit 1/F420H2 oxidoreductase subunit H MYGSVIGMGTVILSTIDIVEAQSKYAFWGWNLWRQLIGFFVFLISSLAECERLPFDLPEAKEELVVGYQTEYSGIKFGLFYVASYLNLLVSSLFVTVLYLGGWNLSILYIFVPELFEITKR >KVH87823 pep supercontig:CcrdV1:scaffold_2263:83318:83557:-1 gene:Ccrd_024866 transcript:KVH87823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATDLSLQRIKLAKVSINSGQYPPQEIKTINPIAQTRCLNKSIHTQTDKLIILKGLYPLISCEEFNHK >KVI11252 pep supercontig:CcrdV1:scaffold_2264:47252:47835:-1 gene:Ccrd_010340 transcript:KVI11252 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase MVGSHPSETTSKEVKILLLDSNSPTPFVAFLSITSKVVASASATRISDIPFYFPSNEWHLLLEILSILSMILRNLIAITQTSMNI >KVI01429 pep supercontig:CcrdV1:scaffold_2266:55593:62708:-1 gene:Ccrd_020302 transcript:KVI01429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLSQTLIRTTSSASNSLQTFKLGISMEHRFSSQSGKAQLIEVDLESDGEVEVLGLRKLEDAIHSIIVRQSAPDWLPFVPGSSYWVPPRRHRPDSLGMINVLRKFRRRSRDAETEESMAVSSSRGWPSSAYFFEGTTTIHPLPVEVEAEVVHSNENMSDAEDEEG >KVI01430 pep supercontig:CcrdV1:scaffold_2266:32930:37481:1 gene:Ccrd_020299 transcript:KVI01430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MSPAALVEPTTKTLPESSLSTRSSPERGDLDKNRRFWDLRGVQWRTDLGVLPSSSSVDDVRRVTANSRRSYAALRRRLLIDPLIPKDGSDFPDLTMDNPLSQDPDSTWGRFFQKAELEKMVDQDLSRLYPEHGSYFQTPRCQAMLRRILLLWCIHHPNYGYRQGMHELLAPLLFVLQADVERLSAVRKLYEHYFTDNFDGLSFHETDSAYKSNLKQISRHIEDDSGIQENQVTVNNLDELDPKIQTIILFSDAYGAEGELGIVLSERFMEHDAYCMFDALMNGAGGAVAMANFFSPPPLNGPQNGLPPVIEASSVLYHLLAVVDSSLYTHLVELGVEPQYFALRWLRVLYGREFALADLLVIWDAIFSFDNRRLDVVSENDPDLSFGGLNSSRGAFIAALAVSMILYIRSSILATETATSCLQRLLNFPNDVNLGKLMKKANSLVALAIDSMRLMPPAMHSDGVSDRSKLTVSRGHSLSFDVSASGTGTPVSLLRDSYWEEKWRVLHKEEEEKQTIPQKQVENRPKGWSEKVKLQLLRTESDPSSSKVYHAKTGPSRPRVRRNLLDDLARQLGLEEEIEKLASKDDSTKRSLSGNGGSEENSSVFSDPPTPTGGDANDNDHENDTERSSVASNISVEENGPEHCPPVESFSATIPEVSSPLPVCEAPEPAPLPPVQNEDSIGKLGSNLKERISLAGKFQWLWKFGKNGGDNSTTEKGAGVSDSTKSSIVGQDQNSETAASAMDVKSVNQNTTCSLKNLGESMVENLQVIESVIQQEKGETGSKKALLVDRGQMTAMAALKELRKISNILSEM >KVI01427 pep supercontig:CcrdV1:scaffold_2266:37554:46051:1 gene:Ccrd_020301 transcript:KVI01427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGAGGYANKSGDHALDCTDDGWLTEEDDVEPGPDKEAGGGADVGVENSHGGVDVGGVRISAVETSPTHPQQPCSGQLHDTPLKQETTAPQAESADGVRKRQPQRHKRHPRLDVHSPQQ >KVI01428 pep supercontig:CcrdV1:scaffold_2266:37208:46591:-1 gene:Ccrd_020300 transcript:KVI01428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MAATVLPSAYQTSAASPEWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFSAVIICWVTWAYKMSFGEKLLPFWGKAGPALGQKFLIKQAALPATTHFHSDGTLETAMITPFYPMASMVWFQCVFAAIALILLAGSLLGRMNIKAWMAFVPLWLTFSYTVGAFSLWGGGFLFQWGVMDYSGDRERFPPNNVLLTLAGAGLLWMGWAGFNGGDPYTANIDSSMAVLNTNICAATSLLVWTWLDVIFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGVLSGSVPWFTMMVVHKKWTLLQKVDDTLGVVHTHAVAGYLGGILTGIFAEPTLCSLFLPVTNSRGAVYGGSGGMQIVKQMVGGAFIIGWNIVATSIICIVIRFVIPLRMSDEQLLIGDDAVHGEEAYALWGDGEKYDGTKHGFYSDDTTHHRQTSGATQVL >KVI05140 pep supercontig:CcrdV1:scaffold_2267:71255:78833:-1 gene:Ccrd_016529 transcript:KVI05140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRKQGNKEKATDMKSQRMELKLVINGIEYMGELSGDKNSEKKRKYKGKDLRNDAKMARKEKSTGVSVDSRNGGPKRKKTSSDSGFETPCIKNPKRIGKVKPSHKVEQLNLIVENNERPRSRRKYNGLRTRTSPKTLFLAIHSLSTSQRQCVEEMGFGKILDMKVDGIPSKLGFYVVDRFDEKKMEIRLENGSIKITKDLIHDMLGVPNGGVELHSLQGTETDDTILKEWKKQYGKTLIRPADVMREIIVSEDAGLNFKLNFLILISNTMAEGMKMGICNLNILSYIREDTVIHDLDWCSYIWDCLKVSKLGWKRDADISFYTGPLTFLTLLYLDATKCKKVTVVRQRPAIKCWSMELMRQREFAEIDTGGFGLGDFEDPFELTRTDDEGILAIEWGAGYLLKIDERFSCLMAEKTKMESTLREAMTKYPGMSKLKEWETKLEALFGGKSDVNGFTHRDQATMVPENLDSAPKCIEEVVDAHVDEGDEGDEGDEREDNEETKPQFWINMETHSVIDGAGESVEKNCRIDNSVLPNYDIGLTQELVNESSFELLLSEGKSVHEAAISVEPLSSCYEEKTN >KVI05136 pep supercontig:CcrdV1:scaffold_2267:34532:37729:-1 gene:Ccrd_016532 transcript:KVI05136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin, N-terminal, SH3-like protein MVEGGKSHESARNLVERESEFTGAIEQCHFHKQGDNEETEVSTKVNIIVGSHVWVEDPVQAWIDGQVKKTKGKEVEIETTDGKTVVANLSKVYPKDTEAPAGGVDDMTKLSYLHEPGVLQNLRIRYELNEIYVRFHLMLYSKIVLLLYRDLQQIDPYLFQTMADLHRKHFNCNQPVPKITSFI >KVI05137 pep supercontig:CcrdV1:scaffold_2267:46895:50590:-1 gene:Ccrd_016531 transcript:KVI05137 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT-like protein MMAAYYPTNSVTTDHIQQYLDENKSLILKIVESQNTGKITECAENQAKLQRNLMYLAAIADSQPQAPATHSQYQLGGMMQPGGHYMQQHQQVQQMSPQALMAARSSMLYSQQQYSSLQQQAAMHSQLGMNSGSGGGSSGLHMLQSDSTSAAGASGVGHLGIGGFPDFARKQDIGLSGSTAEERGGGDGGGETHYLKSADEGN >KVI05138 pep supercontig:CcrdV1:scaffold_2267:63834:69167:-1 gene:Ccrd_016530 transcript:KVI05138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKQGNKEEAMTTYMDMKSQRMELKSILKDIEYMGPSHMTWKEKKALENRKVVSLGGKNMILGQVGGKRGNRSRRASDSRKPEDRVLLSTAGHFKNGILNVKDLLKPGASSRDRSFSSSHGFGNDSSSHGLGGGSSSRGSGKGGKKKGGKKKQGKKGGRRKGH >KVI05139 pep supercontig:CcrdV1:scaffold_2267:5952:12429:1 gene:Ccrd_016534 transcript:KVI05139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLLKSGFLLHSRIPLISSTFWTSYPSSLTSSTISEFTSMVFMLKVCMRKMSTTDMATATPVYPKFIPVEQSEITSVSKSDGFKFRVVSYNILAQVYVKSSKFPYSPAPCLKWKARSSVVLDVLKSLDADILCLQKKGLMIIGIAIFKLELIVEERIDYNDLANLILDEPSRVEQKKALDTNNKEQGKTQGDLGDPNDPYVRLKRDCVGIMAAFKFKNPCQHYVIVANTHLYWDPEWADVKLAQAKYLLSRVAQFKKMVSEKFECTPSVLIAGDFNSVPGDKVYEYLLSGGSMVVPLPECSEDVPVPLCSVYAYTRGEPPFTNCTPGFTGTLDYILFSPSEGIEPVGYLELPVAESPDVKGGLPNYYHPSDHLPIGADFAVV >KVI08145 pep supercontig:CcrdV1:scaffold_2268:53690:54067:-1 gene:Ccrd_013487 transcript:KVI08145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MATISLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEELTKLQEKYGVTAKDLK >KVI08144 pep supercontig:CcrdV1:scaffold_2268:10434:12433:1 gene:Ccrd_013486 transcript:KVI08144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MATMNNQDSNNNNNNRQVTAGPPMNASKQPLPTPKTVDTQSVLKRLQTELMALMMSGDSGISAFPEEDNIFCWKGTISGSKDTVFEGTEYKLSLSFPNDYPFKPPKVKFETGCFHPNVDVFGNICLDILQDKWSSAYDVRTILISIQSLLGEPNTSSPLNTQAAALWGNQEEYRKMVEKLYKPVA >KVI08143 pep supercontig:CcrdV1:scaffold_2268:56356:62552:-1 gene:Ccrd_013488 transcript:KVI08143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEYELSTYDRHELNYNQIALGRLPMSRMWEKGFGRKKSSLPFFGAKKQEDFRGRNINGEEEGGGTRSVRLQIRGTMVGHRGLMV >KVI11259 pep supercontig:CcrdV1:scaffold_2269:12416:89000:-1 gene:Ccrd_010333 transcript:KVI11259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFMGVICTIMLYILYRTTNYQYLQTELDSKLFRFVPRNLCQLPQA >KVI09175 pep supercontig:CcrdV1:scaffold_227:323573:325532:-1 gene:Ccrd_012455 transcript:KVI09175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MESSVKPAVIGILALFICVHLAMADVGILPRCSWAGLYNFGDSNSDTGGISAAFTPVSSPYGMTFFKKPAGRLSDGRLVLDFLAEYIGLPYVSAYLDTINTDYRHGANFATGGSTIRRQNETIFKGGISPFSLDIQTVQFTQFKARIIDLWSSGHPAADKSQLQRPGDFSKALFTIDIGQNDLSVGFRTLSKQQLRPVGCLPVSTSNVRNPEPGYLDRYGCIRYQNDMAMEFNRQLKARVIKLRSELTEAAITYVDIYTAKYKLISSTKEYGFYEPLKVCCGHLEKNVRIFCGTTGIMNGTTVHGDACANPPVYVIWDGVHMTEAANRWVANQILNGSLSDPPIPATHACYKHL >KVI09189 pep supercontig:CcrdV1:scaffold_227:206789:213248:1 gene:Ccrd_012440 transcript:KVI09189 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MAAAATTTSAGPRYAPEDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYERPSGSKVSSPPQNPSPVASEDPVQLSSQHIQDDGVAEDDVRHESSGNGTSKLSSEAKDHETTKGGSDCAYDTPNETVASGQGGTPAQAHFSSVGGGLSPDAYRRQHEITVSGDNVPPPFTSFEDTGFPSELLREVLQAGFSAPTPIQAQSWPIALQSRDIVAVAKTGSGKTLGYLIPGFIHLKRTRKNPQMGPTVLVLSPTRELATQIQDEAVKFGKSSKISCTCLYGGAPKGPQLRELDHGTDIVVATPGRLNDILEMRRVSLSQVTYLVLDEADRMLDMGFEPQIRKIVNGVPARRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNIDELVANKSITQHVEVLTYMEKHRRLEQILKSHKPGSKIIIFCSTKKMCDQLARNLTRQFGAAAIHGDKSQGERDHVLSQFRTGKSPVLVATDVAARGLDVKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGEAYTFFGDQDAKHASELVKVLEGANQHVPDEIREMASRGGGMGGRSTRRWASEGGSSFGGGRGGGYSSNYGGRGDSFGSEKGGGARDSERGDAGGGFQGKSFHERMFGGGEKRERSRSPPNKGGSGWGVSRSRSRSPERFDNAPPVRSFHQAMMERAAAAAAASRTNGPQADDEEEGMIREEGGGC >KVI09186 pep supercontig:CcrdV1:scaffold_227:231242:235081:1 gene:Ccrd_012443 transcript:KVI09186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSDSTSLSYWLTWKFLFCLLSVLASVITASFLIWKYEGSDNTERAYNGEEWMPCWKGLNPVWLMAFRIIAFCLILAAFIADVATHGTNLFYYYTQWTLMLTTIYFLFGSLLSACGCFWKDKIFNARSIDIEAEQGIHVSLIHAGKEKAASQQGECYFLQKARFWGHIFQIIYQMTAGAVMLTDGVYWIAIFPFLTLVDYEMGFLTVVVHSLNLVMLLGDTAMNRLRFPWFRISYFILFTALYVIFEWIVHACVVLGGGSIASSMLRRVLALGEIEVLHLVSMVS >KVI09166 pep supercontig:CcrdV1:scaffold_227:126117:126902:-1 gene:Ccrd_012433 transcript:KVI09166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MQNQGQVDDLGFCPSFNCYSSDSLASTAATRVSSQLLQEQVARFHDVGEEDFEFSLVLDDEQVSVRDAASEGRVVFPLFNRDLLVKDEGDREAVQGKVDDDQEDACSASLEKLFITEREESASSSSSEADESESEAPGVFCAWRPKMDIGSSPLSKCKKSSSTGSGSKRWRIRDLLRRSNSEGKEPMVLLTPKKVEVPKQKRKSGEVSIVSGKSKPSIHELFYVQQRAKREDGKRKSYLPYRQGLVGFFTNVNGKGNKFPF >KVI09170 pep supercontig:CcrdV1:scaffold_227:298321:300748:1 gene:Ccrd_012450 transcript:KVI09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLSKVRHKNLVKFIGACKEPVMVIVTELLTGGTLRKYLVNMRPRGLDTRVAIGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKIVELADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADGLPEDLALIVTSCWKEDPNGRPNFGQIIQMLLHYLSANFPPEPMPTAIPPRIFTCQNTVFSPDSPGTSTLIAKTDEETPKTAKGNSRTGIFSCFYQYC >KVI09169 pep supercontig:CcrdV1:scaffold_227:28228:29397:-1 gene:Ccrd_012423 transcript:KVI09169 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSLFPSFAAATKLMQPHFEQALHKLSCVTAIVSDGFLSWTLESANKFGIPRLSFFGTNNYTGAVGHQVYLNRLLSGPKSDDDLITVTGFPWIKITRNDYDEPLNQRVPSGPHLDFIMETGITTANSYGLISNSFYELEPLFVDYLNRDPKPKVWCVGPLCLADPPPKVDPGANQPKWMEWLDQKLAQECSVLYVAFGSQAEISRQQLEAIAKGLEESEVNFLWVVRKNDEETSVVMKDLEERVGERGMVVREWVEQMEILKHGSVKGFVSHCGWNSVLESICWKVPILAWPMMAEQHLNARMVVEEIKVGLRVETCDGSVRGFVKPDGLKKMVKELMEGENGKEVRKKVEEIGEGAKKAMAEGGSSWRTLNELIEELQRVRNSNGVIIN >KVI09161 pep supercontig:CcrdV1:scaffold_227:168082:170777:-1 gene:Ccrd_012436 transcript:KVI09161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSTVTLRSFSYPPHHANTQPATLSLFFPKQTLEFSLRTPKLSHRSTFLRPVAAVAEEKVVQLGDDISNLTLGEAQKLVEYLQEKLGVTAASFAPAAVVAAPGGAGAEAPAAVEEKTEFDVVIDEVPSNARIATIKAVRALTSLALKEAKELIEGLPKKFKEGVSKDEAEDAKKQLEEAGAKISIV >KVI09165 pep supercontig:CcrdV1:scaffold_227:110013:115546:-1 gene:Ccrd_012432 transcript:KVI09165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase, catalytic domain-containing protein MSLLQVSNQNSLFCHSPCSRSFSFSPSSIATSMCSSFWAKEFSLINGASVVGILVKTPRVGLGGGGGFRVLAMSASTNSRFKMNLNEYMVTLEKPLGIRFALSLDGKILVHSMKKGGNAEKSRIIMVGDTLKKASASSGGSLIEIKDFSDAEKMMLEKSGLCSLVLERPFSPFPIQHLHQKSDLEIQFNRGRVPIATWNKTILTSSLRTSFEGSGNSGFIMFTPRFSTPKGWNLLINQNGQLRSRIQKNIPDEPFTQLVTIFSEEESGDDWSHGSFPLDEYIKALDRSKGELYYNHSLGMRYSKITDQMYVGSCIQTEADVETLASVAGVTAVLNFQGGVEAENWEINSKSINESCQQNNILMINYPIREGDSLDMRNKLPFCVGLLLRLLKKNHCVYVTCTTGFDRSPACVIAYLHWMTDTSLHAAYNYTSNCLGNMGSHSYGGKWQTRRTCNPCRDICGENVELIGDLTGNWKEPIKAIHKGGPRYEAEVRLAQGKYYYKFIVNGDWRHSTSSPTERDERGNLNNILEVGDTASVRPSIQQPKKDANVVKVIERPLTENERFMLAKAARCIAFSVCPITLAPK >KVI09174 pep supercontig:CcrdV1:scaffold_227:316423:320364:-1 gene:Ccrd_012454 transcript:KVI09174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MSLQFSIYPAPCPKNTPPLPPPETTLPFPKMFPLRFKSRPSGRIVPHHSLHNRLRRWPNRSSSLASQSPSSLAESFLITRFSIASNRLLFLVTKLLVPGLSSISAGYWSCLFNFCTNFRYEKIPVGPESHSTLLLSSIYDSHASAIGNAXEITPVMLDYKIHADNNLLYNTPPCYGIYMCGLVFEDLLAQGGLVEVEKKNVKKXQILYDAIDESKXFYRCPVEKSVRSLMNVPFTLEKSELEAEFVKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFKKDFQAKHG >KVI09164 pep supercontig:CcrdV1:scaffold_227:165085:165570:1 gene:Ccrd_012435 transcript:KVI09164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGRQRQLETCPPTATGITKRSGVAEEGGGAECSGKSCRSCSARAIADCVAVCCCPCAVVNFFTLTFLKLPWMMGRKCLANNKKKRKKKKLKDVGVSRNEQEEDGALGKATAIGGGESEEGSHNKYSARFEAEKVWLELYRVDNLGFGRVSFNGIQSLG >KVI09162 pep supercontig:CcrdV1:scaffold_227:172357:177724:-1 gene:Ccrd_012437 transcript:KVI09162 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MGRAFVYVILGGGVAAGYAALEFTKKGVSHGELCIISEEPVAPYERPALSKGFLLPEAPARLPAFHTCVGANEERLTPKWYKEHGIELILGTRVKSADVRRKTLLTAAGETISYKFLIIATGARALKLEEFGVSGSDAENVCYLRDLADATRLVDVMQTSTGGNAVVIGGGYVGMECAASLVINKINVSMVFPEAHCMGRLFTPKIASHYEEFYKSKGVNFVKGTVLSSFAFNPEGKVNFSLFCSVSGVYLKDGSYLPADLVVVGIGIRPNTSLFEGQLTLEKGGIKVNGRLQSSNSSVYAVGDVASFPVKIFGEIRRLEHVDAARKSARHAVSAILEPEKTPEFDYLPFFYSRVFSLSWQFYGDNVGEAVYFGDFSGTSFGAYWVNKGHLVGSFLEGGSKEEYEAIAKVTRLKPVIEDLSELERQGLGFVVALSQKPGVSEAAKDMDVGGSSLVLEKAMYPWHAAAGVVVAASIAAFAYWYGRRRRRW >KVI09185 pep supercontig:CcrdV1:scaffold_227:84939:86914:-1 gene:Ccrd_012428 transcript:KVI09185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein KYVPWTFLHLLVKPSQHFFSYNYREKLCCTNIEADSIMDPSETLRYETTGIRPEYLRDALPLRQVQRKIQDFLCNGEPIWKIRPRGGKARILVGHGLDHDLKCLELEYPVVKISYDIQIGIQDPYDDCVATMRLYRRMRFQAHRNENYPLATDPQNKNNFASWRQSELERMSPDELLAISRSDYYCWCLDNKD >KVI09191 pep supercontig:CcrdV1:scaffold_227:256675:257298:-1 gene:Ccrd_012446 transcript:KVI09191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MATTTTHDRLPHQVQVHTVQHGRYDPTGEKALLVPYPPAPSKGKILAVVALLPVGAGLLGLAGITLVGTLIGMAVATPLFILFSPIIVPAILTIGMAVVGFLTSGTFGLTGLSSLSFLVNFVRQLTGTVPDSIDSARRRMQDLVEYTGQKTKEVGQSIKEVGHEMGPEGQVHGGAGGQISVGGQVHVGGGAGAGAGAGAKEGRGGRT >KVI09176 pep supercontig:CcrdV1:scaffold_227:334993:337428:1 gene:Ccrd_012456 transcript:KVI09176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKTESKQPPQKEEQQEAEIEGKFLLGKPKFKKLENGRYKCVETGHELPADARESYAHTKHCRLGLIDSALARNKPPLNMFHQDPLSRSRLICKLTGLTVNKIEEHIWKHVNGKRFLNMLEKEEAEAGKEASDDLIIVESEQKPKKASKSKGVGLVEDEGKQKPEKASKSKGDGLKKTKKNKKKKEENDVSKIISEVRDPEEKDSDTEEDEFWMPPVGDRWDFDDGKDRWGSDLESDVEPEDDEELDSENDDANGADAMGKESNNETQELSKRTKRMSIEIEPSDVASKKKKKIQST >KVI09190 pep supercontig:CcrdV1:scaffold_227:258328:264202:1 gene:Ccrd_012447 transcript:KVI09190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2, Eukaryote MAGLEELKKKLVPLFDAEKGFSSGSTMDPSDSYMLSDGGTVNLLSRSYGVYNINELGLQKCTSRPVNDVDQRERTYRCASHEMRIFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIKRQRCISEAVLSLMVQRLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQILDDPSPSPPKDFFSPEFCSFIEACLQKDADARPTAEQTVTKVIRADVVDTEIKGDCKFAVLKLLSHPFITKYEDAKVDLAAFVRSIFDPTQRMKDLADMLAVHYYLLFDGSDDLWQYAKTFYNECSAFSFSGKESTGPNDIFTTLSNIRSTLAGEWPSEKLVHVVEKLQCRAHGEDGVAIRVSGSFIVGNQFLICGDGIQAEDVPDFKDLNIDIPSKRMGTFQEQFIMEAGNVIGRYSIAKQELYIIQ >KVI09181 pep supercontig:CcrdV1:scaffold_227:41078:42484:1 gene:Ccrd_012424 transcript:KVI09181 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASSLHVVLFPFMAKGHTIPVLQLARLFLRRNATVTLFTTPANHPFVSATLSDTPTTIISLPFPQNIPGVPSGVESTDKLPSMSLFLPFVSSTKLIEPHFEQALQSLQTPVTFMVTDGFFGWTLDSANKFNIPRLVYYGMSNFSVTLSALISNNPNLFDGKSDTDQVTVPEFPWMKVARIDFGDGFNRNNDSKNPLAEWVMEQILATRKSYGLVTNSFFELEPVYTDFWNSNFPPKSWCVGPFCFSQEMVEPNTLSAQKPSWMVWLDEKLQLGSPVLYVAFGSQAEISMEQIEEIKIGLERSEVNFFWVVRGNKSTFDDGFEERVKGRGIVVREWVNQREILKHESVKGFVSHCGWNSVLESICAAVPIVAWPLMAEQPLNAKFVVEEMEIGSRVETCDGSLNGFVKWEELEKRVRELMEGEKGKEMRKKVEEISQAAMKAVEDGGSSCRNMNELIEELQAKQLIISE >KVI09163 pep supercontig:CcrdV1:scaffold_227:135683:138797:-1 gene:Ccrd_012434 transcript:KVI09163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome assembly chaperone 3 MGKKNKIFNSQSPRSFLRFQSVAAAMDDDSTSSFPVPHKKFSILVKESKIDIVICSHDDQLLVIATQIGTMGTIMHARKEEGMLNSPTFNVSVIFGKRDEPMLQSCARQLIEQISNSGSSKSLTLSIGLKDHSMETLKAIVSGVIENRLW >KVI09160 pep supercontig:CcrdV1:scaffold_227:356580:360000:1 gene:Ccrd_012460 transcript:KVI09160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSLANYTNNKALSSSNPDKRLLTGTNPLTSTNPLTGTNSAINPMQQLPHQQQHLMGPMTNPVSQQLLGLAGNPMQQQLGMTGNPMQQQLGMAGNPMQQLGMAGNPMQQLMGPKNNPMLNSMMNPLHTPMHHQMTSPVHNQTVQQLNRGDRNSIFSASDDNVIMKQVFDTHLPDGTDVDVKPLLHIVEDILKYATIHADSTSMVEHTDVTKLENKPHQTNAVMMLNSLSHIIDKLACEMSFKCLSGGDGHTTALALFHTVGNFHWDAKLVLTLSAFALNYGEFWLLAQIYSSNQLARSMAILRQVPMIMEHSAPLKPRFDILNKLIRSVLELTQCIIHFKDLPSMYISSDVPAMASAMNTIPTAVYWNIRGIIACAAQITSLTSMGHEYGISSTELQSWELSTLILKINHILEFLKKQLENCHRVVGDRKEMDFRNSFNQLFDTIHIDNMKILKILISPRDDILPLFDGSTKQRVKLEVLRKRNVLLLISGLDMSPDELSILEQIYSESRIQGTRMDALYEVVWMPIVDPSVEYTEAMDRKFEEMRNSMPWYSVYHPSIIDRAVKRSIGDRWHFRSKPILVVLDPQGRELSPNAIHMMWIWGSNAFSFTSAREEALWREETWRLELLVSGMDPTILNWIRDDKYICLYGGDDIEWIRKFTNTARAMATAARIPLEMAYVGKSKKKENVRRAIATINQEKLSYCWQDTTLIWFFWTRIESMLFSKIQLRRADDQDLIMLQIKKLLSYDKDGSWALFCRGSQILTNGHGSTMLQTPADFDLWKDNIPTRGFDAAFTDHHDKLHGAANNCCRFEFPITAGRIPEGMSCPECHRSMEKYIAFLCCHDQTGLLEPILS >KVI09167 pep supercontig:CcrdV1:scaffold_227:105212:105638:1 gene:Ccrd_012430 transcript:KVI09167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLWSWSKEPPQPMVLVSPLLDFPPVAARTGSIDNSPFTCNIEPWLIIAFRFDFNRLIWMMLESSYKLLFGKLVLRCLFEYYFEEAKHFSTKLLLKPIDDPHVDLTASVSIKAFDYFT >KVI09184 pep supercontig:CcrdV1:scaffold_227:102745:104826:1 gene:Ccrd_012429 transcript:KVI09184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGVQNTNSPSDISLLPQKDLFINSSPRSPLNTENLDNELIDLETASPLTADTYEQLYQDALDMQSSDRSPKFDPELRFLVAEDFAKEEKTLKKTLVRVDSTKSLNCSSPKSKTINQSLSSKNVSSPRLPKPKTRSKSFQDNNNLLLGSPKGSPRKIEKNLTQGSQNGKEDPVYLGPYFLKQTRELLASGENPKKALELGIRAMKAFECGRAQKPNLDYVLCLHIVAALYCSLGQYGEAIPLLERSIEIPRVGEGEKNALAKFAGCMQLGDTYAMLGYIENSILCYTAGLGIQRQVLGVTDPRFGETCRYVAEAHIQAMEFDEAKKLCQMALDIHKANGSTASEEEAADRRLMGLVCDAKGEYEAALEHYVLASITMSAAGQDAEVAAIDVCIGDAYLSLARYDEAVFAYQKALNVYKAIKGDNHPSVASVFVRLAELYNRIGKFRESKSYCGNALRIYEKPMQGSPNDEIANGFIEVSAIYESMNELGPAINLLKKALKVFGKAPGQLSTIAGVEAQMGVLYYMMGIYSDSYDYFKVAISKLRAVAEKKSALFGIVLNQMGLACVQIDLLDEAADLFEEAKGVLEVEYGSHHPDTLAVYSNLAGTYDAMGRWEDAIEILEYVVGMREEKLGTASSEVDDEKRRLAQLLKDAGRGRSKKSLSLEFLLDG >KVI09178 pep supercontig:CcrdV1:scaffold_227:349700:350233:1 gene:Ccrd_012458 transcript:KVI09178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSPTADSFINHPAPPSTSTKTWMEPTRIPSLTLYMLSPLTNPSEGKGINMACTGISYQRLRNEGGGDDNEKEKEKDYEKEIEREINQIKARIRFRWSLRLKKVHIRKKLKMKIPSLRKFMRRKARVVMASLSKVLRRLKESQSHLGDLFAGNYMFIQVTPTPLKSSSIKYQGRSG >KVI09179 pep supercontig:CcrdV1:scaffold_227:352323:354775:1 gene:Ccrd_012459 transcript:KVI09179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait LPKIKIHLVFSSCISHFLSFSISISISISSHLLVMTCATTILPFQLLSPKCTTISTTIFPLPSKPFKTLNFSQFSSWVSQKSKVSPPKLLQFVAQTSDWSQQVTQETEPVEEEDEAVDAINEVEGGGEEEEVEEEESYSEPPEDAKIFVGNLPYDYDSENLANLFSSAGVVEIAEVIYNRDTEQSRGFGFVTMSTVEEAEKAVDKFNGHDLSGRLLTVNKAAPRGSQPERRVVGTSFKIYVGNLAWQVDNDRLEQVFNEHGKVVDARVIYDRETGRSRGFGFVTMASETEMNDAIAALDGQSLDGREIRVNIAEERPKRSFF >KVI09183 pep supercontig:CcrdV1:scaffold_227:64765:69948:-1 gene:Ccrd_012426 transcript:KVI09183 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, catalytic domain-containing protein YRDSAYHTWLACTHYLRGVRDVYNYLTVTDNRLLSVLPSSQFTTIPHRAPAPAPAMALAMKRAAATAVRAISSANSASILTRQLHASAEKKKIVGVFYKAHEYAEMNPNFLGCAENALGIRNWLESQGHEYIVTDDKEGPDCELEKHISDMHVLITTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLQAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGHHQVISGDWNVAGIAYRAYDLEGKTVGTVGCGRIGRLLLQRLKPFGCNLLYHDRIKMDQELENQIGAKFEEDLDKMLPKWYSGDVWYPQPAPKDHPWRYMPNQAMTPHISGTTIDAQLRYAAGVKDMLENYFKGEDFPAQHYIVKEGELASQYR >KVI09188 pep supercontig:CcrdV1:scaffold_227:213726:216190:-1 gene:Ccrd_012441 transcript:KVI09188 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MESCALAVSTTSLNPKSLNHINGTTPKIFPLFHSSLHFSNHRNTYSAASLLSFPTNSPFFFPKRIRHDFEVVRAAPQNSGEPTNPPEFSRTLQLAAMFGVWYLLNIYFNIFNKQVLKVFPYPTTVTTFQFGCGTLMILIMWALKLHPRPKIYKSQIVPVLVLAVAHTMGNLLTNISLGRVAVSFTHTIKAMEPFFTVLFSALLLSERPTFWVVSSLVPIVGGVALASLTEASFNWIGFGSAMASNITNQSRNVLSKKFMVRKEEALDNINLFSVITILSFIFLIPFAYLLEGFKLTPEYLKTAVSYMILQMVSPVTHAVGNCVKRVVVIVSSVIFFQTPVSPINSLGTGLALAGVFLYSRAKRIKPKPKAV >KVI09194 pep supercontig:CcrdV1:scaffold_227:276307:278305:1 gene:Ccrd_012449 transcript:KVI09194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MDFLVDGVGSLPTTHNSATILPFTSPAKIRPPHPPPSSKPEGSPSSSHLIAATCTDSVGSSKLSYKTTYDFIQKEIVPATPSKDVAPNEVLDQTAKEYFHKQIMELESKSASKQPINEPERLEPIGTNRDAGPGPGPGPGPGLGLGPGPSHAGFNTSPQSSLHSATQFTEAKESFTSTEVSEFASTVEKAVGNGQASESCDYVESSKTSVYRGSTGSDLSDDSSSSSFNSAIYKPHKQNDTRWEAIQVVRSKNETGLLEMKHFRHLKRLGCGDIGSVYLSELIGTRNFFAMKVMDKVALASRNKLLRAQTEREILQSLDHPFLPTLYAHFDTEKLSCLVMEYCPGGDLHALRQKQSGKYFSEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCVVNPKLVRSVTTTLEPKSSGSCVQPACMEPSCMIQPSCIQPSCFGPRFMNKPKKEKRSKPKTEIYNQVIPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGASNRATLFNVVGHPLRFPESPSVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCATPPDVPVPSPEEVDVKPSGSYVEIDFF >KVI09168 pep supercontig:CcrdV1:scaffold_227:187770:198573:-1 gene:Ccrd_012439 transcript:KVI09168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MASIGMAPPTVEKVDGDSMCVDKLPEEINEMKIRDEKVEKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGIVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRLLDHPNVISLKHCFFSTTDKDELYLNLVLEYVPETAYRVARHYSKANQRMPMIYVKLYTYQIFRALAYIHAIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRTPPEAVDLVSRLLQYSPNLRCNALEACIHPFFDELRDPNTRLPNGRPLPPLFNFKPQELKGASLELVAKLIPEHARKQDVPYNAH >KVI09171 pep supercontig:CcrdV1:scaffold_227:305327:308806:1 gene:Ccrd_012451 transcript:KVI09171 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MLNNLAPILPSRNGQEYDGSMLLHYSFSTKVGYATNVNLCFEYARSWIVDGRGIARKVKTSSLPLVHQIKDCGANRECPQCHCRIDNSDVSVEWPGLPVGVKFEPTDVELLEHLAAKCGVGNEKPHQFIDEFIPTLDVDDGICYKHPENLPGATKDGNSIHFFYRTKNAYTTGQRKRRRICNESGLTKDVRWHKTGKTKAVMQNGVQIGCKKIMVLYGTAGGGSKPCKLNWVMHEYYLGAIEDEKEGQYVVSKIFYQPQKQTEKTDNHFLTDELDAWMTQTSPRTPETDAPDPCRPGKSVSCEDATTDYILQSPQQESGWNVENKYLPSSSNTGRTGNMELPIWEDDSQAIDLDAFDDSLFCNEDFDAYALLGDSRPNHGPQPGSTNDTSGTTKGATSGIADLDNLDMGTPPDFSLADLQFSSQDSIFGWLERL >KVI09159 pep supercontig:CcrdV1:scaffold_227:366600:368588:1 gene:Ccrd_012461 transcript:KVI09159 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1713, mitochondria MASLLLRLVRKQSPSTTLVANLNKRILSPSTHLPDSKPVQPATAIPFFNGVPETTDELNPHHHSSCFYPTFAFESFLNPVSQIGFIQSAVPEEEEEDIVSGNDEQGIWADSVKKKRKKKMNKHKLKKLRKRLRRKT >KVI09197 pep supercontig:CcrdV1:scaffold_227:184536:191889:1 gene:Ccrd_012438 transcript:KVI09197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formylmethionine deformylase MACANWLHLPSLSHGSFRSTSCQRRTDLATFQTANSSEGWPIFSSNYQYRPPAMGVQAQAKRGSLQKKEEEIATATPADMHFEAPLKIVLYPDPILRAKNKRVDTFDENLKKLVDEMFDLMYKTDGIGLSAPQVGINVRLMVFNPIGERGEGEEIVLVNPQVTRYSKKLGPFTEGCLSFPGINADVVRPEAVKVDAQDITGAKFSVSLSRLPARGILFFDRMTGEVVDSIRSELLALEQKYEDRTGLSRPESIESRKRWKAGAGFGGS >KVI09172 pep supercontig:CcrdV1:scaffold_227:309056:310114:-1 gene:Ccrd_012452 transcript:KVI09172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MMSNLDLRTSVVLFLLLPSAVAGIYFHGQKVTYFLRPLWQSPPKPFIHIPHYYHQNVSMASLCQLHGWELRDYPRRVFDAVLFSNEIDMLTIRWKEVYPYITQFVLLESNSTFTSMPKRLNFALNRERFDFIESRLTYGTIGGRFRKGENPFIEEAYQRVALDQLLRIAGIEDDDLLIMSDVDEIPSAHTIDLLRWCDGPPPVVHLNLNNYLYSFEFNLDHKSWRASVHQYRKGKTRYAHYRQSDYLLADSGWHCSFCFREISDFVFKMKAYSHTDRVRFSHYLDPERIQDVICNGKDLYDMLPEEYTFRELIGKMGPIPHSYSAVHLPMHLLNNAETYSYLLPGNCIREDG >KVI09196 pep supercontig:CcrdV1:scaffold_227:1153:8534:-1 gene:Ccrd_012422 transcript:KVI09196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MVAAVSSTTTTPNSKVSSWGSKPKRAPLLPSEGDKNGHLLPRRPESGDVTSRYMSATTSSNPSTDAMNSSSSSSSSCSTTTLSLNSVCTPRXRLPSMNLLAPASPVKTKRDQSVERRHSVGKPHTGEMSASAKSLTKRSARSLSVSFQGESFALPVSKVAKPSNNGLRNGTPEKRKTMAPASRMSENLKPIDKQRWPGRSRQGSCMTMSVDFTNEKMKFSGSGTAAAVEASRKSMSAVQTVNSDHAISDTDSISSGSTPGNVRGGGRRAIVVPARFWQETMNLLRRVQPEPVSPSPSKSDKLISGYKFLDDGPKLSPKGSPYSPVRGAIPPPSPSRRAIPSSPPRNAVGSNDNLGNTPSILSFSVDSKRGKVGEKKLVDAHVLRLMHNKHLQWRFANANVEAAMLVQRATAKKSLYNAWVTISKIWHSVVSKRVEMQQLKQNLKLHSILKKQMSYLDNWDLTDRDHSISLAGAIGALESSSLCLPVLCGAKADILNLIDAISSAFDVMQAITVSICSLVTKVEHVNSLASELASSTKTECCLLDQCKDLFTTLTLMEHLSSHQLTYAASNGCEINLDENNQWGQNWCCKNGFCNSSFDLLVADDYRLPSSPIMSISYINGFLFRFHSSTVYRPARLLCIAYFFPWMNNDLDWAILFWQY >KVI09195 pep supercontig:CcrdV1:scaffold_227:267419:267751:1 gene:Ccrd_012448 transcript:KVI09195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKKYQVDGDLIEGKIWVIAGITICAPLRSISMKKVNDEDDESSNSGSTTPTAKESRLPEKFPCPPPPRKLRPVSSCQNNGDIVYFTSPELDSLFEQFANAERAKSSS >KVI09180 pep supercontig:CcrdV1:scaffold_227:59435:62995:-1 gene:Ccrd_012425 transcript:KVI09180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MTEIGDKERRLAVPQWDGGDRRRQGLVKVVTVWRTPEFAGEGGHTLSYTYIMMSENAIRDLNFLPKSESSSKDIFAKPYVEHSVETVENKQRTNMGSLVQSPVNGNELGVAEVEYIESEKLDDLEDVDKNLKMLVLGLESKDWVLICETLNNVRRFSIYHKEALQDILERVISLVVKSLKNPRSALVQLLLKSSQDKRFVCEAAEKALVALTTWVSAVLLLPKLQPYLKNRNPRIRAKASTCFSRSVPRLDAEEMKAYGIEKLIEIGASQLSDQLPESREAARALLLDLQAAYENSPVLAPESHSEVHSWEQFCELNLSKSSAQAVLRVTSVGREGVVSVS >KVI09182 pep supercontig:CcrdV1:scaffold_227:78280:83482:1 gene:Ccrd_012427 transcript:KVI09182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSFKFKLCVLEKIHKISWKNLLEISLTRPVINKQATGDGVYRMIGMEPSSSSPEWKPTVSQEEQWILERQVFHIYQLFCNIPPKSQAVMLELQRDNHIEYLMKGLRHLGPSFSVLDAKYFTLSLCALMVRCRNSFLYCHLFTALLLSSRPWICYWILHSIALLGESVDDALEHNAIHFISRCQDQHGGYGGGPGQASYFSMPHLATTYAAVNSLITLGGHRSLGSINRVKIYSFLRRMKHTSGGFSMHDGGEVDVRACYTAISVACALNILDEDLVQGVGDYILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILVNEVNRLDLASLTDWLVFRQGVEGGFQGRTNKLVDGCYSFWQGGAAVLIQRLHAAAGEGHSSVRSNESFTSSEFSDDEELLEGTSSGMAEISPDVEEDGSHLKFFAASTQMVEGGFRDKPGKNRDHYHTCYCLSGLAAAQYSWSKDADSPPLPRSVLGPYSNLLEPINPLCNIVLKQYNEARQFFSKPL >KVI09173 pep supercontig:CcrdV1:scaffold_227:313511:314683:-1 gene:Ccrd_012453 transcript:KVI09173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINEEEWELINDDGFVYKRLKRPRVHPTAAAAQRPDPEAEAKAYRERKKTVLLKLKTKYQQEIHHWEDLSNTLKSLQDRTQNQPPPTILQDQTVSFSQENSSDSSYQELTHTLLAQVEAQEATIYEVSRLCDVAEALCSAEEQRLMQPYIDLPVWRPSPQELMTSLLEE >KVI09187 pep supercontig:CcrdV1:scaffold_227:224703:231547:-1 gene:Ccrd_012442 transcript:KVI09187 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30-like protein MKVGVTYREIKTNSWTAASSAVYELIKGYAIWMVAANRGKKVGLFQFPSPPTTRDGQPTMKEEIRNSSASHGGVTKCDSKSSPPSKKSKTFPAIAAADDDVRFIEKPVPADQARAKWPXRYESKTPSFYVEESDTNEKCRLHAIHALVSHFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGTCLFLLLLLGPHNRGFINLEELCKLLSQR >KVI09193 pep supercontig:CcrdV1:scaffold_227:238294:239984:1 gene:Ccrd_012444 transcript:KVI09193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MEMEPQKFSIAVDADHKATQFRPLSLSSPHMRAFHLAWLSLFSCFFSTFSIPPLLPIIRQDLNLSPTDVGTAGIAAFAGSIISRLAMGPACDLFGPRLASATLSLVTAPILLSAAFISSPLSFILLRFFVGFSLANFVANQFWMSSMFSGCTVGLANGVSAGWANVGSGLTQLVMPVIFSILNTNFNLSQSTSWRAAFVVPAIFQAMMALMVLAYGQDLPDGKYRKHKKLPNKDSNDTGVFLNGITNYRGWVLGLTYGFCFGVELTMDNIIAEYFYDRFGVNMETAGVIAASFGFANWVSRPTGGVVSDKLGRRFGMKGRLWGLWVVQTVAGLLCIWLGRVDSLWGSVVVMCGFSFFVQAASGLTFGVVPFVSKRSMGVISGMTGSGGTVGAVVTQLLLFSGSTKFSTETGISLMGVMMIVSTLTLTTIHFPQWGGMFCAPSFDHQDYHPLA >KVI09192 pep supercontig:CcrdV1:scaffold_227:240882:246900:-1 gene:Ccrd_012445 transcript:KVI09192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MELKVSAQERSRSPSVSESNPDEKEISDDDDDDRNHKHRRRDDARSQSLEGDAVEQVFTKPYRRGSKPSENGHIYREAGSQSSEAWKNYTFNPMEKDISAKFDKRRPGFTQSSQGPLDLNQRIRVNQTFSGDPGPIRGRGRDAGLWSQRDSRFSSVDIASQIVQQGSVPPSLFAGRGVPTVSNAYGASWSAFGLIPGIPNGGLDTLHPLGLQGTYRSINPSMNMGMGLARQRCRDFEEQGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLQNANVPGAPAGPGPLSASGAPTNSLFSGKALHGKTSKRGVAGNGQGLNDAFIDSSASVGADFYDPDQPLWGNDSQTSPALQQINQSNSKENGPLLDPGPSGNHCVGSLDGSNEHVGKSGGTAMGSQTTSSSVLGRINSTKNRTEMRESMVSKASPSNLIHNETTGKQELSNSAQAVPHHGKHKDVNSSLKMQSGNGKSVHKLSQKAQCTLFVNGIPLQQNRRESLISHFHKFGDVIDIHIPLNSERAFVQFSKREEAEAALTAPDAVMGNRFIKLWWANRDNIPVNKTSGYPVPIPPRGIAVSSALHNGTIAPASTAVPASDQPKPVIAGPKAPPPSQKKLESLEVLKEELRKKQEMLDQKRNDFRRKLDKLEKQATGLKGEIEPEKAAKRQKLGTVADSTKAATTSSSDPETTLSSPRTEVVGNGKKYLESAMPQSSKSTATVALQESPVLKQSVRPLAPIGPSVVANRFKLDNRPTAFSIISTLPKDLADVTVLKEHFSPFGDLSKVELDSLDPADGNNDPGTAKYSARVYFTTRHSAEKAFSSGKCWNGHNLQFSWLKSSNLSKDRANGESPLPTSKGNSDASVGPVVEISKTDSQKPATSGDGESEKIEQKDIDKQHKEPDESNHAS >KVI09177 pep supercontig:CcrdV1:scaffold_227:340235:346948:1 gene:Ccrd_012457 transcript:KVI09177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine MGAKTSRDEDASYNPASYRPASSFSGNQYDNYPQPSYSHPPQDDHNYPIQEAYPAYPQEHYPAPAATIPTATPAPAPAPALAYPPSHRPQNKFDRRYSTIADSYNSLEQVSEALARAGLESSNLILGIDFTKSNEWTGSRSFHRKSLHHIGEGLNPYEQAISIIGKTLTAFDEDNLIPCYGFGDASTHDQDVFSFYPEERCCNGFEEVLSRYREIVPHLNLAGPTSFAPVIEKAMTIVEESRGQYHVLVIIADGQVTRSVDTERGRLSPQEQKTVDAIVEASKLPLSIVLVGVGDGPWDTMKEFDDNIPSRSFDNFQFVNFTEIMTKNVPHIRKETEFALAALMEIPIQYKATIELSILGSRKGISPRRVALPPPVHIAPSFSSSKPSHSAGFQDPQSYFDQSSPVTATPYYAPTNLERTAPPVPNSTYENQLCPICLTNPKDMAFGCGHQTCYECGQTLKLCPICRSKIETRIKLY >KVH99520 pep supercontig:CcrdV1:scaffold_2270:17581:35515:-1 gene:Ccrd_022245 transcript:KVH99520 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWS-like protein MANKDPEILLEEDAQDTPCDFKELVSDFESNCLADTLSVYLESCEPFSVTDPEPSNNLDEPNISXTDVLVDPLNSIVLTELSELRDNDGEDSSRIHHACGKKNNEVKRSSTRRSTRKSTLNQKTDTKLAARKGSRTSGKRPMLDLLAADVGRRRRSDLVQRARPSAWGLGGKIDEIFKQYVEKNVDVNGHIDSRKGRMGRRGGKRNTDFVNQSIQNSQSESRALNKGLLLKFKLGTKVIQNCQFNTIPDMDKDLESYREVKIDTSTLQCDIKENYEKEVPHASLSRASDGNLDKRVAGKAVSELHDMIGIGESVENRCLDPGTSPDSEVINVIPETQISGTTVEVLHDLRISSKDCTAHQSGDXGDGSGIPSPEIVSDVILCDKFEHGEKQIEGSWSSGQSMSITTGVASSNASSRDGCPVEPVASLQETEVGVSMDMLTIESGLKADFSIAGIESVESCFSDKLLSGAKTNGQNLQRSLKSRGITKSMPRVPDSLNKKRXNCRQKGSQAKSPAKGKLMEKSGNDQADXDTESDPITGSHEISVVRVLEEGCRSSAGALSNSTMVPSEGENRQGSPRSAWVCCDDCHKWRRISAILADSIESTECRWICKDNMDKTFADCSIPQEKSNADINEELELSDASCEEDACNARLNSSQLGQKQPIDPPQSSWKLIKTNMFLHRNRKNQTIDEIMVCHCKPLFDGRMGCRDECLNRMLNIECVKGTCPCGEFCSNQQFQKRKYAKLKCFPCGKKGYGLQLQEDIPKGRFLIEYVGEVLDMPAYEARQREYASKGHKHFYFMTLNGSEVIDACAKGNLGRFINHSCEPNCRTEKWMVNGEVCIGLFATRDIKKGEELTFDYNYVRVFGAAAKKCVCGSSRCRGVIGGDSQNAETVILGDSDEEDLEPVTFYKNSSNKLGLSGTSIYNGAGTQTAESTSKNNGCVIDKFAVASGXVEDVENERSPDSLLVIDDKNDDLAIPTESTEREVPFERSSSAASALESKIDNTEEPLPFCAEPLNTSFKVHDGKRETRFLDARHHNVAEKDMKGCLSIGXRAKTSLDTSAKLLPNDGDSKKKPKSHTNENKCAILKPQALSKLPSSAVKRGKAKTHGVNKPPEIDSKPLVMPHKTNRLVEDNLTGRFEAVQEALNTLLNADGGISKRRDASKGYLKLLCLTAHSGNGEGIQSNRDLSMIMDALLKTKSRTVLLDIINKNGLQMLHNLMKRYRKEFSKIPILRKLLKYLKDVFPLSFLSFKESILSLTEHTDKQVHQIARNFRDRWIPWSARKVNWADRDVERMENQASPNFKTIPVQHDRDRRPSEVADNCIQQSFSGVDARTVEGSAASCLSSCTDGTRTRKRKSRWDEPGDVKPDIESPSNKEHRSKTSRSEQVNHMVQEERQVNNDEDGDAPPGFSSPINRQLFPSNAPSTSTDTNCCKSVMGYPQERYISRLPTSFGVPCSVVQRLGTPEGESWVVGPATTFHPFPPLPSYPRKEEQLWRNNCTSSTNSATDMPFNQPNFQRFRNSNSNNTLGRRYFKQQKWNNSKSGLPWNHNKYGSASYNRGYGRNEAAGMMNGSCGNNFYQQPQQ >KVH87821 pep supercontig:CcrdV1:scaffold_2271:91263:93088:1 gene:Ccrd_024868 transcript:KVH87821 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent FMN reductase IKIPIILITTHLVAFVLDSFSCSYIHFKRTVGKESTMAAKPVIKVVAICGSLRKASYHRGLLRAAMELSESIDGLLIEYVDISPLPMLNTDLEVDGKYPPAVEAFRRKILQSDCFLFASPEYNYSITALLKNAIDWASRPPNVWANKAAAILSAGGLFGGGLAQYHLRQVGVFLDLHFINKPEFSLYAFQPPAKFDDGGNLTDPEAKKRLKLVLLSLKAFTLRLQQDD >KVH87820 pep supercontig:CcrdV1:scaffold_2271:61556:63739:-1 gene:Ccrd_024867 transcript:KVH87820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFRLQIRGSQDDYAYNQGFGVLIFNNDFPEFTYDTIGFLEKNRDLLHFDSIQLLSSCKCKLPQIFAPNMCSQPEKPVAGSLNKSGGVDSQKLSAMSKFKELRQQDRTLLSHYCIKRRSLSLDIKWVNVFNSSWNGIMNFKERLVNKDHFIAIKLAISLRKAGIRKTGLWIPIVVICGLHILI >KVH93541 pep supercontig:CcrdV1:scaffold_2272:34715:36344:1 gene:Ccrd_004406 transcript:KVH93541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MERVEGLLFYASVEGNVTLLQTLLQEDPLILDRVTVNRCDDMPLHVASMLGHFDFVNEILSRKPQLARESDSQRRLPLHIASAKGHVEIVKALLSAHPETCLARDRDGRNPLHLAAIKGRYQVVKELMQAQPHAARAMVEQETILHLCVKHNQLEVLKLLVGSMGDHEFVNSKDGDGNNILHLAVADKQIETINFLLLDTTIEVNASNTKXETYTDILAQGPKDVKDRQIIRSLTRADAVEPKTEGLIEKIPQKWISKTCLDYKKLFPPIRQKNREDWLDKKRNTLMVVASFIATMAFQVGTNPPSGVWQEDKLDAIPPRHAGYAVMAXNHPVLHHIFLISNTVGFISTLSIILLLISGLRFLKHRGSTWIMIVIMWIATTSLSITYYVSITVTTPKEQAETIRPLSVAILFVWIGLMTLVVGGHMLRLMAMTKEDQKA >KVH93542 pep supercontig:CcrdV1:scaffold_2272:92302:93941:-1 gene:Ccrd_004407 transcript:KVH93542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MERVEGLLFYASVEGNVTQLQILLQEDPLILDRVTVNRSDNMPLHVASMLGHFDFVNEILSRKPQLARESDSQRRLPLHIASAKGHVEIVKALLSAHPETCLAQVNASNTNGETSMDILAQGPKNVKDRQIIRSLTRADAVEPKTEGLIEKIPQKWISKMSSDYKKLFPPISQKNREDWLDKKGNVLMVVASFIATMAFQVGTNPPSGVWQEDKLDAIPPEYAGYAVMANNHPVLHHIFLISNTVGFVSTLSIILLLISGLPFLKHRGYTWIMMVIMWIATTSMSITYYVSITVTTPVHKAETIRPLSIIIVFVWIGLMTLVVGGHILRLMAITKEDQKA >KVI06740 pep supercontig:CcrdV1:scaffold_2274:7378:20744:1 gene:Ccrd_014905 transcript:KVI06740 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core METAQPRGVDREELVVNMIKTEEESQRATRRPPMATPLDRVRKKELSKVADVNGDDAVSTDELATLLVIQQERKPKTSNPQSLESGCDHHRVLCLRIRLRSKLLHPENLKAWLFAIDSSALFEILQALDEVESLVAARKDALSGSKPSDSLRVVNALLTKMEKLKSSPNVIILTTSIITTAIVDHWTFLFIMIHFPLIQLGEYGVDRVGDVVHHKGGKIWLEHMDCLLQLKTLLEQQSHSLVLSL >KVI04617 pep supercontig:CcrdV1:scaffold_2276:40789:43019:1 gene:Ccrd_017065 transcript:KVI04617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIGVHSGYRLCSYLFLAVVPFWTSFQILNKHKQDLYGKLLKAKDRNTKRDIRKELKMISKEECKRQQLDVTDIKAHTSVAGHTLHELENVQKSSSAEPTLVLIDIAGCDMEEKKDEEESTLNEGEAEIAIAHAKRLIQSGVHASDIGIITPYSAQVKTLRTKEDKLKEVAISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDRRCMNVVMTRARRQCCIIYDTETVSSDKFLKWLIEYFEENGEYLSSSGYGNE >KVI04616 pep supercontig:CcrdV1:scaffold_2276:14910:17254:1 gene:Ccrd_017064 transcript:KVI04616 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MADSLVLRGTMRAHTDWVTAIATPIDNSDMIVTSSRDKSIIVWRLTKEDKTYGVAQRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLNAGTTARRFVGHTKDVLSVAFSIDNRQIVSASRDKSIKLWNTLGECKYTIQDGDAHNDWVSCVRFSPNTLQPTIVSSSWDKTVKIWNLTNCKLRSTLAGHAGYVNTVAVSPDGSLCASGGKDGLILLWDLAEGKRLYSLESSSIIHALCFSPNRYWLCAATESSIKIWDLESKSIVVDLKVDLKQESEMAAEGTATQTNAGKTKVIYCTSLSWSADGSTLFSGYTDGVVRVWGIGRY >KVI04615 pep supercontig:CcrdV1:scaffold_2276:58462:75028:1 gene:Ccrd_017066 transcript:KVI04615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-3 MILDRVEANPGIKGAYYKAQGQQPGSFPRPPYTVPSPYPPYQSGTMQVLGCAICCFVGYYAIHSNPIRNSPYPIRPEPDQTRTPNSILRVVSLYPETRSGTEGGGVRLWREFWRRHKRKVYVSLGLCGSGYLLYKFYDAYTKRISELERQLADEQENDELLKAQMQVHFENIQRIANTMTLPNAMLYLSSRVAEELDLLHLTERLIKGKGQPNSLTPSEKLELWDRLKILSFTRMVLSIWAMTAISLYIRIQVNILGRHLYIDTARDLGGFQPEDADLIERTDEQQFLASADFLSSHRIPALISNMQAAATEVLRGKQLRDIFNSTVLHETIMQILNMFMSTGRPHHWVDYLMPEDVRFYKSVESSGSDSPNVSDVTKLDLLMVETRTVLSSSEFGNILDMSVRQVVDGLTEDINLQFGDGNLSGGIPLAKLLPRVAQISPQLLEEPSRNKFTHIIQNIPEVEVFFTLLYTNMS >KVI04787 pep supercontig:CcrdV1:scaffold_2277:54011:61625:1 gene:Ccrd_016892 transcript:KVI04787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVENGQVMAIKQEDKREREQVVCKRCKATYSPSSNNPSACRFHPTFFVCRRHDDQKRYYELGPDDPPYAAKFYDCCGAEEPDASGCTTGFHISYDDD >KVI04785 pep supercontig:CcrdV1:scaffold_2277:3904:4407:1 gene:Ccrd_016890 transcript:KVI04785 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF640 MDLGYETSMESTNSSSSSSSPSRYESQKRRDWDTFGQYLRNNKPPLSFPHFHPSHVLEFMHYLDQFGKTKIHIQTCPYFGVPNPPTACPCPFRQAWGSLDALVGRLRAAYDEHGGNPQINPFGAPEVRRFLREVRDFQAKSRGISYEKKRKRPKQQQEEQEDQQEQV >KVI04786 pep supercontig:CcrdV1:scaffold_2277:36405:46279:-1 gene:Ccrd_016891 transcript:KVI04786 gene_biotype:protein_coding transcript_biotype:protein_coding description:FY-rich, C-terminal MGTELIRHHVKEESMEISSIPPGFESLTAFSLKRVDDSEVASSSSAPMSASGSQTAKKEPRLGYIEDEGVNRSIKRRPWIDHSQINSSSGDESDSLQVIARWHPGEARMPDLFEAPVFHPTEEEFEDTLKYIASIRDEAEPYGICRIIPPPSWKPPCPLKEKTAWESSTFATRIQRIDKLQNRDSLRKLLRPNYHKKRKQRRCMKSGSDNAGPVELIVSEDGFGFEPGPRVTLKDFQRYADDFKNQYFRKNISTTDAGGNSILHDKWEPSVEMIEGEYWRMVEKPTEEIEVLYGADLETGSFGSGFPRQPNQVASASDEKYVRSGWNLNNLPRIPGSVLSYESSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSMNYMHWGASKLWYGVPGKDAIKLEAAMRKHLPDLFAEQPDLLHKLVTQLSPTILKSEGVPVFRCVQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQNAIELYREQGRKTSARVEMERMRRDFHCKSSQALKMEATFDANSERECSVCYFDLHLSAAGCHRCSPSKYSCLNHAKQFCSCSSAAKFFLFRHDINDLSIMVEALEGKLSAIYRWVKLDLGLALTSYVSKDDNSPSKKELPSLSPEKPSVIKPSLMVNDNVILISDDEGEISTATVSEMDSTSACDGEAQGDDARGSHPENISCHGVFSKDANPEKSHDLSSTKETGRFNGENPSGPLQETPQCGSGMQKNEERQKTNALDLNSRSTDNARIASGNPSCIQNSLDRYYRQKGPRVAKVVRRINCFRSRVKYINVSDPTDMCYYISEIRDGGQDRPLFVVSLEKCQNEVFIHLSAAKSWEMVRERVNHEISKQHKLGRLKLPPLQPPGSLDGMEMFGFSSPSILQRIQSMDRHRLCKEYWESRPFHSQSHNDDQNSSVKFQEPNHPDSVMASLFKKASLDELNILQSVLVNGDSTANRARVARLLTEEMRKRSR >KVH96930 pep supercontig:CcrdV1:scaffold_2278:83385:84089:1 gene:Ccrd_000977 transcript:KVH96930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MNTPHQQIPIQFTPDDRLNRPHVNEGRQLRRHHTARYYVHRVKESLTTRVSKLICSVFLSLLFIVGLITFILWLSLRPHRPRYHIHEFSIPSIAQDNGFATAQATFNVTARNANLNIGIYYDTMHLTLYYQDQNIGEMPLLFPFYQSPKNTTVLYGTFSGSTLVVDTARWTQFVADRMRGMVSFRLEVVTSMRFKVATWESKNHKMHANCLIGVGPDGLLITSYKEKKCRVYFT >KVI00284 pep supercontig:CcrdV1:scaffold_228:93061:98979:1 gene:Ccrd_021466 transcript:KVI00284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVSQSAVTFSGSLSSGIAASDVLRSSSNGVNGVPLRTLGRGHAGTRKKRISISAKVRKVKQHEYPWPQDPDSNVKGGVLSHLSPFKPLKEKPKPVTLEFEKPLMDLQKKIIDVQKMANETGLDFSDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHVFSITDKFVELHGDRGGYDDPAIVTGIGTIDGRRFMFMGHQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITSTELRKLQIADGIIPEPLGGAHADPHWTSGQIKAAILETMDELEKMGTEDLLRHRMLKFRKIGGFQEGLPVEPEKKVNMKXKEEPRPGLISDKVLQGEVNKLKEQILKAKESSSVDMDQNGLIEKLKREINYEISQAAKALGIEEKLTKMRQEFAKATDQQLTPAQFKDLEDLKNEFNENLATAPNHGRLQYKLNLLKEISEAKVFAEKYKKSLPLKAKVNEKFRQVLEXPXLKQKILGLKDEVEKSGVSAIEELDQELKEKVLEVREEVESEFVKALEASGVHVASRVGQSSMAMIKAKVDELNAEINQIIQDVIESTPDLKNKIEMLKLEAMKNKNPSNQSKAKMEVLEQQIKQGVAEVMSSAAIKEKYERLQAELAELSGGYDGSLIKEPTYA >KVI00286 pep supercontig:CcrdV1:scaffold_228:348255:349436:-1 gene:Ccrd_021481 transcript:KVI00286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae LRSILEINASSSFLPAASLTSSISIAPFRTNFEMRLPMVDCRSLIEFCRAFEQHKNMTPTNSNNHSRNNKKFNNASNSVNPLSHPFCDRSPFAALDILMLILVLGALGFLIIPYINIIYREAIEILPLVCDVIQEVISDAPIAYVVGVVAAFSGVIATIAAWEILEVKSRKCGKPNCKGLRKAVEFDIQLESEECVKYLSSGGTADNDVKPLELGQDHKELEAELKKMAPVNGRTVLIFRAPCGCPAGRLEVWGSKRIRRIKK >KVI00276 pep supercontig:CcrdV1:scaffold_228:260645:267331:1 gene:Ccrd_021471 transcript:KVI00276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF914, eukaryotic MVSVKEFLANKTVVGLLLGQILSLLITSTGFSSSELARRGINAPTSQSLANYVMLAVVYGGILIHRGRGLKAKWYYYLLLGLVDVEANYLVVKAYQYTSITSIMLLDCWSIPSVILLTWLFLKTKYRFKKIAGVAICVAGLVLVIFSDVHAADRSQNGSSPIKGDFLVVAGATLYAVSNVSEEFFVTTADRIELMAMLGFFGAILLPYTGFAAAMFLFYSGVPVLLKMSGSTMLNLSLLTSDMWSVLIRIFAYHEKVDWMYFVAFAAVAVGLVVYSGFDKETRSEVADGTEQSKYLDEEAGVTSSSKPNVASSSSNKHEFASTSKTNTESSIYKGKDSPIKKI >KVI00270 pep supercontig:CcrdV1:scaffold_228:339729:341360:1 gene:Ccrd_021479 transcript:KVI00270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEEDRESTRYWCYECNRPVIPILEVEAIKCSSCNGEFVEEMESVRSDHHHNHRHRLHESDTDPDPDPDRALSLWAPILLGMMSNPHRRRRFTRVEFEEEEEEENRDDSTERFRRHHFQHHRGGGESELDRELESIRRRRRRSSAPILQLLQGVRAGMVSESETSTENGANSNRNRDRVILINPYNQTIIVQGGGGDGGNPSQTHPIGSLGDYFTGPGLELLLQHLAENDPNRYGTPPAQKEAIEAMPTVTIDEPSVQCSVCLDDFEVGIEAKEMPCKHRFHSKCIFPWLELHSSCPVCRYELPSDQSKLNQERERSAVSLTGGIGEPENARNSEDADGRNTRRFSVSLPWPFSSLLSSTTGPQIGSSTTSTSMSGSGSGSGSSPRSHETRPEDYNH >KVI00271 pep supercontig:CcrdV1:scaffold_228:302914:306451:1 gene:Ccrd_021476 transcript:KVI00271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein MAETETPDGKNVIKIEEEIEGDLICTKNYRFSRIGEPVPIKSDADFKFDEESVPRRPLAVSEKFGVIFVAHPSGFCVARTKDVMDTAKELKNGGNGSCIQELGVADVPLGKVSILALSPNSSMLAASVEHNLYFFSVAGLLNKDHEPSFSKSPNGSSCIKDMQWSTHLEDQYVVLTTDGNLYRGAGQDVLSNLMDNVDAVNWSMNGKFLAVAKYEYVIILSSKFEEKSRIKLSFDSLLGDSDANCVVKGCVYGVFLQVQMDSEVSLCFPCSGLCQSPDGKEDNYLLQFITVKDGKITNVSKHFICIFGVEEDIDELLEGFGPEPSSNPVALTFCDAFLTINDDYIPFGNGPYMLVNYLDEWYF >KVI00280 pep supercontig:CcrdV1:scaffold_228:67322:67653:1 gene:Ccrd_021465 transcript:KVI00280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MPKILAFLCAIVVITPCLSSIRVEPPYSDVKDSFDAYNVSISNMGVPSLQFRCQSADDDLGYHTLKMQETYSFSFTIAIKMY >KVI00269 pep supercontig:CcrdV1:scaffold_228:329443:335464:1 gene:Ccrd_021478 transcript:KVI00269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCPELNTQIQTWLRDYDKIQSFAVKLIYIQLACALIGSLGVLYNGVSLINLGIGLFALVAIESSSQSLGRTYAVLLFSAILLDILWFILFGQEIWDISSEIYGELAIFSVRLTLLMQIIGFMVRSSSSLLWIQMYRLGPSLVDSTVPRDGDLDLRNSFMSPATPPVVRRTSGSADLLGGSIYDPAYYSSLFSDNQDEGSLHSGHNHRIGMDGSLSDPLLKPSLSKSFRATHITCSHLMKQRRSFFCGNAP >KVI00277 pep supercontig:CcrdV1:scaffold_228:266307:272626:-1 gene:Ccrd_021472 transcript:KVI00277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAAPHFISMGPSWCHVCHSEGIGCSTSYGVTSNWMRSSTEIPRTSDNSEARFRYKNPFLGDAQFNWWPIGRKNCLFKVSVAADYPDSVPESSSYVAKNGYHPLEELKNCKRANTSALLIFPGTIHSEPHEHISWAEYPYVIDEGGDIYFKVPDDANIMQDPEASNPVNALFGMDIPLFENQLLSVPESHISDNSIDDIPFFDDYYEDEVLGGFIEWSTPIDTAGAANMEYARRMDHPSNGVSVLGCLSPAFVDEELYLRRLFSIEDGNSYDRNWKDEEVNCSASIFYRLEIMRIELFSVYDILVHSIPEIVAHFSDNTMHCNLALRALCKKNGFLVEEANIIGVDSLGMDVTSETAAEKQIQQLLFPRARRKRLTRTRADASRRID >KVI00274 pep supercontig:CcrdV1:scaffold_228:295395:300274:1 gene:Ccrd_021475 transcript:KVI00274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEARDHLNKFKVGSVPTLFYIPDFISDSDQKLLLNHIYTAPVSKWKSLKNRRLQNWGGIVHEKGLLPQDLPPWLTKITERINEESCLYPSAINHVLINEYLPNQGIMPHQDGPAYFPVVAILSLGSHVVMDFTPHYSLTGNTSSIDDRGSAEGTDDEHLQYHXHPFSVALMPGSLLIFKDSAYSDYLHGIKDCEIQQYDKAVNATEVLRQQSVVERHDEDLKAIRRSSTRVSLTCRVVSKVHRNLFKF >KVI00273 pep supercontig:CcrdV1:scaffold_228:282883:285035:-1 gene:Ccrd_021474 transcript:KVI00273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim16 MGSGILARAFVQAYRQALQNASKSGVAQETLQNAVRRGNKVMTEQEARQILGKYDTLFERNAKNGSFYLQSKVHRAKECLETVYEAKDQPDGGT >KVI00279 pep supercontig:CcrdV1:scaffold_228:361103:364435:1 gene:Ccrd_021482 transcript:KVI00279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MPERVHELEFDEFDKLLGEIPRATVTPNTEEFGVFGSSKNPKNLYATPENGTFLDTFSDEKLSVRRVQFIEGNLLNDQSLESVFQDLSIKDRPILLSPTPSLVSSSTPFNGVDFNGQELVAIPMIPNQQQLFFDAQSYFHPSQPKSESHITWRNLENERHYHPMQMHQFCKSSHLESQPRPVQQAAPSLTQFMSHGMHGSNQKVPEKILTRSHGMNSLGSLKFGSFERKDPVSSGVNVKQQPLQKSADDFGERVYIMAKDQQGCRLLQKKFAEGTREDLENIFRDVILHVVELMTDPFGNYLVQKLLEVCDKHQHMQILRVITRKPGDLVRISCDMHGYAFVALVNKYLKTTRAVQKVVETLKTREQCSMVVSALKPGIIVLMKNMNGNHVAQRFLQYLKPEFNEKCLSHSDGEPRRRLVREITSNALILSQDPYGNYVVQYVFELQVPWATAAILDQLEGNCGDLAMQKYSSNVVEKCLKYAREERRICIINELMNNPRTGRGNKTPRSRATHEPVRQKGFVE >KVI00275 pep supercontig:CcrdV1:scaffold_228:194610:255111:1 gene:Ccrd_021470 transcript:KVI00275 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-isopropylmalate synthase LeuA, allosteric (dimerization) domain-containing protein MATSPAYASSFFPLISPNASTSVYQVAIHPYFKPTKPRPQKSFSILCSHRLDYIPNHIQDPNHVRIFDTTLRDGEQAPGASMTSKQKLHIAHHLAKLGVDIIEVGFPASNKVDLESVKLIAHEVGNTIVDGGHIPVICGLARCSKKDIDKAWEALKEFLYEILGEAIKAGATTLCIPDTVGANWPREFGHLIADIRANTPNIHNVIISTHCHNDLGLATANTLEDGMLKNKNTYEIMSPEDIGLLRANEYGLTLGKLRDGEQAPGASMTSKQKLHIACHLAKLGVDIIEVGFPASNKADLESVKLIAHEVGNTVVDDGYIPVICGLARCNKNDIDKAWEALKEFLYEILGEAIKAGATTLCIPDTVGANWPREFGHLIADIRANTPNIHNVIISTHCHNDLGLATANTLEVEEYTGMNLQPHKAIDGMLKNKNTYEIMSPEDIGLFRANESGLTLGKLSTNSSIAMAGSLSPCTATFFTPTAIVDTTSIINPMVTYFKPSMQAKKATLIMCSRRPNYTPNHIPDPKYIRIFDTTLRDGEQSPGASMTPNQKLQIARQLAKLGVDIIEAGFPAASVSEVEAIKLIAQEVGNATVGDMNGHVPIICGLARCIKEDILTAWDAMKYAKFPRILLFISTSEIHMKYKLKMSKZEVIEKARSMVAYARSVGFNDIEFGLEDATRSDREFLYEIVSEVIKAGATTIGIADTVGYCLPREFGQLVADIKANTPGIENVVFAIHCHNDLGLAVANTIEGMSSGATQVDVTINGIGERAGNASLEEVVMTMKCKGELLGGVYTGINTRHIVMTSKMVEEYTGMKVQPHKAIVGANAFSHESGIHQDGMLKNKSTYEIMSPEDIGLQRSDESGLTLGKLSGRHALKAKLTESPGATMTTKEKLDIARQLAKLGVDIIEAGFPASSEADLQAVKLIAQEVGNVAAEEGGHVPVICGLARCNKNDIDKSWEAVKHAKFPRIHTFIATSEIHMQYKLKMSKEQVIEKARSMVAYARSLGCNDVEFSPEDAGRSEREFLYEILGEVIKAGATTLNIPDTVGYNWPREFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLEVGVYIERAGNASLEEVSVMCLFVMLLVLDNLTKSISNRILAIVGANAFAHESGIHQDGMLKNKSTYEIMSPEDIGLHRSNESGLTLGKLSGRHALKSKLFELGYDIDGKELNDLFWRFKSVAELKKVITDDDLVALVSDEVFQPQVFWKFGDVQVTCGTLGLSTATVKLLDKDGIEHIACSTGTGPVDAAYKAVDLIVKAPVKLLEYSMSAVTAGIDAIASTRVLVSGENNLVATHAFNTLGFVGSGTGASMDIVISSVRAYLGALNKMLGLKKQSKS >KVI00283 pep supercontig:CcrdV1:scaffold_228:110657:114037:-1 gene:Ccrd_021467 transcript:KVI00283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHCMFIIYLVILLPLSNSYESAIADQVEFFTLVKDSLSGTSLIDWDDKPICNYTGVICDKEGYVVKIDTSGWLLSGRFPENICSFLPRLTSLHLGYNKIHGDFPRSVTNCSLLQELNITYTNLTGKLPDLSPMTSLRLLDLSFNFFTGAFPMSFINLTNLEVVNFNENEGFDLWNLPENVSRLTKMKSMILSTCMVSGPIPKSIGNMTSLVDLELSGNFLVGSIPREIGLLQNLQKLELYYNQLVGRIPEELGNLTQLTDLDMSVNKLTGNLPATICRLPKLEVLQLYNNSLTGEIPPVLENSTTLTMLSLYDNYLTGEVPRHLGRSSPLILIDLSENRLTGELPPEVCKGGKLLYFLALGNMFSGVLPESYGKCVSLIRFRLNSNHLEGKIPDGILGLPWVSIIDLSYNFLNGSIAQEIANARNLSELFLQNNSISGVIPPEISRAFNLVKIDLSNNLLFGPIPSEIGNLKRLNLLLLQGNKLNGSIPNSLSWLKWLNFVDLSRNLLTGGVPESLCDLLPSSMNFSNNFLSGPIPVSFIKGGQLESFTGNKGLCVSVYPNMASQNFSICSQTYNKKKVNCFWVIGVSVGVLFIGSILFLRRWFSTERDVVKHDQETTWTSSYFSYNVKSFHHVSFNHHEIIEAMVEKNVVGHGGSGTVYRIELSNGEVVAVKRFWSRKLKDGSSDDQQLMDKELKSEIETLGNIRHKNIVKLYCYLSSFNCNLLVYEYMPNGSLWDALHICKCDLDWPTRHRIAVAVAKGLAYLHHDLLPPIIHRDVKSTNILLDRNFQPKVADFGLAKILKGREKDSTTTGAIEILDKKLSGFFADEMINVLRIAILCTCKIATVRPSMNEVVQLLIQADRHGFDTCKSSNNTKEPN >KVI00285 pep supercontig:CcrdV1:scaffold_228:29593:35075:-1 gene:Ccrd_021464 transcript:KVI00285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase, alpha subunit MTSVSQSTVTFSGSLSSGIAASDVLRSSSNGVNGVPLRTLGRGHTGTRKKQISISAKVRKVKKHEYPWPQDPDLNVKGGVLSHLSPFKPLKEKPKPVTLEFEKPLMDLQKKIIDVQKMANETGLDFSDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHVFSITDKFVELHGDRGGYDDPAIVTGIGTIDGRRFMFMGHQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITSTELRKLQIADGIIPEPLGGAHADPHWTSGQIKAAILETMDELEKMGTEDLLRHRMLKFRKIGGFQEGLPVEPEKKVNMKXKEEPRPGLISDKVLQGEVNKLKEQILKAKESSSVDMDQNGLIEKLKREINYEISQAAKALGIEEKLTKMRQEFAKATDQQLTPAQFKDLEDLKNEFNENLATAPNHGRLQYKLNLLKEISEAKVFAEKYKKSLPLKAKVNEKFRQVLEXPXLKQKILGLKDEVEKSGVSAIEELDQELKEKVLEVREEVESEFVXALEASGVHVASRVGQSSMAMIKAKVDELNAEINQIIQDVIESTPDLKNKIEMLKLEAMKNKNPSNQSKAKMEVLEQQIKQGVAEVMSSAAIKEKYERLQAELAELSGGYDGSLIKEPTYA >KVI00278 pep supercontig:CcrdV1:scaffold_228:273494:281008:-1 gene:Ccrd_021473 transcript:KVI00278 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein FFNSIQFQLQRCRIPALLDSNFAFYLTLLQFLSINRFPQHPHSTLIRSASFSFTRIIFLGRSSWRLFASFFGIMKKAFDQTVRDIKRGVNKKVLKVPSIEQKVLDATSNEPWGPHGSLLADIALASRNYHEYQMIMSVIWKRVNDTGKNWRHGLTVLDYLVANGSERVIDEIREHSYQITTLSEFQYIDSNARDQGSNVRKKSQSLVALVNDKEKIQEVRQKAAANWDKFHNASGGVKYRPGSYPGPGGFDDDRYGSRDEDRNGYGREREWGDDKYGSRDGEERYGRDRYRDDEYRGRRSVDGDNYGRRSRSSDRERERAYEDEGQYSSRGSYAKTDDQSHDGRPIERKSSEQNLGVPPSYEDAVGGGRSPIYSERDGEVKSSPPPVTTRHETTVSSSPEAPAVAPPPVAAIPSPPAAASNKEHNGFDEFDPRGSFPAAPPTSGVAEMDLFGSPSDSFSVNALALVPTTATSEADSFTNSNPPGQTFVAASSSSISSSQPFEDPFGDGPFRAVSSTDGFSAPPQSASVFGQSAEPPQSVPESGNKFGYGGNYDQNTDILADLLPPAGPSQTNFQTQPGQLPSQTGFPSQTVFPSQTGFPAQPNQTAFPPSFQGQPGQPTPHQDGFHPHGGQTMALDGFQSQTGSVSQAGFPGSNGQPAHLSNFYGGFEPQGSSATVPPMVQVTTTPAAPQLNASSFYQQPQPQPQVPAITASTGALAIVPQQPSKFETKSTVWADTLNRGLVNLNIAGSKTNPLSDIGVDFEALNRKEKRMEKTSTTPMTSNVTMGKAMGSGSGFGRAGAGALRPQVNPMMGSSMVGPGGYGAGYGGMNQPMGQYQMQPPSTGFPPGSAMAGTYNPMMGRGGGGYGQQPYGGGGGGGGGYR >KVI00287 pep supercontig:CcrdV1:scaffold_228:341880:345075:-1 gene:Ccrd_021480 transcript:KVI00287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MDQMNTDQSLQQVGVKRPRTKIVCTLGPASRSVPMVEKLLKAGMNVARFNFSHGSFEYHQETLDNLRTAMDNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGVEITISTDYDIKGDDKMICMSYKKLAHDVKPGSVILCADGTISFTVLSCDTEKGLVRCRCENTAVLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLIEVRKLLGDHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNLQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPEVAVRTMAKICIEAENTIDYADVFKRIAANAPVPMSPLESLASAAVRTANSSRATLILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDSFDWSCSDETPARHSLIFRGLVPVLSGGSARASHAESTEEALDFALQHAKGKGLCKVGDAVVALHRVGAASIIKIVNVK >KVI00281 pep supercontig:CcrdV1:scaffold_228:149659:153522:-1 gene:Ccrd_021469 transcript:KVI00281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSPATAVRRNHLFMLLFIGFISCAKSDELQHLLNLKTSLAESNTSVFDSWRSTDSVCNFTGITCDDTGSFVREIELSRQNLRGSIPFDSVCQLQSLEKLSLGFNYLHGSVTEDLNKCSKLSYLDLGNNFFSGVIPDISSMKGLLYLYVNSSGFSGTFPWDSLENMMDLIVLSVGDNPFDQTPFPNQVLKLLKLNWLYMANCSIGGEIPAGIGGLTELINFELSSNYITGEIPHEINKLGKLWQLELFANNLTGKLPVGFRNLTNLQFFDASTNYLEGDLSEIRFLSQLKSLQLFENQFSGKFPPEIGEFKQLMNLSLYRNQLTGTLPQNLGSWSDFNFIDVSENYLTGPIPPDMCKNGKMTELLMLQNNFSGEIPSSYADCKTLTRFRVSNNMLSGVVPSGIWGLPSAEIIDIEMNNLEGSITSDIENAKTLGQIFAAHNRLSGELPPEISKATSLNMIDLSHNQFSGKIPATIGELSQLGRLHLDNNKFTGEIPKSLRSCGSLSDINMAYNSLSGQIPAALGWLPTLNSLNLSSNQLSGQIPISLSSLRLSLFDLSHNRLAGAIPESLSIEAYNGSFAGNPGLCSQKVKYFRPCSSDSGGVSRQIGTLITCFSIGSAVVLVLLAYYCYVKNESRKDHQSRSLKDDSWNVKSFHVLSFIEDDIIDSIKEENMIGKGGSGEVYRVSLKNGVEVAVKHIWNSDSCCRKKSGSQTPMLGKQFGGQKSSEFAAEVETLSSIRHVNVVKLYCSITSEDSSLLVYEYLPNGSLWDRLHSSKKLGLDWYARYEIAVGAAKGLEYLHHGCERPVIHRDVKSSNILLDEHLKPRIADFGLAKVVQTDSTGGSTHVIAGTHGYMAPEYGYTYKVNEKSDVYSFGVVLMELVTGKKPMEAEFGENKDIVYWVCSKLKTKESVLSLVDSSIHEGFKEETIKVLKIAIMCTSRLPALRPTMRAVVKMLEEAQPCKLVGIIVSKDDDGKKERDKSFSTNVLL >KVI00282 pep supercontig:CcrdV1:scaffold_228:125593:127380:-1 gene:Ccrd_021468 transcript:KVI00282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 RING-type MNASSMLLSSVLLQEDNDEIISKDDAKYAEELQVQEALLASISSSQTTDSASSSTHKARTSLGSWLKNKEVEIADPTLNICKICLENQESWKMFKNSTCSHSFCYECTSKHATTKIQENNNTIKCPGLNCKSALDFNALRLIIPKDVLMKWDEMLCESTILESHKLYCPFADCSVLLINDDISISKIDCPVCRRSFCAVCRVPWHSEFTCKEFEKLNSKKKGKKDDGMAVS >KVI00272 pep supercontig:CcrdV1:scaffold_228:323795:328574:1 gene:Ccrd_021477 transcript:KVI00272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein MNAQLAAAEKLSECLSKQMAVLSIETEPVKNQNVKKELFDTIGISYDDTTFSSPGQEKSREILLKSKVLVPSCSTAANTNLKKSQQGAVKSSEPETARRRRDSLDRNWASIEPPKTTVKRILLQEDRQMSPGRLSLPTGPLKLNHQLSEGSMVSHDIPRGVLNISQSKGSQDVQIKRHFEGQSNSSLWDSSRSDSSKTPAASVLSLGSGSKTMPIISQDAARNLNFTLKSDPVVVNNPKFSQQLQSFPEASSNSTGSLAKNIFQKKSIEFSESSNKDTVKSKFAVGNGNQRPIVAESSSIWSRKSQESPFSAVSSAGSGTAFSGRSFSLEASTRESQVGEAVSSSIASLPVPVTSAPTSFVFKDAIPSTISAISLSKSSTNFGIKLGATSTLPLSGSSASFPSFPSTPSFGATREPMISSSIEPLANVELNTSKLELSKSNLDTSEISTPHVVSMPKFDLKPDVKSSAQTSPPQPVLSSGAPDMKLGFSVPSEPTTRREPSTIFFSGSQLNLSATNSPVLASNSKAEQPAVAPALSSSPAISNPVEEVGKENDNSDVALTQEDEMEEEAPDTTQIILGNLGGFGLGSAPNPGAPKQNPFGAPFGNAAANTPITSFTSPSTGGLFRPASFNIASSQPSQPSQQTNFGGFSSGFGSNNNQSNTGLGFGSNNNQSNTGQGFGQPARIGSGQQALGSVLGSFGQSRQFGAGLPGSVASPSPFGSGFGGSQTAGGFATASSSGGFANLASGGGGFGSLATSGGGFAAAPTGGGFAAAPTGGGGFAAAATGSVGFGGAPAGGGFAGAAPGGFGAFGSQGGSGFSAFGSTGGTGRPPSELFTQMRR >KVI09688 pep supercontig:CcrdV1:scaffold_2281:24035:32910:1 gene:Ccrd_011924 transcript:KVI09688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MPKKTKGRQKIEIVTITDDKSLAVTFSKCRSGIFRKLSELGTLCGAETAIVVFSPTGKLYSFGYPDVETIVDRYLVSSLFVESHPNANTEALTRQLADMLGHLEVQKKACKELDEIKKARERSYWWGAPTETLCFEELEKLKVALLELKKDSGKQAERLMLETANPTPTIHKTKGRQKIEIVTITDDKSLAVTFSKRRSGIFRKLSELGTLCGAQTALVVFSPTGKPYSFGYPDVETIVDCYLVSSHFVESHPNAITEALTRQLADMLGHLEVHKKAGKELDHTINNIMLIQSYLLKTKGRQKIEIVKITDDKSLAVTFSKRRSGIFRKFSELGTLCGAETAIVVFSPTGKPYSFGHPDVETIVDRYLVSSHFVESHPNANTEALTRQLADMLGHLEVQEKIGKDLDEIKKARERSYWWGAPIETLGFEELEKLKVALLELKKDSGKQAERLMLEAANATLSIPVDGVGPSTGVDGAGSSTPTIPVFGSIGVDGAGPSTPTIPIFGSTGVDGVGPSN >KVI09691 pep supercontig:CcrdV1:scaffold_2281:47347:53701:1 gene:Ccrd_011923 transcript:KVI09691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAWLSLSRNVIKVFSKGKLDLHPIAGWRIAIISFSPIKKFCGYLIDFSLSLSLTVCDRLYPENLKASDDDPSSYSRNNYLIQPQFPNLVGSVFITINPRGDDFSSLENFVKSAGMALSVSDLPAMYTLLSNSLSGDEALRKPAESTLAQSENLPGFSSCLMEVITAKDLVSQTDVRLMASLYFKNSINRYRRNKRDSPQRECSYGSRLLWHEVGKATNQYPVGNYNAHVVAYSDVNWPHVSEQFVTSLGLCFNPYVTQVIETALNKDLDNSWEVLPEPIQTIRIWLP >KVI09690 pep supercontig:CcrdV1:scaffold_2281:65148:65873:1 gene:Ccrd_011921 transcript:KVI09690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MSSQQPNMTPKKTKGRQKIEIVKITDDKSLAVTFSKRRSGIFRKFSELGTLCGAETAIVIFSPTGKPYSFGHPDVETIVDRYLVSSHFEESHPNANTEALTRQLADMLGHLEVQKKVGKELDEIKKARERSYWWGAPTETLGFEELEKLKVALLELKKDSGKQAERLMLEAANPTPTIPVDGAGPSTTTFPVFGSIGVDGAGPSTPTIPVFGSIGVDGPGPSTPTIPFFGSTGVDGVGPSN >KVI09689 pep supercontig:CcrdV1:scaffold_2281:337:794:1 gene:Ccrd_011926 transcript:KVI09689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGSLYNFSLIKLLAHVGIHVDAPGRMFDHYFDADFDVDSLDLEVINGSSLLVDVPRDKNITG >KVI09687 pep supercontig:CcrdV1:scaffold_2281:1879:2642:1 gene:Ccrd_011925 transcript:KVI09687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKLLIIRRCWLKVPKSHLHILEYLFNFLEIAMLLKLNCLLQLVHCLPRLLYEGSVHPKVLNDANSSGKLSSFFFKFQYNLLVWLTNFYLMYYTRRH >KVI09692 pep supercontig:CcrdV1:scaffold_2281:57530:58120:1 gene:Ccrd_011922 transcript:KVI09692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTPAKKTKGRQKIEMTKILDEKSLAVTFSKRHSGLFSKANELSTLCGVELAIIMFSPTKKPISYGVPSVEAILDRYMEQLPPPDPRMSQYMESYRNASIQQLNSELTDMIAQTQAEKKTGEELDLIKKDRQDRHWWNAPIETLDAEQLEKLKAAMLELRNSAEKQAERLMVEAATTSTPIIPGFRSMGADGAGPSN >KVH96418 pep supercontig:CcrdV1:scaffold_2282:27987:32328:1 gene:Ccrd_001494 transcript:KVH96418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGKSVVAVPTNVIYLSSILGQDGPNPSVHKCDWKCENEHVCGNMFRCKLTGLTHICDKNCNQRILYDNNSSLCRVSRQVFPLTAAEVQAVKGVRRKLDEEASSPPETCAFKRRRNGQFHPSPFERSFSAICSQVGDVMDMS >KVH96421 pep supercontig:CcrdV1:scaffold_2282:80411:82524:1 gene:Ccrd_001497 transcript:KVH96421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQDAWNVACSXTGNLMLPSGNFLVGPTTFRGPCKPKTMVVNVIGTVKAIPRKDWNPEADTWLNFEHVENLIITGPGQFEGQGDSGWWDCEKALGFHHCNGVKLIKVTSKNSPKNHISINACDGAIVDNVTLIAPKESPNTDGIDISATNGVHVNGGTIQTGNDCIAINGGSSNIHIDGLFCGPGHGVSVGSLGRNGKTDIVRNVTVINTTFTATQNGARVKTVPGGSGLADDITFSNITMVAVENPIILTQFYCPHKQCNDIAPVVHVSDVTFKDIHGTSSKPBAINILCSKSPNSCAGITLEQINIGAANPAQRVVSNCHNTQVQTIGVVSPPIVCTPASFISMDTVTHEQPSKDII >KVH96417 pep supercontig:CcrdV1:scaffold_2282:19183:22805:1 gene:Ccrd_001493 transcript:KVH96417 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH domain-containing protein MDVYNVPEHGNADAYLIQGTTDPNSQLTNPLLEPLEVMYNEGAPEFVIDQGMYYPSATNYGYICTGLESPGDWDDHHRVFGVDGQNIQFIGTQTESLPYVYYTPSYGYAQSPYNPYNPYIPGAMIGADGSYVGTQQYYMPSYENGATSPAYFPMVVQSGPDTFTSGTTDQFMDMAASTANRVDASGLNRNSLSAAATFTLNPGRVASNHSNAFGKVSEGFKSNGGTNKLPTSNSSVTSNSVSGPLPSLNLQGRGAQAIDNLSNGKTVSNHNQLKVALPSSNGMSNFGSSGNGRVVVDKARSKLSFSKITNDVNGNPNALTEQNRGPRTSTSNTRLTVKAYSTRAGNSDAQGNIIICMDDYNKDDFPGEYVNAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLNSAYEEAQKISVGDSKGCPIFLFFSVNASGQFCGVAEMTGHVDFHKDMDFWQQDKWSGSFPVKWHIIKDVPNPHFRHIILENNEHKPVTNSRDTQEIKYKKGVEMLKVFKNYASKTSLLDDFMYYENRQKLLQEEKARLLMKSYGTPVFVPVLHPPRKLNNFFGLASSGDSKDDNDINTCSDGKVAVASEKQNMFNVENNEDRRQVVVDGKPTEEDNDDVLRFRSLAISPERIEPKPVESSNVLTVGSMPVKVNGFDESCGFLTVGTIPLDPKALKGGNSKKMG >KVH96420 pep supercontig:CcrdV1:scaffold_2282:55102:59395:-1 gene:Ccrd_001496 transcript:KVH96420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MPSLQTALPPELANNAIRLYRECLRRAKYIGHKQHNTELVVQMVRQQFRKHLHETDPEKIQKLKDDAARGLINHILYEAEEMTGRKFS >KVH96419 pep supercontig:CcrdV1:scaffold_2282:49557:54874:1 gene:Ccrd_001495 transcript:KVH96419 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF125, transmembrane MEVEQQQEADELDQSLIPRKRNLHQTPFSTNNSSTFDGEMSSSSSVDGEEWKQQGEDEVVQDFIQQNGIKIDEDETEKEKQEYCVYYDTDKAFNVKQATLLSVTKTVDCLDRIATSGFQYQEYGNVESARGIVRVERTGLHGESSANGIDSTAHEKNHLQENTNVASHNQENGNGLYHVVNNQNAEHQNQNAEHQKHDEFSDGNLQPSEISFDKSSPSYINIETESSDIEVIKDVENEITEFDVETVLKKQDTHDLYCPNCNSCITKRVILRKRKRRIPAPGEDAKRNKSETPIPSQVNVVSSNSQAQNGSELSLDDVQPPASNEYDHQIEPDVFRCLSCFSIFMPTGTGFKLFRMFGNKSDEENNQRSQKQVGVKKHWFSSIFASDKVDQSNNEDQVQSAPSSTQESVTIEQVNAEESNNTNIGRIADTHDQLLTSYHIDSNAKSDYPGMFVVMPPANNNSNNGEPTDDDISSLQHDGLRLVVPPNVGSLIIDNSQMNQELDVTVQMNTSAESTNTMSEERTVQNNVEIHLEQPLKDVLTDKQIKVEELKKNESIEGKDTVITIDSKQLDPSSHQRSPGETGTSALQHVESGSQQGDATTLTGGRSLEIVKSMVYGGLMESIASLSVVSSAAGANADTLNVLALGLANIFGGLFLWDLKAERTRGSSEDRYQQLLGRRADFALHMVVCLLSYLVFGFLPPVVYGFSFRESNNRDLKLVMVAAASVICIMILAAGKAYVQNKSYFKTIAYYVTFGFMVSGASYLFGDLIVKLLEKMGIFHSGSVVNLVSIHGMNSKPALATF >KVI00768 pep supercontig:CcrdV1:scaffold_2284:38266:48094:1 gene:Ccrd_020979 transcript:KVI00768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAALSSASSCSTNMRIQFFNNNRYKKQQKQNVNFFKVRASSNEEEDCNTEECAPDKEVGKVSMEWLAGEKTKVAGTFPPRRRDWSESAISSGTPGTSADGAENTAALVAFGGLIAVAAASVVLFQVGKNPPQVQTLDYSGPSLSYYISKFKPMEIVEVSVPIETETSEQPQSSTPQVDSEVSETDIPTAAEQPESSTLQVVSETATSILAEQPESSLPQDSEVQVVSE >KVI06618 pep supercontig:CcrdV1:scaffold_2286:3860:6060:-1 gene:Ccrd_015031 transcript:KVI06618 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNRFIENMISSMDLFPLQQNYALLLCALAITLALYFLSLIPKTGKHKVVPEASGAWPIVGHLNLFRESSDLRPHVALAAMAAKYGPIFTIRLGIRRVMVVHSWRIAKEIFTTHDAIISSRPKYLAAKILGYNYAMFGVTPYGPYWSEIRRIISFELLSSRRLEQLKHVRMSELENSIKSMNKVWKEKKDGQGKVLVEMKKWFGEFNMNVVLRMVAGKRYSGAADGEEEKEMNKSREVMREFFHFMGTFVVGDTFLFLGWLDLGGHEKAMKRIAHEIDTMAGKWLNEHRRKRDSKEVLEEKDFMDVMISSLEAEGLAGYDADTIIKSTCMVLIASSADTTTVMLTWVLSLLLNNPHALRKAQEEIDMVVGTDRKVNETNITNLIYLQAIIKETLRLYPAGRLGGMREFSKDCTVAGHHVPKGTWLMVNLWKLQQDPEIWSNPSEFRPERFLVGNHKHVDVKGTNFELIPFGAGRRCCPGIALALQILHTVIATLLQNFDFSTPDDTPVDMVETTGLTNAKASALEVLISPRRSSTTNW >KVI06617 pep supercontig:CcrdV1:scaffold_2286:32907:37051:-1 gene:Ccrd_015032 transcript:KVI06617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MFLASRTTPMTSGIAATTLPDLSLQISSPAADCIKRPTCSSTTTDSNSSGSDLSHENGLNVFNHQYYPPVAADMGCLVTNGLQLDQPRLSLGLEMASFNPPPLHHPTLPLQLQRNSMLLQHQYGNQHYHQPQIYSQEFKRNSRMGSRVRRIVRAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGAAGLADMGMINPRIPRTLLQMEGDISPFDDKIDDTNNSSLNQSHNSIHPSRPTTLQTSQRGLWSLSMDTYDSSFSTEEYTPNCSTLGAIDITVNEREASLHLSEKDRKLENNSSNKSSNSSRLLNLEFTLGRPGRQMDERILE >KVH91564 pep supercontig:CcrdV1:scaffold_2287:64791:67583:1 gene:Ccrd_006417 transcript:KVH91564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13e LGNTKVDPNSVFPGPVQRPLSAFKSLVLLCCPPLSPSVPRRSQSREVMVKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRNARQAKAVKVFPRPAGALRPQVHGQTLKYNMKLREGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTFKAKLVIFPRRPRKTKVNLLIATQVQGPVLPIVREKPSVELVKVTEEMKSFSAYAKLRVERTNKRHLGARLKRAAEAEKEEKK >KVH91559 pep supercontig:CcrdV1:scaffold_2287:80041:81210:-1 gene:Ccrd_006418 transcript:KVH91559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MKTSSLFVVDLIVCFLILGTVFSDTTSFNKLQLPPNVTGPESAAFDRQGGGPYVTVADGRILKWKNPTTGFVDFAYTSPNRTKQVCDGTNDLKLGSTCGRPLALSFNYKTSDLYITDAFLGLLVVGFNGGLATQLSGGYKYLSGIDVESYTGNVYVTDASLTYDIRNMTQPGFTPDSTGRLLKYDPRTQRVTVLLSGLSGGGGPAVSSDRKYVLVPDYVKKQIQRHWVQGPKRNTNDVFMTDCGIPKNIKRAVNGGEFWVAVEKMSEAQGLRVNGSAMVLQTVALSQFLNMSIAVVQEMNDALYVGSSRTDFVGVYTK >KVH91565 pep supercontig:CcrdV1:scaffold_2287:54974:57715:-1 gene:Ccrd_006416 transcript:KVH91565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MMRGYNVEYAGINEGSSPTGNKIKKISILPLIFLIFYEVSGGPFGVEDSVKAAGPLLALIGFLVFPFIWSVPEALITAEMGTMFPEDGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALSEGYPRILAVLALTIALTYMNFRGMTIVGWVAVLLGVFSILPFVIMGLVSVPKIEPSRWLVMDLHTVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKALFYALILVVVGYFFPLLVGIGAVPLQQELWTDGYFSEIAKIIGGVWLRWWIQAGAAMSNMGMFVTEMSSDSFQLLGMAERGMLPEFFAKRSRYGTPSIGILFSASGVILLSQLSFREIVAAENFLYCFGMILEFIAFVRLRIKYPAVARPYKIPVGTSGAVVMCVPPTILICIVLGLSSVRVMTVSIVAIVIGLVLQPFLKLIEKKRWIKFSTSANLPDLHNESLVY >KVH91561 pep supercontig:CcrdV1:scaffold_2287:12544:14686:1 gene:Ccrd_006412 transcript:KVH91561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MACSRPPYWLTLLLIHVLLTCSLVLSDEEDGKKLLKFKNSLLNTEGLSNWNITSAPCNSKPQNWTGVICNDDGSVFGLQLENMGLGGNIDMDTLAEVTSIRTLSFMNNNFEGTMPNVQKIGPLRGVFLSYNKFSGDISGDAFSGMSGLRKVELANNDFTGKIPISLTQLPLLVDLQLQNNKFEGEIPDFDQKDLKVNFANNRLEGSVPQGLGNQDPSSFAGNNVCGKPLGSCKIKKRNTLKIIIIAIVSLVAVLAAIVIAMFLFRAQKRGKNEYRNQIKKTDRNNTYKANPNEIQMHNQKEIYKRTDNGGKLHFVRTDRERFELEDLLRASADVLGSGSFGSSYKAMILDGPAMVVKRFKEMSNVRKEDFHAHMRLLGSLSHPNLLPLVAFYYKKDEKLLITDFAVNGSLASHLHVKRKPDEPGLDWATRLKIIKGVARGLDYLYQELPRLSLPHGHLKSSNVLLDDAYNPLLADYALVPLINKEHAQQLMVAYKSPEFTQHGRTTKKTDVWCFGILILEMLTGKFPANYLEQGKGGKPDLGTWVNSVVREEWTGEVFDKEMKGTKNGEGEMLKLLKIGMCCCEWDITRRWDLKEVMEKIEELKEREEDEEYSSYASEGDANSSRAMDDDNFSFSVTS >KVH91562 pep supercontig:CcrdV1:scaffold_2287:47578:54723:1 gene:Ccrd_006415 transcript:KVH91562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MVLVRLLRRVTKSENEGIMDIHKIAPVQHCESGQYLWSQLQLYQYQLSTPTAITTTCGSKIVFQLKESLKSCSDSPFNKLTYCNFLAMIYAILKLTHGELGSSVNFGARFLGNLCSLKFARFIHSVGPNEFEKGMDNGPVDTQENPGFFEGSNRRWGDANTSTGRNFGVFEGLSRRLTNIGTGSRDARPLGRDMNFVKGMMNDRNDNRYGIENNADIVHIKIMRNNTFVTVTDSKGNKKMGASAGCLAEMKGGPKVSKYSAEATAEHVGRVAKSMGLKSVVMKVNGFTFFKRKKLAILSFRDGYTNSRSDQNPIVYIEDTTRKPHNGCRLRKQRRV >KVH91563 pep supercontig:CcrdV1:scaffold_2287:37668:45360:-1 gene:Ccrd_006414 transcript:KVH91563 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MDVIKSQQLSARSIEKVVVHPLVLLSIVDNYYRVAKDTRKRVVGVLLGSAFKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHEAMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFNDYVPNPVLVIIDVQPKELGIPTKAYYAIEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLREIRGYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDQMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPATVPPVAGS >KVH91560 pep supercontig:CcrdV1:scaffold_2287:25899:29594:1 gene:Ccrd_006413 transcript:KVH91560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEQDGVGALRTRSKVAPDWTVEESLILVNEVSAVEADCRDTLASFQKWKIIVENCNALGVNRNLNQCRRKWDSLLSDYKKIKQSGSKKASFNSDLFKVIEWYVREYEGGCDTDPDSDPEAVPEPVLASMVQSVTSGSKKQRSKIIPQKRSIEDTPKPKRHIKSEEIKVEESCSEPTNDILNGSMRPEETNQEQQEQAMADTLRENAELIEAIVKEDSMNDESTIDLTRLNGDKLIVCLSNLVVALDQLSEFVQ >KVH87819 pep supercontig:CcrdV1:scaffold_2289:43814:44811:-1 gene:Ccrd_024870 transcript:KVH87819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHSRVERIPPSXQKPRFFISICNPFYSFLPPI >KVH87818 pep supercontig:CcrdV1:scaffold_2289:41004:41585:-1 gene:Ccrd_024869 transcript:KVH87818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGSTNQYKGIADCVQTILREGPPALLKVCDPFILLKTLRKSIPAFEIATVHSAIRVDLTPKKLEKQPPKEHKRFNLKKLTYDKKKQKLIERLNALNAAX >KVH99883 pep supercontig:CcrdV1:scaffold_229:189618:192463:-1 gene:Ccrd_021887 transcript:KVH99883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MENRRLSMEGDSNEELQIKLLTPDRHDRKGGMKTMPFIIVNEAFEKVASYGLMPNMIFYLMEVYHMEAATGTSILSVWSAFSNGLSIFGAIISDSYLGRFRVIVMGSISALLGMTFLWLTTMFPQLRPSSCHELDSSCSPATATQLAFLFSCFGLLSIGSGCIGPCSIAFGADQLRKHDRASIDNLRIIDSYFNWYYASIAISTVFAFTFVVYIQDQFGWEVGFAVPVLIMLCSTLMFLLGSSLYVKIEVSQSPFSGFIQVLILAFKNRKISLSSDDCYNHANGMDRVELTESLRFLNKACVVRDSNMDSSVLNPSSLSTVEKVESLKSLIRLVPVWSSGILLSTVASQNFPTLQAKTMNRQVTSWFEIPAGSFNVFLVLTVSIWIVFYDRILVPLLAKHTHEPRGLPPKTRMGIGFLISVGAMVVSAVVETVRRDLSRSHDNPNTTIVMSSMWLVPQYALLGVAEAFNAIAQIEFFYSELPKSMSSVVMALYMMSVAISGLVGSLLISVVDSVTSEEGKVSWLSSDINEGHIDYYYWFLAFVSLLNFFYFLICCRVHRSISPEKSKLSDELIGEEDSDIKHGSSTVDQS >KVH99867 pep supercontig:CcrdV1:scaffold_229:155689:156864:-1 gene:Ccrd_021891 transcript:KVH99867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNHIKSIKTPFFIVVFFILFVFDAFAQPNSTHSAPDIVHPVHSSVVIIIGILCAVFSLTFLILACLKFCNSDETTLQPAHGILSSRSRFSGIDKKTIESLPFFRFSSLKGSKEGLECVVCLSKFEDSEVLRLLPRCRHAFHMNCIDKWLESHSSCPLCRYKFDVSDVTNIVCTNSLRYPQDDGLNNLEIFVRREHDGSSRSSRFSIGNSFRKFMKSPKEDVLIQYGDRRLLDKFKHRIIVSDIVGKSRWSDVNSSDLMLLNSKMLNEVSSKRFSPSSSGRIEIDIEQVTKFKEDMGRRRLYDLKVGKTADSGETSISNSQFPRLTKDEESEGMEKRSMSEITNVSRFRESRSSYWTSSQKDERLQSVWLPMAKRTIQWFTNRERNSFESRT >KVH99866 pep supercontig:CcrdV1:scaffold_229:158235:164090:-1 gene:Ccrd_021890 transcript:KVH99866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease, phosphorolytic domain 1 MAVDRADGRTPNQRRPVACTRGILHRAHGSASWAQGDTKVIAAVYGPKAGTRKNENPEKACIEVIWKPKTGQSGKPEREYEMVLKKTLQSICLLNVHPNTTTSIVIQLLPCAINAACAALVDAGIPLKHLAVAICCCMAEGGHILLDPSKIEEQKVKAFVYLVFPNSMVSVLPQGSLRLGGELMEHGIITSVTHGMMAVDDYFSCLKLGRAAAAELSDLLRSSLKLKAGNDPSKAG >KVH99884 pep supercontig:CcrdV1:scaffold_229:202393:204282:-1 gene:Ccrd_021886 transcript:KVH99884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphorylase MLTKIISFFIFVLIFVSFQERINGALDESTKKMIEKANKNGPFLGLVIPNMFEMNPLLSNPNYKSTKLVIDYAGRRFRFGKIYKKPVILVMSGMGMVNAAIATQLLLSLFEIEGVIHYGIAGNANPNLNIGDVTIAEYWSHSALWNWQRYGDGPEDPLPFEGEDGFTREIGYMKFGTYSTKGEDNLLNNVWYQAEEVYPVDGTPEQTKQVFWIPVDSNYLSLSKSLEVQLAVCFLLFLSVFYKEKTAYIIFLYCGSRMIYWKLKLEDCINATTCLTNPPKVTTVQRGTSANIYLDNAAYRSFLYNKFNISPVEMESAGVALICFQQKVPFITFRALSDLAGGGTATSNEANTFSGLSAENSVIVMVEFVKLLTGYNRKLINSFW >KVH99863 pep supercontig:CcrdV1:scaffold_229:74959:77666:-1 gene:Ccrd_021895 transcript:KVH99863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MASSLSFSTTGNYGSRSCMPVSGHGSDGCTFGQASFPKRSKSRELSIVSVATHLPAIVLPPSVSRKEVAKERKLVAWTSVRHERWEGELVVEGDIPQWLNGTYLRNGPGLWHIGDYDFRHLFDGYATIVRLCFENGHLMMGHRQIESDAYKAARKSNKLCYREFSEVPKYDNFLAYIGDLAKLFSGASLTDNANTGVVMLGDGRVVCLTETIKGSIVIDPSNLDTLGKFEYSDSLGGLVHSAHPIVTDSEFLTLLPDLLNPGYVVARMEPGTNERKVIGRVDCRGGPSPGWVHSFPVTEHYVIVPEMPLRYCAQNLLRAEPTPLYKFEWHPESKGYMHVMCKASGKLVASVEVPLFVTFHFINAYEEKDEDGRVIGVIADCCEHNADTTILDKLRLQNLRSWSGKDVLPDARVGRFRIPFDGSPNGELIDALNPDEHGRGMDMCSINPAYLGKKYRYAYACGAQRPCNFPNTLTKIDLEEKKAKNWHDEGAVPSEPFFVARPGATREDDGVVISMISDKNGEGYALILDASTFEEIARAKFPYGLPYGLHGCWVPKS >KVH99871 pep supercontig:CcrdV1:scaffold_229:284205:286762:1 gene:Ccrd_021879 transcript:KVH99871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MVLLKLWRWYQNCLSVHPVKTQIISSGLIWGIGDIAAQAVTHSTALKNKSVSISKEDQELRIKWKRVATTSLFGMAFVGPVGHFWLRLQYQPKSMRFVATKVALDGIIFGPVDLLVFFSYMGFASGKNVNQVKEDVKRDFIPALLVEGGIWPIVQVANFRFVPVRYQLLYVNLFCLLDSCFLSWLEQQQDAVWKKQWFKCLFEVKQHKNQEG >KVH99864 pep supercontig:CcrdV1:scaffold_229:148443:153806:1 gene:Ccrd_021892 transcript:KVH99864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MLTAYSTQPQYKTTMNRLLYESVLKGDLITFLKLVRENETLITERVLESLNTVLHLAARFGHLELASEILRLCPEMVLAENVDLETPLHEACREGQVEMMKVLIAVDEGVVGKVNCRGESVFFVACERGRMEVVKHLIEFQWLLMHELDAFVCSIHVAAAAGHTATSQGYSPLHLACSKGQIDTIRELLDLDQDLSCLQDHNGWTPLHWASMKGRVGIIGEITSSSRESVDIVTSHGETVLHLAVKYNQFDALRYLMETLNVTELINVQDNDGNTVLHLATVAKLTTVVTYLLKRGVDVNAINRKGHTALDVVESDGSNSAALQIVPALLEHGAKTCHQLPRTSRDIQEHHFMITRSRKQHNNIDSPTQHYRRYKRKRSKQIELQNEGLRNARNTITVVAVLIATVTFSAGLNPPGGFHQDSGKATMGTKSPFKVFLVCNIMALFLSLGIVNVLVSVIPFRRKSMMRVLVATHKIMWVSTMFMASAFIAGIWTILPEGSGSRWVTIELMVIGVGCMLVVLSGLSVLLVKQWSRKQEWRRRNEKKKMKAGSPNGSVNSRVDELHFVKNYSRESSSNSDIDSSDHGYHVY >KVH99873 pep supercontig:CcrdV1:scaffold_229:349142:352398:-1 gene:Ccrd_021874 transcript:KVH99873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGGSSRDAAARRRRIAERGSERLALITGRVQSISSSPLTPPVAQPHHSSTAPCPPSISRNSDQVPTSDENTVSPLNTETAGDDVENDVQPVMPKCETITETYPQPSFTPSNTQSFSTTLTEPNPPISTSNKSQKSESQTRLHETLTPNRIRPAITASQDIRKICSVITALLVLFSYAGFPILGSDIIKNLVLSRPLILLLLTNITIVAAPLLLEKVKQKERRGSAIGETGFASNLGTALEWGMLMKTGTSALFMDCSVYSVVVICGISFLQKLGW >KVH99860 pep supercontig:CcrdV1:scaffold_229:61399:67733:-1 gene:Ccrd_021896 transcript:KVH99860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mammalian uncoordinated homology 13, domain 2 MASLFSRERILGQSKRDSAGSAMNGTTNSFRFTSAAAAAPTTLDPLPSPFGDLTPTLSSTDLRETAYEIFVAVCRTSTGKALSYTSSNASAYTPDRSSQSPSLSGSPSQRSITSAAASKMKKALGLRSSSSAGSPGSAGSGTGSGGKGKKTVMTIGELMRIQMKISEAADSRIRRALLRISAGQVGRRVELMVLPLELLQQFKASDFTDHQEYDMWQKRNLKMLEAGLLLHPHVPLGNSNTASQRLRQIIHGALDKPIETGRNNEPMQVLRSAVMSLANRSSDGLSESCHWADGFPLNLRLYEILLETCFDANDESSIIEEVDELMELIKKTWGILGINQMLHNICFSWVLFNRFVGTGQVDNDLLYAADCQLVEVAKDAKTTKDPAYAKILSSTLTSILGWAEKRLLAYHDTFDKENVNSMQSIVSLGVSAAKILVEDISNEYRRRRKNDVDVARSRTDTYIRSSLRTAFAQASYFCSYIIMEKADSSRRASRNQPNPLPVLAILAKDVGELANKEKKMFSPILKRWHPLAAGVAVATLHVCYGNELKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPFEAEAAIANLVKGWTKMRLDRLKEWVDRNLQQEVWNPRANQEGYAPSAVEVLRIIDETLDAFFQLPIPMHPALLPDLIVGLDRCLQYYTSKAKSGCGSRNTFIPTMPALTRCATETKFHGVFKKKEKPANLQRRNSQVATTNGNNNAFGVPQICVRINTLQRIRTELEVLEKRILTLLRNSESAHVEDFSNGLGKKFELTPAACLEGIQQLCEAMAYKIVFHDLSHSLWDGLYVGELSSSTIESFLQELEQNLMVIAETVNERVRTRLVAEIMKASFEGFLLVLLAGGPSRSFTRQDSQIIEDDFKSLKDLFWANGDGLPMDVINKFSATARDVLPLLRTDTETIIERFRRLTLEAYGSSAKSRLPLPATSGQWSPSDPNTLLRVLCYRNDDASSKFLKKTYNLPKKL >KVH99876 pep supercontig:CcrdV1:scaffold_229:303666:308346:-1 gene:Ccrd_021877 transcript:KVH99876 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MDNLREIQGKMAHDPHCVFSYSRYRRQVWVPGPVIVGAGPSGLAAAACLKENGVPSVVLERSNCIASLWQLKTYDRLRLHLPKKFCELPLMPFPEDFPTYPTKQQFVEYLEVYAKRFRIEPVFEQSVESSEYDESVGMWRVKSVGMKGEEMEYVCRWLVVATGENAEAVVPKIKGMVEFCGEVKHTSEYKSGSVYKGKKVLVVGCGNSGMEVHVLPRDMLGKSTFGLSMWLLKWFPMRLVDRFLLIVSWLVLGNTAKCGLDRPVVGPLELKNLSGKTPVLDVGALAKIKKGDIKILPSIERIGHHGVQFVNGKIENFDAIILATGYKSNVPYWLKEKEMFSDKDGFPRRPFPNGWKGANGLYAVGFTKRGLLGASADAKRIAEDIGKCWKAEANHLVTITKRSFSLQP >KVH99861 pep supercontig:CcrdV1:scaffold_229:42917:62013:1 gene:Ccrd_021897 transcript:KVH99861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTAEAMPWVGLYVAVASLICTLAMAADVFQGFRQRKLWFPCKFFTLNAASITLIAIAMKLPVDLTTNISNDDAFIYPNWSIRSTSIYFLLTMLANFLPSLGGMDDKELFTNIIALGILIITIIVNMFIQLAVIHDFLKLYVIDMSIITIFLLWPFWVAFTVPASTRILEYQYEEMHRSTLDCQEIKFSPKELTHYVKKYWMIAETGNPQFVIASSPICSASGFKEEPNASNNNARSEIKEYIGYALQIEEEAKLSKRMLKNMLKSITKLLYQSEKKQPRNLVKFLEKSTGFNGVAEFDNDQVPPLHPEETNNCWSLIAITLTAVALALPNIENGQVKGFKASNSNTRSEIEEYTSYVLQIEEEAKLSNRMLRNILGSIAKLVHESEKKQPRYLLKFLEKSIGFNGVIERVIQHQYQELHRSVLDCQEIKFSSKELTHHVKKYWMIAETGNPQFVLASSPFCSASGLVCSIIAAQSILSLIGMLEYTPHFWDGKSDYKWSINVILTIQYVRMVVGSIAPTFRCITTTTYFNLSKEWSINHINMFRVEKHWTQRLRHWKRNHYTSHIPGRHCKKVFNHVKNMILNLCIALQMTLVVICKTICLVPTCIMLLFCSCCHFCNSLLKRFREEPNASNNNARSEIKEYIGYALQIEEEAKLSKRMLKNMLKSITKLLYQSEKKQPRNLVKFLEKPTGFNGVAEFDNDQVPPLHPEETNNCWSLIAITLTAVALALPNIENGQVKGLLASMSEGLQFVTHIEETLDANGDLVKARKTARRVWTEVEVYCSWLHIDLQMKAHKGKMSQEILQWLSDEAIQIVIHSMYRISQTILLHCNEQEDWPTDVELFEWITTIIADLLCACFTNLPRVITLKCHHDAIEKREESIRTAAQLLGKSKTILNILEGRQLPDLDQESMAYIDKWQALPKSQVFLRNFEDASSFR >KVH99875 pep supercontig:CcrdV1:scaffold_229:333569:342861:1 gene:Ccrd_021876 transcript:KVH99875 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein MHTTLKEGGKHHDKTKLLGVHSKKRRVALVGISYGVVTPEAYWKSVLPNSHMPKAIKDLLYTPAEWTEDKSTAVGVGKGGVAVDTRKPGKGTHVGVEKGGVAVHTGKPGKRTDVGVGKGGVGVHTGKPGKGTDVGVGKGGVAVHSGHKGKPVYVGVHPGANPFNYRYAASADQLKDDPNVALFFLEKDLQQGKEMNLHFTKNTNQKSTFLPRKVADSIPFSSNEIPQIFKDFSIKPDSMEAELMKQTLIECENKGIEGEEKYCATSMESMVDFSTNELGKKVKAISTEVNAKKSTPLQKYTIAGAKKLAADKAVVCHKQNYAYAVFYCHKTVSTRAYSVSLVGADGTTAKAVAVCHTDTGKWNPKHLAFQKEGRMRFIYHTTVLFPVKRTYHSGSSCLEVG >KVH99879 pep supercontig:CcrdV1:scaffold_229:229258:231924:1 gene:Ccrd_021883 transcript:KVH99879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISILQIKRSLIITGWFCFFHRRVFSCFLQFILLQDNFGITVAYTAPTRHGCLKVVENNYCAPNRGGVRCLFILNFMFAIAFGLLAIFLGSTLLTLGRSGCSIPLFWCYEIASWGLVTLYGVTASLLRRKTVMVLDDGDLGGQMIGVEMLEANPVEFTPEMERRVNEGFRSWMGTSYLSDDEEDEEYLEVSHMNSYRRRV >KVH99886 pep supercontig:CcrdV1:scaffold_229:180750:183118:1 gene:Ccrd_021888 transcript:KVH99886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphorylase MKLLVFVISISIIVLFLGDANGEVFGNTRKLIEKANSNGPYLGVVIPNLFEMNPLLNYPEYKATNLVIDIGGRRFRFGSIGEKQVILVMTGLGMLNAGVTTQLLLSLFDIEGVVHYGIAGNANPSLNIGDRYGNGPENELPLEAFGDYTRDLGYLKIANYSTDLGTDNFLNNIWYQPEEIFPVDGTPEERQHAFWVPVDPTYFELAKNLEALTLEGCLDADTCLSTPPKVTTVEHGTSASIYLDNAAYRSFIYDKFNISPVEMESAAVALISYQQRVPFIVFRALSDLAGGGEHSNEADTFTPLAANNSVKVAVEFIKLIPIQNKISSVVGI >KVH99865 pep supercontig:CcrdV1:scaffold_229:125406:142002:-1 gene:Ccrd_021893 transcript:KVH99865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MGHEIQYILESKRLVWLVAVVFAVVILFQYFEFPYGDVASSLFSAGRAQPPVSGSYPPQASPQIPKPLGNITRFDGSNYTRPVDEMVDNAIDKGNDVGIENDFESERDGDTDEFTRTDDGHGVSGDQIEEIEGLAPEKVRQFNGDLSTNNVNSSTLQEKVTGAASPITPFLLSPASSPDSLTSKTTVDATAIAPSTALDNSTSKPNIVSPNSAPNFKEPVTSLKNPNLPANDSSMKEVPLVKENHETPMGDVVTVSDMHDILLHNQLLDAKLQIENAPANDNDHALYPPLYRNVSRFKRSYELMEKTLKVYVYKEGAKPIFHQPQVVLSGIYASEGWFMKHMKENKHFVTNNPKQAHLFYIPFSSRMLEEKLYVVGSGSRDNLVQHLKDYIHLIAERYDSWNRTGGSDHFLVACHDWATDETKKSMNSCIRALCNSDVKKEGFELGKDVSLPETYVRSPQNPLRELGGKPPSQRSFLAFFAGQMHGDVRRILLHYWENKDPDMKIFGKLKKSKNNRNYIQYMKSSKYCICAKGYEVNSPRVVEAIFHECVPVIISDNFVPPFFEILNWESFAVFVLEKDIPNLKKILVSISERRYLVMQQRVKKCCIQMGHILQKLSCVVGVVFAVVIVFQYFEFPYGDVISSLFSASKIQITETGSYPPEKSYPFPQILADIPHGAEGTEPTDVHDKGIGTTYKGHDAHIRKDTRKKELDDSIDLRGNGVSGNQVVEKQNFKEHKASLRGIVPLANVSSVKEVSCKKQKRNVVSISDMYNILVHNRASSHSMKPRWPSRADQQLLEAKLQIGNAQFNEEDHNLYPSEGDKPIFNQPERVLKGIYASEGWFMMQMKASKRFVTRKPKEAHLFYIPYSSKMLKATLSPNSYDRESVVPYLKNYLDMISGRYSFWNRTSGADHFLVACHDWGADETRQFMGTCIRAICNTDVEKAGFQLGKDASLPETNVRHPENPLRNLGGKLPSKRSVFAFFAGKMHGYLRPILLQHWENKDPDMKIFRRLPKMKDDKNYVEYMKSSKFCICAKGSEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLDWESFAVFIQEKDIPNLKNILVSIPKKRYLAMHERVKQRTTKWIWLFGITFAVVLTVQYSELPIFSGISFLLSGARASFLRETYIPYGEKKSFKSHDSNDSYSGDNRSIINSEAPLSSKEDIGTKSNSSINSTEKSTKNGSSLSILSMDDKKKPKMETLPVMSISQMNELLQTGRSSPHLVPSQSSSLVDQQLLEAKSQIENAGITNNDTELYAPIYRNVSMFKRSYEMMEQMLKVYIYKDGEKPIFHDWILEGIYASEGWFLKLMEANKQFVTEDPSEAHLFYIPFSSRLLELTLYVPHSHSRNNLIQFMKNHTEMLITKYPFWNRTDGSDHFLAGCHDWAPAETRGRLLNTIRALCNADIRTGFNIGKDVSLPTTYVRSAKNPLKDVGGEPSSKRTILAFFAGYMHGYVRPVLLSHWGNDTDMRIFGRMPHVKGNKNYIDHMKTSKYCICARGFAVHSPRVVESLFYNCVPVIISDNYVPPFFEVLNWESFAVFILEKDIPNLKTVLLSIPEETYLEMYERVKKVREHFFWHSEPIKYDSFHMVLHSVWYNRVLGTNLS >KVH99885 pep supercontig:CcrdV1:scaffold_229:165614:169286:-1 gene:Ccrd_021889 transcript:KVH99885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MMLSPVVFHSPVAIITKDEEEKARKIKMSEIIERYKDKVSTLPKQESWMNNHVYKYQGFWYHQGFWYHSNASFSVEAIMATQDDFKAKPTDVFLASHPKSGTTWLKALVFAIMTRTKFKSGFSSSSTHPLLTISPHDCVPFIETESFLNNPAYANGLMATHIPYNSLPESIVSSNCRIVYICRNPKDVFVSYWHFMNKVRDNASASIKLKDVFEMFSSGISPVGPFWDHVIGYRKASLERPDKVLFLNYEELQKDANNEVKKLAKFVGYPFTEEEEATGRVEELINLCSFEKLKEANKANKDGDETSNVLSNEFFFRKANVTKDEEKSRKEKMSEIIERYKEKVSTLPMQESWMNIHVYKYQGFWYHQGFWYHSNASFLVEAIMATQDDLKAKPTDVFLASHPKFGTTWLKALVFAIMNRTKFKNGFAYSSTHPLLTISPRDCVPFIETESFLNNPSHANGLMATHIPYNSLPESIVNSGCRIVYICRNPKDVFVSFWHFMNKLKDNASASIKLKDAFEMFSSGITPNGPFWDHVIGYRKASLERPDMVLFLNYEEMHKHANIEVKNLASS >KVH99870 pep supercontig:CcrdV1:scaffold_229:288241:290636:-1 gene:Ccrd_021878 transcript:KVH99870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae/L37e MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRNVPRRFKSNFREGTQATPRSKGAVASA >KVH99869 pep supercontig:CcrdV1:scaffold_229:35372:43315:-1 gene:Ccrd_021899 transcript:KVH99869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFTIMVMMRIPRAMMLVKSSLSSIPPKEGRKLANMVMMRIAKAMMFVKSSLSSIPVKEGRKLDNMVNKKTILIDFTIQSSLSGSSDRSTGSFSATAINVMEVALRVKNLHGNQSFRWRKPWKTSAAIARVQRRDAIATYSPTHGMASFVEFILLDKGKMKI >KVH99878 pep supercontig:CcrdV1:scaffold_229:272692:279044:-1 gene:Ccrd_021880 transcript:KVH99878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGESDAMIQQHPPHELESELAQPPDPELAQELASTLAQVLEPVLLAQELESSKAPSSSQAPEPTLEPVLAQELESTLEQAPEPALTQEPEMVPVVPQAPETAQAPEPAQINLPCQDLLNDGRRREFVDTCVPLYEASITGDWKTANEILQNRRYQLVRYSITEHCDTALHVAASASNTESTKFVENLVKMMRMEDLQLQNKGGNTPLCLAAAAGNVDIATIMVDMNQELLKIPNCSRQTPLYIAVLFRNKAMVNYLYDKSPEMDALNTALKIFGRHPDIPKRENALGLLAKKPCAFNEIKPHIVWRIVITCNKVGPVAKESKAMQLLKEIWASIEEKPKSEIDGILRGPPTVKDRKRTYPSRVLFVAAEFGNTEFVVELIRKYPDLIWKQNDDGQSIFHIAVSHRRESIYNLLYEIGSTKAFITCLKDRKGNNMLHLVGKKVEKNQPPDVSGVAFQLQRELLWYKEVESMIPPHYKDQKNDDDQTPHELFTKTHKDLVSEAEKWMKGTASKCMVVAALIATIVFGVAFTIPGGYNENNGFPLFLHEGPFIVFVVLDAISLISSATSILMFLSILTSRYAQKDFIESLPKKLMVGLATLFFSIMTMMIAFSVSFFVLYRHRFIPIAIVISVLSLIPIIAYAKLQYPLLVDVFRSTFGSRYLFKPKERILYYQNPRV >KVH99859 pep supercontig:CcrdV1:scaffold_229:12005:13562:1 gene:Ccrd_021900 transcript:KVH99859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant METPPQFFTLDKRHSDVKTEHFIIDDLLNFPTDEILPDDHDDHKIHLLPYNNNNNTTTDSSNLTTGAAAVDSCNSSVCGATDLNFTGEVARRTFTDTQFSHDLGVPYDDMAELEWLSNFVEESFSSEDMEKLQLISGVKPRPDDPSETHQFQHETDNRTTNPIFNTDMTVPGKARTKRSRAAPCNWTSRLLVLSPTSTGPTATMSSESESDIVSTSTGKKTVKAPPKKKEVFENASHNGEGRKCLHCATDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMQRAHPQPFFHHQNMMFDVPKGPDDYLIHQHIGPDYRQLI >KVH99868 pep supercontig:CcrdV1:scaffold_229:47769:48035:-1 gene:Ccrd_021898 transcript:KVH99868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVINKFSATARDVLPLLRTDTETIIERFRRLTLETYGSSVKSKLPLPATSGQWSPSDPNTLLHVLCYRNDDASSKFLKKTYNLPKKL >KVH99862 pep supercontig:CcrdV1:scaffold_229:102365:103021:-1 gene:Ccrd_021894 transcript:KVH99862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSEPDDCSSNSFTSPSSSPGSTTQPQNPKTRSATIKRSRDTSTKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTYRNPEMAARAHDVAALSIKGNSAIINFPDLVGSLPRPVSSSPRDVQAAAAKAAAMDKFDFPPAPSTVSSEELSEITELPILGPSVDSAESSNEFIYIDSVVDGWLYPPQWMHNGADDDGGHVSGQKNAASNDFEPILWNY >KVH99882 pep supercontig:CcrdV1:scaffold_229:221100:227914:1 gene:Ccrd_021884 transcript:KVH99882 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MVNSMVGRATSEMLIGPDWAMNIEICDICNHDPVQAKDVVKGIKKRLGSKNPKVQLLALTLLETIVKNCGDFVHMHVAERAVLNDMVKIVKKKLTVLIILQPDFHVKEKILVLIDTWQEAFGGARARYPQYYAAYHELLRLGAVFPQKSERSAPVFTPPQTQPLTSYPHNLRIPENGNETTETSADAEFPVLSLTEIQNARGIMDVLAEMLTAVDPEKKEGLRQEVIVDLVEQCRTYKRRVVHLVNSTSDESLLCQGLALNDDLARVLAKHEALLTGTPAPSEKSKPETSQALVPVDAPLIDAGDTKQPSGDDFNSPTAGNSLAIVPAGEAQPATPVSQHNALALVDMFSQSNNVQNVSSSPQIQQPQNVPPAFYPNGNGVQPQPEPWSSYNQGGGLPPPPWEAQPSADNGTQSSQPYGGNMNMQQQQQVAGSYLPPMNNQPPPVYTHQIPQQQFQFQFQGGMQQTQPGQMGYMYPQQMAQQMYSNQMGQAYGGGYGGYGGGGSGYGYGYGQQQNAQYTDQRMVGMSVSSVYSGPSMANASYVHKPKASKAEDKLFGDLVDFGKVKPKS >KVH99872 pep supercontig:CcrdV1:scaffold_229:353859:360108:-1 gene:Ccrd_021873 transcript:KVH99872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENGHQSPSGFEDARSRLRQRWELASVLNFFKVFEPVIEGNLKISAEEIETALIIPNNSLAQLHVALLKGIPPVSKNLKDPNAWVVALSKKLSMWWPWVAEGDFPLIAAKGEETATYKELDPTVRLVLLKALCEIRADQHDVVSYINNAVKVKNELSTFRKDNIGKDGKGTSYWCDGNEIIGFRLYKEVNTFRKNEKLPTISCQWETLATNLEEFQKVVDEYSSSKSKVEVAVSVAVETEVIPVLNKLEKKKQRALQKKKDEERVINNFCRTGITRSCRSRRPISYTFDEYDKAINEAIRETKRMKTKDEQRNEKKENAVNEKKETVVNEKKEAAVNEEKEAVVNEDSEQEDESMDGESTESESDSESSKLETEDVSEDSRQESDEEEAHANDDDDDNKENMNHSSENGLVLNSRIQNGSYKTRGSKKIIANGGDPMKQMGNYGAKKRLRQRPNRNTALESAVVPDSEDEISSDS >KVH99877 pep supercontig:CcrdV1:scaffold_229:239042:245061:-1 gene:Ccrd_021881 transcript:KVH99877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIGAHKWRIMRFVMMMLLNFVLASMFASAERSAMIEDETSVAGYGYGDGDESKTGYLMKLVNSLFQTDGSGYHHVWPEMELGWRIVLGSFIGFCGAAFGSVGGVGGGGIFVPMLTLIIGMIMGAAASTVFYNLKLRHPTLDMPIIDYDLALLIQPMLMLGISIGVSFNVIFADWMVTVLLIILFIGTSTKAFCRGVETWKKETIMKKEAAKRLETNGDGAEAEYKLLPGGPSSGTKTKPEQSLKEEVSILENVCWKELGLLVFVWVAFLALQIGKNYTPTCSLWYWVLNLSQVPISFGVSGYEAVCLYKGSRIISSKGDSSTNLGVGQLVLYCSCGILAGMVGGLLGLGGEFLQNVLQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYGRASLIIFILAFTIFVSAISLGGVGISNMIGKFERHEYMGFEDLCKYDLKN >KVH99881 pep supercontig:CcrdV1:scaffold_229:213427:214413:1 gene:Ccrd_021885 transcript:KVH99881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHQPKLKPPPRPLFSCGFFRYCTQSVLSPTNPNPPALPLSEQPPPPPPPQPHSESSSSSNTSQSFTQWRFSHTPISTSNILADPSPETTRMHHQSPPPPPPPPPVIISDLQELFHVAELQFSTGLDSDKVKAIYMLQYSLVNPRAATEGGELVSCPPAVMRGVLGCLKDKAVAKPATKVLLALCLGESNRHVAVEEGAVGKVVEALVDLDGGAVAERALAALELLCTVAEGAAELRAHALVGPMMVEVMRRMETTRGRENAIGILAVIFGGTGGDAAVGFAPQEEVARVVMLAMQGDCSARGRRKGAQLLKILQENERLDITQEVG >KVH99880 pep supercontig:CcrdV1:scaffold_229:233008:237507:-1 gene:Ccrd_021882 transcript:KVH99880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MWSYSRQRNRFASGKSDSRRKNTTGGSRMEWKPKAKVATDGSLGSASSSQRDNSQSFNSEPASDVNSPQESLLPLIKDLASGMENLNQMHASNDSKHLASVLTSDYSSKESLTLSCQSESSHMHPIREKTHSCPSKGRTGRGSEMYATPIKPSSVVCFPMEDRIPENGKQIVVKFEEKADNSGKPEDTDCASPIMRFDICPRSSVIKIKPPLHVINKEKRNQLKRSVEGQNFKILRPGMVLMKGYISCDEQIGIVKTCRELGLGDGGFYQPGYRDGSKVHLKMMCLGKNWDPQTSEYSDTRPIDNSKPPDIPDKFRDMVKKAIRDSNDHIQKSNSKADAGTIIPPISESIDQGLPVVSFSIGDSADFLFGNVGDIDEATRVILESGDVLIFGGKSRSIFHGVASIRPDTAPLSLLEATNMRPGRLNLTFRQF >KVH99874 pep supercontig:CcrdV1:scaffold_229:343667:348475:1 gene:Ccrd_021875 transcript:KVH99874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L28 MAFRSKEMVKKIMKKIGGEKNLESGVKESLKKCLPDSKVVMGRAQRGIFAGRHIQFGNQVSEKGGNKTRRNWKPNVQEKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYKKMDTEMGLLWKAKIEKMYQELGNMEVSFFPLEEETTLAEEFKEMRIEQRVARRDARRKAYGWSPKPEQIEGGSEDVEATHNEELVANS >KVI00825 pep supercontig:CcrdV1:scaffold_2290:47292:58140:1 gene:Ccrd_020920 transcript:KVI00825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cas1p 10 TM acyl transferase domain-containing protein MVVSGPLTPGQITWTLVCDVVLSNCHVIHGRGISDYKAFIIIIVLQVSFLLGIIPIFVSWIYSEWLEYKKSSSPSKLCMRTLDVTVSSNARLLMSFGSSHSDNSLVELETVVNKEDDRAVLLEGGLTKSASRPPGASIRANLISYNCLFFLAQSYNRDLFLFLYLLLIIVSAMTSLKKHSDKSAFSGKSILYLNRHQTEEWKGWMQARVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSIARFSQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALAIGSKYNEIRSVMITKILVCFLVVILVWEIPGVFYVIWSPFAFLLGYSDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYLHPNVEKWMEKLEESDSKRRRTVKASIVSVSVLAGYMWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNFTQHLRNFSLTLFAWLGKITLETYISQFHIWLRSDIPNAQPKWLLSFIPNYPMLNFMLTTAVYVLVSLWVFFVITYCCTYESKEKCTYIVAVAVMAR >KVI04342 pep supercontig:CcrdV1:scaffold_2293:1137:6199:-1 gene:Ccrd_017345 transcript:KVI04342 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase, WSD1, C-terminal MDMALKVKVGGMEDVELSEPVSPTGQYFNSSVLCVSVIGVLEFDNPIDDSSSIALINDVFLPINTRFSSIMVEDKDGEKHWKRVEVKGEDHIVVPRFPEGLSPESYDQYFNDYLSKIAMDSLPQTRPLWEIHIVKYPTSNASGSLVFKLHHALGDGFSLMGALLSCLQRADNPSLPLTFPSFQKAPKPDNEIKSLISIVPHAFSSALNTVLDFGWSILKSSFLEDSRTPIRSGEEGVEFRPINIMTMTFSLDKIKQIKSILQTINDVVTGMIFLGTRLYMEATSEEARNARSTALVLLNTRSIGGYKSVDEMVQNQEAQIQWGNKFGFLHVSIPELHQYERSLDPLKFVHEARDIIKRKRNSSAVYLTGKLLEFVRRYRGPEATAEYIHSTLKNSSMTVSNMIGPLEKMTLANQPVKGLYFMVVNIPQSLTVTMMSYMDQLRVAVGTEKDLIDPVKFKNCTEQAFSMMFNVAVKSK >KVI04343 pep supercontig:CcrdV1:scaffold_2293:44231:46532:1 gene:Ccrd_017347 transcript:KVI04343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein METKIYKVSSKEKWSMMKKELVNDGYGNDLVGGSGGEDGGSVGGGGGSSNGDVKKKKGGHNGGGSTSATKCCQAEKCTSDLAEAKQYHRRHRVCEFHAKAHAVVVAGIHQRFCQQCSRFHELPEFDDTKRSCRRRLAGHNERRRKNSIEFKGTTDKGRFQMTIQDNAATTTYNHL >KVI04344 pep supercontig:CcrdV1:scaffold_2293:23519:26870:-1 gene:Ccrd_017346 transcript:KVI04344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHSLTRRELQSLCKLNKIPANITNVAMADALQSLQTVEGIEEFLNVSQSETDGLVEPMEKVEVSSPKAPQTRCRTSTRQKVKNGDGVNLPPTATRTTRRGSKQLTADVEDSKSNMVKTPAIASTRRKALATSSCRNVNNRLNECEEEAKKESTVQKAYSTRRSTRLTEKKSAEPEVKKESEKAIKMNSFVDEMDVLSKVDEVNVTSEEVCELDEAPENTVGSGMPNAEVADICKAFEKLDVLINGSAPSSVLEKDVDIEVAHKNGEEKDCGELDNLKLESDETPNPSEDGDLEGKLMIEEKFDLGEKFSSEEAENDEVFDQKTELDGISSPCEDDDSEEKLDSGEKFDATEVSSKEISGDNLSASKDHDLVMAPEEDSDSFESGDEEVDIDTPFNTENLSGAQEFEQEDMLCAVSEMKDQVLENNEYSSLVSDMVLSITENPSEAFESDNFSTADEITKNDMEQVSQNMVDETLDVIPAIDNTITAEEVQDLKLFTEFREFPSKKTPIKTPTSFKNTSRIVSDDKENIDSGRKLQNVFVDEGKKSKKEKDENDKVKSLHEISMRQLRKQVKALTLKNLNAHEDAAAKEAATRPALQVLCENQLVGGETKK >KVI04341 pep supercontig:CcrdV1:scaffold_2293:45473:50655:-1 gene:Ccrd_017348 transcript:KVI04341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDSGDVVIDAEYEFSAPKFFDFILGETEDQMREAQLWFDTALTYAPSPFMHKVKTTRAVQLESLCDFTEPGISQKEPGPSESTGTSSTMKNITLEPEKQSAKTEDDPADSKKDVTSKDAKDEHHESLSSQVCVDEKNNKLEKDVSAQDTGSGGVSSSNVIVEQNQTTKNTYPSQGVESCTPKPAVASQKNKSISEAESKKQQTARRIASAVKNPSAFKSRNQSQLSQVKSKTPATVKRDTSQANKVGTPNLALENQAIKRQKLEGGKTRQILNIKTQILLHKARPGAVNGSNSNLATPSTARSRKEERKVCFIENLSVTLCSKEVILILVSNFFYCSSNEKMYVREPASAPFVSMAEMMRKFQSGTRDMNLPPRSTSISHGDASQVMQRKPKLTLTRPKEPDFITSQRVRSVKIKSSAELEEEMMAKIPKFKARPINRKILEAPTLPAMKRSTPQIPEFQEFHLETMERAHQNAETSTVASTEAAPQQWKPHLTTPKTPPLQTLLRARPPKIKSTEELEKEELEKAPKFKARPLNKKIFESKGELGLFCNKKRQVTIPQEFHFAIDERIPLPTANVADLFDKLSLCSDSYNQKPIPRNTAPNPFHLHTEERGAEKEKRFVMEMVHKQIEDGRARVPKATPYPYTTDYPVVPPKPEPKQCTKPEPFQLESLARHEEEIQREMEERRRLEMEEARMRLFKAQPILKEDPIPVPEKVRKPLTEVQEFDLHVVHRAVDRAEFDKKVKEKEMMYKRYRDEAESAKMMEEEKALKQLRRTLVPHARPVPNFNKPFLPRKSSKGVTKPKSPKLKIIERTERRKMVVAAATSSAASNMR >KVI00766 pep supercontig:CcrdV1:scaffold_2299:69784:70876:-1 gene:Ccrd_020981 transcript:KVI00766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFHLQTLGFSHKLHNRHCRMSETSLRAFRLVVAGNLHQVLESLKLGSEILSLRWIHQCFQMLTIFNDAFAKLMVEIDYPELTRARAGADGRHGKGNGKGRIFHEAILILKTTGFWVCGVVLSGLKSDNKPMMEINADSPLVALDLIFRKKMMEEGGLVKELERVNEIVRLITSSGSGNGNGNGDSDAAKELKAGLEVFGNGLKGLKEEEEGLFAEIMAARNEVLETLRRNNN >KVI00767 pep supercontig:CcrdV1:scaffold_2299:45678:49052:1 gene:Ccrd_020980 transcript:KVI00767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MAKGRGAGVDRGITRPLNLQGMVGTGRILNLRLNMVRSRIELKCIEDVQKRRVCFSKRRAGLMKKASELSVLCNAEVGLIVFSGTGRLYEFSSSRSPYAQAEQIRSLKEEVMMLETENIIS >KVI05085 pep supercontig:CcrdV1:scaffold_23:213503:218221:-1 gene:Ccrd_016606 transcript:KVI05085 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM-like protein MAMPRNRRTTSLRDSDSRLGDSDKIEGAGSWDAIEWTKIDQPVSRPVPHGLSDFLYEAEEVLAEGYGVLVNTDEAGTLFVTSFRLLFLSEGSRDIIALGTIPLATIEKFNKIKKMNCYNTNFVPNTQGVGETQVQAMPMTLLVPSHAHLPEGRESAWTSEKCPAVVRSLTNISSLSVCPTIG >KVI05106 pep supercontig:CcrdV1:scaffold_23:723679:727382:-1 gene:Ccrd_016570 transcript:KVI05106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MFNTPFVLLFALLLTLPLLFLFAPRILPPRRLTISIPDELDDLALFRRAILSSVHPPGSVSRLGSTNAKPKIAFLFLTNTDLHFAPLWEKFFNPSKSNQRNLYNIYIHADPDSDVKSPGGVFENRFIPAKKTQRSSPTLISAARRLLATAILDDPLNSYFALVSQHCIPLHSFRYVYKTLFKPPTESTWFPTRLSFIEVLSEEPQLWDRYTARGKNAMLPEVPFDKFRIGSQFYTLTRAHSLLVVKDRKLWRKFRLPCLNVHSCYPEEHYFPTLLSMEDPKGVSEYTLTRVNWTGSVNGHPHTYHPSEVSPELIRSLRVSNSSFSYLFARKFSPDCLLPLMDMAEKTISAVSGCNPTRPDPNRVGLMELRVEFYRRKKKATPNAFLRLLLLPFAPFGEKVMVGVIGNEDHRKNGSGRRSGRAI >KVI05086 pep supercontig:CcrdV1:scaffold_23:181226:190645:-1 gene:Ccrd_016609 transcript:KVI05086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MHSHHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPRSRSIETISSCLIAGMSVARFDFSWGDTQFHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKTERPISLEAETLVVLTPNQEKEATPNLLPINFSGLAKAVKTGDTIFIGQYLFTGSETTSVWLEVNEVTGEDVVCLIKNSATLSGSLFTLHVSQIRIDLPTLTDKDKEVISTWGARNSIDFLSLSYTRHAEDIRHAREYLSKLGDLNQTQIFAKIENFEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISVVGKICAEAEKVFNQDLYFKKTVRCVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRMKTNQLRWTFTGAFEARQSLIVRGLFPMLADPRHSADSTSATNESILKIALDHGKASDIVKSHDRVVVCQKVGDDSVVKIIELED >KVI05068 pep supercontig:CcrdV1:scaffold_23:500203:511414:-1 gene:Ccrd_016581 transcript:KVI05068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEDSRVDVILEFLRRNNFARAEAALRSEIDNRPDLNGFMQGLNLEEDLGGELLEKENMAKPGAAGNQGRSFPTSDEVSKEEHIVKEIECGTGRNRSDNKWEIDSFDGEQNSELVGARNKNFMFSNGSDDNLLDLYTWRFDPNNGSVDHCQDGDGIRTDDVKEYQISSQSKIHPSEAADTERTHRKVGEETDSSGNGEVLWLGPVSTSKVNLVPKQQSMDKLVEPKELNRQHSNKAAVSKDDFVDNPWSGNDNITWKDCSIKTLFPFPEGDASTSYSTSFGVGQKEDGKRKADNIRAAIKEQENEVGRALYFAKTQGSNEPQAFGGLGLPVASENQKEELPRLPPVKLKSADKLSSMTWDEKHEHDGTGQIISADNAYLIGSFLDVPIGQEISSSGLKRPVGGSWRSISQGITEDTSDLVSGFATIGDGLSGSVDYPHEYWDSDEYDDDDDVGYMRQPIEDETWFLAHEIDYPSDNEKGTGHGNSPDPLGSVPNKTEDDDQSFAEEDSYLSGDQYFHSKNVDPVTRSDDPIGLSTTDMYTRTNEHNMTVQYDGELMDEEELSLMSVEPVWQGFVSPTNELVMFGEGKVLAEGGRPHIAELSMDDDQHGSVRSIGVGINTDVADFGSEMREGLVEGSSEGDIEYLHDKDGISTHEPEKKYLDRAKKEKRRTAISNSKPVHDSGSEFIITKNVNDGGFSFPPPRDGKVSTVSSKSLWSNNNSTIISDGADNHLHALMGNDDPLPTWRQKNNDSSPGKSSREEDDADVIGSENYCPSMPSNYDFAERAHLRKEEDEKVADPREEDPGVSLEDEEAVAVQEQVKQIKAQEEEYETFNLKIVHRKNRHAFYCIYLVCRIHNAVITGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKHDPGDKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQXITIQCLEXLQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSXSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNGFRASFLVFESDTLMSATLVLFLAKLAFYCSKVLSLTTVLFQNDSPATLLARVIGIISPIEQDMLAKGRDTHKYFTKNHMLYERNQDTNRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEINPKMRPSAAEALKHPWLSYPYEPISS >KVI05104 pep supercontig:CcrdV1:scaffold_23:679194:681912:1 gene:Ccrd_016572 transcript:KVI05104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp, N-terminal MRAEGYTVQHTLASEAAAVVKQALGLARRRGHAQVTPLHVASAMFTSPTGLLRKACLQINSHPLQCKALELCFNVALNRLPTSQSSPIMLSPNQSHHPSLSNALVAAFKRALAHQRRGSIENQQQPILALKVEVEQLIISILDDPSVSRVMREAGFSSTQVKNSIEQSVSMEISSQRTLLSSSQSRGNIRPILQDFQVSSSNKSKLTPRVRDEDVMSVIDTMMDRKRKNIVVMSECLVSADDIVRGVIDKLERGNNLVFPGNLRFVQFVSLPLHSLSHLSSEEVEDKVKELSFLVKSSAERGVILYLGDLKWVSDFWSKHSEQRLRTYHDSPMAHMIMELSRLMFGFGDSGKLWLMGIANSQTYMSCKTGRPSLETLWDLFPHTTPVGGLDLTLNLEYRKDSPNEKKLLTCCGECSINFTTEARSIAYCTNKNTSDTTASTSTLPLWLQQCKGKMQNINDQEHDGVGSLCKKWNSICCSLHKQPHFLDEAFNLSSPSSLSSTSLHHHQHNWPIIFDSNKIPKEHQFFTFEIDSDDSLDEGSLKHPVAIKPDLLSNPNSSPNSASCSEVSEDPDEFFLHKFREVNSENMKILSNALEKRVPRQQEIIPEIVSTILRCRSGLTERKSKEETWLFFLGADSQGKEMIAQELATVVFGSKNNFIPICLSCFSSTKSNSMDDDQELISNKRARDEDGQSYIERFGGAVQENASRVFFLEDMDQVDYLSQMGIKKAIQSGRIALPCGKSVHLKDAIVILSCESFRSLSRSCSPMRQKHSESEQKTEDPRERKQSDMLDLNIDAEDFGILNSVDKQVIFKI >KVI05063 pep supercontig:CcrdV1:scaffold_23:395207:396904:1 gene:Ccrd_016589 transcript:KVI05063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLSIEGLSSSRGLWHDQLPHDSFHQLKNLKVKRCKKLLRLVPELKNMEKLYVEECDSLEEILGIEQSIWGGHENHLICKLRDLRLQNLPKLVAIWWNNHLNGILKYPKFSSLKIIKCDGLMKVFSVLVVKHFIHLEELYLANCLIIREVVAEDEQKASTGEKVVFPQLHSLGLLNLPNLTSFCSGDCMLEFPNLENLNLEKCPNMSTFSLGPPVTPKLNAIAVENGEQLWKIDLNSTIKHLFQCQESHRFSCKSQTATPESM >KVI05091 pep supercontig:CcrdV1:scaffold_23:379330:384535:-1 gene:Ccrd_016591 transcript:KVI05091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAPALSSSLHTTPQNYSIIPFLPSSETPRSLQFNFKLCKPLSELKQKNIITLTAITCFKSGRTCAAAAATNSSLAVTAPPLAESNVQRYWIVVVKAPLPQQAASRQGVIDYYVKILERVLGSEKEAQGCIYNASCDPPFRFCCDMDKETSQELACLPEVLSIKPDPDFNSVQKGYSNTKVESGSYNNIFPPLFPKKSSKHWLVSVEMPESRVITRVQLVDYYTQMLTKVLENEKDAQMCIYHISLQSHYGFCCELDDECAKELAGVPGVTSVRLDENVDSDDKDYGGVSSTGTNQPTNIKTKKLFVTGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGFAFIEYTTEEAASAALREMNGKIINGWMIVVDVAKPTPPRFGRGGPRPTR >KVI05077 pep supercontig:CcrdV1:scaffold_23:741679:754830:-1 gene:Ccrd_016567 transcript:KVI05077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGDGRAPYESSGTGGKFRKRPFRRSVQATPYDRPPTPLRNSNPGLLTKLVDPASRLIYAGAHRLFGAFRKRLPPLLLQGPPVINSNNNGGIYETLSFAMLFQNCTGVSEPSTDQGVNSASISAAAGISELEQMLKQKTFTRSEIQRLTALLHSKTIESPDDVVEKDGAKLPSSPSPLLRLEASTSGSLKKHGNERDNFHADISTPIVSSRVSNRFMLVLEEEIASPAELAKAYMGSRPMKVAPSALGLSSQAPRQDVKLLNNASGLAKTPITSVAPKTANSFRGLENGFLTPRSRGRSAMYSMARTPYSRGPSTFSQKEINSEYGHGAALTSSQSAWGHEGKMALKRRSSVLDDDIRSGGPLRRTRQKANLLTQGSSLSRDKRELGYTALQQPDTSSQKLLLMNKPEPKVSKDFEENGDTSMRVSGYASVPTKSTQMATKILQQLERLSPKEKKFESTLAGMRDKSPSKLTLNMLHGQALRSLEKVDSPKFLPSPHDSQKSESQHHARSHDIHEPASQSKDKVEENSSRKFPIPRNMLTPVNGDSTVLLKDKAPVVITTASTSKLPAEPPQKKRAFQMSAHEDSLDLDDDVHVNGNVSFPSAEDNKPEASLVANKPVFAEVIQTPTLQEIAKTSALAEVTPVLPEVAKFPEQAELKEVENVASSPKTDVGFLGGSVGEQGIGFKFPTSPPSTTATQTIVLPQSTLQMEKVDSEKDSNNFSLFGATAEKVSPFQFSGNEPSEIKSIASSDPKALDSTSVFNSVSKNDHVKFLAFNKDENGDNQKAANMFGKSESLSSPAFAATSTSGFFSFGSSSKNSSTPDATPASTSPMFSSFTPLPASGANLNSLFNNSSSNVTSASTATVISTTATTITTTAASSTVFSTSVPAPAFSTSLPAPAFSTSLPAPVFSFGSAIAPNSASAAPTSVTETGNTNEKEPKSNMSSSPFASTSFATTTTGSSLFGFSSPAATSTTNNQSQASFFNVSSGSQANTQTSTAVTQAVPFLFGSSTPSIASGSTGIPSSTTSGSSFFGSSAPAFNSTPSFGISFVAASSGTKSGSSTSGSTTSIFGSAASTAAWQPAKSSGFGSTFSSQSSVFPFGTSSTPMPSASTSPTVFGSSNTGASTGSSMFSFTTTGATNSSFNAPSQGQSVFGNSTPVFGATTTSPNNNDQMSMEDSMAEDSMQTPSPVSQFGQAPAAAPGFMFGSATPTPNPAAGLPFQFGGQPNQAPTQNPFQSSSVEFNAGGGSFSLGSGGDKSGRRIVRVKNKNRRK >KVI05098 pep supercontig:CcrdV1:scaffold_23:543574:546881:-1 gene:Ccrd_016578 transcript:KVI05098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSTTVAVAVDEHQQQQLKKGIAEFYDESSGIWENIWGEHMHHGFYDTDAVVELSDHRSAQIRMVEEALLFASVPDDSEKKPRTIVDVGCGIGGSSRYLAKKYGAECHGITLSPVQAERAQALAAAQGLADKVSFQVADALNQPFPDGKFDLVWSMESGEHMPDKLKFVSELARVAAPGATIIIVTWCHRDLSPTEESLRPEEEKILNKICSSFYLPAWCSTADYVKLLESLSLEDIKAADWSGNVAPFWPAVIKTALSWKGITSLLRSGWKTIRGAMVMPSMIEGFKKDVIKFSIITCRKPE >KVI05052 pep supercontig:CcrdV1:scaffold_23:106736:113742:1 gene:Ccrd_016614 transcript:KVI05052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MGPLTLATDTKNASMSSSDVSKGKAQRLQLIPKKSFASVSIGEEKPFDFLRTLFEGCIAGGTAGVVVETALYPIDTIKTRLQAAHGGGKIVLQGLYSGLAGNLVGVLPASALFVGVYEPAKQKLLKILPDNLSAVAHLTAGAIGGVAASLVRVPTEVVKQRMQTRQFASAPDAVRLIVSKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAARRDLNDPENAAIGAFAGHRATSAVDRDWRFDLFWCLGENKANSCRTTPSRF >KVI05060 pep supercontig:CcrdV1:scaffold_23:488346:498138:1 gene:Ccrd_016582 transcript:KVI05060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYAAFESASASSSELGFSGTYLNGQRGVRAVAVRPNLDRWGNLREGSESKMFGSGFAVSRGGNSGVVASDDLRTLSQCMSLEPIEMGDHKIAWYVELRRVMGISVGSTAEENSFGAAAAHMKPSPPVAVVKDLKRFRLSVVDTCVKARDRANKMDEHLHNLDKYCEAVSSTKQQSNELVINDRPGALNLKIGTQIYNINQRVEDRPKNILLNKRVRTSVAESRAECQSNGLQRQPVAMAKDGNLLENNGGESDLFDKIRMLAAGGEGWDKKMKRKRSVGTVFTRNDSDGVPKRATQNKVVDERGSQPSDAPICRLGASNGTGGNNKPNTTSLPNSSITGLSPKGEQGVPILPSTLTAGSNKERIITKENSKLNIRDGFYTTFPSPVAKSKASRTARTGSMVAASSSRGIPYVPGTLGSWQNATDEDKILSNDGNNNRKRAMPLGSSSAPMAQWVGQRPQKMSRARRANLVSPVTNQDEKHLSFESCSPSDVGARLVSNVTNGSPISRNATSGPPKLMVKLDNVQSPLRLSESEESVGGESRLKDKEMEISEADAIVVQNTGSSVTLAKKKKPLINEESGNGVWRQGRSGRGPLIARSSNSPMGNRLDNAATPKPLQRSKTGCKKNGSKPGRRLKRLSDRKGFSHHVPLHNSSSPECTGGSDDDREELLAAANHARIAGHLACSSTFWKKMDPLFASVSSEDKSFISHQLKCSQEIREGAPQLLGHVNNAKVGLLNEEDSVSDAFPSGEREVHMQHQGSESFSGRDDMDKTSNGFMPLYQRVLSALIIEDDIDGLEEGEARNIQVQNAYGASTYDSHHLGGSEPREGARMENECDTMFCNRAQSLHSTNKSFPSNGGINSFRSCTINSPVSEDGHSEAELLAGIPKSFLKKSPRTLQMEGLGKISLGCQYEQMCLDDKLLLELQSIGLCPDTLPDLDDKENGTILHEVDKLKNRLQQQEVEKKACLEKISRDVESNSGVRLPDERLDPMYIRCRSKLHWLLEGGLLLDVVQVASMSLHFKISFLLQSKPSQNLRLLLDGPLPAPSIPDHVTLSQNPST >KVI05081 pep supercontig:CcrdV1:scaffold_23:265904:266368:-1 gene:Ccrd_016602 transcript:KVI05081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFITFTRTHPISSILITSSIPKQQSRFPSTQIHNIPYLKSRRRNPRGFKASFSEDKEKLSEKTTGDGGGGGNEEEDLRKNGGERPRFNLRWSELLFDPDPDNVVAVGLTGVLAWASVQVLWQLFVISFAILIAAVKYSFIAALLIFILITLL >KVI05095 pep supercontig:CcrdV1:scaffold_23:335251:337238:-1 gene:Ccrd_016595 transcript:KVI05095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MSQKLTKFLLMGMQTVVLKVGMSCGGCVGAVKRVLGKMEGLLIRLSGNVQPDAVLQTVSKTGKKTEFWPAEAAATSVA >KVI05058 pep supercontig:CcrdV1:scaffold_23:50590:62102:-1 gene:Ccrd_016618 transcript:KVI05058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MGQNSPSTNDGDNSNANSAGTGLYPIRDRFRFKRNPKPTSVHVSKSSSAASLSDRRRSHIHGSRSVLSRKLFSVRGTSLFYLCILIAVFAFALASMVLQSSIASMVFRQGSGERIGRTVREGLKFGSSLKFVSSRTGRGLIERARNQPRIGVRSPRLAIILGNMKSDPASLMLLTVMKNLRGLGYMLQNLGELGIRNAFIFPLLNASSMQIYATEDGKTKSLWEKIVGQVSILSPEKYGHIDWSIFDGIVVDSLEANDAVSSLMQEPFCSVQLIWIVQEDTLANRLPLYEEMGWEHLIAYWKNAFRRADVVVFPDFSFPMLYSVLDTGNFFVIPGSPIDVWAAERYLKAHSKSQMRIKNGFGEDDMLILVVGSSFFYNELSWDYAVAMHNLGPLLIHYAREGDIGPSFKFVFVCGNSSSAYNDALQDIAGHLGLRRDSVGHYGLDGDVDEMLLIADIVLYGSSQDEQGFPSLLTRAMTFGVPVIAPDYPIIRKHVVDGEHGIIFSKDKPDELMKAFLLLVSKGRLSDFAHTIASSGRMLAKNMQASECIAGYVKLLDNVLTLPSDSMLPGPVSQLKQGEWEWELFSEETDHWSSAMTNLDTKEATKNPSVVYDIEEHMMLLPNSRTTSQNESEIMADDIPTELDWDVLSEIDSSEEVERVELEEIEGRTDKSYGVWEELYRDAKKVEKLKFEANERDEGELERTGQPLCIYEIYNGAGAWPFLHHGSLYRGLSLSTKSRRLRSDDVDAVGRLSILNETYYRDILLEMGGMFSIANRVDNVHKRPWIGFQSWRAAARKVSLSSKAERVLEGTVHQKHRGDVIYFWARADMGGKLTGSNHVLTFWSLCDVLNAGNCRTAFQDAFRRMYSLPSYVEALPPMPEDGGHWSSLHSWVMATPSFLEFMMFSRMFADSLDSLHMNANTATECLLGSSVSEKQHCYCRILELLVNVWAYHSARTMVYINPSSGSLEEQHPVEERKRFMWAKYFNATLLKSMDEDLAEAADDGDHPYETWLWPRTGEVHWQGIYEREREERYRIKMDKKRKQKEKILERLKFGYKQKTLAG >KVI05075 pep supercontig:CcrdV1:scaffold_23:786330:792145:-1 gene:Ccrd_016565 transcript:KVI05075 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase, C-terminal MVIPSVLLNFLFPSPSSWFVTAMLVVNLSALTDAAYMEIKGKHLRYSKFLNAGGVAKKQDMKLSSRNGMLLFYTPAFLVGVASFFVVPYQDLRFVLLASALTLHFFKRVLEVLFLHKYSGSMALESPIIITMSYTSSTATMIYAQFLSRDVPEPTVDLKYVGIGLFFIGTIGNFYHHCLLANLRNKGDKEYKIPQGGLFGLVICPHYLFEILAYAGISSISQTPYAFAFTLGTIFYLIGRSYGTRGWYLSKFGDKFPKDVKALIPYCEFANNLRRTPNTLRLIIKMAIPSIFLNLLFPPPSSLFVTTMTVVGFSSLTNAGYKEIKGQHMQYSKFLNVGVVEKEKEKEMKLSSKNGMLLFYAPSFLVGLTSFFAFPHEDLRFGLLVSALTVHFFKRVVEVLFVHKYSGSMALESAIIVPLSYAFSTATMIYTQILSRDVPEPLLDLKYVGVGLFIIGEIGNFYHHWLLANLRKKGDKEYKIPKGGLFDLVICPHYLFEILAYVGIASISQTLYAFSFTLGTIFYLTGRSYTTREWYLSKFGDKFPKNVKAFIPYIF >KVI05061 pep supercontig:CcrdV1:scaffold_23:419941:436754:1 gene:Ccrd_016586 transcript:KVI05061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium bile acid cotransporter MAGTLQTLISLTSPVTGAAPFRSRNHRLTSSLFGFSGNRSFGSIDSKPSFPRKLRSFTGTLVIRNSTNSAQGNDDANQPPQELGLSNFLVSAEPLLKFAASNFLPLALIGGVALGLTNPSLGCLAHSYHLSKFSTFGIFIISGLTLRSEEVGAAAEAWPVGLFGLASILLFTPLLSRIVLQLHLQPQEFVTGLALFCCMPTTLSSGVALTRLAGGNSALALAMTIISSLLGILIVPFSISKLIAGGMGASVPADQLFRSLIVTLLIPLILGKVFRESFKGLADIVDSNRKLLSVVSAILLSLVPWIQVSKSRSLLLMVKPTVFLVAVLMGALLHLILLGFNAISIQILCAVSGGSKSVFAKKENSSALLLIASQKTLPVLVAVVDQLGGSFGESGLLVLPCVAAHLNQIIMDSFLVSFWNKKEQSLGDAKMA >KVI05051 pep supercontig:CcrdV1:scaffold_23:84974:90173:1 gene:Ccrd_016617 transcript:KVI05051 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXTL2, alpha-1,4-N-acetylhexosaminyltransferase MGSSPIVGSNGGSTDKTHGFRNRWWRVNDIGGGASSTVHCLFVSFLLCSSVGVFYIFYLFNNPNLDYNNSLGCQEDNEGSWGIGVFYGDSPFSLKPIEDMNIWDNKTAAWPVANPILTCASITDSGFPSNFVADPFLYSQGDILYLFFETKNPITMQGDIGVARSNDDGATWEQLGVALDEDWHLSHPYVFDYNRQIYMMPDGGERGDTRLYRAVGFPLQWKLEKIILERPLVDAFIIQHGENYWIFGSDPSRGTNGGFEIWYSSTPFGPWTPHRKNPISGVRNGGRPFSYNGNLYRLGHDENVLVFKIEVLTTDSYKEVRVDLGMEKPTKGKNAWNGARSHHLDVQGLRTGQWIAVADGDRTLFGDVTSRRVIGCSLILAAGLLVFFVGLLFGFVKCFFPLTHNIKRRNDALLVWERLKLRLRWNQVSSFLRGKINPTTFVGKFVFTILLIATIAIISVGFGYIYGGNGAEKPYPVNDHFSQFTLLAMTYDARMWNLKMYIKHYSRCASVREILVVWNKGKPPDPSEFNSMVPVRIRVEEQNSLNNRFRIDPLIKTKAVLELDDDIMMNCDDLERGFKIWRETPERLVGFYPRLVTGPPPLKYRPEKHARSHNGYNMILTGAVFIDRQEAFERYWSKEAEPGRKMVDEVFNCEDVLMNFLYANATPSGPSVEYIKPAWAIDTSKFSGVAISGNTQAHYRVRSRCLERFTELYGGLTDRKVEFGRRKDGWDQ >KVI05096 pep supercontig:CcrdV1:scaffold_23:345727:347594:-1 gene:Ccrd_016594 transcript:KVI05096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MMCSLSFWLPLLLIYWVNEFPVTAPHPAYAIPPLAPWIPVKPDSPPSPTPAPTPAPAGRPKRVRCLSLLFPTCYNQWYSCPQDCSKNCFMDCVSCKPICRCNLPGALCQDPRFVGGDGITFYFHGRKDQNFCLVSDNNLHINGHFIGKRNPKLTRDYTWVRSIGILFDDHKLLVGAKKTSKWNENEEHLFISFDNTPLRSVEGKNWNIYRNSSLLITRTAPANGVAIEVENSFRITATVVPIGAEESRVHGYNITDDDCFAHLELGFKFFNLSDEVDGVLGQTYRRNYVSKIKISAIMPVMGDIPKYYSSAIFGTDCIVSRFGRRIPVTDFGEGM >KVI05090 pep supercontig:CcrdV1:scaffold_23:328686:333589:1 gene:Ccrd_016596 transcript:KVI05090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 100 protein MGSSEAILQVFCGVAPLKQFLKSDLTFSPGSLTKCKKGSGSSVVRLFRCSSIFKNGHIHRQFPRTPRIPYESFKNGRFDHSCCKCKNAESVSGFTAEDGNGTWFVDNAKQFNTIHDMVNPPNLLDSEDVQRLKKEKEVSMSDSKVHDKMQKTSLDMIEDEAWDLLRESIVTYCGSPIGTIAAKDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRSFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLEGDDTATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKSSGDMSLQERIDVQTGIKMILKLCLADGFDMFPTLLALFYSALMCAREMLAPEDGTADLVRALNNRLVALSFHIREYYWIDMGKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNFWSVVSSLVTADQSHAILDLIEAKWADLVADMPFKICYPALEGQEWQIITGSDPKNTPEIAARAVEVAERRLAQDKWPEYYDTKRGRFIGKQSRLFQTWTIAGYLVSKLLLADPTKAKILMTDEDSQLVNAFSCMITSNPRKKRGPKPSEKTYIV >KVI05057 pep supercontig:CcrdV1:scaffold_23:156977:171645:-1 gene:Ccrd_016611 transcript:KVI05057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology-like domain-containing protein MMAMVGGGMEKDIESKLNIQENSANHGDTDGQKPKSFKFRAPQENFTIQDFEMGKIYGVGSYSKVVRARKKDTGKVYALKIMDKKFITKEKKTAYVKLERIVLDQLDHPGIVQLHFTFQDTFSLYMALESCEGGELFDQITRKGRLTEDEARFYAAEVVDALEYIHNLGLIHRDIKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATIGNDLWALGCTLFQMLSGTSPFKDASEWLIFQRIIARDVRFPDYFSDDARDLIDKLLDTDPAKRPGAGRDGYGSLKNHSFFRGIDWRDIRSRSPPRLALETKGHTSGHSSGGDDTPDSSWNPTHIGDAGNTISDVTSSSDTGHITKLASIDSFDSRWQQFLEPGESILMISMVKKLQKLSSKKVQLILTNKPNLIYVDPSKLAMKGNMIWSDDPNELSVQVTSPTNFKICIPKKVMSFEDSKQRAYQWKKAIEVLQNR >KVI05102 pep supercontig:CcrdV1:scaffold_23:602607:611543:1 gene:Ccrd_016574 transcript:KVI05102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MAMRSAIISRLAPFRSVTDSSSSRSSFRFFSDQGRVLSEEERAAENVYIKKMEREKLEKQKLKEQKEKAEKEKAEKEQGRGEIKETRGGEFGGTDLRPGRHNIMKIKFFTVALVLAALHGTMAGDPDILSDFIVPSGNQTVDGNFFTFTGMRVLVEAPDATTFKVFKASMAEFPALNGQSVSYAVLDFPPGSVNPPHAHPRASELLFVLGGSLQVGFVDTTNRLFTQTLETGDLFVFPKGLFKTHKKHKTA >KVI05088 pep supercontig:CcrdV1:scaffold_23:279177:287600:-1 gene:Ccrd_016599 transcript:KVI05088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSTRSASSASPNTNRMRLFVISAGVVTSSTPTASGNSSTGNSLIVRSAVLRSSPVFRREEKRDVDDEEDSRFNHKSKRREDAPNQKLNPVEKEIQGRSSVAWKKREAPMRKKTVARKMVDGHDEVARLVDGRWSRLRF >KVI05074 pep supercontig:CcrdV1:scaffold_23:824547:827042:-1 gene:Ccrd_016564 transcript:KVI05074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIVQARILLALPTPAPEAGAVIPREAQAPPWNGRWGDTSATWCCNETFVKVLVAFSEAKACSPPHWLAGALPX >KVI05055 pep supercontig:CcrdV1:scaffold_23:116460:141867:-1 gene:Ccrd_016613 transcript:KVI05055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVSLAEEGVLRLVFAAVYLMLNKGGNDNDVSAASRLLSLGTHFSTKMIRGYGSLQHMQNGIMSRSITGMTDSSLPLLLADKEHSEIENLRRLQEMARLLEITRNMQCRLATKFKKSATRSGDGLKPLEFVDSELSQDDPEHPKLPTYASTLETTNEQEYPTGMKSATAEKHALMSMNSFDSRSYSDLESFGGASISQVETSFLGRRAIPSENPKDMIARWELDNLDQKNVVKDALLSGRLPLAVLKLHLHQQEHMDANKEPHDTFEKVRDIGRAIAYDLFLKDETELGVATLQKLGEDLESCLRQLLFGTVRRSLRKQITEVMKTHGYLGPYELKILEGISSIERTYPCSSFYVTFRARQKELMRIEDVNSPEEIKLPQLHSLSNDLMIECGELDGVVLGLWRYVSKYSDVPVVDDDDTHAGYWAAAAVWSDAWDQRTIDRIVLDRFSLVDFDMSWESQFEYHICHCNWEEASRLIDIIPSYSSSHGNLRISLDGLPSASTGYKSEFSDYGNYIYSIEDLDAVCMDVPDIRILRFPSINACSMWLQLLVETRLAKKFIFLKEFWEGTEEVVCLLARSGIITSSSDLSSLEQSTKDSTDLCLTSIDRDISTGSFLGASFAIPDSKSSDGDCHWAKWLLLSRVKGNEYEASFSNARSIASRNFSHDNSLGALEIDDIIHTVDDIAEGAGELAAIATLMYAPVPIQDCLSTGSVNRNRSSSAQCTLENLRPAMQRFPTLWRTLVAASFRNSNLSDYLNWRDNIFYSAGHDTSLEQMLPGWFSKAVRRLVQLYVQGPLGWQSVPGLPVEESFLLRDVDYLDDGNEQAKISTLSWEAAIQRHVEELYGPSAEGSRLGLEHHLHRGRALAAFIHLLSLRVKRLKLEFETRRVHSDTSVNGQSNIQSDVHTLLSPITQEEESLLSSVMPLAVMHFEDSVLVASCAFLLELCGLSPSMLQVDIAALRRISSFFKSVEYTDNSVQPSFKGSIFLPTPLEGDITSSLARALAEYYLKNYHTGIVKEKDKQITSNAKQAARALVLVLQNLEKASLPSLVSGETCGSWLMSGNGDGAELRSQQKAASQHWSLVTEFCRMHQIPMSTKYLAVLAKDNDWVGFLSESQVEGHPLDTVIQVASKEFSDPCLKVHISTVLKGMQSRKNVPSSTNFNSTNKKNKSSFLEDNNYIPVELFGIIADCEKQKNPGEALLLKAKDLCWSVLAMVASCFPDVSSLCCLTVWLEITAARETSSIKVNDIASQISSNVAAAVETNNFLPVTARAETLHYNRRNPKRRRLMESVLVDTLTSKGSDVSTASSSTSIFIDQGIISRGESKEMADENKVSTESDKVFDSLSKMVAVLCEQRLFLPLLRAFEMFLPSCSLLPFIRALQSLVFNELLKKESVLFPLKDTASLSVRISGNEDLTISGFQAFSQMRLSEASAHLGSFSARIKEEHPNVQTTSGRDGSNGTSWVSSIAEKASDAMLMTCPSPYEKRCLLQLLSATDFGDGGSAMSHYRRLYWKINLAEPLLRKDDVLHLGNESLDDASLLTALETNGHWEQARNWTKQLEASGGPWKSAVHHVTETQVGLVTHGFQYGPIIRYAIVSHALTGGPVLPTELLPGAFTAESMVAEWKEFLWDVPEERVALWGHCQMLFLRYSFPPLQAGLFFLKHAEAVDKDLPPKELHELLLLSLQWLSGMITQSAPVYPLHLLRELETRVWLLAVESEAQVKSEGELTLNSPSKEAGYGKGSSIVDHTASIVSKMDNHINTMRARNERNDARDHHLAHHKGPHVLDAATSTSSASTKSKRRAKGFVPSRKTFVDVEKTADSEDTFLPNNLRGDLKSAEENSRIDLSFSRWEESIGPAELERAVLSLLEFGQIAAARQLQHKLSPSHCPSEFVLVDAALKLAGIATPSNKVAISMLDDEVRSVIQSRNLPTDNQFIVPMQVLESLTTFFLDGRGRGLCKRLISVVKAANVLGLSFSEAFDKNPIELLQLLSLKAQDSFKEAYLLIQTHPMPAASIAQILAESFLKGLLAAHRGGYIDSQKEEGPAPLLWRFSDFLKWAELCPSESEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVDAYVSEGDFPCLARLITGVGNFHALNFILGILIENGQLELLLQKYSAAADTHTGTAQAVRGFRMAVLTSLKQFNPNDLDAFAMVYNHFDMKHETASLLESRAEQSYHMWFLRYNKDHTEDLLESMRYFIEAAEVHSSIDAGNKTRAACASASLVSLQIRMPDFQWLSLSATNARRALVEQSRFQEALIVAEAYGLNQPGEWALVLWNQMLKPELTEQFVAEFVAVLPLQPTMLAEIARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLRLRLQLATVATGFGDVINGCLKSLDRVPDNGGPLVLRKGHGGAYLPLM >KVI05056 pep supercontig:CcrdV1:scaffold_23:179160:180434:1 gene:Ccrd_016610 transcript:KVI05056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MAMSANAPHTLLLKNPSILKTSTSTTPGIHVFPIARATAKPISIKCSYSATVVAPPTSASAQDRVFNFAAGPATLPENVLLKAESELYNWRGAGMSVMEMSHRGKEFLSIIQKAESDLRKYLHICANETIHGVEFKDYPTPKNKDSLLIADMSSNFCSKPVDVSKFGIIYAGAQKNVGPSGVTIVIIRKDLIGNAQEITPVMLDYKIHADNNSLYNTPPCYGIYMCGLVFEDLLAQGGLVEIEKKNVKKAQILYDAIDESKGFYRCPVEKSVRSLMNVPFTLEKSELEAEFVKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHG >KVI05094 pep supercontig:CcrdV1:scaffold_23:374390:377008:-1 gene:Ccrd_016592 transcript:KVI05094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MNKHTCLVFFCLFSSLTVTISDERFPVNLKLFVFGDSYADTGNWPKSYGGSWQEPYGITFPGTPSGRFSDGRVLTDYIAGILGTKSPITYGGWKSGEKKSIRYGMNFAYGGTGVFNTLVNQPNMTTQINYFQQLIQQKQDLLHASSIAILSLAGNDYATYFTSNHTLKDLSGLTKSVISQLVSNLKRIHELGVRKIGITTMEPLGCLPQFTVFKSYQKCSNTENSIAEFHNQVLVESVRKLNNESDGKSLFVILDLYKAFLIALNLRQNLSTGNSKLEMLLKPCCQGVSKEYSCGNVEKDTKILKYSVCGNPNDSFFWDMIHPSQRGWHA >KVI05062 pep supercontig:CcrdV1:scaffold_23:472402:474489:1 gene:Ccrd_016584 transcript:KVI05062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAHQAFDNMFKRISLVFLIGILAWAYHNTCPPPPRLCGSPGGPPVTGPRVVLRDGRHLAYNEYGVSRDTAKYKIVFVHGFSSCRYDASVFHQELFEELKIYMVTFDRPGYGESDPDPKRTIKSLALDMEDLADNLELGSKFYVIGYSMGQQGGWGSLKYIPHRLAGVALIAPVVNYWWSSFPANLTMAAYKLQPRQDQWAVGVSHYMPWLVYWWNTQNWFPGSSVIAGTPNFSASDWELIAKHRDQGQQGLAESIFRDMRVGFGKWEFDPMELENPFEKEEDGSVHLWQGDEDGLVPTSLQRYIAKKLPWIHYHEIPGVGHLLPNYDGKKEAILKALLLGKN >KVI05076 pep supercontig:CcrdV1:scaffold_23:761676:784926:1 gene:Ccrd_016566 transcript:KVI05076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTPPPVDPEEDEMLVLHSDLTATEEPQPMEGVAPTEAANTVDAPVVDDPPSARRVLIFPKGNNVDHLSMYLDVADSSTLPYGWSRYAQFSLAVINQIHNKFTMRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLLNDTCIVEADVTVRRVVDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPQADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALDEQYGGEEELPQANPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARDGDLHEQIGKYIYFDLVDHDKVHSFRIQKQTSFALFKEEVAKELGIPVQHQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGHLREVSNKANNAELKLFLEVEVGQFHMMEVLELSHNNPQSVFPAILMGELQCKARYVGRLFVKGTGKPIEILSKLNELAGFGREEELELFEEIKFEPNVMCEHIDKKLTFRGSQLEDGDIICFQKALHVESTETCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLNNYDDVVERVASHLNLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLAHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHKDAELRLLEVFYHKIYKAFLSSNLPPYMQIFPLNEKIENINDQYWTLRAEEIPEEEKDLDSQDRLIHVYHFMKDTAQNQQVQNFGEPFFLVIREGETLADVKIRIQKKLQVPDEEFSKWKFAFLSLGRPTYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNTPKRSYAANQTSNLCIKHSPADIWKGLDT >KVI05064 pep supercontig:CcrdV1:scaffold_23:6184:8355:1 gene:Ccrd_016621 transcript:KVI05064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MSNSSSETCIQLPEHMYQKGVKHLCENGVTQVPKKYILSEPERPHNLVVGSCIDLPVIDFAQLQGNDRPAVLRSLAKACQEYGFFQLTNHGITNDVVGKMIDVSKRFFELPFEERQRYMSSNLYAPVRYGTSFNQNNDGVFCWRDFLKLSCYPMQDFAILASLGVMEEDKAILDDANQLTMVNCYPSCPQPQLTLGLPPHSDYGLLTLLLQDQVEGLQIQHKGRWLTVKPIPNSLVVNIGDQFEIFSNGRYKSVVHRVAVNSMSSRLSVATLFSLPVNHNVRPSPELIDESNPRRYRDTNYADFLEFLSSSDFKCKKFLELRKLR >KVI05054 pep supercontig:CcrdV1:scaffold_23:142972:153823:-1 gene:Ccrd_016612 transcript:KVI05054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSKQNSCSSATLQSPVSSFVSIVVVIIIIYLSIHALDFRINLGSIEDLRRFNIQDYSDSYFALSLGDYEISCCHLRTNDVDGILKDISRRYKNRSEPDLYPLLFLTGYLLCFSSGSNMTFSSGEEGPAIVQLHKWEPSRLQVNLAQFREAFLSPTRELVLLLSYHNKGLLLPVGKGEAVNNNGCGIGDLQKPEFLQSSLPQFAATSEPGVSVDDRINSDPADMGPDNTFSIQTDLKRSNSYPMLSNINSLAWGICENSNNQHEGALFRELLFVVGDHGLTVHAFLQPTECRESIEPMLDGKSEGVWVEWGPSTSSPHNTDKQRSSRDAIHQSKPDNDGENVNTCFESGDDLSEAAEGRRWLRTFLIKLKTVKSEGNLCSRFPDKSHFPSSTAVVSFNIFENDSTLLRFVFNGNTNLYQKESSATLDPAGDEMNISYECCRVFVNNSHDLIGFALTLEDFFPANTNDKSERKKRKNVLVGRIVSWGIEWLFSVKLDEVVNMVPVDQWTDFKFSDKYLICLNSAGLINFFGNINGEYVGCVNLLEIHGFHPRLKTVGLEKSFVQGDVPPKGANFEGENRYTTSSHQNGDFVGESVFRTLLVASHTSLVAAIDDYGIVYVVRASDHVSGVNNSVEKSLPQFQQLRYRTLAGWEVGGADISHQRLYNNLSPNLTFVREKSFFKDKMGSKDLPTLQGSAEKMGNESVITGFSAASQIVRHKIHSSELSSGALRSIFLPTDKHSKDDIICLSPFGITRLSRNDGTTKNMTSRIVHGNLHVDSAMNADKDSNGGDQEVTVGVAVGCTFHGCFYLVTEDALSVVLPSISVASGCIPVEAIGYHQINSSTMDQTATLIETKKFKQPWPTWKIEVLDRVLLYEGHEEADHLCLQNGWDLKVSRIRRLQLALDYLNFQEIEITSVVNCLLAVNKRITTIAFFTALLEYSRIPSSVGVERGAYL >KVI05070 pep supercontig:CcrdV1:scaffold_23:403656:404207:-1 gene:Ccrd_016588 transcript:KVI05070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, A20-type MAEEQKWQEATNHVLCANNCGFFGSPTTLNLCSKCYKDHCLKERQMSTAKIAVEKSLTHPQLESETAVTESESSSSSFASASASASAAVSVSVSVFPDQSVPKPADAEPAMASVVGKPQQRNRCGSCRKRVGLTGFTCRCGTTFCGTHRYPEKHDCTFDFKTVGKEAIAKANPVVKAAKLEKI >KVI05072 pep supercontig:CcrdV1:scaffold_23:736663:739999:1 gene:Ccrd_016568 transcript:KVI05072 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MSLSCFRLHGFPTFRCISHRLITLSHFHFRVRGFSRTSMNQAEIGAMVNGSKCCSSKVVINDPKLLHHKKTSIRLAGPSKFQVIADFDNTLTKFWVDGCRGQSSHGLLQQENPEYNAKRDELFNYYHPIEYDPQIPIDEKTKLMEEWWGKSHGLLIEGGLTYDAIRSSVAGAVIAFREGVVELFEFLEARERYPGSYIFSRSSRYHRGGKLKPGPVHVHVLRQKLHRTFKNVKIVSNRMKFDQNGNLVSFTGKLIHSLNKNEHALDMAASLHDHLGEVDDQIIDSASVKKRTNVLLLGDHMGDLRMSDGLNYETRISVGFLNHNIENSLDSYREGFDIVYLDDAPMSGVVKLVSELFSPATD >KVI05053 pep supercontig:CcrdV1:scaffold_23:95965:100730:-1 gene:Ccrd_016615 transcript:KVI05053 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MVKFLKPHKAVVVLNGRFAGRKAVIVRQFDEGTRDRPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKAFIKLVNYNHIMPTRYTLDVDLKDVVTVDTLQSRDKKVTACKETKARFEERFKSGKNRWFFSKLQMCFTKGKNVKDLVSWNKGELTGSNEKDCTEDFLSE >KVI05059 pep supercontig:CcrdV1:scaffold_23:43542:47509:-1 gene:Ccrd_016619 transcript:KVI05059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVARGGWLGQTFALAKFDDSFEGKKSGIRRSKEERKGMVETFIRRYQKLNDGDFPSLNLTRKEVGGSFYTVREIVREIIQENRVLGPPKSPPGDKNMKNLDSFLEHHPLGSMSVDHHVHVPLADAQTLLRYESKSEQILNTSSIPELHQGNLDSDNLVDGSTNKTQRNEEANDPGFTELLAERGEEEHKSDEEVIYVETSQISGGHIVDTTHAAVTNDNGEEQTHIESLERRGNKVQKNGGKELEYSMSWRSEVVIETTHGTANNEPVEKMKNTELLVEGSMGEHVDEVNELVANQTQKHLLLEDVEVGTSSLEPVTSTIQNLDKKLSEREVLDDGLEEKDTENRKLATEKNEHLVSNEMHSPLASQKAQNILSTKENGVLHVNDHTDIKLEGTSPAEEKSVMGSGIKEIEWPRNSPTCDIHQGFHYRIREVLVLTILG >KVI05103 pep supercontig:CcrdV1:scaffold_23:617547:640728:-1 gene:Ccrd_016573 transcript:KVI05103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MKTTLQIAGVTIRESAERHEFRASTIEILVETSGMTTTLVDLQIIPLHNCTKCIRKVKKTLCRFDGVKLLDVDSENGKFTIETTXHPEEIRDALQRKFAGKSVFLSKRINHSNPFSTLLQERVRERVEKETERRKRFADDLSTSKLVGICTTAIREVKDKWMPVEEYVNLHFVKKNESFDRIAKICMGKKDNKYVGFTALPTSIDTSAKKSYLLDNNEVNSILLNLRGGSNKEDLAINSDPCFLCALPKALHMTSALTMTSSSLRFRRKQGFTEMGKPDGHPRKDVTIIPSCGKFSGLSSKPPNGKHELHSDLSFAKGKLVIHDNHNLAKKRKFNKIETDPTNGFRNTWLNFETSSKRKSSNPDALALSLSPPDTNKKNQPSVQGGSSSPSQHKSNHGSTPEAKLDHGPVLILMSCLNCYLHVMVSDANPKCPKCVKDGEGPSLWSRSKKVS >KVI05089 pep supercontig:CcrdV1:scaffold_23:300302:306915:1 gene:Ccrd_016598 transcript:KVI05089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRRHEDFACQSSYGRIRRNTDLDPDSYLMMSPHSKGSWSPRRMEGPPYSGRVLGGHRSASLERRRLVDDGGRRIRSRSPPYMEAKRGRPFYSDDWVMDRDLPTPERRSRYEFFNHMDMKYDDVESPTRNEFGYGRDSSRTAIIDNKFEGRRLDSGIREMGSQKSAVMGMYRSTGDVGPTSTTESVRDLPSASLNIGLGQPGKERVRYPDAQGSYLFDKYTAMKQYGDGEKNMVHPRDAPYSNLTASRSKESIGASHFKDYARTSPRKSRVDHLGYRGGIPVHRDNHNHPLNPADIPDPLSHSRYEQRQRLDLGRDPDLNINEDMARYRRETFSSPRTGHLDSLCLQPRMRERVDYLYPSDDVYEKMNLSERVDYNDRDMLQSNLLNHATQRAETSDFACRNMSSRSSLDHLSLEKLTATNNIGFSRSPAEKRESVQYLDTASVHSRLGRKISGEQEMPYTGMVQDREIEHIRVDHGYKRDVGTGSQKERMRSSPGVLYDTERLRLLERTHKREGHDLPPYDSSSRFLKRKYSMDDEENRITSRTITSRLNTVTRRQDREFSDEEWIDQDARGSYLAKRRDQTHGFSRRVDKAYDEADEEWFSSHPEERMHDHRMKSYKYDDKYAKGYSRSGSRGGYSSNYSNKKYQVSKWKNVSIRSENDKEVDMYPGEHEQYESLASGVKSEPHEGSEEFKQLVHDFFLSFTKKLNDTPGVRRRYMEQGRAGSLFCVVCGRSSMRKVNGLIGILAIAVTYRQVKRSYSTSRRKILFVLMSCDIVEIYNLLIMYTHREQERRISATEIAPHDSPYLASLRERMLILSKEFLDTRRLAMHAFMSHKVGLRAQHLGLHKAICVMLGKGLSSGKVRLGKPANCSMMLVKFLGTFSGLQEAERIHQYFAMNKHGRKEVEQMSYSKGKSKGENGNGDKDGEGVVFGYMGIAEDLDKVDFDTKRKCSIKSKKEIHDIADAPVKAE >KVI05078 pep supercontig:CcrdV1:scaffold_23:272208:273439:1 gene:Ccrd_016601 transcript:KVI05078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MGSSLLDDECDYLFKTVLIGDSAVGKSNLLSRFAKDEFHLDSKPTIGVEFAYRNIKVGDKMVKAQIWDTAGQERVTFENLRKWLHELREYGNRDMVIVLVGNKTDLVESREVEVRDGQSLAQVEDLCFMETSAKENLNVEDAFLQMITKIFEIASQKSLEAKENEASKQVLEGRKEIIYVVDEVTATKHTNCCTL >KVI05092 pep supercontig:CcrdV1:scaffold_23:389240:394887:1 gene:Ccrd_016590 transcript:KVI05092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLIVAIAVKIGEFVFEPFGKSFSYLYHYSKNITSFQSRVLALENCRIEIQGLVSEAKRNGEHVLPQVAAWLTAADGFVDESRKFLEDHQSIPSCTNLVSRYRTSKIAKNRVLAVNKLLGDGKFDRVSRQVPPPLWSASTGNLETFDSRNLTFKAIMEALKDDNISVIGVYGMGGVGKTTLVKEVAKQASEDKLFDEMVISVISQTITVRRIQGEIADKLGLKLEQESESGRATRLCERLKQSTRVLLILDDVWRLLDLEAIGIPHNEVHKGCKLLLTSRSKDVCNEINAQVCVPVNVLSKVDAWKLFSKMASITHGSSDIHLLATKVAERCAGLPIAIVTVARALRGKSKHAWSDALRQLQHPPSKNIKGMQKYVYSSLELSYNFLETDESKSCFLLCSLFKEDVEIPVETLVRYAMGLRLFQNVYQLEAARDRTYAVVEGLKACCLLLDGKGEEYVRMHDVIRDFAILVASSGENMSLVRHDAQSFGSPEGEPLNPFAAISLASTSITELPSGLDCPKLEILLLQFHSDSIKVPSKFFEGMKELKVLTMSDMPILSLPSSIRSLWKMRTLCLEHCKLRDISLIGDITSLQILSFLGSNVKRLPEEIGQLTQLRLLDLTDCEELTTIPPKVLSRLSLLESLNMMNSFVNWSGEDKDMQGNNPSLNELRMLPCLRSLEVNILNVNLIPKDLLLENLIKFKIYVGSYWLVSLTHRYTRALKLRVDEGISLPDGLRMMLKQAQYLILEYCPHVLKNILHDLRMEGFRSLRGLELYGNCDIEYLVDTIGCKPGDVFPTLEKLEIVSLENLKEICHGHLPVRSFSALQELDLKYLPEFICLWKEDTHDHTCLVNLRTVSVHTCQKLEILFPLHTATNTGLLHDLCIFNCGILRGIFSNDTTVAGDKREIVLPVLNVLELSLLPQLRSFYPKMNEISTSDDSLEIPLFGANVIFPELQVLRLRKLPRVKALWPLQVPEESFGRLKVLRITRCNAISKLIPFNMLQRLKNFESLSIINCDMVEEIFELDGLVNVDETTALVNFPPLKELVLCGLSELKHLWWNEGSFGYVSLQFLSLLTITRCDSLTRIFSLSALKGLVQLQRLEIESCALVKEIVSFERGEGSNMVVFPHLHTLRLNHLPDLTSFYKDHKPLDWPSLKILNIANCPNMKTFPASELEQIVDQAGNLCSTIQPLFNEKVAFPNTEELHIQYMDNLVEVWDHLLPDQTFSSLQVLNVRGCEKLIHVGSTHMLARLQMLSKLYIEDCGSVEEIFVTEHNVNQDDTIVSLFSLTELRLKSLPELKHIWWNTDSRCGALHFQNLSSLEVSGCAHLKYILSTSITKGLVQLQELKVNLCELVEEIVSNDISVEDQVEVVVFPRLRTLELKNLPNLKSFCSINGMLQLPSLRALKVMDCPKTRTFASNLTRSNLILENVSEELLENHFPIITEPFFNGEN >KVI05105 pep supercontig:CcrdV1:scaffold_23:695638:710789:-1 gene:Ccrd_016571 transcript:KVI05105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MGVPVRTAGVMGVDNLSEKAAKMRESLQKSQSITDNMVSILGSFDHRLSALETAMRPTQIRTHAIRRAHENIDRTLKAADVILDRFDLSREAEAKILRGPHEDLESYLEAIEQLRSNIVFFTNNKSFRSSDGVISHASNLLSKAISKLEQEFKQLLSSYSKSVEPDRLYECLPNSLRPSSGSPDSKNPSNSHTGHITAENAVFTPLILIPPRVLPLLHDLAQHMVQAGHTQQCLIIYRDTRSPVLEETLQKLGVEKLSKDDVQKMQWEVLELKIGTWIHFMRIAVKLLFSAERRVCDQMFEGIESLKDQCFAEVTAGSVAMLLSFGDAIAKSKRSPEKLFVLLDMYEIMRELHSEIESLFVGKACKDIRESALGLTKRLAQTAQETFGDFEEAVEKDATKTAVSDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFENGEDSNSQLASVTMRIMQALQINLEGKSKQYKDLALTNLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRVVQQHANQYKRIAWQKILQCLSIQGLTSSGGGSSVGGDGGNSSGASRALVKDRLKIFNVQFEELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFIKRFGALVENGKNPHKYIRYTAEDLDRMLGEFFEGKTSSDARR >KVI05065 pep supercontig:CcrdV1:scaffold_23:28918:29271:1 gene:Ccrd_016620 transcript:KVI05065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLQFRLQDLPAIQLSSLTLKLPPPQQESSEESCRTQSEQVEECVTPTSPEHRIPEILSCPPPPKKQRHSAPSCKRRLCEFQFFEVVAREEIDSFFKSSYEFINQESSKKRRRPL >KVI05069 pep supercontig:CcrdV1:scaffold_23:440007:458972:1 gene:Ccrd_016585 transcript:KVI05069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMLHTLTVTPPVTRTAHFRSRNCEFTSPHLVSCNRIFGGIITNSLLPRKLHSVNGRKIIRNSAISDQGNGGLDRDPQAATVANFLVSTKALLNFAANNALSVALIGAIVLGMANPTPGCIAHRYNVSTFSVFGMFIISGLTLGNEEIGEAAEAWPIALFGLASILLLTPLLSRIMVQLPLQPQEFATGLALYNCMPTAISSGVALTRIAGGNAALALMMTVTSSLLGVLIVPFSISKLVGGGVGASVPAGSLLSVNPLKIPWTQVSTSRPLLLSVKPTLVLVAVKSITVLVAVVNQLGATFGASGLLVLPCIAAHLSQV >KVI05067 pep supercontig:CcrdV1:scaffold_23:498791:511466:1 gene:Ccrd_016580 transcript:KVI05067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPITSGNNETKGPIHPVQPSANGKRQLADSRRDVRFASQGRNDTTNLLADDLDPLDELTVGAPQDLTSFLNFEEQDLEEDFAAGLDIPMDDLTQLDIFSSNPPQRRTYTFLPFYAFRTRDEDKDMKMKKMKTDCKLNFQLTSLLLEKELLNNRKQASPKKALESQTLGCHSRRQEKRHGIPDKLYTSQPQDGATSLDWATLAKYCTKIWSDLFLPVPHSISFTICSSFETSSLVGKLLPWLPAAPGFAIFSFSNNSPPKSSSRLRPCINPFKSGRLSISLRNAASALAKLFLLRNSSMTSTLESSIFELQQQGNKTLILQEWSS >KVI05087 pep supercontig:CcrdV1:scaffold_23:193740:196582:1 gene:Ccrd_016608 transcript:KVI05087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEVSMVRKPEDESSSHRNPLFSIISKFTQVFNLRFPPSPPPPPAKKDVVKVERVSKGSGDAVLHGSEVAEESKLVTVRFPEARTTTVAPLKLEPEEVEQGTKPVVLWQWVLGRWKERRAKKKSSDEDSPPPPPAANE >KVI05084 pep supercontig:CcrdV1:scaffold_23:199767:210117:-1 gene:Ccrd_016607 transcript:KVI05084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myotubularin phosphatase domain-containing protein MLRPKCPLKDGIIMQSTIRKKKTVLSRWLTEILPPWDSRLAGVDMVHILCAYTRNSCKTAESPPADPSFQMANIEIVWTGKRRAVFEALLRCARPVRLWDMYTFSSGPSRFSNSSPRVRLVNEYLRLLGMESHHSDISTIEDGSFEASNDWWRISDVNANYDMCATYPFSLLIPKSIRDEDVLQACKFRARCRLPAISWCDARTGAVLARSSQPLVGLMMNMRSNADENLVGALCTQLSGGKGGRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESLVRLRDYLDTHGTKSSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHIQNVLAGSAWIAARVAMESASVLVHCSDGWDRTTQLVALASLLLDPYYRTIKGFQALVEKDWLAFGHPFSDRAGMPNLTGSGLDLPRQSSSSNFPSSPIRQAPGTFPSQSSASSQTQTSNNYSPIFLQSCTKKRHDKEMQLLGKTNAILFTPSYLSLSVWIDCVSQLLRMYPFAFEFSSAFLVHFLDCMLSCRFGNFLCNSEKEREQYGVSEACGCLWMYLDDLRASGGRSHVHYNLFYDPSKHDGPLLPPAAALAPTLWPQFHLRWSCPSEAQSGEVEAQCRIMMEQISELQKAKDVAERKAREMISTMEAIKVEIRTEKQTSSSAMNLARKANRENEIIKRAIQSLGCRIQVSGNDDCTIDIESNLGDLPQRITSSPSTRDSADSNEEKTNMSISVTLTPDSNDDIPGNPILRVCESLCPLRTREGGCKWPDAGCAQLESQFVGLRANYDAFDRLSIYDGYFQPE >KVI05099 pep supercontig:CcrdV1:scaffold_23:552775:560550:-1 gene:Ccrd_016577 transcript:KVI05099 gene_biotype:protein_coding transcript_biotype:protein_coding description:FeS cluster biogenesis MSGQAIFMDLLCLTAVLPIVITIVAQVSWASIEREMATSKLAAAAAERLGTAARRQALTLTEAAAGRIRHLLQQRQRPFLKLGVKARGCNGLSYTLNYADEKGKFDELIEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTSTAEATKAGGSS >KVI05079 pep supercontig:CcrdV1:scaffold_23:274069:275551:-1 gene:Ccrd_016600 transcript:KVI05079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVETKEGGVSIDGNDAEEKRAVALFLAREEEIEKKKMQVREKVKFQLGRAEEETRRLARVWEILTDPMRKEVATVRKKIDTANREVRSLGQSCQKKEKEYREAMEAFHQKNNEKNQLTITLVEVKQLVKESEKGRMKKLEELSKIMDS >KVI05066 pep supercontig:CcrdV1:scaffold_23:474647:477960:1 gene:Ccrd_016583 transcript:KVI05066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDPTKLENPFGKEKKGSVHLWHWDEDMLVPTSLRRYIVKKLPWIHYHQIPVVGHFLSNYDGKKKAILKAVLLGEYQVY >KVI05101 pep supercontig:CcrdV1:scaffold_23:587313:591194:-1 gene:Ccrd_016575 transcript:KVI05101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S25 MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLSEAPKFKLITPSILSDRLRINGSLARKAIKDLMARGAIRMVSAHASQQIYTRATNT >KVI05097 pep supercontig:CcrdV1:scaffold_23:530202:537698:-1 gene:Ccrd_016579 transcript:KVI05097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGLSVLIGLKAATLFIIFAYLRTLGFTFLSVPFLYASLVSLLVSLASHPATNLPMLLGKNTDGSFPIWSLIIFSPYLYFVQGFSALRRLKSREAPYTEVSDGLYVGGWPSSPDKMPPGNPAIIDCTCELPRVFGISGNGYLCVPTWDTRSPDPGAMESAVRWACRKRAQNTPIFIHCAYAMKSGLSVQMGWKAATSFIIFAFLRTLGFALLSLPLLYSSFISFLVSIASHPSINFPILLGKNTNGSFPIWSLIIFSPYLYYVRWISSLKRLKRREPPYTEVSEGLYVGGWPSSPEKMPSGDPAIIDCTCELPRVFGVSGNGYLCIPTWDTRSPDPDAIESAVLWGCRKRAQNTPVFIHCASGRMNMVDERQMIQKLEVFIFKLLLRAAIMDLQLQGMKYGLCIHMGLKSAILFIIFSYLRTLGFTLLSLPFLYASFISFLVSIASHPSINFPILLGKNTDGSFPIWSRIIFSPYLYYVRLIASLKRLKRREPPYNQVSDGLYVGGWPSSPEKIPSGDPAIIDCTCEFPRVFDISGNGYLCIPTWDTSSPDPDAIESAVLWGCRKRAQNTPVFIHCASGHGRSVAVMCGLLVALGVVDSLQAAEDLIREKRPSARIRDHHRTTLQQWSNNRLFPPLKPDSMHLLH >KVI05082 pep supercontig:CcrdV1:scaffold_23:236740:237869:1 gene:Ccrd_016605 transcript:KVI05082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAPPVNRNAADRPTSGLLKANTLPRRAYVTFLAGDGDYWKGVVALAKGLRKAKSVYPLVVAMLPDVPMDHRQKLLAQGCIIREIEPLYPPENQTQFAMAYYVINYSKLRIWEVTNLPVLFVSVYIYKPLPTEYNLILAMLWRHPENVDLDKIKVVHYCADGSKPWRFTGKEKNMDREDIKMLVDKWWDIYNDETLDYRRTGAGGLSPQLITAVETPTPALTKRGGRPRYVTAPSAA >KVI05050 pep supercontig:CcrdV1:scaffold_23:93886:94308:-1 gene:Ccrd_016616 transcript:KVI05050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAPKAAEKKPAEKKPAAEKAPAEKKPRAEKKLPKDASATDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >KVI05100 pep supercontig:CcrdV1:scaffold_23:584751:586799:1 gene:Ccrd_016576 transcript:KVI05100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MASIALSLPTMMKLLAFIVVFFVYVESIDQQKPDNGVIINVTKRFSFPDFQGPRTAHDLKLLGSSVVDETGRVRIPDTTQKDVDLKHLAGRAVYAYPIRVFDPVSRTPASFETTFAFQLETLESNSSSGDENGGDGGGSGFTFMFAPDEFTVGRPGPWLGMLNDACDEEYKAVGIEFDTRENVEFGDPNDNHVGINLGSIVSTITINASEFGVNLNDGEIHRVWVEYSGESRFLDIRLGSDRLGYPSKPMFSDRLDISDFLKEYMFVGFSASTGNFAQIHNVFSWNFTSTSQASLRVPSPETCDSKLITGDGDDGGGAHINRLNGFFIFMTVVLLVALALVSLYYNRKHRSGNKSNEAVMLPAVKERPRPPNKPRRFTIAEISSATRCFDELHKVASDERGDTYRGTLLNGCHVAVTKFSTSYLRSHGVYWRRVGKEIKAMSKLRHPNLVAIRGWCFDHQETMVVYDYVPNGTFNEWLYGIGVLPWSRRLRVVRDAAQALSYLHSQKHAHKNVTTSSVFIDVSFRAMLGDFGFVMSGTESNQFEAAVSQPADVFSFGVLVLEVVAGRKRYKSESDLDGSDSDLDRDLLEWAWIMHEMKEKEKVVDGKMCSVLNMDQAVHVMDIGLLCTLQESKGRPSMAEVVEYVSFEKEVPELPASRPMALFPYTSTTGLCSSSYMCPSFK >KVI05083 pep supercontig:CcrdV1:scaffold_23:250417:258794:1 gene:Ccrd_016604 transcript:KVI05083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase, small subunit MAQGKKKERKNSLAKRSQHRAEPTQRTVLLFLHLLSMAASVSTHSALFHGGRAPPMKLPASNLPPSTKTLGCMPTIFSPTLFSEPKRSEFKGLSVQASSGTVSSAVDSAPSLARPKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTERVLQQVMKQLLKLVNVMKVEDISKEPQVERELMLIKINADPSYRLEVKWLVDIFRAKIVDISEHSLTIEVTGDPGKMVAVQRNLSKFGIQEVARTGKIALRREKMGESAPFWRFSAASYPDLEGINSKTPLQAKKKTEYLESDTSVGGDVYPVEQTDDYPFNQVLDAHWGVLNEDDTSGLRSHTLSMVVNDSPGVLNIVTGVFARRGYNIQSLAVGHAEVEGRSRITTVVPGTDDSISKLVQQLYKLIDVHDVIDLTSMPFAERELMLIKVAVNSTARRNVLDIASIFRAKAVDVTGDVNKMAALQRLLEPCGICEVARTGRVALTRESRVDSSYLRGYSYPV >KVI05080 pep supercontig:CcrdV1:scaffold_23:259950:265007:1 gene:Ccrd_016603 transcript:KVI05080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MWLPKSGSSLHGGGGGGDRLQRKGTAMVAVAIDKDKNSQHALKWTIEHLVTRGQTIVLIHVIRKPSAAGNYSVQCLDVILEDTSISKALTEYASYAAIEFLVLGASSKHGFLRFRSSDVPSHVMKAAPDFCTIYVISKGKISSAKKSSRSAPFPSPLREKIQQQSNHSFEASIRRKNSFNMQSLSFIIPVKLRSKFLKILCSVHDTTNLGRGLNPKLLCGELSESDTDISFVSSGRPSSDRMSSALRYDISSLDLSPTPRLSTSSDHSFGSMYYGTKGSDFGAMFSSSSIDSKRPSSARSGVIHIPSYAIRNFKTFATKRLSLKLNQDEVDDEMRRLKNELQRTMEMYSTACKDALTAKQKRLDDVRVAEEEAREIADKEKKRYQALMAKARASRRIAEIESEKRGLEQSEEKPTESNNVNLNQFKYRRYTIDEIEEATEFFTEARKIGEGGYGPVFKGRLDHTLVAIKVLRPDAAQGRSQFQQEVEVLSCMRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLLRRGNTPPLPWQIRFKISAEIATGILFLHQTKPEPIVHRDLKPGNILLDQNLVSKISDVGLARLLPASLTEDVTQYRMTSAAGTMCYIDPEYQQTGMLGVKSDVYSLGIMLLQLITAKPAMGLAHQVRRAINKGTFAEMLDPTVSDWPIDEALGFAKLSIQCAELRRKDRPDLGKVVLPELCRLRDLGEESMPSISAFGTGPCPSHSQTSIPLVSKASYPFRS >KVI05093 pep supercontig:CcrdV1:scaffold_23:363042:364505:-1 gene:Ccrd_016593 transcript:KVI05093 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVSLLMTFLTLSSFTIFILFFLKHKLYCTCDVCHSYLTSNWSTQYNNLCDWYTHLLQNSPSQTIHIHVLANTITANPKNVEHILKTNFHNYPKGKQFSMILGDLLGNGIFNVDGDAWMFQKKMASLELGSVSNAFDNVKFHVESRLLPLLSSVARGKDGGLIDLQCVFRQFSFEYICRFSFSLDLNSLELPFTVSQLSDSFDLATTLSAKRAMSTSPVVWKAYRRLNLGSERKLKEAIQNVNILVEKVIENKKKLGFTKNQDLLSRFMEVSDDRSFLRDVMVNFILAGRDTVASALTSFFWLIMNHPEVESRIRIEMDRTKNRKQDQLATFEEMKELHYLQAAIHESMRLYPPIQFDSKFAAENDALPDGTRVKKGTKVTFHPYAMGRMETIWGKDCKKFKPERWLKNGVFKHENPFKYPVFQAGFRVCLGKEMALMEMKSVVLGILTRFKLRLVKPDGELRFEPALTATVKNGLPIIVGERRVASH >KVI05071 pep supercontig:CcrdV1:scaffold_23:414786:417560:1 gene:Ccrd_016587 transcript:KVI05071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yip1 domain-containing protein MSREFNVPPVIFPSGGNPNTGPQRRLPTAPFQPPRSSNPSIPFMSFDIGSSPASTSFSTPQFGSIGSGSASANFDDEPPLLEELGINTKQIWNKTASILNPFRVKADLHEDADLSGPFLFLMAFGLFQLLAGKLHFGIILGWVTVASLFLYVVFNMLAGRNGNLDLYRCLSLIGYCMLPIVILSAVALFVPQGGLVIFVMMGIFVIWSTRVCTRLLVELASCGDEHRGLIAYACMAGHNICEANLFLMYKESWWLPELSFAKGVFETLDENISGLPQ >KVI05073 pep supercontig:CcrdV1:scaffold_23:731632:734706:1 gene:Ccrd_016569 transcript:KVI05073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ MFGRAPKKSDNTKYYEILGVPNTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKRQIYDEYGEDALKEGMGGGGGGHDPFDIFQSFFGGSPFGGGGGSSSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCTGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQQPCNECKGTGETIDDKDRCPQCKGEKVVPEKKVLEVHVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDIFYEHTLNLTEALCGFQFILTHLDNRQLLIKSLPGDVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFSVDFPESISPEQRKVLETVLPARPSVQISDMEVDECEETTLHDVNIEEEMRRKQQQAAQEAYDEEDDDDMHGGAQKVQCAQQ >KVI06121 pep supercontig:CcrdV1:scaffold_230:132868:140666:-1 gene:Ccrd_015529 transcript:KVI06121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle subunit SRP68 MATEKSDVSSMEVDDQISTNPKFSINVLQLLKSSQMQHGLRFGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTKKSITAPTVTEVRFLHLVLYTAERAWSHAMEKRQLPDGPNARQRSYLIGRLRKAVKWATLFAELCAMKGDSRTSLEAEAYASYMKGSLLFEQDQNWDIALKSFKSARQVMVVYEELGKYGDLDNQVLCRERVEELEPSIRYCLHKIGESNLQASELVHIGEIEGPALDLFKAKLEAVMAEARSQQAASMTEFRWLGHRFPISNAKTRVSILKAEELEKELLDRMEGALPAEKKLAVFDKIFAAYHEARGSIRNDLVCGNSENIKDDLSGLDKAIGAVLGQRTIERNQLLVSIAKSKLSKARDEKNEKVTKPEELVRLYDLLLQNTADLSDLLSSGRERKEKEIALSEECEVKSLIFRAERCFFLAKSYSSAGKRTEAYSLFSLARSLAENALKKLRIVANQDQVMIKELEILLKDCRSHSCIEHAMGIMEHEKAPEDISKKISNVSISGSDKKSEKFLIEKLECYESAVADSSTRVAPRIAAYQPGFQAIPRNPIVLDLAYNSIDFPSIENRMKKDKKGFISRLWG >KVI06120 pep supercontig:CcrdV1:scaffold_230:114190:131444:-1 gene:Ccrd_015528 transcript:KVI06120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MQQDATMYFNKLEDSPMFRQQIQCLEEIAETLRERGSKLYKGCRKYTFMALVCGKWLLSFFFGSYREGLGEAYDRDLAFSSSLENFGGGPDPISLAFGGPDMIKFAVVLREVGAYKENLRSQCPYAASILPCADVLHLSFQIEHTLSERLLRFSNVELQDIKEARKRFDKADAVYSQIRDKFLSLRKSTRTEIAAAMEEELYNARMTFEQARFNLVCALSNVEAKKRFEFLESVGEAMDAHLRYFKQGYDLLHQIEPYIRQVLLNAQKTRESYYSEQKALNERIQEYKRHIDVGNRQSSNPPVCNEDLVPQYPRNSHKLIQAVMQSASEGKVQNIKQGYLSKRSSNLRGDWKRRFFVLDNRGMLYYYRKQLTRPSGCGPQRSNAAEPGPGLLSRWLSSHYHGGVHDVARHTVNLLTSTIKPDAEQSDLRFCFRIISPTKIYTLQAESSVEQMDWIEKINGVIASLLSSQSPEMVDRESIYSKIEDVDSTHFFSQHPCTSPTSEASLGVKPLAQDRKTSEECSSEKDRTCRNMMRVCRSSQQLQYGGRCEKPVDALKKIPGNDKCADCGAPEPDWASLNLGILICIECSGVHRNLGVHISKVRSLELDVKIWEPSVIMLFQSLGNVFANSIWEKFLTAKRTLQADDLPRRSSEPDKSRESFGKPSCGDHISTKEKKYIVYSLMQVNSEVSFYWVQYAERRFIQKVKDTHLILLEQQLWENVRANDKKAVYLLIVICEADVNAAHGHASYSSSSSLASIMHLEDLSKLDHECDYLRAYSTDNSKSLRPIREIHDPLTDESLEGCSLLHLACQTADCSMVELLLQHGANINASDSKGQTPLHHSIIRGRTSIAKLLLHRGANPQAADMEGKTPRQLVTELGIDDIETIALVRAPKR >KVI06134 pep supercontig:CcrdV1:scaffold_230:262246:267002:-1 gene:Ccrd_015540 transcript:KVI06134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MDSTEKQDRRERAKERREKRRQEISLIRSIPYSDHQRWWSAETIAVVTGANRGIGFEIAHQLGLHGLTVVLTSRETAVGEEAAKVLQEGGLNVVFHQLDVLDQESIDSFCAWIKENYGGIDILKKCYMPLSAYWVPLNSIMGRGLYMTCTYQCKLVQINNAGFNHNIGSENSVEFAEKVISTNYVGTKNMIKATIPLMRPNAAGARIVTVSSRLGRLNGRKNRISDDEVRHQLEDVDSLSEELIDATVNKFLEQVKDGTWTSGGWPQNNTDYSLSKLAVNAYTRLVARDLADRPEGEKIYINCYCPGWVKTAMTGWAGQVTPEEGADTAVWLSLLPDMRVSGKFFAERREIHF >KVI06115 pep supercontig:CcrdV1:scaffold_230:199032:201939:-1 gene:Ccrd_015535 transcript:KVI06115 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUA-like domain-containing protein MASRSPPCLYKHHSYSSQRTSIFQSISSLKHQLLPLRRLASMAPVKQMPCNIDGVCMMCKTKPSEAAKVLCITCLTSWHVTCITIPPQTVAAVLLWECSVCTNRDVIGAPADVPTPCGHNFCLKCFGKWMSQGKPNCPKCRYVIPSAMARNPRVNVLMENAIREAKVSVSVQPQGSSSQTDRPDAAFTTERARKAGLANASSGRILVTVPRDHFGPITAENDPKRNQGVLVGEYWMSRMEVRQWGVHFAHISGISGQSKTGAQSVVLSGVYKDDEDHGEAYKNKHSVYSPREGYRYDGIYRIERCWRKVGVQRYAWRPS >KVI06128 pep supercontig:CcrdV1:scaffold_230:314158:317281:1 gene:Ccrd_015546 transcript:KVI06128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKRRMCCLQFFCLLLIGCLVLEIYASSGTIFYESFDQSFEKSWIVSEKEDYLGVWKHSKSEGHDDYGLLVSEKARKYAIVKELDKPLELKDRTTVLQFETRLQNGLQCGGAYLKYLRPQEAGWTPKQFDNKSPYSIMFGPDKCGSTDKVHFIVNLKNPMDGKYEEHHLKASPSVPLDKLSHVYTAILNPDDTVIIMIDGKEEKKADLMSPADFHPPVRPEKTIHDPEDKMPEDWDGRSKIPDRDAKKPDDWVEDAPLEIIDEDAEMPEGWLVDEPYEIDDLEAVKPEDWDDEEDGEWERPKIDNPKCESAPGCGEWTKPMKRNPDYKGPWYPPLIENPNYMGVWKPREIPNPNYYEVGKLNIEPVVAVGIEIWTMQDGILFDNILIASDEKDAASIRDATWKPKFSVEKENQTIEEQAASLDGLKGTQELIEEAEKQPSIVVGIIISIVVVIGKVKDVGKTSSGEADDEDAAAAHHKRNTRRDS >KVI06133 pep supercontig:CcrdV1:scaffold_230:275299:280047:1 gene:Ccrd_015541 transcript:KVI06133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress up-regulated Nod 19 MSPSTQSLVFLLAIVLLAICGRISQARVTIENGVKTMVYLSPKITQQPGSVSNKFYYDIEFPKGHIALKSFNAEVVDEAGNPVSLQETYLHHWVAVRYYQREGVKDVKYNGKLGFQQSDIIIAGNSGVCNHGLSQFFGLGSETRKTSTYIPDPYGIEVGNPLEIPAGYEEKWLFNIHAIDTRDTEDAMGCTECRCNLYNATVDEYGRPVKPSYVGGLLCCYDGMQCKVNNELKSVERSLYLRYTVKWVDWSDSVVPVKIFILDVTDTWQSTGIHDCVIEFNVEPCTTGXATNDCISTRRSNVSFPTAGDVIYGVSHQHSGGIGSALYGEDGRVICSSKSIYGKGNDVGDEAGYIVGMSTCYPEPGSVKISKGEIVTFESTYSTEKSHTGVMGLFYLLIHQEPKVPIFLWGVAMFGLAISAAVVVAYRRRKQYDDGYQFIAT >KVI06132 pep supercontig:CcrdV1:scaffold_230:282392:286497:1 gene:Ccrd_015542 transcript:KVI06132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MASSAIKHSEDHHLRRRPVATDLMAGCCNPVKEQGPVTLDHVLLALRETKDERESRFRGLFNFFDTSNAGYLDSVQIEVGLSALQIPADYKYAKDLLRVCDANRDGRVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILPEELYDALVKAGIDIDDDELASFVERVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWERVYLVDIGEQAIIPAGISKHVHASKYLIAGGVAGAASRTTTAPLDRLKVVLQVQTSNASIASAVKNIWKEGGILSFFRGNGLNVIKVAPESAIKFYTYEMLKNFIGGDGKDDIGTSGRLLAGGMAGAVAQTAIYPMDLVKTRLQTFVSGNGKVPSLGKLSKDIWVQEGPRAFYRGIVPSLFGIIPYAGIDLAAYETLKEMSRSYIIQDSEPGPLVQLGCGTVSGAVGATCVYPLQVVRTSAYKGMFDVFMRTYQKEGVRGFYKGLVPNLLKVVPAASITYLVYETMKNTLDLE >KVI06125 pep supercontig:CcrdV1:scaffold_230:47299:49922:-1 gene:Ccrd_015523 transcript:KVI06125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSRQSVIPMFLYSSSSSSKNLIQFELLIANRWNSSSFPESPSEVGKMQVFSPAYYAACSVGGIFSCGLTHMAVTPLDLVKCNMQINPAKYNSISSGFGTLLKEQGFKGFFTGWVPTLLGYSAQGACKFGFYEFFKKTYSDIAGPENAAKYKTLIYLAGSASAELIADIALCPFEAIKVRVQTNPGFARGLSDGFPKFINAEGTAGLYKGLAPLWGRQIPCKLRDHRVHNSQLLHLGSKFYSLQQKSFSYITRWPSEHLERPINPLPPVTILNFPSRTGGYLDALQANYDHILCLGEIMCIAIYVNCLLEEFADTMMKFASFETIVEMLYKHAIPTPKDQCSKGLQLGVSFAGGYVAGVLCAIVSHPADNLVSFLNNSQGATVHDAVKKLGLLGLFTRGLPLRIFMIGTLTGAQWGIYDAFKVLVGLPTTGGAPPLPAKVNSRTN >KVI06109 pep supercontig:CcrdV1:scaffold_230:172589:173381:-1 gene:Ccrd_015533 transcript:KVI06109 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MTAMVSALSEVIGTDDTHHHPHPTIIPNQPPLNIQHSPPAAEGNQRGRQRHYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAAIAYDEAALRFKGNKAKLNFPERVQPGRSELGYLTTRPHHPPPPQSQPPYHATMPPDYSQFLLGAANDMGYNPNILQSSSSSSTNLQGSSSGFGSMQYWQDFDPNN >KVI06131 pep supercontig:CcrdV1:scaffold_230:296393:298009:1 gene:Ccrd_015543 transcript:KVI06131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIFCKQSTDLIRILKNHCKSIKEIHQIHAQIITRGLLSLHPSSTSPLLTTILHTFNSLLSAPLPPPHHRHHPISIHYPLSLFNLITYPSTFSWNTIIRSHTLLSFPENAIFFFVQMRRRRLPPDAHTFPFVLKACAQLRQLSLAKTIQSQSLKFGIRPDNIALVSVLSACGRVGKLEKGTEIHNHIKQSKIQVDSFLCTALVDFYSKCGFIETARDIFEATPYKNLFTWNAMLVGLAMHGHGETLLSYFSKMVKNGVKPDGVTFLGVLVGCSHAGLIDEARRLFGEMESVYGVHRELKHYGSMADLLSRAGLIKEATNMIETMPMAGDVFVWGSLLGGCRLHGNVEVAEKAAEQIMEISPEDGGVYSSMADIYANAKRWDDLTKIRRLRDSRRVKKNAGCSLIQLDGVTHEFVAGDDLHPQTDEIYLVLNGIGQHQFEMQL >KVI06123 pep supercontig:CcrdV1:scaffold_230:86437:91047:-1 gene:Ccrd_015527 transcript:KVI06123 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAGALVHSAYFSSGVRERKLQANGTGKVKRTVKMMCSSYAPPMRMRTFSGLRVSNALDNILRNGHDFHSKVALATSGRRRKVSRFVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSLGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPNNIRTQACVIRMVGESGEAVGANVGGGSSGSKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVITLDMGLLVAGTKYRGEFEERLKKLMEEIRQSEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPDRFLPDKAIDLLDEAGSRVRLHHAQLPEEARELEKELRQITKEKNEAVRGQDFEKAGELRDREMDLKTQISALIEKNKEMNKAESEASDVGVTVTEADIQHIVSTWTGIPVEKVSSDESDRLLKMEDTLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLATYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSNVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKGKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLGGGALPEPVAV >KVI06112 pep supercontig:CcrdV1:scaffold_230:216724:219912:-1 gene:Ccrd_015536 transcript:KVI06112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MDPDSLSASLFKWDTRAALAQPAGRLYEPITLQQQQQPPPPPPPPPPHPAAMAATSAGMGGYLVRESRDLGGLEEVFHAYGVRYFTATKIAELGFTANTLLDMKDEELDEMMNSLSHIFRWDLLVGERYGIKAAVRAERRRQEEEDSRRRYLLSSDTTNTLDALSQEGLSEEPVQQENEAAGSGGGGGAWEMAAMRSCGGGGKTKQGQRRSKKIGVRGRIGSSSQMGGGDDNFENESEDDPDNGGGGAGVERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCRDFLIQVQTIAKERGEKCPTKVTNQVFRFAKKAGASYINKPKMRHYVHCYALHCLDEEASNGLRRAFKERGENVGAWRQACYKPLVSIASRQGWDIDAIFNTHPRLSIWYVPTKLRQLCHAERSSAAIVAAAAASTSVAAGGGGGGGGHLHF >KVI06116 pep supercontig:CcrdV1:scaffold_230:252370:255721:1 gene:Ccrd_015538 transcript:KVI06116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MRNSSWGFLLMFLSAFLLDLDAFELRKGQKTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFIVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKDGSITVPPYAPPQKMQSHLIPPSIPRSIFVYFRGLGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEDIGVYVDEKDVPNLDTILTSIPTEVILRKQRLLANPSMKQAMLFPHPAQPGDAFHQILNGLARKLPHDKSVYLRKGEKVLNWTAGPLADLKPW >KVI06127 pep supercontig:CcrdV1:scaffold_230:318432:327137:-1 gene:Ccrd_015547 transcript:KVI06127 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-induced silencing complex, nuclease component Tudor-SN MAQAAGNSGWLRGKVKAVLSGDTLVIMGFTKAEIPPEKTVVLAHLSAPRLARRGGQDDPFAWESREYLRKLCIGKDVVFRTEYTIPNFSREFCSVFIGTTNVGNEVVTHGWAKVKEAKGEVTPEHTELLRLEEQARQQGVGLWDRVSYCLLTQLIASYLVPRHMSPGSVEAAIRNLPPSAVGDPSNLDAMGLLATNKGKPMEAIVEQVRDGSSLRVYLLPGFQFVQVFVAGIQSPSMGRRTTQESTIVTEVPSDEPNGEANAESRGPLTSAQRVTASSTYNEVAADPYGREAKHFTEIRVRIVLEGVDKFSNLIGSVYYSDGESAKDLAMELIENGYAKYVEWSASMMEDEARRKLKAAELQAKKTKLRLWTNYVPPATNSKAISDNFTGKVVEVVSGDCIIVADDSLPFGSPAAERRVNLSSIRCPKLGNPRREEKPAPYAREAREFLRTRLIGRQVQVSMEYSRKVPLADGSAAPAGPADSRVMGFGSVFLLSQGKESEDVSAAPPTAVTQQPGVNVAELVIARGFGTVIRHRDFEERSNYYENLLAAETRATAGKKGIHSAKDPPVMHIMDLLTASAKKAKDFLPFLQRNRRMTAVVEYVLSGHRFKLFVPKETCSIAFSFSGVRCPGRDEPYSNEAISLMRRKIMQRDVEIEVETVDRTGTFLGSLWESKSNVAIILLEAGLARLQTFGADRIPDAHLLAQAEQSAKKQKLKARSLIWENYVEGEEVTNGAAPDRKQKEEFKAVVTEVLGGGKFYVQNVADQKVASIQQQLASLNLKEAPVLGAFNPKKGDIVVSAPRGVVQSTKDRFEVFYIDYGNQEAVTYTQLRPLDASVSSAPGLAQLCQLAYLKVPTLEEDYGQEAAMLLSESTLNGPKEFRAVIEERDTSGGKVKGQGTGTIILVTLIDEEADTSVNAMMLKVARLEKRRRWEPKDRQAVLDELEKHQTEARTKRLGMWEYGDIQSDDDENPLPAKKTGAAGKR >KVI06122 pep supercontig:CcrdV1:scaffold_230:54731:57434:1 gene:Ccrd_015524 transcript:KVI06122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) TSIKFPTRPVRRRNVTESFQTPSLGFIILTQILQQNSLLLLLQPDAPQMAAVPVTCVRLTTSMHNNQIVRKNSTFMIVPMGRIERGFPCLRSSRFQIACAAKPETVTKVCEIVKTQLALSADSEVAPDSKFSALGADSLDTVEIVMTLEEEFGISVEEENSQNITTVQEAADLIEKLVEKKNETA >KVI06113 pep supercontig:CcrdV1:scaffold_230:232717:234983:-1 gene:Ccrd_015537 transcript:KVI06113 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MEGKTVVECKIYTETEKIQSVCLQDEDGSSQEEHKKILKMRALLEIHDPTSKECDDVTIRRFLRARDLDIEKACAMFLKYLKWRKTFIPDGSISVSEIQNEIAQNKMFMQGSDKTGRPIAVVFGGRHHCNKKGGLEEFKRFVVFGLEKLCSRMPPGQEKFMVIGDLQGWGYSCSDIRGYLAALSILQDYYPERLGKLFILHVPYVFMTVWKMVYPFIDEKTKKKIIFVENKQLKSTLLKDIDESQLPEVYGGNLKLVPIQDNQCV >KVI06114 pep supercontig:CcrdV1:scaffold_230:178374:190686:-1 gene:Ccrd_015534 transcript:KVI06114 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGNCCLGGAATSQSAIRRSSSHRDANGPNDAVDGYLKSRGYHGLFTQIELSLSASNLRDRDVLSKSDPMAVIYTKGRDGSLQEIGRTEVVLNSLNPQWIKKVIITYHFEVVQTLLFHVYDVDSHFHGLEEKMLKLDEQQLLGEGTCLLSEIVTRPNRTLPVDLVGRVESTSSTHPKKLGQLTVHAEECVVSKTTTELRIRNASPKVYGPSDQSLSHGFYHNDPFLVISKCVESGHAVPICKTEVLKNDLDPTWKPVSISISQVGSKETPLIIECFNFNSNGRHDLLGKVQKSLVELEKLSSGGEGEHLFVPVAIGKDHQTKVLKSRLFVDKFSETSNGNPRLPDSLHYIDPSGRPNAYQKAILEVGEVLQCYDFDKKFHSWGFGARPIDGPVSHCFNLNGSSGHSEVEGIHGIMMAYASALFNVTLAGPTLFGPVIAAAAMIASQALAANQKKYFVLLIITDGVITDLQETKDALVNASDLPLSILIIGVGGADFKEMEILDADKGERLESTTGRVATRDIVQFVPFRDVQGGGEISVVQSLLEELPSQFLTFMRNRDIHPNTC >KVI06124 pep supercontig:CcrdV1:scaffold_230:77777:85845:1 gene:Ccrd_015526 transcript:KVI06124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-fragmin kinase, catalytic domain-containing protein MAANETKDDLYALALQKEEEDKYKEYGFGSDETDPPLPLTVASRVLYMLGDIAAGPAFRFSQWLELVRKRSSKSKASGFPHRPQRLDRDLSLESNNSPPEQVTEISLWERLGKAAMLNIESSSFSWDMLSSLHHTEHSSCNDSEDDMNKALEVTVNSGGVVFFALFNHNKGGEFLPKEAAAVIKIASSRMATQSERLGYEFAKWLGVRTPQARVIHNCSSEWLKIKEAAEKARETVVSDGDEVGEMTCSELIEALELSRCLYLMNYVHGSPLLENSSAFTTQEAAEKTSAALGRILMLDLVIRNEDRLPCRHLRWRGNSANLLLADKMATANRDALEEAFDSAINRYRPRVIRALQKERRSTSVDSRLSAGGPDLASKSSDTVLGSPMSGERTLTRQVTIDPIITDFDVVAIDSVVPRRPPAGKRAHDQENYPKLVELLINSPEYASHLLYEITIGKLGVPPEAVETPDNLPFKDMTSVIHEFRSGFRAALMDLQGFHIFLLTLHQKLDSLLRAFMNIIDRTSAGDFEKEDLMLLEPSSHSAGGLHYLSPQGKERGVHDSQSDSNDTELQKTAPKVSSSGFKENLESTSPMSRDASHGKSNKGSAEPLRTMRMTTKLRDFHKHAKVDAELNKALEQWNELLKSEALKLCQENNFNSGFFEGSDNNAVVDAYELKLRLEHILERISLISDAGNTEKPSSITGSLFIGGALAARSVYTLQHLGITHILCLCSNEIGQADSQRPDLFEYQNFCINDEEDSDISLIFEEAHQFINDVEKKGGKVLVVVARNFTLLKAWNTLRRAHRRAQPNDGFAKILVELDKKLHGMASMEWQQKKPAMKVCPICGKNAGLSSSSLKLHLQKSHKKLSSGSVDSAMTMEIQKAIYFSLFCKK >KVI06126 pep supercontig:CcrdV1:scaffold_230:38200:44535:1 gene:Ccrd_015522 transcript:KVI06126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly A polymerase, head domain-containing protein MASKWKKFSSKELGITNSMIARPTRIVLNGLKRKGFDVYLVGGCVRDLILKRTPKDFDVLTTAELKEVTKAFSWCEIIGKRFPICHVHVGDDIVEVSSFSTSARHHHHTRDSGMAFQQYDGCDEKDYSRWRNCMQRDLTINGFLLWPWEPKTSMDMFPILSRIARILRAIRIAARLGFGFSRETAHAIKHLAHSVLLLDKGRHLMEMNYMLAYGSAEPSLRLLWRFGILELLLPIQAAYFVRDGFRRRDKKSNMLLSLFSNMDKLLAPDRPCHTSLWVAILAFHMALLDRPRDPLVVAAFSLAVHNGGDMKEAVGIARRIYKPHAKMYYELSEPQSLEKTTLKKEVLDLGASVSKALSNMTDTYYVSHAMSNYPKAPYSDLVFFSLHLYLKAGKIFECVNQGKEARFVAKQGGKIDYELLAIGSLQEVRHVFARIVFDTIYPLDS >KVI06117 pep supercontig:CcrdV1:scaffold_230:260455:261853:1 gene:Ccrd_015539 transcript:KVI06117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin domain-containing protein MALQAPLTLPTTKSTSLSPAGGTNVGLRRPANLLALKSSFYLSPSLQLLLPRYQPSSNVAPKFAMRTASKQAYICRDCGYIYSDKTPFEKLPDKYFCPVCGAPKRRFREYTPPVTKNANSTDVRKARKAELQRDEAVGKALPIAVAVGVVALVALYFYLNNTF >KVI06135 pep supercontig:CcrdV1:scaffold_230:342941:343591:1 gene:Ccrd_015549 transcript:KVI06135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MLSSNLLFAIAITLLCSLSANSDNLQDTCPTDTSSDTIFINGFPCKNPSNITTSDFKNLLLSQQGSTDPFLRSSITLVTAAEFPGLNTLGLSTARTDLEIDGLVTPHTHPRASEMIFVGKGVVIAGFIDTKSNLFQSVLREGDVFVFPKGLLHYCLNNGFEDAIVYSVFNAQNPGVVDLANALFGDKESGMATVKMAMKKLVARIGDDTTKPYDEL >KVI06119 pep supercontig:CcrdV1:scaffold_230:355691:360030:1 gene:Ccrd_015551 transcript:KVI06119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAMGVSMAACGSTVAVYNNLVAFANQPFSKSAISSCSFTSNPARFPSVSPKSRLSYTLSTVIPKASATAVEDGIYQETDDVPIPKVIIDQDSDLNATVMRALQNLGLNVVKANVHLDSSGKHTKFLITKASTGRKVEDPELLEAIRLTIISNLLLYHPESSAQLAMGETFGIEAPKQKIDVDIATRIHVYDDGPNRSLLSVETADRPGLLVDLVKIFTDINVAVESGEFDTEGLLAKAKFHVNYRGKSLIEPLQQVLANSLRYFLRRPTTEDSSF >KVI06129 pep supercontig:CcrdV1:scaffold_230:303682:304802:1 gene:Ccrd_015545 transcript:KVI06129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRATNLMKTMVDRTRAFATSTSPKMKAYSPAADHGYGHQDRKPRAVKGEYVPVYISLGLIMLSVSIGVFTATHQLKRSPNVFVKKSKRESLPELVEPEKVADESDEFIKKSFFRKIAHVQDADRQDIVPDPIRGDTYAMHPKPQSESLKSVGVEVEKKPFVQPPPLKH >KVI06130 pep supercontig:CcrdV1:scaffold_230:299899:300661:1 gene:Ccrd_015544 transcript:KVI06130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIKLNILCWLLIVLFSSQIPSRLALGNAAIERSKSLALVQESRGLETITWNSNQESMKHDMMSIAKGQKGGRGSGGGSINHTPRKNYASTKVCHHMIISVMGVTLCILGLMVS >KVI06118 pep supercontig:CcrdV1:scaffold_230:348537:354929:1 gene:Ccrd_015550 transcript:KVI06118 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSSLMEVSSSSSCSSPLSLHRLNFAPPRPVSRFSSKPLTRFTSSTTCNASSSSSSSYFGVSLSHELSKSMNNYTLSRKRRGSFYIVSGVFERFTERAIKAVMFSQREAKALGKDMVYTQHLLLGLIAEDRAPGGFLESGITIDAAREAVRSIWDDDGGLGAAAPPSTSATDVSFSNGTKRVFEAAVEYSRTMGYKFIAPEHIAIGLLTVDDGSAGRVLKKLGADMNRLADVAISRLQGELAKDGREPPASRQMSNKTSMRSLEKVKGKSALDQFCVDLTARASNGLIDPVIGRDSEVERIVQILCRRTKNNPILLGQAGVGKTAIAEGLAIRISDAKVPVFLLTKRVMSLDIGDVILFIDEVHTLIGSGTVGRGNKGSGLDIGNLLKPSLGRGELQCIASTTMDEYRLHFDKDQALARRFQPVLIEEPNQEDAVRILMGLQEKYEAHHKCRYTLDAINAAVYLSSRYIPDRYLPDKAIDLIDEAGSRARMEAFRKKKEEQTDVLSKSPNEYWQEIKAVQAMHDVVKSLPSIVGAGEIAAVASLWSGIPIQQLTADDRMLLVGLDERLKERVCGQDEAVDAICRAVKRSRVGLNDPDRPIATMLFCGPTGVGKTELTKALAACYFGSEGSMLRLDMSEYMERHTVSKLIGSPPGYVGYGEGGTLTEAIRKRPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNALVVMTSNVGSTAIAKGRHNSIGFMLADDESSASYAGLKSLVMEELKAYFRPELLNRIDEVVVFRSLEKTQMLEILNMMLEEVKKRLVSFGINLEVSMPVMELICEQGFDRSYGARPLRRALTLIIEDPLSESLLSGEFKQGETAIIELDETGNPVVTNKSRQNVYLSDSSTLS >KVI06110 pep supercontig:CcrdV1:scaffold_230:141620:151257:-1 gene:Ccrd_015530 transcript:KVI06110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein MADFRVCLLLSVLFVAGLCVESKYMIYNTSQGVVKGKLNVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQATQATVKRFVRSGQLELINGGWCMHDEAAPHYIDMIDQTTLGHKFIKEQFNVTPRIGWQIDPFGHSAVQAYLLGAEVNYSVLLALLESVGFEDFGFTFVGFDSLFFGRIDYQDRAKRKDEKHLEVIWRGSKSLGSSSQIFAGAFPENYEPPSGFYFEVNDDSPIVQDDITLFDYNVQERVSDFVAAALSQDGRVNALYSTPSIYTDAKHAETDSWPLKEDDYFPYASDVDAYWTGYFTSRAALKGYVRMLSGYYLAARQLEVMKGRNKAGPTTDSLGDALAIAQHHDAVSGTSQQHVANDYAKRLSIGHKEAKAVVADSIACMVSSKSGCASSAANFQECPLLNISYCPSSEADLSSGKKLVVVVYNSLGWKRYDVIRLPVVSENIAVYDSNGKQVESQLLPVLNESIALRNYYTTAYTGKSPSSTPKYSLAFTASVPPLGFSTYVIVSTKRPASNFVKDALYKSTMTGKDLIEVGTGNLKLIYSGSEGKLTHYVNSRSSIESSVKQSYSYYAGFDGTTGEQASGAYIFRPNGTYPIGSQEETPITVLRGTIYEEVHQKINPWIYQITRVYKNKEHAEVEFTVGPIPIEDGVGKEIVTQITTTINSNKTFYSDSNGRDFLQRIRDYRADWDLEVNQPIAGNYYPINLGIYLKDHRSEFSILVDRSVGGSSIVDGQLELMLHRRLLYDDGKGVAEALNETVCVGNDCRGLAIQGKYYLRIDPLGEGAKWRRSYGQEIYSPLLLAFTEQDGQQGPNFEVSTFSGMDSTYTLPDNVALLTLQELEDGKVLLRLAHLYEIGEDKDLSVMTSVELKKLFAKRKITDVSEMSLSANQGRDEMEKKRLVWKAEGSKNDKPTALRGGPVDPQKLVVELAPMEIRTFVLTLVPNALKGKISRTRRLVGSDISLQ >KVI06136 pep supercontig:CcrdV1:scaffold_230:338817:341612:1 gene:Ccrd_015548 transcript:KVI06136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate kinase MRVVNVIKALNVRINRLSRGEHASVAVHLNQSTFYRHLYLTSQKDLYSCFQVCCDRDMLKIPDHQVAGHEARVGKLGPLIDDSGRFYKPLQSDKRGSEEVAFYESFSSNTEIPEHIRRFFPIFYGTKVMKASTGAEHPHIVLQDIASSRINPSVMDIKIGARTWAPESSEAYVAKCLRKDRESTSVPLGFRISGLRVYISDELGFYKPHRDAIRKAGPDDVKLLLRKFISSNPSAEMETDPDCSLASSVYGGPDGILAQLLELKAWFEDQTIYHFYACSILFMYEKGLALKGASSNAEVKLIDFAHVTEGRGVIDHNFLGGLCSLIRFLSDILKETTKFTVENGQVEPEESGLI >KVI06111 pep supercontig:CcrdV1:scaffold_230:156613:158797:1 gene:Ccrd_015531 transcript:KVI06111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX methyltransferase MAYSSALFSRVCFTTNQHSNPNPISIPKLHKLNNRRTLTVSALGADTFDGTTLAVIGGGSVAAIAAAISLADPEKRRQLQAEEVGGGDKEVVREYFTNDGFQRWKKIYGDTDEVNKVQLDIRIGHAKTVENVMKMLTDEGPLRGVSVCDAGCGTGCLSIPLAKEGAIVSASDISPSMVSEAEKQAREELVGIDDLQMPKFEVSDLESLTGKYDTVVCLDVMIHYPQSKADAMIAHLASLAENRLILSFAPKTLYYDLLKRIGELFPGPSKATRAYLHAEADIERALQKVGWKIRKRGLTTTQFYFSRIVEAVPA >KVI06108 pep supercontig:CcrdV1:scaffold_230:162729:170068:1 gene:Ccrd_015532 transcript:KVI06108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MPESGSVNRFDEKGSLICNQRFVAQTIESLLPDEEDLFSGVLDELGHTATGDEDDEDFDLFSSSGGMEMEGNSKLYFRPLNSMTSEGLNSNQSSSDYPTFGEHPSRTLLVKNVDNRVEDSELRVLFEVHSSSPLVFFVYQVVFPFFLSFLHISSSLFDVDFRHIIAPFFYQHHGDIQTFDTTCRCQGFVIISYYDIRAATIAFSTLQNKPLENKKLHIHYSYPKDGPSGQYIDQASLVVFNCDSFISNDKLHQIFGSFGEIEEVSYWLRFVSLKHIYGTTHHRRIKYYDIRAAEAASNGLNSNSMLQEQIKVEVSHPEHGESLMQQFTPGLVQGQSMGVRFSSLILTSLTTSISSDVVVNSCMKDGYVHGEPSLSEMNVNAFPGDVPLQSNCCFTNSLPSPVGIASLCKQLGHGPHRSTNQAKFHNQFPSFHPQSLPINCEGFVDNGVITYDPVNLASVGFTMETNDKHLHMLDPYGPPMEHMFSGSSGTGSSLLSGHHHAWSCSKSFHDHNPNPVIWSHSPPFDNGVSATRTSILSALSEVLPPVLYTVSPIHTQNLTRSAPSGSLSCKNLQMTHMEESSKADGFLNPLRVVGSPSTMCPPQIISHKSLERHAGTTSPFTSSKPRGRVRRTSHGRQESISCHTDEKNYELDIESVLCGEDCRTTLMIKNIPNKYSSAMLLAAINEQNQGTYDFIYLPLDFKASIISPFLLVSEIYHHQSILDPRSSFIYMCTCLLQNKCNMGYAFINMIDPLKILQFHKVKLNIFCVIRLIHLLSVILSFNGKKWEKFHSGKVACLAYARIQGKDALIAHFQQSSLMNQDKCCHPILFTTDGPNAGNQEPFPLGPSIHTRRHKNGCNGREVNQNHEI >KVI11499 pep supercontig:CcrdV1:scaffold_2301:14278:36228:1 gene:Ccrd_010090 transcript:KVI11499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPSARRASGCVTSRNSHIYLTEQSRFLAAATRQSSLASWPLLLDCVALAVSLLVFDLGLGRSRAARITPRGCGFFSTNWGPSFTTPMWMVYRGFQSDIWNSVASTVEDWLFVALSVKGEECFEETREGKPNAVIIVFGNDAEFLKAFLIKEYKIIKRAMEEEEKINRLTMALSSDVD >KVI11498 pep supercontig:CcrdV1:scaffold_2301:63245:64271:-1 gene:Ccrd_010092 transcript:KVI11498 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase, chain 6 MNLLGLIQYFLLVFLRLGLILGGLGVVLLPNPLYLAFSLGFIFVCISLFYILSNSHIIVVAQLLIYVATINVLIIFAVMFINGLEYSKDFHLWTRWSWSNLHDTSWYGIIWTTKANQIIEQDLISNSQQIGIHLSTDFFLLFEFISIILLVALIGPIVVPRAIFSIFVIAIVVAEPLLNWLLFHQFIVTENQLG >KVI11496 pep supercontig:CcrdV1:scaffold_2301:41454:54025:1 gene:Ccrd_010091 transcript:KVI11496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MESNDSGHGDAADRIYDTIEILVVKEKKRRHAHQFLPSIYRVTKTLRDVSPNSYTPRVISIGPFHKDNKILKEAEVHKVAYMFDLYRRLKSPREQTTKACVNMVLNKIDRIKACYXGKMKTYDDHEFAQMMVIDGCFILELIYRSNDRKYESPSVFVNKLLTLYVKHDLVLLENQIPFFVLEDIFEYTIRKLEPRASLVSLVLSFLKDVNPFRQKLVLDNDEAETNHDHILGVLQKCYQQAHAKPSENSKTTSNSAAEFLNAVSYSVTELAMAGVKFKPNNDTKWLLAMEFKFSRVQCFCWPWGKPSFRMPTLQIEDYTEAVLRNLIAYEQFSPAVPDDVTSYAFAMERILDXKEDVLKEYRMWHKAVLDLVISKQQSTFIKGPKWRCFHLAAILKINFDKRKVVEIGVKSKESKLKLALGLPCVEAMDCEGDEDGVYHIQS >KVI11497 pep supercontig:CcrdV1:scaffold_2301:14273:24198:-1 gene:Ccrd_010089 transcript:KVI11497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 ISPSTSRKPYALEEACIVWEGVLIDKKEESTSTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPFGEVRLISKNCPATVGQVGNVRVNQKSLGRAGSKRWLGKRPVVRGVVMNPVDHPHRGGEGRAPIGRKKPTTPWGYPALGKRSRKRNKYSDNLILRRRSKLLKEHYPTQSAFVEGRKITMPFWLRNSVSTNHSLDGRSGSAFQ >KVH96615 pep supercontig:CcrdV1:scaffold_2302:43697:45775:-1 gene:Ccrd_001299 transcript:KVH96615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALCNFSLPSFTNVRHLFPLNPLSNQNHPTFKFKSTAFSPQSQSQSQSQSQTPTGRKHRKPPDFDEKDAFPSSIPLHTKNPNAIYKDIQRFAQQNKLKEALTILDYLDKRGVPVNPTTFSELIASVVRVKSLSVGKVIHTHIRINGLENNEFLRTKLVNMYTSCGSVEDARQLFDEMPTSSVYPWNALLRGNVIMGGRKHHDILNTFSKMRELGIELNVYTFSCLIKSLGGASALYQGLKTHGLLIKNGLIDDSIISTCLIDMYFKCGKTKLARLVFEDIDGDQRDVVLWGAMIAGFAHNRLRFEALEYLKWMQKEGISPNSVILTTILPVIGEISSRKLGQEVHAYVIKTKEYSKQLFVQSGLIDMYCKCGDMISGRKVFYGSTERNTVSWTALMSGYAANGRLEQALRSIVWMQQERFKPDVVTINTIIPICGKLKALKQGKEIHCFAVKNSFVQQVSITTALMMMYAKCGYHGYSIKLFDNLDRKNVICYTAMIESYIECGFLDKTLGVFRSMVLSKHRPDSVATSRMLSVCGELKALKLGKEIHGQVLKKDLMSIPFVSSEIVKFYAVCGEISKAALAFKVVPVKGSMTWTAIIEAYGYNSRYQEAINLFDQMITGGFSPNQFTLKAVLRVCEQAGFVDEACRVFRLITRRYKVEVTEEHYSSIIRVLTSSGRTDEAERFSRLRSSL >KVH96611 pep supercontig:CcrdV1:scaffold_2302:75111:77149:-1 gene:Ccrd_001302 transcript:KVH96611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKVDTCNQWEMDFDCNLKNNKESKISAKEVGVVIRLGPELEITGYGCEDHFLELETVAHAAPVLPFDEMKSIMREELSSTIDSIDESRYLKVQYSLSIARIIEFFNPEVVIVNDIRASMLEEVDFKKEASNMESFKIYLESMELTRQATTPKVFGSLKACETFHADVHAGIVGLISPKTWSAMEMFSGSLATEEYESMASALIDTGATNVDVD >KVH96613 pep supercontig:CcrdV1:scaffold_2302:26099:27746:-1 gene:Ccrd_001297 transcript:KVH96613 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MEALCDLCRVVRAVVYCKSDSAKLCFQCDNRVHSANSLSRRHPRSILCDKCNSQPAVARYVFDKTFVCQACDLNENGCSSGQAHSLEELNSYTGCPSNEEFLKILSKVCDGGPDINIDQFRPLTNLNVNENLVGNGNNDGQMGLVASRLNELASSLKFESWITDPSSVIPPHLTYLTPADKDPNPFFPEGSNLTEDASNLNNLGLNEGEDLCDNVDLGDVTLNFDAGYEMFNCIPQAQTRYPSEDRGLNCLVMEKNLSVTESNSHIENAIEATSSGQQDCTTFQSSQVAASANVMQAMNGGMLLNPGCNRSAMNLGFPTVPNNMSLSLSNITGESSAADYQDCGLSPMFFTGESPWESNFESSPQARDKAKMRYNEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLVTTDF >KVH96612 pep supercontig:CcrdV1:scaffold_2302:23893:24252:1 gene:Ccrd_001296 transcript:KVH96612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MCKGTQEEDMGMVVSSHPTTTTATISCELCNSNASLYCQADDAFLCRKCDKKVHSANFLARRHIRCLLCITCSGSTHRYLVGTSLEVQLPSFVRTERRNSDWPDDRCSEDQITRPFLFF >KVH96610 pep supercontig:CcrdV1:scaffold_2302:59870:71239:-1 gene:Ccrd_001301 transcript:KVH96610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MVERKLYKTRLCVLYKKGHCPRQTCSFAHGDAELRRFNTSSSFNEVPQANIEIRMMSESHLNHSQSKANLPLLFMLEMMVPNQLKHSVCVAGRRDYHGSDLRDKLGRRHSPSRRNFLDTDATDRRPFRGHDSPRSGKNSDWNHKKRQQFDGESDFSGSLRMSDGAKDQAKDRKPTSLEFKNAHDEQLKQLKSEVDMLEDDKQHLEIYLEERVQEADSLNSKINELEMQLSSEKDEYKRISSKIRKFVKAYNHHSKIQDELKRQVSVSMSEARLQKLGEHLGSDVRTATNEEDVNINILSEEEMLGNHLTGPRIKQHNDASPSKKRLRVRAYEDLKQAENVTKGEGLSTGRARFEKHSRWDPDLTQFKDSKEIGRDINGISNGRSLLNEGKPKHSMFTSSPLADKLKDSKSGLDFPITGIAAHAADELVDVVELDEKFEEEETYYMRGEKGQLPLPPPPPPPPPPSVLQKAYLQYKEKDENADVDDEMVDVDIV >KVH96609 pep supercontig:CcrdV1:scaffold_2302:47950:58701:1 gene:Ccrd_001300 transcript:KVH96609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MEVVCPIDAQFPQQISLLLEPPSSLQVQEYFDDLITSKQRHGIQVKPTNDHGKGVYVDLDFEEGDLVLKDQMLFGVQHSSNKIDCLVCSFCFRFIGSIELQIGRKLYLQGLGNPKDCFDLASSDGSDKEDQLEFQQCSSTSSRNKFQVPTERVESLMQEKLALPYSMKFPLPSVNICPGGCKEAYYCSKSCAQADWDLCHSLLCTGEESKSLSKKALSRFIEHANETNDIFLLAAKAICCTILKYRKLKAACSKEKEKNSISNGLSNHNFALLLKAWKPISMGYKRSCVVHNRAYGKKMMLAMGRELHESKLLHACDSDLVVASPVEDYFLYIDDLPEAEKKEAGEMTRPFLDALGEDYSVCCQGTAFFPIQSCMNHSCCPSAKAFKRDEDRDGQAAIIACRPITKGEEVTISYIDEDLQLEERQALLADYGFRCKCPRCTQEEAGLVYTKIQ >KVH96614 pep supercontig:CcrdV1:scaffold_2302:5953:7387:-1 gene:Ccrd_001295 transcript:KVH96614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MKETLVSTIAIDCSTTTEDDEKVIQAVVAGKTPSYSLESKLGDCKRAAFIRRQALERVTGKSIEGLPLEGFDYESILGQCCEMPVGYVQIPVGIAGPLLVDEMEFWVPMATTEGCLVASTNRGCKAISASGGATSVLLKDGMTRAPVVRFGTAKRAADLKFFLEEPLNFQTLASVFNRSSRFGRLQSIQCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQADFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVQKVLKTNVASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVFLATGQDPAQNVESSHCITMMEAVNGGKDLHVSVTMPSIEVGTVGGGTQLASQAACLNLLGVKGANRESAGSNARQLAKVVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNKEVSSKA >KVH87815 pep supercontig:CcrdV1:scaffold_2305:60902:64686:1 gene:Ccrd_024873 transcript:KVH87815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein METSPYEGCRIVRLGSFRVCFFVPAYNLIGFLLSERIYEGLVNVSPEYSLIISSMKRPESETEFLKEIIDNVYYKVGPKIVNLPRNLTGMNARDKDINSWLKQSDSKFLIICGMGGSGKTTLAEYIVSSNRQHFEIISILKNMGSIHQKQGNIDVLIQQFAKDIVGEGKPQTLCERYYVDRVLERKKALIGSKTMEGLALDMQVLRDDNPQILTSLDLKTDSLTKMDNLKLLHLNDVHLTGSYEBFSEDLRWLCWRQFDLRAIPFGLFFKSLVAIDMRDSKLKVFEPPIVLPLLKTLNLQSSESLSAIRSIYRLPNIETLILCQCYELVDICETIGDLMNLAQLDMSECPQAQLYEKLILQSTGASPLLIFFSLPHSLVWLSLRDCTLDVTKHFSPSSSIQPKLQYLDLGRCWFESLTSNNHLVNLRVLNLSLSHRLKWLLCLPSALAELYVYDCHSLEKITFESHRFTLHEFGYENCAQLSEVEDFFKLVPVARLDETDLGHLKWLKKYQHHEVFLVGDDQIIENRSQRIQMLYEFGIVSTCLPDIKDPNITPEHISESTSLSFEVPSSPMDRRLIGLNLTFKYTILSGQDXAWFAKIHTNNGVDLMYNPKVCXDPGDGGAGIWLSYWPIGSKLLVGDKVNVSVIVMGGISKIHECGASLVYADADETMGINMPWIETLGGDLSAFKLITGAYYLCRRDFFKLMEVGRLAPGWLTILVGDTIDDREVRGWRMTGRPKPPFNPSQTKLYPSFDPPRLELSLLPGSLRTEVPDSLFTKSKKFCRRF >KVH87817 pep supercontig:CcrdV1:scaffold_2305:27888:31823:1 gene:Ccrd_024871 transcript:KVH87817 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC-like protein METSPYEGCRIVRLGSFRVCFFVPAYNLIGLFLCERIYEGPVNVSPESETEFLKEIIDNVYCKVGHKIVNLPRNLTGMNARDKDINSWLKQSNNKVLIICGMGGSGKTTLAEYIVSSNRQHFEIISFLKDMGSIHQKQGNIDVLIQQFAKDIVGEGKPQVSYGRYYTNRVLKRKKALIVLQLLKSLNLQSSKSLSTIRNIYRLPNIETLILCQCDELVDVCETIGDLKNLALLDMSECPKLSRNPTSTFGGGSPQQTSFSLPHSLVWLSIRDCGLDGTEKFPLSFSIQQKLQYLDLGIGLFESLPNYNHLENLRVLHVTLSCRLKWLLCLPSALAELYVYGCSSLYKITFESHRFTLHEFGYDDCYQLSEVEDFFKLVPVARLDETDLGHLKWLKKYQHHHVFLIRDDQIMKNRSQRIQMLYEFGILSTHLPDIKDPNITPEYISESTSLSFEVPSSPMDRRLKGLNLTFKYTVLSLSGRDCTWFAKIRTNNGVDLMYNPIVFGDPEDGGAGIWLSYWPIGSKLLVGDEVNVSVIVMSGILKVHGCGASLVYADADETMEINMRWIETLGGDLSAFQLMTGAYYLCRRDFFKLMEVGRLAPGWLSILVGDTIDDREVRGWRKTGRPKPSFNPSQTEFYPSFNQSRIGLSRPSLTKLPDSLYTEAKKL >KVH87816 pep supercontig:CcrdV1:scaffold_2305:46339:53404:1 gene:Ccrd_024872 transcript:KVH87816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, FAD-binding domain-containing protein MLVSLAPIAAAPPRRCRTTPSSPLSPLVAVATAPPRRSSNQLVKRTQLSHNVAKFRFALPKPTAVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDADVGYFELVIK >KVI00818 pep supercontig:CcrdV1:scaffold_2306:51616:55088:-1 gene:Ccrd_020928 transcript:KVI00818 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKKGTGWFSSVKKVFKPSTKDFNDKNGFRKENVVEKRQEDTPEVVSFEHFPAAESSPDVTNESVGDRLSQAGEDRNHAIAVAVATAAAAEAAVAAAQAAAKVVRLAGYGRHSKEERAAILIQSYYRGYLQAQMTMRCMQALVRVQARVRARRLELTHEKLHKKVVEDERQEQQQQHFLHPESNKTALYPPNDHEKQQWGWNWLESWMASQPYQAHPVGPNEGSYLTLATNDDVSVKTVEMDLVTPLSSEYITTGGLSGETLDSAQHSQSQRQSGSDQVPSYMAPTQSAKAKVRAQNLGKHKGQPVKKGGLGNGLAFDTSSSGGNVTYQVPRSPSPNPKGYGIGGNGVRSQQKWSTGYSPDSSGGDDGSMGRYGWRHHFG >KVH87814 pep supercontig:CcrdV1:scaffold_2307:39393:45687:1 gene:Ccrd_024874 transcript:KVH87814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WESAYIIWLISIHLQILSSPLPPFQRRKHRKKTMHSSSSRRKDSCDNDPAANSVIVGLPLSSLLFFKSTTTNHFQRIVTESSNTLIRNLRNSIHKCLNFLQNPLFTKWHSEYHNFCQINCRKQRNLKSLSNHDFAAIIPGDSVAGLVVSNGVLNFLNIYNTLLVVRLVLTWFPNTPPAIVSPLSTLCDPYLNIFRGVIPPLGGTLDLSPILAFLVLNALTSTASALPAELPVPSTDQKGSSRPSFHTALSHLTSSQKKWMKRFSTNRSSDSSIDD >KVI04937 pep supercontig:CcrdV1:scaffold_231:218140:221340:1 gene:Ccrd_016735 transcript:KVI04937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMRMSSEDVVLVEESKNSGDPTVVAVNCPDKAGLGCDLLRVVLEFGLYVTRGESMLKCKMHMNLIKLMLKHCEIAKGCLIVMFLLLDFSTDGKWCYIVLWVVARPISLKIDWQSFKNRLVSCCPSCLPAFYLDQLSGSSKSPPLYLLKVFSFDRKGLIHGECCISCELELAGPEYECQQGSSSIPEAIAEKLFSPAMDDRPQALNYASEATVIVDNLLSPAHSLLQIRCRDQKGLIYDILRISKDCDIQIAYGRVTSSVNGYRSLDLFIQNEEGKKIVNSEKQVGLCLRLKEEMLHPLRVMISNRGPDTELLVANPVELSGKGRPRVVYDVTFALKTLDICIFSAEIVRHSTLDREWEVYRFLLVENGGFAVSSKGGKQDVVDEVRRRLMGW >KVI04946 pep supercontig:CcrdV1:scaffold_231:329227:333004:1 gene:Ccrd_016728 transcript:KVI04946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MSGIRLIMSKEWFLGVYSRSKPFVFMVFLQTSYAVNGLIVKSALNKGLNHYTFAAYRNAIAALFFAPFAFFIERKVRPKMTVSIFLKIILLGLLEPVIDQNLFFAGMKYTTATFATAMCNILPAITFVMAWIFRLEKVKMKSLHSQGKMIGTLATIGGAMMMTLIRGPSIQFPWTNHHTVDHHQSSVKTMNAQDQIKGSLMITAGCFSWASFVILQAVTLKSYPAELSLTTLVCMMGSLEGSIVTLERGPVFVTAFNPLAMVIIAIMGSSVLAEKLNLGSVVGALVIVVGLYLVIWGKSKDQNQQHHDPPLNQQHSDDGTKMSISNTDCGKTSDNLETV >KVI04935 pep supercontig:CcrdV1:scaffold_231:87653:93706:-1 gene:Ccrd_016739 transcript:KVI04935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MESENFHQQHHQDHQPVLDSSCYGLSWSQNPILNSTSNDTNSRDLKQQLGSNMDFAPCHNTSSSPLVHVLGLPWNNSINVTSNPVENFMTHELRRLARIKDEFSGSESYPKFSEMISSSPTSSIEDLHLNPSSGYNNNQDLLLRTFSNGCQIKGGQLIDQFPDDTTPNNHFQNCSNRISSRGTFSQILPTINISNLNQSPAAISSDSFDMNLPALDLFGSPRLNGNFSHPYSFNPHQLGSFFKDTCLSYGLDKMHQPNHRPVICPSKISSAFNTRTSCTEAKRPASNYMDTNAPRATIPKKSKLEPRASCAPFKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSTQKANRTSKQGVSVMIDENEEATKDLRSRGLCLVPLPCLSYVTDGGGGIWSGP >KVI04936 pep supercontig:CcrdV1:scaffold_231:133389:139076:-1 gene:Ccrd_016738 transcript:KVI04936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MTAPIQCYKSQEADAAGMLMSGAPQPPTRRWYFSRKDIECHTPSRSDGIDFKQEQHLQKLYCFFLQELGIELKVPQVTIATAMMFCHRFYMRQSHAKNDWQTIATVCMFLACKAEETPRWLGELVVVAYKLIYKWDPSASRRIREIYDKQKELILIGERLLLATIAFDLNIEHPYKSLVAALKRLGITHKELVKVAWNFVNDWLRTSLCLQHKPHYIAAGSLFLAAKVKKVKLPTAKGKAWWMEFDMMSLWEQSQSQTLTLKDQNVTESLIRKATIYSPQSSTLSGSSIVQDSKMTASMDAGGPAKAATSNCVKKQAFDNVHDTSRETINCHTSDSGSAKDVTSDCVKKHAFDNVQGASKETPNCQTSDTGSAVSVVEDGDSGEPVTVKSDLSSSCKIVSVGGIVGNGQVDVNRIREKLKKRNFVKTMKRKHVEDLDDEIDGEAWIERELEDGIVLESSYAQKQIKI >KVI04939 pep supercontig:CcrdV1:scaffold_231:187281:190523:1 gene:Ccrd_016737 transcript:KVI04939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFMLLVATGILLWWCYSTHTHLKKLPPGPFPLPIIGNLHLLGNLPHRALHKLSRKYGSIMSIRLGSINAVIVSSPDAAKLFLGTHDAIFASRPEVQLSKYLYHGNKGILLSEYGEYWRNIRKFCVTELLSPVKINGFAGMRKEEIGWMVEEIRVASMTHQVVDLNKMVGSLIEGMTCRMLFGKKNDERFVFRRIIDEFAVVLGTVNLADFVPMLQHFDLQGLTRRFKSLVKDIDEMLDTLITEHEEYSLTRIQRSDQMNFIDILLSVKNKYSVTHENLSKTIDRSTMKAILVDMVAGIIDTSKTSIEWVLSALIKHPRVMNKLQKELASIVGDKQMVEETDLAKLSYLHMVVKETFRLYPVAPLLMPRESTEDIVINGYYIPKKTQVLVNVWAFGHDPQVWTENCAEFLPERFLHKEIDFRGPGFELLNFSAGRRGCPAMNFGLLNVYLAVSNLVHCFDWVLPDGTSHIDLDMNEKFVSTMAKVKPLLAIPTYHMGGSTV >KVI04945 pep supercontig:CcrdV1:scaffold_231:362089:364276:1 gene:Ccrd_016727 transcript:KVI04945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MMLEKMKPYFAVIFLQFGNAGLVIIAKAALNHGMNHYTFAVYRNLIATLVITPFALFFESKGRPKLTISIFFKIMLLGFLEPVVDQNLYYAGMKYTTATFAIVGTLVTVGGAMIMTVVAGPTIGLPWTKGSTTDHQQQSTTPPVSPADNIKGSMMIIAGCVSWSFFYIVQAITLRSYPAELSLTALICAAGTMQGSIVTIIAERGNNEAWRLHLDAGLVTMVYGGVICSGLGYYLSGIIMKEKGPVFVTAFNPLSMVIVAVLGSIVLSEQMNLGRVLGAAVIVIGLYLVIWGKSKDESQSNSKCDPDQLPPVNQQQIPMTISSTKLAKEDQDSLSIAIPPTNETVVKINGK >KVI04931 pep supercontig:CcrdV1:scaffold_231:66187:71973:-1 gene:Ccrd_016740 transcript:KVI04931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nuclease domain-containing protein MSTLQGPVVCPSVRGKQGGVHAVPTVDGPLMKAKIHRSGIWGFKGINSHRVRVRNQRVSKTVTCSFSSSSNGNGSMAESFNENDSDYVNSSIVEAVEVKSGPDGFVIKMRDGKHLRCAHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMRNVQIARPTMYNVVKEMIDKMGYKVKLVRVTKRIHEAYFARLYLTKVDDENDCVSFDLRPSDAINIAVRCKVPIQVNKFLAYSDGMKVVESAKLSFQGAPLDVARCDFHGRPISKSLGASLSFKKQPTLFNGVCVYPKPRPQRVTMGSFRPSGQPCVETKEFNLVRNMLIAAVEERYRDAAQWRDKLTQFRSKRNWA >KVI04938 pep supercontig:CcrdV1:scaffold_231:223568:226800:1 gene:Ccrd_016734 transcript:KVI04938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MSRDRSRSPGLAKRFRSRDRAAYRDAPYRRDRPTYRHIAAECTSTTMCWNCKESGHLSSECPNDPVCHMCGKVGHLARDCHNPSVSSYDARLCNNCYKPGHIAADCTNEKACNNCRKTGHLARDCHNDPVCNICSISGHVARQCPKSGSDLPSLPGPLKHGSSSLMGPLGTSSMMDDPFRDMICRNCGRPGHISRDCVPMVICSNCGGRGHQEIECPSARIYDPFDRAFLDPRFRRY >KVI04934 pep supercontig:CcrdV1:scaffold_231:21278:22540:1 gene:Ccrd_016743 transcript:KVI04934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MATEEPIVATEPAAPVIETAETLTQEEKPEIQEAEGKPKKAKKTSAKGKPRNPSLHPPYFEMIKEAIVTLKERTGSSQYAITKFIEEKQKNLPANFKKVLLTQLKKFVAAGKLVKVKASYKLPASKAPAAAAPAKKKSAAKPKPAAKPKSVAKKAPAKKSTAAKPKPAPKAKAAAKPKSAAKPKPAAKAKPSAKPKASAPKAVVKPAAKPKAAAAKAKPKPKTPTKPAKVARTGTRSTPGRKPPAARKPVPKKTPVKKAAVKSLKPKGAISKKTAATKKGKK >KVI04933 pep supercontig:CcrdV1:scaffold_231:39944:41756:-1 gene:Ccrd_016742 transcript:KVI04933 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MRLCCRLSFLPTAMFSYQSLSLLPPKTILSAAASLTASALLLRTIADDLIPADIHHYFRNLFNRLSTQLTVVIQESDGLTPNHLFQSANLFLATKLSPTTLRIKIHKPEKDDQFTVTVDRNQPIVDVFQTIQCKWILQTESIEVRGNRDSNSRSELRFFELSFHKKHKETILNKYLPYVVKKAKEIKEENKTVKLHTVDYSGTDYWSSVVLNHPATFETMAMDVEKKEELMEDVDMFIKRKDYYRRVGKAWKRGYLFYGPPGTGKSSLVAAMANRLKFDIYDLDLREVQCNSDLRRLLIGTKSRSILVIEDIDCNIGLQSREFNKETANTDDDKITLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHLQMSYCAYGGFKILASTYLQVKEEEQLGLFRRIKELLNKVQVTPAEIAGELMKKGEDVEIVLSNLIRWLEMKEAEIMTAKSPSVHH >KVI04944 pep supercontig:CcrdV1:scaffold_231:235494:243993:1 gene:Ccrd_016732 transcript:KVI04944 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3-like protein MKNLKLSWELSSNLHLQSETEVIRCTALDIEQNRIFFASSANFIYTAHLSSSQIDEPCGKPSASPLIEAVDLDAGDSITSLEYLMEKESLIIGTLSGVLLLYNVDDNMMEVVGRVEGGVKCLSPSPDGDLLCIVTGVGQMLVMTHDWDLLYETALEDPVEDADVRDQYLQSECQRGSSATWRGDGKFFATVSLTHNSLLKKLKVWERDTGNLHSVSEPKSFMGEIVEWMPSGAKIATVCDHKEEGGCPSIVFFERNGLERSSFSVNEGIDATIENLKWNCNSDLLAAIVRRETYDSIKIWLFSNNHWYLKQEIRYLRQEGVRFMWDPVKPLQLICWTLKGMITVHNFIWITAVTDNSVALVVDGSKILVTPLSLSVIPPPMYLFELEFPCSVREMAFWSMNSKNSLAVSLSDGSLSVVELPSLDTWEDLEGKVFGVELCISEDLTSFLHLTWLDSHVLLGVVPIGFSHHGHLPDSSYSKNELSSNYLQELELACSEDHIPGLVTCSGWHAKISNKHSLERTVIGVASNPVKRRSAFVQLNGGNIFEYSSKLDTKAGSLQEHHDLRFLSSCPWMSVASVGDYGLSKPFMIFGLDNNSRLHVNQNVLCNNCSSFSLYSNSTNQAITHLILGTKQDFLYVVDIRDIMLGQTEAKYGNFIPVISRRMSEEEKKFIQIWEKGSKILGVVHGDESVVILQTTRGNLESIYPRKLVLESIVNALVQGRFKDALLMVRRHRIDFNVIVDHGGWQAFLQLATEFVRQVDNLSYITEFVCSLKNENVMETLYKSHISYTNEANGKESIGSMVLGGNSKVNSVLLAVRKALEEQIVESPARELCILTTLARTDPPSLEEALERVKVIREMELSDCNDPKRKCFPSAEESLKHLLWLSESEAVYEAALGLYDLNLAAIVALNSQQDPKEFLPFLQELEVLPSLIMRYRIDLKLRRFEKALKHIVAAGDAYFEDCINLMKKNPELFSMGLQLLTDPTKRRQVLEGWGDHLSDIKCFEDAATTYMCCSSLEKALKAYRSCNSWSGVLTVAGLIKLGKEEILQLAHELCEELQTLGKPGEAAKIALDYCGDVKNGINLLINAREWEEALRIALMHRREDSISDVKTGAVECAATLIAEYEEGLEKVGKYLARYLAVRQRRLLLAAKLQSNERSVNELDDDTVSEASSSFSRMSAYSTGTRKSSAASMSSSGTSKRGVRRQKKKGKIRAGSPDEEMALVDHLKGMSLASGAARELRSLLACLVMIGKEDIARKLHRVGENFQLSQIAAVKIAEDAMSCNIVDEHAFVLEVYIKKLGTELLQSEEFSWRSKVFGAP >KVI04947 pep supercontig:CcrdV1:scaffold_231:303192:306568:1 gene:Ccrd_016729 transcript:KVI04947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MSNGWLSSFCKQGKPYMGVLFLQLGYAINGILVKSALNEGLNPYTFSVYRNVVAAVAFGPFALYFERKIRTQMTFSVFWKILLLAFIEPVMDQILYYTGMKYTTATFAIAMCNVLPALTFVMAWIFRLEKVNVRKVHSQGKILGTLITVGGAMVMTLVNGPPVPLPWTKGGTGVHNSLDSSATVSQDQHIKGAIMITAGCFCWASFYILQAMTLKEYPAQLSLTTLICMMGALQGTVVTLVIEKAKSGIWSMHKETELVATLYSGIVRSGASYYVSGLVMKEKGPFFVTAFNPLGMVIVAIVSSFALAERLVLGRVVGAFIIVVGLYLIIWGKSKDSSLSSSKTKEIETSDIEKPYLETCKDQDQDGTKARKEDDRSEANV >KVI04941 pep supercontig:CcrdV1:scaffold_231:257923:258421:-1 gene:Ccrd_016731 transcript:KVI04941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQGSISIQTYYAASVCSAFNNIKPHLTRICCLQLHLDSVQLSLEPVLGARVNHLAPHFCGLLLPCNEENPALWDIFCSHKIKIKDSISALISRETCCKFIVSLCWFPKLFHNHLLLLLFDFINDEAKGPLCLQIHEL >KVI04932 pep supercontig:CcrdV1:scaffold_231:42291:65122:-1 gene:Ccrd_016741 transcript:KVI04932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-pentakisphosphate 2-kinase MILEAKDAADWTYRGEGAANLILSYTGSSPRFVGKVLRIQKVKRNGINYEEVSSALSVHECLLWKQDCDLLSAPTREIAEHLYVQHVMGPLLGPNHVDAGIRIFVSRDFLESVRKDALSWRPSWRVDDADVNAQCDHALLISDHSVFPQALRDEEFSISVEIKPKCGFLPCSRYILEAHAIKRTMTRFKLHQSLKLQQKKISQLSQYDPLDIFSGSKERILKSIKDLFSTPQNNFRVFLNGSLVFGSLGGGKYRTNVNNDKAFEDALKFVIEADDGMRTTCFLQLVSEAIFRSGLLDQLLEVQKLDVFDIEGAIHAYYDVVSQSCEICRELGEDRLSGRYSSLHSLLLDGSLKIVREYLIAATAKDLSLMISFRTRDKGDPRSPYNVIFHESTGQSFDYKVSFIDLDMKPLKKMLYYYELDQKIVCCYQNMMKTAKPPEISASNKGKTSYQDKSIVVKDGSPTRDFADRKVVSIFYLCALFL >KVI04940 pep supercontig:CcrdV1:scaffold_231:194663:196991:-1 gene:Ccrd_016736 transcript:KVI04940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGAIFYFSSPFKLSSKTVGQIEMYLYDFGILSNTFLSSVHPCLHPPWKRLSSRDPVSGFSPRTSASASFLAPISVSKTTSVSKMTFDSISQASQRLW >KVI04943 pep supercontig:CcrdV1:scaffold_231:230315:232886:-1 gene:Ccrd_016733 transcript:KVI04943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1077, TMEM85 MEKGKGVMGGRKWAVDFTDNSSTPSSRDIPDPPGFTRASHDQDDSTLSRQKKDAEANWKSQKAWEVAQAPFKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPLSALQGVGKVFEPYKDSKVDLNAPKLLYIALNLGGMLLGVWKLNTLGLLPTHASDWVSSLSPAHEVEYSGGGIALK >KVI04942 pep supercontig:CcrdV1:scaffold_231:255906:258658:1 gene:Ccrd_016730 transcript:KVI04942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MANAASGMAVHDECKLKFMDLKAKRTFRFIIYKIEEKQKQVIVEKLGEPAETYDEFAACLPADECRYAVFDFDFMTAENVPKSRIFFIAWSPDTAKVRSKMIYASSKDRFKRELDGIQVELQATDPSEVGLDVIKSRAN >KVH87812 pep supercontig:CcrdV1:scaffold_2310:53320:55421:-1 gene:Ccrd_024876 transcript:KVH87812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIWAREEGDRIPILFNEYGQPVDKETTDNLSHFMGSLARSGKYCPVDILWHQVSTTKKGILLNFIEKISERNKNSRGYKKMMQITGKISYARVREELKEQMLDLNFQLPEGSLDEQGSNDVFLKVMGKDKHCSARMYGLGVRGSDIWGVLPSRSAYYRENMLWKRAYKDVSNEVVELKAMVGDVVHLKSIINSMKIVARGRVKSLDPDELVGGKEIGPNWCE >KVH87813 pep supercontig:CcrdV1:scaffold_2310:7058:9848:-1 gene:Ccrd_024875 transcript:KVH87813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGWFEHNKPLMVMLVLQFTYGAVSISTRASLLEGMNPRVFVVYRQAIATLAIAPISYFSRRKTTKCCIGWKSFSLIFIAALIGITSSQMLFLEGLYLASASAGSSMFNLVPAITFFVASIVGYEPVNIRSLRTIAKILGTVLCVTIVEVIIFGFWVVCVSLVPVNKNHPDHLSLSAWMCFTATVQSATVTWFTDPNLEVWKINSYLQLGSCLFAGIVGSGISLFVQSWVIERRGPVFSAIVIGAVGIVIGLYVVLWGKAKDLEEQQKKMMISETDEIKIKIKTVGVLVDESKPLLHP >KVI11609 pep supercontig:CcrdV1:scaffold_2311:64788:66796:1 gene:Ccrd_009979 transcript:KVI11609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MNKFADLIDENIEELALLETLDAGKVFSFETTVDIPSISKELRYFDGAADKIHGKTLKLSSEFQGYTLLEPIGVVGLIIPWNFPSAMFILKCAPALAAGCTMVVKPAEQAPLPALYLAHLAKLAGIPDGVLNVVTGLGHIAGAAGEICVCSSHVFVQEGIYDELMKKLVEKAKTWIVGDPFDPSTCQGPQVDKKQYERVLSYIDHGKREGATLLIGGKPCGEKGYFIEPTIFENVADDSLIARDEIFGPVMAVMKTVEEVIKRGNATSYGLAAGIVTND >KVI11612 pep supercontig:CcrdV1:scaffold_2311:29236:29544:-1 gene:Ccrd_009977 transcript:KVI11612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKGHVNISVTTIPSPRGGKEEVATGGNNGGGGGSGGSMNSPRGQLCLCSPTTHQGSFRCKFHRQASTTVGWFSRSKSMPPTRNNNTNHASSISPKSVESA >KVI11610 pep supercontig:CcrdV1:scaffold_2311:48421:57473:1 gene:Ccrd_009978 transcript:KVI11610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MVMADGSTSSENYKRIPNIGFTNLFINGDFVHSISGKTFETIDPSTKQVIANIAQGDKEDVDLAVKAARQAFDDGCWPRMPGYMRGKIMNKFADLIDENIEELALLETLDAGKVFSFETTVDIPSISKELRYFAGAADKIHGKTLKLSSEFQGYTLLEPIGVVGLIIPWNFPSAMFILKCAPALAAGCTMVVKPAEQAPLPALYLAHLAKLAGIPDGVLNVVTGLGHIAEVGRRIMQASATSNLKTVSLELGGKSPLIIFDDADVDQAADLALFGSLANKGEICVCSSRVFVQEGIYDELMKKLVEKAKTWIVGDPFDPSTCQGPQVDKKQYERVLSYIDHGKREGATLLIGGKPCGDEGYFIEPTIFENVADDSLIARDEIFGPVMAVMKFKTVEEVIKRGNATSYGLAAGIVTNDLNIANRVSRSIRAGIVWINCYHNFDSGCPIGGYKMSGFGKDHGMEALQKYIKTKAVVTPIYDSPWL >KVI11613 pep supercontig:CcrdV1:scaffold_2311:14895:15511:-1 gene:Ccrd_009976 transcript:KVI11613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase MNWSYEHVNVMNMLVLLDLIAIEGKLAAQKARDLGIGKDSDKLEFASLYGMADAMSYGLRNARFSLSKYLPFRPV >KVI11611 pep supercontig:CcrdV1:scaffold_2311:3869:10538:-1 gene:Ccrd_009975 transcript:KVI11611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MPDLRRGVRQAKKANNVEDNAALLAPTPRRGTRRGKAQALKSPAAGPAVPGYPYPMPRPAGRGRGSRPTDQDKNPEIFGAGVGRAPLNLDVGVCNPLVVGKSAEKLAADAEDEGSTSPLPERIYNLLLFEILKQILYNSSTLNGCYGVPMVHHKGRQGDYYILVMDKLGPSLWDVWNSSNQILKFVLLYSLSEEMVACIAVEALSILEQLHLRGFVHGDVKPENFLLGQPGTPNEKKLYLVDLGLASKWRDTSSGNHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGFIGENKGFLVCKKKMATSPDLLCYLCPSPFKQFLETVTNMKFDEEPNYLKLIGLFENCLVSSASLRPVRIDGALKHVEKGKNDGLNISCVASASNLWAIVMDAGTGFTSQVYELSPVFLHKEWIMEQWEKNYYITSLAGASNGSALVVMSKGTPYSQQSYKVSDVFPFKWINKKWKEGFLVTSMTTAGSRWGIVMSRDAPYSNQVVELDFLYPSEGIHRRWENGYRITAAAATEDQAAFILSTSKKRSQDVTQETLRTSAFPSTHVKSVWKVLWKKLKRENTRFMVRSSSVHVHQVPYDEHTYAQNFDQGLECRSPDILSRSFSVRYTDRPSVMV >KVI04261 pep supercontig:CcrdV1:scaffold_2312:65742:69128:1 gene:Ccrd_017426 transcript:KVI04261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEILHCFLLFVFIFSVSDAADPFSEALLSLKSEFVVQSDVLSDWVIPQEQNPSAKIHACSWTGVQCDQNSTKVTGLDLSLKNLGGVLSGNQFNQFVDLIDFNISHNSFSGKLPVGIFNLTSLRTMDISRNNFSSVFPIGISNLHNLVVLDAFSNSFSGSLPPDVCEIASVKVLNFAGSYFSGPIPGKYGSCKNLEFLHLAGNLLSGYLPIEFGQLKTITHMEIGYNSYQGSIPWQFGNMSELRYLDIAQANLSGLIPKELGNLTKLGSLFLFKNNLSGLIPVEFGRILTLASLDLSDNLLFGPIPESFSDLKNLKLLSVMYNDMNGSVPEGIAKLPNLEALLIWDNFFSGTLPQELGKHSKLKWVDVSTNDFVGVIPPDICSGGELTKLILFSNYFSGGLSPISNCTSLVRIRLEDNSFSGDLSLNFNMLRDVSYVDLSMNRFTGGIPSLIFQASSLEYFSVSNNPDLGGIIPEKTWSLPKIQNFSASSCNISGNFPGFKFCKSLFLIELSKNHLSGTVPESLSICESLEMLNLAENNFSGEIPMKLGSSTKLRYLNFSYNDLSGSIPTENTFRSMGSSSFLGNPNLCGAPLERSCRRGSGISDGMELGSRKTQKVAWVLVLCAVVAILLVSLFGIFYYRRQNVTRHWKMVSFDGFPEITAAEVLKSFDSIEAVETPYSSNSVCKAVLLTGMTVAVRKIEWGTKSSNLLMEFINRIGNARHKNLIRLLGFCYNKNLGYMLLEYLPNGTLEEKIGRKCDWDSKHRLMVNIAKGLYFLHHDCRPSIPHGSLKTSNIVFDENMEPHLAEFAFKTISAMETGMKSIITYKSRF >KVI04264 pep supercontig:CcrdV1:scaffold_2312:35027:36864:-1 gene:Ccrd_017425 transcript:KVI04264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3067 MTIEASIIWFCFDCKVIHFGRTVRSLVLELQEVRTETVGLEELTTKFLYLQLFAVQSGGSGPCGTRKLLTDDDGLLIIPNDTIPLTSPPFPRYYCYEFMGKNPLALNVLWKYMEQRSFPLTEEEYLLMLDEVANTLKC >KVI04266 pep supercontig:CcrdV1:scaffold_2312:81795:85974:-1 gene:Ccrd_017429 transcript:KVI04266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase II MTVVSLLLFLSLFLSLHFNTSRPQEWIKAGFWYTGSEFPVPNINSALFTHLICAFAYIHPSTHELFLRDSDEPYVSSFTSTIKRSNPSVTPLLSIWTQNGYYADNGNSSDFLTMAENPVYRKSFIDSSIKMARNYGFEGLDLSVSTLLSTKGNMTSLGTLFDEFRAAVDTELTHGRTKLILTMWGHYSPGLDSVSYPVDSVCRNFDWVHVRSYDFHTPLKDNFTAGHAALYDPSNRVNTDYGINEWIRSGLPASKLVLGLAYHGYAWTLVDPRDNGIGAPAKGLAITRDGSMSYEYIRMYLKSYRTSTVYNSSYVVNYCTIGSFWIGFDAQEAIRTKVAYAKKKGLLGYNVWQVPNDYNWELSKAAAQEDKYRHQKRLLVITLSIVASIILLLGCIICYLRRRIISKVKLMMSRDKSSSYNQQVISFADIREATNNFSEENKLGEVKRLSQDSKQGLEEFKNEVMIATRLQHVNLVKLLGFCTEREEKMLIYEFMPNKSLDFYLFDPSRRSMLNWEKWVDIIEGIIQGLLYLQEYSRLTIIHRDLKASNILLDAEMKPRISDFGIARSFQNNEIEATTDRIVGTYGCVPPEYIKEGVYSRKYDVYSFGVLLLQIISGKKNYYVYGPHQNLNLLEYAYVLWKEGRGMEFIDPSFDDAFSRYKPTRCMQVALLCVEEKWEQRPSVLEVSAMLRNEYANVPMPKRPAFSTNKYEEEKKIGTTEKLHSVDIATISQLLPR >KVI04260 pep supercontig:CcrdV1:scaffold_2312:3177:3634:-1 gene:Ccrd_017423 transcript:KVI04260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYSLEPHACPVNFENENYTIITSQCKGPVYQRDPCCNSFLQIACPHSEQINDEKTNCASTLFSYINLYGKYPPGLFASMCKGDKEGLSCAKIDKSAKQNAHGSQATPTSVRSPSLMITAGVL >KVI04265 pep supercontig:CcrdV1:scaffold_2312:74832:79057:-1 gene:Ccrd_017428 transcript:KVI04265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFSQSPPLPQLHSSSPPPFLDVSPLVAPAPIQLPHPSHKTFMIKKKLAKKMRQNRPIPNWIRMRTGTMPSADTGAEPSLDFEGWKKGKGKKALVSISLFCLCCVPPLRTVEESSEEGS >KVI04262 pep supercontig:CcrdV1:scaffold_2312:70056:74708:-1 gene:Ccrd_017427 transcript:KVI04262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MANISLDQIKQVDLENIPVEEVFTQLKCSRDGLTHDEGKKRLEIFGPNKLEEKKANSCLLFLCVCWVESKILKFLGFMWNPLSWVMEAAAIMAIVLANGGDRPPDWPDFVGIVVLLIINATRAHEVIDNFANRGLRSLAVARQTVPEKTKESAGSPWEFVGLLPLFDPPRHDSAETIRRAIELGVNVKMITGDQLAIGKETARRLGMGTDMYPSSSLLGQSTDASIASLPVEELVEKADGFAGVFPEHKYEIVKKLQERNHICGMTGDGVNDAPALKRADIGIAVADSTDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWEFDFSPFMILIIAILNDGTIMTISKDRVKPSPRPDSWKLNEIFATGVVLGTYLAIVSVIFFWLMAETDFFSDLFGIQTIVGNDNMINAALYLQVSINSQALIFVTRSRGWSFLERPGIGWGWAGAIWVFSIVTYFPLDVLKFTIRLALCP >KVI04263 pep supercontig:CcrdV1:scaffold_2312:21131:21813:-1 gene:Ccrd_017424 transcript:KVI04263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPGFVAASMAAASATTAISASKPSDQDHGLKSINHLADSSPEKRSCCSEKFAPRFDGLRFIETLVTAHR >KVI03044 pep supercontig:CcrdV1:scaffold_2313:59118:65665:1 gene:Ccrd_018662 transcript:KVI03044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADTEKLNNLRSAVSGLTQISDNEKSGFISLVSRYLSGEAQHVEWSKIQTPTDKIVVPYDSLSAVPEDAAQTKSLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQLESLNKKYGCNVPLLLMNSFNTHEDTQKIVEKYAGSKIDIHTFNQVRNRRTLYLSSFSQYPRLVADEFLPLPSKGVTGKDGWYPPGHGDVFPSLMNSGKLEALLSQGKEYVFVANSDNLGAVVDLKILNHLIQNKNEYCMEVTPKTLADVKGGTLISYDGKVQLLEIAQVPDQHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVQADALKMEIIPNPKEVDGVKVLQLETAAGAAIKFFDNAIGINVPRSRFLPVKASSDLLLVQSDLYTEKDGYVIRNPARTDPANPSIELGPEFKKVGDFLKRFKSIPSIIELDSLKVSGDVWFGSSVVLKGKVVVAAKSGEKLEIPDGAVLQNKEVHGGADI >KVH87811 pep supercontig:CcrdV1:scaffold_2314:43127:66232:-1 gene:Ccrd_024877 transcript:KVH87811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEYGLRGHSWFEEMYTIRESWIPANFKDYHMSGLMKTTSRSETINAFLNVYTKYWNDLVYLLNTFDDAIESQRKVHYSLEVAIRTTIPRLLSPSKIEAQAANVYTKMIFYVQKEMNKAVWLCGVVDVLEVGDKKIYNIMHKNRKAEVMLNSEVQSIPEKYIFPHWRRELVPIELLSARARYGEMDIEKQVMINQAVLMFDLIIGHVRNDKNSLAKFVDQMEQWGDEISIDVPILTSTEQKRNDIQELLCVFEPESVDILPPTRIRNKGCGTGKRIVGMSERASVNANKTKRLCRTCEKMAWHDSRNCSSKSDVNILPPTRIRNKGCGTGKRHVGMSERASVNAKKTKSRTCEKMACHDSRNCLSKSDEDGSTVFLGLIPKLKCGGLISNTSLLCSLLEIEFSTVSSQILCK >KVH96607 pep supercontig:CcrdV1:scaffold_2315:42346:44777:-1 gene:Ccrd_001304 transcript:KVH96607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSFSTLPSSTIAVPLNRDNDSLLHVYQPKAILPKAVVYDRIEQLKENTRRALMSISDPITRMELIDTIQRLGVGHHFDEEMHEILENLTEDLPDDNLYAVALCFRLQRHNGLHTNPDVFQNFMDANGKFKMSSSDDIEVLLSLYEASYLGANGEDILSQAKEFTTMQLTSLVSQLNPKLGTKVLLSLGLPRHLRMTRLEARRYIDEYGNEDDHNPIVLELAKLDYNHVQSLLQRELVEVTRWWEHLGLLKKLSFVRDRHLECFLWTVGVLPEKKYSGGRIELAKIIAILLVIDDIYDIYGSYEDLVLFTEAIRRWDLSEMEHLPEYMKISYTALYNTTSEICHKILKEHGLSVEPFLHQTWIDMVEAYMVEVEWVRSGTMPTFEDYIKNGVTTSGTCMALVHLFFLISEGVTEENMRHLLDPYPKFFSLAGTILRLWDDLGTSKEEQERGNVASSIQLLMREKNITSEEEGRKKILQLINELWKDLNTELVTPDALLLPMIKVALNMSRASQVVYQHNEDSYLTSVESQVQYLFFKPIDI >KVH96608 pep supercontig:CcrdV1:scaffold_2315:16721:19178:-1 gene:Ccrd_001303 transcript:KVH96608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MSSPFYINSLSKTSGAFSSNPQHPWRLVSSSPCKTSRVCRFKISLPVLPLATIAMPLTHCKPKAISSEVDVGSRMDELHEKTTRALMTASNPRMTMKLFDTIQRLGIGYSFDEEFIHKLIDPVEGQLDDDLYTVALRFRLQRTNGLHTNSDVFGPFLDANGKFKESSINDTEALLSLYEASYLGANGEDVLSQAKEFTTIHLKKSVSQLTPKLRKRVLHSLELPRHLRMDRLESRRYIEEYENESDHIPILLELAKLEYNQVQSLHQMELAEITRWWRHLGLVTKLTFARDRPLECFLWSVGLLPESKYSANRIELAKTISILLVIDDIFDTYGSYDDLVLFTKAIQRWDLKEMEQLPEYMKICYMALYNTTNEICYEVLKEHGLSVLPFLRKTWINMVEAFMVEVEWKKGRTAPNLKDYIENGVTTAGTYMALV >KVI01649 pep supercontig:CcrdV1:scaffold_2316:38270:40057:1 gene:Ccrd_020074 transcript:KVI01649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MHASRLKVALGAVRGLQYLHDLAYPRIIHRNVKMNNMLLDTRLVAEVANYGLSKPLRDANRTHVTTQVKGTLILDPTIGLSSQLKGLERFVDLSLSCVQEIGN >KVI10536 pep supercontig:CcrdV1:scaffold_2317:8415:47755:-1 gene:Ccrd_011062 transcript:KVI10536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLFGILCLLLLPFSFASINTTCLPSDEVEALRDIGRKLRTDWDFNEDPCGGTGNWGVVTNNTFENNVSCSSNVTNCNIVTISLKGQNLQGTLPPELVKLRYLQILYTPFFLLLLVNLTSNICIQLLICVFHSYRDLTRNLLSGNIPPEWGSMEQIVNINVEDNLMSGRIPEELGDLSSIESLLLNGNYFTGELPPSLANLITLKQFRISSNNFSGKIPDFIGGWPRLETLIKKCRRISDLGGPETLFPSFTNTSFKNLILRNCNLIGELPKSLASHRSTLKVLYLTGNMLSGRVPDWTTSADSMSILHIVLLMLFQHHPSMLSLAVFCDLSYNNFTFESSQGLRCMDGRRNLFAAFSMDNTSFPISIFGSAFYSLYINCGGSALEDENKDYEADVETGGASYFSMVDRRWRFSNTGHFLDNNGEDNYLPPSTSRVVNNSELYQSARASALSLTYYSLCMAEGSYNVSLHFAEIFFTDDGTYSSLGRRVFDIYIQGVLVEKDFDISEKAGGVGKAYVSTHSVNVTSTLEIRLYWAGKGTINIPSRGVYGPIISAISVNSTSTVEPQEDGPGDGVSGGVVAGIVVGAVCIIILILGVLWWWGYLRRRDTMDLELAGVTGSFTLRQIKDATNNFDDANKIGEGGFGSVYKGVLPDGTLIAVKQLSSKSRQGNREFLNELGMISALQHPHLVKLHGCCIEGNQLLLAYEYMENNSLARALFGPKQWQLELDWPTRYRICIGIARGMSFLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEDDTHISTRVAGTYGYMAPEYALRGYLTDKADVYSYGIVLLEIVSGMANVVNRAKENRFVLLDRAIDLKDEGNLMELVDPRLGSDYDVKEMMIVINLALLCTTISPTDRPAMSAVVSMLEGRIVPREFAVGQSISMSEMDREKMMKQLVGMNESGMEEMSIPYTDSLASTADLYPANGFMDALRVIGRKLGKNWDFDEDPCTGRGNWVSAAVGRDDANNVTCSIANTTCNIVSISVEDNQLSGGIPEELGSLSRIERFDLSYNKFTLDSSQNLRCQMRRTNLFASFSADNVSSGERVSCLRDYVCGPNSTSWYVNCGGVEQLEDGNVYEADVEPGGATFFSTADRPWRFSNTGHFLDNGGEDTYVLTNTSRVVNNSKLYESARTSALSLTYYGSCMHNGSYTVSLHFAEIRFTDNGTFSSLGRRVFDIYIQVPSHRYILYRDVGFSSIVFGSLIANHKWLVLCQGVLVEKDFDISEKAGGVGIAIVRNYTVYVTSSLEIRLYWAGKGTLTVPNRGVYGPLISAISVNPNFPVPREAGSGNGSGNGVSGGIVAGIVVGAVCSIILILGLLWWWGYLRHKDTMDLELTGVTGSFTLRQIKAATNNFDVANKIGEGGFGSVYKGVLPDGTLIAVKQLSSKSRQGNREFLNELGMISALQHPHLVKLHGCCIEGNQLLLAYEYMENNSLARALFGPKEMQLELDWPTRYRICIGIARGMSFLHEESRLKIVHRDIKATNVLLDDDLNAKISDFGLAKLDEEDDTHISTRVAGTYGYMAPEYALRGYLTDKADVYSYGIVLLEVVSGMANVVDKAKENRFVLLDRAIDLKNAGNLMELVDPRLGSDYDVQEMMVVINLALLCTTISPTDRPAMSAVVSMLEGRIVPQEFAVEQSFSMSEMDREKMKQLVGMNESGMEEMSIPYTDSTASTADLYPVNVDALRVIGRKLGKNWDLNEDPCTGRGNWVFAAVGRDDANNVTCSIANTTCNIVSM >KVI10537 pep supercontig:CcrdV1:scaffold_2317:57858:83898:1 gene:Ccrd_011063 transcript:KVI10537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEAGFKFFVLVLFLSTMSLREFGSSARFLPVEEVEALGLIASKLQYRGWLVYRDSCSTGRGLNQTHSLNSEGLIRFGSNVTCSCNSTVCHVTTIQLKGLNLTGFLPEEFANFTLLREIDLSLNYINGSIPARFGQLRLSILNLLGNRISGSIPGEIGDISTLEDLVLDDNLLGGPLHQNLGRLTRLRRFTVSGNNFTGTIPISFGNLTNIEDFYLQGTSMEGPIPSTISLLTNLTELRISDLAGSSSTRFPDLQNMTRLERLSLRNCLLTGPIPDYIAQMRSMKNLDLSFNRLNGPIPNSIQGLQFDDMFLNNNSLSGEIPGWILESRQKISGFQGKRYQGDSTVEQSFLNSTSERWGYSTNGVFTSDVDAPFIASTTNVTGGDIYRTARLSPASLRYYGLCLRKGSYKVRLHFAEISISDDMTFSSLGRRYFDVFIQGILRRKDFNIMEKANVVHNGTYLDFDDVIVNGSTLEIHLYWAGKGTTSIPDRDYGVGTGGLSGGAIAGIVIGSCIVIVLVVLWWKGCLGGNKEDKELRALKSQTSFFSLQQIKSATRNFDSANKIGEGGFGPVYKGVLPNGSEIAVKQLSARSKQGNLEFVTEIGMISALQHPNLVKLYGCCIEGKELLLVYEYLENNSLARALFGKYLTILLFTCCISIPVKSYNLSFYTGREGQKFSLDWPTRNKICMGVARGLAYLHEDSKLKIVHRDIKASNVLLDKDLNAKVSDFGLAKLDEEEKTHISTRVAGTIGYMAPEYAMSGHLTTKADVYSFGVVALELVSGKSITNYRPTEFVNLFDWVKQGSLLEVVDPSLGSEYSKEEAMRMLNLALLCTNPSPTLRPPMSSIVKMLEGKIPIQPPMVNKVPRSPDMKFRAFDGLSLDSQTQVSTVSADSLRIEEHDDRWSMGGYEVTLIMVGFKFFILVLLLSTSLRQFGSSAQLLPVEEVEALGLIASKLQYRGWHVARDSCSTGRGLYQPISVNSRGLIQIGRNVTCNCSSTICHITNIQLKRLNMTGVLPEEFADLTFLQEINLLGNRISGRIPREIGDISTLEELILADNSLSEQLPRNLGRLARLRRFLFILNQNEHIKAGKGTSGGNEREWLELQATRKKWVENLQQRRWWQLKWVLNEWRRKHFFYNIAIYVLFTVKGFPCSVVSGNNFTGTIPNSFGNLTSIEDFRIDGSTLSGRXPDFIGNWTRVTKLRISDLAGLLSMTFPDLRNMTGLVKLSLRNCLLTGPIPEYIGQMRRLKNLDLSFNRLNGSIPNTIQALNFNVMFLNNNSLSGRVPGWIFDSAESNQKIDAWCLTDQLTCSRXPDRHSLFINCGGARSDFEGNEYEEDLTSEQSFLYSTSERWAYSTNGVFLGNEDAPFTASTTNVXGGDIYRTARFSPSSLRYYGLCLRKGSYKVRLHFAEISYSDDMTFSSLGRRYFDILIQGVLRRKDFNIMEAANGVRRGTFIEFDNVVVNGSTLEIHLYWAGKGTTAVPERGVHGPLISAIAITPTGIVISSCTVFLLILAVLWRKGYLGGEGEDKELRALHLQTGYFSLRQIKSATHNFDSANKIGEGGFGPVYKVLSRKDD >KVI06274 pep supercontig:CcrdV1:scaffold_2319:55574:56745:-1 gene:Ccrd_015382 transcript:KVI06274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter, high affinity nitrate, Nar2 MEVPAGFLLVSLLLFSFAATGYGITFSSLPRSLVVTTSPTQGQGEEQITVTWALNQTFPAGTDSAYRTVKVKLCYAPISQKDRSWRKTEDEMKKDKTCQHKIVSRPYSASNNSFSWTIMRDIPSATYFVRAYVFNAEDHEVAFGQNTNAERATNLFEVQAITGRHASLDIASVCFSAFSIVALAGFFYMEKSKGKASQQK >KVI06278 pep supercontig:CcrdV1:scaffold_2319:11350:11742:1 gene:Ccrd_015376 transcript:KVI06278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVVLGYAAAAEAVMVLLLTVPGLGPLRKGLVAVIRNLLKPFLSIVPFCLFLFMDIYWKYENRPSCASDSCTPTEHLRHQKSIMKSQRNMLLIVSALVFYWLLYSVTHLVVKIDQLNSRVEKLKNKE >KVI06280 pep supercontig:CcrdV1:scaffold_2319:43853:52950:1 gene:Ccrd_015379 transcript:KVI06280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MGYPNGVAPQGNQPLFSFGVITDVQYADIPDGRSFIGVPRYYRNSILVLQRAVQKWNNHQNISFSLNLGDIVDGYCPKDQSLNAVRKVAKEFENFNGPVYHMIGNHCLYNLPRSKLLPLLSIPSVDTCAYYDFSPIPEYRFVVLDGYDISAVGWPHDHPHTIEALKLLDEKNPNVEKNSPNGLVDLERRFLKFNGAVGKEQLKWLDNILQDATKSNQKVVVCCHIPLDPGATSKEALLWNYDEVMDVIHRYDCVKACLGGHDHQGGHSVDSHGVHHRVLEAALECPPGTDAFGYIDVFEDSLELLEFVFLNGNGELLELVNGYSSGSITIMSYLTVDLSASVRNQLLNPDSYQQY >KVI06277 pep supercontig:CcrdV1:scaffold_2319:67511:76890:-1 gene:Ccrd_015383 transcript:KVI06277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHPPPLLLLLSCFAIASYAQHEHLLSSLNRSLIVSTTPTPGQVLKIGVDQVTVTWSYNQTLPTAADSNYTRVQVKLCYAPVSQSGRDDRKTDDNLLNDKTCPFDIMDGPYQRSNSSFIWTIPRDIPTATYFIRVYVTDPDDHEVAYGQSTDPLKTTNLFQIEGVTNDQVLAAWPTFKMSLGYSYAWLVAIKRKGIDFLTSKVNPSMEVHLAALLLLFSCFATASYAHNVHLSALNQSLTVSTSTAPDKVLKTGVDQVTITWSYNQTLPTVADSNYTTVEVKLCYAPVSQSGRDDRKTDDNLDNDKTCPFDIMDGPYKRSNNSFVWTIPRDIPTATYFVRVYVINAKNHEIAYGESTNAVKASSLFQIEGVTDDQVQASAAWPTLGNCFGHGYVWLGVLFLV >KVI06275 pep supercontig:CcrdV1:scaffold_2319:51851:53005:-1 gene:Ccrd_015381 transcript:KVI06275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter, high affinity nitrate, Nar2 MEVPVGFLVVSLLLTCFSATGYGVTFSSLQRTLVVTTSPTQGQVTWALNQTFPAGTDSAYRTVKVKLCYAPISQKDRSWRKTEDEMKKDKTCQHKIVSRPYSASNNSFSWTIMRDIPSGTYFVRAYVFNTEDHEVAFGQNTNAERATNLFEVQAITGRHASLDIASVCFSAFSIVALAGFFYMEKSKGKASQQK >KVI06272 pep supercontig:CcrdV1:scaffold_2319:81951:82874:-1 gene:Ccrd_015384 transcript:KVI06272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MLQDPIGIPACFSAAAGEDHSQAVTRSGQTLFRSVYKTKIGGDCRCITVTWFKHLLLHGLSVSFDGAESPEADNTCKVELKPWCFWRKQGSKRFSLDGKALDVFWDLKAAKFHGETEPSSEYYVAVVCDQEVVLLLGDLKKDAYRKTGCRPALIDPILLSRKEHVFGKKKFSTRLKLHEKGRFHEISIECKNRGGGGDGVDPAMEIRIDGHVMIHVKHLQWKFRGNETIHFSKVKVEVYWDVHDWLFSPGLRHALFIFKLTVPATSPAISAPSSPLSQPSRSSGSVDDFDPNGSHEFCLFLYAWKVE >KVI06273 pep supercontig:CcrdV1:scaffold_2319:46720:48446:-1 gene:Ccrd_015380 transcript:KVI06273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHSSFLVASILFSCFAATCTGVTFSSLQKTLVVTASSTQDQVLKAGEEQITVTWAFNQTFPAGTDSAYRTVKVKLCYAPISQKDRSWRKTEXEMKKDKTCQHKIVSRPYSASNNSFSWTIMRDIPSATYFVRAYVFNSQDQEVAFGQNTNVERATNLFEVQAITGRHASLDIASICFSAFSIVALAGFFYMEKSKGKASQQK >KVI06279 pep supercontig:CcrdV1:scaffold_2319:30224:36315:1 gene:Ccrd_015378 transcript:KVI06279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S metabolism associated domain, SufE-like protein MDTATVGMANSSYCFNNTVLNPNPTPRLCRSSFTFFRPVKSDLNSSSPTIRISGNTRSTFSCTAVTITPSLTSDHHLSAIAKLQSLASEFNSISEPIDRVKRLLHYANVLPLFDDSVKITANRVMGCTAQVWLDVRMDADGKMRFLADSDSEITKGFCSCLISVLDGATPEEVLGLKTEDLGDLNVAGLHGGKVDSRVNTWHNVLISMQKRTKALVAQREGKSVGEPFPSMVITAEGIGAKGSFAEAQARFLFPDDAKVQELANLLKEKQIGVVAHFYMDPEVQGVLTSAQKLWPHIHISDSLVMADSAVSMAKAGCKFITVLGVDFMSENVRAILDQAGFPEVGFLQVGVYRMSDEQIGCSLADAASSPAYMDYLSGASISSPSLHVIYINTSLETKAHAHELVPTITCTSSNVVQTILQAFAEVPKLNVWYGPDSYMGANIMELFQQMTLMTDEEIAKIHPDHSRNSIKGLLPRLHYFQDGTCIVHHLFGHEVVEKINDMYCDAFLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKSRVQEALDRNVDDHLQFILGTESGMVTSIVAAVRQLLCSSESSSSSEGKVSVEIVFPVSSDSVTTTSQSSSTSLSLGELNVSVIPGVTSGEGCSLHGGCASCPYMKMNSLVSLLKVCNSLPLGKDSLKQYEAGRFSLRTPKGKLIADVGCEPILHMRHYQATKKLPENLMDQILNNGRRA >KVI06276 pep supercontig:CcrdV1:scaffold_2319:17708:21327:1 gene:Ccrd_015377 transcript:KVI06276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEMERVTEFPMSQLDVRPRKRQRLGWDVVPQPPKAQLGLFCGQEIGNLTSFAPSRAPSDHISSSLVKRVARIGSPPWRQDDKDGHYVFAVGDNLTSRYKIHGKMGEGTFGQVLECWDKERKEMVAIKIVRGIKKYREAAMIEIDVLQQLGKHDKGGNRCVQLRNWFDYLYDMINVVDLFPQIDKGFGVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECIAFMHDLRLIHTDLKPENILLVSREYLKVPDYKCSSRSPKDGSFSKRIPKSSAIKVIDFGSTTYDRQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPMHMLKKADRHVEKYVRKGRLDWPEGASSRESIKAVMKLPRLQNLIMQHVDHSAGDLIHVLQGLLRYDPSERLTADAALRHPFFTRDHLRRY >KVH88891 pep supercontig:CcrdV1:scaffold_232:266986:267588:-1 gene:Ccrd_024893 transcript:KVH88891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MESSSSFLFTTLFFSLCVCSLISTTKFDQLFQPYCAADHFSFNGEALNMKLDNCSGAGFWSKSKYMFGKFNIQIKLVEGDFAGTVAAFYMSSDGPKHHEFDFEFLGNTIGEPCFGLSICEPFFLSFASSVPVFKVAFFYLI >KVH88880 pep supercontig:CcrdV1:scaffold_232:169560:172437:1 gene:Ccrd_024885 transcript:KVH88880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterotrimeric G protein gamma subunit 2, Regulation of abiotic stresse [Source: Projected from Oryza sativa (Os02g0137800)] MDSATVPNDDEPSQSISKSPSETNSNRHNHRISTGMGSPNFIGKHRLAAIISQQNQQIQIIQEELDQLETLGEASLVCEQTRGPADGGWDRWFQRANHSSSRNRKRWI >KVH88890 pep supercontig:CcrdV1:scaffold_232:228561:232297:1 gene:Ccrd_024890 transcript:KVH88890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 SPCKINNSQLSINQSKTCSFSLNASSSFPQRVFRNPRSLMGAFTSSRNKRQAEFQSSSPSGFEETDVAHVQIVNDDDADSGVAMADVEIQDINKSGELQNVILEYRKLDGKTEQNLGFQPCTCASAGMVSDSVDGKTLESVSLKQRPDRGLPLHIKLLHYVAEKIRRFNFHIKLQERERGLCQQLGAPPPKKEEVINVYFELLKEREKVLKCHFFNTFFYKQLPSIALRQWMVNNQILIRRCVYHEFYLFVAAQLTSGRIGYDYKSVRRWTTQKKLGYSLFECDKIFVPVHKEIHWCLAVINKKEAKFQYLDSLGGIDEQVMRVLQEYVKDLPKQKNGNDCGVFMIKYADFYSRDIGLCFKQLYILCLLWKRKECSPYICAASSSIPIAHMLDDTEI >KVH88871 pep supercontig:CcrdV1:scaffold_232:355312:355734:-1 gene:Ccrd_024901 transcript:KVH88871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MQGVHGYRIRSDGGVRLELTPTTNSPLAIDISESTEMRIQRLITENPVIIFSRSACCMCHVMKRLFSSLGVHPTVIELEEDEIEALSASQQDSGGGGGGETIAPAVFIGGSRVGGLESLVGLHLSGQLVPKLVEVGALAL >KVH88874 pep supercontig:CcrdV1:scaffold_232:128889:134132:-1 gene:Ccrd_024883 transcript:KVH88874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1997 MKFFKCEASIVFPQVFPHQASCNQFYSRRSLRVSNCSDENFSELNVKRAKLTARKQDRVKIPCYDLVGGRGEKIYPIREFLSHPSGIEALLNTRALQSFEQIDSTTYRCTLPQLNFLKFEVSPVIDLRVTPTNEDCMVELLSCKFEGSEVMKRQNEHFSAEMTNYITWCTNNSEPYLDVDVKLNLTLEVVTLLRHLKDHLPICPFAPLHIYTRPFSLLPTSAVEAPGTLMMQALVDRLVPLLLQQLIQDYGQWVTQNPEWSLVLPDA >KVH88882 pep supercontig:CcrdV1:scaffold_232:196931:226767:-1 gene:Ccrd_024889 transcript:KVH88882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial bifunctional deaminase-reductase, C-terminal MGFATPINCKVTPIINSNNNNHHVLDASYMKRAADLSDKSVGFTSPHPNFGCVIATSGSAGKVVGEGYLYGQGTKPAEVQAVEAAGGYCRGATAYVNMEPGDCDGDLTALSAFIKAGITRVVIGIRHPLQHLRGKAVQALRSEGLHVDVLGEDIRSVSIEEALKSCLLVNAPLLYRSASRVPFSVLKYAMTLDGKIAASSGHASWISSEMSRYRVLELRARSDAVIVGGNTVRNDNPRLTPRLGGGHVPMRIVMSQTLDLPEVANLWDVRDVPTIVVTQRGASRSFQKYLASKGVEVVEFDILNPKDVVDFLYDRGYLSILWECGGTLSASAISSGVIHKVHAFVAPKIIGGKNAPSPVGELGMVEMTQALELIDVCYEQIGPDVLISGYLHPIPDVTHIIPSVEETSAIDPSLSSYDSPIIFFNKTWDPYGSFSNFSPHPVQLPDESGDYVTWPSVEHYYQAHKFVGSSNPNARSYVEAIYLAKSPEEAARMGRKIQREHPDMVTPIINNNYNYNNHHHVLDALYVKRAAELSDKSAGFTSPHPNFGCVIATSASAGKVVGEGYLYGQGTKPAEVQAVETAGEYCRGATAYVNMEPGDCDGDLTALSAFIKAGIARVVIGIRHPLQHLRGKAVQALRSEGLQVDVLGEDIQSVSIEDALKSCLLVNAPLLYRSASRVPFSVLKYAMTLDGKIAASSGHASWISSKKSRSRVFELRGRSDAVIVGGNTVRNDNPRLTPRHGGGHLPMRIVMSQTLDLPEVANLWDVRDVATIVVTQRGARRSFQKFLASKGVEVVEFDILNPKDVVDYLYDRGYLSLLWECGGTLSASAISSGVIHKVHAFVAPKIIGGKNAPSPVGELGMVEMTQALELIDVCYEQIGPDVLISGYLHPIPDLVPVIPSVDETSAIDPSLSPYDSPIIFFYKTWDPYGSFSNFSPHPIRLPDERGDYVTWPSVEHYYQAHKFVGSSNPNARSYVEAIYLAKSPEEAARTGRKIQREHPDMVRSDWENVKTEVMYKALRCKFSIYPHLTSMLLLTAGCVLVEASPHDLFWGGGRDGEGLNYLGRLLMKLRSEFLDGDTNKPEISAGFRES >KVH88878 pep supercontig:CcrdV1:scaffold_232:182473:185393:-1 gene:Ccrd_024887 transcript:KVH88878 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEGEVNKEAETLPPGFRFHPTDEELITSYLINKISDSSFTGRAITDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNGVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRVHAKSAFRTSKDEWVVCRVFQKTAGGKKYPSSSSSHSRGMINPYNLDIGPASGIHMPPSDPTASYQFPVGLGRTYMNNADLSEFSRVFRGNTNMPLHQTQMNYPNGGGAECFTISGLNLNLRGSTSAPMRPMGGAQPPLPLPPYPQEDVTSSMLINSCGLGNEQSMGYGGDMSSNANNNRFMTMEQCADLDNYWAPYGLPINEG >KVH88889 pep supercontig:CcrdV1:scaffold_232:233142:241945:-1 gene:Ccrd_024891 transcript:KVH88889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1644 MTKVKKVPERSGSRHRRASPYSLRSFHSKIMKDTRHKKQRKASVKDWQDAKCSVCMEYPHNAVLLLCSSYHKGCRPYMCATSYRYSNCLDQYQKAYTKVDSTQSAPPWEGSTDDPNFSLGSDGASEKSRAPELLCPLCRGQVKGWTVVERARKFLNSKKRSCMQDNCSFVGSYGDLRKHVRADHPLARPREVDPSLAEKWKKLENDRELNDVMSTINSTMPGSIIMGDYVIEGNFRGFSRDDNVDNYLDSVLLRLGSYDGRRNARSGYAIVERGRRSLDDDEDDGDGEIEVRLGDAASASGRVRNYIPRIGRRQGRLFLSGGSRRRRDAHGGVSPAGIIF >KVH88893 pep supercontig:CcrdV1:scaffold_232:14536:49679:-1 gene:Ccrd_024878 transcript:KVH88893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTPLDYAAFQLSPKRSRCELFVSSGGNTEKLASGLLKPFVTHLKVAEEQVALALQSIRLEVDRHKNVESWFTKGTLERFVRFVSTPEVLELVSTLDAEMSQLEAARRIYSQELLRAIDVRLATVKQDLATSCARAEAAGFNHETVADLQLFAERFGATRLNQAASKYVSLYHTRPDLFNNPSFNSDQPIHHDPPTTTATFEQASTTSHPLKSLSSSATFPLTRAGASTTQDSTVHADDSVFVKKEEGEDPPVQTSSRRLSVQDRINLFENKQKEVAPATGSGSKPAVTKPDLRRLSSDVSHSNSPPPPPPAVLRRWSGASDIIDLTCDRKDDQKDTPTDVSNDQEIGSSNLSKGGTSSTIQLVKSETSVGSNQLTSTLETTQSLTYLIKSEDVSSESQVKPGSRINSFRGDQVKFDPSTVGPSEESDLATMTLRAPPKKTAPDSGYPGGGSGSKIQEAFAASQQRQLEVGLLRSQPKLNTSSESEEVRKKEEEQIVESGPQKLKFQKQVKKDDGGYAYGYSSTPPSGKLYQGQYGSMLMEASTEQVHRSRQLKGNQELNDELQIKANELEKLFAEHKLRVPGDQPNPTRQKKASDVEPDQITRFSYRKQVADPVLEQQMTFESPVPVMETDDQNHNYLVAKVGFSEELRGNLYVSYMKKRDTRLRELWDSNRAEKEARMKAMHDSLECHTTEMKAKLSWSADRQNSVSSAQRRAERLRSFNARSALKREEVSLFLLLYSTVYISNDPLDFGQLQDDGDLSEFSEMKPSGFCVSRNIQGKKPSPAIPRSAPKLASGSGRRRAQPDNPLAQSVPNFSDLRKENTKPYSTASKAAARSQLRNYTRSRSTNEEVPSVKEEKSRRSQSLRKTGMTSLEISEGVNEEQGEGGRMKFSKTVEPKRFLRKNTGIGPGSGSVIAKMKASMVSEAMNNEEEYDKQVIEPNVIKDDGEEELDAMETEDQDVVDGVESQTSPESEALINSGSENGSTSQSFLQVDHTLVAELPATVNSAFLVQESPGESPMSWNSRTNYPFSYAHEASDADADASPIRSPASWNLQEADAARMRKKWGMTQKPTLANSSGLQSRKDMTKGFKRLLKFGRKSRNTESLADYISATTSEGDDDTEDGRDPANRSSEDLRKSRMGYSQPEVSFNESDFYEFDSSTSGKLPTEGGSSVSKNHQRFWVLKNDRWIIVAADFRSAGRLQKIDMLSSNETKTGIIMGESHITFRYSDGVAKTVGGRSREDAAAVAGDWAEPICGEESGNPSIDCNFLEDPESNNLLKPQAVNRGGRPISLYVRRVEKGQKLLTVYSALQDAAQEEKEKSVGPKRSPSEPGHHPQNLAGCVRVGSIKAQQKVKQTKVEDHNGY >KVH88876 pep supercontig:CcrdV1:scaffold_232:91186:93620:1 gene:Ccrd_024881 transcript:KVH88876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex, sigma subunit IHFVLLISRQGKVRLTKWYSPYSQKERSKVIRELSGMILTRGPKLCNFVEWRGFKVVYKRYASLYFCMCINQEDNELEILEIIHHYVEILDRYFGSAYYILDEVLIAGELQESSKKTVARLVAPQDSLVEAAKEEANSISNIIAQATK >KVH88888 pep supercontig:CcrdV1:scaffold_232:301295:304069:1 gene:Ccrd_024896 transcript:KVH88888 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MASQTIQPHSLITPKRFSISDSIRYIFREQRLLFVVVGIAIAALISNLLLIPSPLPSQQISHSMHIAESTSVPRRRAYELHSDHLNPGGRIPLGLKPKSMRVLVTGGSGFVGSHLVDRLMARGDNVIVVDNFFTGRKDNVLHHLRNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIISFFYFIFSNGYGDHKTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLEHPQVETYWGNVRIARIFNTYGPRMCLDDGRVVSNFVAQVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTEDDPHKRKPDISRATELLGWQPVVPLREGLPLMVSDFRQRIFGDLKDGDSSTSTFTSVVRKRAEVKRKKAAACICLGFLKRIS >KVH88894 pep supercontig:CcrdV1:scaffold_232:68728:80928:-1 gene:Ccrd_024879 transcript:KVH88894 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-type domain-containing protein MSMLEAAHENDIELEGACEGSLACSTCHVIVMDVEQYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGLRLALPAATRNFAVDGYKPKPH >KVH88885 pep supercontig:CcrdV1:scaffold_232:284606:293929:1 gene:Ccrd_024895 transcript:KVH88885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MASNSPNLECRMYEVRFPEVDMAVMIQVKSIGEMCAYVSLLEYNNIEGMILLSELSRRRIRSINSLIKVGRIEPVMVLHVDEDKGYVNLSKRRVSEEDIQICEDRYNKSKLVHSIMRHVAETMELDLEDLYIHVGWPLYRKYGHAFEAFKLIVSDPDSILNSLTREVKETDSDGKEVVKVVPALSEDVKDVLVKNIRRRMTPQPLKIRADIEMKCFEFDGVLHIKDAMRKAEAAGNHDCPVKIKLVAPPSYVLITQTLDKEQGISVLTKAIAACTQEIEHHKGKLTVKEAPRAVSEREDILLAERMAKLSQANEEVEGDDDSEEEEDTGMGDIDVEKQMEN >KVH88884 pep supercontig:CcrdV1:scaffold_232:316149:328712:1 gene:Ccrd_024898 transcript:KVH88884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSFYGNGITLGSSTPTFKNLLSSRHPNQIQVSSYPFAFLPLRLSKTSIFVRKRPNLITASALTTPESPLKSSFRDKNPKEINVLVVGSTGYIGNFVVKELVHRGFNVIAVCRENSGIRGRNSKEETLNKLNGANVCFSDVTQLDLLQKSLQNLGVSIDVVVSCLASRSGGVKDSWKIDYEATKNSLLAGRKFGAQHFVLLSAICVQKPLLEFQRAKLKFESELVKEAEEDDGFSYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPISEPDLASFIADCVCGEDAELREGYIGRILQEGSGGRDEWARARGTSNFLRVSVDDAMGCVFGKEISSSGPASSEIVAEKRRERIHSGRGDGSLVANPDSSNVGTAGGEPQNGVDRKGEKDGSVRPRGERRRSKPNPRLSNPPKNIHGEQIAAGWPAWLSAVAGEAINGWTPRRADTFEKIDKASNVTIGQGTYSNVYKAKDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPTIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLLDNGGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATIFKPQQSYRRCIAETFKDFPPSSLPLIDTLLAIDPAERLTATTALGSEFFTTKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGKSNADGAKKTRTRERPARAVPAPEANAELQTNLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSSHHMHMDPAFDPPDVPFSSNFSYGKAPIQTWSGPLVDPASAGRKKHSDSTTTSKSSKNKSLGRSREK >KVH88886 pep supercontig:CcrdV1:scaffold_232:276286:280993:-1 gene:Ccrd_024894 transcript:KVH88886 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, iron-sulfur subunit 5 HWSIAIATILFTLVRFWVILQKEIVRSKSESMASGWGITGNKGRCYDFWMDFSECMSRCREPKDCSLLREDYLECLHHSKEFQRRNRIYKEEQRQIRAAAQKAKGGDGDGVPHH >KVH88892 pep supercontig:CcrdV1:scaffold_232:259603:262688:1 gene:Ccrd_024892 transcript:KVH88892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MRKSDGLPKPSAYIYSPYLRATNPLPTLHPACLLALPFAFSPLPVFTDPRSMLVYQDLLTGDELLSDSFPYNEILNGILWEVEGKWVVQGAVDVNIGANPSAEGGDEDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVTYIKRYIKQLTPKLDADKQEFFKKNIEAATKYLLSKLSDLQFFVGESMHDDSTTVFAYYKDGAADPTFLYFGVGLKEVKC >KVH88872 pep supercontig:CcrdV1:scaffold_232:332549:350833:-1 gene:Ccrd_024900 transcript:KVH88872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku70 MDMDPDDVFRDEEDDPENDLYQERQASKELLVYLVDASPKMFNITCPGEDQKNESHFHVAVSCIAQFIKVQIINRPYDEIAICFFNTREKKNLQDLNGVYVFNVAEREHLDNLTARFIREFDCVEESFNKLIGSEYGIVSGSRDNSLYNAFWVAQALLRKGSAKTADKRILLLTNEDDPFGNIKGVTKLDMARTTLQRAKDAQDLGISIELLPLSRPNEEFNVSLCYADLIGLDGDDLAQFMPLAGERSFICADTGALVQEPPKRFQIYKNEEIKFSTEELSEIKRVSTGSLRLLGFKPLSCLKDYHNLRPSTFVSPSDEEVMGSTCIFIALHRSMIRLKRFAVAFYGSSARPQLVGLVAQDEIVDAGGQLEPPGMHMIYLPYSEDIRLIEELHSETTGNMPSATEDQIQKATALMKRVDLRDFSVCQFANPALQSHYAVVQALALDEDEMPEINDETLPDEEGMARPGIAKALEEFKVSVYGENYDEENENTAQGKANAASKKRKVASEDALVKCAYYDWPKLADDGKLKDLTVQELKYYVTAHKLPVAGKKEALISRILTHMGK >KVH88887 pep supercontig:CcrdV1:scaffold_232:309832:313303:1 gene:Ccrd_024897 transcript:KVH88887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-type fold MERSDFGKMGYGCKHYRRRCMIRAPCCNEIFGCRHCHNEVTNMLNSPSDRHDLVRCDVKQVICSVCDTEQPVARVCTNCGVNMGDYFCEICKFYDDDVSTKSFHIPFAFYRATRGSSTAMNVVSADAYLINLIQVPNFGFFRVGGRENFFHCKKCGSCYSLSLRDNHSCVENSMRHHCPICYEYLFDSLKDTNVMKCGHTMHRECFQEMVKRQKFSCPICSKSVMDMSWIWKRLDEEIEATAMPEDYRHKKVWILCNDCNDTTEVFFHIMGQKCCHCNSYNTRTIAPPVLPQE >KVH88883 pep supercontig:CcrdV1:scaffold_232:330125:331366:-1 gene:Ccrd_024899 transcript:KVH88883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFQSVFSDDPDPSSPEPQSQNPESIPPNPSATIPAIASAWTFGSGLMKTLASKSESVIETYRRDLEEFSSGIKKETAVIREAAARAVKDLPTSLEAGAGLAQESLESVGQAIDDLGSTVTEIIAQGKDSMLAVDYSDTDSDVTDANINKKVSSRDDLQSLKPYTRLDAQIRTIQSDMSTYLKDPEDLVEYNEWKSGFKLDEKVGEIDDIMNGNDGVVGEIYREIVPARVDEDSFWSRYFYRAYKINKAEEARANLVRKAISGEEDEELSWDVDEDDYEENAESDLKIEEKESVEDSEKEGKKLDDEKVESRKGNQTELEVDNMEAKSDVKTASEGKTDRDSDISVVSSQPSPEEDGWDEIEDIGSSDESKDKVVSHGSPKRAELHKRLSVAQEEEEDLTWDIEDDDEPVQA >KVH88877 pep supercontig:CcrdV1:scaffold_232:171173:174399:-1 gene:Ccrd_024886 transcript:KVH88877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 MAVTTKQMSLIVGSLGVLSFIFGVIAENKKPASGTPITGKDVVICKYPADPTVALGYLSFGFLAATTLAGGLSLFYPYKGKSIPVPALFQSTSFFIFFLIALGSTGLAATMLLWPTITEHKHLVSNVHYNLETTCPTAKTGLLGGGAFLALDAALFWLVSLMLADNAREDYLDDVKGSGGDGLTTEYDADDVIKGSA >KVH88875 pep supercontig:CcrdV1:scaffold_232:87800:88344:1 gene:Ccrd_024880 transcript:KVH88875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKLSTRLMNICVVSFCKVQQLEKAEAVIVDAIRLGKPEEANKVFQDIVMSKLSPCSTTFNTMLNGLCKNGYTANALMLFRSLQRHGFIPQ >KVH88879 pep supercontig:CcrdV1:scaffold_232:139293:169634:-1 gene:Ccrd_024884 transcript:KVH88879 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferase, MraW MDEDAHKPSYFLLVGQRGEIGCVIVVTILIWAFITCESQSTAIRLPPITMSSHAVTAATAAAAAVKQLVSHPSFTFPPLSCHHRRISSSLLAVASYSTTLSASTRYGKKLNRKDNSISRSRRALIDSVVKRRTRSDKKFDEESVRQFGDTESHIPVMLGEVLEVFDSRELHTFVDCTLGAAGHSSAIIQAHPEMQSYVGMDFDPVAHEKAKAKIDLIRNAKSCDSMSNLKTHTFLRNFKNIRSALSEVDEKLLISGTDGILMDLGMSSMQVNNAERGFSVLCDGPLDMRMDPQASLRAEDILNSWPEAELGQILRDYGEEDNWRALQKRIVKARVSGGLHSTGELLDLIRSSTPSGKGGRQGWIKTATRVFQALRIAVNDELKTLESSLHDCFRCLAPGGRLAVISFHSLEDRIVKQTFLNIINSSLVDGPKREANDGEEKEAWIKQMIEGVGGTILTKRPITPSEDEERLNVRCRSAKLRVIQRD >KVH88870 pep supercontig:CcrdV1:scaffold_232:368206:373147:-1 gene:Ccrd_024902 transcript:KVH88870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide translocator 1 MVAEDGDEASSRGSKPNKSEMVMMHSYWLTNFPRDLMAGAMMGGMVHTVVAPIERAKLLLQTQESNIAIVGGKHRRFNGMIDCIVHTVKEEGVLSLWRGNGSSVLRYYPSVALNFSLKDLYKSVLRSRSSQQSTFLSGPPANFIAGAAAGCTTLVIIYPLDIAHTRLAADLGRMESRQFHGIRHFLVTVWAKDGIRGIYKGLPASLQGMVVHRGLYFGGFDTLKERMSKDAPSEVALWKRWIVAQAVTSSAGLLSYPMDTVRRRMMMQSGIEQPMYRSTLDCWKTIYSTEGFRSFYRGALSNIFRSTGAAAILVLYDEVKKFMDWGGS >KVH88881 pep supercontig:CcrdV1:scaffold_232:195273:196364:1 gene:Ccrd_024888 transcript:KVH88881 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated interaction domain-containing protein MSSEGTIGLPPEIVTCILLRLPAKSLGRFRCVSKDWLSLISDPQFIKTHQSTHIRNHLIFVSYDHSLYSLPFHHHEPAALVPRPKKLRFDLHHVVFTLYGCCNGLVLVSAHNFDGLHSLVILNPTTREIMELPESNYEVISNRSEIEIVYGFGYDSLTDDYKVVTISYFHNHHLIPPDTMSVHVYSLRTNTWRWVTDSPYDFSYGKFVSGVFLNGFLHWIAKKGSDSLPVTVAFSLADDTFIQLPSPNLCNDVHIMSRNDCKLVVLDGKLAILMDDEIWLMKQYGVRESWTKISIHGLDGIPMVEPMFLDENGKILLVSRNLMLMCDVEERSLWQSVHTSQNLKDLKLRGTYIESLVSPKFSI >KVH88873 pep supercontig:CcrdV1:scaffold_232:96343:121138:-1 gene:Ccrd_024882 transcript:KVH88873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MITQTHSFFLLRVSLLYSSNFHPSSTLLNFSIPDPNHEREGQFGQTTAGLVHLKEEIRMESLAAKSQRGGKCACLSHWSYAHIWQLWLQIIIVNELGSSAQSRKSSAAAQSQPFQQVPYLTLEPSPLFTKSTAMGILLKIFLDPLPLYIILLCCLMNICQGLTADGQALVNFRTSIISSDGVLGQWRPEDPDPCGWKGVTCDSKTMRVISLNVSNHKLKGPISPDIGRLDHLKFLYAILNCSCIRTSLQQLLWCNPSRVGKLYRAARIVSTNSSNILIIFLFFFQFLQNNYLSGFIPTGLGNLSKLQILDISSNSLDGSIPTSVGQLRNLVNLNVSSNFLAGPIPTDGVLSQFGSNSFVGNSDLCGKQINKLCKDDVGSQQPAGNQNVKRNSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDAQGIAKDVSGGASIVMFHGDLPYSSKDIIKKLETLNEEHIIGAGGFGTVYKLAMDDGNVFALKRIVKLNEGFDRFFERELAILGSIKHKYLVNLRGYCNSPTSKLLLYDYLPGGSLDEALHGITVTIFPEKAEQLDWDACLNIIMGAAKGLAYLHHDCSPRIIHRDIKASNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLMLEVISRKRPTDASFIEKGLNIVGWHEIINGNREGVEAETLNALLSLATQCVSLSPEDRPTMHKVVKTLESEVMTPCPSDFYDSASD >KVI04477 pep supercontig:CcrdV1:scaffold_2320:50957:51481:-1 gene:Ccrd_017206 transcript:KVI04477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWHIHESCSANLLQHRFDGGGGDGGGDGDKGGGGDGDGGGDEGGGGGGDELGGDEGGGDDMGGNKGGGDDLGGIDGGNDDLGGDEGGGDDLGGDKGEGDNMGGTNGGVDDLGGDEGGGDDLGGDKGEGDNLGGTNGGGDDLGGDEGGSDGLGGNEGSNGDLGNGGGRDSNNRI >KVH87810 pep supercontig:CcrdV1:scaffold_2322:4651:22564:1 gene:Ccrd_024903 transcript:KVH87810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEDNKSLMMGCVILAFDATRDRNEQEFENVISSIISQKGFLNRGDTIVVFGVLHKVSHPFGYQMQIEKVNIRAMEEEIQLKLEMYVKMLRRSAEDCKEEGVDIEVKITCGTPTKKIIQQEASACNATWVILDRHLKRDEKFYQGQILCKLALVADDWSIRILTTEPTTGTDNTEIKVVLYRSKHVQLSAPPDTENVDQSIISHSYSASFGSFENLEMPSKKHLTSLMHKSWGQGSILTDDIHCASKQDGSGSLSRAGNKHSNASLIIGTSPRRKRSSTTPVLCDACGMTTELYIKESMKFSFSEIHLATNYFSDENLLGEGGYGRVYKGQLSDGQIIAAKVRKQASTQGFEEFHSEIYVLSFARHRNIVVLLGYCCKENINILVYEYICNRSLEWHLFDQSANGLEWHQRHAIAIGTAKGLRFLHEECRSSPIIHRDLRPSNILLTHDFVPMETKQSGMSPLLRYSTVVSLYGDEETEDAVPMICDFGLAKWKTNDSPIQTRILGTLGYLAPEYAENGMVSVRTDVYAYGITLIQLISGRKAVSSNSEDHHQSLRQWAEPLVESLALHDLIDQRLGDSYDPFELYHMAKTAYLCVKVDPEKRPSMGEVVQLLEGETDHFKNLTDQFLPHYNH >KVI03088 pep supercontig:CcrdV1:scaffold_2323:17121:31035:-1 gene:Ccrd_018617 transcript:KVI03088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicastrin MTSTATGLLFSLLCLLASLHVSFSASEQLAFESVPDLEKSMYMVLDGYPCVRLLNLSGEIGCANPGLDKVVAPIVRFKNGIELVRSTTILVPLYDFDRLLSRVSSDSNFARNVAGVLVESGTQNQTHLTGSSPDKKFPQAEFAPYENNKFEWNPTGSGSMWRRYDFPVFLLSESSTQTLQEIAVKNENKKETYAADVTEFDLVMQGSVWSALPTTNVSASSREKPVVLTVASMDSASFFRDKSLGADSPISGMISLLAAVDALSRIEDLDTLAKQLVFVVFTGEAWGYLGSRRFLLELDQHTDAVHGINNTLIETVIEVGSVGKGYDQGVKTFYAHTTGVSSATNETLKALQQARDSLGTESIKYSTASKSNPGIPPSSFMSFSRQNPHAAGVVLEDFDSAFTNKFYHSHLDDISNINSSAIVAAASLVARTLYILASDNKDLSSSSLNAVNVNTSLVDELLGCLLSCEPGLSCELVKRYITPSSTCPSHYVGVIQGDPSLEPFLGYVGDVPRFVWNFMADRTSTPLKDVSPCSENCSMSGGVCIKQEIDGKGICVTSTTSVLPPNSSDLMGAADPVWAESNWNVIGLRVYSVQGAAYDRLILMAGVVVTALSYLLIVIVKAIIAKALKQD >KVI03089 pep supercontig:CcrdV1:scaffold_2323:73973:84810:-1 gene:Ccrd_018618 transcript:KVI03089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-like protein MGVKNLWDILESCKKTLPLQHLQNKRVCIDLSCWMVQLHNVNKSHCSMKDKLYLKGLFHRIRALIALNCSLIFVTDGSIPGIKVSTYRRRLHLNNEGTREESSLNKNASLQRNMGSEFSCMIKEAKILGSALGVPCLDGIEEGEAQCALLDSESLCDGCFSSDSDIFLFGARTVYRDICLGEGGYVVCYEMDDIKKKLGFGRNSLIVKSLGEAAVLQRIASEELSLLKKAICSKKRNQVLGCNNKENIPPHESNGNGHKSNLPRNCEFLEVMDAYLKPKCHSADSQMQICARFFEWPPERTDEYILPKIAERDLRRFANLRFTSSHLGVRLPLDKIPVKCPVSGIVKRRKAQGKECFEVLWEEVDGLTSSIVPGELVESACPEKIVEFEEKIAEKKKPKPRKPRPKPSERNKSLSEIDVKLQELVLEIEGASSSAHTFRLLDEPMVNTRLNGAESLCAIETEIVNLSTPLVVRKSKGKGETEIIDLSSPFPVNVIELSESETDVSPEHMKKVRELRSFVASIRDEFS >KVH96498 pep supercontig:CcrdV1:scaffold_2324:63713:68198:-1 gene:Ccrd_001418 transcript:KVH96498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTDDWLTAALTNDAMVVELLIRMKQPSSLDSSSPTTTSTALLPPIRWGHHKSRSKPFPITTTNAAAAAAASGFGKEQQSGSPTTPLYWRGGDGGGGGVSTSDGYEESSRPSDLSSGGRSIKGGLGNEGATTSTYKKSRKRKSFAELKEEEDFLMKERLHLNRELESMRVTMNKQIVTSQNLRKLKIEKTSEMLDNEPQPRAQKPYVVEPEIEGHENGFVLPDLNMTPDVEELLL >KVH96497 pep supercontig:CcrdV1:scaffold_2324:14527:31798:1 gene:Ccrd_001417 transcript:KVH96497 gene_biotype:protein_coding transcript_biotype:protein_coding description:YAP-binding/Alf4/Glomulin MSSENINSLRLQQALASCSQSIANGDFKQSEEYISELVRFLDSVSDLIEKDEHDAENSAFEAVTDALAFELPKAVAKLGCVSTRCLENAESIINHFVEICSPRDMVSILCEAMASPSDGFNNSSYFAPLLGTLAKAFEALQRRPFEQLKASLPVVLKVLEALLSDPEHEDTGSADLLNRTILIAHSLKAICEKFELKDEKLFTLFGLYVLQITALISNCMGAETSRCFPMMLELSHFLQFCGLTNIGLITGHEVDIAIDLIFQGDEDDMSCFSYVKPGAALAVLWRNLSNEVADATKEDLDAVKNELRSNRTKRWEAVGMLKHIFASANLPWALKRHAIYFLFCIMEGVVAHKDYDEPLDYLAYTPSLYAALQAIQLVIVYASDPLLRKKAFDTFKMIAILLGCVKEEMHREYLQKVSGQNGVAEAGNNIFQHSSPFWTVRVLDIVEFVLKPPKGGPPSLPEFTDAVLSALNMYRFVLITESSGNTNYTEVLSKNNLQKVYREWLEPLRTLVAGVAAESQKDDNQLAFDTVCALNPVEFVLHRCIELVEENLKHHS >KVH96496 pep supercontig:CcrdV1:scaffold_2324:3236:6446:-1 gene:Ccrd_001416 transcript:KVH96496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 MKTILSSETMDIPEGVEIKVKAKVIEVKGPRGTLTRNFKHLNLDFQLITDEETGKQKLKVDAWFGSRKTTAAIRTALSHVNNLINGVTQGYRYKMRFVYAHFPINASITNGNTAIEIRNFLGEKKVRKVDMLDGVTVVRSEKVKDELILDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSDKAKIQEES >KVH93652 pep supercontig:CcrdV1:scaffold_2325:28181:39619:1 gene:Ccrd_004295 transcript:KVH93652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase LIEGAVSNPRVLECNRFPIPSFPKALVGLTRKRTPEATILTAMSGPECCENPPAPASGVESGDIQQIASLNSYVSGNPDSKIAVLLISDIYGYGAPKLRKVADKAASAGYYVVVPDFFHGDPMTPETRIEDWLTSHAPDQAVDFAKPVIQALKEKGFTKIGAAGFCWGAKVVVELAKDTDIQVAVLLHPSFVTLDDIKGVKVPIAILGAEIDRRSPPEVIKQFEEALEAKPEVDQFVKIYPGVSHGWTVRYKDEDEAAVKCAEEAHQDLLEWFGKYLQINHSTL >KVH93653 pep supercontig:CcrdV1:scaffold_2325:66898:72078:1 gene:Ccrd_004296 transcript:KVH93653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACSLCLKPFIDPLCCQKGHVFCKECILECLLSQKKDIQRKLSAHTAQLKQEKEEESEKLMLQKARELDAFDQQNHGAVPQYNDKNFNRDRSGFHGANSVKTTSYEAEALRTMKAFWLPSATPEAPVKVNAPSTSTTCPEGNEKLKMKTLFSIHFTEDTNDEKKGSALDKTYICPSCKVTLTNTLALVGLSSCGHVFCKKCADKFMVVDKVCLVCNKACKERNLINLEKGGTGFAGHGDNLEAKDFKHLGSGSGLGLVRPAMKT >KVH96494 pep supercontig:CcrdV1:scaffold_2327:54097:54297:-1 gene:Ccrd_001422 transcript:KVH96494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILDSITHHIKVVPCDGGSKFKQTVIYNCKGSDKPSEEILKAEKEIYEKTYKAIEAYGAAHPESY >KVH96495 pep supercontig:CcrdV1:scaffold_2327:6498:7078:1 gene:Ccrd_001419 transcript:KVH96495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFQSTVSHNLHYFNISFFVLHLVAFSFAFTAVILCSPPILPPHLIPLAGTTSKPSGVCQLVAIGGIGMDVAFQTTERDSHVYGQ >KVH96492 pep supercontig:CcrdV1:scaffold_2327:19091:19888:-1 gene:Ccrd_001420 transcript:KVH96492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASIEIEIPSSYPADKVFKVFSDFDNIAPKVNPDVFKSIETIEGNGDVGTKKIFTFGDAVPFTSGKYKVDAIDTSNFSYSYSFFDGDCLMGILDSITHHIKVVPCDGGSKFKQTVIYNCKSSDKPSEEILKAEKEIYEKTYKAIEAYGAAHPESY >KVH96491 pep supercontig:CcrdV1:scaffold_2327:49364:50964:-1 gene:Ccrd_001421 transcript:KVH96491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHSSRKRFRRLTVFINDEARFTLLSRDSQIPHDSADFVEATTFGGSNIDPTAWEEKKCK >KVH96493 pep supercontig:CcrdV1:scaffold_2327:62135:63025:-1 gene:Ccrd_001423 transcript:KVH96493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSASIEIEIPSSYPADKVFKVFSDFDNIAPKVNPDVFKSIETIEGNGDVGTKKIFTFGDAVPFTSGKYKVDAIDTSNFSYSYSFFEGDCLMGILDSITHHIKIVPCDGGSKFKQTVIYNCKGSDKPSEEILKAEKEIYEKTYKAIEAYGAAHPESY >KVI10849 pep supercontig:CcrdV1:scaffold_233:207359:211599:1 gene:Ccrd_010738 transcript:KVI10849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKMLKAGLYPFSASPSSSRRNERFGCSGTRRIVKFSSKLSTNRVLKVSCRIQDADNSERNGEEPPESLFMKELKRRGMTPTSLLDESWTASNNKEMMFKDEDGNISSSNNVSTDFEKSLSNQRERSMALNSEGLEGLIPRANLLLRLGGTFFLAFWPLFLVTVASFSAIYLYFGPKFVHDGTARQVQLPQYIDPYALLEDERMSQTSPMLY >KVI10866 pep supercontig:CcrdV1:scaffold_233:230652:237900:-1 gene:Ccrd_010740 transcript:KVI10866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MHTQTSYLHIRRCKIYTNPVLTFHLVLVMASSNDLVLLNFWASPFGERVKIALAEKGVHYDYKEENLADKSPLLLQMNPVHKKVPVLIHHDKPIFESNIIVQYIDETWQNEDPPLLPSDPYLKAQARFWADYVDNNVRFSAIWRTKGEEQERAKKEFIDLLKVLEEQLGEKPYLIGESFGYADIALIPLSCRFYALEIIGKMNIEKECPKLMEWVKRCMERESVSKSLPDPHKIYEVLIFHLILQMASSNDVVLLNFWASPFGERVEIALAEKGVHYDYKEEDWADKSSLLLQMNPVHKKLPVLIHHGKPICESNIIVQYIDETWQTEDPPLLPSDPYLKAQARFWADFVDNKVNFSAIWRTKGEEQERAKKEFIDLLKVLEEQLGEKPYLIGESFGYADIALIPFSCWFYAVEIIGKMNIEKECPKFIEWVKRCMERESVSKSLPDPHKIYEYVLELMKKLGN >KVI10853 pep supercontig:CcrdV1:scaffold_233:128926:136231:-1 gene:Ccrd_010730 transcript:KVI10853 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF21 MAVEYECCESQFFLHIVIIVLLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPKDRTYAEKILPVVKKQHLLLCTLLICNAAAMEALPIFLDRLVTAWGAILISVTLILLFGEIIPQSVCTRYGLAIGAYVSPFVRVLVWVCFPVAYPISKLLDYLLGHEHVALFRRAELKTLVNMHGNEAGKGGELTHDETTIIAGALELTAKTASDAMTPISDTFSVDINAKLDRNLINIILERGHSRVPVYYEEPTNIIGLVKNLLTIHPEDEMLVKSVTIRRIPKVPETLPLYDILNEFQKGHSHMAVVVRQCNKTATKSPMSDVMKEVRVDVDGDGKDSRENSLKSKRSFHKWKSSPNDRNNSYKGSFRSKKWNKDMYSDILEIEGKPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >KVI10854 pep supercontig:CcrdV1:scaffold_233:197018:201324:1 gene:Ccrd_010737 transcript:KVI10854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MASMTADSALLSNYAMANASAINLGKRVFGVSVLPRTIGFNGRKFESFRTRAVMQVATPTIVEESKMEDHLGLNVVTETEVREKGFMGMRKTKLVCTVGPSCCSYEELEKLALGGMNVARLNMCHNTRDWHQDVIRKIKKLNHELGFCVSVMIDTEGSQIHVADHGAPYSVKAEDGSIWFFTTERFEGCRPFTVQASYEGFAEGIKEGDELVVDGGMATFEVIERIGNDLRCKCIDPGLLLPRAKLSFWRDGKLDWSDIEFGISEGVDFIAMSFVKDADAVLAKIESLESLHKLEEIVEASDGIMVARGDLGVEIPLEQIPTVQEEIIDLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQYADALMLSGESAIGSFGEKALSVLCMASSRMELWSREENQRPSLPERKLGVSLPDQIAEQICNCAGRMANNLGVDAIFVYTKHGKMATLLSRNRPNPPIFAFTNEKSTQMALNLQWGVTPVLIELSDDMEANITRTTDLIKAKGMLKEGDAVLIISDVSRTCATPTVYQSLQVKVIS >KVI10860 pep supercontig:CcrdV1:scaffold_233:291746:292871:1 gene:Ccrd_010746 transcript:KVI10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MQFLYGNYSDNVPALRLDPNSWTKVANVIYLDAPTLTGYSYTKTSEAIRSSDMLSASQTVEFIRKFVRNHPKFLNNPMYVTGISYSGIVIPIITEKLYKGNDEGLEPMVNVKGYMAGNPLTDKTGDIDSRLEYAYRMALISKELFEVMQKFLHHVFRVLLSTRNACNGEYAEADSNNLLCMSNIHEVNKIYYH >KVI10850 pep supercontig:CcrdV1:scaffold_233:170752:171045:1 gene:Ccrd_010733 transcript:KVI10850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein EDCNLIHSGGPYGENLVEGSGTFTSTITVNLWVMEKNYYDYTTNTCVTGHICMHYTQVVWRNSVKLVSAKVQCTSNGCYYPRGNYIGQRPY >KVI10869 pep supercontig:CcrdV1:scaffold_233:95622:97778:1 gene:Ccrd_010727 transcript:KVI10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MLVCNSRNNNFHHLCSPTIIILISLFQILPSLADLTFNLTSFNPNNHDLNYYGDATPSSPDIQLTRNQRDKEMHYSTGRITYPKLLQLWDKDSGEVADFTTRFSFTVDSWNQSHYGDGIAFFLAPEGFQTPQKQEGGGIGLVSGDQVLNSTSNPFIAVEFDTYKNDWDPVGDHVGININSMVSVTNVSWLNDVSSGKMNGARVSYNSSAKRLSVAFTGFDQGGFVFNQRLSTEVDLRNYLPERVCIGFSASTGDFFEIHTLHSWDFESRLSNEEKIIGSQINANGPTPGPSVRETITAPGHAVRQKVVLGVCLGLGLTVCAVISWFFMLGSLKKRKAAFRKKDEDELGSTQEFEIETGPKKFSYKVLALATKNFSEGEKIGQGGFGGVYKGFLRRTNSYVAVKKISSGSKQGIREYAAEVKTISRLRHRNLVQLIGWCHEGKELLLVYEFMLNGSLDSHLFKGSCFLTWAMRYNVARGLASALLYLHEEWEQCVLHRDIKSSNVMLDSNFNAKLGDFGLARLVDHGKGSQTTILAGTMGYVAPECVLTGKAGKVSDVYSFGVVALEIVTGKKPIGPTAEDGHIRLVDHVWDLYGKGKLSQAADSKLGADFDEAEMERLITVGLWCAHPDPNIRPTIKQAIQVLNFEAPVPNLPKTVPVATFAATPITMSAFWSSPSFELTGFGRDYTMCSGSSNDATLSKLTTSSSASSSASIVCNTL >KVI10875 pep supercontig:CcrdV1:scaffold_233:56454:65765:-1 gene:Ccrd_010725 transcript:KVI10875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIDNISSRQVTFSKRKTGLLKKAKELAILCDAEVALLIFSSTGKLHEYASSSMDTVVERYDKVINEGHDQLLDPTSKVKL >KVI10856 pep supercontig:CcrdV1:scaffold_233:185189:187829:1 gene:Ccrd_010735 transcript:KVI10856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPSPVRNQRSKGIKTKHVLQVCLLVAVCFWLIFQVKRSHDKKREFDASDPKISSSTHTQSSDEIVKFGRKDLQPKLEEKTKEVEIHEEVEEQEQEQEEEEQEQAEHEDNKHEVEDQDEDKIEEEKDDERGGADDEIDENEQEKIKVEIDREEMNTVDEDKEIEESEEKENEEKDGQNQMENTDSLDDHGEDGSTIHTNEAREEHYKADDASSAVTNENGNGTSDNSNGNVGLVDKDQTAKNGAPSNTTMIQEISSESTLTSLNSTKSIDAHENEPPSNTTVTTTDSTQSQNVTDGVTDYILEVLKSNESNISKTEVENDAGEGENLENKDGTEETLESYETKPEELDQNETDDPSRSEEKEVRTDLETDDPSHSEEKEVRTDLETLPEIETEGGNSEDTAAE >KVI10865 pep supercontig:CcrdV1:scaffold_233:272325:276865:-1 gene:Ccrd_010743 transcript:KVI10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPHVCPSEFSISPTAKTVVRIKKYISDFSLRQKYLAMAASSATISPSSSPQSLSIFRPQQLQSPSLSSITFVRPFQLRTPGFRLQASRRSPNFSQEGENPVVDPRNWSRNRNDMTFSGDYDEDDDEEDEEEEEDDRSLDLLIRFVENVFKKISRRARKAVRSVLPTNIPTKLVGFSVNGVIILAFFWILKAFLEVVCTLGSVVFVSILLVRGVWTGISYFQEGRYRRTDDFDDENQAWTGTRPAA >KVI10864 pep supercontig:CcrdV1:scaffold_233:256521:259146:-1 gene:Ccrd_010742 transcript:KVI10864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGAEDEGDDGDEY >KVI10868 pep supercontig:CcrdV1:scaffold_233:85872:88618:1 gene:Ccrd_010726 transcript:KVI10868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MLPVRYNLNLNRLSLNSSTLASRLAHGRTHEWNPIHDSRIEIAIGLDSIDSRSLPLSGLDYRPALGLSLSLSHLVACEVRRTILAVAALEGFWVLMARIGKQIRGATPKSVLELMDVKDLTLSHVKSHLQVYVIVLQTQSTRLGH >KVI10851 pep supercontig:CcrdV1:scaffold_233:165265:167826:-1 gene:Ccrd_010732 transcript:KVI10851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain X MVRSQMLENAFLIDNAIKKFDTVVPIMPLIGSLAKSKFCNALGHPIGKVDRSVVDLNWERPGCRVRH >KVI10867 pep supercontig:CcrdV1:scaffold_233:251117:253537:-1 gene:Ccrd_010741 transcript:KVI10867 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MKSNVDEYDEEHSKATHLEEESSMNKEHNMEEFDSEEDKAIPSCRCNVTADLQHGNEIETSDGSKFWIPKVEDDVKPIVGSVFQSLDNAIEMYANYAQKGGFTIRRSTQKTKRDGSVILKYILCSKAGVVENKRQQNMRFQRTGCKACAKFKVIPGTSTFRLYSFEDKHNHALIRKEDTNLTRTKREFEASKHCDKLAHDAYSVVDYCIGKLSDDKEKLALFVKKIQDLKNEVETGFLNQPAHKKEDDVKGSFIGISKPEVVETPSPIGIRNEGCSSEKRLEGSNEKVVTESSKFDRMCKRCWKTTGHDSRNCPLKNVTE >KVI10862 pep supercontig:CcrdV1:scaffold_233:282121:282587:-1 gene:Ccrd_010744 transcript:KVI10862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGEHKHPAWSFVMNCKGDSCTGDVVMFEQNVYEMFSIASRSATGPPCGTSVIVGWIVKESYGAVKQQHTFTIEQRGETTPSPSSLLTKGRNLYRLKTMRQRWENESERHKILSEKHFRGNAARSYRAACLQEKEIKKALRERTSKGNI >KVI10872 pep supercontig:CcrdV1:scaffold_233:5007:22638:1 gene:Ccrd_010722 transcript:KVI10872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYYCNRYMYVLQIFLLTDSDKCSSSFGEFTVAIHSSIAFGSLCVCS >KVI10873 pep supercontig:CcrdV1:scaffold_233:12038:25885:-1 gene:Ccrd_010723 transcript:KVI10873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGKIPIRRIENPTSRQVTFSKRSNGLLKKAKELAILCDAEVGLVTERYNKVKEECRQLVNPTADVKFWQGEAARMRQQLQYLQQSHRQLFGEELSGLNVKDLQKLENQLETSLKNVRINKGNHIVQENKELQKKVTLLHQEKSDLQKKVLT >KVI10852 pep supercontig:CcrdV1:scaffold_233:149207:153727:1 gene:Ccrd_010731 transcript:KVI10852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPTGLSRRLGDGASIPFMGSLHPKSRPSPFLSIGLVLVGAFLIIGYLYSGSGGNGFDKAALSRLEAGASCSSEIYQALPYLKKAYGDSMHKVLHVGPDTCAVVSQLLKEEDTEAWGVEPYDLDDSDANCRSLIRKGIVRVADIKFPLPYRSKSFSLVIVSDALDYLSPKYLNKTLPELARVSSDGFIILSGYPGQRTFKVAEMSKFGRPAKLRSSTWWIRFFVQNRIEENEVAIKKFEVAAAKKAYQSTCQIFHLKSFL >KVI10874 pep supercontig:CcrdV1:scaffold_233:46382:46858:-1 gene:Ccrd_010724 transcript:KVI10874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISTPTLLQEQVLTDEIKELNQKRSLIDQENKKLHEKITLLHQETAELQKKIYALRSMDESNKNSSPYSFSIDEAPISLQLSQPQPQENDISAQAMKL >KVI10857 pep supercontig:CcrdV1:scaffold_233:172485:177331:1 gene:Ccrd_010734 transcript:KVI10857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MGGFDSIFLIIIVNFLSPTLGCFSFEVIVMSFALVFLLTLAVPHIIYAQNSQQDYLNAHNVARARVGIKNMVWNSTVAAYAQNYANRRRGDCNLVHSGGPYGENLAKGSGTFTGTKAVNLWTTERTYYDYITNTCASGHVCGHYTQAVWRNSDQLGCVRVPCTNNGWWFVICSYYPRGNDVGQVPY >KVI10858 pep supercontig:CcrdV1:scaffold_233:344657:355407:1 gene:Ccrd_010748 transcript:KVI10858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MMHTVTWIPIYMGFLLWATSTTLIASQTIVKSLPGYPGPLPFKLETGYIGVGEDEAVQLFYYFVESEGNPDEDPLIIWLAGGPGCGTLRAFFYEIGPMQIRYGNYMDNVPALQLDPNSWTKFVKNHPRFLKNPMYVTGISYSGIIIPIITEELYKGNEEGLEPVVNIQGYMGGNPLTDKTGDINSRLEYAYRVALISRELYEATQNDCQGDYAEANSNELLCMSRIDEVNKRVGDINIQQILDPDCDPATNLVRSGNPIISGNRKSLRAANPIKMLPALSLHKDTFCRGDYYNYATLWANDENVMEALNVRKGAGHTAPEFKPEECFQMVKRWFANKPI >KVI10859 pep supercontig:CcrdV1:scaffold_233:358508:360322:1 gene:Ccrd_010749 transcript:KVI10859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MTLCYHQVGAPARVGLVALVDVVIPPGNTGLDPSQTSFFQVQCNFGMVANVIYLDAPTLTGYSYTKTSKAIRSSDTLSAS >KVI10855 pep supercontig:CcrdV1:scaffold_233:189950:191377:-1 gene:Ccrd_010736 transcript:KVI10855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1005 MDPCPFVRLVVGSLSLRFSGGGAGNPPPPSCYCKIKLKNFTTQMADIGYCAESDVNQVNDQVQACFNFKKLEFDKVVEKSNGLKIEVYSGGKGNPICGVGSGKLIGVVSVGLDSKVVDNGGNNWGCVVVQNGWVVVGDGSSKKSVQLHLNVRVEPDPRFVFEFDGEPECSPQVFQVNGNVRQAVFTCKFSFRNSGDRNLRSGSSISEANNSGNWLRSVRNDKEKPVKERKGWSITIHDLSGSPVAMASMVTPFVPSHGTDDVRRSNPGAWLILRPGQSTWKPWGRLEAWRESTGGNHLGYRFELLPDAAVGGLDPITLSNSTISSKHGGKFTIDIGNGASPMTTPSGSFDSGSGSDFWSGSWAHLMYQGFVMSSKVAGGGRCSRPEVEIGVQHVTCTEDAAAFVALAAAVDLSVDACRPFSRKLRKELRHDGE >KVI10870 pep supercontig:CcrdV1:scaffold_233:100218:103676:1 gene:Ccrd_010728 transcript:KVI10870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKILQQYILGGIIATWLGFALIYFLGVKKSKPADDNLDLHKQVNELVRIQPQNDGSPEVIIVGAGVAGAALAYSLGKDGRRILVIERDLGLQDRIVGELLQPDCVENIDAQKVFGYALFKEDKSTRLAYPLEKFTRDVSGRSFHNGRFVQRMREKAATVPNSLTIHVRTFSVRLEQGTVTSLIEEKGAVKGVRYKTKAGQDITANAPLTIVEIPSSFVALLLKNCEAPYPNHGHVILADPSPILCYRISSTEIRCLVDIPGKKIPSVGNGEMALYLKTKVAPQIPPELQGGFIAAVDEGKIKTMANRSMPAAPQPTPGAILLGDSFNMRHPLTGGGMTVALSDVVVVRDLLRPLDNLNDSIALCKYLDSFYTLRKPVASTINTLAGALYKVFSASPDQARTELRQACFDYLSLGGMCSSGPIALLSGLNPKPSSLVLHFFSVAIFGVGRLLLPYPSPRRTWLGARLIL >KVI10861 pep supercontig:CcrdV1:scaffold_233:319823:321754:1 gene:Ccrd_010747 transcript:KVI10861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MQFRYGNYINNVPALQLDPNSWTKVANVIYLDAPTLTGYSYTKTSKAIRSSDTLSASQTVEFIRKVSGSSIYTYRVSSFIDIYVQLMQFVRDHPKFLNNPMYVTGISYSGIVIPIITEELYKGNDEGLEPTINIKGYIAGNPLTDKTGDIDSRLEYAYRMALISKELFESTRNGCNGEYAEADSNNLLCMSNIHEVNKDEYYEYATRWANDKNVMKALNVHEGTVDKWLLCNLDMEYNYGLLSTSSYEFNVQSSVIFHQKLSNRNCRALIFSGDHDMMVPHIGTRNWISSLNLTIVDSNWDA >KVI10871 pep supercontig:CcrdV1:scaffold_233:117703:125010:1 gene:Ccrd_010729 transcript:KVI10871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRIAAILMKEAAELRRQAARDGVDAYLRPNVRGRPNSRFLTATVLGVQQSNRAVEVNEMWRARQKEKEMDDRVKKSEKRDRSNGVRDSQKDVRFEDYERNRTSICSSSKSTSEDGLRDKEIEEFLHSRAKRGRGAVGSRMDETGPYLPDSKGKSADDIGYRESWDNRAILGPEKPPNLKSNSYSDEERERRGSRKHKSKEKSKDKKKKREKKRSKHHK >KVI10863 pep supercontig:CcrdV1:scaffold_233:282604:284089:-1 gene:Ccrd_010745 transcript:KVI10863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALAMVERPVVATGRVAAVVGGVGSTDLAAVAGYTPNHSVTDSAAVGGDLAANGEIVAPKSDASDQKCLEIIQKIIEDDMVEKLKVEQCKFYLRKHGLRLTSKKRYPHSSH >KVI10848 pep supercontig:CcrdV1:scaffold_233:211085:226149:-1 gene:Ccrd_010739 transcript:KVI10848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MPTEPSLFVVAPDEKYDDDGQIKRTGTWQTASAHIITTVIGSGVLSLSWCFAQLGWIPGVVMLLLFSIVSMFTAILLSDCYRSPDRMFQYKLCGFAQYGVLIGITIGYTTTTAISMAAIQKSNCYHKHGHHADCRVRNNPFMVIFAIIQIILSQVPNFHKLSPLSIIAAIMSFMYSTIGIGLSISKIVGEGVGKTSLVRRPFGNGVNGSDSMWKIFSALGDIAFAFSFTFVLIEIQASVDMQILDTLKSSPPENETMKKATTVGISASTVFYMLCGALGYAAFGHDAPGNFLTGFGFFDPFWLVDLANICIVLAQPFFGFIDDRSRTRWPQSTLITKNYSLGGLEINLFRLIWRTSYVILVTIIAMIFPFFNDFVGLLGACTFWPLTVYFPIEMYISQAKIRKFSSTWFAMQLISVACLIVSLVAAAGSTRGLVTSVQIFEPFQSKGDSNEGYDDDGRVKRTGTLISASAHIITAVIGSGVLSLAWCFGQLGWIAGTASLMSSLGSLASCFQTVIVRLIRLPAPETTITCRLSKLTLLNMGFLLELPSDTPSPPPFPWRKNYNQHVSMIPSVTNKAIERSNCFHKSGHHKGCHTLNNSYLLIFAIIEIVLSQIPNFHKLSLISIVATIMSFTYATIGIALSIAKIAENKVMKRASTVGVSASTLFYMICGTLGYAAFGNDAPGNYLTGFEYSLGKYKINIYRLAWRSGYVVLATLVAMIFPFFNSFLGLIGAATFWPLTVYFPIEMYISKAKIEKYSFTWIWMKVLSLACLTVSLVAAAGSIRGLVVSVETFKLFHSKEQTVKDVDKLQSKAKAKVLANILKE >KVH94151 pep supercontig:CcrdV1:scaffold_2330:62617:67414:1 gene:Ccrd_003786 transcript:KVH94151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad, conserved site-containing protein MERLPSLLSPLSSFRSSAALRPVASAAAAHFQIARASFGSSGQMEAEQYKFGPYKIDSKEVFYSTDLSYALVNLRPLLPGNSITHNPLLTFYLFLTYSHFLSQVISLNKHALAVLHYMIRTHVLVCPRREVKRFVDLTTEETSDLWISAQKIGRLLENYHKASSLTFAIQDGPQAGQTVPHVHIHIVPRKGGDFENNDEIYEAIDEKEKELKKTLDLDKERKDRSMEEMAKEADEYRKLFS >KVH94150 pep supercontig:CcrdV1:scaffold_2330:75050:82043:-1 gene:Ccrd_003788 transcript:KVH94150 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM/LAG1/CLN8 homology domain-containing protein MKTQIQMQVNGDHVKVSEVRLSQFYSVYISGSKTMGTDPCPPLITDQGIITPLVFKGFIKLSNAHKLEWKNRGFSTFHALFVVVASFYFLLISDLFDEHVNDGLIIKRRSTLSDTILGMSSGYFLSDMAMIIWTYPTLGGLEYVLHHGLSMFAITQSVLSGEAEMYIFMVLFTESTTPFVNIRWYLDVAGMKASKLYLWNGIAMFLGWLVARILLFGFFFYHIYNHIDEVRQVHTAAFYSLHIIPPVLAVMNLFWFFKIAKGMVRTLRKKTHSHTS >KVH94153 pep supercontig:CcrdV1:scaffold_2330:31860:54916:-1 gene:Ccrd_003784 transcript:KVH94153 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MQSTMATRCVRARELILRTTGTKMMMGYSHSCSCSSSSGVSRSLIPQTFVTGSHGFSNRVLISQVVVRRANSWGVLKNSTHFLRNLTAFVSDFSPTPQKKPGSMPNGIATLTTSSGAQGAKKNKEDDEKDLVAKGDEISNMKILSTLAKYLWMKDNIEFRLRVLMALATGNPSSVAQFAASNPTALALFVSPAAVLVGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHELDLRYHLSRETGALNRIIDRGSRAINFILSAMVFNVVPTILEIAMVAGILAYKFGATFAWITSLSVAVYIAFTLTITQWRTKFRKVMNKADNDASTRAIDSLINYETVKYFNNEHYEAEEYDKYLKMFSTALSAAMILSSNGIMNGLATVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKSMFQLLEERAEVRDADDAKPLKLGGGSIEFEDVHFSYLPERKILDGISFVVPSGKSVAIVGTSGSGKSTILRMIFRFFDTNSGTVRVDGQDVRKVTLESLRKYIGVVPQDTVLFNDTIFHNIQYGQLSSTPEEVYDAARKAAIHDTIMKFPQQYSTLVGERGLKLSGGEKQRVALARAFLKAPPILLCDEATSALDSTTEAEILTALRSLANNRTAVFVAHRLTTAMQCDEASWRRQGFAVEEFGISNRNSSRHGSPTSLEDTSSSSTPVSATPSLAAKAIRASSANRDSSLSSAYGQPAISPRDANSNPLRSSNSYTPKDDSVKHDYTSLKNLEKPKHGFWGVLARKASRYQLPEGHQELDNPPLHKGFGAIASSLNYIGGTIGNALEEGMTIMENRTAGIIQETRKLHIKKKSGIPETQRPSSNVGSTKPEMQTDVEIQLKASRDVSMAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENRILRESGGDGDNHEDDDLSLLAEKARLAQENSVYARESRFLREIVEYHQLTMQDVIYIDENNDEVSQVYNTINNRHPIVTPTPANPHPQVQPKTPFM >KVH94154 pep supercontig:CcrdV1:scaffold_2330:56550:61846:-1 gene:Ccrd_003785 transcript:KVH94154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 MASVTTTWSMSSLKSSLPSISRSNSSIRCSFRPPNATRFRPNSKPVFGSFLGLSPLNPLLNLTSGNNGNEHNFTTIDNGGRVSAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTRARASAVRKYVDKMITLAKEGSLHKRRQALGFIYEKQIVHALFAEVPERYEAIMNLMKSLGASAVVNVTTASSATDLCQSTQSIPFLSLPQHLPKLTTANISRRTTTFVKATVADVKFSDPVEQNKRYHFLVANAKFMLDEEEHFQEQLFERVRLFKERNMEQDFWLVIEPKFLDQFPDITKRLKRPAVALVSTNGTWIKFMKLRLDRVLPESFEAESLEEALASNPAKLEFENPNKWTAPYPKYESGWWESFLP >KVH94155 pep supercontig:CcrdV1:scaffold_2330:691:6181:-1 gene:Ccrd_003782 transcript:KVH94155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYGQNFNFSPARAPSSPHIWANPDVESTYLGELLEEKLKLAPFMRVLPICSKLLNQGFNDYDRLQRGSPSPLNPSDVMPDIDANGLIRWNGNAGWSPLQHERLGMPPGLPMDHWNSAPASPSSFITKRILRLDIPVNNFPNEESLRGRPGYEHLNEPLHILIEAELPASIIDVRLRQAQEIIEELLKPVDESQDLYKRQQLRELAMLNSNFREDSPQPRGSMSPFGSSGMKRAKTGW >KVH94156 pep supercontig:CcrdV1:scaffold_2330:21660:22631:-1 gene:Ccrd_003783 transcript:KVH94156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1191 MISFAYYCLIFTILFIKIPIFKAQSSLPTQQLSFSSRFLDSVLQDYAFRPFSRRRPRTGVVYDGNVPSNLTGATVSALRLRTGSLRKRGFHGYKEFDIPKGILENPYVERVILVYHNLGNLSSLYYPLPGYLFLSPVVGLLAYNAMDLTAKGLPELDLRASENPILVTFKTLGILPNGVSPKCVFFDLFGGVAFDHVMNGSVCSSVTQGHFGIVVEEITPPPVAPPPRVTEDPIAGGRGGGGNGGRKKGWWVGGSVAGGVLLAAVVGVLVMWVRWYRGTKRIGRMKDAAEGGVPLTVAAVGRAKVPMALGTRTKPILETEYVS >KVH94152 pep supercontig:CcrdV1:scaffold_2330:69101:73485:-1 gene:Ccrd_003787 transcript:KVH94152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSSILVLKHGVTSAVVRSKPVPFGWRKTGYVESNNRLCACSFSSSIGSDGGGGGGGGGGVGLGQGDGGGGRGRNDGNDSITFAEAKKLMRLVNVEALKMKLSTEGKETIRYSELLEACESMGVTKSNKEAEEFVRVLDEAGVVIIFRDKVYLHPDKVVDLVKSAVPLALTPEDDPRREELRILQAKQEEIDALAHKQVRRILWTGLGLALAQISLFFRLTFWEFSWDVMEPIAFFTTTSGLIIGYAYFLFTSRDPTYQDLMKRLFLLRQRKLAEKHNFDINRFVELQKKCKSPFPSTGPGVGLET >KVH87809 pep supercontig:CcrdV1:scaffold_2331:34006:35053:-1 gene:Ccrd_024904 transcript:KVH87809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MASQVEVGPWRGDGGVNPWTFKPNGRIVGFRIASGDVIDSIRFTYEDNSQVSHHSDTYGGDGGTLNLPAKFDDDEDLIRVSGTIGKFYSITVITSLSFHSNKGKSYGPYGRGDRTSFSLPVTKGKFIRFFGNYGDFLDSIGVILQP >KVH87808 pep supercontig:CcrdV1:scaffold_2331:79792:84891:-1 gene:Ccrd_024905 transcript:KVH87808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MASQVEVGPWGGDGGVNPWTFKPDGRIVGFRIASGYVIDSIRFTYEDNSQVSHHSETYGGDGGDLNRPVKFDDDEDLIRVSGTIGQFYSITVITSLSFHTNKGKTYGPYGRSDGTSFSLPVTKGIGVREQHNFSSLRNFVLLVQKKILFLARKMASQVDVGPWGGDGGVNPWTFKPDGRIVGFRIASGDVIDSIRFTYEDKXQVSHHSETFGGDGGTLNRPVKFDDDEDLIRVSGTIGNFYSITVITSLSFHTNKGKTYGPYGGGDGTSFSLPVTKGKFIGFFGNYGDVLDSIGVILQP >KVI00824 pep supercontig:CcrdV1:scaffold_2332:14356:23918:-1 gene:Ccrd_020922 transcript:KVI00824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toprim domain-containing protein MISPQQGLFHSIQTSNCKCDPHRFQAQPMFLLPRFIFFSSRQPHPKKLSVNLAKLKEDEEVLDLYEGGLGGKALKQKVENIGINWDSCTPGQYTHLICPLCKGGESKERSLSFHINQNEKVAMWRCFNFECGWAGHVLADVGRAQDGVHRVNQANFPKKMTEENLRLEPLGDEPPTSLPAFVVPCNRSIAFIPVSRRMMFELAMYGWLLESKDGIVVWVAKGLGESKLLLIDYFAERMISPEILEKNYVMQTIDDKNVIAYTYRRNGELVNCKFRSITSRKFWQVEGEIDKLSMEQAGILNCVSVPDGAPQQVSTSLPSKKQDTRYKYLLNCKGYLDKASQIVLATDGDGPGRALAEELSCCLGKERCWLVTWPKKDEFGCYKDANEVTPLSSR >KVI00823 pep supercontig:CcrdV1:scaffold_2332:281:12441:1 gene:Ccrd_020921 transcript:KVI00823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin FSEGANAIATPISSSKNPALSRRLLHLSLSSSSQQVKLDSPMASSSTAARELQKELEAKANDLSKLQKDISKNHQVRKKYTIQLGENELVLKELDLLNEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDSTLQDLEEKQNSKKETIFKLQQRIQLLQAGKAKA >KVI00984 pep supercontig:CcrdV1:scaffold_2333:25691:27086:-1 gene:Ccrd_020752 transcript:KVI00984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MFDHLMAPPPLTDPHELKAFVVDEGHGVKGLAELKIKSLPALFIQPLEKRLDMSKVVPHESIPVIDMSNSEDPEVEQRICDAAENWGFFQIVNHGVPASVLEDVKEATRRFFDLRADEKKKYLFKNSRTKNVRLVTSFIPEVDKVLEWKDYLSCFYSESLIKRLLEVLMKRLGISELNETNEPILKGSRRINLNYYPVCPNPELTVGVGGHSDVSTLTVLLQDEIGGLYVRKLHSENWVHVPPIKGCLTINIGDALQIMSNGRYKSIEHQVVANGHENRISVPIFVNPRPSDVIGPLRETMRRGEKGLYKQVLYSDYVKHFYRKSHNGKDTIDFAKA >KVI00985 pep supercontig:CcrdV1:scaffold_2333:36662:42400:-1 gene:Ccrd_020753 transcript:KVI00985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFQIGDVPFNSDGWGPPESTDSSVPVLPNHPVNVPFAPFSRSDKLGRIADWTRTNYNNPKYPANRNNPADSAFDFTTDDSFGGGINADEDSTFRLVDGKPPPRPKFGPKWRFQNNRNQLPQRRDEEVEARKREAEKQRARRDRLYHANRSGGNNPRREAAVFKSSVDIQPEWNMLDQIPFSTFTKLSYNVPEPEDLLICGSLESYDKNYDRTTPKNERRLERFKNRNFFKVTTTDDPIIRRFANEDKATVFATDSILSTLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVNETSQEPLPDAKDDINSAHSLSVEAAYINQNFSQQVLVRNGSKLSFEEQNPFACEGEEVASVGYRYRRWKLDNDTNLVVRCEVQSFLDVNNQKSFFTLNALNEFDPKYSGVDWRRKLDTQRGAVLATELKNNANKIAKWTAQAILASADMMKLGYVTRVHPRDHFNHVILAVVGYKPKEFAGQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPQKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPLENGNAADENGVTDEVEGKEVKAEA >KVI05473 pep supercontig:CcrdV1:scaffold_2334:57724:62290:1 gene:Ccrd_016194 transcript:KVI05473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease KNDGGIFEDLREQDPINGGSSLKTRIISCSSPINLGTHLVTSKEILEPFLTSPLLKGFVGFGGLLISLIRGEEADWELKMYVVDSRGGAIACMLLALLFLGTWPAILTLLERRGRLPQHTYLDYTITNLLAAVIIAFTFGEFGNTSDDKPNFLIQLSQNNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITSSITVVIGTTLNYFLDDKINRAEILFPGVACFLVAVCLGSAVHASVAKDNQAKLNTLEDSRKDLEYGTDKAEKAKAGTASFLIELESRRAIKVFGKSTLVGLAICFFAGICFSLFSPAFNLATNDQWHTLDDGVPHLSVYTAFFYFSCSCFVIAIILNVIFLYRPAFNLPRSSIKAYLNDWDGRGWAFLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLIFGEYRRSSRRTYIFLVGMLSMFIVAVGVLMASSGHRKE >KVI05472 pep supercontig:CcrdV1:scaffold_2334:62598:71980:-1 gene:Ccrd_016195 transcript:KVI05472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF647 MEEKFDKVKPQIIVEEWSGSSLNKLSLTAVISPSSSSSFTLKRHGNRFNIFSRRFIEAFVPEGFPSSVTPDYIPFQTWDLLQYLLHQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGVMFTFYQGSNLDSDAKMWRLVADLMNDLGMLMDLLSPLFPSAFVFIVCLGSLSRSFTGVASGATRAALTQHFALQNNAADISAKEGSQETVATMMGMALGMFLAHITMGHSTIIWFSFLSLTMFHMYANYKAVRCLNLTTLNCERSSILLLHFMETGRVLSPKEVSDLEHVLPLWISSWSSKRTKLLYARVRLGARVSSLDSLEMMELLSSAGSRYEKAKYMLLEKKGIISIVMHKDSTTGDVMQGFMHALVMANLVDDKPTSLHTDSQTWMDKHYEAFVLKLQSSGWKTERLLSSSIIWRANWSHGAPDKKIN >KVI05475 pep supercontig:CcrdV1:scaffold_2334:72240:74732:-1 gene:Ccrd_016196 transcript:KVI05475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MNVGSTSVGAEIDLAAQHVLLLKSKYLINAWVKGIPDYLQILLGEGYYAERTSKQIIEILKRRGKDLESQIETLNAVIKDLKKENTKGNALMGSSPTLLIALIREEKQGEWKDEVQEQYMPGANVMHEIDDKSFYYRRMYVKSVLVPPPSEWPTICK >KVI05474 pep supercontig:CcrdV1:scaffold_2334:80623:82449:1 gene:Ccrd_016197 transcript:KVI05474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MWRRVVPLLRYFSSAPETPSPPSLYSFLQPSIFAVRKPQIKGTPSPDDQTPKPTALDNLQKPNLESTLQLSLQENNTDEAWKCFKSLSSSLPSKPLLNSLITHLCSVKNDTHNLKRAFASLMFVLETNPDMLKPRTVETFLDSMKVTNGSPAFALVKSMFKNRYFIPFGMWGDAFVEISRKTGRFCDFFEVFNENCRIAKEEKLDSLRPDLAACNAALEGCCHELESVTDAQKVLDTMSVLGVHPDETSFGCLAYLYAIKGLETKIIELEDLVSKFGFSDQRVFISNLISGYVKSGNLDSVSATILRRLRELDGKVLNFLEGTYGEIVKGYLTHGSIKGLASLILEAQKLESTTVIVEKSVGYGIISACVNLGLLEKANNILDEMNAQGGSVGLGVYVSILKAYGKEQRTAEAAQLVSEMCSFGLELDVSSFDALIDASMASQDFQSAFSLFRDMREARIPDLMGSYLTIMTGLTENHRPELMAAFLDEVVEDPRVKIGTHDWNSIIHAFCKAGRLEDARRTFRRMVFLQFEPTEQTYLSLVNGYMNAGNYFSVLMMWNDVKRRASFNSEKSFKLDHGLVDAFLYALVKGGFFDAVMQVVEKSQEMKIF >KVI05470 pep supercontig:CcrdV1:scaffold_2334:11687:17126:-1 gene:Ccrd_016193 transcript:KVI05470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNIATITAAFPAMGILPIPNRRTSPFFGASADVFPPFLLKEMEKIQDPFARKLVSRIEMLPVELSGSKNRIMSTCVKPMVQDTATPLVLLHGFDSSCLEWRYTLPLLEQAGLETWAIDILGWGFSNLGCLLIVFYLRFWKSYIKRPMLLVGPSLGAAVAIDFAIHHPEAVDKLILINASVYAEGTGNLSKLPKSIAYAGIAQLHCLLPWWEDATVDFMSSGGYNVSALIKQRLHCDLQNGIIRLIPNCGHIPHVEKPHAAAALITEFAPREPCYTLPKLCEREDKILVRPF >KVI05471 pep supercontig:CcrdV1:scaffold_2334:7093:10077:1 gene:Ccrd_016192 transcript:KVI05471 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MALFALSMVVLSAMAGYSSADYCVCNSGLSDAVLQKNIDYACGNGADCTQILQNGACYNPNTVKDHCNYAVNSYYQRKSQGPGTCATSACYAGNPRVDLVVKVDIMGLSCYDVWWGWILL >KVI03131 pep supercontig:CcrdV1:scaffold_2336:44932:45978:1 gene:Ccrd_018575 transcript:KVI03131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEGCERETSPQKYDSGLGFRVILFEETLIPCSKRFRLIFFEETLSIHHSGFNPSLSLSRFLLFGDHTQDDVLGNFDGDLGIPYSSMINDVGQSWNCSKKGQESVMGDVNISCIIKHGGNLQ >KVI01754 pep supercontig:CcrdV1:scaffold_2337:18905:19989:1 gene:Ccrd_019968 transcript:KVI01754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase MDLKLNLELKEPLTRLCNDPKTTIVVLSGSDRAVLVEHVFEYFTERTRQSHFELSETSLIRNYKYANVEFERLQARDML >KVI01752 pep supercontig:CcrdV1:scaffold_2337:13267:16750:1 gene:Ccrd_019967 transcript:KVI01752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MGARRSSAFMSEILLYITKVRFPSTRSSFNVLEPCRKTSLQLRILAKLPDTFFTGSQIESKDKTAVKLVLFDINSNKVVSSGPLSSLKIEIVPLDGDFIADNDEDWSEKDFNSKVIHARDGRRPLITGDLVVTLENGVTDLGDLCFTDNSSWRRSRKFMVGARAKGTAGVRIREATSQAFVVKDHRGESYKKHHPPSLGDEIWRLEKIAKDGVSHKRLASHGICTVKDFLQVYATNESSLRNLLGGSSNKTWETVIKHAKDCVLDEKLYMYPCAAQGIGLLLDSVLKVVGATFDGLDYLPLDKLSVLQMPMVEALKQQVYKNLEGRVPMDDPSVFGVLVPMSNLKTASLGLQDVNIPHLLQDEVDLHICGVGTVQPDHDPPSIRYFSSPGLKNSFIMREFNTEEEDIGIEFGASLWEGYEDPNNHQTLDFGICFGSPRARWCKIRAAVKWGSVRRDVAAKRTAELHSYLRLD >KVI01753 pep supercontig:CcrdV1:scaffold_2337:22508:28809:-1 gene:Ccrd_019969 transcript:KVI01753 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MCREHGILMMNFATGSIFEYDSAHPEGSGIGFKEEDTPNFTCSFYSKTNAMMPISSDLNNPRNFVNKIARYDKVVNIPNSMSILDELLTISIEMARRNLKGIWNFTNVGVVSHNEVLEMYKQYINPQFKWTNFTLEEQARVIVAARSNNELDTSKLKKEFPELLSVKESLINYVFEPNKKSQGSW >KVI01751 pep supercontig:CcrdV1:scaffold_2337:63701:74129:-1 gene:Ccrd_019970 transcript:KVI01751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin, N-terminal MATRNRTFLFKKYRDALKSVRAPSAVASSSSRGAVIELANAGMLKQNRSYAPLSTEDPGTSSAGALTVGLPPAWVDVSDEIATNVQRAKSKMAELAKAHAKALMPSFGDGREDQHRIEALTHEITDLLKKSERRLKRLSAGGNSEDSSIRKNVQRSLATDLQSLSMELRKKQSTYLKRLQQQKEGPDGVDLEMNLNGKHSKRDDDEFDDLGFNEHQMAKLKKSEAFTVEREKEIQQVGAMQFIIDHNIQNVAASVDEGLKQLQKAERSQKRGGMVMCATVLVIMCFVMLVLLILKEILF >KVH94884 pep supercontig:CcrdV1:scaffold_2339:18743:20441:-1 gene:Ccrd_003049 transcript:KVH94884 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MGKKHSSRGLWDMKTMLFCSILMFFLGGLATSCFYRKNHHEAPTSTNVRVTDMNTKYPNANTNTNTQVFGHQRRLKRAPPSLTDTCDLFSGKWVHDNKSHYPLYKEDECPFLNGDLACLTYGRKDSKYQQWRWQPHDCDFPTFDGKAVVERLRGKRLLFVGDSINRNQWDSMICMLQSSIPGVKKVDNRGLNGTLYSFQAAEYNISVDYYWAPMLVESNGDDPYNHRPHLRAIRSRSIKKHAKHWVNADIIIFNSCLWWRLPTVSLLKSAGSVMGGANEVFEVVDSPIAYKMALQTWSKWTEPAMDERFPEIGTDPNILRILESSLSKLKSKGVNVQMINITQLTQRRRDAHPTVYRKLWRPFTEEQKKNPHSISDCAHWCLPGVPDIWNELLLAYIFR >KVH94882 pep supercontig:CcrdV1:scaffold_2339:37951:42967:1 gene:Ccrd_003050 transcript:KVH94882 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MVLGFVEVRLFPELEKDDGDDPITNPNIDTDINTNTQVFDHQRRLKIASSSSTDTCDLFSGKWVHDNNSHYPLYKEDECPYLHGDLACLTYGRKDSKFQQWRWQPHGCDFPRATDWGGNKNEGCYGETEPVMDDRFWESGTDPKMLRILESSLNRLKAKGVNVKLINITQLTQCRKDAHPTVHRKLWRPLTDDQQKNPHRASDCTHWCLPGVPDIWNELLLAYIFPATETAGK >KVH94883 pep supercontig:CcrdV1:scaffold_2339:75591:79309:1 gene:Ccrd_003051 transcript:KVH94883 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MEKLQKLLHGYHSSVGFMLLMGVIIGVVYFCGGGNNLRFEVIGEAEMAEDYSFEGCDFFNGSWVYDNKSRPLYKEKQFNGTALLEKLRNKRVVFVGDSLGKNHWVSLLCLIDSWITEPSHKVAEWHGSLITFRASIESIEKHAKNWVDADILVFDSYTWWLEPNMTLLWGSFENPNRTSIESGRVRRYEMALKTWSNWLDAHLNRSKTRIFFISLSPDHKEGGDWGKAMGENCFNETEPIMEQGYWGKGSDTDLMRTAQSVVQDLEKKGFNIQLLNITQLAQYRKDAHPSLYKRHWVPPTEQELAHPLWYADCVHWCLPGVPDVWNEILYSYIRYKI >KVI08568 pep supercontig:CcrdV1:scaffold_234:104393:105190:1 gene:Ccrd_013055 transcript:KVI08568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAASTTMSLCSPFAGQAVKATPTGSDLFGNGRVSMRKTAAKQVASASPWYGPDRVKYLGPFSGEAPSYLTGEFPVIHCRWAMLGALGCVFPKLLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPRK >KVI08566 pep supercontig:CcrdV1:scaffold_234:140578:142777:-1 gene:Ccrd_013057 transcript:KVI08566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVYNPKLTTTTQSYPIFQLAAYPLPWFPFDSSSICISISSHHPLIRTRNGYSSISNISPHSAIIIDELEEKFAVLNTGIYECKSCGYLYNEAAGDPSYPIAPGLPFDKLPEDWRCPTCGAAKTFFQGKSVEIAGFAQNQQFGLGGNTLTSGQKAILIYGSLLFFFVLFLSEPSSPPSIDRLIVEFLKTRGDGNLLEGVGVGKDDEFEEVEGEGEGDKYLEETCLDLGLVGLLIPLSFSEGNNGGLVWFVVSEMAATIIKKITK >KVI08559 pep supercontig:CcrdV1:scaffold_234:174124:174436:-1 gene:Ccrd_013063 transcript:KVI08559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYVVLEGYLYNPIDCVKPAVAAEPGKVYRKDISDPGLVSPFFRDELLAPVLHFVYVDRGERGLGGKRIVP >KVI08554 pep supercontig:CcrdV1:scaffold_234:233274:242351:1 gene:Ccrd_013066 transcript:KVI08554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MVKAEIGAGAGAGAGADADAVAVADAIHPPWLHLLSAFLAMEPSDSLISISRDCGGGAITESVQNFIWDQCISRADGKASAPYVRSFLKKVILEIESAGAVVADELYEQFAFNMTSSKGDDLAKGDSRIIRKISFLYPSDHVEAQVCQSLRKLIVPLQCSLNMLEGDTGCSIWPSSLFLSEFILSCPELFSMKSCFEVLLTDGDLSTLANLKVNLELNCMSTRFCSSEGILEDPNKVKCLHLPWESALESDLRDLMPDIVLGADVIYDPECIPHLVRVIATLLTTKKSTSIRRDGFGVPNDISGNTQVKNSNASSTDIVNKRYEEFLLASTTQPVAYIASVVRNIDTFNYFLKVALEANLRVVDITQNVKIFDFLPYMRSYQRSSVRLLGIYHSFH >KVI08562 pep supercontig:CcrdV1:scaffold_234:251214:253596:1 gene:Ccrd_013068 transcript:KVI08562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF573 MASQEDDHITTVYGEDLDEDDDTEDEDLTLRNQNVEEDDVDLVDDEEEDDSMSSGVGEVTIAVPGVPTAADTDTVAATPTAAITSTVDVTPVPRSDVVLEQKKIMPLDESRRLFQRLWTDEDEIELLQGFFDYTNQLVVNNSFHHHHHHDTTAFYDQIKNKLQLDFNKNQLVEKLRRLKKKYRNVLSKISSGKDYVFKTPHDQITFDISCKIWSSEAAVLSTPASVASADVGRFEDEEPSNPYPNLNLTFNLNEQNGNGSAYPNSSEKKIPRSRKRSRSSGVKIEEKTSHQQPAPAVGVGASNSSNPTPNLVEETVKSCLLPLFKELLDSSQNGLRGSSRGLMNLDPFGGSMNLPFGDITDEKWRKQHILELEVCSKRLELVQDQIKSQLEELRSMRSLLWEDDLTGGK >KVI08569 pep supercontig:CcrdV1:scaffold_234:290392:293592:-1 gene:Ccrd_013071 transcript:KVI08569 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA protein MGVSKEQVESALRSKLTPSHIEVIDTSGGCGASFKVEIVSEQFEGKRLLERHRMVNGALAEEMKDIHALSITKAMTPDQWKKLQESETSQPAA >KVI08572 pep supercontig:CcrdV1:scaffold_234:294506:302237:-1 gene:Ccrd_013072 transcript:KVI08572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MQLIFIFTVLHFHPSISASQNSGGNYMDQYEVLEQIGRGAFGAALLVRHRHERKKYVLKKIRLARQTDRTRRSAHQEMELISKMRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMLVLRNFPLKLQCFGEEAGAIKKANGMLFSEEKLCKWLVQLLMALDYLHLNHVLHRDVKCSNIFLTKDQDIRLGEYIFLNFFLELKVSDDDSSSIIHLSGDFGLAKMLTSDDLASSVSDVFLTEGLQVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSHKAAFKAFVSVNSIYVSILITVDMQALINKINKSIVAPLPTKYTGAFRGLVKSMLRKNPELRPSAAELLRHPHLQPYVLKVNLKLNSPKRSSLSTHWPESDYMKKTRFAEPVYLRPRSRERRLSCGNDRTLNPSISLGDQGSPFSTKRVQDSPHYLQRRMGRLSVGSTCEESPVSKNISSKASISAKNLRFTSPKTLSAPKKRTELSKGRETVQDSDSRTSVKQSGPTSRRASLPLLKKAIIEDSAYRKSTGFLECLNSLDVSVNAPRIDKMVEFPFTPNDDHFVPTRRTSITSAQGSSSPQCDRSIMKDKCMVQACDTTSGRQTSPTAWNGSGSDCSDQNATAGASSRTSSDLRRRRFDTSSQKQRAEALEGLLEFSARLLQEERFEELGVLLKPFGPGKVSPRETAIWLTKSLKENTLKQEEQFQ >KVI08565 pep supercontig:CcrdV1:scaffold_234:145812:148560:1 gene:Ccrd_013058 transcript:KVI08565 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L4, C-terminal domain-containing protein MAAAAARPLVTVQPLESDMATDGASLPLPHVMKASIRPDIVNFVHSNISKNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTRIWRRWHRKINVNQKRYAVVSAIAASAVPSLVMARGHRIESVPELPLVVSDSAEGVEKTQNAIKVLKQIGAYADAEKAKDSVGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEIANVERLNLLKLAPGGHLGRFIIWTKSAFEKLDSIYGSFEKTSSKKKGYVLPRAKMANADLARIINSDEVQSVVKPIKKEVKRAPMKKNPLKNLNTMLRLNPYAKTAKRMALLAEEQRKKAKKEKLDKKRQPISKEEAAKIKTASKAWYKTMISDSDYAEFDVFTKWLGVSQ >KVI08556 pep supercontig:CcrdV1:scaffold_234:186308:189406:-1 gene:Ccrd_013064 transcript:KVI08556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFHKQVVIITGCSSGGIGNALARAFAARDCLVVATARTLSSMADLSNDPDVFLLQQLDVLSDQSVREVVGNVIDKFGRIDVVVNNAGVQCIGPLAEMPLSSIEQTFNTNVYGSMRLIQAVVPHMASRKKGKIVNVGSVTVMAPGPWSGVYTASKAAIHALTDTLRSNIGNSAIANYNRMPDWKLYKKYEAAIKERAYFSQSQKSMPSEEFANKTVAAILKEDPPSWFSLGGYSTITAIMYYLPIFLKDFILRKAMK >KVI08560 pep supercontig:CcrdV1:scaffold_234:155479:165392:-1 gene:Ccrd_013060 transcript:KVI08560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEDPDGTLASVAQCIEQLRQKSSSAQEKEYNLRQLLELINTREGAFSAVGSHSQAVPVLVSLLRSGSLGVKMQAATVLGSMCKESELRVKVLLGGCIPPLLALLKSSSAEGQITAAKTIYAVSEGDAKDHVGSKIFATEGVVPVLWEQLEKGLKAGHVVDDLLTGALRNLCSSTEGFWSATIQAGGEDILVKLLMHDQSSTQANVCFLLACMMTENASVCSKISTAETTKQLLKLLGPGNEAPARAQAAAALKSLSAQSKKARRDIASSNGIPSLINATIAPSKEFMQGEDAQALQENAMCALANISGGLSHVITSLGQSLESCTSPSQVADTLGALASALMIYDSKAETSRESDPLDIELTLIKQFKPQLPFLVQERTIEALASLYGNATLSSKLANSDSKHLLVGLITMATNEVQDELIKSLLILCKEGNLWHALQGREGIQMLISLFGLSSEQQQECAVALLCLLSNENDDSKWAITAAGGIPPLVQILETGSVKAKEDSASILGNLCNHSEDIRNCVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIQRSDTATISQLTALLTSDLPESKVYVLDALKSLLSLAPLSDLLREGSASNDATETMIKILSSTNQETCAKSAMALAGIFNLRKDLRESSIAIKTLRSVMKLLDSESESILAECCGCLAAMFLSIRENHDVAVVARDMLPRLLTLARSSALQVAEQALSALANLLLDSGVPEKVTPEEIIMPATRILREEKASRRNHAAAAIARLLDSRQTDSSLTDYVNQTGTVLALISYIESSNCGSIAPSEALDALVILSRLKGSPGHIKPAWSVLVEHPDGIIPIVSFIKGATSLLQDKAIEVLSRLCQDQCVVLGNAITGSYGCTSSIAKRIIDSSNATVKIGGTALLACAMKANIQRVVEDLRETHLHSQFIQSLVLMLSSPNYSHSEDMDSISICRCSKEAIAGEKRTSTSVIRGASISIWLLSAIACHDEKYKTEIMEAGAVEILTGRISRPLPYSQLDIDDDNSIWVCTLLLAILFQDRDIIRTSATSKAIPALASLLRSEESANRYFAAQATASLVCNGSRGTLLSVANSGAAGGLISLLGCADADIHDLLKLSEEFSLVPYPEQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLGRDCPTNKIAMVESGALEALTKYLSLGPQDATEEAATDLLGILFSTAEIRRHESAFGAVSQLVAVLRLGGRRARYSAAKALENLFSADHIKNAESSRQAVQPLVEILNTGLEKEQHAAIAALVRLLGENPSRGLTAADVELNAVDVLCRILSSDCSMDLKGDAAELCCVLFENTRIRCTVTAGRCVEPLVSLLVSEFSPAQHSVVHALERLVDDENLAEVVAARGAIIPLVGLLHGQNYMLHEASSGALVKLGKDRPSCKMEMVKAGVIESVLGILQEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFLLLTRPELGPDGQHSALQVLVNILEHQQCRADYSLTPRQAIEPLILLLDSPATAVQQSSAELLSHLLLEEHFQKDSMTQQVIGPLMRVIGSGIPILQHRALKALVSISLAWPNEIAKEGGVSELSRVILLADPGLPHALWESAAAVLSSILQFSSEFYLEVPIAVLVRLLRSGSEGTVIGALSALLVLESDDSSSAVAMAESGAIEALLDLLRCHQCEENAARLLEVLLNNVKIRETKATKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNETLAQSTDAVAACRALVNLLEDQPSEEMKVVAMCALQNLVMYSRSNKRAVAEAGGVQVVLDMIGSSNINTSVQAAMFIKLLFSNNTIQEYASSETVRAITAAIEKDLWANGTVNEGYLKALNALFGNFPRLRGSEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSKSQSTAAADAIPLLQYLIQSGPPRFHDKAEFLLQCLPGTLTVMIKRGNDMKQSVGNPSVYCKLTLGSTPSRLTKVVSTGPNPEWDENFVWSFESPPKGQKLQISCKNKSKMGKKSFGKVTIQIDRVVMMGAVAGEYALLPQSKSGASRNLEIEIQWSNK >KVI08561 pep supercontig:CcrdV1:scaffold_234:165489:168352:-1 gene:Ccrd_013061 transcript:KVI08561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQRLDTGSERKLAAKVSRRYSAGSNGSSLANTHLERNGDGTAEREPLTPHSLIKKGSRSV >KVI08573 pep supercontig:CcrdV1:scaffold_234:343488:344228:1 gene:Ccrd_013074 transcript:KVI08573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VWNNFTETGAAAGLSPLQQEISKQQHQRFNSSCAVFVVFETAKHRCAVLSKQQQLFINSSADWNRSSLNSTWLLFFASNYNSSVQNYNSLWDCFWFETTELQGSLGVVFAGLFGQVHSQQQFFF >KVI08563 pep supercontig:CcrdV1:scaffold_234:259285:270497:1 gene:Ccrd_013069 transcript:KVI08563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait YSTPNKRSPNFRFRTHPPSSLTKNPRELRLSNSILQTLIWTWGSDLMDFDEYEYLEKTVDEPGNRNGSSSKTKDGGAEKNEKGYRRREREEDDGEDEGIVEEERKSKKSKGVEENGGSRRDRERDREERSSRSERHRSSGRDEVDRERERDRRRSSRDGERDRDRERSSRDREKDKDRERERRDKNKDREKDKDREREKREREREREREKERERSRRSRSHSRIEREREREKELLREKERELESRESRLASQLMVGNGVFAHTNELTMRKLLHGFTEESAFPQIEFHKVGNAYGAVSVYEVFVHCEDEPYESFLEQFGFEMEHHDAIDYKQHTSNLLRRLKDKKEVVEPEADPERDQRTVFAYQMPLKATEWDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGHLLLGQPVMVKPSEAEKNLVQSNASTGGAGGIAGPYGAVDRKLYVGNLHFNMTELQLKQIFEAFGPVELVQLPTDPETGHCKGFGFVQFSQLEHAKAAQSLNGKLEIAGRTIKVSSVTDHVGAQDSGAKAADFDDDDGGGLAWIQEIGEMRKRKVKQKQESQALNAQSRAMLMAKLDRSGIASSVPGALGVAAPLVNGSAPIQASALPINGPSTVPVPGLAQMVSSIASEPVGNPSECLLLKNMFDPSSEVDPEFDLDIKDDVQEECSNYGRVKHIHVDKHSAGYVYLRFESVEGAARAQQAMHKRWFARRLISAIFLQPYEYDAKFKGAA >KVI08557 pep supercontig:CcrdV1:scaffold_234:190986:205668:-1 gene:Ccrd_013065 transcript:KVI08557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MADRADPSPLVAPSLLTQPTEIDLEAGPGEQIQCRICLETDGRDFIAPCKCRGTSKYVHRECLDHWRAVREGFAFAHCTTCKAPYHLRVHVLADRKWRTMKFRFFVTRDILFIFLSVQLVGGEFSISRLFALMEIVISLLAYLVYLVDGYHKFWLRLAWGFDSVTSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGVCADCHLPGTLCMWTDCTTCFESCASAAGECGCLGGAGEAGLPLIFIMALVVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEITGSDWSPPPLPPEHVQQLKTLGLL >KVI08564 pep supercontig:CcrdV1:scaffold_234:150368:151737:-1 gene:Ccrd_013059 transcript:KVI08564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LATFPGDHSIILLRSANVRRISTHDAYSTVFPPSWNTGAAEDHRLLRRRSPAPIQICPRTMSLPHSEGHGMSEGDNADFLKVCDELCYELSSLQELACRGAWRSILDKVAQARSRSLLSKPHEHLVYLAYNAIALTKLRRFSEAVAELELVEKGLDIYRYEAYPHHYPNRHGSMAPFVLRWLYAELPSRIGKRQESLDRFYMLLQFIREKLAKNLPDASRAVWRKREGLVINSIISHHLSHKEFKVCLDLIKELINHDLTPVARATLMTKLGYIQMQYGDLDGARGTFNGIEGIVTEGKGEIVMQNLVNRNKALMFLVEKDYVSAVMEYQKCIDRDVSDVIAINNKALCLMYMRDLSDSIKVMENALERIPTYALNETFVVNLCSMYELAYVNHSDIKKTLGSWIARVAPDDFDTSCTRI >KVI08558 pep supercontig:CcrdV1:scaffold_234:172441:183615:1 gene:Ccrd_013062 transcript:KVI08558 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF367 MIYFLSHKSSIKPCFPCVFFLASIIFSVSRRQRPKKRSGSFHNSLSVEIQMGHNKSRKFKNHHTQGQSSRTHQPNREDESLPVDPESEEESTVVPNIQLAMWDFGQCDVKRCTGRKLARFGFLKELRVGNGFGGIALSPVGQQCISREDSDLIKRKGLAVVDCSWARLDDVPFTKLRCAAPLPWLVAANPVNYGRPCELSCVEALSAGLIICGEVENGELLLSKFKWGHAFLSLNRELLKAYSKCENSAEVIAFQNSWLSEQSSKVPKVLAKEEGEGDACRSEDEGSDDGLPPLERNLNHITLDESDIESE >KVI08567 pep supercontig:CcrdV1:scaffold_234:116495:138558:1 gene:Ccrd_013056 transcript:KVI08567 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease MGVPAFYRWLADRYPKSISDAVEEEAVEGNEVELLRPNPNNIEFDNLYLDMNGIIHPCFHPEGKPGPATYDEVFKSIFDYIDHLFSLVRPRKILYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRNEFEAQGAALAPKEKLETSDSNVITPGTQFMSVLSVALQYFIQCRLNHNPGWQYTKVILSDSNVPGEGEHKVMSFIRLQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVITLPGQQEKCFQCGKVGHLAAECHGSAVNGKALKDIPIHKKKYQFLNIWVLREYLQYDLEIPSPPFEVNLERLVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMQIYRREFAAMGGYLTDSGEQANKKGGTRPAKKLLNMIGDTYQHENVSLNSRKLAIEAPEVQAYTNRKIFFSPSQAHGMEAVDVLTKLAARSRCVRILHFACIPTPEFQVLLDRVEHFIQAVAVHEDQIFQKRARIQKSYEYNEEMRRKARQEVDEEPPAPVVDKVKLGEPGYKERYYREKLNVSDPKEVEEVKREMLPMQVLHYVEGLCWVCRYYYQGVCSWLWYYPYHYAPFASDLKDLADLEITFFLGEPFKPFDQLMGTLPAASSSALPDNYRKLMNDPSSPIIEFYPLDFDIDMNGKRFSWQGVAKLPFIDEKKLLTETRKLEDTLTVRIFFFQFEEQARNRVLCDLLYVSPRNRLAPQIFAYYNFFNQMHPSQRSAWPVDVNASDGMNGYLWLSERNGCRLVLPSPISGLGDLMENRVLNATYLNPLPHRHIPEPPKGVAMPKKILKPFDVKPLPVLWHEDNSGRRSQGRDSRPQVPGAIHGNVLGEAAHRLLKNSLNIRSSGSSSGFFEQPQYQNGPGNNLQHRPRPAGPSGHGRYSNEDPNFYHGYPNPRSVMPRPRFGVSPPNHMQANRPNSNTYQDQYQDLRNGMSALTMESGTRNRQFPVRMPQLQNLNNHNHGPLPSPPSTWINRLPMGADIVTFIGRIERSGSLGSAAYPLKYSHGVADTTL >KVI08571 pep supercontig:CcrdV1:scaffold_234:322241:327316:-1 gene:Ccrd_013073 transcript:KVI08571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MSSIDLESISEATSGAIGAVLSTTILYPLDTCKAKYQAEVRSHGQQKYRKLSDVLLEAVSNGKVLSLYQGLGTKNLQSFIAQFVYFYGYSYFKRIYQVKYGTKSIGTKANLILAASAGACTAIITQYTVFDQLKQRLLDGKLKKAGKGSSPESLSAFSAFLLGAISKSIATVLTYPAIRCKVMIQAADSSEDDTSKNRKKPRKTILSVLDAIWRREGALGFFKGLQAQILKTVLSSALLLMIKEKITATTWVLILAIRRYLVVTQGRLKGR >KVI08570 pep supercontig:CcrdV1:scaffold_234:277030:284803:1 gene:Ccrd_013070 transcript:KVI08570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 MAVNPHSEPAKMEQIITEFFAKSLHIILESRCPYVSSRNYSGDQIMHSPSSSASSSSSMRPRDKWFNLTLRDCPAALENIDFWRQSNLEPMVVDVVLVQRSHNWDYGSTSPRLALERNLSSKERFPFVWKSDSDEFGCDGNSEKIIERWVVQYESKKGCKEVCSGSKRSSSNSSHALYKKSILLLRSLYVTVRLLPAYKIFREINSSGQIRTFSLIHRVSSSVEPLLRRDEAEMQQFMFAPVDTSCGKLCISLSYLPSISDATSESSTPMSPQFIPDYVGSPLADPLKRLPSVPMPQYSPSSSPFGRRHSWSYDTSIASPPSALPSPSPTYSESRTSYSKFGSHRPPTSSRYPLETAQVHTKDTGYDEYLPSPNFSPSPSPSPPMYLPGSNMLKVLLRSDSAPVYIPTSRLGDAPVSSSKTGLPFSPPLRATRPSLSLVERSSSILQSATTAGKLLSLREEDIARPSGVKISGNSSPHKSSSRLSFEDSFDDSEFSGPFVVDDDDMMDPGSRPGSSDRSGNLGTPHERIGPSTPRKSQDAAVGALVQMLKKAPPLRQDLSRSQNVCKPSRPESSCNQIQDPAVVEVGSSSMASSRVKTTSDALEELKAYMDVKQLLLRQSGKS >KVI08555 pep supercontig:CcrdV1:scaffold_234:246350:247552:1 gene:Ccrd_013067 transcript:KVI08555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med4 MLQNVPHQIIQSPARLGLPNPNSPSLQTPAPPKFSSQIPQSHPPVLHPNLLTTPTSLTLLPLLPPLSRAQSILLRMASLTSRLFEVSSNQAQWRSTFRGSFPTFLSTQTVSSPDSSPATSKEIIALFTTLQTQLFESVTELQEILDLQDAKQKITREIRSKDSAILAFANKLKEAERVLDILVDDYSDYRRLKRSKVEENEESSSTTTVATQLNLSDILSYAHRISYTTFAPPEFGAGTAPLRGALPPAPQEEQMRASQLYSFADLDVGLPKLAENEKFAVEPLAENPSDPNSLANMVIKDMLPPNIVVPSGWKPGMPVQLPTDLPILPPAGWKPGDPVALPPLDSLGGPPRTEDQQTQPIHVPGFAKGPQPIQVRHVQLDIDDDSSSEYSSEDDSSDED >KVI03129 pep supercontig:CcrdV1:scaffold_2341:12633:41999:-1 gene:Ccrd_018577 transcript:KVI03129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, FAD-binding domain-containing protein MHFIRGKDSQGEEVIKPYTPTTLDADVGYFELVIKMYPQGRMSHHSREMREVGNNDGGEVTAERGGGWGTMRVGSGDEGEENLIVKRGMVGSGYLTRWCKAMLYLKAPVYIVHDNFLTFVLFRFVIGQHLDQFSVRMHQPLIQLLAL >KVI03128 pep supercontig:CcrdV1:scaffold_2341:54162:59246:-1 gene:Ccrd_018578 transcript:KVI03128 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein MKELMVKGLNMMLMMAWISMKMGWWMFQRSPFSWPLKHLEQLWVQFVFIFLKYEMETVNASYVIPFEYYKVQPFERFVSPQSPPSSGNFGVFEEHVSYLLSVYNFLHSFSVCLFLSPFGLDEFVGALNCSTPNALLDAIHVAPLYVNGANQSWRKKPTTAATFSTYINCCCIKLDHIMGSVDECPCGCNGVSVCSSRQSAVAEASGTGIDLQEKWTGLNFQNLEHPSGRHPSTYEDSGKQQMPLADVNLPNASAMSFRVGGATMKDKHKRNAGIQHDDKQSLDQI >KVI06838 pep supercontig:CcrdV1:scaffold_2343:17229:18116:-1 gene:Ccrd_014807 transcript:KVI06838 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II MGAENMKVKLPHLIRAIRRVGQIVTWVSDPMHGNTIKAPLKAFFDVHEQEGSHLGGVHLEMTRQNVTECIGGSRIVAFDGLGSCYHSRCDPRLNVSQS >KVI06837 pep supercontig:CcrdV1:scaffold_2343:25093:25617:-1 gene:Ccrd_014808 transcript:KVI06837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0503 MENRKRPLTMANGCKKHPKHQQSPGVCSLCLRERLSKISSSSSSRAVRDVPSSSSSSSVSSVSSVSSSHCSSNAQSHMASPMHGHRNPRKTYDFDDENSKGYVSLMKKSRSMAFFSEKMVESDGKKKKKDGFWSKLMMGSKRSHNTQKKRSKELEGSSRLMHSRTMREMLNKWV >KVI06836 pep supercontig:CcrdV1:scaffold_2343:5144:8294:1 gene:Ccrd_014805 transcript:KVI06836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLVRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTNKAGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQREKRSESLAKKRSRLSAASKPSIAA >KVI06839 pep supercontig:CcrdV1:scaffold_2343:8861:15254:-1 gene:Ccrd_014806 transcript:KVI06839 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEVDGRDRRPDALGDLYVLSDEIVCSILTLLSPRDVARLACASSVMYILCNEEPLWMNLCLDKENLQLQYKGSWKKTTLHGLQVLSKCDDPCKKPLHFDGFNSLFLYKRLYRCYTQLDGFAFDHGNVERKTGLSLEEFLQHYDAHKPVLVSGLADTWPARKAWTPEQLLVKYGDTSFRISQRSAKKVSMKFKDYVSYMQVQHDEDPLYIFDDKFGEVAPDLLEDYSVPHLFPDDYFDVLDNDQRPPYRWLIIGPERSGASWHVDPALTSAWNTLLSGRKRWALYPPGRVPLGVTVHVNDEDGDVDIETPSSLQWWLDFYPLLADEDKPIECTQLPGETIYVPTGWWHCVLNLETTIAVTQNYVNSKNFEFVCLDLAPGYQHKGVCRAGLLALSEGTLDEENLCMNDNLSCSELTRKEERVKIDEHMEDSNCEITTNGGSESLVKSDIEFSYDINFLTMFLDKDRDHYNSLWSTSNCIGQRELRDWLWKLWIGKPGLRDLIWKGACIALNASKWSKCMEELCAFHNISLPADEEKFPVGTGSNPVYLVADNVVKIFVEDGLEASLHALGTELQFYSLMQRSDCTLKNHVPNILASGIVFLEEGSYKVVTWDGKGVPDVIAKHSLVETFADTEYPFGIWSKKQFEYKKAGKQLHELHVLPVPPLNDLSYLHMNTKLENGIMENIYDKINLPAASEVIFRILNRKKKDVSSRLAKWGHPIPSRLIEKVDEYLPNDFVELHSLLKNCWCWVHTDIMDDNIHLEHVASSSSSSSSSSSSSWVPSYIYDFSNMSLGDPIMDLIPIHLDIFRGDLQLMKQLMESYGVPFGKRSSDENGKRRRISYLTMCYCIIHEDNILGAIFGTWKELRVANSWEDVEEAVWGHLNNYF >KVI10926 pep supercontig:CcrdV1:scaffold_2345:47473:50592:-1 gene:Ccrd_010668 transcript:KVI10926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSGDSNTHWCYQCLQPVRLRSPNPVCSCCSGGFVQELNEMVVDGQHDFDPFHGHDVSDHWFIDPFIDSRNRIMDAFAELLRQRNLGNRRSSLLLEDGIVPWGYLMSADDEFECFFNGAPVGSRPSNSSNISMGTSFQELVEQLIANDAGQGPPPATRSAIDSLPTIRITNRHLNNDSNCPVCQDKFDLGSEARMMPCNHIYHSGCIMPWLLEHNSCPVCRLELPSQGTNGGSSTEDSDQNQGSRNPLSFLWPFHS >KVI10927 pep supercontig:CcrdV1:scaffold_2345:50964:62812:1 gene:Ccrd_010667 transcript:KVI10927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MEATLSVASTTTLFSTAVGHACRSQLALLHSRRFTTTANTVFRRSPGKLGLKSPLFRLRAAVPSVWCRFECLSSSAASFASPSGDGEIGGGGEVNANPVAGGVDVSVLSSDVIILDVGDMMCGGCAASVKRILESQVSSASVNHTTETAIIWPLPEAKATPNWQKVMGEQLAKHLTACGFSSNLRGEAASEGEPS >KVI10928 pep supercontig:CcrdV1:scaffold_2345:78812:81433:1 gene:Ccrd_010666 transcript:KVI10928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEKGKGNQRWSAAIANLSDLSNNLTSIEKLLIKKAVYVDANTFNKASLISEQARSIKVLEQRVERLERELDAAISAAAHARIEKREAEAGQKAAELRAEEMTKELENTTKVFEVHMEELRAKQGEISKRDKEITLLKTVIQTLGGNEFGSP >KVI10925 pep supercontig:CcrdV1:scaffold_2345:28463:31932:-1 gene:Ccrd_010669 transcript:KVI10925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGNICCNSVSKFAGGQSSSNGTGKGRGHHGSVKYGFSLVKGKANHPMEDYHVAKFVPHQGRELGLFAIYDGHLGISVPAYLQKHLFSNIIKENEFWTDPNRAILKAYERTDQSILSHNPDLGRGGSTAVTAILINGCKLWVANVGDSRAVLSRGGQAIQMSIDHEPNTERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDVTNADIDASTEILILASDGDVEPRGSGLGDKNQGPTEGSKGTSS >KVI10930 pep supercontig:CcrdV1:scaffold_2345:13247:15882:1 gene:Ccrd_010671 transcript:KVI10930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MATTAQCCKTCGRTLVKIRGSQYEICPVCQAINLFNTKIKGRMPSRIQRFMSGVQDDDQGQAYRTSAVPQLSRLLNSRIQHLIPQVHGKKRAVLCGVTYNGHKKKLEASVRNVRSMQQLLVNKLGFPNASILILTEEESDRSRIPTKRNIQMALRWLVQGCQSGDSLMFYYAGHGCQVPDEDGDEIDGYDEALCPLDYKVVGTILDDEINATIVAPLPRGATLHSFVDTCFSGTVLDLPFLCKINNFQNKKLHEIHFPSRDGLYMWEEHQHSNKGTNGGKAFCISACADDQNSADTSAFTGNPIGAFTFSFIQAIESESKLTYGRLLSSMRKKVHQAQQVVGRFVPFASSMSQEPQLSSSTRFEIYSEPVILCPR >KVI10929 pep supercontig:CcrdV1:scaffold_2345:23777:25201:-1 gene:Ccrd_010670 transcript:KVI10929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MAKLFSFTTLRDRWYRYFFSFSGLRSVSKDLGDGTIMHCWIPRTYRPSKPNLLLLHGFGANAMWQYADLLCHFTPKFNVFVPDLLFFGGSFTTRPERSESFQGKCVMKMMDDGFGVHRMSLVGISYGGFVGYSMCAEFPEAVEKLVVCCAGICLEEKDLTNGLFKVSDLEEAERILLAQTPEKLRELMKFSFVKPVKGIPNYFLSDFINNSKNPKINQVITAPILIPFSSTESCNMIYEYSLHDYAQNLIVTVLEKGLRYLLFISYPFVFKLSSSNVFFLLYIKQPTLIIWGEKDQIFPLILGERLERHIGENARLVVIGNAGHAVNFEKSKEFAKHLKDFLFDDSISSLSSSVSSLSSSISSLSNSLSFYSCREE >KVI11333 pep supercontig:CcrdV1:scaffold_2347:8928:13192:1 gene:Ccrd_010258 transcript:KVI11333 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MVLSDHNSRSSPTPRFCNSFANRIFSDVAGDITIIIDGVSFLLHKFPLVSQSGKIRKMVAEAKDPNLSKLELINFPGGHEAFELAAKFCYGMNFEIMAENVAHLHCAAKYLEMTEDYREENLITRTEAYLNEVVTQSLEKSVQVLCSCETILPLADHVGIIDKCVDAIAKNVCKEQLVAGLSRLECDSGPIDAKSRCLEWWIEDLSVLTIDLYQRIISVMVSKGIRQDSVIASIMHYAQTSLKGIGKSQIWNPARTTPVTVETGQRVIVETLVNLLPNEKSSLIPIVFLFGMLRMAIMVDSSLACRLALERRIAGRLEMVLLDDLLIPSVQTGDSLFDVDTIHRILVHFLQIIEQADDEDCGYESDGGIGSPSHGSLLKVGRLIDAYLAEIAPDPYLSFQKFIGMIEVLPDYARVIDDGLYRAVDIYLKAHPILTEHERKKICKFIDCEKLSQEACNHAAQNDRLPAHMGVRVLYFEQLRLKSAITGTSALSGYMSQKMGSSGAPSAAMSPRDTYAALRRENRDLKLEISRMRVRLSDLEKEQVCMKQGMMDKLGNGKTFLTSISRGIGKFGIFGGPTNGKQQKNGTGRKSRSSTRRRGYSLS >KVH96620 pep supercontig:CcrdV1:scaffold_2349:811:1468:1 gene:Ccrd_001294 transcript:KVH96620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MSALSXPEKEDRYYSTRGSGAGFDDSSSSKKVLNVDTKKESKRAVWPKLFTTLSSKEKEEDFMAMKGCKLPQRPKKRAKMIQRTLLLVSPGAWLSDLCQERYEVREKKTSKKVVNPFGQICN >KVH96616 pep supercontig:CcrdV1:scaffold_2349:39768:40382:-1 gene:Ccrd_001292 transcript:KVH96616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADFGEIEPEELKFLFKPKVQIMCIVKLINKSNRYIAYKVKTTRPKLYCVRPNIGIVKPDSTCEVHVTRQAQAILPVSSEIEKEKFLFERMFVPESMALEEVSSIFLSKDGDTDINKKKLKVVFDDTPMMEEMKSKNEERHLMLKKVEETILLRSKIKELDLRLVEAEETISKLKEQKTDDRDCSSRKTRSRVFIKCFNVRFA >KVH96619 pep supercontig:CcrdV1:scaffold_2349:54151:56340:1 gene:Ccrd_001291 transcript:KVH96619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 MPNKGVGAFEKHLRLWLFECKEPSQEAFRLGLGAIVLLIAAHVLANLLSGCTVCNHDDIQKASLGRQLSLLSLFFTWVILAVGLGMLVIGTKANHKSNASCGLSHHHFLSIGGILCFVHSLFSVAYYVTATASIH >KVH96617 pep supercontig:CcrdV1:scaffold_2349:19829:21154:1 gene:Ccrd_001293 transcript:KVH96617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MYRSQVISQIDMKAELRSNTTSISLSNQSSTLFNSHQNPFSGALKGCLGNLDGACIEKLLLHCANALENSDINSAQQVMWVLNNVASTSGDPNQRLASWFLRALISRSSRVCPTPMNFNGGRSAFQRRLMSVTELAGYVDLIPWHRFGFCASNSAIFKAIQGCPKVHILDFSITHCMQWPTLIDALAKRTEGPPSLRISVPSWRPSVPPFLNVLTEEVGMRLTNFANFRNVPFEFNVIEVSPSMEESSFNFNYEFLLTQTNLFQDDEALVINCQNWLRYMPDQASSKDSFLEVIKSLNPHVLTIVDEDSDLGSTSLASRITTCFNFMWIPFDALETFLPKDSCQRMEYEADIGHKIENIIGFEGRQRIERLESGAKFSQRMRNLGFYSVPFGEDTISEVKFLLDEHASGWGMKTEDDMIVLTWKGHNSVYATAWFPYGFED >KVH96621 pep supercontig:CcrdV1:scaffold_2349:61472:74389:-1 gene:Ccrd_001289 transcript:KVH96621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERSLGYLGVLVGFFLVSMPGFCEGNRRMLDKAFYSNGIRWPTHDMDENTVDQNFVLRRKQLEKIIEAIKYFNLHLPNLKNYKRNSDVALLRKRIARKAITVQPPKQKQSFIHCLKSKRILSNDTNMESWQDFLRRPSSHHGRVLKPRRRRRKRRRRRRRRQPRPLPLGPAPVQSPAPSQRAPSPTTTPNGAPSPVSTPNRAPSPTQTPNGAPSPTWTPKGSPSPKRGPLLEPSPKLSPKPLPDVDRLPQEKTEKAPILPPKDEDNSNERKLLIIAVASSTVAAFAILLLFVVCFSRLKKRKKEPNDGRRDGRLFPIGSSQKSKKVGSTKDVIQNLPTSGASMPGGQVAGVGSLPLPPGKTAPPPSSPSPASPLASRPLPSSSSPQQTPPPSPQSPPPPPPPPPPLPPHSVPEAPPPPPPLKDANVPNPPKNKPPPPTGLHHRGHSGDENNQGDSDANKTKLKPFFWDKVNTSPTRSMVWHDIKAGSFHEKPSRMVEKMAPTQEEELKLGSYSGDVALLGPSERFLKVLVDIPFPFKRLESLLFMSSLQEESSSLKESFSILEYIPVEILLVILKLFQCNSQVACTTLRNSRLFHKLLEAVLKTGNRMNVGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLSFVVQEIIRSEGTKVARREMAASQSSSEDDQQQQTKEPPEYYRRLGLEVVSKLSEELADVKKAAAIDGDVLTSTVLKLGHMLRKITDFVNNEMKTVEEETGFRTFLVDSIDHAEAEISWLLEEEKRIMALVKSTGDYFHGKSRKDEGLHLFVVVRDFLVLLDSVCNDIRKTTAIQARHNQSNSTATTPDASPGLKVRRDKLFPSNKDGPSGYSSSSDDSFSP >KVH96618 pep supercontig:CcrdV1:scaffold_2349:58929:60556:1 gene:Ccrd_001290 transcript:KVH96618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFSQIQQINRTTYTYNTMIKSHIRNSNSKPQLGFEIYAKMKRDGIDCDNYTYPFVLKACTMICGLWEGRQVHAEVVKVGFFYSNLFVINGLIGMYCKCRQMSCSRVLFDGFHGKDLVSWNLMLSGYVGCGDIVEAQKMFDQMSERDVVSWSIMIDGYGKKLGDIIHARSLFDRMHKRDLVSWNTMIDSYAKVGDMVAARELFDEMEHKNVISWSIMIDGYSQHQDPKEALNVFNLMLSNDIKPDKFCVVGAISACAQLGALDQGRWIHIYIQKNKITLDVVVNTALVDMYMKCGSTEEARAVFVSMSERNVISWNVMISGFGINGFGDEALSYFDQMRKEGIQADNLIYVSVLSACSHAGFVPEGLEIFRKIPKPKVEHYGCLIDLLGRAGKLRQALNFIASMPMEPNSDLWGSVLLACRVQKNVGLGEFVLNKLMELNGDDCGVYVVMSNIYADNGMWEGVTEMRKMVSEKGMRKESGKSVIEVVDGGVEEFVCGKTGRVHGDDIEQ >KVI12043 pep supercontig:CcrdV1:scaffold_235:63955:65263:-1 gene:Ccrd_009540 transcript:KVI12043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSDASEAKDILKRVPVDPPFTLSDLKKAIPAHCFERSLIRSSYYVVHDLIVAYVFYFLANTYIPLLPAPLAYLAWPVYWFCQASICTGLWVIGHECGHHAFSEQQWIGDIVGFILHSALFTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRNYSKLLNNPPGRVFTLVFRLTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLVSDLGLLAVFYAIKLAVAAKGAAWVICMYGIPVVGVHVFFVLITYLHHTHLSLPHYDSTEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIKPILGEHYKIDRTPIFKAMWREAKECIYIEPDEDSEHKGTYWYHKVL >KVI12039 pep supercontig:CcrdV1:scaffold_235:105151:120501:1 gene:Ccrd_009544 transcript:KVI12039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MNEFTPGHSSLNIDGSIVPSKPRFLIQQIDCQKMERSGVSDQHTDSRSPFDYQKLEAETPRSWNAGDFDRRFAFSRQTSFNRQPGEQPPHTPVSIISSDYSSKRPLLSRTSSKIDILPPPKSFPQQREYGGDRLWMEEDPSSFSKSERFSIPAFVFSLFNVVRSGNRPMKRLAMLISLNVACSTAELLIGLISGRVGLVSDAFHLTFGCGLLTFSLFAMTASRRKPDRVYTYGYKRLEVLSAFTNSLFLLFMSFSLAVEALHAFVQDESEHKHYLIVSAVTNLFVNLIGIWFFRNYARISLVYRNAEDMNYHSVCLHVVADSIRRVENAEVLCLGLVSFTVFMLAMPLFKTSGGILLQEAPPNIPSSALSKCWRQVASLEDVIEVSQAQFWEFVPAHVVGSVSLQVKKGVDDEPILGFVRDLYHDLGIQDFTAQLDPA >KVI12042 pep supercontig:CcrdV1:scaffold_235:89979:92177:-1 gene:Ccrd_009543 transcript:KVI12042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKTQRITRGLIFLPFVSKKRVE >KVI12034 pep supercontig:CcrdV1:scaffold_235:10691:22802:1 gene:Ccrd_009537 transcript:KVI12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMLDSSEGKDILNRVPVDPPFTLSDLKKAIPAHCFERSLIRSSYYVVHDLIVAYVFYFLANTYIPLLPTPLAFLAWPIYWFCQASICTGLWVIGHECGHHAFSEQQWIGDIVGFILHSALFTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRNYSKLLNNPPGRVFTLVFRLTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLISDLGLLAVFYAIKLAVAAKGAAWVICMYGIPVVGVHVFFVLITYLHHTHLSLPHYDSTEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIKPVLGEYYKIDRTPIFKAMWREAKECIYIEPDQDSEHKGTYWYHKVSLPQFITLCVLVLPTICRSRNMGAGGRMLDSSEGENILKRVPVDPPFSLSDLKKAIPAHCFERSLIRSSYYVVHDLIVAYVFYFLANTYIPLLPAPLAYLAWPIYWFCQASICTGLWVIGHECGHHAFSEYQWVDDTVGFILHSALFTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRNYSKLLNNPPGRVFTLVFRLTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLISDLGLLAVFYAIKLAVAAKGAAWVICMYGIPVVGVHVFFVLITYLHHTHLSLPHYDSTEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIKPVLGEYYKIDRTPIFKAIICTGLWVIGHECGHHAFSEYQWVDDTVGFILHSALFTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRNYSKLLNNPPGRVFTLVFRLTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLVSDLGLLAVFYAIKLAVAAKGAAWVICMYGIPVVGVHVFFVLITYLHHTHLSLPHYDSTEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIKPVLGEHYKIDRTPIFKAMWREAKECIYIEPDEDSEHKGTYWYHKVL >KVI12028 pep supercontig:CcrdV1:scaffold_235:297821:306375:-1 gene:Ccrd_009557 transcript:KVI12028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MLGKKIGSVKNLAKMVRVRGGPDASQSEWLLRKGEEKEEVVCTNMSSSDSSSSSKTPTGFFTVYIGEERRRFVIPMSYLSHPLFKMMLEKSSEEFGFNQKNGLVVPCSVNAFQEVVSVVESCNGKFDLSSLNDLAKKVKGWGEPKPSQAEWLLKDNEEGVCLSSCSSPTCQAPTGFFTLYIGDEKRRFVVPMSYLSHPLFKMMLEKSSEEFGFNQKNGLVIPCSVNAFREMVSVVESCNGKFDLSHLVQEFI >KVI12044 pep supercontig:CcrdV1:scaffold_235:72011:72391:-1 gene:Ccrd_009541 transcript:KVI12044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-25/LEA-D113 MQAVKETAANIAASAVSGLDKTLAVIDEKVEKISTSDPVEKDMATLRKEDRIRMAELRKQQAYSQNAAAAFGGGAPGSPTYTAAGSGHPEKNPFHITKADVSNANKPAEQTTTVQSAMPATAQPTN >KVI12036 pep supercontig:CcrdV1:scaffold_235:176302:178348:1 gene:Ccrd_009549 transcript:KVI12036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MQCCCKKKKEPKPEDAASNNCNTVPPRGVPYGGGGSMREPRAAKTGGPQKALPIEAPCLTLDELNKMTDNFGSKALIEEGTNCRVFYGKLSDGEEVVIKKLDTSTLPDPDTDFTEQISMISRLKDPYFSQLRGYCLEKNNRILLYEYATMGCLHEILHGNKSGNVLDWGQRVKIAYGAARGLEYLHEKVQPPIVHRDIRSSNVLVFDDYETKIADFGLSNVSSENAARLHSTRATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLTSKPAS >KVI12022 pep supercontig:CcrdV1:scaffold_235:190295:191449:-1 gene:Ccrd_009551 transcript:KVI12022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MFNLVSRNLTISVLKTTSIHGVFARSLSSSPAASNPDSVKFSKIQHSFTVSYLIESCGFPPEKAISASKYLNFKTPDRADSVIAFFKNQGFTEPQIYHLVRKFPMALTCNPQTNLLPKFEFLSSIGLSDAEIVKLVTARPKSLGRSLKNHLKPTFKLLKDLLQSNDRTLIAIKRCAWVLDWDFQSNMVPNMEYLRDVGVPGSKMLYVLTYQPRDFLVSNEQFKMVVEEIVEMGFDPLKTNFMLAIHALSWWLKCSESTFIDRLRRYEKEAPGVLKFYLKKMDFAQ >KVI12031 pep supercontig:CcrdV1:scaffold_235:330666:341981:-1 gene:Ccrd_009560 transcript:KVI12031 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MHNAIIILKMLDISAIAQGFVQMMESGHRTGEFDRVPKDVMANIIPTISSTYLLLSLQNTHPHTHAQCEAFYLSGVVMAGRKRRKLRLVSIKENSEQEAWSVLPVELLELIISRLTLKDNIRTSAVCKRWLSVALSVRKVNKPPWLMYFPKLGHLFEFYDPSQRKTYSVELPELHGCRICYNKDGWLLLYKPRTQRVLFFNPFTREMIKLPRFEMTYQIVAFSTSPKSPNCILFTVKHVSPTVVAISTCHPGAAEWTTVNYHNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYDPQEHTWSIRIVPPPRCPDNFFVKNWWKGKFMAEHKGDVFVIYTCYSENPIIYKLDQANKEWVEMKTLEGVTLFASFLSSHARTDLLGMMRNSFVFMGNGAYRTLLITEDTTLGNSAMIGENKIRLKAFGSNHLKTIQPSAGNMWWLRHCSHLALCKAESCGSELSIDVY >KVI12023 pep supercontig:CcrdV1:scaffold_235:177978:186474:-1 gene:Ccrd_009550 transcript:KVI12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSNREKEREPPPSGSLGAEEACSSILESWLNSTSMLSVLRVHLPSDIPIVGCELSPYVLIRHPDKTVSTEDVPESLPIEGHFLRYKWYRIQSDKKVAICSVHPSEQATLQCLGCVKAKIPVAKSYHCTPKCFSDAWQHHRVLHERAASAVNENGNEEEDIYGRFNNGTSGVNNTSLSSVQAISGLANGTTPLYPAAFTQRNGGETWFEVGRSKTYTPTADDIGHVLKFECVVVDSETKSPVGHPNTILTSRVIPAPSPSPRRLISVTNVDVAGNLDLEGRLSSAGTFTVLSYNILCDSYATSDLYGYCPSWALSWPYRRQNLLREIVGYLADIVCLQEVQSNHFEEFFAPELDKHGYQALFKRRTSEVFTGSIQTIDGSATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPNAQKKTALNRLVKDNVALIVVLEAKFNNQGVDNLGKRQLVCVANTHVNVQHDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLAIGKVDPMHPELAVDPLGILRPASKLTHTLPLVSAYSSFARIGVGHGYEQQKRRVDPNTNEPLFTNCTRDFIGTLDYIFYSADSLSVESLLELVDEDSLRKDTALPSPEWSSDHIALLAEFRYEVEKLNNGEEKDIIPAVMIHHGFVGWGNSSMGIDNVLSFCVNCNDSASGKFHGWSRLILSQLAGLEVRSGCNAFTTIVIFGRKSASYWTHNAATAAN >KVI12020 pep supercontig:CcrdV1:scaffold_235:327884:330333:1 gene:Ccrd_009559 transcript:KVI12020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MDTSNPAVLVNADLLRSYVGRRVRTVIQVIRPDAGGLIGRSTDEKQIVVKGHPPSPLTTFIEVIGVADTTQSICAEIMTNFGDTFDTNNFNQLCQLANGDFRHLFI >KVI12026 pep supercontig:CcrdV1:scaffold_235:261716:266173:-1 gene:Ccrd_009555 transcript:KVI12026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MWVFPLIVCLFPLLSNTNGGGGVMAFHKVYLNYQTSSGTNDVKQVYRTGYHFQPKQNWINAPMYYKGFYHLFYQYNPKGAVWGNIVWAHSVSKDMINWIPLEPAIVPSKPFDKYGCWSGSATILPGNKPVILYTGIINNKPAPGYQVQNYAIPANYSDPLLREWIKPDDNPIVKPTSENVSSFRDPTTAWFNNGHWKMIVGSKYKQRGIVYLYRSRDFRKWTKAKHTLHDKPDTGMWECPDFFPVSPRGSKGLETSVLGRKIKHVFKVSLDLTRFEYYTIGTYNPITDKYYPDKNSVDGWAGLRYDYGNFYASKTFFDPAQNRRILWGWANESSTSFEDVTKGWAGIQLIPRKVWLDPSGKQLLQWPIRELEKLRCDRVHLSSKKLNMGDVVEVKGITAAQADVEVVFKFSNLDEAETFDSKWSELPSENLAMEICGMKGTTKEGGLGPFGLLTLASSNLQEYTPVFFRVFKTLDKKYKVLMCSDAKPSSLNQNEYKPSFAGFVDVDLAEKKLSLRSLIDHSVVESFGEGGKTVITSRVYPTLAVGGDAHLYLFNNGTQTIIVEKLNAWSMNKPQFMN >KVI12033 pep supercontig:CcrdV1:scaffold_235:24708:25844:-1 gene:Ccrd_009538 transcript:KVI12033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGAGGRMSDSSEGKNILKRVPVDPPFSLSDLKKAIPAHCFERSLIRSSYYVVHDLIVAYVFYFLANTYIPLLPAPLAYLAWPIYWFCQASICTGLWVIGHECGHHAFSEYQWVDDTVGFILHSVLFTPYFSWKYSHRNHHANTNSLDNDEVYIPKRKSKVRNYSKLLNNPPGRVFTLVFRLTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTERERIQVLVSDLGLLAVFYAIKLAVAAKGAAWVICMYGIPVVGVHVFFVLITYLHHTHLSLPHYDSTEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIKPVLGEHYKIDRTPIFKAMWREAKECIYIEPDEDSEHKGTYWYHKVL >KVI12029 pep supercontig:CcrdV1:scaffold_235:282207:292707:-1 gene:Ccrd_009556 transcript:KVI12029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MSGRHEKGVNVQVLLRCRPFSNDEVKNNAPQVVTCNEYQREVAVSQSIAGKQIDRVFTFDKVFGPTAQQKDLYEQAVIPIVHEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPPEAGVIPRSVQQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEISKLGVEDKQKKLLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKESTPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRFGLFPELSRFPSPSVIYALFEVDNVNQKMMKTTLIKDLYGEIERLKAEVHASREKSGVYLPKERYYQEEVERKAMADQIEQMGIKIENQQKVTVILVYLCARSKLMFGHVVQQFQELQTQFNARVEECTDLSNKLESTQNNLNQTNKVLANTEDTLKRCQYALKERDFIIYEQKKAENALAHQACVLRSDLEKALQDNASLFMKIAREDKLNAGNRSVVNKFESELARDVGSLCNMVAASISQQNEQLQCIEKFCHTFININDQAITDLKKKVSASRNLYISHIEALENVVRLHKASANGSLEDISVLASSNAHCVEELLAEEATEGHAIFDELHGSLSTQQGEIALFARELRKVDARLAGIRETAAGSKTVLDGHVSSIEGITTDAKRKWQEFSLQAETDAKDSAEFSAAKHCRIELLLQKCVDTTEMALKHSKKTHESVTDMGRKHVSAIEILVSSASGSNEHHASEISFARATAEEDVSKNSMDIIKHMEVTSQEEQEAVCGILETTKAQATVLESLRENHSTQSGAIQQKACDTFQQKYMDYEASGDTPVRCESDVPSKMSIESLRAMPMESLLSTSMFKLRNQRLITAFISIESQTFCSVASPLSVLDDGQSHEHNTPILSTQVQESSFLDPRFYLSALINCKNLQQIKSLHTQLYDDGLLNDLYILNKLLYMYVRYNGVDDAYNLFDKMPERNPVSWSVMIGGFAKAGNYKKCFETFREYIRSGEQPDVYTLPSVVRVCRDRLDLKMGRLVHQIVHKFGLNMNTFICAAIVDMYAKCGVIDDARKLFNMMTKRDITTWTVMIGAYTACGNASESLVLFDQMQEVGIVPDKISMVTVVNACAKLGAMHKAKHVHDLIRRQYRSLDVILGTAMIDMYAKCGNLESAREIFNGMKEKNVISWSTMIAAYGYHGKGQKALELFPMMSKTGITPNKITFVSLLYACSHSGLVEEGLQVFSQMQEKYFIKPDVKHYTCMVDLLGRAGRLHQAFNLIENMTAEKDEGLWSALLGACKIYNHVEMAQKAAESLLEIQPHNASHYVSLSNIYAKAGKWENVAKIRTQMVSQNLKKTPGWTWVEARNDFHRFCSGDHTHSESKAIYEKLDSLIQKLELCGYVPDTNFVLHDVNEELKLGSLYAHSEKLAIAYGIISTPEKSPIRMTKNLRVCGDCHTFIKFVSTVEKREIIVRDAKRFHHVSEGVCSCGDYW >KVI12027 pep supercontig:CcrdV1:scaffold_235:256673:257973:-1 gene:Ccrd_009554 transcript:KVI12027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MASLHLLILSLLLLSTVVPPSHGAEPTGKPPNTLPEECQNSSGGPCHSKARSLKLKLIAIAAILVTSMAGVTLPILSRVVPALQPDKKLFVLVKAFASGVILATGYMHVLPDSFDCLTSKCLPENPWRKFPFTTFIAMLSAVATLMVDSYAMSWYKKYGSSVQGNKNLNPQVLKISELGIVVHSVVIGLSMGASDNLCTIRPLVAALCFHQFFEGMGLGGCILQAEYETKMKAIMVFFFSATTPFGIALGIGLSNVYRENSPTALIVVGVLDAVSAGLLNYMALVDLLASDFMGKKLQDDMKLQAISYAAVFLGAGGMSVMAIWA >KVI12041 pep supercontig:CcrdV1:scaffold_235:74419:85173:-1 gene:Ccrd_009542 transcript:KVI12041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MACCSIQSMVPSISGLSSSSFSYSSVIVLRVNCAKFSNINLKKIGKKFEVCRAMVQQSTVQGASAAYAKEMERLSAKESLLLAFKDAGGFEALLAGRTSAMQKIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWIGYGSPGLFVARLLSERFPATLANLYKMDLVIKDGYAKITANMKFLNAIENKFILSTKLTVEGPLRIKEEYTEGVLETPTVDEGTIPQQLRDAFGQAVNTVQQLPAPIKDAVSSGLKIPLNGAFERMFMISYLDEEILIIRDTTGVPEVLTRLDSAQSPIEPIEEYES >KVI12021 pep supercontig:CcrdV1:scaffold_235:321903:322169:-1 gene:Ccrd_009558 transcript:KVI12021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKVASGILLAVVLLFAVEMQVAKTATCDLKLILGACNESLTEGAVPSEECCSILKDQQPCICGYKENFGWFGTAKAFGFCHLPIPPCA >KVI12030 pep supercontig:CcrdV1:scaffold_235:44826:51998:-1 gene:Ccrd_009539 transcript:KVI12030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGAGGRMLDSAEGKNILERVPVDPPFTLSDLKKAIPAHCFERSLIRSSYYVVHDLIVAYVLLSDLGILAVLYAVKLLVAAKGAAWVICMYGVPVVGVHVFFVLITYLHHTHLSLPHYDSTEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIKPVLGEYYKIDRTPIFKAMWREAKECIFIEPDEDSQHKGGHGDDDASSGNDIGGGGIGNDRDNGASGTNTLIVVVVALALTSVVVVVVMVFRNMGAGGRMLDSSEGKDILKRVPVDPPFTLSDLKKAIPAHCFERSVIRSSYYVVHDLIVAYVFYFLANTYIPLLPTPLAFLAWPIYWLTLGFPLYLLTNISGKKYGRFANHFDPMSPIFTXRERIQVLXSDLGLLAVFYAIKLAVAAKGAAWVICMYGIPVVGVHVFFVLITYLHHTHLSLPHYDSTEWNWIRGALSTIDRDFGFLNRVFHDVTHTHVLHHLISYIPHYHAKEARDAIKPVLGEYYKIDRTPIFKAMWREAKECIYIEPDQDSEHKGTYWYHKVL >KVI12035 pep supercontig:CcrdV1:scaffold_235:171968:173329:1 gene:Ccrd_009548 transcript:KVI12035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGGVLYDVESRPKYLKYDVEMEKTVKTNTRASNNGLYELLECPVCANLMCLALEKVAESLELPCRYRSLGCHDIFPYYSKLKHEKSCRFRPYKCPYAGSACSITGKIPELVTHLKDDHSVDLHDGSTFDHRYAFNLGMAPFYISFLCFMGEDTEAKKFSYSLEISGGGRKLTWQGVPRSIRDGHQKVRDGLDGLVIPRNLALLFSGGNGEELKLRVIGRIWKQKQ >KVI12037 pep supercontig:CcrdV1:scaffold_235:135086:135364:-1 gene:Ccrd_009546 transcript:KVI12037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVVPANPSFSQGGVATKVIHIDPLTSLSLRILLPDSVLADSRGLKHGDGVYDGYAPILHDNLRKLPVIVQFHGGGFVTGSSDSLGKEVYL >KVI12024 pep supercontig:CcrdV1:scaffold_235:218988:237273:1 gene:Ccrd_009553 transcript:KVI12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIAFEEIINSSSNQYSFTALLIHGLLGSRDSFLDFARSLASSLSSSSDWRMVLVDLRNHGSSVDREGLTPPHDIANAARDVANLFKSLNGPWPDVVIGHSLGGKVALQYAFSCAQGDYGDSAQLPKQVKWLVDHMVNLGFSKFLSEHISSNLKKSGEHKTFSFNIEGVIQMFESCRDTDYWPLLEQPPKGIEIAIVRAESRVTWDPDVVERLESLTKRENDLSSGKVSVHVVPRSGHWIYKDEPERLLEIMAPRMASLSDNLTFSFNMSVIAFEEMINSSSNQYSFTALLIHGLLGSRDNLLDFARSLASSLSTSSDWRMVLVDLRNHGNSVDREGLAPPHDIANAARDVANLFKSLNRAWPDVVIGHSLGGKVALQYALSCAQGDYGDSAHLPKQFLSEYVSSNLKKSGEHETFSFSIEGVIQMFKSVRDTDYWPLLEQPPKGIEIAIVRTESRLTWDPDVVERLERLTKRENDLSSGKVSVHVVPRSGHWIYKDEPQRLSIETLAFEEVRSPSPNPNPNPNPKADYSAFILHGLMGSARNWRSFSRSLASSLSTFSPPSDWKMVLVDLRNHGRSAGLHPPHNMVNAANDLDNLVKSEGWNWPDVILGHSLGGKVALQYVMSCARGDYGSSAKLPKQLWVLDSVPGIVNPEETSGEVEKVLETLQTIPKSIPSRKWLVDHLTNLGFSRSLSEWLGTNLKKSGEHETWAFDLEGIIQMFDSYREMDYWPLLEHPPKGMEIVIVRAENSDRWDPHTTEKLKRLENRRGDESVGKVSVVVLPKSGHWVHVDNPKGLLEIVTPKMASLI >KVI12025 pep supercontig:CcrdV1:scaffold_235:195813:213734:-1 gene:Ccrd_009552 transcript:KVI12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sel1-like protein MLDLHGENYGVGIVAGGDNVDRGSMVVQMVAAERGQNHRRTGLTIDYTIAVSSFCYHCLIAVQHRRGGAPLLASSHPTTCRYTTMALTEKDVGGGKEKGRIEDNGFLKAVEPSLGVNNSMASANPIEKSYEGKDALGYANVLRSRNKFVEALVIYESVLEKDNGNVEAHIGKGICLQMQNMGRQSFESFSEAVKLDPQNACALTHCGILYKDEGRLVEAAESYRKALEADPSYKPAAECLSIVLTDIGTSLKLAGNTQEGIQKYYDAIKIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKRALYYNWHYADAMYNLGVAYGEMLKFEMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVIPLAIASMLVTCRVHLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIVANPTYAEAYNNLGVLYRDAGSISLAIEAYEQCLKIDLDSRNAGQNRLLAMNYINEGTDEKLFEAHRDWGRRFMRLYPQYTTWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLIYHDYVKYKVVVYSAVVKADAKTIKFRDRVLKRGGIWRDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPLQVTWIGYPNTTGLPTIDYRITDALADPPDTKQKHVEDLVRLPECFLCYTPSPEAGPASPAPALSNGFITFGSFNNLAKGHLLTHENLTLGTLYQITPKVLQVWAKILCAVPNSRLIVKCKPFCCDSVRQRFLSTLEQLGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMGGSVHAHNVGVSLLTAVGLEHLVAKSEDEYIRLALELASDVMALSNLRMDLRNLMSKSPLCNGSKFMIGLESAYRDIWRRYCKGEVPSLKRVEMLQKQAPQVTSKDEPFKENGSNLGPPRLLISENGSESKSKQTSSSDEALHICHKLRFFILHM >KVI12032 pep supercontig:CcrdV1:scaffold_235:354032:355281:1 gene:Ccrd_009561 transcript:KVI12032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDLESLALVCGVGGSDRKIACETLADDPGDPDIPESALVDCPPDCPPESFWLSKDSEYDWFDRNAFLDRKESIKGNSNSMNLNLNINQVHSNANFSSVQFSAFFKSKAPIISLPKAQKTIYVDSKRRNCKLANLWLFPKRSDSLGKEPTVAPMAEPSSPKVSCLGRVRSKRCRSRRKSNGPSSELEKPVIPHDKIGQVQRSGFISRVKSLFRSGCNGRKTTNQPSLKINQSSDRSAPRINVTCNPLDDEHGTPADPPALGGVTRFSSGRRSETWGQCDQDVYLTDRS >KVI12040 pep supercontig:CcrdV1:scaffold_235:132417:134277:1 gene:Ccrd_009545 transcript:KVI12040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGDETPQTVMNLDLNLGPVVHPSPENDPRTLNLEDWLDGPVREAIGGHRSIARQRWRSIWRPVLFPLETRTMALELMGGSGSETGEGSMAADGRPPEVAKMCDNGNGYQENDVVGEKEDVAKSNGNEGGFFDCNICLDMAREPVVTCCGHLFCWPCLYQWLHIHSEAKECPICKGEMTTRSVTPIYGRGNPTRVIEEDSSLKIPHRPQAKRYESWRQTIQRNAFTMPMEEMIRRLGSRFDLTRDLVQGHPLLPEDPRLISERNNSLLNRYLSSRGFRTDQNPTNSEGEESHRLLSSRSNRSTVISNLTSALTSVERLVQPFHGHQEQTPSVEDRDSVSSIAAIIQSESQTVDTAVEIDSRVSVSTSTSRRTESSRVSDVDSGDSRAPRRRRLH >KVI12038 pep supercontig:CcrdV1:scaffold_235:144439:147067:-1 gene:Ccrd_009547 transcript:KVI12038 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MADSTKYIPINERSLVSDLKLKNIFSFQTKKTRAFAYVFISVFVAVTFFLAFNPSPDPSSPWFSNIFTGTTIQPNGTTTTPSSARSQFSSIYSYFFPSQPSTVSSNGTIFRSQTMNPDSPNVIKDPPIVQNQTQSDGSNQTTTGSPPSQPSSNQTTSGSPPSHQTTIGSLPSQPGSNQTSKTVPSLASEAPKSVPNNATENMVKPSPNPHESMPTSLGKDAGSGPSQGNEDKGTANNDYNCTIELFVAPFLVQEWETKDKNGTKKETLRLDLISSSADQYKTADVVVFNTGHWWTHDKTAKGQDYYQEGSHVYHELNVLEAFRKAMTTWGMWVDANMNPTKTSVFFRGYSASHFSGGQWNSGGACDHEVEPIKNTTYLTPYPDKMVVLEKVFKGMKTQVSYMNITRQTDFRKDGHPSIYRKKQYTAAEIKSPLHYQDCSHWCLPGVPDTWNEILYAQLLVKQYQQNQHQKP >KVI00765 pep supercontig:CcrdV1:scaffold_2350:5718:6447:1 gene:Ccrd_020982 transcript:KVI00765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MAMETSMVFNKYDHHDVNTSSKIPIKGGWKSAIYIIFVEFGERFAYYGVSGNLIMYLTLVLKEPLATAAKNVNIWHGVAAIFPLVGGFMADSYLGRFKTIIFSSFTYLLVGFLFNFVTLS >KVI00764 pep supercontig:CcrdV1:scaffold_2350:18040:19577:1 gene:Ccrd_020983 transcript:KVI00764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLLLLVVSVEKIPLKHRILPFFLALYIINIGEGGHRPCIQTFAADQFDDNLAAEKLAKSSFFNWWYLGIVIGATTSILVVIYVQDNIGWGWGFGIPAIVVAVALLVFLVGKSTYRKEVRVGSPLTKVAQVMVAAVRKRRLSEDNDGFEMCVEVGEGGGTATRSLDRTNQFRSTGKTNDWRLCTVNEVEQVKLLLRLVPIWLSCLMFGVVIAQLGTFYTKQASTMIRTIGSHFQIPPASLQVIPGLTILTAVPLYERLFIPTARRFTNHPTGITVLQRIGFGIFFSILTMMVSALVESRRLRIASMDDPKSIVPMSVWWLVPQYVLMGISDMLTIVGLQELFYDQVPDEMRSMGAATYLSVQGVGSFMSSAIISIIQKITAKHGDEWLKGDNLNTAHLDYFYRVLACLSTVNLVVYVVLAKGFVYKKLQRNNVNGS >KVH87807 pep supercontig:CcrdV1:scaffold_2351:20319:21274:1 gene:Ccrd_024906 transcript:KVH87807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MATGEVGPWGGHCAGPWTFKPDGRIIEIWIASGNYIDSIRFVYEDQHLVKHHSPKYGGDGGTEQKIAFDEDEELNQMSGTTGDFVASLSFRTNKRSLGPYGRTDEGTSFSLPVAKGKFVGFFGECGYYLDSIGAILQF >KVH87806 pep supercontig:CcrdV1:scaffold_2353:16014:28668:-1 gene:Ccrd_024907 transcript:KVH87806 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif-containing protein MSQANWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIEPLMRQNPGTANAMATKMYEENLKVPLSRDSLDDAAMKQRYGENVGQLLDTKHASILKSAASGQPSGQVLHGTSGSMSPQVQGRNQQLPGSAPEIKTEMNPVLNPRAAGPEGSLIGIPDQLRPGLLQQQKSYMQGSQPLHQLQMLTPQHQQQLLLAQHNMTSQSANDESRRLRMLLNNRSISMGKDGLSNSVGDVVPNLGSSMPVLPRGDPDMLLKASKNQTGRKRKQPVTSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHNGSSSKSLLMFGADGPTTLTSPSNQLWDDNDIVQADMDRFVEDGSLDDNVESFLSHDDTDPRDTVGRCMDVSKEFTFTEVNSVRASASKVVCCHFSSDGKLLASGGHDKKAVLWYTDSLKPKSTLEEHSSLITDPGFSLRTFIGHSASVMSLDFHPNKDDIICSCDGDGEIRYWSINNGSCARVFKQLQRTSYRYWMWRRKLVDIHYRGIQNRFIPFAGIQKSLELWNMSENKTMTLSAHDGLIAGLALSTATGLVASASHDKIVKLWK >KVH93694 pep supercontig:CcrdV1:scaffold_2355:39390:44808:1 gene:Ccrd_004254 transcript:KVH93694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MDVLGLDDLDSRSSSPSSSVALNKHGFEGISRPNHATAIPSKSFKKRIRKGSEGLISIGRSLGFGVSRAVFAEDLKVSEKKIFDPQDKFLLTWNKFFVVSCILALSVDPLFFYLPVFDQSKTCLGIDRKLAIIVTTLRTMVDSFYLIHMALQFRTAYIAPSSRIVIWRFLQRSRGSDVLSTKQAIFFILLLQYIPRVARIAPLTSELKRTAGVIAETAWAGAAYYLLLYMLASHIVGALWYILSVERNDTCWQRACERSNHDTNFLYCGNDHMPGYGSWSNISSLVLTEACTPDGDNPPFDFGIFQQALLSGIVSSRKFTYLQSLTIRLEEMRVKRRDAEQWMHHRLLPQDLRERVRRYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGSNLPSSTRTVMALREVEAFALPADELKFRRKEEEEEEAALGGPRSLGATFLVSRFAANALRGVQRNRDRDRNLKTGGELVNLPKPPEPDFTADAD >KVH93693 pep supercontig:CcrdV1:scaffold_2355:49243:53496:1 gene:Ccrd_004255 transcript:KVH93693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MDTPSTFLVSLLRISSRQEILFYLNHSVLDPASLVSLSSEITASDYCGRLDYVQENDLISKDEKITVGLISFRTPHTANNAYRADLPICKPLYGQQVGPYLLYVENLLLINYQCCTPGDALRVKVNKLASTKTQLPYSYYSVPYCRPDKIVDSAENLGEVLRGDRIENSPYEFQMLVPQMCNAVCRVVLNEKTAKEFKEKIDDEILDNLPLVVPMTRMEKDSPIIYQHGFFVGRKVQYAGTKEEKYFINNHLTFTVKFHKDIQTDSARIVGFEVNAFRWDTYLLMADDQVHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPPNSDLLCVYVGTGVQFFGMILIAMIFAVLGFLSPSNRGGLMTALLLLWVLMGIFGGYASARLYKTFKGTEWKTITLKTAFMFPGIAFAIFFVLNALIWGEKSSGAVPFGTMFALVFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMSSAFSILIGGILPFGAVFIELFFILTSIWLQQFYYIFGFLFIVFLILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYTIFYFFTKLNITKPVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >KVH93692 pep supercontig:CcrdV1:scaffold_2355:34530:35365:1 gene:Ccrd_004253 transcript:KVH93692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGLLPSVFFGGLMFLSMILGGSEAREFLVGGNENSWRVSTSPNHLIQWAEKERFKIGDSLVFKYDSKVDSVLKVDEEDYKKCNKNKPLKEYHDGNTTIVLDKAGPFFFISGFTGHCDKGVKLEVKVLSHKHGSIGESSPSIAPKPSPKESSPKISSPSVSTPPESPKKSDAGLNFRVDIYTVAMASMVAITMV >KVH98789 pep supercontig:CcrdV1:scaffold_2356:56751:58291:-1 gene:Ccrd_022983 transcript:KVH98789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGGGGSSDDTRTCPRGHWRPAEDEKLRQLVERYGPQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAHRVHGNRWALISRLFPGRTDNAVKNHWHVIMARKQREKSKLCDKRRYHDDLHSRNPNIDQELHQRDTNSKKYSTSISFATSYSHFMQFQNLNKDGISSWSFASPTTMLAANRSPSSGDLTTDCFSSRMHHHSLSDHFTSRNYLSSNGGYRDTSAYGHQFHNRVHFSNPIENSHETVLKRQLVSSENNLPMPVRSTAIFEQHKEEEEEAIKHKDEVPFIDFLGVGLSS >KVH98790 pep supercontig:CcrdV1:scaffold_2356:17030:19373:-1 gene:Ccrd_022982 transcript:KVH98790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MIRSVSPFENRKLYLLNGTKESTSMPTSLFNEHQIVYSSTQSYSNESYDPAKYFLVSPTEEHNSGNCLTSSYELLPAQEDTFNAFQSSLEPEYLLSDNFEGLSYDEDKMRLKLQELEKVLLDDDNGNENDDSDPSRSMEIEGDWGYSVNDNLHESPKESSSYDSYVSSISSSKEVSLNTQRAHATTPKELLFECAIAVSEGNIEEASNMINELRQTVSIDGEPSQRISAYMVEALAARVATSGNGLYKALKCKEPPSTDRLSAMQVLFEVCPCFRFGFMAANGAILEAFVGEKRVHIIDFDINQGSQYITLLQTLAKQNPKPRLRLTGVDDPESVQRPIGGLNHIGLRLKELAKSHHLDFEFNAVAANTAVIQPETLNCRQGEAVIVNFAFQLHHMPDESVSTVNQRDQLLRMVKSLNPKLVTVVEQDMNTNTAPFLHRFHEAYSYYSAMFDSLDATLPRESQERVNVEKQCLARDIVNIIACEGEERIERYEVSGKWKARMMMAGFRPCPITRNVDDTIRKLIKEYSERYTMKVETNAIHFGWEDKVLIVASAWR >KVH87800 pep supercontig:CcrdV1:scaffold_2357:6034:21871:-1 gene:Ccrd_024908 transcript:KVH87800 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGLISGILMGTIFGIAIMAGWRQMMRYRSSKRIAKAVDIKLLGCLNRDDLKKICGDNFPEWISFPVYEQVKWLNKQLSKLWPYIAEAASIIIKESVEPLLEEYRPTGISSLKFNKLSLGTVAPKIEGIRIQSLKKGQITMDIDLRWGGDPSIILGVEAAVVSLPIQLKDLQVFTVIRVIFQLSEEIPCISAVVPEPKIDYTLKAVGGSLTAIPGLSDMIDDTVNTIVKDMLQWPHRIVVPIGGAGVDTSDLELKPQGQLTLTIVKANNLKNMEMIGKSDPYVTAFIRPLEKFKTKVVDNNLNPVWNHVLQLIAEDKETQFAVFEVKLFFCLLSYRAWFYDYRIVLVLDQDIGQDKRLGIAKLSLIDLEPEIEKEIELKLLPSLDMLKIKDKKDRGTLTVKVKYHQFTKEEQEAAIEQEKKILEEKKRLKAAGLIGSTMDAIDGAAGLVGSGVGLVGTGIGTGVGLVGSGVGAGVGLVGSGVGAGVGFVGSGLGAVGSGLSKAGKFMGRTITGTSGKRSGASTPVNSVEENGGAKPR >KVH87801 pep supercontig:CcrdV1:scaffold_2357:41698:43343:-1 gene:Ccrd_024910 transcript:KVH87801 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MESTIIFILLSISTCFFYFLNSLSRRRKSGVITRLPPGPYPFPIIGNILKLGNKPHHSLAALSKTYGPLMSLKLGTTTTIVVSSREIAQEFFLKHDVSFSSRSIPHVVNTHDHDKLSMAWLPVGDQWRKLRRISKEHLFVVRQLDASHLLRKEKVQQLVDHVQGYCDNGKPVNIGQTAATTTLNVLSNFIFSIDLAQYDSRSSQDFKDLVWSLMEVGGKPNIADFFPDLFIAGTETTSSTLEWAMAELIHNPEQMSKARSELEEVIGKEDRTFQESDISRLPYLHAIVKETLRLHPPVTFLLPHKATKDVEIQGYIIPKDTQILCNLWGMGQDSNTWSDAQRFKPQRFLDVGIDYKGRDLELIPFGTGRRICPGLPLAHRMLHLMLGSLIYKFDWKIEEGMVMDMSDKFGMTLQKNLPLMAIPVKL >KVH87803 pep supercontig:CcrdV1:scaffold_2357:73323:73613:-1 gene:Ccrd_024912 transcript:KVH87803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDSNVWSDAQRFDPERFLEVGIDYKGRDFELIPFGAGRRMCPGLPLADRMLHLMLGSLIYKFDWKTKEGTMDMSDKFGFTLQKKLPLMAIPVEL >KVH87804 pep supercontig:CcrdV1:scaffold_2357:78958:82303:-1 gene:Ccrd_024913 transcript:KVH87804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLDSKHKKGPKRRFLPLQQRRHLPTLCRTLLNNLMDLITLLNDAVAAGVAVAPSIVGAGAGEAANSRTLLRGGGNSLLFLTGLGETNRKYVDALKHNECYERLSFNRVRSKARGKNLYNGVKTCVLVHGDSKTTKNSAVAGAFTGAALALTFDDFSHEQIVHGAITGAALSTAANLLTGIF >KVH87805 pep supercontig:CcrdV1:scaffold_2357:84694:84984:-1 gene:Ccrd_024914 transcript:KVH87805 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MGQDSNVWSDAQRFDPERFLEVGIDYKGGDFELIPFGAGRRMCPGLPLAHRMLHLMLGSLIYKFDWKAEEGRMDMSDKFGITLQKKRPLMAIPVKL >KVH87802 pep supercontig:CcrdV1:scaffold_2357:59258:61798:-1 gene:Ccrd_024911 transcript:KVH87802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRQDGVYDDPHLSLHFHLLLFLFYPIRPSKLGNTTMIVVSSREIAQEFFSKHDFSFSSRSIPYAAYALBRHKSSMVWLPVGDQWRLLRRISIEHLFSVRQLDASQLLRKKKVQQFLDHVHGCCNSSRAINIGQTAATTTLNVLSNFIFSIDLXEYDSVSSQDFKDLVWRFMEVGGKSNLADFFPVLRPLDPQGLLRKANLYSSKLMEIFERHISKRLQERRNRTDTTSSTLEWAMAELINNPEKMSKARSELEKVIGKEDRTFQESDIPRLPYLQAIVKETLRLHPPVPFLVPHKAITDVDICGYVIPKDAQIICNLWAMGQDSNVWSNAQQFEPERFLEVGIDYKGRDFELIPFGAGRRMCPGWPLAHRMLHLMLGSLIYKFDWKAEEGRMDMSDKFGFTLHKKLPLMAIPIKL >KVH87799 pep supercontig:CcrdV1:scaffold_2357:32604:33302:-1 gene:Ccrd_024909 transcript:KVH87799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MAPAVHLTLIALFITGAAAYTNHTVGGNAGWLFDPNTNTSSANYASWAANQTFDLGDYLIFRTNTNQTVVLTYNETTFRSCSIDNSSDSDTLIYDKGNVEFGQPLTVEVPLTLEGANYFFSSASDGIQCENGLAFGINVSHGVGLPPSLNQPPPPAYVEPPSNADGSLTPPMTIQPSGAGLRVDDNVRRAVYYALTFFAFFQV >KVH96265 pep supercontig:CcrdV1:scaffold_2358:17136:23715:-1 gene:Ccrd_001647 transcript:KVH96265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MEGPPINQHKSSIDSIKMAEPEEELEPLFDYSRVQPFDVVCLDDDDYLDVPPIPSKRRKHNVSAAVKVQEAAKVINIDEGEDNDDLDWLAPPPKVAVDKKLCENSIIKELRLKKQELMSFTESAKDMLRSVEEAVKRDLTSSMNSACENPTGKPSKPAIERPKIVISIQEKDGLKQFRIYKDDKFERLFKMYADKVKHKIESLVFCFDGDKIDPKATPSSLEMEDDDIVEVHVKSS >KVH96268 pep supercontig:CcrdV1:scaffold_2358:61518:75993:-1 gene:Ccrd_001650 transcript:KVH96268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase/chloroperoxidase, N-terminal MYRKNSDCRPLPSLHAKSELNLPSCRGLRLRVETIFFSFFQIVASLISAEEPDSSQSDVQVPCPAITTEPIFFPSLEWEMPEIQLGAHTIRSHGAKVARIHMHDWLVLLFLIIMEVVLNVIEPFHRFVGEEMMEDLKYPLQENTIPLWAVPIIAILLPLAVILIYYFVRKDVYDLHQAVLGLLFSVLVTGVLTDAIKDAVGRPRPDFFWRCFPDGKGVSSQNLMVLYPFAGSFAGLGFLSWYMAGKVRVFDQRGHVAKLCIVIFPLLVAALVGVSRVDDYWHHWQDVFAGGLLGITVASFCYLQFFPPPYDIDEVERPPKKQTPIDDLEKEGWGPHMYFQMLSESRNDTQPSNINGLNVAPLEIENVLIQSRSGMAVSDDSIHNTNSILNEMERGRRH >KVH96267 pep supercontig:CcrdV1:scaffold_2358:29763:34004:-1 gene:Ccrd_001648 transcript:KVH96267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease, conserved site-containing protein MVGSVIPIQSENGKHPQDSGHSRLNELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNSGLRFGGPVVLVYGWLVAGVFTMAVGLSMAEICSSYPTSGGLYYWSARLAGRSWAPFASWITGWYNIVGQLIQVIILLSTGGKNGGGYQASKYIVLAIHGGILLVHAIINSLPISWLSFFGQVAAAWNILGVFFLMICIPVVAKERASAEFVFTHFNTDNDAGINSKPYIFLIGLLMSQYTLTGYDASAHMVSFLFFVNLVDKCGFYGVLSILAYVFDEFWLQSEETKSADINGPKGIISSIGISIIVGWGYLLGITFTVTDIPALLSLDNDAGGYAIAEIFYQAFKSRFGSGTGGIICLLVVAVAIFFCGMSSLTSNSRMVYAFSRDGAMPLSSLWHKVNDHEVPIYAVWLSALIAFCMALTSLGSIVAFQAMTSIAVIGLYIAYALPIFFRVTLARNSFIPGPFNLGRYGVAIGWISVLWVMTISVLFSLPVAYPVTDQTLNYTPVAVGGLFIIVVSYWIVSARHWFKGPVTNVGTDNTV >KVH96266 pep supercontig:CcrdV1:scaffold_2358:53140:55997:-1 gene:Ccrd_001649 transcript:KVH96266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MENKIRNYGDEAVPYHRLRDGTGVCNEIVGTGDDSRLNELGYKQELHRGLSLLANFSVTFSIISVITGITTLFSTGLTFGGPISMVYGWPIAGLFSLLVGLSMSEICSAYPTAAGLYFWSARLCGSEWGPFASWLTGWDRCG >KVH89646 pep supercontig:CcrdV1:scaffold_2359:4160:7259:1 gene:Ccrd_008359 transcript:KVH89646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin, RAB6-interacting MATQTEKQSSEQLQTLMQAGQISGSLSFSGLMSKEDEEMSKSALSTFKAKEEEIEKKKLEVKERVQAQLGRIEEETRRLASIQEELEALTDPMKKEVSVVRKKIDSVNKELKPLGQTCQKKLVGESEKTRMKKLDELSKSIETIQ >KVH89648 pep supercontig:CcrdV1:scaffold_2359:71644:73750:1 gene:Ccrd_008360 transcript:KVH89648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MDFHLKQQWPADHHHLPSSSLALPLFSSEPTSSTSTKPSPTFPKEMMQGYFNMGQWQELEVQALIYRHMLTGSPIPHQLIHLLLNSNSTSNSSFYPLSNFPPLYQTGGGYWGRGGMDPEPGRCRRTDGKKWRCSKDVVGGHKYCERHIHRGRNRSRKPVEIPTPTTSTVGGGGGGDYGGGVVKKSNISSYAAATTTATATGSLCGAQPYTTAGGGSSDCGGGLSGHSSFFDQLHLNQRISKTTVDNKGSTYRSSENDNKSSDGRILRPFFDDWPRTVQQQEMLATSLSISVQGDTPSDFSLKLSTGNGGDMGRRGLESNEERERGRLNWGMQWGTHHGGSMGGPLAEALRSSSTSNSSSPTSVLHQLQRGSTTTTSGTSYVST >KVH89647 pep supercontig:CcrdV1:scaffold_2359:76670:77091:-1 gene:Ccrd_008361 transcript:KVH89647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAFQSNISCSEFDIDAIFVS >KVI11830 pep supercontig:CcrdV1:scaffold_236:153019:153312:1 gene:Ccrd_009757 transcript:KVI11830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine zipper, homeobox-associated MGHIYGNRTKLKQIEQECELLKKCCETLGDENRRLKKELEEARSCCSLKFDHRHQPPLPLYIQYPSTAAMRHPCDKKGKSDEDTKRVAVVNGGKQAP >KVI11837 pep supercontig:CcrdV1:scaffold_236:53263:56706:-1 gene:Ccrd_009750 transcript:KVI11837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MMLIRQFKSPPPCNFPISTSNSTTPFQNHDNNVRFSIKNNNGSSSSISYTDSTSKRSNLDDGSANPSSLMSSSKSPPYPGGIGPFTGRDPNVKKPGWLRQKAPQGDKYQEVKDSLSRLKLNTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSKNPAPPDPMEPQNTAEAIVSWGVDYIVLTSVDRDDLPDGGSGHFAETVKAMKPEIMVECLTSDFRGDLEAISMLANSGLDVFAHNVETVKRLQRIPTPLHLTVKEYVTPEKFDFWKDYGESIGFRYVASGPLVRSSYRAGELFVQTMVKERSKKS >KVI11829 pep supercontig:CcrdV1:scaffold_236:168666:172819:1 gene:Ccrd_009758 transcript:KVI11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MPRLLQAAKDYFNGKLQTISYFSFRTLKEASRNFHEGNLLGRGGFGPVYLGKLQDGRLVAIKKLSLDKSQQGESEFLAEVRMITSIQHKNLVRLLGCCSEGPQRVLVYEYMKNRSLDLIIYGQSKQYLSWNARFQIILGIARGLHYLHEESHFRIVHRDIKASNILLDANFQPRIGDFGLAKFFPEDQAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRRNTDLSLPSEMQYLPEYAWKLYERSQMVDLIDPRMQKDGFVVKDVMKTVHMALLCLQPHPNIRPAMSEVVAMLTWKVEMVKSPLKPTFLDRRQRTKDDEVSWETISADFPSPLETESPSLTQPPNSRDFDASESFSMKKAEPV >KVI11819 pep supercontig:CcrdV1:scaffold_236:32573:36501:-1 gene:Ccrd_009748 transcript:KVI11819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring-hydroxylating dioxygenase, alpha subunit MATMMMMMKPIGFQFSDLKKLRNPYPNSPLIRRSHEPNHGLLQMSQDLDRSLVHEFNPSIPIQEALTPPSSWYTCASFLSLELNQVFFKGWQAVGCTHQIQEANSFFTGRLGNVEYVVCRDENGELRAFHNVCRHHASLLAYGSGKGSCFVCPYHGWTYGLDGRLLKATRITGIKNFNVKEFGLVPVRVAIWGPFILLNLERKGFDQQNCDDNVGMEWLGSTSEILSTNGVDTSLSYLRRREYTIECNWKVWFLNSISHLMVVIMSLSHIKILHQVLSSTPIPPPYAPYYLNLRLPYLHFVYGPWMDTNLVLPLGPRRCKVIFDYFLDASLKDDEAFVAKSLEDSEQVQMEDIMLCESVQRGLESPAYDNGRYAPMVEKAMHHFHSLLHQHLIK >KVI11828 pep supercontig:CcrdV1:scaffold_236:193904:195106:-1 gene:Ccrd_009759 transcript:KVI11828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGYKSPWPTPLMIFIVFSSSTITTSFLSNPPPPPSPSPSPRSSPPNSALKPSMTIIVGVLTTIFSITFLLLLYAKHCRRSHNGYPPGGDVMAIRATDRENSGIDRTIIESLPVFRFGSLSGQKDGLECAVCLSRFDRSEVLRLLPKCKHAFHIECVDTWLDAHSTCPLCRFRVDPEDIFLVLGENEISRDIGRSPEVKPEEDSEVALRRVSGRHSSAEERGTGLRISVESSSAAAAVGGNRRSLDSWNSKKKTAKKMKKKEETAPLKAVCIDEHRKDGLLLAAEEERRRSFEKRFEHRIVVGAQRWSDVQPSDLLYLRSEMILSDVRRLKRSRPSVLHEGNNGWWQSGRDVINSRSVSEMTGLSRFGSNEVLSRWLAWKSEPKSKPPVLHSEPNSCSSNV >KVI11835 pep supercontig:CcrdV1:scaffold_236:91614:95056:-1 gene:Ccrd_009752 transcript:KVI11835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MITGKDIYDVLAAIVPLYVAMMLAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNNPYKMNYHFIAADSLQKLVILSALFVWQWLSKTGSLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGSLMVQIVVLQSVIWYTLMLFMFEYRGAKLLITEQFPETAGSITSFHVESDVVSLNGREPLQTDAEIGDDGKLHVVVRRSSTASSRSVISSYNKSHNITGITPRASNLTGVEIYSVQSSRGPTPRASSFNQSDFYAMFSKAGSPKHGYTSSFGGGDVFSMQSSKGPTPRTSNFEEEMLRIGKKRTGGRSMSGELFTNNMNGNNNGLVCSYPPPIPNPTFSLGSTSAAGGSGAPKKKEGGVGGGSGGAPPPNNKNASPMTKTYGEQKEVDIDEPKLQINGSPFKKLGTEEAENKNHRMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLIWSLVSFRWDIKMPTIVSGSISILSDAGLGMAMFSLGLFMALQPKLIACGQRVATFSMAVRFLTGPAVMAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHADILSTAVIFGMLIALPITILYYVLLGV >KVI11823 pep supercontig:CcrdV1:scaffold_236:223897:240598:1 gene:Ccrd_009761 transcript:KVI11823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MSKAGGCSACGDWVVHGFEEQRTAVKRVLVVTVVVEEGEEEMVCSLGGARRRGILAIGGICSVAYWDGLDQAVRTYSGPPKGPEFHAAGQLVRQKTIALKSGALRHDIHYWLGKDTSQDEAGAAALKTVELDAALGGRAVQYREVQGHETERFLSYFKPCIIPQEGGIASGFKHAEPEEHKNHCQYGFSWYLNLLCWRQVPFARSSLNHDDIFILDTANKIFQFNGSNSCIQERAKALEVVQHIKDTYHDGKCDIATVEDGKLMSDAETGEFWGFFGGFAPLPRKTATDDAKNADALPTQLFCVEKGQVELVAADSLKKELLDTSKCYLLDCGAEIYVWMGRGTSLDERKAASGAAEEYLRSQDRLKSHIIRVIENFETVTFRSKFEAWPQSTEVAVSEDGRGKVAALLKRQGVNVRGLLKAAPAKEEPQPYIDCTGHLQVWRVNGQDKTLLSVPDQSKFYSGECYIFQYTCPGEDQDECLVGTWFGKQSVEEDRNSATSQANKMVESLKFLASQLQIYEGSEPILFFAIFQSFLVLKGGLSDGYKNFISGKELPDETYKEDGVALFRVQGSGPENMQAIQVEPVASSLNSSYCYILHRDSSVFSWIGNLTTPEVQELVERQLDVIKPNMQSKLQKEGSESEQFWEILGGKCEYPSQKIARDAESDPHLFSCTFSKGDLKVCNFPFLVHNGTLIEEAVTEIYNFNQDDLMTEDIFILDCHSSIFVWVGQQVDQKIKTEALVIGQKFLERDFLLEKLSLETPIYIITEGSEPQFFTRFFTWDSSKSAMHGNSFQRKLSILKNGGRPTLNNKPKRRTPVSHGGRSVATEKPQRSRSVSFSPDRVRVRGRSPAFNALASTFENANARNLSTPPPLVRKPYPKSGATDSASRPKSPPKTDSNSKENLMSSKMEALTIQEDVKENEVEDEEGLTLYPYERLTTVSTNPAADIDVTKRETYLSSAEFRDKFGMTKEAFYKLPKWKQNKLKMALQLF >KVI11832 pep supercontig:CcrdV1:scaffold_236:138994:140047:-1 gene:Ccrd_009755 transcript:KVI11832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF872, transmembrane MAYVDHAFSISDEDIMMDSDSVYTSHNRPPIKEIALAVSLLVFGIVGIVSGIFMAINRVGGDTGHGLFFAILGGILFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >KVI11826 pep supercontig:CcrdV1:scaffold_236:242164:253555:1 gene:Ccrd_009762 transcript:KVI11826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MMDEMSTIENKSIEITKGINGLDKVILREIHGSTVEVYLYGGHVTSWKNEHGEELLFLSSKAIFKPPKAIRGGIPICFPQSWTPASLMQFSNLGSLEAHGFARNRVWIIDNDPPPFPPTVTNRVYVDLLLKPTEEDLKIWPHSFEYRLRITLGPGGDLMLTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLKNRERYTEQGDAITFESEVDKIYLSTPTKIAILDHEKKRTFVIRKDGLPDAVVWNPWDKKAKTIADLGDDDYKHMLCVEAAVIEKPITLKPGEEWKVRQELSAVPSSYCSGQLDPNKVCGESKKLMPALL >KVI11820 pep supercontig:CcrdV1:scaffold_236:48591:50129:1 gene:Ccrd_009749 transcript:KVI11820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMMKGEQMMMMKVDYSKSRRKQFGNHHKKLPSSFSSSSPPSPSSSSSSQKTMGAETASDKQEVVDLSAMSLDTLPITSNLNLSIILILDISNNNLQVIPESLAARLTNMVVLDVHSNQLKTLPNSIGCLAKLKTLNVSGNHLQSFPKTIENCRALEDLNANFNQLTTLPDTIGFELINLKKLSVNSNKLIYLPTSTSHLTDLRHLDVRLNRLRSLPDDLENLINLEILNVSQNFQYLDKLPYSVGLLISLVELDVSYNKITTLPDSMGCLKKLQKLSVEGNPLVSPPPEVVEQGVQAIRNFMSEKMTGAHQNSPKKKSWIGKLKKYGTFNGLRMTPEREGYIMPNYRTIDGLASPRYMGMFSPRRIFSPKTYFSR >KVI11831 pep supercontig:CcrdV1:scaffold_236:143190:148295:1 gene:Ccrd_009756 transcript:KVI11831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin WWRGGVVRKLSGSRSIFADLVLTSKVEPTTSKSPQDQCRKMARSAAVSSIFIILLMITAIVMCDAEASSVDFVKKTVSSHSIVIFSKSYCPYCKRAKGVFKELNKKPYVIELDEREDGWKIQDALSELVGRRTVPQVFINGKHLGGSDDTIEAYESGQLAKLLGIDSGHKTDL >KVI11824 pep supercontig:CcrdV1:scaffold_236:337452:341961:1 gene:Ccrd_009764 transcript:KVI11824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIHKQQPIPPPVIGKIGYYTVFVTPTPKPSSESSPVRDSDSQKKKNCSPVQPPPLQYDKTASSYASNFGFFWDAVAKLQNAHSNLDDYLAHWFGLNQSKYQWALDDYYENESMEKVERTAKDIPNKAQK >KVI11836 pep supercontig:CcrdV1:scaffold_236:70697:75254:1 gene:Ccrd_009751 transcript:KVI11836 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MLGGKTMFSFLTRKDVRKILKRKDSDAGEKGRAMEELRASLFSKLRRQHQSLLGPTLALTFNFVASVLVKVGFNYPIFLTFIHYVCSWLIMALLKAFSLLPPPPSSKTTKFSSLLGLGIVMSLSTGLANVSLKFNSVGFYQMAKIAVTPAIVLAEFMLYRKRISFRKVLALTIVSIGVAVATVTDLQFHFFGACIAVAWIIPSATNKILWSNLQQQESWNALALMWKTTPITLFFLVTMMPSLDPPGVLSFDWSFYNSSIIGASAVLGFLLQWSGALALGETSATTHVVLGQFKTCVILLGGFIMFGSNPGSTSICGAVTALAGMSFYTHLNLRPQQQPMKTSPRQASAFSLPKSKLSKENGDTHDHGNHGDESV >KVI11825 pep supercontig:CcrdV1:scaffold_236:343520:356980:1 gene:Ccrd_009765 transcript:KVI11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKLFNYDSRFISVTIADFLENMEREKPIRFTSQHLKTTTDNFTFLLGSGSFGTVYKGVFSNGTTVAVKVLNGTSDKRIEEQFMAEVSTMGRTHHFNLVRLYGFCFESSLRALVYEFMINGSLDNHLFKANKGDILGFEKLNEIALGTARGIAYLHEGCHQRIVHYDIKPGNILLDSTFCAKKCDVYSFGMLLFXIIGRRKNMDASLDESKEWFPVWAWDKYEKKQLKDLMMVCVVEEKDEEVVERMVKVALCCVQYRPENRPMMSVVVKMLEGGLDVSDPPLNPFLHLKSGVGEMMVCVVEEKDEEVVERMVKVALCCVQYRPENRPMMSVVVKMLEGGLDVSDPPLNPFLHLKSGVGELLVIAIDASSHHGRITYACAERIKKHYDSRMRKSRDLCMKSIVPLXCCQHVGSPTLAGVVVVKIGIIAYVCNRRSNMRKDPYVEDSRFIPLTMVKFLDDMEREXPIRFTSQQLRIATENFSILLGSGGFGTVYKGIFSNGTAVAVKVLNGTSDKRIEEQFMAEVSTMGRTHHFNLVRLYGFCFESSLRALVYEFMINGSLDYHLFKAKKGVIIGFEKLHEIALGTARGIAYLHEECPQRIVHYDIKPGNILLDSNFCPKVADFGLAKLCNRDKTHITMTGGRGTPGYAAPELWLALPVTHKCDVFSFGMLLFEIIGRRRNMDETLGDSQQWFPIWVWDXYRLNQLKELMRVCAIEDTXEQVVERMLKVALCCVQYRPDTRPVMSIVVKMLEGALEVPEPLNPFSHLFPGVSEVGDSLARTAWNVDWSSSE >KVI11821 pep supercontig:CcrdV1:scaffold_236:20564:34820:1 gene:Ccrd_009747 transcript:KVI11821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKTGILAAHNRFHTIFVVGLKGYCSGSFELLQTALPDKFPPFFSISSESFACVITGAISPATITGFLTPMSSGGSPYRRHRKDVESGGSGGFQDYGSVCDPFDIVSTKSASVDRLKRWRQAALVLNASRRFRYTLDLKKEEEKKQIIAKIRTHAQVIRAAYRFQAAAGSEPEGAQKAPPSPIPIGDYNVSPEQLASMTRDHDFSALQNFGGVKGVAEKLKTNPDKGIHDDESKILERKNVFGSNTYPRKKGRSFWRFVFDACRDTTLIILMVAAAASLALGIKTEVSNQHLSISVISFLVDSLDEVMRGGRRVNISIFDIVVGDVIPLKIGDQVPADGILISGHSLAMDESSMTGESKIVHKDHKSPFLMSGCKVADGYGTMLVRKMLYLVALAIISAFSLSFPKALAATSVGINTEWGLLMASISEDNGEETPLQVSSQSLLLIFLISREKNSFKVEKLCKGLKHIVIKMIFYTTQVRLNGVATFIGIVGLVVAVFVLVILLIRFFTGHTRDAERRVEFIAGKTSVGDAVDGAIKIFTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNKMTVVEAYICGKKIDPPSSKSELPSRVVSLLIESVAQNTTGSVFLPEGGSDVEISGSPTEKAILQWGVKLGMNFEAVRSESSIIHAFPFNSEKKRGGVAVKLDSVKIFFCSLIFPQPDNEVHVHWKGAAEIVLAACTRYMDDNEQLVALDEAKVEFFKKAIEDMAAGSLRCVAIAYRPCEGETVPTDEDELAQWELPEGDLVLLAIVGLKDPCRPGVREAVELCVKAGVKVRMVTGDNLQTARAIALECGILASNADATEPNLIEGKSFRALTEGQRLEVADKISVMGRSSPNDKLLLVQALRKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRHPVGRREPLITNIMWRNLLIQAFYQVSVLLVLNFRGRQLLHSEHDPAEHAIKEKNTLIFNAFVLAQVFNEFNARKPDEMNVFKGVTKNRLFMGIVSLTVVLQVLIIMFLGKFTTTVRLSWQLWLVSIVIGIISWPLAVVGKLIPVSEKPFSEYFTDIFASCRRRGNRGGYEDDA >KVI11834 pep supercontig:CcrdV1:scaffold_236:105544:106919:1 gene:Ccrd_009753 transcript:KVI11834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing protein MFECFTEKAIKVIMLAQEEARRLGHNFVDSSSSKQQEFTITAAVSLCLKLQLHILKSTGAAKQLSRLIVCYCFEKTSSQQQQPLLFKTRYICFETANPAAAKCAASAGPFLICNSKYKTAAGFGTDCAEQQQPSSCCYNCSSTDLHYQAGGKKQQQQFKYTLLSSHTNVQISQVYAGLIIFGFVKLAQRS >KVI11833 pep supercontig:CcrdV1:scaffold_236:129126:130563:1 gene:Ccrd_009754 transcript:KVI11833 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAVDFVGIQSAEHLNRIFHLNNHDFALSSNFKQAVSALNRTGHARFRRGPSPSPSSSDSHAPSTSTQSAPVFIGSTGASNECSFSKSVTDTTTSSRSTNSSSLISPLIAGEEGSVSNGKQFPCLGIVAPAPAFSSRKPPLPSSHRKRCRADRPSVSLQGSGSDNHSVSRSGCHCCKRRKIASKREIRRVPITGSKVASIPADDFSWKKYGEKKIDGSPYPRVYYKCNTGKGCPARKRVELAIDDPKMLLVTYDREHIHHQAPTPILTGLTGLVVQSK >KVI11827 pep supercontig:CcrdV1:scaffold_236:255420:264593:-1 gene:Ccrd_009763 transcript:KVI11827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGGDKALSLEEIKNETVDLEKVPIEEVFEQLKCNREGLSSDEGATRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKILTLCGCKEDLKKKVHAMIDKFAERGLRSLAVARQEVPEKSKDSPGAAWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGGHKDASIAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWIMKDTNFFSDTFGVKSIRNSEVEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGLLLLGAFLAAQLVATLIAVYAEWGFARIKGVGWGWAGVIWLYSIVFYFPLDLMKFAIRYILSGKAWLNLLENKTAFTTKKDYGREEREAQWALAQRTLHGLQAPETSNIFNEKSSYRELSEIAEQAKRRAEVARLREVLTLKGHVESVVKLKGLDIDTIQQHYTV >KVI11822 pep supercontig:CcrdV1:scaffold_236:217288:223475:1 gene:Ccrd_009760 transcript:KVI11822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin/Gelsolin MNALALLGTPYQFQAVALESHVLKGIEVWRIENFKPVVVPQSSYGKFFTGDSYVILKGTRGIRGKKEKRRWSRGEKEHDGHGAGDWLDRKCDDLHQPNRAMARI >KVH87798 pep supercontig:CcrdV1:scaffold_2360:33994:38596:1 gene:Ccrd_024915 transcript:KVH87798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MERTHHSPYTNHQTTSTPQSNHTYSDSGEGCEVEDKWAPRLLRECARAIYVKDSAKINHLLWMLNELSSPYGDCDQKLASYFLQALFCKATESGPRCYKTLVSVADKSHCFDSARKLILKFQEVSPWTTFGHVASNGAILEAFDGETKLHIIDISNTLCTQWPTLLEALATRSDETPSLKLTVVVTASLVRSVMKEITQRMEKFARLMGVPFEFNVITGSNRLLGQLTKEELGVHEDEAIAVNCIGALRRVEVEEREDVIRLFSSLKPRVVTIVEEEADFASSRSDFAKCFEECLRYYTLYFEMLEESFTPTSNERLMLERECSRSIVRVLSCDDTQNIGSGDCERREKGNQWCQRLKEQFSPVGFSDDVVDDVKALLRRTRLWDKYQKCGDKMPQHNTQAVE >KVI08040 pep supercontig:CcrdV1:scaffold_2363:19245:21812:-1 gene:Ccrd_013594 transcript:KVI08040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRHAVTIPMFPFKLLAFNFSSIANELLQKCNSLNKVKLLHQQLIVKGLTTSYPTELPKLVGMYVSCEAPSHALAILSCLHPSPSCVFWWNSLIRRAVRLHLPHQALELYHNMQSLGWRPDGYTFPFLLKACGELPSFRHGASLHGVVCILGYVNNVFVGNSLVAMYSRCGDLDGARQVFDEMIHSGIADIISWNSIVAAYMQSGDSKKAVQMFYRMTTYGERESRLCPDAVSLVNILPAFASVLASLQGKEAHAYALRTQLIEDLFVGNAIVDMYAKCGLMDDAHKVFVQMQVKDVVSWNAMVTGYSQIGRFEDALALFQNMRQEKIELNVVTWSAVIAGYAQRGXGYEALDVFRKMLVSGSEPNVITLVSLLSGCACVATLLQGKEIHCYAIKQILNIKSNDLGDEQMVINSLIDMYAKCKAVDLAYKIFDSVAQFNRNVITWTVMIGGYSQHGEANDALELFSEMLKQNDYKXTMPNAFTISCXLMACARLAALRLGRQIHAYVIRNRYESDVLFVDNCLIDMYVKSGDLDXXRIVFDNMKQTNVVSWTSLMTGYGMHGRGVEALQLFNEMRNXGLLIDGVTFVVVLYACSHSGLVDQGLNYFDAMTSEYGIVPGVEHYACMVDLLGRAGHLEKAMELIRGMPMEPSPIVWVALLGSCRVHTNVELGEYASRKLLEIGCENDGTYTLLSNIYANASRWKDVARIRYSMKDSGINKRPGCSWVQGKMGTATFYVGDKTHPLSEEIYXTLADLIHRIKAIGYVPDTSFALHDVDDEEKGDLLVEHSEKLALAYGILTTAPGAVIRITKNLRVCGDCHTAIIYISKIIEHEIILRDSSRFHHFKDGCCSCKGYW >KVI08041 pep supercontig:CcrdV1:scaffold_2363:45437:70142:-1 gene:Ccrd_013595 transcript:KVI08041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MAFFRNYTNGTVSEDVLNDKSQHGSIDRADNNVGNDDLDATSSLDKEFETKMEDQQYGSDGEPYAVSRLQDDPAAGDDGGLSNLQASTTKKAAGRWGSTFWKDCQPMHQKRGSESMQESKSSSGYKNEEGSENDLSEVDKAHKGQNVDEMLSDDYYEQEEDDQGDPLHRKLLNNTDGYSSKSRSGLIAASTNNFMPEKKSKASYGGEYEDDDAYFEDEEDDVDEDDPDDVDFDPDLGTTTVGRGNKEHDEDWEGGDLDEVDNSDDDELDISDGDDDDFYKKPRGMQRRKGGRSSVKSSSEPKLSTFSNRRKRGRTSFEEEYSSANDSEDDDEEGFKSTGRRSGHIRKKAGGRSIPTKGPGSNNEVRTSTRSVRKVSYAESEESEEHDEDIKRKRQKEETEEEDGDSIEKVLWYQPKGMAEEAVRNNKSTEPLLLSHLFHSEPDWNETEFFIKWKGQSHLHCQWKSFSELQTLSGFKKVLNYTKKVMEDIKYRRTVSREEIEVIDVSKEMDLDLIKQNCQVERIIADRISKDGSGIPEYLVKWQGLSYAEATWYVDGVAFLFGRSLLILLLPRQRLMNIRSSNFQFWKQAREVALTAVQVKLVDFQRRKSKDNITSTLSHKKTVYCCSFNSLKKLNDCYYVELLHSELLAFGYASLRKLEEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPEMNVIIYVGTRASREVCQQYEFFSDKKTGKGTKFDALLTTYEVLLKDKTVLSKINTKNKLLITGTPLQNSVEELWALLHFLDSDKFKSKDEFVQNYKNLGSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERVLRVEMSPLQKHPLIFRYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSSTTGSSKLERIILSSGKLVILDKLLDRLHETKHRVLIFSQMVRMLDILAEYLSFKGFKYQRLDGSTKAEVRHQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKASTFDKNELSAILRFGAEELFKEEKNDEENKKRLLSMDIDEILERAEKVANFCSAEDDGSFWSRWIKPDAVSQAEEALAPRAARSSKSYAEAIPSERVNMRKKKGVESQDRAIKRRNADYSGYLPPPLEGASAQVRGWSYGSLPKRDATRFFRAVKKFGNDSQISLIAAEVGGSVEAAPVEAQIELFDSLIEGCREAVNVGSLDPKGPLLDFFGVPVKADDLLSRVEELQLLSKRISRYNDPISQFQALMYLKPATWSKGCGWNQKDDARLLLGIHYHGFGNWEKIRLDEKLGLLKKIAPVELQHHETFLPRAPQLKERASQLLEMVDVLIEERKTLERLHRLQTTSADLPKEKVLSRIRNYLQLLGRRIDQIVIDHEEDPCKQERMTTRLWNYVSTFSNLSGGKLHQIYSKLKQEGSSSHINTRGESRILNKGGLDTAKFEAWKRRRRSEGEFHRPSNNGNGTVIPDPSSGILGPPPSDSNRQRHHPGFGPRPGVK >KVI08039 pep supercontig:CcrdV1:scaffold_2363:11627:11827:-1 gene:Ccrd_013593 transcript:KVI08039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQKLVDGEDLPHLASVEAYGQVALYKEALVTFSTMNELGSNSTIGTFNSLIDVFAMGGLYKEYEQ >KVH97346 pep supercontig:CcrdV1:scaffold_2365:13875:15527:-1 gene:Ccrd_000549 transcript:KVH97346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDDLIVSPSSSSSMVSFPTTTTPRPSDTIQQKLQNLLQNQSQPWAYAIFWQTFNDDSNGCVSLSWGDGHFQNNNIDVPTTTLPSSAASTTFLSEPDPDPDCRKSVLREIQALLGPENRDDAEWFYVISLTRSFTPGDGSAPGTAFGSNSMIWLSGVDQFQSFNCERTKEAQIHGFETLVCIPTPNGVVEMGSYNVIEESWNLAHQVQSLFGGGSTSSSSSPPAPLPNLFQHKHENNATIHPLKLNTHSDNHQNIISFADMVLMAGGLQEEEGMNMIEFESTTPDDQMSKNIGKLCMNRNTSTAPTTTNAYLETASEHSDSDCQLVLATSTEKRVQKKKGKKTGGRYPPVNHVEAERQRREKLNQRFYALRSVVPNVSRMDKASLLADAVCYINELKGKVEYLESQLHRRNSTTQGKTKKVKLESADTIDNHHLQSNSNTCSLYQTTRMSNKPSSRTISMNKTTSKLNNSSSFGEVEVKIVGEDAMIRVQSGNAGLPAAKLMDALREMKAQIQHASMSCVNEIMLQDVVVRIPDATDEEELKSDLIRRLDR >KVH97347 pep supercontig:CcrdV1:scaffold_2365:71406:73028:1 gene:Ccrd_000550 transcript:KVH97347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDDLIVSPSSSSSIVSFPKTTIPPPSDHTLQQKLQHLLQSQPQPWAYAIFWQTFNDDSNGCVSLSWGDGHLQSNNDLPITTLPSTSGGSATFLSDLEPDSRKSLIREIQALLGPDNRADAEWFYVISLTRSFTPGDGSVPGTAFGSNTLIWLSGVDQLRSFNCERAKEARIHGLETLVCIPTPNGVLEIGSHHVIEESWNLAHQAQSVFGGVSSSSSCSPPDSLPNFFLHKLHLDNSSTAQPMNNLNDDHHNIISFADMMFMAGGLQEEGMNTIDFESTTPDNQMSKNVEKLYINKSNTTAATTMNTYAETASEHSDSDCQLVLATTERRIQKKKGKKPGGRDPPVNHVEAERQRREKLNQRFYALRSVVPNVSRMDKASLLADAVCYINELKAKVEYLESQLHSRINHQGKTKKMKVEVADTADNPLQTSDTYSLYQSRVSTKPILKINNKATIKNNISGFGEVEVKIVGEDAMIRVQSGNANLPPAKLMDALREMKAQIQHASMSCVNEIMLQDVVVRIPGAIDEDELKTDLIRRLDR >KVH87797 pep supercontig:CcrdV1:scaffold_2366:29224:36260:-1 gene:Ccrd_024916 transcript:KVH87797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKLCTIFLVLEKKTVGGFVQGCGDGFRLQESTASVFVPFSPVLSSIVPVLAGALLRRPCCPSSYQHRRLLSSHSTFSSFQFFYSIQSSPGSILHLCSQYYVSALEIKPWLTDFPGKVVGVSISSQAPSAKVAPVKEAAKVCIALEDDNLHLIILDMMCIKYNVWTSTPNGNQKLNSASEETSKFDFFNALNKKRRT >KVH89305 pep supercontig:CcrdV1:scaffold_2367:34022:35765:1 gene:Ccrd_008708 transcript:KVH89305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1005 MDPQAFIRLSIGSLGLRYPETHQKSTKPGIHAFSSPCTCEIRLRGYPPQIATIPLLSSPEATLDSHNIASSFYLEKSDLKALLEPGCFYTPHACLEIVVFTGRKGSHCGVGVKRQQVGTFKLDVGPEWGEGKPIVLFSGWKGIGKMKQETGKFVAELHLRVKLDPDPRYVFQFEDETKLSPQIVQIQGNIKQPIFSCKFSQDRVPQVDPLNNYWSSSGDDLDQEPERRERKGWKVKIHDLSGSAVAAAFMTTPFVPSTGCDWVARSNPGSWLIVRPDAFRPESWLPWGKLEAWRERGSIRDSIFVRFHLLSDGQDGGELLMSEILINAERGGEFLIDTDRQASSNSNIPSPQSSGDFAGLSPAAGGFVMSCRVQGEGKRGKPVVQLAMRHVTCVEDAAIFMALAVAVDLSIEACRPFRRRMRRGNRHSW >KVH89303 pep supercontig:CcrdV1:scaffold_2367:75256:79780:-1 gene:Ccrd_008710 transcript:KVH89303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MVFKSFLLLPFLLVLGVVSADSSFKEEDALKHVKNSITDDPTGALLDWNPDSTHHCNWTGIQCDDVSGLVVSISIQEKQLKGQISPFLGNLSSLQILDLTSNSFTGIIPSQLEFCTQLSTLSLYANSLSGLIPSELGKLRNLQLLDLGHNSLTGSIPVSLCNCTSMVQLSLDENKLNGTIPDRIGDLINLQLFGAFNNLLQGSFPTSVGYLKELQALDFSQNQLSGTIPREIGNLSSLQVLQLFENSFSGKIPSELGRCTNLTVLNLYSNKFIGAIPDELGNLVDLQSLRLYDNRLNSTIPVSLFRLKSLLVLQLGRNNLSGKVSSDIGLLESLQFLTLHENKLTGEIPESITRLVNLTYLTISLNFLTGTIPSRIGSLHNLKNLSLSNNLLEGSIPSSISNCTNIRLIDVSRNRIFGEIPKGLGKLSNLTFLSLGNNRLSGRIPDDLFDCLNLKTLDVAHNNITGFLNSRIGRLSNLQILQIHDNSFFGPIPKEIGNLTSLMLLNLGKNRFSGTIPVEISKVSLVQSLLIGDNILEGQIPEEIFELKQLIELYLMNNKFVGSISPSFSNLELLSRLDLSGNRFNGSIPDSLMKLNQLISIDLSHNFLMGSVSGPLISSMKNLQMYLNLSSNFLTGKIPNELGDLEMVQAIDFSNNNLSGGIPITLQGCRNLRSLDFSGNQLSGTLAADIFLPLDELTSMNFSSNLFDGEIPKSLANLTRLISADLSENKFTGQIPESFGNISTLKHLNLSFNRLEGRVPNTGIFRNASAVDLLGNPSLCVSNDTKSCASSRSNKISRKAVLILAILGSLALLLVSILAVLCYRHVGKPKVKQSENPEPEYTRGSILKRFDRKELENATANFNEGNIIGTSSLSTVYKGTLEDGKMIAVKNLNFIQFSAESDRSFNREMKTLSKLRHRNLVKVLGYAWESGKLKSLVLEFMENGNLDRVIHDSTIDRSRWDLSERVEVLVSVSRGLAYLHSGYDFPIVHCDLKPSNILLDGKWEARVSDFGTARILGVHKQDGSSISSGSAFEGTIGYLAPEFAYMRKVTTKVDVFSFGIIVMEFITRKRPTGLTEEDGLQITLPQLVDQAVSGGINELIEIVDPDLNSNFSTKQGVIEQLLKLALCCTRMDPEDRPDMNEVLSSLSKISKKV >KVH89306 pep supercontig:CcrdV1:scaffold_2367:16495:19253:-1 gene:Ccrd_008707 transcript:KVH89306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGTEDGSPPHQRWSCKWRRGMRRWPTVLSRKKDFDGDQMAVHVPLSADARSEARIYIR >KVH89304 pep supercontig:CcrdV1:scaffold_2367:68554:74060:1 gene:Ccrd_008709 transcript:KVH89304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MKFKLKINQLHLNYLAMASDVGKLSGWCSGVLLLYLPKWIVLFMAAFIGLFAYGLQWLIIQRAISLPYFVVFFLCSSAGASITWFNTVCFLLCIENFPENWPLAVSLSVSFNGVSAALYNIIVKKLSPNNKNTSYLILNAVIPLITAIAALVPILQQPGSQKELQIDENVNKDDAYIFVCMYILAAFTGLYLYFVGPASQNIFVVALLLVVLPLLLPITVYSLKRVYLALQSKKHPVEGPSYNLVETKHQQLEAPSYSLVEMKHEEEPSEESSIFNDNLCGFHGILAKDRLRVLGEEHTATYLVTRCDFWLYYIAYFCGGTIGLVYNNNLGQICQSLGYISETKALVTIYSTCSFLGRLFSAAADLVGCFYHQTHMYTARTGRLTLAIVPIPIAFLVLVLSDSKIALHTATGLMGISCGFLISTAISITSELFGSKSSGINHNILITNIPLGSLLYGLLAALIYDSNIDSSNEEVVDGSKVCIGRRCYNETFGLWGCISFVGFASSFLLFLRTKAAYEEYYNRRNRIPEEGKILLQDDDECLI >KVI04589 pep supercontig:CcrdV1:scaffold_237:27615:28104:-1 gene:Ccrd_017093 transcript:KVI04589 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase, chloroplast chain 5, C-terminal HLNVHFQNYSRKKNIAFYSISLWGKEGSKIVYKTDENTYSYPYESDNTMLFPILILIIFTLFVGFLGIPFSQYMVNLDILSKWLTTSINLLYKNSNNSIDWYEFCKYAVFSVSIASFGI >KVI04587 pep supercontig:CcrdV1:scaffold_237:269632:270544:-1 gene:Ccrd_017095 transcript:KVI04587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSITLGFITDRSSPSPAPTAREYCQRSIERTLSSPNGQSMLGRSLSSRRTIFSLLLLLLPPFALKPINGFKTSNGQSMFGKSVLATDLVQEFHFNLYMYVLIVWIVNSILKRFKLPLHDSGDSILYWNRVVLKLSNLTMISESVPEFKLISKTAEKKIKDAGVL >KVI04588 pep supercontig:CcrdV1:scaffold_237:120723:125060:-1 gene:Ccrd_017094 transcript:KVI04588 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA ligase/cyclic nucleotide phosphodiesterase MEALIASYGGTSDSETNVVSPIAASEHDQSLPVPALPSPPIELLNPPNSLGTFDYLERNTASRIRSFPHIKGNYALHVYIPVFIPSGRKEELAHFMKKVTSIIPGLHVVDIDVPLLMLLKDEKLVQAALGREFHISLGRTVPIRVHQIDSVVTMLRQKLQLQNPYLIDFNKWEVFVNDDRTRTFLSVENTSGGLVEVRKQIQSVNEVYKLHNLPEFYKDPRPHISIAWVASDISQSLKRVVEAENGKYINVGGSSKRCMFTCKFSSIVCKIGKKTYNICGSQGQ >KVI04590 pep supercontig:CcrdV1:scaffold_237:1288:26217:-1 gene:Ccrd_017091 transcript:KVI04590 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MALPAIGAVFIRTLIPSDSRRRVLAADSKCIENAIRCGGLAPTKASCIKNMLSCLFEMRGELCLEYLRDLSVDEIKMELSQFKGIGPKRYLQILMLLFKNHYVACVLMFNLQQDDFPVDTHIAKAIGWVPIEADTKHVISCFVAPIPGQHFMVPAPPQFIQGGGSGQNV >KVI04586 pep supercontig:CcrdV1:scaffold_237:26635:27359:-1 gene:Ccrd_017092 transcript:KVI04586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFVAEVIKSVVVGGITKIPYIHNGSRTGSKRSETANSYRAIETGPTSNQSCIHYINRKQPSKIIQYDGMNTIPRQIHGNTPL >KVH96549 pep supercontig:CcrdV1:scaffold_2370:13511:15396:-1 gene:Ccrd_001362 transcript:KVH96549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MYGSFLYLIVGTFFAILQLSDATKTSNSTMPAIFIFGDSTADVGTNSFLPKTKIKANFPHNGIDFPNSRPTGRFSNGLNSADFLSKLMGQKRSPQPYLFLLKAGLRKRMFRGVNFASGASGLLDQTGKHLNVVSLSEQIKQFETVRSNLTLVKGRGATKNIIANSVFAISVGSNDIFGYFESQSTIDPAVFIGSLMTAYECHIESLYNLGARKFGIIGVPPIGCCPSQRIHNITGGCLEIENTFAQNFHSALDTLLKKLACKLSGMKYALGNSYEMTINVINHPQLFNFSYVDRACCGEGWLNAEKSCTRKAKLCSNRNEYLFWDLFHPTQYASELAAKTLYNGGPQFVTPINFAQLAAY >KVH96553 pep supercontig:CcrdV1:scaffold_2370:6244:6622:1 gene:Ccrd_001364 transcript:KVH96553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTCLGGNGVSCIQNKTCLGLYPGVGTREALFNMNSGRLDASFDGIKPILVPISPQMI >KVH96551 pep supercontig:CcrdV1:scaffold_2370:31205:45543:-1 gene:Ccrd_001360 transcript:KVH96551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGEMVDGRKQGEGVSEKRIDIMSGEGDIACQCMLDYYSTTPESEQLDSQVDRNVMKQPPDRWFPITQSTNGNSWTATFHLLSSGIGTQTLFLPLAFVYLGWYWGILCLSVAFVWQLYTIGLLVNLHESIDGTRYNRYIQLSMAAFGEKLGKLLAIFPIMYLSGGTCVMFIITGGGTMKRFYQLLCEDCSSKQTLTTTEWFLVFICLAILVSLFLPNLHSVALVSFLGAIMAVGYCTILWVFFIAKGRDDHTVYDPSKAVASEVGRVRSILNALGIIALAFRGHNVVLEIQGTLPSTPNRSSSNLMWKGVIASYLIIAMCFFPFAIVGYWAMGNKFPANGGLLTALSSSLHHYASKPVLGVIYVQVVISCVTAFQIYSMVVYDNLERAYASRTNQECTKFIRTVIRIVFGGVTFFISVAVPFLPTLAILIGGIALCITFGYPSLMWIAIKKPPTRSRRWWVNLGLGCLGIGLSVLVTVVGVWNLASRGLDANFFHPLFTKKHSSSSFKLYYRKAVVVVFKCMLDYNSTTPDSNEHQNGILQPPDDWLPITQSRKGNSWTATFHLLCSGIGIQTLSLPLAFVCLGWFWGSLCLSVAFVWQLYTIGLLISLHESVPGTRYSRYLQLSMAAFGEKLGRVVAIFPVMYLSGGTCVFFIISGGGTMKLFYQLMCGDCSSKHPLTTTEWSLVFICLAILVSLVTPSLHSLSLVSFLGAIMAVGYCTTLWVVFVANRRLDNTVYDPSEAVGSEADRIRSILNAVGIITLAFRGHNVVLEIQIPATGGMLTALSTTLHHHVSKPVLGLVYVQVVISCVTAFQIYSMVVYDNLERAYASRTSRKCPKLARVGIKIFFGGLTFFISVAFPFLPSLAMVIGGIALPLTLGYPCVMWIAIKRPPPKSGSWWLNLGLGCLGIGLSLVVVGGAVWNLACTGLDANFFHPR >KVH96550 pep supercontig:CcrdV1:scaffold_2370:7395:11016:-1 gene:Ccrd_001363 transcript:KVH96550 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase domain-containing protein MTRSLVDVFVVAVCLMIFALSLSHAGTPAVFILGDSLYDVGTNTFVTRALAKANFPFYGIDFFNSTPNGRFSNGLNLADFTAKVALGESVTSPPPFQSLYDTGNTFSANLVKAIDFDPMRPWETPTKDFNFASMEADKENPARGINFASSGSGIIDKTSAIDVLYNLGVRKFGIFGIPYVGCVPLVRVTVLTGECSKKANDMAIQFNDKLEKLLCDMMINFKGMEIVWSQSEYYVSIMNGVSAHRSS >KVH96552 pep supercontig:CcrdV1:scaffold_2370:26408:27820:-1 gene:Ccrd_001361 transcript:KVH96552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MPKHLVPPPPPFSFLVTQQLMSEQTRSCPKAKYEPISLIMGSTFSIQDPQGVSATASIVPIFYNIVSMSEQIKQFETIYGNLTLVKGRHATKTLLARSMFAVSVGSNDLFGYFESRSTVDPVIFINSLMTAYGCHINVSIYIISNFYSQYDYREIELKDRHIVKALYNLGARKFGIIGVPPIGCCPSQRIHNGTGGCLEIENTFARAFHSALDALMKQLASKLPEMKYALGNSYEMTINVINQAQLFTKFYLICADFKSVDTACCGEGWLNAEKTCTPEANMCSNRDEYLFWDLYHPTQYAAELAAMTLYNGGPQFVTPINFAQLVAY >KVI04392 pep supercontig:CcrdV1:scaffold_2371:62060:66095:-1 gene:Ccrd_017294 transcript:KVI04392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calycin MKGIQDVVLVPGGFGDRGVEGKIIAAKYACENRIPYLGICLGMQTVAIEYARSVLGLQNANSTEFDPNTKNPCVIFMPECEFDSHKIATKNYSMQRKSTKKPTLTASIPSLDQPKNGTNSRATYTLNSDGTVHVLNKTWSDRKRGFIEGTAYKADPKSDEAKLKVIIPVTTGDYWVLYLDDDYKFWRL >KVH87796 pep supercontig:CcrdV1:scaffold_2372:16364:17173:-1 gene:Ccrd_024918 transcript:KVH87796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MVSGSGIYAREVVVDARHMLGRLSSILAKELLNGQRVTIVSYEETYLSGGLVSQKMKYITAEALDNYLTDIQRHHEYKS >KVH87795 pep supercontig:CcrdV1:scaffold_2374:13349:30925:-1 gene:Ccrd_024919 transcript:KVH87795 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K56 acetyltransferase, RTT109 MGAQRMASQMIPTPGFNNSNSTGNQSYMNMDSSNNVIGLSTIDSTTVSQPLQQKQQVGGQNSRILQSLGSHMGGGVRSSLHQKPYGFPNGSINSGFGMIGNTSQMNGSGTSKSYVTASHYGNSPKTQPQHFDQHQQHMSQVDGYENSTVDSSRSETFYAPTTSTSSMMNNQNMNPVSLHTLHKTSSPLMVNQSNLLNAQHASNMKPSIDESEIRTQHSLRESAMQSPLPVHFQQQLLQNQSQQKHQSQHLSYGRSQLTHGPGSQIKSEPGMEGHNEDLQPQVSEHFQSSQTINHFQHNSEEDHKVASQLHSLAPGSQGLSLPMTDTSQQMHQLLQQHEFVVDTQSDLTCPLGVQPGAGLQGQWHSRAQEVPHVLGNRSEQNIEEEFCQRIAEQDQAQRNNLSSEGSITHRTSVSRSVDPANSSATCKSVNLNRELQFINQQRWLLFLRHARKCVHPPGKCPEINCITAQKLLNHMTSCNDVVQCQYARCRRTKLLLLHHKHCRDPSCPVCIPVKRFVQLKGGQHADSNSGFPRSGNGSCEYPSGGTGRYNLKMSPTATETSEDLHPNLKRMKIEQSSQSLASKSENPIIPVPVTSASELQDVCNEEYQIGDTNTPMKSEVTGIKMEIPASSVHGSPMTDEKRKNYVEDSCTQKSKGVPVVSNKATGFPKQEFVKTEKEVGQPKQEKSAVPAEVSAGTKSGKAKIKGVSMIELFTPEQVREHITGLRQWVGQLSYFFSSYILYLFVVQSKAKAEKNQALEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMFYTVGAGDTRHYFCIPCYNEARGDTINVDGTNILKARMEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYVEEIERGERMPLPQSAVLGAKDLPRTILSDHIENRLFAKLKQERLERARFHGKSYNEVPGAEALVVRVVSSVDKKLEVKQRFLEIFQDENYPVEFGYKSKVVLLFQKIEGVEVCLFGMYVQEFGAECQQPNHRRVYLSYLDSVKYFRPDIKAVTGEALRYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVVDLTNLYDHFFVSSGEYKAKVTATRLPYFDGDYWPGAAEDIIYQLRQEEDGRKQNKKGSIKKNITKRALKASGQIDLSGNAPKDLLLMHRLGETISSMKEDFIMVHLQHACTHCCILMVSGKRWVCNQCKKFQLCDKCHEIEQKLEDRERHPINHREKHSLYPIEINDVLPDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNRCHLDIETGQGWRCEVCPDYDVCNSCYHKDGGIDHPHKLTAHPSIAERDAQNKEARQLRVVQLRKMLDLLVHASQCRSPLCQYPNCRKVKGLFRHGIQCKIRASGGCVLCKKM >KVH89039 pep supercontig:CcrdV1:scaffold_2375:25554:31054:1 gene:Ccrd_008977 transcript:KVH89039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MAEKPEEEQPKTEQVCNFFRKPSKNKNIRKRAHDDDDEKTDEQEEPSVVINKKKPPAPDNRLHFATGSSKRSATSEQPEVDKKASIFQFDSSKEIQVQNDSRATATLETETDFSRDARAIRERVLRQADEALKGKKGNGASDEKLYKGIHGYTDYKAGFRREQTVASEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEKEWDEAEKARKRKLALKGDDDDDDEDDEDVEDDEDGLPFACFICRQPFVDPVMTNTMQRRKDVTCATSLRLGYSTLLLKLKKGWLRQGNSEHAFILARRESRLRSC >KVH89040 pep supercontig:CcrdV1:scaffold_2375:68005:76868:-1 gene:Ccrd_008980 transcript:KVH89040 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase, WSD1, C-terminal MESLIEGITRRKTALKPIKITKRNPTTTISNHHDGGGGVSHDDDGVDNQYMIVSGEEEPLSPSARLFHEPNFNVHVIAIMGSKNPIDPRVAYEKLPQTLLKHHRFSSLQVVDDKNGGMKWVPTNVNLHDHIIIPTIPQTLESPDKFLQDYVYNLSKTSIDSSKPMWDLHLLNLKTSDAVAIGIFRIHHSLGDGTSLMSLLLACTRQISNPDAVPTIPTSKTKNSGWFSYYSSSSGGGGPWWRRWFMTVCMVFWLVWNTLVDVTCFMATAVFLTDTETPLKAPAGAEFTPRRFLHRTVSLDDMKLIKNAMNTTINDVALGHGKEDESTISTEKKNNLPKKKIRLRSTLLVNIRPSSGIQALADMMEKDTEAKWGNSIGYVFLPFTIGIKDDPIDYIRDAKAMIDRKKLSLEALYTASIVDLVLKFFGIKASSMFARRIFSHTTLVFSNMVGPIEEIEFYGHTLEYLAPCCYGQPHGLMINLQSYVNKMTIVLSVDERIIPDPQKLLDDLEDSLKLIKEAVYAKGLVKDG >KVH89036 pep supercontig:CcrdV1:scaffold_2375:32088:36688:-1 gene:Ccrd_008978 transcript:KVH89036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MMACSTMSVSSQPTTPKAESPRMGQRPNILNRSISGQNLRQHARIQRAYSDNNLCYSAHRVQASMNQPKLKNGIFDFNLSGSIIPNALKTLLFDPEINTETDDESTDASDVEMEKQGKKRANWIEMLMEIRGRWVQKQDDNEIDGDTEEEKGCDEGGDGDGCEVDYSDDEGSEDDLRRYYDLTFVTSSLEKKALAEAIAEDSIRLPMTTSITNQTLEKYGELKQQRITRTSAYEIAASAATYVQSHAGGLTNLKSEPQQQEADGVLSRKDDYPLDKTNSSSPRVYNSEMAAFMAASTMTAVVAAPEKEKQEAARDLQSLHSSPCEWFICDDSSIYTRCFIIQGSDSVASWQANLFFEPTKFEGTGVLVHRGIYEAAKGIYEQFMPHILEHLNRYGERAKLQFTGHSLGGSLSLLVNLMLLTRKVVKPSALRPVVTFGSPFVFCSGQKILDQLGLDENHIHCVMMHRDIVPRAFSCNYPNHVAQLLKRLCGTFRSHPCLNRNRLLYTPLGKMFILQPDEKSSPHHPLLPRGSALYAMENTQSGSTKNALRSFLNSPHPIETLQHPTAYGSDGTILRDHDSSNYLKAVNGIIRQHTKTFIKKPREQRNLLWPLLTSQSPHYWSQETKLDEKKLTVSDQKRLITTEVA >KVH89037 pep supercontig:CcrdV1:scaffold_2375:63433:66182:1 gene:Ccrd_008979 transcript:KVH89037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MEAAHYIRRYHRHNLDRKQCASAVVKHVKAPVDIVWSLVRRFDQPQKYKPFVSRCTMLGDLNIGSVREVNVKSGLPATTSTERLELLDDKEHILGIKINYSSILTVHPEVTDGRSGTLVIESFVVDIPDGNTKDDTCYFVKALINCNLKSLSDVSERMAVQDQRGRLT >KVH89038 pep supercontig:CcrdV1:scaffold_2375:3640:23541:1 gene:Ccrd_008976 transcript:KVH89038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thg1 C-terminal domain-containing protein MANSKYEYVKSFENEDEMMYPNLIVVRIDGRNFESKMLSLIVSFFASLYVAKWREFFPTKGMISTPSFKSRVICCPSMEVLQAYLAWRQRECHSKNQYNTCLWMLVKNGKSEREAHEVLKGTQKQEQNEILFQQFGINYKKLPSMYRQGSCVLKTEVDDTLKISNNEAPVKRLRKKVIIVHSENIASRSFWNDYSCLCKEIGGFEQNVNNIKQEYVKSFQSENKFSEVQGFEKPNDEHALKLMNSCAVAVLEEFNDIVFAYGVSDEYSFVFKRNTPLYQRRASEIVSATVSFFSSTYVMKWKEFFPKKELKYPPNFDGRAVCYPSYEIIRDYLAWRQVDCHINNQYNTCFWMLVKSGKSTREAQSLLKETTMMDNGVVAKSHKKAVVEHPNIIEDEFWEAHSLILEETSPRSQDVLSI >KVI11180 pep supercontig:CcrdV1:scaffold_2376:4647:8553:1 gene:Ccrd_010412 transcript:KVI11180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAAALECWSSRTSTDEDMVEQGLMRTGDRSESSAAAAVDTSSSSSSPSASAGGSLKDSSMMQKKFQKLSRNVSEAIASLKNSLNLDPARDSNPTRIDTCRKHVWGSVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFEMKDVFLHVRLIEQASADDHPAIMIQEVSDDEVQGSVLKLTFACNSSLSWPAMSGSLDSASICCKKIQIFEKKGFTLGVILLLVQSAQEKLFKSQIENALKSALKKPVKSSAMKLPFGLCGCQEEGTKGREFGEIEDDSNEQSYRNGIENLSSSSSKVKLQLPLSSSSIIISVDEWQTVQSGADEIKKWLLNPDSLEFIDQIGVNIFKGTYKGKKVGIEKLKGCEKGNSYEFEIRKDLLELMSCGHKNILQFYGVCIDDVHGLCVVTKLMEGGSVHDRIMLKNKKIQTKEMIRIAADVAEGIKFMNDHGVAYRDLNTQRILLDKNGNACLGDMGIVSACKSVGEAMDYETDGYRWLAPEMVSGEAAYAALSPVQAAVGIAACGLRPDVPKDCPQNLRSLMNKCWNNIPSKRPPFSEILTLLTRPNNNNNNNSYR >KVH87794 pep supercontig:CcrdV1:scaffold_2378:23373:24897:-1 gene:Ccrd_024920 transcript:KVH87794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal MGVDYYSILNVTRTATDDDLKKAYRKLAMKWHPDKNPNNKSEAEAKFIQISEAYEVISTNPFTNFLFLIFLMIINICQLQEVIVIYIKGLTEMPKHDSNSKKGFNAQSAEDIFAEFFGSSPFEYETSGAGGRTFGGYNRTENNFKGSNDGNDSTMQKKPPPVENKLPCSLEELYNGTTRKMKISRIVVDANGHFKQDTEILTIDVKQGWKKGTKITFPNKGNEQIHQLPADLVFVIDEKTHDVYMRDGNDLLMKYKVTLGEALRGTTINITTLDQRDLAITVTDIITPFYELVVSQEGMPIAKDPELRGNLKVQFEIKFPTKLTPEQKTALRHALVT >KVH87793 pep supercontig:CcrdV1:scaffold_2383:45667:46093:1 gene:Ccrd_024921 transcript:KVH87793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTIPKLQPVCRLFEFEFESVTATISQLNSNTAAGSYTVVPDSNIEQQHCSLTFKLHQQQFSQIAVRTQG >KVH96377 pep supercontig:CcrdV1:scaffold_2384:76914:79838:1 gene:Ccrd_001537 transcript:KVH96377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MVKPFLGLEIRARFLLLIVYLLFLGVIYVDSQSSSDDAAVMQALKANLGPPESLDWSDPNPCKWAHVQCTRDNRVNRIQAGNQNLKGSLPQTLNKLTELQVLEFQNNQLSGPLPSLSGLTQLQNILLNNNNFSSIPSDFFDGMSSLQHVYLDYNHFTAWSIPDSVKSASTLQTFSATSANITGNIPDFFGGDTFAGLTTLHLSYNFLEGGLPTSFSGSSIQSLWLNGQSSRSKLNGTLAVLQNMTQLTEAWLQSNLFSGPLPDFSGLNELQNLSVRDNSLTGPVPPSLIALQSLKVVNLSNNLLQGSPPKFDKSVAVDMNGGNSFCSSNPGDACDPRVNSLLAVAESVGYPHIFADNWKDNNPCKPWLGITCSPAGNITVINFKNMGLTGTISPKFSSINSLQRLILAGNNLSGTIPEQLKDLPNLIEIDVSNNHLYGPIPSFRGTVKVETEGNLDIGKDGPSLTPVSPSGGAPGDRTGPNRGGGGGGKSSNTGVVVGSVVGGVCAVFFAGLLGVCVYKAKRKRSSGIPYQNTMVIHPRHSASDGDGVKITIAGSSANGGPTNVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPDGKVSLVTRLAGTFGYLAPEYAVTGRVTTKIDVFSFGVILMELITGRRALDETQQEESVHLVQWFRRMHINKDTFRKAIDPTLDLDEEALASVSTVAELAGHCCAREPHQRPDMSHAVNVLSSLAELWKPSEPDPDDIYGIDLDMTLPQAVKKWQALEGMSGYDNSSVIGSNDNTQTSIPTRPSGFADSFTSLDGR >KVH96378 pep supercontig:CcrdV1:scaffold_2384:15163:18464:-1 gene:Ccrd_001536 transcript:KVH96378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEPPNYVFESEEESEMSSQVASSMSVDGGTTTSSRLTNPGHLQPTPPLPSVTLDLTLGFNPMTPELATTEVAAAPTNTGSGPPVPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRMGMLSERYASLASLPLHGSTFRALSIEAHASLHQGIVPQQSPFAAMRGGARFDQSYLGLPMFMEDDEAEVFWPGSFRQIDCVGDAGGGGGSSSYHNTKHPEMPPPTGRDSGAIPDLTLKL >KVI00955 pep supercontig:CcrdV1:scaffold_2387:55557:63005:1 gene:Ccrd_020784 transcript:KVI00955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, N-terminal domain-containing protein MLNFYECAHLGINGEHILDEALVFTKTQLKRIVNNLEGNLAEQVKHALMRPFHRGMPMVEARLYFSNYKEECSRYDSLRKLGCAHFNYLRLLQKKELYIVSKSEFNALEQLPEYIKPFYKILLNVYAELEMQDGRSHFINAAKQAFERERGQSTTGVGAYMKTFRVSDEDVAIEEIMKMIESAWKDIKEGCLKPREVSMDVIAPILNLARMIDVVYKHDDGFTFPEKALKVYITLLFVDSAPVY >KVI00954 pep supercontig:CcrdV1:scaffold_2387:4295:4573:-1 gene:Ccrd_020783 transcript:KVI00954 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, alpha/beta subunit, nucleotide-binding domain, active site-containing protein MGSIPIDPAPATTFAHLDATTVLSRXLAAKGIYPAVDPLDSTSTMLQPYYLLANLIFLYFLRICPIDTSERINVGLIGSLAIHARIGRWGFY >KVI04315 pep supercontig:CcrdV1:scaffold_2388:24753:27474:-1 gene:Ccrd_017374 transcript:KVI04315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSYAYITPPKFDLGISPIKQPKPVSIVWREELQGPELNRNSKGIERSSPMESELLFSTPNDMKLHPHAIESLGCTTTIYISVIDAWATLLNYGERLMIWHLNTVGYATGKELDETGQERLRMD >KVI11075 pep supercontig:CcrdV1:scaffold_2389:51031:57581:1 gene:Ccrd_010519 transcript:KVI11075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MKKKGKQVKATTEMSDVTVDLKTKAATKPKQKRGRSGSSEDVPVSRKMPKRAASCTNFKSKPLRLSEKSATIENKKVQVVEEEIAAISLIAGPDDPRPNRRLTDFVFHDADGMPQPVEMLEVDDIFISGIILPLEKASEKEKETGVRCDGFGRIEDWSISGYEDGSPVIWISTELADYDCVKPAASYKKLFGLFYEKAHACVEVYKRLSKSAGGNPELSLDELLAALVRSMSGSKNFPHGASIRDLIISWGGFIFEQLVGLDEAPNGTDQPFVEIPVLAALRDESKKDEECAGMNVPPGGLMNAPLKISDGEKASKSNGPKPAVDEDEDMKLARVLQENENWQALKQKKRQRTSTSSSKLYIQINEDEIANDYPLPAYYKTTAQETDEYIIFDDFDTVDPDQLPRSMLHNWSLYNCDSRLISLELLPMKPCADIDVTVFGSGIMTADDGSGFCLDNEAGSASGSSGVQNEDGIPIYLSAIKEWMIEFGSSMVFISIRTDMAWYRLGKPSKQYAPWYQTVLKTARLAIAIITLLKEQTRASKLSFSEVIKRLYVVVHGQIILQQFAEFPDDTIRRSAFVSGLEDKMEERHHTKWLVKKKAILTKAENMNPRAAMGPVISKRKAMPATTTQLINRIWGEFYSNYSPEEVKEGDSLDAKKDEEEEEQEENEQEDCEEPEEENPILPQEPEKPSPASKQKKTRCSKTDINWVGQAVRKMCDGKALYKEAVIRGEVVALGSSVLVETSGSEEDSIYYVEYLFEDSDSRKFVHGRLMLRGRQTVLGDIANEREVFLTNDCMEFELDDVIQTVVVQIRTLPWGFEHRKANANFDKMDRAKAEDRKNKGLPIEFYCKSLYWPQRGAFFCLQTDKMGLGNGVCHSCEFMEAEKKKEAFVLDESKTGFTYMATEYHVDDFLYVGPHHFDTDERGNETYKGGRNVGLKAYVVCQLQQIEAPKTSKRADPDSVMVQVRRFYRPEDLSSDKAYRSDIQEVYYSEQVHKLPLSAIEGKCEVRRKKDLSSLDSTYIFEHVFFCERLYDPAKGSLKQLPVHIKLTPPKESLVSDAAIRKRKGKSKEGENDVDMIDNQESSASKNCLATLDIFAGCGGLSEGLQKAGASVTKWAIEYEEPAGDAFKLNHPDALAFVHNCNVILRAIMTACGDTDDCISTSEADELAAKLEEDVINNLPRPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFAEYFRPKFFLLENVRNFVSFNKGQTFRLALASLLEMGYQVRFGILEAGAFGVSQSRKRAFIWAASPEEVLPEWPEPMHVFAGPELKVTLNRNTQYAAARSTATGAPFRAITVRDTIGDLPAVGNGASAATIEYKNESVSWFQKRIRGDASVLTDHISKEMNELNVIRCQRIPKRPGADWRDLPEEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDRYKFSGNIQHKHKQIGNAVPPPLAYALGRKLKEAVEAKQRQLDHHSCL >KVI03439 pep supercontig:CcrdV1:scaffold_239:326779:327236:1 gene:Ccrd_018263 transcript:KVI03439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MIWQAKRMMTKLDIYIKFTNALAVAVIVSVGWICYELYFKSIGIYNVPWKNAWIIPAFWKVLSFSLLCVICALWAPSQNSMW >KVI03449 pep supercontig:CcrdV1:scaffold_239:213519:218160:-1 gene:Ccrd_018258 transcript:KVI03449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNHGNELQNHHEGKEKSLSKEEFMRILQDIILDSGVTGKAIKDIFPFIFGIPIVSTFIKQRAAPNVVPSNIFIPAVTSATVFLLTKLNNVYVEHDLRFFLDGFFGRTGKEENKDLEPIIEKCYDQYFADPNKKWNSAEFYHAVCETVEEMNKLIGCTQFRVPKTSTLEQAYHNHHKGKEKSLSKEEFKRILQDIILDSGVTGQGIKDIFLFIFGIPVVTTFIKQRAAPNAVPNDIFIPAVTSASVFLLAKLNKL >KVI03444 pep supercontig:CcrdV1:scaffold_239:138888:151190:-1 gene:Ccrd_018253 transcript:KVI03444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex NAC domain-containing protein MKLLFTGPRRLGLCIYNNRKTGSRVLSVISSPLHHPRIPIHPIDAKMNVEKLMKMAGAVRTGGKGSMRRKKKAIHKTTTTDDKRLQSTLKRIGVTAITQIEEVNIFKDETVIQFLNPKVQAAIGANTWVVSGSPQTKQLQDILPGILNQLGPDNLDNLRKLAEQFQKQAPGAGEGIAAAAAAQEDDDEVPELVAGGLSENFLTRSRAIYMLERLTLGFICYPSRPHFQLLFIARLSIDAKMNVEKLMKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDETVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPGILNQLGPDNLDNLRKLAEQFQKQAPGAGEGTAATTAQEDDYEVPELVAGETFEAAAEEGQK >KVI03440 pep supercontig:CcrdV1:scaffold_239:280325:283133:1 gene:Ccrd_018262 transcript:KVI03440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MAANEVCDRFAGTGFHANLITYLTQQLNLPMVKASNILTNFGGTSSFMPLIGALIADSFAGRFYTIVVGLLVYLLGMVCITTSSILPQLRPPPCPTKENCTEASSSQLWILYLCLLLTSLGSGAIRPNVVTFAADQFDMTTGKSNPSSAGRNFFNWYYFCMGLATLTALTIVVYVQDRVGWGLGLGIPTIAMVFSFLAFVVAARLYRRVKPEGSPLVRVAQVVVAAVKKRKLVVPENPKSLYENKELDAGISADGRLLHTNTLQWFDRAAIVTQDDTKDPTTPNLWRLATVHRVEEIKSVIRMVPIWAAAILMVTAQSHQHSFIIIQAGTMDRHMSPSFEIPPATLSIFSVLTMLICLSAYNRLFVPFARRFTNNPIGITCLQRMGIGFAVNILATVVSALVEIKRKQVALNHGLLDKPNVMIPISVFWLVPQFALHGVAEAFMAVGHLEFLYDQSPESMRSTCVALNSIAVAIGSYVGTFVVSLIHDYTGKENNWLPDRNLNKGKLDYYYWLMSGIQVVNLVYYVTCAYLYTNKPLEVIIDNNEEGDLELATEKTVVSKSLLNGQNRDSGENGDQLCNEKRS >KVI03446 pep supercontig:CcrdV1:scaffold_239:190866:197164:1 gene:Ccrd_018255 transcript:KVI03446 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding domain, Est1-type MTVPMNNTLGNSSRERAQLLYNKNVELESKRRKAAQVKIPSDPNAWQQMRENYESILLEDHAFSEQHDIEYALWQLHYKRIEELRAHYSAAQASAAQNGKGLYGEGDSKTRDFAAASSYYKQAATLWPSSGNPHHQLAILASYSGDELLAVYRYFRSLVVDTPFTTARDNLIIAFEKCTRATYISEFDWFSRCLICEDIIVIKVPISHGRELSEGWDVFAENRQSYCQLLGDTKAAPTKKAPARMTGKGRNKGETRVSLKDRKTEPSLVEEREPTVPDALKAFSIRFVRLNGILFTRTSLETFGEVFSLARNDFLQLLSSGRDEVFSFGSDFGDCKLFITRFIAIMIFTVYNVNKDAENQSYAEILQRSVVLQNAFAAIFDFMALIVERILPLPMKWRRNRRQLDPCDGGNKEKKARVQRIVAAGKALTIGVRVGQQGICFDSKSKRFFFGVEQHNADNVLLGSSKEISATNSMGQENHLRSPLQPHGEGEEEDEVIVFKPSVTEKHMDGFPSKLMSSEVIGNGANSSKVASRSNGVSVPISHGGFPLEQPASSVNGNMQYLQLAQTNTSTWMAGGEEFIENGLSSLNLLGTGFPTRSEKERSSGMLQSTSLSVAPPQSVGFGSCLEHSFQDPATVIPSKFDSVMTSAPSFDSIPSKTKSIKPGGWNKSPVSRPIRHFGPPPGFNTSAPKYTDESLRHENPPMDDYSWLDGYQLPYSAYGDGFGNSFNCLPQPNKLLNTNKASLGMECFPFPGKQVSTVQVDIGKQNGWLDYQIPEHSSLYQEPLQQLKKGFEQSTKVDVQWPGTTSSAITYAMWLMFKAFNGAWMVLDYCRHLLLNRAGCLFVDAGAEFSRLDESEKKGRK >KVI03442 pep supercontig:CcrdV1:scaffold_239:78780:79570:-1 gene:Ccrd_018251 transcript:KVI03442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKIFIQTLIGRNTTNLYSNPFTCRIPSWICQIRYDRSPAHDDLVQLGEVVGIIANLLENQ >KVI03451 pep supercontig:CcrdV1:scaffold_239:33243:34626:1 gene:Ccrd_018249 transcript:KVI03451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Achaete-scute transcription factor-related protein MLVLSPPLFSTTYRWPLEDFVTQNLQQDCHDISLSVEENAYPSLINIPTFDHTQHDFAPSSSISYGGAVNGDIGNPIKVAKKLNHNASERDRRKRVNELYAFLCSLLPISSDQKKKVSIPGIVSHALKYIPKLQKEVKTLMHKKEKLLSYSSPKHLDIRNHSAKEAMIQTVPSVVSSVSVLDEKETVIQLISSTGHMNKNKEIDFLSKALEYLEEVYGLVLLNATTFEWYGDKKFLNTLHLQMQGDQKIEAEKLKEKLCSFYQQYHELSL >KVI03437 pep supercontig:CcrdV1:scaffold_239:248145:254104:-1 gene:Ccrd_018261 transcript:KVI03437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MASASVSSLSFLFSSSKPASSNKPTFTDSPFLQQSPARRHFLKGLTLSLVPFTTIIPLHSPSPSEAKEIEVGSYLPQSNSDPNFVMFQASSKDTPALRAGNVQPYRFVLPPTWKQLRIANILSGNYCQPKCAEPWIEVKFEDEKQGKVQVVASPLIRLTNKPNATIEDIGSPEKVIASLGSFVTGNTYDSDELLETSIEKIGDQTYYRYLLETPFALTGSHNIAKATAKGNTVILFVASASDKQWPSSQKTLKAIVDSFNV >KVI03443 pep supercontig:CcrdV1:scaffold_239:128208:128563:1 gene:Ccrd_018252 transcript:KVI03443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLKASFDQRRHFEAATEERLASSSTTRNTSTTKTTLTTFRFESISSDGVVHAVSGGSPAGTATTAATSTVAPLRAGVDHVGTTSLGLRTVETTTGRRGLLLLKACNECGQW >KVI03448 pep supercontig:CcrdV1:scaffold_239:208528:213042:1 gene:Ccrd_018257 transcript:KVI03448 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0103/Mediator of ErbB2-driven cell motility (Memo-related) MDKIRRPSHAGSWYTDDPQKLEEELEGWLVECGLTKSPDVRGVIAPHAGYSYSGRAAAFAFGNIDPTNITRIFLLGPSHHHYTPKCALSRATVYRTPIGDLPIDLEVIEELKATGKFDMMDLQVDEAEHSMEMHLPYLAKVFRGYTVKVVPILVGAVTAENEAMYGRLLAKYIDDPTNFFSVSSDFCHWGSRFNYMHYDKKHGAIHKSIEVLDKMGMEIIETGDPDEFKKYLSETDNTICGRHPISVFLHMSRNCSTKIKIKFLRYEQSSRCKSMRDSSVSYASAAAKLDR >KVI03452 pep supercontig:CcrdV1:scaffold_239:9901:10723:1 gene:Ccrd_018248 transcript:KVI03452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Achaete-scute transcription factor-related protein MMLVSPPLFSTTYGWPLEDLITPNLQQDCNEANSYHSLLDIPSFDQIQQDFAPEKSNSSGGAVNGDTVDNMTVGKKLNHNASERDRRKRVNDLYAFLRSLLPMSTAQKKKVSIPGTVSHALKYIAELQKEVERLIRKKEQLSSCFSPIHITGVEHLDIRNQKSANDATIQTSSTVVSSVSFIDEKEAVIQLISLIDRMNKNKEIGFLSKTMEYLEQEEDGFV >KVI03445 pep supercontig:CcrdV1:scaffold_239:160593:185256:1 gene:Ccrd_018254 transcript:KVI03445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSLAHVNGYYHKPTSVFATKRDLLFLDFVGLGVKRSNRRLLGTGVSVKSVGGFSKKRNWSYSIKSVLDFDHVDSQQSSHVKQKRRKGDELCDVGGNGIIRTTLVIEKRMLILGDLEYKEDGYPSPCLLDIIIKVAKLEDILAERGACGVGFIANLDNKGSHQIVEDALTALGCMEHRGGCGADNDSGDGSGLMTSIPWEFFNDWAEKQGIASFDKLHTGVGMVFLPKDEELMEQAKSVIVNIFNQEGLEVLAWRSVPVNTSIVGYYARETMPNIQQVFVRIIKEDDADDIERELYICRKLIERAASSETWGTELYFCSLSNQTIVYKGMLRSEVLGKFYYDLQDDVYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMQSRENSMQSPVWRGRENEIRPFGNSKASDSANLDSAAELFIRSGRTPEEAMMILVPEAYKNHPTLSIKYPEVIDFYNYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTSDNVVYVASEVGVLPIDDSKVTMKGRLGPGMMITVDLVGGQVYENTEVKKRVALSSPYGKWLAEKMRALEPASYLSSPTMENETTLRYQQAYGYSSEDVQMIIETMASEGKEPTFCMGDDIPLAVLSQKSHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNLGKRGNILEAGPENASQVTLSSPVLNENELEALFKDPHLKPQIIPTFFDIRKGLDGSLEKTLAKLCEAADEAVRNGCQLLVLSDRSDELEPTRPAVPILLAVGAVHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSKKTLNLMRNGKMQMVSIEKGQNNFRKAVNAGLMKILSKMGISLLSSYCGAQIFEIYGLGKEVVDLAFTGSVSKIGGLTFDELARESLSFWVKAFSEDTAKRLENFGFIQMRPGGEYHGNNPEMSKLLHKAVREKRESAYSVYQQHLANRPVNVLRDLFEFKSERSPIPVGKVESAASIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWTPLSDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQINPKAKVSVKLVAEAGIGTVASGVAKGNADVIQISGHDGGTGASPISSIKHAGGPWELGVTETHQTLIANGLRERVILRVDGGFKSGVDVLMAAAMGADEYGFGSIAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRSTLAQLGYEKLDDIIGHTELLRPRDISLVKTQHLDLSYLLSNVGFPKWSSSTIRKQEVHSNGPVLDDILLSDAEISDAIENEKVVNKTFKIFNVDRAVCGRVAGAVAKKFEGSAGQSFACFLTPGMNIRLVGEANDYVGKGMAGGELVVTPVEKTGFIPEEAAIVGNTCLYGATGGQLFVRGKTGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVIVLGKVGRNVAAGMTGGLAYILDDDDTLIPKINKEIVKIQRVVAPVGQMQLKSLIEAHVEKTGSTKGAAILKEWDKYLPLFWQLVPPSEEDTPEACAEYEQTTTGQVTVQSA >KVI03450 pep supercontig:CcrdV1:scaffold_239:223798:224931:1 gene:Ccrd_018259 transcript:KVI03450 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MEYKHFSHEHNLSIYQVQLGQQFRCSGCDKFCDRTIYACWQCKFFLHEHCGNATRYIRHPFHAAHHLILSPYPTYPSNCFLCNACGTTGTRFSYCCALCEVDLHVNCAFLPPKSMDSKHWSYECLICANYKVHTLCATTEMKMGLYQMDDGSDGSDQGAPMVQQGAPMAQQAPSDGQVQVELTPEEALALLHIMGLSNANAASY >KVI03438 pep supercontig:CcrdV1:scaffold_239:226405:229965:-1 gene:Ccrd_018260 transcript:KVI03438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MADAQAMPDSLPIPPIFSDDLTLPDDFLSFDDEDFDITFDDIHLPSDTEDFFNSAFKSSNPIDLSSSDPEFNDFVSDPETIVADSGHHGSDVSGFLNILSPDDSGKGFVHESVRVLDDSSPESRHENPVSSQGSGNCGSAGSDAAMNCPSPDSGNSVVDQKVKRESGSNFVLKRKKESSDGSPESRTMKFRRSNETSTTTENSDQVNEKDEKKKARLIRNRESAQLSRQRKKHYVEELEDKVRAMHSTIQDLNARITYFAAENATLKQQMVAGGGPVCSPPVMYPPHPAMAPMGYPWMPCPPYVVKSQGSQVPLVPIPRLKPQQPPSSQKVKKVEVKKTEGTTKTKSKTKTKTKKVASISFLGFLLFIVLFGGLVPTMNVKFGGATNGGYSGGSNDYRFYEQQHPGRVLMGGDHVNGTNHRTGVGSGRANEHNASEPLVASLYVPRNDKLVKIDGNLIIHSVLASEKAMASQEEQGMTKKEDTGLAVALDLVPAISIPAVGRNGGRQPHMYRTSSDRQRALSSDNENLKSKPADGKLQQWFREGLAGPMLSSGMCTEVFQFDVSVASASGAIVPATSVGNITAEDHRENSTYITTTTVKNRRILHGLPIPISNITKEHVAGHESKHEEHHKNNSVSSMVVSVLVDPREAGDGGGDVEGMMGGKSFSRIFVVVLLDSVKYVTYSCMLPLKGAGHLVTA >KVI03436 pep supercontig:CcrdV1:scaffold_239:334712:336908:1 gene:Ccrd_018264 transcript:KVI03436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter LGQTHKTSSSVFLSFTTLEFSTIQKSCISQFRFKKQQDGREVGMFWEVFPYSEAVYRHDLLAVWICWHEYNHQSVVESRHEPLCPGCLSSCIRHCCNRTFCHHFREEFEAENHILDLHANVRLGASWGNVVELVWTKHVHPHYAEQTTTGSSNSSDKDWFKGSILLIIATFAWASFFILQNVTMRKYKAPLSLTCLVCFIGTLQSIAVTFVMEHRPSVWSIGWDMNLLAAAYAGIVSSSIAYYVQGLVMEKRGPVFVTAFSPLMMIIVAIMGSFILAEKIYMGGVMGAILIVMGLYSVLWGKYKEHKEKELAEPVKTASRKNNDNNMMITIDGFETNDLEMQKNGTYKSSAIPTIAISAPIPTPPMIAVEAPKV >KVI03447 pep supercontig:CcrdV1:scaffold_239:200135:203340:1 gene:Ccrd_018256 transcript:KVI03447 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MKAWEATIRKTQQAKKQASNIFGSAHVDDEYMDQDETPRAAGEVYHAERYLSNGDYYTGNWSDNFPHGFGKYWWTDGCMYVGDWFRGKTMGRGTFSWPSGATYDGEFKSGYMDGEGTYTGPNGDTYKGQWIMNLKHGYGVKEYTNGDVYDGEWCRGFQEGNGKYQWKDGSFYIGEWKNGSMCGEGKLVWPNGNTYEGQWEDGLPKGYGEFKWADGCCYVGNWSKDPGEQNLTFHSSADDDGKRDPQQVFHVYLQDCVICPPDKVPILPSQKKLALWRSSKDHEPTVRPRRMSVDGRLDASVDKELSRMRMLEDSERNIKARDDASLLSSSNSNSNTLTEGSPIRIPKVVKQQGQTISKGHKNYELMLNLQLGIRHSVGRPGPMPSLDLKASAFNPKEKVWTRFPPEGSKYTPPHQSCEFRWKDYCPLVFRTLRMLFKVDAADYMLSICGNDALRELSSPGKSGSFFYLTHDDRYMIKTIKKAEVKAILRMLSAYYNHFRVFENTLLTKFFGLHCVKLTGPAQKKVRFIIMGNLFCTDYLIHRRFDLKGSSLGRLTDKPESEIQTTTILKDLDLNFMQIDRDCDFLEQERIMDYSLLVGLHFKDTNDFISTDNGGPIDYASDPGGSTLGINMHARVERMERKNEMELIGEPTGVCYDVMMFFGIIDILQDYDITKKLEHAYKSFQYDPTSISAVDPRQYSKRFRDFILKIFIEDA >KVI03441 pep supercontig:CcrdV1:scaffold_239:42192:47454:-1 gene:Ccrd_018250 transcript:KVI03441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthesis protein ThiC MASIHANLTSVMCKNGNHSNLSKISKTSFLPVFDVAGDSANLWKKEAQSCIMVPRATLTFDPPSTNVEKTKRKNTVDPAAPDFLPLPSFEQCFPQSTKEYREVVHEESGNVLKVPFRRVHLAGDEPHFDTYDTSGPQNISPQIGLPKLRKDWIDMREKSGPPRYTQMYYAKQGIITEEMLYCATREKLEPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTIMDLSTGRHIHETREWILRNSSVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDIALSIGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWLDQFALSLDPMTATSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVQQGMDAMSAEFQAAKKTVSGEQHGEAGGEIYLPESYINSLKK >KVH87792 pep supercontig:CcrdV1:scaffold_2390:65093:83589:1 gene:Ccrd_024922 transcript:KVH87792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMESDHDISNTASGNDPASEEQPEESPVVVEKDSGGASSQVEQLEEVQQTTGGPRHAPTYSVVKTILEKKEDGPGPRCGHTLTAVAAVGEEGTPNYIGPRLILFGGATALEGNSANAGTPTSAGGAGIRLSGATADVHCYDVLTNKWSRITPLGEPPTPRAAHVATAVGTMVVVQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPLPCMYATASARSDGLLLLCGGRDVNSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIYIYGGLRGGVLLDDLLVAKDIASTEMTSAATSNTQVGRLAGRYGFVDDRTRQALPKESPDGAVVVGNPVAPPVNGDMYTDISTENAMLQGSRRLSKGVEYLVEASAAEAEAITATLAAAKARHSNGEVELLDRDRGAEATPSGKQISTLIKPETDVEGNSAPAGIRLHHRAVSIMALFVSVLFSSFFLFLLKLNVFMDVQVVIAAEPGGALGGMVRQLSIDQFENEGRRVGYGTPENVTAARKLLDRQMSINSVAKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSSERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKVEHPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKILCMHGGIGRSIDHVEQIENLQRPISMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCVVPKLIHPLPPAISSPEMSLMLTDQQHQPGAAHKFLMIVARLRGYSEGHISAVLILIWDVMV >KVI03026 pep supercontig:CcrdV1:scaffold_2391:9750:15864:1 gene:Ccrd_018680 transcript:KVI03026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MPCEETINLVGKDHQLKRSLKLFWSDMSLASCASTLQFGFTLFPFAEDYTEVKDKMNNASVGPSSKVRVGSSQPSETSFKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDMVHKAQDIASKRGKLLTEDFLFLIRKDLPKLNRCTELLSMNEELKQARKAFDVDEDKLAQAE >KVI03024 pep supercontig:CcrdV1:scaffold_2391:44477:60179:-1 gene:Ccrd_018682 transcript:KVI03024 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MVWGLFPADPLSGEENYYLFSKGSYKVGRKGCDIIVNKDKGVSRVHAEIVIDTMVSMDNMNEKLAVKSSKIRIKDCSKYGTFIKKPHGSKEKVNELPRKETTLDDADLVSFGTGTATYRFSYVPLVFFPCGLEPARSKELQEKLSSIGASMSNKWSPKCTHALLGDNASMNADVVDAVVSKRHFVSFKWIELLAEKRIGTEIPSCSSYAPTLTLQGVSVKVADPESRENCLSGYTFLLESSDMYKVKEKLQLLLEAFGAKVVPVEEFVPHSQGLEDDENNHVVHVISVGEGNDSECSHNLTSLPKVILVTSSCSTDETVVADSDPEVEITSVHTSAAIRKIESVEDEKGETSVNRESIQYDNEVETIVHSINSTKPDDQINTTTDNINAKANDDQVTCLHDRDDGISTRDRKTDDAEIGNSDVIFSQDLIIRDTNLLPSLPSPTKNQVVNFKRFKKMETESGNSFYNLVPFSKHPYKGSEYENEGVAESLKEEKKRKQREAVAEDLFNNAKAKQRGAAGFLKGLFT >KVI03023 pep supercontig:CcrdV1:scaffold_2391:62270:70995:1 gene:Ccrd_018683 transcript:KVI03023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITNFGHQRIITITVVDLLSSSKKPKIGQIHNSFYKEVGSTRKSLLKHTPSMTPVSSKQYADDVSLLMVLIDTNPLFWSSTTTSFSFSKFLSQVNPNDNVLSFLNSILLLNQLNQVVVIATGFNSCDYIYDSSLGHTNQRAETLLQKLEEFVIKDEALNKEDSVDGIGSSLLSGSLSMALCCILLIT >KVI03025 pep supercontig:CcrdV1:scaffold_2391:18344:19111:-1 gene:Ccrd_018681 transcript:KVI03025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MADHQRIHPDPIAPAADLESQQKPTAPLVPRGSSRSENGTPTEPPPPYHRTIPLQYSKPPKKRNCCRRFLCCTLCLLVVLILLIGILAAIVYFGFDPKIPKYSVDGMTITQFNLNNDNSLSAQFNVNITARNPNTKIGISYEGGSRLTVIYMGTKLCEGSWPKFYQGHRNTTVLNVPLTGQTQDATGLLSSLGAQQQTGIVPLVLRAKVPVRIKLGKLKLPKWKPLVRCRVNVNTLSADNVIRIRDSSCSFKFKL >KVI03027 pep supercontig:CcrdV1:scaffold_2391:75778:77549:-1 gene:Ccrd_018684 transcript:KVI03027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELAKQELKILEAQHPTRFQHLKLQLKEFIHLLDEDEEQHSFERKQQQQRLHMSILTQESSSRKREKEGEKDEGCTKRRRRQRQGGGINSAIQKAEACLCKILDSNMLKQQGK >KVH96489 pep supercontig:CcrdV1:scaffold_2392:55739:70847:-1 gene:Ccrd_001425 transcript:KVH96489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSVSINIEIPSSFSADKVFKVFSDFDSIAPKVNPEVFKSIETTEGNGGIGTIKIFTFGDAVPFTSGKYKVDAIDASNFSYTYSFFEGDNLMGILDSITNQITIVPSADGGSIFKQTIIYNCKGNEKPSEEVLKIEKDIYEKTFKAIEAYGIAHPEISINIEIPSSFSAEKVFKVFSDFDTIAPKVNPEVFKAIETSEGNGGVGTIKIFTFGDAVPFTSGKYKVDAIDANNFSYTYSFFEGDNLMGILDSITNQITIVPSADGGSIFKQTIIYNCKGNDKPSEEVLKIEKEIYEKTFKAIEAYGVAHPENY >KVH96490 pep supercontig:CcrdV1:scaffold_2392:33962:35628:-1 gene:Ccrd_001424 transcript:KVH96490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSVSIQIEVSSTFPANKVFKVFSDFDNIAPKVNHTVFKSIETVEGNGGVGTITIFTFGDAVPFTSGKYKVDAIDTSNFSYNYSFFEGDCLMGILDSINHHIKVVPTDGGSIFKQTVIYNCKGSDKPSEDILKAEKEIYEKTYKAIEAHGSDKPSEDILKAEKEIYEKTYKAIEAYGVAHPESY >KVH87791 pep supercontig:CcrdV1:scaffold_2393:40325:51632:1 gene:Ccrd_024923 transcript:KVH87791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MDSSSGSEFDYLFKLLMIGDSGVGKSSLLLSFTSDTFEELSPTIGVDFKVKQVTIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWSKEIDLYSTNQDCIKMLVGNKVDKENDRVVTKKEGIDFAREYGCLFIECSAKTRVNVEQCFEELVLKILDTPSLTAEGSTTVKRNIFKQKPPTGSDEATSGCC >KVH96103 pep supercontig:CcrdV1:scaffold_2394:26931:28508:1 gene:Ccrd_001812 transcript:KVH96103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRDEIKLLGTAASPYVNRVQFVLKLKSIEYEFIEENLACKSELLLTSNPVYKKIPVLFHANKPPISESLVIIEYLDEFRPDVHRILPSAPIDRADNRFWANYIDNKYFPLYEDLRQTPGKEGKEAVKKQILEGSVLLEEAFEKFSNGKAYFGGDDIGYLDVVLGCFLGWVQFGNKHNEFNAFDEVKTPKLAKWADRMLSHESLQGIIPGNETLMNFYMMLQIHKPPRAA >KVH96101 pep supercontig:CcrdV1:scaffold_2394:75464:77016:1 gene:Ccrd_001815 transcript:KVH96101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAKKSEIKLLGTVASPFVNRVQFVLNLKSIEYEYIEENLTCKSELLLTSNPVHKKVPVLIHANKPPICESLIIIEYLDEIKPDVHRILPSDPLERADNRFWANYIDNEFFPLYEEMRVTPGKEGKDAIKNRIIEGSVLLEEAFIKFSRGKTYFGGDDVGYLDVVLGCFIARTKFTEKHNEFKVFDEVRTPRLVEWVKRIWSHEAVKDVIPGNELLVNFYTMLQKYRPARAV >KVH96100 pep supercontig:CcrdV1:scaffold_2394:56235:57703:1 gene:Ccrd_001814 transcript:KVH96100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALSNMLFKGFRSIESTRIAASKRREEMERLEKERQAEVRSYKNLMVADKMTFNKDIASTHKSLQELEEDFM >KVH96104 pep supercontig:CcrdV1:scaffold_2394:52494:54543:1 gene:Ccrd_001813 transcript:KVH96104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MTKNSEIRLLGTVASPYVNRVQFVCNLKSIDYEFVAENLACKSELLLTSNPIHKKVPVLLHANESPTCESLVIIQYLEEIKPDVHPIFPSAPAERANNRFWAHYIDNQFFPLYEKLRITQGKERKEAIKQEIIEGSIVLEEAFVKTSKGKAYFGGDDVGFLDVVLGCFLSWTYFVEKENKFKIFDEVRTPRLVEWTKRIWSHEAIKSVIPTNEIISEFYMMLQKYRPLGA >KVH96102 pep supercontig:CcrdV1:scaffold_2394:11398:12603:1 gene:Ccrd_001811 transcript:KVH96102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAKKGEIKLLGTPASHFVNRVQIGLNLKCIDYEFISENLGCKSDLLLKSNPVHQRVPVLLHANEPPLIESLLIIEYIDEIFPDVHPILPRAPSVRAHNRTWAYFIDTQSPDKKGEEEAKIQIREAAKLLERVFVECSDGKAYFGGDNIGYLDIALGCFLGWITFSETLNNYKVFDETISPRLVEWAKKMRSHEAVKNALPSHDALLKHYSMIQYIKPPRTA >KVH87790 pep supercontig:CcrdV1:scaffold_2396:77708:79259:1 gene:Ccrd_024924 transcript:KVH87790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLDLKKTILWRVSLLRRCARSLWHQILACWIGKSIRYRHLLHSGSGPLIPTSHRRPRRPERTTTAMDVATVYHQFPSVEMTASSGDGFASGEMTSSCGGSDHDKEGSDLVALKISLLGDQYIGKTSFLVIFSFSFLVTVRYSTYRTVMRESSPTPIQHLQYDS >KVH87789 pep supercontig:CcrdV1:scaffold_2397:40976:48835:-1 gene:Ccrd_024926 transcript:KVH87789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MRASSSSSSFFSHFCSAALRAKPLGKSSDVVRTNSGEGLVRRLGPFDLILLGIGASIGAGIFVVTGTVARDAGPGVTISFLLAGAACVLNALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFTQMMLDYHIGAASIARSLASYVVNVLELIPSIKNNIPNWVGHGGDDIFGFLSINILAPILLVFLTIILCRGVGESSLLNTVMTITKVTIVLVVIIVGAFEVDDSNWSPFAPNGFKSMLTGATVAFFAYVGFDAVANSAEESKRPQRDLPIGIIGSLLVCVVLYIGVCLVITGMVPYNLLGEDAPLAEAFKSKGLNSVSVLISIGAIAGLTTTLLVGLYVQSRLYLGLGRDGLLPAIFAKVHPSRHTPIHSQIWVGIVACILAGLLNVTVLSHILSVGSLVRWLPAGYSIVAACVMTLRWEDKIDTHVSTISMSKRIEGITCITVIACCGLAAGIFYRYSVSTLANIFLILPIAIAIVAAAVLQFRQVYKHPPGFSCPWVPIVPAVCIFFNIFLFAQVGGTFVASETATNEALSGTSRYQGQKFGHGINPMAERNKIELTSIYLLHYEAWVRFAVLSIFAVCVYAFYGQYNAKLVCDDTLYYHLAPEAEGQ >KVH87788 pep supercontig:CcrdV1:scaffold_2397:18533:32838:-1 gene:Ccrd_024925 transcript:KVH87788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEFAFSGNIGEDFVNEVVADVNMEEGLNSVVGLDYISDVNPSYGANPIFESDFIPVVREADAVNDQILRQPELLPHPLLESSSPVFTAPPPPSRRRSTNRHPHPTIVCHLRLSPPSSPSPATRPTSASPMILLRLTGGVSTGSGEGLTGSSKVGFVAICSTEVLVGRQRRMFTLILIWEEMAITVEYLDDRTTTMEENIEEKRRTWRMQRRRRRPWEKATETKMTVGESDGDGDDYGRKRRRR >KVH93583 pep supercontig:CcrdV1:scaffold_2398:17356:20643:1 gene:Ccrd_004365 transcript:KVH93583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRLMTMEAVFMEKSRHGGRIREGCHMINKMKGGTIRKLALIVLSIMRPSRKETSSLKMTRKETELHSCWRSISRLESRAHKIDDMAYD >KVH90485 pep supercontig:CcrdV1:scaffold_24:3547:4812:1 gene:Ccrd_007480 transcript:KVH90485 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase VI, subunit A MAEKRKRRRADSDSDDESVRLPFKNRLKPDAAILSILKTLTEATKSASTSKTLTLSDLDLSSTCREVTDLALSSVQTEIQTLAISLAKSILAGNGFSFSVPSRAATNQLYVPELDRIVLKDKSSIRPYASVSTVRKTTITTRILSLIHQLSLKNIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSCILGCTRSSLNVVAAEKGVVVGRLIFSDNGDMIDCTKMGIGGKAIPPNIDRVGDMQSDALFILLVEKDAAYMRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKMELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLAMTEQDIKTGKDLLEEDFVKKNPGWVEELNLMVKTKQKAEIQALSTFGFQYLSEVYLPLKLQQQDWL >KVH90444 pep supercontig:CcrdV1:scaffold_24:183343:185634:-1 gene:Ccrd_007503 transcript:KVH90444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNPLHTTTSTFSLFLLLSSFSTFTYGFGSMGPISAVFGRNDFFCAIDASGKQQVICWGDKSTSSSSTDVPPMAALSGGFGFMCGILVNTSYAYCWNSMASGSDLVPQVYQSTSYSHISSGKDHVCAIRGSYFSDTDSGSVDCWDIVQTSSTGFGSKQSGLYYNQEISSLSFKKIVSGEGFSCGSLKDGGIKCWGPNASNLGVPSLSDHFLALASGRTSLCGILESSGEIKCWGDSSSLDSSYVDPPLGTSFVSLATGARYFCGIRTDDHGIECWGKVNSSSIPKDSGFLSITSSDSIMCGIREDDLVLDCWFANASSPSDFDPPLQLCSPGLCTPGSCGPGKFAFNASLLHEPDLTSLCVRKDLNICSPCGFNCSNGFFPSSSCTQNADRVCTACSLCQNSSCWEVCNLKPHQQSHQDHRVRQLHRLMIIVGSSVLGFLLILLFLCILLRFFPKKGRQKKQFASCIGKPGEKETDAPDVPPSAVSVAPCPGLAQVFRLSELKDATNGFKEFNELGRGSYGFVYKAVLADGRQVAVKRANAATIIHTNSREFEMELEILCSVRHSNVVNLLGYCAEMGERLLIYELMPHGTLHDHLHGGFSPLNWPLRLRIAMQAAKGLEFLHTEINPPIAHRDVKSSNILLDADWGARISDFGLLRNEGDVILDMRDDVYNFGIVLLEILSGRKANDRDCTPSSIMEWAVPLIKQGKAAAIIDRCVGLPRVVVPLLKLAEIAEFAVRENPCERPSMGEVATFLEQLVKEGLIL >KVH90482 pep supercontig:CcrdV1:scaffold_24:393029:398930:1 gene:Ccrd_007519 transcript:KVH90482 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MEAAASKMLSSSLSLPPRTQLKQFSPPPPSALKLYEPAAPAHSSMLATSLAQHFPTSVLIQEQRRESKPLLQTIKDDKTSQATVDGEQKGADHEVDAISSDQYLKEFQCQLLQWPALWYLLPSTHTREKTPSSLTMQPAPNGTTKLMDVEMEHVLDLAKKALSASKEAASLADDGEPFGVKFVKSTRLLERQSKRRKGTKSNVIVRETSTSTKPEPEKKTTYRDSNLHDPLRMFLARPVTRELLSSKEELELIAHIKAGMQLEEARSRFRDQFHREPTLVEWAEATSLSCHELKSQLHRGTSSREKLICANLRMVVYIAKQYRGRGLDLQDLMQEGSMGLMRSVLKFKPQAGCRFATYAYWWIRQSIRRAIFQNSKLIRLPVCHHFFEGIYNLLYKVSEAKRICIREGNHEPTQKQIAAQAGMPVEKVQKLRSVQKITLSLQQPIWPDDSTTYEEITADTTIDSPDTSASKQLMRNHISNLLGILSPKERKVIRLRYGIGGEVRKSLADIGIILGVSKERIRQLESRSLFKLKQYSESQGLTAYKDLLV >KVH90508 pep supercontig:CcrdV1:scaffold_24:627119:629033:1 gene:Ccrd_007539 transcript:KVH90508 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAARTAIPSSSLSLLPGRGGATTTTSVACRKPTTRVGGRSILRIYAAEGGGERQRAPPGVDTRIHWENEDEGWVGGSGSGNTTRKVEEEEEQTNNLLGEKFSELLNNSTDSHYQFLGVPAEADLEEIKAAYRRLSKEYHPDTTSLPLKAASEMFMKLREVYDVLSNSEKRRFYDWTLAQEAASREAEKMRVRLEDPYMKDIENWESVPDMVDRLGGRNMELSDQAKSALTFDIFIIIFSICCIIYVVVFKEPYY >KVH90470 pep supercontig:CcrdV1:scaffold_24:473750:474445:1 gene:Ccrd_007525 transcript:KVH90470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Redoxin MAAATASFAFSSATNRHRTSSSLLHPNPNPKHLSLTSSSSYSFHPITFLRSQLSHHHSIKSSTTPKITATISVGDKLPEATLSYFDSDGELQTTTISELTKSKKTILFAVPGAFTPTCSQKHLPGFVEKSGDLKAKGVSTIACISVNDAFVMKAWKADRKIGDEVLLLSDGNGDFTKAIGCELDLSDNAIGLGVRSRRYAMLLEDGVVKVLNLEEGGAFTSSGAQDMLDAL >KVH90471 pep supercontig:CcrdV1:scaffold_24:119237:123330:1 gene:Ccrd_007494 transcript:KVH90471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich LNVHIIQKRQKRIQNLLILTLLSSDLSPNSHLLYSEVMANFEESSPLLANQTLQGEDNRDPQSNVTFSDENSKKSTTKTSIPNSQMPDVAKEVKQSPPQVASGFHHHHQAAYGWTADGLPLTNGGANVMGEPLPRAQWDSGLFACLGRNDEFCSSDLEVCLLGSVAPCVVYGSNVERLGSAPGTFANHCLPYTGLYLIGNSFFGWNCMAPWFSYPTRTTIRRKFNLEGNWESMSKSCGGCCNVDEEQLEQAEMACDFATHVCCHPCALCQEGREIRRRLPHPGFGTQPMLVMIPPGDQTMGRHGA >KVH90505 pep supercontig:CcrdV1:scaffold_24:512740:516523:1 gene:Ccrd_007530 transcript:KVH90505 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGASLSNLTEGGSTTGDGPGLGDIPEDCVTCVFMNLTPPEICNLARLNRAFRGAASSDTVWESKLPHNYQDLLDLMPPERYQNLCKKDIFALLSRPVPFDDGNKASASNSLFFLSYGILQEVWLDRVTGKVCMSISTKAMAITGIEDRRYWNWVPTEESRFNIAAYLQQIWWFEVDGSLKFPFPADVYTLSFRIHLGRFSKRLGRRVCNFEHTHGWDIKPVKFELSTSDGQEASTECFLDDCDKDEANGNQKRGCWMEYKVGEFIVSASDLATEVRFSMKQIDCTHSKGGIYVDNVSIIPSDLKASRRRVLK >KVH90500 pep supercontig:CcrdV1:scaffold_24:644551:646279:-1 gene:Ccrd_007542 transcript:KVH90500 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MYRAQRNGKSPTSGERIDFKFSKFQALQVPKVWDKLLVSIISVETGKTIARSNKAMVRNGNCQWTETLSESIWISNDDSSKELEEHLFKFVVSMGSARSGILGEATINIARYYTSSRSSAQVSLPLKKCNYGTILQLSVHIKGLKDRNTRAPMQKPWTKIMMMGVADQMGQLPLLI >KVH90503 pep supercontig:CcrdV1:scaffold_24:603235:611374:-1 gene:Ccrd_007537 transcript:KVH90503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MGFLIVLLVAAAAAAVVKGGVGGFPARVLTLERAFPANKTVELEVLRKRDRVRHARILQSFSGGIVDFEVVGTSDPYYGGLYFTKVKLGSPPREFNVQIDTGSDILWVTCDSCSDCPRSSGFGVALNFFDAESSSTASQVSCSDSICSSMVQTADASCSDQTNQCGYKFQYGDGSGTSGHYVTDLLCSTYQSGSLTKPDKAVDGIFGFGQRGLSIVSQLASRGITPKVFSHCLKGDGTGGGKLVLGEILAPTMVYSPLVPSQPHYNLDLQSIAVNGQILPIDAAVFATSDNQGTIVDTGTTLTYLVAEAFDPFVNAITAAVSQLTTPVLSKGTQCYLVTSSITGIFPEVSLNFAGGAAMILKPENYLDGGTPWCIGFQKVQNGVSILGDLVLKDKIFVYDLSKKRIGWTDYDCSTDVNVSITSSKDEFRNAELSGSSSLITTSVLGALLFHLIALTLGSSLW >KVH90478 pep supercontig:CcrdV1:scaffold_24:333666:343261:1 gene:Ccrd_007517 transcript:KVH90478 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSGERRIIASWVEYLILILLVFVGHFPHHVWSQDAPGARNPKPRLSPLLSNIFLGRFNRTVEKYEPAIEREIGFCTENDFNGAFDFSGREEFFQNCQDKHSFPGNLPIRLCTVSELRVYFTSFLKKAGDTKKSISQSHFLEPTKNCNLSSWTAGCEAGWASAANPGQQYDFNETDPQKMPFRTTNSKPCCEGFFCPQGLTCMIPCPLGAHCPVAKLNPTTGICLPYHYQQPADKLNHSCGAADMWVPVQLGKEIFCEAGYYCPTTIEKIQCPGRYFYFVSTFHYWCFIGTKCEKGTEIPSMKVYGILLIALLTAILIVVYNCSDQVISTRYEKQAKSREAAAKYARESVQASQKSKAAKDLAGWKPSGLQGLQDTLSRKFSRTKTKSSLPPAGPSASQGNRKTEIASKRLHDDNPESGNGSNLENKDNNTKHQRKTSKELHTRSQIFKYAYGQIEREKAMEEMNMSSPGGFPMMYGDGDVLRRRPRIEIAFKELTLTLKGKNKTIMRSVSGKIFPGRISAVMGPSGAGKTTFLSALTGKLSGCTMSGMILINGKNESIHSYKKIVGFVPQDDIVHGDLTVEENLRFSARCRLSADMPKADKVLITERVIEALGLQGVRDSKVGTVEKRGISGGQKKRVNVGLEMVMEPSLLILDEPTSGLDSASSSLLLRALRREALAGVNISMVVHQPSYFLYKMFDDLILLAKGGLTVYHGPIEKVEEYFEGLGITIPDRVNTPDHLIDILEGIIKPGGDVTAQQLPVRWMLHNGYRVPPDMLHLCEQSVSSSALPGLANVKAEGQRETERYNYFTTPDLSGRVTPGVFKQYKYYLGRVAKQRMRDARAQAADYLILLLAGACLGTMAEVSDVSFGYTGYQYTVIAVCKCNIEKKNTTLLCMIGALRTFSQDKLQYKRESASGMNSLSYFLAKDTMDLLNVVLKPLVYLCIFYFFSYPRSSFESNYVILLCLVYCVTGISYTLAISLEFSQAQLWSVLLPVVLTLIANQEKKSVAFVVARFVFPRWALEAFVIANAKEYKGVWLLTRCAALQQFNYDIHNSKKCLGFLAATGFGCRLIAFMCLFIRTK >KVH90513 pep supercontig:CcrdV1:scaffold_24:84855:90238:-1 gene:Ccrd_007489 transcript:KVH90513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MLMLNIYIYVYVYVFGIAVGNAAVQRRRQHAVSVVKERREAMFRTKRLCRDGVSSDMDVVTDGDMMIEEEPSILEAQTSSAVEELKLAVSFKGKGAVQKKVNALRELRRLLSRSEYPPVEIALKSGAIPLLAQCLSFGSEDEQLLEAAWCLTNIAAGKPEETKALLPTLPLLIAHLGGNVAGEGEDLRDLLLSQGALPPLARMMLPDKGSTVRTAAWTLSNLIKGPDPEAATELIKVDGVVDAILRHLKKALAASNSLQSLIPLLRSLGNLMASDAYTTNVVLVPGHEITDSIIRSLSKCLKSEHRFLKKEAAWVLSNIAAGSVGHKQVICKSEAVLLLLQLLDSAPFDIKKEVAYVLGNLCVAPADASGRPSLLLDHLVSFVKAGCLGGFIDLVLRGMPNGEGQKLVEGADGIEAMERFQFHENDELRMMANLLVDSYFGETYGLDE >KVH90477 pep supercontig:CcrdV1:scaffold_24:255039:257676:-1 gene:Ccrd_007510 transcript:KVH90477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSLISASKPHILKHSFFSNLARISNTQHPFKALRFFCSSSSPDKPPNEEQPEPETPNSYQEPNAENLVSTPEAQSRTRTIQNGESRIPRGNHRNPEKIEDIICRMMANRAWTTRLQNSIRNLVPVFDHNLVYNVLHGARNPDHALQFFRWVERSGLFKHDRETHHKIIDILGKASKLNHARCILLDMPKKGLKWDEDLFIVLIDSYGKSGIVQESVKIFRSMEELGVPRTIKSYNVLFKVIMRRGRYMMAKRYFNKMVSEGIVPNRHTYNLMIWGFFLSSRVETANRFFEDMKSREISPDVVTYNTMLNGFNRVKKTEEAEKLFVEMKGRNIEPTVISYTTMIKGYVTVGRVDDGMKVFEEMKSFGIKPNAFTYSTLLPGLCDAGKMPDAYTIVKEMVHRHIAPKDNSIFLRLISGQCEAGNLDGAAEMLKSMILLNVTPEAGHFGVLIENLCKSGVYDQAVKLLDQLIEQGIVLNSKCTLELESTAYNPMIEYLCNNGMTGKAETLFRQLMKMGVLDPVAFNSLIRGHSKEGSPDSAFELLKIMMRRNVPSEESAYKLLIESYLRKGEPSDAKTALDSMVENGHQPDSLLYRSVMESLFEDGRVQTASRVMKIMVEKRVKEHMDLVSKILEALLLRGHVEEALGRIDMLMNAEFPPDFDSLLSVVCEKGKTIAAVKLLDFVLERDYNVEFSTYDKVLDALLAAGKTLNAYSILCKIKEKGGITDKSSSEELIKILNEQGNTKQADILSRMIMGKEKGMGGKKSKKQASVAS >KVH90454 pep supercontig:CcrdV1:scaffold_24:140597:142735:-1 gene:Ccrd_007496 transcript:KVH90454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MPSSISGKNTLFSLLCCLLFLCFSGNVVTGTTSFDFQTLALSNLKFLGDAHLFNNSVRLTRDLLVPNSGAGRVLYNKPIRFRQPGNPTPASFNTYFSFSIANLNPDSIGGGMAFIISPNDEAVGDAGGYLGIPTGAVAVEFDTLMDFEFKDINGNHVGLDLGSMVSSQVADLDSVNVNLRSGDVVNSWIDYAGSTQQLNISISYSNTKPKSPILSITMNLNQYVDDFMFVGFSGSTQGSTEVHSLEWWSFSSSFDDPDTKPGPNSPPPTTTFMNPTANTVNSSQPSTSPTESNSTNPTAHTTQKQSKCHNQLCKQGAGAVAGVVTAGAFFLALCAILLIWVYSKKFKKSKKPELFATDIFKTPKEFSYKELRLATNCFDPTRVIGNGAFGTVYKGILSDSGDVVAVKRCSHSDDQGKAEFLSELSIIGTLRHRNLLRLQGWCHEKGEIMLVYDLMPNGSLDKALFESRFTLPWAHRRKILMGVASALAYLHQECENQVIHRDVKASNIMLDEAFNAKLGDFGLAREIEQNKSPDPTVAAGTMGYLAPEYLLTGRASEKTDVYSFGAVVLEVASGRRPIEKEATGVGINGQKSSLVDWAWGLHREGRLLDVADPRLCGEFEDAEMRKVLLVGLVCSHPDPAVRPTMRNVVQMLVGEAVVPVVPRVKPSLSFSTSDLLLNLQDSVSDMNEMIITLSTSSSSEHSFHGDHGLELA >KVH90466 pep supercontig:CcrdV1:scaffold_24:399685:403970:-1 gene:Ccrd_007520 transcript:KVH90466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNCCVTSGSSAQRNEKKKRRRRKNQKPNPFSLDYQTISVPSHDEINFFVLKDPTGHDINQHYNLGRELGRGEFGVTYLCTDLDTGERLACKSISKKKLRTAVDVDDVRREVEIMRHFPKHPNIVTLRDTYEDADAVHIMMELCEGGELFDRIVARGRYTERAAAAIMRTIVEIMCHSHGVMHRDLKPENFLFGNKKETAPLKAIDFGLSVFFKPGDVFNEIVGSPYYMAPEVLKRSYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAEAIIRSVINFKRDPWPKISDNAKDLVKKMLEPDPKRRLTAQQVLEHPWLLNSKKAPNVPLGEVVKSRLKQFSVMYKLKKRALRVVAEHLSLEEMAGMKEEFRVIDTGNKGRVNLEELRIGLPKLGHQINDADLQTLMESADVDRDGTLNLGEFVAIILHLKRLANDEHLHKAFNFFDKDHNGYIEVEELRQALSEEGDETNDDAINDGKISYEEFSAMMRTGTDWRKASRQYSRERFNSLSLKLMKDDWGRGNSSICIVMAKPSVGWIREQMDEMEL >KVH90457 pep supercontig:CcrdV1:scaffold_24:107145:107975:1 gene:Ccrd_007492 transcript:KVH90457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSTRPISSPGRTEKFPPPLMRFLRSNVGSKSSSRGRRSRASPMFIKKKNTVIETTQEPSSPKVTCIGQVRVRRSKKNKTTTTTSITRTTIRHPSNRLCGCLRKLKPKWCRSVLRKWVSFFRCGYCKNSRDLHDSPPVTPRSLYQNAAGDNGEEDEHVTVEADNRGLISRPPPRNAFLLTRCRSAPYRSSSLASKFWESTMEKTEEEEVAEKIKKLSIKEEEEAAEEEIANDNGVNESKCNECNGVEELKNIKVTPLILTRCKSEPARTWDQIIV >KVH90451 pep supercontig:CcrdV1:scaffold_24:97645:101023:1 gene:Ccrd_007491 transcript:KVH90451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQVLLAAALAGSGYFAKNLLHKNDKEPTNCFDLPTEIPPSSVENADAEASNFNEETSSIFRFSSASQGSKSYRKKLGHGGGIKGKRGNHEKTFGSVKKMADGFVDDQRKSGRKFAVCLKKRRTGRNASAKCDSFDVKDKSSFGWGVGVGIMYMMSAGKAEIERLNTAVDETAKVVQELKVEISKRKSSCDLKVERTTNQKRMDTKWDQSVLEKSDMENSDIEVYGSQMADEGGYASSIVTEEPHQEALEMDQLEAELQSELLKLHVSTAEDLYKPQDLDQAFNQCNGVIPSELDQKLCHLLIEQQESQIVDLESELQCTNSKLLEKESELQALKDCVKRLTDFSLTCPSDEEKEGQVEEVHGRTIDGNGKPAVGTKRAIDFD >KVH90494 pep supercontig:CcrdV1:scaffold_24:660888:665177:1 gene:Ccrd_007544 transcript:KVH90494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSENAKKLGLNKARTNMGELLETCLLEGFQVFFNFGNKGMDLKALTEGMGILCSCCLYEGSKLAVSLEGITILCSRDLVNAMSEAWLPCDCVK >KVH90474 pep supercontig:CcrdV1:scaffold_24:315871:320973:1 gene:Ccrd_007513 transcript:KVH90474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenase family MALQIARRFLRSRAVDQISTNNRLFSLIDRSYCSSSSDLIRATLFPGDGIGPEIASSVKEIFSAADVPIEWEEHFVGTEVDPRTQSFVTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLFANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFYYAKAHGRGRVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSLNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSGVSMLRHLELHDKADRIQDAVLKTIAEGKYRTADLGGSSKTSEFTKAIIDHL >KVH90486 pep supercontig:CcrdV1:scaffold_24:37570:41345:1 gene:Ccrd_007483 transcript:KVH90486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxy monocarboxylic acid anion dehydrogenase, HIBADH-type MSTNFGAIESSSDAVVSSEPPTNDDIAVSNGVKVGGDLVESKTGTLTMEPSSDHDAGGIVVQASIDDARVSGDERGVVMENVLDENGVNDEEKEGEYKVTDLVWAKVKSYPWWPGQIFDPSASTDKARKYSKKKGFLIAYFGDQTFAWNEASKIKPFRKNFCKMEKQSNTKSFCHAVDCALDEASRRIEFGLACSCLSEEAYGKIKSQVFVNAGIRKEASRIDGGDNFSTVATFKPANVVQSVQDLAREHFDGINRLERLSVRAQLLAFYRWKGYHQFTTHHLLDGSDNEIEDNSEPPLVDKDKMVEDAKPASVGEKVSSRKRKSNASDSVSRKHQHVRNDDTILSKKGRCLSVTNGENKKKNDGGKRTTVEDNGIKEENRKGVIDRKPSNSSPRGLFRVGDSICRIAKQLSESPSILKKESQTSKVPLVCPPTDEILPQVCVAAKNPMEENQILNASAEFSSDSKNYVPEENSQLEEKNDEKRVDQIEENIHGSEVTEASSHKDTGDSCSADRIVPSVPDEQVFSEPKSVTIDTNPKTSKENSATALILKFTNLDSIPSVTNLNEIFSRYGPVRETETEVMKKNNCAKVVFEAQSNAETAFSSAGKFSIFGPSLVSYRLDYSPTLRKATATGRRQNSKDGKSVEASSFEE >KVH90462 pep supercontig:CcrdV1:scaffold_24:486049:487760:-1 gene:Ccrd_007528 transcript:KVH90462 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTMEFNWTLGLISSAAAFLLILLRRKWAATGEDRQPPAPPGWPLFGNLFNLGAMPHRTVASLATEYGPVVRLKLGSVNTVAILSAKAATELFKNHDLAFLERTITETSRSHDYDRSSLALAPYGMYWRVLRKICTVEMFVAKRINESAAVRRRCVDNMLSWIENEARLAKIGSGVHVAKFVFLTTFNLLGNLMLSRDLADPDSKLASKFFTAMLGMMEWGGHPNISDLYPWLKRFDLLGLRGKMDRDMGYALEIATGWVQERVEERGKEATHDEERRKDFLDIFLAGSETTSSTIEWALTELLRCPEKMTRAKHELASIIGPNQKFEESSIDNLPYLQAIVKEALRLHPPIPFLVPRKAGRDTDFMGYHIPKNTQLFVNAWAIGRDPECWENANLFEPERFLGSKVDYKGQHFELIPFGAGRRMCVGLPLAHRVLHLVLGSLLHEFDWELESGIIADTVDMRDKLGITVRKLEPLKSIPKKTTR >KVH90497 pep supercontig:CcrdV1:scaffold_24:685794:697332:1 gene:Ccrd_007547 transcript:KVH90497 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASKSINELHFLLIPYLAPGHTIPMIDMAKLLAQQPDVTVTVVTTPLNAVRYGPVLNKPGLPVGFLQLPFPAMEVGLPEGCESLDALPSPDLALKFADAVDMLQSKLEQCFKTLSPPPSCIVSDKYLPWTADTADKYQLPRVIFDGMSCFKQLCDHNLYESKVFDGLPESETFVVPGLPDRIELTRSQLPVEFNPSTHTTSERLERVRETVLRSVGIVINSFEELEQEYVNEYKKLKGGKVWCIGPLSLCTYNDKAQRGKIASISEQQCMEWLDSHPHGLVVYACLGSVSRVNPMQLIELGLGLEASDHPFIWVIRDREVEQWIVESGFEERVKDRGLLIRGWAPQLSILSHPSIGGFLTHCGWNSILEGVCSCVPMITWPQFAEQFLNEKLVVQVLGTGVGVGAQFVVHWGEEEKFGVKMKSVDVKKAILKVMDSGIEGIERRKKAKELGKIANRAIEEGGSSHMNLKLLIQQIRDMNLHRSYSGKHDSNTSSNNDKIIIKTLRWSPVPGRGSRNLLRGTQLLQQKFIVRRLSSRKCFSSETTKPEVAGSSCAAEEEVRGGGGCSTIGSASDRRWRRFSDSSPTMAAHRLHFLLVPHIGPGHSIPMIDMAKLLAKQPNVMVTIATTPLNATRFGATLAGSINAGLSVQFLELPFPAAEAGLPEGCETTDKIPSLDLVPNFLAAIGMLQQKLEDRFGMLNPPPNCIISDKYMSWTGETADKYHIPRIMFDGMSCFNELCCNNLYVSKVFDCLPESEPFIVPGLPDRIELRRNQLPAEFNPSSIDTSEFRQQARDSEVRAYGVVINSFEELEQEYVNEYKKLRGGKVWCIGPLSLCNSDDSDNAQRGNVASIDEEQCLKWLDSHEPESVVYACFGSLVRVNTPQLIELGLGLEASNRPFIWVVRSVHRSNEVEEWLAESGFEERIKDRGLLIRGWAPQLLILSHPSVGGFLTHCGWNSTLEAVCAGVPLITWPQFAEQFINEKLIVQVLGTGVGVGADAVIHVGEEDRYGVKVKNEDVKKAIEKVMDDGIEGNERRKRAKELGKMANKAIKEGGYSVALDTCSIALSAFSPYGKNANAVPRLNIDPITPPYQPDCEMALEELQEHNQLHFLVIPLVSPGHYIPTTDMVKLLAQHGVRVTLVTTPVNALGFGSILDQSIKSGHYIPTIDMVKLLAQHGVRVTIVTTPVNALRFGSILDQAIKSGLPIRFLELPFPSVEFGLPEGCESIDTLPTMGSARDLYIAYNSLQQEVEQHIEKLNPRPSCLVSDSFILWTADTAKKFQIPRIIFDGMNCFTQMCSHVLYLSKVYESLGESDSFILPGLPDRIELTRSQLPLVFNPGSKDVTDFYERVRKSESEAYGVVINSFQELELEYADEYQKVKGDKVWCIGPLSLCHKDVSEKVQRGNKSSIDKNECLKWLDSQENGSVIYACLGSVSRVEPAQLIQLALALEASKKPFIWVVRAGHKTNAIEKWIDEEGFVERTKERGLLIRGWAPQLLVLLHPAIGGFLTHCGWNSTLEGVSAGVPLVTWPQFQEQFLNEKLVVQVLRIGVSVGAQKVVHLGEEEKSGVEVKSEDLRKAIEMVMEEGKEGEERRKRAKELSKMANKAIEDGGSSHQNMKRLIEDIRNQASSGKLS >KVH90476 pep supercontig:CcrdV1:scaffold_24:259767:263570:-1 gene:Ccrd_007511 transcript:KVH90476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFGSLLGIIGFVTGIPFGLFLGFLVFIQTEPTDVKSFLNLHLQNPFIRTLQEFDTDSWIDILPELPLWVKQPDYERVSIAFKHSYLPSSFVSLCMFSRTMQHGFFIIIQVDWLNGVIRDMWPYLDKAICGMIRSMSKPIFSDYIGMFPIRSIDFESLTLGTLPPIFQGMKVHILNADNLIFEFVAKWAGNPNITLVLNILFLPIKVQLIDIQTTAALRVTLKPFVPTIPCFSNVTVSIMDKPEVDFGLKVMGGDLMAIPGLYHFIQFRWVIGVNVGQWQKLIKKQVASLYLWPQALEIPILDASIGAAKKPVGILRVKVVRANKLLKMDLIGTSDPYVQLRLSGEKLPSKKTSIKTKNLNPEWNEEFRLTVKDPQSQVLELHVYDWEKGFHFLKFVECSSNLKLEIEVGLHDKLGMQVIPLKLLAPQETKEFTVDLVRNLDPNDECNKKPRGSITVELTFVPFMEDPINFNGPIDLYMRRESVPKTFQNSLMNRAGLLLVTVIGAEDVEGKHHNNPYALVVFKGEKRKTKILKKTRDPKWNEEFQFMLDEAPLEETVHIEIISKRKHRAFGFGLTKERLGHVDIQLVDVVYNNCINEKYHLINSRNGVIHVDIKWNTEEVRSAAYILLGLV >KVH90488 pep supercontig:CcrdV1:scaffold_24:54891:58898:1 gene:Ccrd_007485 transcript:KVH90488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGRSKSWTEELASLVEDTGIRYTGGGDHTVSSLSTPSFELKTVLEGEGERVESESFKDQMKGFAKAWGEIAIELGKGCKDVVLQSVLTDDSYIVKKVRGPSRVVAGKLSVLNDFLPEDRDPVHAWPVILSVFLIALSVLSVNIKYDNTPVPLVRVHPPSARRILLPDGRNMSYLEQGVSADRARYSLVAAHSFLSSRLAGIPGIKLSLLEEFGVRLVTYDLPGFGESDVHPNRTLHSSAMDLLHLAEAVKIDNKFWVLGYSSGAMHAWAALRYIPGKIAGAALVAPMVNPYDPGMMKEERLGTWEKWMRRRKLMYYLARQFPRFLRFFYRRTFLSGKHGPIEKWLSFSLAGQDKALTEDPVFKEFWQRDVEESIRQGNVKPFLEEAVLQVSNWPFSLTDLQVQRKCPQKGLFHWFNFMSSEAECELVGFQEPIHIWQGMEDLVCGKVIDYVARVLPSAIVHRLPDQGHFSYLYLCDECHRTILSTLFGEPRGPIEGSLEEEDQDNDPPILLN >KVH90461 pep supercontig:CcrdV1:scaffold_24:171331:173763:-1 gene:Ccrd_007501 transcript:KVH90461 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MENSTSNLNIQLPPGFRFHPTDEELIIHYLQKKVTSTTLPASIFAEIELYKFNPWELPHKALFGEDEWFFFTPRDRKYPNGVRPNRMAGYGYWKATGTDRPILGSSGENIVGVKKALVFYKGRPPRGDKTDWIMHEYRLLDTLACDSKKPKESMRLDDWVLCRVRKKTSTPRNFCEDRYGQSIPEPEKSTISSMVTNLDLEILEGETLFKDCPMLPFIFDSHLYFSSMDAKFSTISFAGSKNSSDSSENGGNFQELFASVEGFVSRDRRHNKMNQEEDFISPNKKLRTMEGRNEDVGSLKIDTNEMSFNGGDQSDLWAYMMASHDLNHLTFT >KVH90492 pep supercontig:CcrdV1:scaffold_24:699471:718251:-1 gene:Ccrd_007548 transcript:KVH90492 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSSPLKSLHFAVFPLPAQGHMVPMMDIARILAHRGATVTILTTPVNAKRFNSVIERAVEAKLKLQVVELQLRLAEVGLPEGCESLDMLSSTDPWFKLLGAFDMLEEPAEQVLRRLSPVPDCIISDNFIPWTSDVARRLSIPRLIFHGPGCFSILCMHIVTSTSVLDGIESDSELFVLPGLPDPIEVTKLQAFGQSKPNATKPQVSDNSEAKTKEKKGYIERVQEGEKAAHGILVNSFEELEPEYAHELAKAKDKKIWCIGPVSLFNKSDLDIAERGNKAAINEHDCLKWLEGREPGSVVYVCLGTLTHTSLEQIIELGLGLEMTDLPFIWCIRNKTEELERWFSESGFEERVGDRGLIIYGWVPQVLILSHRAIGGFLTHCGWNSTLEAVCAGVPMVTWPHFADQFFNEKFIIQVLKVGVRIGVEIPVVMGGEDTAETLVKKEEVKVAVEAQGHMVPTVDIAGILAHRGVTVTIITTPLIANGFRPVIGRAIESKLKIQLLETLRSEKVGLPEGCEICDTLPSFESWAKLLAAMDFSEANPNSLKMAHQLHFVILPLMNQGHMIPIVDIARILAHRGAAVTIVTTPLTAARFRSVISRAIQAKLKIQLLELQLPLAEVGLPEGSENFEQIPSSEVMVKIFAAMDLLEQPVEDLLRRLSPPPACIISDPPFHWTTDMARRFNIQRLVFHGPGCFWLLCKHIVAKTNILDRIESDSEKFVLPGLPDRVELTKLKVSGTSETRSPEEIAIRERFQEAEEDAYGIVVHSFDELEPKYVKELAKAKNKKIWCVGPVSLYNKGDMDIAERGNKAAINEHDCLKWLDAKEPGSVVYVGLGSLTRMSTEQAIELGLGLESTNRPFIWCIRTKTQELKKWFIGFEERVRDRGLIVHGWAPQVLILSHRSVGVYLTHCGWNSILESICTGVPMVTWPHFADQFLNETFIVEILKIGVRIGVEVPVSLEEKIGVMVKKDDVKIAVECLMDEGEEGNQKRRRASEVAEMAKKAMEEEMASNLHFLVIPLMCPGHLIPMVDMAKLIAQQTVAVTIVITPRNAERYGAVLNRAVASGLPIRILKLRFPALEYGLPEGCESVDDLPSFTLSKNFFDASAKLQQPLEEVFGELKPRPSCIISDKHLAWTADVARKYQIPWVIFDGMSCFTQLSTHNLCVSKSHEKVADSEPFILPGLPDSIVVTKSQLPGLFNPGNSARAKEMKSVREHIRAAELGAYGTIINSFEELETRYIEEYKKLKQGRVWCIGPFSQSNKNDLDKAQRGSKASINEHDCMKWLDSQPPGSVIYACLGSLTRLTPPQFIELALGLEESRFPFILVVKGGSRAEEIEKWLGEDGFEERVKGRGVLVRGWAPQVLVLSHPAIGTFLTHCGWNSTIEGFSAGVPMITWPQFADQFFNERVAVEVVGTGVAVGAKTVMHLGEEDEAGIQVKREDVCRVAKIIMDEGREGEERRQKAKYFQEMARKTIEEGGSSRHNLKLFIEDIMLHTNKGLAG >KVH90446 pep supercontig:CcrdV1:scaffold_24:195926:196802:-1 gene:Ccrd_007505 transcript:KVH90446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKVMRPRSMAKELTGTVKEILGTCVSVGCTVDGKDPKDLQQEIADVNTLAFHPHGQQFDETIVGISDVNLLQYSLVEILAYVAEACAVFLMFHHFDLCIK >KVH90509 pep supercontig:CcrdV1:scaffold_24:625290:626200:1 gene:Ccrd_007538 transcript:KVH90509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MKMIWSIVLLVVVVGVMNVGECWEEPVGNGGDGVIRVGGKVLCQDCTEGWNEWVAGHKPIKGCTVSVTCMDERKRIVYYGRDETDSEGEFEVTINKYIHGKQIKPEACFTRLVSSPDPICNIPTDFAGGKTGVKLGRPTLVYRDMIKHVLGPFYYTTPMCDEPDTNVNDNDNDDDNSYEKEKNY >KVH90473 pep supercontig:CcrdV1:scaffold_24:155343:161131:-1 gene:Ccrd_007499 transcript:KVH90473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGHRHLFSPSYMLETENDQSWNLTENPYMHTARSGTGESSSLVYPADNMSIDGAQYTSHCNQAPMSSGYSSLAHNLQVPNYQQDVAGPSDLSMHTSSAGGFYIAPEDYRNQASSSNYNGNPFNEEDLFDIRVGNGRGQYKRKSPGVPDGCERDGANGYNDAGSSSDVAMLTEPWQEPPSTNFLRTPWDYHTTNPDHRGNSLSIGGESSLRNVRSRAAFDLETNSARTHLANNLSREYHTTSRPMDISPSVDLLGQSSNGLTREWNPTRMSFAAHDSNSIDCELNPFLVGSSNPSSLVEIGQQNNNPLTRSNHVLQNFQGLSVQSVRGVRSSYSQRPASTFRASSSNLRLGHSVATDEGLQLATNNYTSRHPRPSSTIGWHTSDRSGRARMSSERHRSLSGVNFHDRPAPEGLMIVDRSAFYGSRNPFDQHRDMRLDIDDMSYEDLLALGERIGSVGTGLPEHLISKCVQESIYCSSDQIQEEGTCVICLEEYANMDDIGMLKACGHDFHVGCIRKWLSMKNLCPICKAEPTKQN >KVH90468 pep supercontig:CcrdV1:scaffold_24:474669:475345:-1 gene:Ccrd_007526 transcript:KVH90468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19/S15 MDANVGTSAGQLKKRTFKKFTYRGVDLEALLDMPTDELCEALPSSLHRGLRSKPMALIKKMRKAVNTIHSFTFHFFLLHNPV >KVH90484 pep supercontig:CcrdV1:scaffold_24:14819:18198:1 gene:Ccrd_007481 transcript:KVH90484 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MMLNLNLNLNLSNLMPECMAESGTQFRELCGGSGSGGKLQYSGVTSNSSVVNVEPTSNAGDEEHMYPCLNLEYNFDILKQNNLEDDKNVRSGIFVTKQLFPDGCSSVAERELNLRQQYYQQYNQQIKKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAMGSLGGAQSIEELLGINVVDGKPEWANFLGKSEDQYIYLGLFDSEIEAARAYDKTAIKFNGREALTNFEPSSYKGEPTPETENDAYSQSMDLNLGIAPPSFRSSNYTKYTSGSLHQINHLLGDMSEAERTRGTSIEERKEVDLNRGWQLQDPYGGATPASLFSAAASSGFNH >KVH90512 pep supercontig:CcrdV1:scaffold_24:769778:782676:-1 gene:Ccrd_007550 transcript:KVH90512 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization MAMEVKVYCRRRKREKTQQIDHIPKCFQLPRSVSASKPRTDFSLPICSSKDRELELRISKEIQSSVIVESSDMSNDKIRHTDDLSSTRSTEPESRRQETLTNGMELTVTDKSFGKNAQIQPCSNRNGEDRDDTATVLEFTPNRSLLTENSEICATPGSVVWAKTGQCWWPAEVKFKLLQERNCCLPDRKESIISSYILGERSSKSQSSTVGFKRHLLVQYFGKNGSAWVDPATDLSPFEEALHYKEHPRSCKESSGSLDGHDHLNHHDQLPAETMNSTGPKMGSRKDPSWKYGVEIEVSGEGGKKVIWWDNFGDETPELKAFAIRILGLTCSIAVCERNWNKFNQMHTKRRNCLSMDKMNSLLYIMYNKKLKHRFLKKQSLKEEDDPLIIDEVSSDDEWIANPNDEEDVNSEVVSIEAGNGVEDACPSSSSRKRKERENSSSSSRAGTDCTGRVRSKRERKRKEVSCPLYTSRKVRRFKIMRSLGLAAPVGSPFNTP >KVH90480 pep supercontig:CcrdV1:scaffold_24:325275:326663:1 gene:Ccrd_007515 transcript:KVH90480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRPPQPPARLIDLRTTTEILKQTTTTIFSTRHHLLIFLYLSFLLLSLRASVENATHSLTSFIDNDPSIKSLLSRIQLPPNPTTITTRQRRRHRPFLQLTRVGTLDDDFFSGDEELDHRFFGSLSKPQLNATSFILDSFDPQLGFSNFVSDNGIRVSETVRSSAKMTFRSIEIIKEEENEVVDDSNQSAVIDGKAGSKTVLQFFLKRFDLEHHDLTALLFLVGALSSSYGFVVLLFVATHAWVHGIIFVLVVNDFLEGYRSFLRTFWNGACLGMKRLSGFIVMRWVVRDALTQLLGVWFFGEIEDQYSIFKIFVRLKFMPFSIVTPWVKGFEKEIYGFMLSWFLLDMFMSFVFAVDAWVAMVDSRKNGREVVKEGCHLLSLMVHPAINLKCLEGIVCGSFARWILLQSFGKLFASAFQSLMEVYFMVAWLMYYFSVKSMDANSSGQPFGRRELEVLLEDVR >KVH90469 pep supercontig:CcrdV1:scaffold_24:481411:484404:1 gene:Ccrd_007527 transcript:KVH90469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESPWFATVLRTPHKNKGLEPEKPVIGILSFEVSRLMCKVSNLWHCLSERQMSRLKEELRYSLGIRTLISDDHAYLTDLALAEIIDNLRGVAISVARLGKKCVDPIYHNLDHVFNNPFQIGLKWCGWEYRLKKMEKRVKKMKRFAAIMSQLYVELDLLDDRESKLKRMQSNGVNQGQLQEFHQKVIWHREEVNGLMEMSPWVRTYDYTVRLLVRSLFTIVDRIKIVFGITAKMGSLEAKDLPHNGCFVRKNSISALTRASVYPSESSSRRSMSNLGYTTSSKPQTCSPPVFCGRNPSIKSQRSAHFECTTSRIDSPFTGSFRVNGIFQNSTVNPIKKATCAFDFKKLSLNAQEPTLGDAALAIRYAKIIIFIETLATSPRFMSPDAREDLYEMLTTSIKQSLRAKLCIYSKREDSLVNNPGVASDQISSFQRILDWLAPLAHNMIKWHSERNFEKQPMGSGGNVLLVQTLFYADQATSEIAITELLMGLHYNLKKSLDTSDFSPICRAMTARKRGFVDGEGEKAERRWGGGGRRGRGGTGRTIQKGYLTMGEKKFRAFGSKLQIDGRAALIQEEREVFVEKVK >KVH90449 pep supercontig:CcrdV1:scaffold_24:244422:247255:-1 gene:Ccrd_007508 transcript:KVH90449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MSSSKRYVRLRNYIFLLYSSSCRYANKIETFDGSSTRFDADIETPNPNPSSVKKDDDLKLGRKLSRVFSEDYAKTNKTILDPRGAPLNQWNQVFLIAALISLAVDPLFFYLPVIKEDMCLDENVTLKITLTIIRSIVDIFYAIKIYVRFRTAYVAPSSRLLGRGELILDSSSISERYLKGEFWLDFLATLPIPQVMTWFHMVNTAMMSTKTSVLYFIMIQFLLRLYLTFRLGSHISKQAGLVANVAWVGAAYNLVLFMLAAHVIGAMWYLLAIERQGLCWVEICDLETECKRKYFDCVNVNLPLREAWYPTSNVSRICTDPDNFQYGLVEDAVQYSIASAGFFKKYSYCLWWGLRGLRTKRLEEYRVKRMDTEQWMHHRHLPDELRQRVRKHDLYKWITTRGVDEEEILRALPLDIRRDIKRYVCAELVRRVPLFDQMDERTVDAICERLKPVICTPGTCLVREEDPTNEMLFIMRGHLDSYTTGGGRSGFLNQCEIGPGDFCGEELLTWALDPRPSVIIPSSTRTVTAISEVEAFALTSEDLKFVATQFRKLHSKKLRHTFRVHSHQWRTWAACFIQAAWKRYKRRNEAAMLKAKETSNTPTISNKLNRA >KVH90475 pep supercontig:CcrdV1:scaffold_24:300741:315153:1 gene:Ccrd_007512 transcript:KVH90475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid lyase MENGNHVNGVVVNEFCIKDPLNWGVAAEALTGSHLDEVKKMVAEFRNPLVKLGGETLTVSQVAGIAAANDSNTVKVELSESARAGVKASSDWVMESMSKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESSHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPTGEILNAEKAFAQAGVEGGFFELQPKEGLALVNGTAVGSGMASMVLFEANVLALLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEYILDGSDYVKAAQKVHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGGEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQSVDLRHLEENMKSTVKNTVSQVAKKVLTMGVNGELHPSRFCEKDLLRVVDREYVFAYIDDPCSATYPLMQRLRQVLVDHALNNGETEKNTNTSIFQKIATFEDELKAILPKEVESVRTAYETDSLSIPNRIKACRSYPLYRFVREELGGGFLTGEKVTSPGEEFDKVFTAMCKGELIDPLLDHGKQNGLGAEFCVKEDPLNWGIAAESLKGSHLDEIKRMVAEFRRPIVRLGGETLTVSQVAAIAATDDGGVKVELSESSRAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTKEGGALQKELIRAAMLVRINTLLQGYSGIRFEILEAITKFLNHNVTPFLPLRGTITASGDLVPLSYIAGLLTGRSNSKAIGPTGEVLNAEKAFAEAGVEGGFFELQPKEGLALVNGTAVGSGMASMVLFEANVLALLSEVLSAMFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEYILDGSDYVKAAQKVHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSATKMIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGGEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQSIDLRHLEENLKATVKNTVSQVAKKVLTTGVNGELHPSRFCEKDLLRVVDREYVFAYVDDACSATYPLMQKLRQVLVNHALNNGESEKDAGTSIFQKIGDFENELKTLLPKEVEGVRSAYENSSLSIPNRIKACRSYPLYRFVREELGGGFLTGEEATSPGEEFDKVFTAMCKGEIIDPLLECVEGWNGVPLPIC >KVH90498 pep supercontig:CcrdV1:scaffold_24:630750:637471:-1 gene:Ccrd_007540 transcript:KVH90498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of rudimentary, Modr MFKPFWGSEEQVHAQAYGDRPVSRIPPGEAAGIVVVLKDKSASELQKLLSNKDAYQQFLLSLDMVQTQIRLRDELRDETMQLAKHNLEKEPHIRELRNQCQIIRATELAAAREKLHELEKQKQEILRFYSPASLLHRLQEESEALHQQLLDREIDLTAFVQKYKRLRNSYHKRALTRLATNMSLAG >KVH90450 pep supercontig:CcrdV1:scaffold_24:248748:251054:-1 gene:Ccrd_007509 transcript:KVH90450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSSTAASLHFVSLASSKTLSSLSKTPSPASLYLFPSSLKPLPKLFSVPTSAKLFEATPSSRIIRNVAVSSGLELDEGLSSDGDDEQQQNFSPDLKLFVGNLPFTVDSAALAGLFESAGNVEMVEVIYDKVSGRSRGFGFVTMSSVEEVQEAVQKFNGYELEGRQLRVNSGPPPRREESSFGGPREGGRFGGGGRSFDNTNRVYVGNLAWSVDNLALETLFREQGNVMEAKVVYDRESGRSRGFGFVTYSSADEVNNAIESLNGADLDGRNIRVSVAEAPQKRSCVCRGGALLDHATVPKRK >KVH90458 pep supercontig:CcrdV1:scaffold_24:114420:116254:1 gene:Ccrd_007493 transcript:KVH90458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKNCFTNAFILFLLFAVPVLCTGRLKQLNSEIYEIDYRGPETHSYMPPPNRSGGVNTNGGLQQNQKEHGRSKRPLTENTTKMVKKLHG >KVH90448 pep supercontig:CcrdV1:scaffold_24:222167:224576:-1 gene:Ccrd_007507 transcript:KVH90448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MITFMDSKEKLKETERCLDSQLWHACAGSMIQMPPVNSKVFYFPQGHAEHATGNVEFRDRNGQSRVPAWIPCRVAAVKFMADLDTDEVYAKIRLIPVSGSGEFSCFDDEDTGSQNGGSSDGQVKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPETSSGWNPAGGNCVIPYGGYSPLMRNGSGGGGGSGGGNTTGKVKVEADSVVQAVKQATNGQPFEVVFYPRASTPEFCVKASLVKNAMQIRWSPGMRFKMPFETEDSSRISWFMGTISSIQVADPIRWPDSPWRLLQVTWDEPDLLQNVKHVNPWLVELVSTMPAIHMSPFSPPRKKCRPSQHPDYPMDTQIPIPIPGLCGPHSHLFGGPTTGPFGYHIPENLNPHHPASMQGARHTRYGLSLSDIQLNNKLNSGFSPTTTKPSHIHPFLNKPAISEPVSCLLTIGNSTTASKEAETPKTSQFLLFGQPILTEQQISERGHVSGSGSGSGSGSGSGQNHHRESELNLETGHCKVFMESEDVGRTLDLSLLNSYEELCKKLAIMFKIESSTMVNRVHYWDVTGSLKHIGDEPYGEFAKTARRLTIVTDPSSSENNVNGS >KVH90447 pep supercontig:CcrdV1:scaffold_24:206437:206889:1 gene:Ccrd_007506 transcript:KVH90447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MTTTNSGYHHHHRHHRQLKFLYLEVDQRINYDDDKDNLDSIWNTNNEDGDDRPINPEKAMLSKTMATSIKRPLKPQRTLPINVPDWSKILRYPYKISEGDYDEEWLPPHEYLARVRSASLSVHEGVGRTLKGRDLSRLRNYIWKQTGFED >KVH90506 pep supercontig:CcrdV1:scaffold_24:561846:563626:-1 gene:Ccrd_007533 transcript:KVH90506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-3 MASHQDVRIKEQTAEKAARAAADELRDINRQGQVGGNGGVVVVERDEQIRTNEGRPGIVSGRVDQTVTSTPGHAKDVVTGKAHETTNKASELSGEAAERARWTGEEAVRNTEETKEMAGRYKDYAAQRTKEAAGVTAEKAKEGKDAAVGKAGEYKDYAAEKAKEGKDAAVGKAGEYKDYAAEKGREVVDKGGEYKDSAAQKAKEAKDTTMEKAGEYKDYVAQKASEAKDTTMGKAGEYKDYAAEKGREAAEKGGEYKDYTAHKKKEAADVTADKAKEAKDTTMGKMGEYKDYAIEKTKEAVGYTAEKAKEAKDATLSKVGEYKDYAAQKAYDAKDYTVDRAAAAKDYTVDKAKEAKDATMGKVGEYKDYTAQKAAATKDYTVDKAAATKDATMGKMGEYKDYTAQKAYDTKNYTAEKANEGKQTTVGKMTELKDAAADAARKAMDVFTGKEEAAKEKMTETGDAAKGTFDEVTEEDARKRMEAMNLKQGGRGGDVAATTTVVEVEDVSPLGAGILAAVDVDDTPLGTTGEVLRQAQVRRMEADDSER >KVH90490 pep supercontig:CcrdV1:scaffold_24:62331:65661:-1 gene:Ccrd_007487 transcript:KVH90490 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MWLHRSKTQLLFISSCLLSILFVSFTLSPSINKIWVFSLPRNTSATDNHFQQALKDPNQIRSIVTDVIDLKSEKKGFVDENPLVPDTSIVENVGGSCGSHPISQPEEVKPVEVEDEPPKSAYSVAIATGAAVASTAATANTTPYSGETMAEEAAIRIQTTFRGYLARRVLWDLRGLVRLKAVVEGPSVKRQTANTLKCTQNASHNGDEWNYSVQSKEQMEAKLLSKYEATMRRERAMAYSFSHQQPWKKSAGPPNLLFMDPTNPQWGWSWSERYMAARPWEPRAEKDPGNDHASVKTGITITRNEIAKSYARHQLNSVPSTPRSKAGGPLASRKINAGPSPQAFVSGVEANLDDDDSRSVVSVRSERNRRHSITGSTVKNDESLAGSPSARKHMVGSTKSAKAKQLEKGLSDNGGGSTAAASGGRTKKQLDFPGSLARPRPRRHSGPPKVETSICNELE >KVH90487 pep supercontig:CcrdV1:scaffold_24:22336:32942:-1 gene:Ccrd_007482 transcript:KVH90487 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MDFEPSGGQSSIASLSTCAHRLDLFIDWSCVSAFSVVKQEDTLFILPLDFQHFRKICMLEEFYDALERKPKEALLCMSAAVHKVKIAVAALLVLHSISNDEELDDNAKVNIRLHNHPESMIALKNLKAAYIGIFTELLCILFNSIIKWIFVCLLERLVSVRGTVVKVSTVRPLVVQMSFICTKCGTTIPRDFPDGKFSPPSVCVIHGCKSRTFNPIRSSARPIDFQKIRIQELLKSEHHEEGRVPRTVECELIEDLVDLCIPGDVVTVAGIIRVINNYMDVGGGNSNSSCMPSFLLSIVYLGLFSSSVCTFIFWFCVSGFIRLHTCSRNTVQFSYPCEPGKSKGRNQGLYYLYLEGVSVKNSKSQSVPEILQDTKSVAKATDLLDLYSFSQRDLEFICKFSSEHGSDVFRQILQSVCPSIYGHELVKAGITLALFGGVRKHSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAASVSPRGIYVCGNATTNAGLTVAVVKDSVTGDYAFEAGAMVLADRGLCCIDEFDKMTAEHQALLEAMEQQCVSVAKAGLVASLSAQTTVLAAANPVGGHYNRAKTVNENLKINAALLSRFDLVFILLDKPDELLDKRVSDHIMSVSQLGALFRLISQHVFLHGAASQIISGTNLDVKSGSVVSRLRLDPRKDVDFVPLPAPLLRKYIAYARTYVFPRMSRPAAKILQEFYLKLRDRNTSADGTPITARQLESLVRLAQARARVELREEITVEDAEDVVEIMKESLYDKYVDEHGFVDFDRSGGMSNLKEGKRLLSALIKQSELEQKDCFSISVNRLTFLLMQYCYQKQSCKEIFSLADKICLRVPDVDMLVDNLNVAGCLLKKGPKFQ >KVH90467 pep supercontig:CcrdV1:scaffold_24:415197:417748:1 gene:Ccrd_007521 transcript:KVH90467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MHNSSITSHCPFSLSVSSSEAMDPLYKPSLSSSSYRPLKSRPLQSVSSSLPNSCQQPLPLSMAFDDHLEIGTGDGGIPQPLDCLNGTPIPPFLSKTFDLVDDPVLDQIISWGDTGASFVVWDPLEFARIILPRNFKHNNFSSFVRQLNTYGFRKVDTDRWEFACEGFLRGKKHFLKRIRRRKSQQSQQVKEEGSTVSVEAELERLQKEKMEMMEEVSKLQQQQHGTHQYMESVNKKLQAAEDMQKQIVSSLAKAIEIPKFISCVRKRKDQGRISSPRTVRKFVKHHPGNQDCGLDPILVDDTVRNLDFGTEIVPLQLHNIDSQEPNQVEDPLPEEESVFNLDFEAKKEHYISSPVNMAKENTMLELFSPGSDDCMVKQEEFWSSDLAVAAMPISSNEMWNDIGNYELPEFGAAGGELSDLWNLAASGTENWPSGETSQSRDHGCVKNTNQYGF >KVH90456 pep supercontig:CcrdV1:scaffold_24:124745:128120:-1 gene:Ccrd_007495 transcript:KVH90456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQACFSDEQFASKDVEGKGINPGLIVLLVVGGLLITFLVGNYVLYMYAQKTLPPRKKKPVSKKKLKREKLKQGVAVPGE >KVH90479 pep supercontig:CcrdV1:scaffold_24:328938:329240:-1 gene:Ccrd_007516 transcript:KVH90479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MNGVVPWVGRERCCTWTSSSIPRDVPKGHLVVYVGENHRRFVINVKLLKHPLFNALLDQAREEYDFTADSRLYIPCDEEAFLSVLHCAALPQDRRITFCL >KVH90452 pep supercontig:CcrdV1:scaffold_24:178382:180121:-1 gene:Ccrd_007502 transcript:KVH90452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGNVGSNGSNGRRRHGGGNSRRRNHHTNPPPPPPPEQHQSEINNRFVFAAATPYPSQYPHPPPPYYQYPAGAYYPPPPLRAVPLPPPHDPHHHYHHRIPMDPTWVGGRYPCAPVMHPPTPYVDHQKAVTIRNDVNLKKETLKIEADEQNPGKFLVSFTFDATVACSITLYFFAKEGEDCKLSAESHEPITISFKQGLGQKFRQTPGTGVDLSTYEEAELSKGDEMNVYPLAVKAEAMPEDGVNNSNSQITDAVFEKEKGEYKVRVVKQILWVNGVRYELQEIYGIGNSIDGGDFDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQSVERLLEIKVNNGAED >KVH90464 pep supercontig:CcrdV1:scaffold_24:426259:435653:-1 gene:Ccrd_007522 transcript:KVH90464 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MGEEGESSSSRQVYPPEVAYAPATPAKPDRSDWGPIGIDWLKNQFDEVIFEETSAKKSISCWEGNSVSTSHIYDLSGFSMDDVETWNSISCRDLLALADATIRRGSDDGDHDRSDGLDFDNRSNCIDTQQYGWLNLGNYSPDLNLPPEMVMKPLVSTGLSTQITPGTPDQARRAEHKQMGSDIVAKVVADNNKERYNLDEQPQVQVLVEQLQGDVSTIVEANQDFEKGLTAETNLNETPQPKQRRRKHRPKVVREGQQKKAKQSATPQKPDGSSTGKRKYVRKKGVEKSPATPAVEEGSGTIDPSSDQQNKKSCRKKINFDETEKGNEVTVETAEVNITVDKTCSMNQVVETILESQSASPITPSKTELPIKDAKHTYRKVKCRINFLQETHDKRPSSVSSPNESNCSTSASFNKGEAQGSKMELSSKIVGMELWDENAIGVGCNLSKFTNDCSGGKQGMHLPANKKKRIEKCRSSITSGAISSVCSAQSSDCSFLAEQNASKAPQMSKDYVLKDDQQPYKQAFGHLENSKKKRRSKALSLIPDLALFPGIVEGRHWQTPKEGSRYEVAYQQQTYTEAHAADFHVSIATKKRMKKNAKLPSLYQDHLRFTKGCIDSLINQFERLDINSQMAEEGRDALIPYLSRYNEKNALVLYQERGLVPFEGLFNPVKRRKPRPKVDLDEETSRVWTLLLENINSQGIDGTDEDKAKWWEEERRVFRGRADSFIARMHLVQGDRRFSRWKGSVLDSVIGVFLTQNVSDHLSSSAFMALAARYPLKSKSSSEPLHDDESILSVKEPCQVDQDETITWHEKLNQPSGDHGPMMLQDIDLCEEKEVVNSNKFPKNSGCVDLNVSSEGEVPELAEKDLAMYKESVVDQIENDDIASSQNSANMSPSSVQSSVAHTTERLGSCSKEEQKDMSKATIFGGYTSFVELLHMQGTTTVHETYSQQQAEESSNKKIGQDELESVAYLEEQNDGISPHSNSSRALEVETFELREERNITQKKSQEEFASEESGLSAESASQAMVQLVKTTSSQEASKSCNTCHIRLQERSRSRCKMIAVNPNINTEQHTEDNNCEVQEVIAIANVSADNSKATESNNILKASGETAHKVVEINSVDHGTHQIVNGMDEGSSKVKRQKSGKVKQKIEWDNLRLHAEVTEKRERTPNTSDSLDYEAVRTADVNEVADTIKERGMNNVLAARIKDLLDRLVEDHGSIDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLGLPAPEERMASLTENRTGQSSIGLIEQCHITLPSASEQWQQLSDIQNCNSGIEEPATPGSTVEVPATSGPIVEVPATSGTIVEVPATSGPIVEVPATPGPIVEVPETPGPIIEVPATPEPEPIQEEFDIEDFCEDSEEIPMIKLNIEEFTQNLQTYMEKHMVLGEGDMSKALVALTSEAASIPTPKLKNVSQLRTEHQVYELPDSHPLLEGLDTREPDDPCSYLLAIWTPGETADSIQPPEGQCCSQESGTLCSEETCFFCNSTREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPIDVPRSWLWNLPRRTVYFGTSIPTIFKGLTTEDIQYCFWRDVDAVSVVNPRYKWQDNHVQTAAADIQILQKTLSSWPEWHDS >KVH90495 pep supercontig:CcrdV1:scaffold_24:673667:679571:1 gene:Ccrd_007545 transcript:KVH90495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type PSQFEAHAGQASRKKPYGNIFVSSGVSLHEYASSLKLNCERLAKQNDDICRHCSEGEDLMLCAGCPRSYHEACLHEKSTTPGKVFTGKWFRGKWFCPCCQKSMKPVDQRANSLAAGRISGVDPIEQITKRCIRIVDNPDKFDLVACSLCRSYDFSQDGFNDRTVIVCDQCEKEFHIGCLREHKIDDLKELPVGNWFCCVDCDRIHSVLKDLRTCGPERVPDFLMDIVRNKWKESDANGFIFDMEWIVLCGKDALHEHQLLLSEAVDIFHECFDPIIDSTTGSDFIPSMAYGSSVITAGMFRIFGQDVAELPIVATSKPEQGKGFFQLFFTCFERMLSYLNIKKIVIPAAEDAKSLWTNRLGFIKVIPKELSEYRQTLTSMVAFRGTSMLEKEVPEGEITFEDGVRFSLSMG >KVH90465 pep supercontig:CcrdV1:scaffold_24:459292:465485:-1 gene:Ccrd_007523 transcript:KVH90465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 MDFSSLLNSFIPSWNSVGLLLGFFSYLGIAGSILPGKLVPGASLADGTRLHYRCNVIGAWMDYVSPTAISDRGCELLSTTFIFSVVATLLLYVAGCKSSDRSSSLKPHITGNIIDDWWFGIQLNPNFMGVDLKFFFVRAGMMGWLLINLSVLAKSFQDANLSHSMILYQLFCVIYIMDYFFYEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLNNKVDLTIAAVIANCCVFVIGYVVFRGANKQKHDFKKNPKALIWGRPPNVIGGKLLVSGYWGIARHCNYLGDLLLALSFSLPCGMSSPVPYFYPIYLLILLIWRERRDEARCAEKYKDVWEKYRRAVPWRILPYIY >KVH90463 pep supercontig:CcrdV1:scaffold_24:501932:508375:-1 gene:Ccrd_007529 transcript:KVH90463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MASCDDEFTLIGDDTTNSHHTNATFHHHLSAAAQLLKAPAFNAPPPPRPTQVAQANGEGYIEDAFGSQPMVVVTYENESDPNRSGVSGARNEKRSDRDELSDGGTPYSYNKKARITGSSSGGGGEYRKDREEWTDAAIACLLDAYLEKFLQLNRGNLRVRDWEEVAAVVSERCEHQSKSVEQCKNKVDNLKKRYKLERQRIMNNNGGNTTSHWPWYKNMEQIVGNSLPLKAVLDEDKSVGGLNSSGRQSKRYTTATSSPSGQITHMEPKLVTNARWRRVVFKISGSALAGTGSQNIDPKVAMLIAREVSMACQIGVEVAIVVGGRNFFCGDTWVTAPGLDRSTAHHIGMMATMMNSILLQSTLEKLGVQARVQSVLCMPEVSEPYNRQRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHADAVVKGTSLHGIYECDSISNVGYEHISFRELASRGPSPMDMMATTFCEENGIPVVIFNLHEPGNISRALSGEHIGTLIDQTGIIC >KVH90496 pep supercontig:CcrdV1:scaffold_24:681257:682459:-1 gene:Ccrd_007546 transcript:KVH90496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMKGFKISKLICFTISDYSRQHQSLGRTRHHVDSPLFRLFSSSSYNNRSNDGGRPPPPRRRSGSTSDRPPSSSRLSGFIDGNDDRGAGDNRGRGHGGGRRDFDTNRKPNYQNHGEYRPSNRASYEVNNTDHRKSESNSCYVPFDDDEQKPPISPRRGVNDNKIQDVDGFLDRFKLGFDQENKPNSDKLDSSRGTGEGEAVAEAPPPSPPPPPPPEDADEIFRKMKETGLIPNAVAMLHGLCSDGLVQEAMKLFGLMRERGSIPEVVVYTAVVEGFCKSQKPDEAMRIFKKMQNNGIVPNAFSYGVLVQGLYKGKRLDEALEFCVEMVEAGHSPNLATFTGLVNGFCRERGLEAAETMINNLKEKGFGFDEKAVREFMEKKGPFLPLVWEAILGKKSSQMF >KVH90493 pep supercontig:CcrdV1:scaffold_24:725245:766110:-1 gene:Ccrd_007549 transcript:KVH90493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MASSSGNSLPAPEAIQVLVSSLADESPMVKQASMASLKSLAPLNPLLILDCCLTVSRGGRRRFGNIAGVFQVMSIAIGALEEGEVDTSYMAKLAKLATAEIISSKEIKADWQRAASSLLVAIGSHLPDLMMEELFIHLSAQSSALPAMVQILADFATSDALQFTPRLKGVLSRVLPILGNVRDQHRPIFANAFKCWCQACWQYSVEFPLSSILDSDVTSFLNSAFELLLQNWATSRDLKVRTSAVEALGQMVGLVTRTLLKAALPKFVPIILELYRRDQDIAFLATCSLHSLLSASLLSENGSPLLDFEDLTVVLSTLLPVLCNYTDVKGSSDFSVGLKVLFFPSLYKLNIIGFCILTITYNEVQHCFLTVGLVYPEDLFIFLLNESSTTPSPSTLIIIILKSKLKEDHMTFGALCVLKHLLPRLSEGWHNKRSALIEAITALLEEHNLGVCKALAELIVVMASHCYLVGPSGELFVEYLVRHCAMSDQEIDDIISSKDIFKPSYLYYSFQQKRSEVCISSIAKTRLAIKLLFCFLRDKTRMSSKWWVFHCSLQVKIGAVRPTELRAICEKGLLLITITIPEMEHVLWPFMLKMIIPRVYAGAVATVCRCISDMCRHRSLHNDRMIRECRTRVDIPRPEELFARLVVLLHDPLAREQLATQILTVLCYLASLFPKNVNMFWQDEIPKMKAYVSDAEDLKQDLCYQETWDDMIINFLAETLDVVQDTDWVISLGNAFAKQYELYTSDDEHSALLHRCLGILLQKVDNRTYVRDKIDWMYKRSNITIPENRLGLAKAMGLVAASHLDTVLDKLKEVLDNIGESILQRFLSFFSDKSKMKDSDDIHAAMALMYGYAARYAPSTVIEARIDALVGTNMLSHLLIVRHPSAKQAVITAIDLLGRAVINAAESGISFPLKKRDQLLDYILTLMRRNDEDGFSDLSVELLHTQALALSACTTLVSVDPKLTNEMRNIVMKSTQLLLNFLISIKSATLGFFALPNDPAGAVNPLIDNLITLMCAILLTSGEDGRSRAEQLLHILRQIDQYVSSPLDYQRKRGCHAVYEMLLKFRTLCITGYCALGCQGSCKHIKQIDRSSRFNVAKLPCESNSKLSFSLNFFLLFSAFLLPSRDALCLGDRVIVYLPRCADTNSEVRKVSAQILDQFFDISLSLPRPVASNSTPIESSYAALSSLEDVIAILRRVSLYNASLKINSFHMYSVLLVATLHGCSVAICDKIKPSAEGGIQAVIEFVTKRGNELNETDISRTAQSLLSAAIHVTEKYLRHETLVAISSLAEHTCPRVVFSEVLTAAARDIVTKDILRMPGGWPMQDAFYAFSQHNELSSLFLEHLISALSCISGHKGDIGKGDLSGDSVVARTENDILQAAIVALTAFFRGGGKIGKRAVENNYASVTAILTLHLGSCHSQSVSGQHEELRTLLIAFQAFCECVGDLEMGKVCTFRCIVGGLTTVFLFLQCSSCFQILARDREHNVNEMWINLIGDLAGCISIKRPKEVSAISVILSKYLNQPVRFQREAAAAALSEYVRYSNEGSSSVLEEIVEALCRHVSDDSPMVRRLCLRGLVQIPPIHINMYTKEILGVILALLDDSDNSVQLTAVLCLLSILQSSPEGVEHVLLNLCVRLRNLQVSMDTKMRANAFAAFGALSDYGSGAQHDAFLEQVHAVLPRLVLHLLDEEYSVRQACRITIKRVAPLMELDDLILLLNTHRFISDHRSDYQDFLRDLARQFVQHLASRVDTYMASIIQVFGLLVGKASRSTDAIVRATCSSALGMLLKSANLISWRADRLDRIDSGRSSIDSESSTRRICVVNVTSRFPYHFFFTFLFTSKQSFVEFTLMILL >KVH90507 pep supercontig:CcrdV1:scaffold_24:532321:535467:-1 gene:Ccrd_007532 transcript:KVH90507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein TauE like protein MATRGFVSCLSIGFFIAIISVYVVAGNYNHKNQYPFLRSSPNSLIPRSDEKVWPKLEFNWRIVLATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAALSKCMIMGASASSVWYNLRVPHPCREVPILDYDLALLFQPMLMLGITLGVALSVVFPYWLITVLIILLFSGTSLRSFFKAIEMWKEETILKKEMERPQESFVNSRGELLIDTEPLIPKEEKTPMNGLVACSTSYWVVTVIQFPVALAVFTYECVKLYKESKKRKSMGNTDAVCEASIDWTAPHLTFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLNRFPIPHALYLMSVSILAGFWGQFFIRKLVAFLKRASIIIFILSGVIFASALTMGVIGIDRSIRMIQNHEFMGFLDFCSSQ >KVH90460 pep supercontig:CcrdV1:scaffold_24:164250:170515:1 gene:Ccrd_007500 transcript:KVH90460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MSVIEILTRVDAICKKYDKYDVEKQRDLNVSGDDAFARLYAAVESDIESALQKAETASNEKNRASVVAINAEIRRTKAKLLEEIPKLQRLAMKKVKGLAPEEFAARNDLVLALPDRIQAIPDGGAAAPKQSGGWAASASRTQIKFDSSDGRFDDEYFQQTEESSQFRNEYEMRKMKQDQGLEMISEGLDTLKNMAQDMNEEVDRQVPLMDEIDDKVDKATSDLRNTNVRLKHTVNQLRSSRNFCIDIILLCVILGIAAYLYNVLK >KVH90501 pep supercontig:CcrdV1:scaffold_24:651869:654767:-1 gene:Ccrd_007543 transcript:KVH90501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g16610 [Source:Projected from Arabidopsis thaliana (AT3G16610) UniProtKB/Swiss-Prot;Acc:Q9LUS3] MAAAAASRTSITNTTFYLQILENSIKLRSLTNAKTIHQHFIKNNLKYSSVVLDKLTRVYISFHQLELAHRVFDNIPYPERKNNVLLWNQLIRAYAWEGPFDHAITLYVEMMQSGATANKYTYPFVLKACSAIGDVEFGKLIHDRVKSVFLGDDVYICTALVDFYVKCGLLGEARKVFDKMPNRDVVAWNAMIAGSSLHGMYHEMIDLVQEMQKVGLRPNSSTIVAILPAIGEANELMQGKAVHGFCLRRRFDGDVVVGTGLLDMYAKCECLENARRVFDTINVKNDVTWSAMIAACVACDSTMEALELFDQLMVKNGANMSPVIVATVLRACANLTDINRGRWIHGYSIKLGFVSYIMVGNTLLSLYAKCGIIDDAIRFFNQMDLEDTVSFNSIISGCVQNGHARVAFNLFHDMRSFGINPDMETMMGFFAACSHLAALQHGACGHSYSVVQGFTESTKVCNAIIDMYSKCGKIEMGRLVFDQMHKRDSVSWNAMIFGYGIHGLGLVAVSLFEHMQTVGFNPDDVTFVCLLSACSHSRLVADGKHWYAAMTHKFQINPRLEHYLCMVDLLGRAGLLSEAYEFILRMPFKPDVRIWSALLGACRIHKNVKLGEEISSKIQILGPESTGNFVLLSNIYSTAKRWDDAARTRVLQRDKGFKKSPGCSWVEINGIVHTFVGGDRSHPQWPLINTRLDKLLTAMKNLGYDGDYNFVLQDVEEEEKEHILLYHSEKLAVALGDLSLSPEKPILVTKNLRISGRVVILEESSKPPVQREILRRLFLIREATGERVRLIEITAGEMHDPRADLNHHWSRVQVFSGSLEIFLAVISVPHGIVEMRAGRLRHHDYQKKARFFSSSRTLLIWFIKLKHISH >KVH90502 pep supercontig:CcrdV1:scaffold_24:568296:580865:1 gene:Ccrd_007534 transcript:KVH90502 gene_biotype:protein_coding transcript_biotype:protein_coding description:HECT-like protein MDGLRKQQVSLRGASAKEISRDALLEKVTQEREFRNYMRRATAASLFIQRVWRRYISTKVVAVHLREEWQEMLSCHPVPMSRAWISSNLLRPFLFFVTTLATRRQRFDDRDVDCMQICFRILLESINSNDAQRNFCTLATCDIEERRTWTYQAKKLISLCVLILSECDYSYHEGHQYVVLTSMAMRFVVSLTDLKGWKALNNITLQEADMAVKDLVCYMCSEKSQLYISIRRYVSRLDIPFPSQIKTAGHTDDRFLITASAITLALRPFNSGNMKMNEDGFLDLQFAAEQYCVLLLTIPWFTQRLPAVLLSAIKHKSILLPCFRQILVRLVGKPISKEKILEDISKLNNLGQSSSPKMLPPVGWALANVICLAVGVDSNSEDSGKFTQGLDYVSYLRVVIILAKDLLTWFEGLGWIIKENQAIQVNGEPLVELVDPIPLQAQKFSNISYTDLLKPVCQQWHLMKLLILDKSSSIQKADSSLPEAPEKCELTDVAYFYSYMLRIFTILNPVVGSMPILNMLSFTPGFLLNLWAALEKSFFAKLDSAHDATNFFNSNITEDSRDRISKKKKKGVAKNGGTKWVNVLNKITGKSQGDIEQIESVNSLSTQRTEDDPSDVWDIESLRRGPEGLTTDSSHLIHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQRRIASMLNTLVYNALSHNVALQNRPLMDAAIRCLHLLYERDCRHQFCPPALWLSPAKKNRPPIAVAARTHEVLSTNLGSDDSLVISSMHSVITTTPHIFPFEERVQMFRELISMDKVSRRMAGEMIGPGPQAVEVVIRRSHIVEDGFQQLNPLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDIAKAAFAPDYGLFTQTSTSDRLLVPNSMARCVDNGFQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFIDELSALDPELYKNLMYVKHYDGDVKDLSLDFTVTEELPGKRHVVELKAGGKDVNVTNDNKLQYIYAMADYKLNRQVLPLSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGNHDIDVDDLRNNTRYTGGYTEGSRTVKLFWEVIREFEPKERCMLMKFVTSCSRAPLLGFKHLQPSFTIHKVACDLPLWATFGGQDVDRLPSASTCYNTLKLPTYKRSGTLRTKLLYAINSNAGFELS >KVH90453 pep supercontig:CcrdV1:scaffold_24:71481:73185:-1 gene:Ccrd_007488 transcript:KVH90453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKEMEKEPKFLKNGDAGMVKMLPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKITKAAVKKK >KVH90472 pep supercontig:CcrdV1:scaffold_24:152074:154815:1 gene:Ccrd_007498 transcript:KVH90472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGIRTLEKTPTWAVAAVCLVLVTISILMEHVIHLIGKWLTKNNKKTLYEALEKIKSELMLLGFISLLLTVGKSTITDICISKTLGETWLPCSKKDIEEMDGHHGANGEEIIHRRFLSLFASNVTAPRRVLSAASSGDRCKEQGKVPFLSSDALHELHMFIFSLAVFHVVCSIITLAFGRAKMKEWKTWEMETQTTEYQYTHDPERFRLARDTTFGQRHLSVWSRSPIFIWMVGFTRQLVKSVPKVDYMTLRHGFIMAHLAPNNHANFNFQKYIKRSLEEDFKLVVGISPPIWFLAVLFLLFSTNNCPFSWDKATGDHNQYGIEDSRKRSSSQGYTIGSANRQPLLVQLPATPPLSHPFRPLSECISVGFLCMYEYGPKSCFHKNKADFGIKVSMGYVTLPLYALVTQMGSTMKPTIFNDQVVKALHKWHQNAKKQVKLNCNSNSTTPVSTRPSTPSHQTSTSTSNHSSQRSQFHDALFKPEKPFDSLVSTSSVAVDVNDGSLTIDQYKIDVESAEFSFDKYVEVQMPK >KVH90481 pep supercontig:CcrdV1:scaffold_24:322353:324441:-1 gene:Ccrd_007514 transcript:KVH90481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAARSVFRSSSVRSAAARVSSQAKAPRSPFRIGRQNSLPNCIFRCPVEMSACLESLQPFHNATASALMTSMLTLSQRGYGWLPEGSSLVFIQFEASTRLDEDREAKVELDPSVLKALFE >KVH90511 pep supercontig:CcrdV1:scaffold_24:785345:793469:-1 gene:Ccrd_007551 transcript:KVH90511 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MVKSYLRYEPAAAFGVIASVESNIAYDTSGKYLLAPALEKVGVWHVRQGVCSKTLTPSTESRGPSLAVTSIAAVPSSPLVASGHADGSIRIWNCEKGSCETTLNGHKGATTVLRFNKTGSLLVSGSKDNDIVLWDVIGEVGLFRLRGHRDQVTDLAFLDSGKKLVSASKDKFLRVWDLDTQHCTQIIGGHHSEVWSIDVDPEQNYLVTGSADSELRFYTIKHEIVDGQSVSKTSKTNAETKDSSAESKWEVLKPFGEVQRQSKDRVATVRFNKSGNLLACQVAGKTVEIYRVLDDAESKRKAKRRISRKKEKKSSKIVDATDIGNADHEMKDEGNLPMVTVTDVFKLLQTVRANKKICSISFSPITSKNSLATLALSLNNNLVEIYSIESSSTTKTSAIELQGHRSDVRSVTLSSDSTLLMSTSHNSVKIWNPSTGSCLRTIDSGYGLCSLFVPGNKYALIGTKEGNLEIIDVRSGTSVEVVKAHTGSVQSIVPTPDGGGFVTGSTDHDVKFWEYETTKNPGQDSVQLTVSNMRNLSMNDDVIVVAVSPDGKHIAAALLDCTVKVFYMDTLKFFLTLYGHKLPVLCMDMSSDGDLVVTGSADKNLKIWGLDFGDCHKSIFAHADSVTAVKFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHAEVWCVSISHRGDFLVTGSHDRSIRRWDRTEEPFFLEEEKEKRLEEMFEADLDNTLENRYLPQQELPEEGAVAVAGKKTQETLSASDSIIEALDIAGEELKRLAEHEEETRRGKGAEFRPNIIMLGLSLSDYILRAVSKVHTNDLEQTLLALPFSDALKLLSYLKDWSLNPDKVELVCRIATVLLQLHHNQLVATTSTRPLLALLRNILHARVKECKDTLGFNLAAMDHVKQLMASKSDAPFRDAKNKLLEIRSQQSKRVEARADTKGERRKKKKQKQKDDGHVWS >KVH90483 pep supercontig:CcrdV1:scaffold_24:352423:378628:1 gene:Ccrd_007518 transcript:KVH90483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MPSPRMFPLLYFLLLLLFSGNATTGITSFDFQTFSATGFKFLGEAHVFNNSIRLTPELLVPDTSAGRVLYNKPIRFLQPGNPTPMSFTTTFSFSIDNLNPNSIGGGMAFVISPDDEAIGDPGGHMGIPTGAVAVEFDTCMDVEFKDVNGNHVGLDLGSMVSSHVADLHSANVNLRSGKPVKSWIDYSGSTNQLNIYISYSNTKPKSPVLSITVNLNEYVKEFMFVGMSASTQGSTEVHSLQRWSFNSSFDSKPEPNSPPPPTQTIVNTSQPSTTPTAYKTKKQSKLVHLKSKNLVRIMAAVGAAIAVVVALGCYPRSSFESNYVIILLCLVYCVTGISYTLAISLEFSQAQLWSVLLPVVLTLIANQEKKSVAFVVARFVFPRWALEAFVIANAKEYKGVWLLTRCATLQQFNYDIHNSKKCLGFLVATGFGCRRIAFILKTQTMASSPRVVPLLYSLQLLLSSGIAATGITFFHLQTFSVTGFKFLGKAHVFNNSIRLTPDLLVPNTGAGRVLYNKPIRFLQPGNPTPMSFATTFSFSIANLNPNSIGGGLAFVISPDDEAIGDAGGHMGIPTGAVAVEFDTCMDVEFEDVNGNHVGLDLGSMVSSHVANLQSANVNLRSGKLVKSWIDYSGSTNQLNIYISYSNTKPKSPVLSITVNLNEYVKEFMFVGMSASTQGSTEIHSLEQWSFNSSFDSKPEPNSPPPTPTTVNTSQPSTTPTAHKTQKQSKSVHWKSKNLVKIIAPLGAVAIAVVVAVGWYFDTFQTANSTFELRGRGSTSNPQNGSHTSQECTRRSVIPNSLREFKLSELKEATKDFNESCKIGEGGFGKVHKGSVKSLEDPFKDIDGYRQWETEVNVLGDVKLQHPNLVKLIGYCNEDHENESNWLLVYEYMPNGSVDDHLSAKSTTPLSWVRRLKIARDAATGLAYLHEGMDKQIIFRDFKPPNILLDREWNAKLSDFGFARNGPQDGWTHVVGTKGYAAPEYVQTGRMTSKIDVWSYGIFLEELITGQRPVAQNNPEKNPQFLRWVCCYAGDGNSKLSIDPRLENYSEKSMEKPLHWRISNFRMPANLDEDDSDIIPLSDNILLGCSSFAIPLNFDLIPDRRSWTGFNSQIMSDASTESVGRPNLPSFSQRPSNLRVFTFAELKSATNGFSRSAKIGEGGFGCVFMGSIKSPQDPSQKLHGHKEWVTEVNVLGVVEHPNLVKLVGHCAEDDERGIQRLLVYEYMPNGSVEDHLSARTKAPLSWTMRLKVAQDAARGLAYLHEEMGFQIIFRDFKSSNILLDGRWNAKLSDFGLARLGPQEGLTHVSTAVVGTMGYAAPEYIQTGRLRSQSDVWSYGVFLYELITGRRPLDRNRPKNEQKLLEWVKPYLDSRKFRLIVDSRLQGKYPLKTAQKLSIIANKCLCKNPKSRPKMSEVLEMVNQLIGVGSEGTSPRPPPMGPVLVARSVKPIEPEKVFVGSAEEKKTSDSEDMPSKGKRRFIAIKFGDSVWLSRIWPSKLLKT >KVH90499 pep supercontig:CcrdV1:scaffold_24:640191:644144:-1 gene:Ccrd_007541 transcript:KVH90499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETSYEPSGFNQNDDSAEYSIEERFYRKNHINGIKHNLNASSRNSSPRSNYPIEEDLSISNSNNSSFKSRITHDDQEQEYEESPPAVLPTSSVTKTGSSKNLLEAAEDTIEELRDEAKMWERNSQKLMLDLEILKERFSDQSKNLSDAQMELSAAQTECDGMKKEVDQLKMLLEETVVKQKATVESTYNSKGGSQLLRELENELKAHKEANVDLSQQLKRNQESNIELVGILQELEEATETQRAEIEELLEVKSKFSELEKSFNGNLVETRSLQLKLQQMEESEKTLHANLQILEQALENKISDLENARTSNSHSMSVLEKEYKSSLSVKEEEINSLELKLSNCISENKESEAEQMQEIQGLKQKVSEVEKECSELTNENLDLLFEFKESKKVIQVRNEAIEEGQKQLKDYSLKIRELESLNVEQEAQISDLEKEKEELQENMEVALKESNITSKCLDNLRNDLMVLSSSVDSQVSANKLLERKALELEKIKREVELRLFEVEEENVKLLEQVSALESQLRLVKDEHGVAQLELEKSESAIADLQNEVEKLQDVEKLLLDVQEECEYVKAEKQKLQDSAENLIEECNSLQKSYEEMTKGKAELYEQCSRLEIELMGARENLVISSERVEELEEKYSSMLEEYMFKEKSLSSHLDELNQENWQLKEKVTMEESLLNQMYLEKTAEIENFQSEVEHLKEEIFRLQEQKAKVASEALEEISSLTSEKSKLDYSLKEVHSRAEFIENQLQFVREESESKIQELTTELDAIKQSHKKLTADHDRKSKFLAGYRAREERRKTMENDLELKLTVSEYDRQQLIEESAKLKDKLQKTSKLENEVLDQKRNLDKVKYEKSNLEASLLSVSSSLEELKAEKMSLTEKMSILEASVSEYEDCKHQRNALQEKLLRLDGDLTAKGASCSQDAEMKNELSRIKSGNLQYQLKIQQLEGEKNECLKKVHALEEDLKLLSAKKDQTKSGSKMGVQETYSQEDTDYATKIEMLEAELDEALDANNKFERTKSSLETELKDLRDRYLEMSLKYAEVEAEREDLVMQLKTNTTTRKRFQFIDNP >KVH90510 pep supercontig:CcrdV1:scaffold_24:822090:828421:1 gene:Ccrd_007552 transcript:KVH90510 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MSGPVAIADNGERSLSISSRTRSLDSVSFHNSDNNHALTNGETARSSTESVAFRYGELKLPNGEFYSGSLLGNVPEGSGKYVWSSGCKYEGEWRRGMWHGSGKLHWPSGANYEGEFSGGYMQGTGTYIRSDKLVYKGRWRLSRKHGLGYQAFPNGDIFEGSWIQGSPDGPGKYTWANGNEYLGNMKGGKMSGKGVFTWTNGDSYEGSWSNGVMHGFGAYTWSDGGRYVGTWTWGLKDGKGAFYPNGCRLPARQELYLKALRKRGLLSDLQKVNQVSRIQHASSIDMGNFKVGGSRSSHRNSSDKFSKENFLNLESRNNNVSLERRWSLEVAIEKVMGHDLASYMSDSGLHSGEDDTKTPILEREYMQGILISELVVDSSFSPGSKREMRRQRKLVKDVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQKREVRTADFGPRASFWMNFPKEGSQLTPTHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPDYHRHVRTYDNTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRYDLKGSSLGRSADKVEIDENTILKDLDLNYCFYLEPSWRGALLKQIEIDSNFLEAQNIMDYSLLLGVHYRAPQHLKSLMSYNQSVTTDGLGIVAEEDGTVVVGPHIRGSRLRSSATGDVEVDLLLPGTARLQIQLGVNMPARAELLPGEEEKQMFHEVYDVVLYLGIIDILQEYNIQKKIEHKYKSFQFDSLSISAVDPTFYSERFLEFIQKVFPPNGTPN >KVH90459 pep supercontig:CcrdV1:scaffold_24:94220:96445:1 gene:Ccrd_007490 transcript:KVH90459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase/chloroperoxidase, N-terminal MSNQLRAVTLTHVRYFKGDQLGHFLAWISLVPVFISLGGFVSHFLFRRELQGMFFALGLTISQFVNEVIKTSVQQARPETCVMLEMCDSHGWPSSHSQYMFFFATYFTLLTHKKYGLLFRKQMLLVGLVVWPLALLTMYSRVYLGYHTVAQVFAGAGLGIFLGGLWFWVVNSLLRGVFPVIEESFFGRWFYVKDTSHIPNVLKFEYENARAARRHSSYKRSE >KVH90455 pep supercontig:CcrdV1:scaffold_24:145778:147512:-1 gene:Ccrd_007497 transcript:KVH90455 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MATHKPLSHLCFIFLFFLHFFSGNGEIRLNYYSQSCPNAEEIVKQQVISLYNEHGNTAVSWIRTLFHDCMVKSCDASILLETIHGIQSEKTSSRNVGMRNFKYINKIKDALEASCPMTVSCADIIALAARDGVVMLGGPRIDMKTGRKDSKGSHAAEIDSFIPNHNDTTLFVLSRFQSVGIDVEGTVALLGAHSVGRVHCLNIVDRLYPTVDPTLDPSYAEYLKRRCPHQQPDPNAVEYARNDLQTPMILDNMYYRNIMNNKGLLVVDQQLVSNPATSPFVEKMAADNSYFHDQFSKALLTLSENNPVSEEEGEVRRDCRFVNHN >KVH90491 pep supercontig:CcrdV1:scaffold_24:60305:61677:-1 gene:Ccrd_007486 transcript:KVH90491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKFTSNIKPNERHISTSSLVISHTNLIKFVIRISYFRHNHLLNLLIGRKVLEIVRLIYCLFNDKRNPKLEELQTQLFFSSFRFVRAPRYPIKWLLHSWQGLQLLLLRMLVDMASKPGMLSRLDQLHPHSANSIKGVSNLK >KVH90445 pep supercontig:CcrdV1:scaffold_24:192384:194968:-1 gene:Ccrd_007504 transcript:KVH90445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MDSENDIEEGVNDPRNDGDKDYEQPLAARIIEVEELTKWSFYRAIVAEFVATFLFLYITVLTVIGYKSQTDATRNSDQCGGVGILGVAWAFGGMIFVLVYNTAGISGGHLNPAVTFALFLGRKVTLPRALMYILAQCSGATCGCGMVKAFQRAYYIGYGGGANELSQGYGRGTGLGAEIIGTFILVYTVLSTTDPKRNARDSHVPVISCFGMPKVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVMYNKKKSWDDHWIFWVGPMVGAAGAQFYYEHILQAHLTLYHHHHHITR >KVH90489 pep supercontig:CcrdV1:scaffold_24:41816:43653:-1 gene:Ccrd_007484 transcript:KVH90489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVGFSIRRQLLLLLLQGLDRNLRAVSGSLNLANMGKTHGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKICSYVVCSGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KVH90504 pep supercontig:CcrdV1:scaffold_24:528744:531145:1 gene:Ccrd_007531 transcript:KVH90504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MDRIMEWNSGNPSSRPFIPRSAPQSSFAFLYNYNNDHYVYPPGVGEMKHQMMQGAVPAGALAMMEMNANEYDNNNQEKKKRLSSEQLEALENTFQEEKKLDPDTKMKLAHELGLQPRQIAVLALRTILKEQVSKRQGAGSSTGYTYISGEETVESTSVATIRTTTNHHPHQLTLGGQHATTTTAAAAAMDCNYVLNYDPATSMPPTPSYSNWAVLPTYP >KVH95469 pep supercontig:CcrdV1:scaffold_240:100202:102686:-1 gene:Ccrd_002470 transcript:KVH95469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT1G12740) UniProtKB/TrEMBL;Acc:Q9LN73] MWPVSLCIAAMVVVTITHWLYRWRNPKCNGDLPPGSMGWPLLGETIQFFAPNRTWDTPPFVKERMKRYGSIFRTSLVGRPVIVSTNSDLNYMIFQQEGQLFESWYPDSFTEVFGRQNVGSLHGYMYKYLKNMVLYLFGPESLKKMLHEVEDVATRNLEKWAGQESVELKEATANVSKRTTVLMIFDLTAKKLISYDPEKSSENLRENFVAFIQGLISFPLNIPGTAYHKCLQGNPDFYFHYLQLNQGRKKAMAMLKNMLEERRAKPRKVKSDFFDYVLEELDRGDSILTEAIALDLMFVLLFASFETTSLAITVAIRLLGDNPRVLQALTVSEEDMICFSLIFFNPFTCSCYCTQEEHENILRNRENKETGLTWKEYKSMTFTFQNSKNQSLIDANYMMLQLINETVRLANIVPGVFRKALKDIKFKDYTIPAGWAVMVCPPAVHLNPANYKDPLEFNPWRWERMDQKGASKNFMAFGGGMRFCVGTDFTKVQMAVFLHCLVTKYRWRTVRGGEILRTPGLQFPNGFHVEVTVKDKQNQGPTSESDTNPTNEERIIDMSSSTIPTN >KVH95449 pep supercontig:CcrdV1:scaffold_240:328390:329331:-1 gene:Ccrd_002485 transcript:KVH95449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1191 MGSNEPSFIWVFLLLLALPSRLLLIEGQGIKSTRLLDLVIRDYTFQSYNKYFKTGTQYNINLPSNLTGITVYTARYRCGSLKRYGATIKEFHLNVGVDVHPCIERILIVTQTLGSNWSNIYYDNYDELLGYQLVSPVLGLQAYNSGDDMNFNTQFEVKIRSPEKAGIQIDFTNHTSNGNSTLDGRIKMCATFGDDGKVTLEKEVAPNICGAMSHGHFGLVVQSPLLPVRKKMRRWTVAFGCSVGAAIAVFLVVLLLIAMFVKVKKKERLEEMERRAYEEEALQVSMVGHVIRVHSASATRTTPRIEQSLRPPR >KVH95461 pep supercontig:CcrdV1:scaffold_240:218557:225845:1 gene:Ccrd_002477 transcript:KVH95461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MVAFGKKLKDRQIEEWKGYYINYKLMKKKVKQYARQIEAGGLERRYVLKDFSRMLDNQIEKIVLFLLEQQGLLASRLDALGKEQDALQEEPDFSRISDLREAYREVGRDLLKLLFFVEVNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVEPVIDTIRAAVDRLSYSTNFLTFLGQHALIMQEELPMPTEEPVDDQRYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQIFSSVYFSAWSNRSYFRPLIFSSIVLFMGNVMYAMAYDFNSIAVLLLGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQVKFKIYKLTINQNTLPGWVMAFACSEAHQDDEEDDPECDASEETSEDSRAPVQLLIYFMLKYAMEILLSESSVVTTYYFHWTTGKVAIFLACLGLTVLPIVVCLGILMSFHVVIPYSVPQYVVSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTFNGGLLSTEAGTIARVIADATITLAGYLGESKLLNVTLLPSLMICIMSIVATCFTYNSLY >KVH95465 pep supercontig:CcrdV1:scaffold_240:151356:152030:1 gene:Ccrd_002473 transcript:KVH95465 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MADPPPPPPVNVCQVDQPQHPLSLPLPPPDNPAKLQLPPRTTVMTTSSSSGGSPNRPGPATTGKHPTYRGIRSRSGKWASEIREPRKSKRIWLGTYPTPEMAAAAYDVAALSLKGSDAVLNFPDFVGSYPVPALPEPALIRNAAAAAAGLMKSSADQRGGALESAGGGVDTELPAENEYVDVEALFDMPNLLADMAEGMLMSPPRQPDDPSLGDSSDCDNLWSY >KVH95451 pep supercontig:CcrdV1:scaffold_240:68314:70682:-1 gene:Ccrd_002465 transcript:KVH95451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MLSQSSSGNNPLLYSEEYDRARRSLRRQSVRDVARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAHSKPVVILDLIWNLGFVVAVVVVLVLSRDETPTTWLRLWIVGYAVQCVVHMEGASKEDIELLPKFTFHREGGAGRTNDEIQGVCGGVMTEYGTETPIERVLSPKDAECCICLSAYDDGVELRELPCCHHFHCSCIDKWLYINATCPLCKYNIVRNGSHGCDEA >KVH95444 pep supercontig:CcrdV1:scaffold_240:267571:273927:1 gene:Ccrd_002480 transcript:KVH95444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-coenzyme A synthase, active site-containing protein MAPQNVGILAMEIYFPPTCIQQDTLENFDGVSKGKYTIGLGQDCMAFCSEVEDVISMGLTAVTSLLEKYGIDAKQIGRLEVGSETVIDKSKSIKTFLMKIFEDCGNTDIEGVDSTNACYGGTAALFNCVNWVESNSWDGRYGLVVSTDSAVYPLLSYFIFGNKCKPFSMADAEYFVFHSPYNKLVQKSFARLVFDDVARNASTVDESAKEKLGPFTSLAGDESYQSRDLEKASQQVAKPDYDRKVQPGTLIPKQLGNMYTASIYAAFASLIHNKNSSLDGNRVMMFSYGSGLSATMFSLRLSEGKAPFSLSNIAKVMNIEHKLKTRTEVCYQILEMTINACEREMLMEHRYGGKDYVTSKDTSHLAPGTYYLTEVDSKYRRFYAKKTTELANGH >KVH95458 pep supercontig:CcrdV1:scaffold_240:85805:99182:-1 gene:Ccrd_002468 transcript:KVH95458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVKKPRAFHSESTNFKIFQSSLAEGKRIAENYFTSIQSSWGDSRRSLQPKRMSKRKDKFTTFHDSISERHSTVTLNMPSSVQADFKIASGYKKLLKLNNRQLQTSHPVCCVCQWEKNNRTVTLNEQGLELANYVAISKYLLVRKRHALTSRDKCNSQLHVLFGDDGKGRAFQNTQSSASVVASEFRQNVMIMRNLVLIRNLFAFFELRFGEELDASIDDTMRYNKRDDDDPYIKPAYFHMGLEQRANQVYISDSGLAKKYKDINTTHQQIPYG >KVH95453 pep supercontig:CcrdV1:scaffold_240:80388:84392:1 gene:Ccrd_002467 transcript:KVH95453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MGNGDLESRGSIKSRGAQPPGPPPAYYTSSSPFHTESSEKQWTSWLVPMIVVANVAMFIVVMIVNDCPKNHNSSLEGDCVAKFLGRFSFQPLKENPLFGPSSSTLEKLGALERNKVVDDHQAWRLVSCIWLHAGVVHLLANMLSLVFIGIRLEQQFGFVRVGLLYMVAGIGGSILSALFITSNISVGASALFTLVIIILVNLAVGMLPHVDNFAHIGGFLSGFLLGFVLLIRPQFSWQERRNLPVDARGKSKFTVYQYVFWLVSMILLIVGFTVGLVMLFRGENGNDHCSWCHYLSCVPTSRWRCDNR >KVH95466 pep supercontig:CcrdV1:scaffold_240:123133:130519:1 gene:Ccrd_002471 transcript:KVH95466 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MATPDQESIETFMSITGSSELVAIQKLTEHGANLNQAVNAHFTERDANIRHETDVAASQEDLMDIDEPSEVTTHRPSFSPFSPARDMNPLSLLDSNFNRHIIDSGQGAGPFVSHPREVRQVPIEVKDENGSDSHHPDSAPRIEDVNETLPEIVPEARGTLSIDDEVNDHLPSLQSSHPAGLSEPSEGVLVGTSQGTHLSPSAPGLDDLPDYGIEEQMIQAAIEASKHDTEISRPDAATAEQERASRELESEAGPSGTASKLAEVEEVAALASSNGRLGVGGSSIQDETDDADKHPLVRHRSRHMPSSSTDTAKNMGEVDLILSADPLQQENVNHLVNDNDDFPSDEWGGISSVEHDEAVMLEAALFGGIPETAGYHVRRGYMENGLDRTSGAYPRQTPRPPSPSLTAQRLIREQQDDEYLASLQADREKELQEQAAREAAMEEVRRKEEEAQRKLEEEQMIQEVHRTIFHDLPMVEEIERQLAAKEASLPQEPTSDDVNAVTLLVRMPDGSRRGRRVVKPTAYRLVRPYPRRAFGDGESELTFNELGLTSKQEALFLESI >KVH95456 pep supercontig:CcrdV1:scaffold_240:19723:21215:1 gene:Ccrd_002462 transcript:KVH95456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MATSPVGKTGELTVGESQRTIPTPFLTKTYQLVDDPSSDEMISWNEHGNAFVVWRPAEFARDLLPKYFKHNNFSSFIRQLNTYVRTCSNKKSNQIHRFMNSGFRKTVSDRWEFANDYFRRGEKTLLREIHRRKISTTTSATQAVITAIPLVGLPIPTSPANSSEELAGSSNSSPIITMIHGQPCTTKTDLVEENERLKEQNSNLRCELSHLRSLCSNVVNLMSNFVSNQPEMEIDGSEEKAVEGSATGPEVEEEMCPRLFGVSLGVKRVRRSAIEEQEDDEKMVEEQKFM >KVH95450 pep supercontig:CcrdV1:scaffold_240:44498:63100:-1 gene:Ccrd_002464 transcript:KVH95450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mammalian uncoordinated homology 13, domain 2 MDSSLLQRYRHDRRNLLDFILSSGLISDVPTSSASDFDFDAISADYVLECIQSGGGAFDISKATMKHTDESMFPTMIHSQSGDSFFLLSAPDSAGSPPRRVPPSVETNRAHRDRPHMSTPPDSFTGLEATITGYDCGVNHSYTVPSSSNFAKKTDIPSLGLPALRTGLSDDDLRESAYEVLLSCIAFSGIAIHSLENPKKDKGSRFLTGLKSRRDRRHHRSHSVENHFEHIDTIRVQMQISEAMDECIRQRLMQFSMRKLHVQVDIPQISIELLSGIQQNDFLVERSYTQWRKRQANVLEELFSSVSYPEMQELGILLDKIRNPEEWNIIMTPAERSEVLLAIRQVASLLSSMQGSSSIQGGSSYWNAGYHLNIRLYEKLLFGVFDILDEGQLIEVYTCAIEHAEYLKLIKLTWATLGITQKMHDALYGWVLFQQFIETEEMLLLDQANLQVQKVLSANYDKGNEDHCKDNLMCMVVYNGTETRLSLVQAIFRSINVWCDRRLQDYHLHFSEKSSFFQRLVSMGLAVGTDNSGTGNKVKVGPSAKSFDFLFQLINSDAIGETAAFRVRVYVERSVEAACKRVEDAINLISKLEGKHPLALLASELRMINERERSLYSPVLCQWCPDAGMVVSRHLHQFYGKRLKPFLDDISLSEDAISVLSAAHHLELDLIRSPNSEDEENGVGSFSINEIDFYQIHKISRPIVLDWLISQHERMLEWTGRAFHLEVWEPLSNQQKQAASVVEVFRIVEEVFEKHHLYPAAPPLTRYKETMFPIVKKKVVESVLLDEEVDEKLRGLTVNKLCVRLNTLQYMQKQINILEDGIKKSWASMGPGNSRDCKEPPGTTDFILTDSESVDELFVATFDSIRDSILNHVCGLIDDALRDMVVASICRAALEGYVWVLLDGGPSCAFSDSDITMMEDDLNMLKDLFVADGEGLPRSLVEVESKLAHQILSLFSLDAESVIHMLMMASEYLSTGFELRISGQRSLDDANTLIRVLCHKKDREASKFLKLQYHLPASSDYIDTPSFEPTPKSPIGAEFLKSASARWGEKGNSSFRLLKKRFQEVQGGSFRWS >KVH95468 pep supercontig:CcrdV1:scaffold_240:236380:241485:1 gene:Ccrd_002478 transcript:KVH95468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MLLTHTYHYNHTRRSPAMVPFSGWWRMVAAVLNAALLFHGASFAQTPTDPGYSFMHQATTAPPVSYYDYIIVGGGTAGCPLAATLSQQSSVLLLERGGSPYGNTNITDLSAFGAALSDLSPTSPSQRFVSTDGVVNARARVLGGGSCLNAGFYSRADAAYVKLSGWDAGLVNESYRWVEKVVAFQPPLKKWQTAVRDGLVEAGILPYNGFTYDHLYGTKVGGTIFDNDGHRHTAADLLRYAKPSGLTVLLHAPVHKILFNIQGGSKPKAHGVLFADANGFSHRAYLKRGSSNEIIVSSGALGSPQLLMLSGIGPIKQLKAHDITVVLDQPMVGVGMSDNPMNAVFVPSPQPVEVSLIQVVGITHNGTYIESACGENFAGGARTQDYGMFSPQIGQLSTVPPKQRTQEAIDKAVEDMKALPQSAFVGGFILEKIMGPISTGHIELTSRNPNDNPTVTFNYFKDPRDLQRCVDGIKIIEKVIESKAFSSFRFDSLSIVALLNMTASSPVNLLPKHANASRSLEQFCRDTVMTIWHYHGGCQVARVVNRDYKVIGVDALRVIDGSTFRNSPGTNPQATVMMLGRYMGVKLLRERLAANEA >KVH95455 pep supercontig:CcrdV1:scaffold_240:11710:15155:1 gene:Ccrd_002461 transcript:KVH95455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGSGVLMMAVVSPSAAAAAAAYSSPAMVVPTPSPFSFSVASTRWSSSRPSIRMSGLFCRAMVLVFSFASSLALAATIYSFVVTTLVFVYSAYQLFKGVTDIAYRGIFISDRTSDYVSFVLDQLAGYLLLSCSSVTALAIHHEEVEAKNTSMMKAAMVCVCMSFVAFFITAASAILSGYKLSKRIMW >KVH95454 pep supercontig:CcrdV1:scaffold_240:9591:10601:1 gene:Ccrd_002460 transcript:KVH95454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyccin MSAEQQVSWSDSFSQAQTAIQSLATILPAVPSSVSASNTPALALLTDPDLAAQISNHLRQPDSGAGDNQLCRWLYDTFHTSKIDLQLVVLRFLPIIAGVYLLRIPHRKPLAGFEAVLLAIYAHETTSRNGQATTVNIPDLSHPSVYHESKHPYKSNSTELNLVVVSPGLEPQGTIRSTKRARIIGVALELYHSKILDMPVQSKLDLCEFCIIWAGQDGDFYKDFPNIDQIEDDDKKGKEGEMSKEKKEGRVLLPWEMLQPILRILGHCLMGINKNNKKNIELYEAACRASRSLYARALHDINPKAILAIGSLLKLIKFSSICVDHTELPVTNVINL >KVH95462 pep supercontig:CcrdV1:scaffold_240:194232:208564:1 gene:Ccrd_002476 transcript:KVH95462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate decarboxylase-like domain-containing protein MANEEVYVDQQVPSGVLTGIRFKEIVVANEVTDPALGFPNPASQCHTCGAKDYRTCEGHLGLIKFPFTILHPYFLPEVAQILNKICPGCKNLKKDKGKKTEMASPIQILKTCKYCDKSYKDVYPPMKFKVSTKDVFGKTAIIAETRSSGRLNSDKSLPPGYWDFVPNDPQQEEAFSTFNRRVLTHAQVYEILKDVDPSFLKGSLGKKNKIFLQSFPLTPNCHRVAEFGQNLTFDERTRAYRKMIGFRGTANELSSCVIDCIKLSKLDEIGVPHDIAETMLVSEQLNSLNWEKINASCGLRILQRGETFIRRRGGLVPVRYGDNLRIGDTVYRPLNDGDIVLINRPPSIHSHSLIALRVKVLPVNCVLSINPLICSPLRGDFDGDSLHGYIPQSLECRVELRELVTLENQLVDKQSGENLLSLSHDSLTAAHLMLEDGVFFNRPQLQQLQMFCRHQQLELPAIMKKSKDSPLELPADMSNSCFWTGRQLFSLLMAKDFDLSVAGAQIKRGEFVGLLNPSSCLQAADENLYNYLIKNFRGEEVLEFLHSAQELLIEWLSMRGFSVSLLDLYLSSDAQNNMNDEVFYGLREAERQAHGQLLMVDDHQDFLSGKLTENEGYSELESEKLCHDQQTSAALSRASAAAFKEVFRDLQNLIYNYATKDNSFLSMLKAGSKGNMLKFVQHSMCLGYQHSLVPLSFHFPRQFSCVSWNNHKRAELSFPLGVDRYVPYGVIKSSFLAGLNPLEFFVHSVTNRDASFGGHADISGTLFRKLMFFMRDMYVGYDGTVRNCYGNQLVQFSYNSKNAPGQSKSLLNNAECGEPVGSLAACAISEAAYGALDQPISALENSPLLNLKKVLECGVRKLSGNKTASLFLSQKLKRWTNGFEYGAIDVKNHLEKLLFQDVVSLITVLFLTIFVMLTSYSPQTGKRSRFSPWICYFRISKEAASRKQLKVQSIINALKCKCSDSTSLKKLKLNLPKLEIASKNCPEDDAKNEHVFITAQIVDGSNASLNLLQDVVVPFLLETVIKGSSNVKKVDILWQDCPKTSKSGKESSGELYLRVFMSENCDRRNFWRFLMDDCIQIMDMIDWERSHPDDIQDVIIAQGIDAARNHFLSTMKSAISDTGKNILPEHLALAADCLSATGEFVPINAKGLSLQRKQVSISAPFTQACFSNPSDCFVKAAKSGASDKLEGTIDALSWGKVPALGTGGRFEILFSGEKHQIDKPEDVYSLLSKNIDSQEEKVEVPIEVDDKHTKNNTPAPLLYPYNNFAIDGSADIMKTVLKKHISAEDIKRLSKDLKHILYKYDVNHKLSQDDKLVAWKALLFHPRRDEKIGIGLYEIKVGYHSTHGSSRCFVLERVDGTTEDFSYHKCIHHALKLISPNEAKLYESRWFGRE >KVH95448 pep supercontig:CcrdV1:scaffold_240:322000:324608:1 gene:Ccrd_002484 transcript:KVH95448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staygreen protein MASLILPTKQNPPSSSFLQRNHQNPLFFCKRRRILKKNQTLVPVARLFGPSIFEASKLKVLFLGVDEKKHPGNLPRTYTLTHSDITSKLTLAISQTINNSQGWYNKLYRDEVVAEWRKVKGNMSLHAFAHGDGNLLKNYPELQEASVWVYFHSNIQEFNKVECWGPLKDAVGPLSTSSESTIVEDESSNWEVPKPCPEECACCFPPMSSIPWSHDLVRNQDDDGVTPNGLQQKA >KVH95460 pep supercontig:CcrdV1:scaffold_240:131758:138807:1 gene:Ccrd_002472 transcript:KVH95460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase, MBOAT METKMKEMAYLIGVSVPVFQFLLCFVATIPVSFFHRFVPGGSTGKHLYAAFTGAVLSYLSFGLSSNMHFLVPMIISYGFMVFYRKRCGLITFLLAMGYLIGCHVYYMSGDAWKEGDIDATGALMVITLKVISCTINYNDGLLKEEDLRQTQKKNRLLKLPSFIEYVGYCLCCGSHFAGPVYEMKDYLDWTEMKGIWMKSDRPSPSPLGGTVKAILQAAFCMGAYIYLAPQYPLSRFTDPIYNEWGFMERLIYQYMCSFTARWKYYFIWSISEASMILSGLGFSGWTNRSPQKARWERAKNVDILGVEFAKNVYDRLVLKGRKPGFFQLLATQTISAVWHGLYPGYMIFFVQSALMISGSKAIYRWQQSVPSDKRGLKKMLMHSNFAYTVLVLNYSAVGFMVLSLDETITAYGSVYFIGTIVPVVFIILGNIVAKPKPAAPRVRKQQ >KVH95463 pep supercontig:CcrdV1:scaffold_240:180523:183690:1 gene:Ccrd_002475 transcript:KVH95463 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MKGEGQSRFLFGISLTDRPKWQQFFICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFFQSFVYLILIYFQGFTPKQMVNPWKLYVKISAVLMGSQGLTKGSLAFLNYPAQLMFKSTKVLPIMIMGAFIPGLKRKYPLHEYVSAVLLVVGLILFTLADANSSPNFSLIGVVMVCGSLIMDSFLGNLQEAIFTINPYTTQMEMLFCSTVVGLPFLVPPMIITGELFKAWHSCYEHPYIYGVLVFESVATFIGQVSVLSLVALFGAATTAMVTTARKAVTLLLSYMIFTKPLTDQHGSGLILIAMGVILKMLPNHKVPGTTQWSDTGKQVKWHLKEQKSADLEEKRPLV >KVH95447 pep supercontig:CcrdV1:scaffold_240:335191:348261:-1 gene:Ccrd_002487 transcript:KVH95447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQPLQSTLVTDNHLPLSIIHHNHIFTLSFYPWIKEIGNSFDPSDPLYLIHRPSARVVIVVGHSPLKSEHLDLYPSVEVVVGTSAGVDHIDLAECRRRNIHVTGAGDAFSEDVADYAVALLLDVLRHVSAADRYVRSGLWPVVGDYPLGNKEIINPLDPSDPSFLIHGPSARAVIVLGPSPLKSEHLDQYPSVEIVVGTSAGVDHIDLAECRRRNIHVTGAGDAFSEDVADYAIALLLDVLRRVSAADRYVRSGLWPVIGDYPLGNKLGGKRVGIVGFGSIGTMVGKRLEPFGCSIAYTSRNKKSQIPYPFYSTVLELATASDALILCCSLSDKTHHIVNREVLMALGKRGILVNIGRGAIVDEKELVKVLGGGELGGAGLDVYENEPEIPKELFTMDNVVLSPHRAVLTPESFGALKDVVIGNLKAFFSNKPLLSQVNLND >KVH95459 pep supercontig:CcrdV1:scaffold_240:86644:100039:1 gene:Ccrd_002469 transcript:KVH95459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Generative cell specific-1, HAP2-GCS1 MKHCIRVVIISLIVSHRIVNGGVQLLSKSKLEKCEKVSDKNEVPLNCTRKILINMAVPSESSGKEASIVAQVVEVEENSTDNMRTLRVPPVITVNKSAIYAQYKLTYIRDVPYKPNELYVKTRKCEPDAGANVVQLRDEEGHIIERTQPVCCPCGNQRRVPSSCGNFYGERKGQYSTLPPFSRFHVFGIGQYSVGFSIRVEVKTGSRISEVIISPGNRTATSTDNFLRVNLIGDYVGYSDIPSFENYFLVVPRQGNKGEPQDLGRNFSMWMLLERVRFTLDGLECNKIGVSYEAFNGQPNFCTAPFWSCLHNQLWNFWDADQNRIRQKQVPLYDVQGRFERINEHPNAGSQSFSIGITEVLNSNLLIELSADDVEYVYQRSLGKIESITAPTFEALTQFGTATITARNIGQVEASYSLTFDCSVGVSKMEEQFFIMKPKEAATRTFKLYPTTDQAVKYNIALFEYLIGYIVLETHLIAAILKDSDFHEVDRAECQFTTTATVLDNGSQIPFEPPKNGIKGFFESIGELWHNLWENMIDFITGKTCRMKCSGLFDFHCHIQYLCMRWVLMFGLLLAIVPTVIVLFWLLHDNGLFDPYYDSWEDQFWDDERKVRHIRHHRYDDFLEVHSRKHHRGSAHKRRRSVHQEHRQNHLSRDNDYHHHHPHHPHHHHHHHHVKKEKHKHGQIKGSDIMQPLYVDKEEDDFISNRRHKKERDIMEELNKSSGHDDEILKEHHRHKHVLRDDRPHKHQQSKRRNQRNQIS >KVH95445 pep supercontig:CcrdV1:scaffold_240:274475:276231:-1 gene:Ccrd_002481 transcript:KVH95445 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MGSSSNGSPAKPFKFLIYGKTGWIGGLLGKLCESQGIQYTYGSGRLESRQTLVADLDAIKPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVEELLKNYENVCTLRVRMPISSDLTNPRNFITKISRYDKVVDIPNSMTILDELLPISIEMAKRNLTGIYNFTNPGCVSHNEILEMYRDYIEPSFTWKNFNLEEQAKVIIAPRSNNELDAIKLKTEFPELLSIKESLIKYVFGPNRTTPVAA >KVH95446 pep supercontig:CcrdV1:scaffold_240:332610:334237:-1 gene:Ccrd_002486 transcript:KVH95446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MASFHHSYVFCIIVLFGSVLVRAVYSIPRAPIVYRPSPWTLAHATFYGDESASSTMGGACGYGNLMTNGYSTNTAALSSTIFRDGYACGQCYQIRCVESPWCSKGIATITATNLCPPNWSQDSNKGGWVPCVRKGGVRFSFQGNGYWLLVYVMNVGGAGDIRSMWVKGTKTAWISMSHNWGASYQAFATLRGQALSFRLTSYTTKQTITAYNVAPANWNLGLTYQANVNFH >KVH95467 pep supercontig:CcrdV1:scaffold_240:252951:254865:1 gene:Ccrd_002479 transcript:KVH95467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MSSPCIREVSRACFRRCCPTPLLRLPENHSPEQSISPSRYDFIAATAFSLQPSAHFTNHESLPDLDQSFSNMKKAYPNYSETDRADRIRDQEYYQLYQSSHVCLDYIGHGLFSYSQQLHSNAPFFNIIYKSVNLYTQIMHGGQEADFESVIRERIFRFMNISSDDYSLVFTANQSSAFKVLADSYPFHTNRNLLTVYDHENEAAEAMIDSCRKRSGRVNSAVFSWPNMRIQSKRLRTLVVNKNKNKKKKKKEGLFVFPLQSKVTGSRYSYLWMSLAQENGWHVCLDANALGAKDMETLGLSLFRPDFLICSFYKVFGENPSGFGCLFVKKSRSSVLKNSATSTGLVNLIQASNRPLFLQPSGSSDRRKEDIAMASSSSSSSSHHEAFEIQEIREIGEEKKVLSFSEVLKRDKSLDIAESRKNEASSSGVSSDIEFRGLDHADSLGLVLISSRVRYLVNWLVNAFGSLQHPHSENGFPLVRIYGPKVRVDRGPVVAFNVFDWKGEKIEPTLVQKLASRHNISLSNATLKHVNFVDKSCEEKERLVEVKSIEREGQNSPMTKKKEKLELGIPVIMATVGFLTNFEDVYRVWAFVSRFLDADFVEKERWRYMALNRTTVEV >KVH95442 pep supercontig:CcrdV1:scaffold_240:283477:300558:-1 gene:Ccrd_002482 transcript:KVH95442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTDEGSRHPQGVEVVPWTSEGPLKVMLLHGNLDITVKEANNLPNLDALNKKFSPLSGTSDPYVTISVANAVIGRTFVLNNSENPVWMQRFCVPVAHHTTEVLFVVKDSDVLGSQLIGAVGIPAAQLVANAVVKGTFPILNASGQPCKPGAVLALSIEYTPVDKVVLSRNGVWSGPEFKGVPGTYFPLRRGGKVTLYQDAHVDGSLPILKLDRGLKYVQGDCWRDICDAIRQARRLIYITGWSIFHKVQLVRYGPKARDSILGELLKSKAEEGVRVLLLVWDDPTSKSIFGYKTEGVMQTHDEETRVFFKNSSVQVLLCPRSIAKSSWAKKDAETIYTHNQKTVIVDADAGIKRRIMAFVGGLDLCVGRYDTPEHSLFSTSQTLHKDDYHNPNYTGPTAGCPREPWHDLHCRIEGPAAYDVLQNFEERWLRASKPRGLSKMTKFSDVLLKLDKIPDILGSTDALYTSAKDPEGWHVQIFRSIDSNSVKGFPKDLKDAKSKNLICGKNVLIDMSIHTAYVNAIRAAQHFIYIENQYFLGSSYNWANHKSLGANNLIPMEIALKIANKIRANERFAAYIVIPMWPEGNPTNSATQRILVWQHNTMQMMYEVIYTALQETGLENEYEPQDYLNFFCLGTRETSHDVAPTSKGKLPFSPNTPQALSITSRRFMIHVNSKGMIVDDEFVILGSANINQRSLEGTRDTEIAMGAYQPHHTWAHKGSTPTGQIYGYRMSLWAEHMGEIESSFWQPESVECVRRVRLVGEQNWEQYTADKVTDMTAHLLKYPVEVDRKGHVKPLPGCPNFPDVGGSIVGSFASGPTADCPREPWHDLQCRIEDILGSTDALYTSAKDPESWHVQIFRSIDSNSVKGYPKDLKDAKSKMAEIGYGGSQHGQSVEVIPFKTSNASLKVLLLHGNLDIWVKEAKNLPNMDMFHNKLGQVFGRMPTFSGKNKTDDGKPVKVTSDPYVTVSIANAVIARTFVISNSENPVWLQHFYVPVAHYSAEVQFVVKDSDVVGSQLIGAVGIPVEQLVSGSIVEGTFPILNESGKPCRPGAVLTLWIQYTPMERVPLSQNGVGSDPDCNGVSGTYFPLRRGGKVTLYQDAHVDDGCLPNMWLDGGLKYENGDCWHDICEAIKQARRLIYITGWSVFHSVQLVRNGDGAKDSFLGDLLKTKSSEGVRVLLLIWDDPTSRSILGIKTQGVMQTHDEETRRFFKHSSVQVLLCPRSAGKGHSWAKKQEVETIYTHHQKTVIVDADAGNYRRRIMAFVGGLDLCVGRYDTPRHRIFSTLQTVHKDDYHQPNFTGPTDGCPREPWHDLHSRIEGPAAYDLLKNFEERWLRASKPHGIRKIKKSSDDSLLKLDRIPDILGIDDAHCTSEQDPEGWHVQMLITGWYVRQFEQNKTMQMMYEVIYKALVEVGLENVYEPQDYLIFFCLGTRESSKGTEPTSDEKGSNAANTPQSLSRKNQRFMIYVHSKGMIVDDEFVILGSANINQRSLEGSRDTEIAMGAYQPYHTWAHKRDSPHGQIFGYRMSLWAEHIGGLESCFERPESLECVRRVRLLSELNWKQYAAVEVSDMNAHLLRVCPSQFPRLGFSSIASRLLSSIVAPPPSSRLISLAQ >KVH95457 pep supercontig:CcrdV1:scaffold_240:34645:35247:1 gene:Ccrd_002463 transcript:KVH95457 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEITIISAQGLKKTSALSSIFSHRHLRPFITLTTTPPSAAHGGDPSHVYTTTVDHEGGVNPSWGDKFDLSSVIDASFFYHKYSCIYLQLYTNRLLLGPRFLGWCGIPATDLADGFSPAGTVRQLSYRLRKKDGSRAHGVVNVVVKLDSSIFQARRRVDSDVRRLPEMTFGGVAIGIPVKMLPAVSDHQGSSSVIGGQNRY >KVH95443 pep supercontig:CcrdV1:scaffold_240:302856:307262:-1 gene:Ccrd_002483 transcript:KVH95443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MQEQGLGMMGGGGGIGGGFTDMSVSLSGDQNRQLKAEIANHPLYEQLLSAHVACLRVATPIDQLPLIDAQLSQSHHLLRSYIAADHHNQPLSPHDRQDLDNFLAQYLLVLCSFKEQLQQHVRVHAVEAVMACREIEHNLQALTGVTLGEGSGATMSDDEDEMPMDFSLDQSGGIDGSHDMMGFGPLLPTESERSLMERVRQELKIELKQEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSNSMTALKSKRKR >KVH95470 pep supercontig:CcrdV1:scaffold_240:4339:8943:1 gene:Ccrd_002459 transcript:KVH95470 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEGLHLFLFLSVPLYIFCALIPENKQTAFLDFRNLPPLSIFSLIFFYLHFHFHRHLHLSVCVCVMEGSSILVTGGAGFIGTHTVVQLLNQGFKVTIIDNLDNSVEEAVDRVRDLVGPQLSLNLQFHLGDIRNKQDLEKLFSGAKFDAVIHFAGLKAVGESVLHPFRYFDNNLIGSITLYQVMAEYNCKKLVFSSSATVYGQPKEIPCNEDFELKAMNPYGRTKLFLEEIARDIHSADPEWKVILLRYFNPVGAHESGELGEDPKGIPNNLMPYIQQVAVGRLPELNVRDYIHVMDLADGHVAALKKLYKQQDIGCSVYNLGTGRGTSVLEMVTAFEKASGKKIPIKLCSRRPGDATEGKIWDRGDVQRSMELGKTEPVGISEEEIRNKGVRSVFLWL >KVH95452 pep supercontig:CcrdV1:scaffold_240:73449:78156:1 gene:Ccrd_002466 transcript:KVH95452 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MASSSSSLSSHGYEIPWVEKFRPTKVADIVGNEDAVSRLQVIAHDGNMPNLILAGPPGTGKTTSILALAHELLGQNYKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKVIILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSAKIIEPIQSRCALVRFSRLSDQEILGRLMIVVDAEKVPYVPEGLEAIIFTADGDMRQALNNLQATYTGFRFVNQENVFKVCDQPHPLHVKNMVRNVLEGKFDDACSGLKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLSKLSLACLTAKAA >KVH95464 pep supercontig:CcrdV1:scaffold_240:177015:177727:1 gene:Ccrd_002474 transcript:KVH95464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDFPCDDEDEVTSPFQHSHIYVKVLSQIGRKQKLMPKVRRSRIRAQLEPTRLEDRIALSESKTPYSPLQSSLQNGLESDQEKYQIEKKAVALLQLLKATMPSDNLFESTITDNILLGFFIEQINQGNVSNLAILQEAKDWMNGNTRKWLESQNYKITYITDMEKKGLKWLKYDDEEVGLELEYEVFTSLVDEMLLEFYF >KVH87787 pep supercontig:CcrdV1:scaffold_2400:13008:18714:1 gene:Ccrd_024927 transcript:KVH87787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8A, DUF1034 C-terminal MMLFTVVGGHGSLEMRTVERMFTSIILFLLLVIGKAEIYIVMVEGEPITSYRGGVSVIWLHHTLVTLNSSMILFLKRYSIKELTRNSTVTSILSMADTLRRAPGVKSVDKDWKVRKLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIFPHHPSFANHNSEPYGPLPKYRGKCEVDSDTKRSFCNGKIVGAQHFAEAAIAAGAFNPSVDFASPMDGDGHGSHTAAIAAGNNGIPVRVHGYEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIEQAVHDGVDILNLSVGPNSPPATTRTTFLNPFDATLLSAVKAGVFVAQAAGNGGPFTKSLVSYTATSLNQKYTLVAANDVVLDSSVMKFSPTDCQRPEVLNKNMVKGNILLCGYSFNFVIGMASVKKVAETARSLGAIGFVLAVEYVSAGTKFDPVPTGMPGILITDVSKSKELIDYYKASTSRDWTGRVKSFKATGIIGEGLEPILHKSAPIVALFSARGPNIKDYSFRDADLLKPDILAPGALIWASWSPNGTDEVNYLGENFAMISGTSMAAPHISGIAALIKQKHPRWSPAAIKSALMTTSNTLDRAEKPILAEQYSGSETLMFVPATPFDYGSGHVNPRAALDPGLIFDAGATFPCRLHTYIFKSLFSYNSGNCTSYEDYLGFLCTTPGINYHELLNFTHRPCNYTIGHPYNLNSPSITVSHLVRTQTISRTVTNVNEEETYTITARMAPAIAIETSPPAMTLRPGASHRFTVTLTVQSLTGTYSFGEVLLKGSRRHKVRIPVVAMGYDR >KVH98807 pep supercontig:CcrdV1:scaffold_2402:32960:37727:-1 gene:Ccrd_022965 transcript:KVH98807 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MESLESSSVPPGFRFHPTEEELVGYYLKRKVESLRIDLDYPTGTRTNRATAAGFWKATGRDKAVLSKDRIIGMRKTLVFYKGRAPNGLKSDWIMHEYRLQSSQHAPIQASSHPIHRFIRRNAEEGWVVCRAFKKPSPVQKQAFETWNDGYHLGNTNNFRSFSSANDPFNPLQSFHSNQSTSSHQLPFGTDQDQQFFANHFLIEHSNIDSPSASRSLATNEDGDYESRIDFNQYGNDWKNMENLMESELSKSSSFSYSIS >KVH98808 pep supercontig:CcrdV1:scaffold_2402:23082:28653:1 gene:Ccrd_022964 transcript:KVH98808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 MAMSFSCPSSSCSPSITSIFTHKTYAPITATTFNFTCNPPHSSISSLQFTTPSYHRPSSSSRIVPKLSQTEPSTLVSEDEVSEPEQPESAEETLSTTEPKGEEVFAVVMIGGRQYIVFPGRFLYTQRLKGANVDDKIILNKVLLVGTKTSTYIGKPVVPNAAVHAVVEEQGLDPKVVVFNQTLEFGSWESQAIKILLQQLSPDSCQILQV >KVH94988 pep supercontig:CcrdV1:scaffold_2403:56328:58334:1 gene:Ccrd_002944 transcript:KVH94988 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASEVVVVMVPFVAQGHLNQLLHLSGLLSSYNLPIHFLCSATNTRQARHRLHGWNPNNLIHFHQLPDPEFTSPPPDPNSTTQFPTHLQPSFNSSLHLRRPVADIIRSLSHTATRVAVVHDSLMSYVVQDVKSIPNAETYIFRALSIFYVFCFQWEKLGRKLPFETHLLHRLPMSAESMTQEFMEFIKLQYSHQTIHVGNLYDSSRVIEGKFLELLEKDSINGKNHWAVGPFNPVDIQSEISDSCRRRHNCLQWLDKQPEKSVVFVSFGTTTTFTEEQIGELAVGLENSDQRFLWVLRDADKGDVFMNDGGRRVVLPEGFEERVAERGLVVRDWAPQLEILGHRSTGGFVSHCGWNSSMEAMTAGVAIAAWPLHSDQPRNAFLMADVLGVALMMKDWSCRDELFTSVMVEKVIRKLMDSEEGEAIRQRAAELGGELRRSMAEGGVTLLKQHAFVT >KVH94986 pep supercontig:CcrdV1:scaffold_2403:34612:39987:1 gene:Ccrd_002943 transcript:KVH94986 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSHEQNGTIQWPAAEVVVVMVPFVAHGHLNQLLHLSHLISSYNIPVHFVNTTIHIRQLRSRHHDSTPIHFHGFPTPPFTSPLPDHSKRFPCHLQPAFNSTLHLRRPVADLILSLSATTRRVAVVHDFLMSYVLQDVHNIPNVDTYIFNPLSACATFWIEWERCGRPFSVDSEVMKRIPSGDGAFSPEFMELVDLQYPHVTSHVGELFDSSRAIEGEYLEYLEREELKGSKKVWAIGPFNHANKPLAMVSENRHKCLQWLDLQPPNSVVYVSFGTTTTFSDEQMKELAIGLERSQQRFVWVARAADKGDVFGDEAKMAEFPDGFEVAVEGRGLVVRGWAPQLEILGHLATGGFMSHCGWNSSXESISTGVPMITWPXHSDQPWNAFLITDVLRIGLMVHDWEHKDELVTSVVVEDLIRRLIDSREGEEMRKRTAELADSVRRSVTDGGVCPHGHLNQFAYLSRLISTYNIAVHFVSSTTHIRQLRSRLHLLDPLSTASNLIHFHELPIPLFTSPPPHHSNRFPSHQQPAFESTLHLRRPFTNLVLSLSSSTGRVAVVHDFMMSYVVQDVNQISNVETYIFSALSAFDSFCTTWKGTGKQFPADLQILNGLPSQDGCLSPEFQEFVKLQQGHDGFHVGTLFDSSRSIEGEYIEYLEKEEEKNDKKKKKLWAVGPINSGHQTFVTVTENVTNVKELAIGLERSQQRFVWVARVADKGDVFVDEAKMIELPNGFEERVEGQGLVVREWAPQMAILGHSATGGFMSHCGWNSTMESILMGEPMATWPMHSDQPRNAFFVTEVLRIGLAVVVDWGCRDELVTAMVVEDIIRRLMDSREGEEMRKRAVEVGGIVRRSVADGGVSRKETDSFVSYIRRPK >KVH94987 pep supercontig:CcrdV1:scaffold_2403:67698:68571:1 gene:Ccrd_002945 transcript:KVH94987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSYRLQKNKKESKFSNTSENPVDVDDESNEVAILNVGQAKQRATDCSTRRGKIYKRPPKSMEEFKDDDDFEIQDQNIRKKVKRVKEDTKGREDKGKGTIKTPSSSSYENIT >KVH87786 pep supercontig:CcrdV1:scaffold_2406:49118:56012:-1 gene:Ccrd_024928 transcript:KVH87786 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein LKLSEFWEPWLQPGSPCLWETASIILQLGFLAVLLSGFLKRLIESPSIRESKIKIQETYPTNMKTGLLYKLSLASSVLLLGTHIMIPLMLKTRSEAYCKLEIPILSSEIMQIVSWVMTLIALYMIPKHKNIDFPWILRSWWLCTFLLTVFRLTLDVHFLVSHHHRPELLEYIDFFGLVASTCLLFLAIRGKTGMTMANPSAVTEPFLDRNSKQHHSEEKRECPYGKASIFQLVTFSWLNPLFVVGIKKPLDQDEVPDVDINDSGSFTSKFFDECLNHQGTENPSIYKVIYLFTRKKVAINALFAVTSAAASYVGPYLINDLVSFLNEKETRSLTSGYLIAVGFLTAKVVETLTQRQWIFGARQLGLRLRAALISHIYKKGLVLSSRSCQSHTSGEIINIMSVDIQRITDFMWYINTIFMLPIQISLAMFILHINLGPGSFVGLAATMVVMSGNIPLTRVQKWYQSKIMESKDARMKSTSEVLKNIKTLKLQAWDNHYLQKLESFRKVEYDWIWKSLKLNALAAFVFWGAPTFISVMTFGGCVLMGIPLTAGRVLSALATFRMLQDPIFNLPDLLNVIAQGKVSADRVASYLQEEEIESDTVEFVPRNLTEIDVKIENGRFSWDPDSRTANLDQIQLEVKRGMKVAICGTVGSGKSSLLSCILGEMPKLSGTVKISGTKAYVPQSAWILTGNVRENILFGNAYDRTRYEKTIKACALTKDFELFSTGDLTEIGERGINMSGGQKQRIQIARAVYDDADIYLLDDPFSAVDAHTGTELFQECLLGMLKEKTVLYVTHQNIGFEVLVGAHNQALDSVLAVESSSREPEQTPVEEEPTLITELSQTKQDSEHNLCVDMSKKEGKLVHEEEREKGSIGKEVYWSYLTLAKGGFLVPIILLAQSSFQFLQIASNYWMAWACPTDSTEIVSGMGFILLVYTLLAVGSSLCVLLRASLVAIAGLLTSEKLFNNMLHSVLRAPMAFFDSTPAGRILNRASTDQSVIDLEMANRIGWCAFSTIQLLGTMAVMSQVAWEVFAILIPRYYIPSARELARLAGIERAPILHHFAESLTGAATIRAFQHQDRFIEKNLYLIDNHSRPWFHNVAAIEWLCIAGLAVTYGINLNVQQASVIWNICNAENKMISVERVLQYSNLTNEAPLVIEEARPPRKKKVGVVGRTGSGKSTLIQAIFRVVEPAEGFITIDGIDICKIGLHDLRSRLSIIPQDPTMFEGTVRGNLDPLHQYTDVDIWEALDKCQLGDIWLRGEKTGVLGKGSCFVLVERCLRKAAFLFLMKLQHPLILQPMEFYRKLLPMSLKKEPSSR >KVI03287 pep supercontig:CcrdV1:scaffold_2409:990:13658:1 gene:Ccrd_018416 transcript:KVI03287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEQKLLSSLEQIFRIQTKISLKPFSMARSLILNPSTSDQTISSILQILETLSTATINPKFDLLNFITLLCEISIVHRHFSPTVTTILRSLCLHCPSIPPRAAGLALSTLVSIAPASASDLGPAFSEGLFLSLCFGPCVPVRQRLLMDAEKFRVRPSVLLTVLLGFTKDPYPYVRKAALDGLIDFCKWIVVNDHLMVEGCYLRAVELLFDTEECLCSMVRDMSKKVRIEAFNTLGKAGMASEYILMQTLSKKVLPTTKEKMFPGQLSGKLLSLPASSAAGAFIHGLEDEFFEVRSSACYALRMPAVLSADFAAGALGLLMDVLNDDSTVVRLQALETMHHMAVFGHLKVQEMHMHMPCWILVQWLAHLPCFLISYCLIPQFLGTLVDMNSSIRFTARKVLRLTKLHDLPLFKLAADSLIQSLEIYPQDEPDVLSLIFDIGRRHGSFAVSITKEILSEIEPSSESTCDFNSSKTAARLVLAISAPLSHGKQQQLHSIPSILYSYAVTMLGRISHCLTEVMNQDTLLAYLSYCSRSTGVNPIDSVKMVEDDLPTETNGRLTCCVRLDMVHMSDGGSEIQSQGLLEPSQIAVPHVADNCVKFILANIGEIWDMTKLGCISEVLMTLRSWKEELATFITDSHQSDSVLILTLQYLHLVKLLSKAWWHVTCPINFIYNEMGNLGYILQKLESTLREVRCRFIGLSKEDELHFLELMLVACTLRLSIFDASCPESALTKLYSTKSRVMLLYEDCSIEPSRFVSELIKVLQKNDTCDISRFRESLEFFSLNQLVFSGSFRYMKAEVDIGDNDWLKPLPFVAGLPVDIPLKIRLHNTPIETKVWLEMRMSKDLNQYVFVDLKLFDGSDEIREFTFIAPFYRTPKVNSFILRLSVGMECLSEEINYFRGHGGPKHELVYLCKEKEVFLSMVVKQC >KVH98667 pep supercontig:CcrdV1:scaffold_241:87303:100436:1 gene:Ccrd_023102 transcript:KVH98667 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MMLCLYSNDGFSCLCLGWEARLVSFQQLSRQNSGERMSSLLLLVVARRFSQPFLHLRPAQAAIKLPGHHCMLQRAGQEEDPSSQEEAFSSSDRRSHTLKKRHLNQGLHASLLEDLRALITELKIITVHDIPVFVSGVHEFSRGNQHVDSVNKPGILLEVVQILADLDLIITKAYISSDGGWFMDVFHVTDQQGNKITDNMTIDYIEKALGPKGHTADESRTWPAKRVGVHSMGDYTAIELVGRDRPGLLSEISAVIANLKFNVAAAEVWTHNRKIACVVYLNDDATSRAVDDPTRLSAMEEQLKNILRVCGDDDKVAHTKFSMGLTHIDRRLHQMLFAEMDYAGKGLTAEADCAAFLELKISIDRCAEKGYSVVTVRCRDRPKLMFDIVCTLTDMQYVVFHATISSDTPYATQEYYIRHVDGSPVNTEGEEERVIRCIEAAILRRVSEGLSLELCAKDRVGLLSEVTRVLRENGLSVSRAGVTTVGEQAVNIFYVRDASGNPVDMKTIERLRKEIGETMMVNIKKSPSSSSKVPEARNRMSFSLGSLFERFLP >KVH98666 pep supercontig:CcrdV1:scaffold_241:133494:154588:-1 gene:Ccrd_023105 transcript:KVH98666 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3/B4 tRNA-binding domain-containing protein MPTISVGRDLLFEALGRTYTQDEFEELCFEFGIELDDVTTEKAIIRKEKHLKEEEEIGGDEEVIYKIDIPANRYDLLCLEGLVQSLRIFCGVDSVPKYTLADISKELMLKMHVKPETSVIRPYVVCAVLRGITFNEARYNSFIDLQDKLHQNICRRRTLVAIGTHDLDTVEGPFTYEALAPSEIEFKPLKQVETFRADKLMEFYKSDLKLKKYLHIIEDSPVFPVIYDRNRTVLSLPPIINGAHSAISLKTKNVFIECTATDLTKAKIVLNTMVTMFSVYCQRKFEVEPVEVIYSDGKSNICPELSPYHMEVSLAYINGIAGVSLEANKVAGLLNKMQLHAQQSVSVDNECIFTDVAIAYGFNEIPKRKPASLKPLPLNQFSDLIRTEIALTGYTEVLTWILCSYKENFPMLNRKDDKSTAVIIGNPRSADFEVVRSSLMAGILKTVAHNKDHPKPIKIFEVGDVTVLDELKDVGATNHRQLAALYCGATSGFEEPEFLSGRQANLIYKGKRIGTFGIVLENFDIPDPCSFVELNMESLLL >KVH98664 pep supercontig:CcrdV1:scaffold_241:170509:194240:-1 gene:Ccrd_023107 transcript:KVH98664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDSEQGEKELDLVGSYFLKLWRFKSKRAAASSAKDVEMGNLDNEDEELEEEEESVPTELDTLNSSGGFSIVLPDKLSVQYPSVNLHGHDVGVVQANCPAPVKRLVYYFEIYVKNAGAKGYVSIGFTTEGFNMRRQPGWEANSFGYHGDDGLLYRGQGKGEAFGPTYSTGDTVGGGINYGSQEFFFTKNGQVVGTVEKDAYEAAQRAKQQTYIERISIPQTASYGIVRSYLQHYGYEDTLHVFDVASQSSVPPITGIHDNGFNEHGVYALKHRKILRKLIKDGQIDDAFGNLREWYPQTVQDDTSAICLMLHCQKFIELVRVGHLEEAVDYGRTHFEKFYSLKEYEDLVKESQLENVADAVNAIILSTNPEVKDNRSCLHSYLEKLLRQLTACFLEKRLLNGNQGEAFHLRRVLLAKNS >KVH98665 pep supercontig:CcrdV1:scaffold_241:126590:131683:1 gene:Ccrd_023104 transcript:KVH98665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLWVFLCTLSCLMVSRVFANVVLRGNGVTLSFTDIAANFARPVNGSGEHGTLFLASPLDACSPLINSAIEDDIISPYVLIIRGGCPFKDKVRRAQDAGFKAAIIYNNDDSFLVARFNGMSRRLVKAMPSLFFTTALEDNCTSATTFCPVCKRDANTTISDPPATERTPLLSSSSLSSSSHVASRPMVIGHLSPSSSNTPSSQQSFHESLNPRSSLSSSATISNPFIIPSSLPLNSVYMPFYASPRKVSSSYSRSSIQQSCSPCHSESMASSSWSESTQSLPEC >KVH98670 pep supercontig:CcrdV1:scaffold_241:233412:237579:-1 gene:Ccrd_023109 transcript:KVH98670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MAAQKGQNDLSMKELDIQMMLSAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPSNNKGKHSIGCLFWLLARMVLQMRGVINQGHKWDVMVDLFFYREPEEAKNEEEDEVALVTDYPEYGTGDQWSAQIPDAQWAPDMPPPIAAAPVAAPTWTADAPVSGTSGWESVAAPVAPVEGVAATATATAAAAAAATGWE >KVH98672 pep supercontig:CcrdV1:scaffold_241:309605:312050:-1 gene:Ccrd_023110 transcript:KVH98672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESPGGTKVWVPKVDSNICPKVGDFFSLVESVENMYRKYGDVAGFDIWLASKKMNSLGGVQTRYFVCSKEGNPPKKEFDSLEVSSGERKRRNTNFKRIGCKACLKVHYVKESARYEVYHFIEGHNHMLCRSDEKMFTRSRRQLDYKDRRNEMNKAAWFCGVVEVVEVGDKMIYNITHKNKNSEVKATYKVVHDVRNESFDCSCNHFVRNGILCHHAFKVMLNSEVQSIPEKYILP >KVH98671 pep supercontig:CcrdV1:scaffold_241:342447:344139:1 gene:Ccrd_023111 transcript:KVH98671 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MESSSWPQSLPSNRIKAIQELTQGQPLINKLRDMRPEEIESDLRSADGVVGQILGMFDNTLSILSSTEIPYIPTGDMQSSGSWDGQTSEDHDETVKTVTPVKTKRGCYKRRNYFRCTHKVDQGCQATKQVQMTEDKPPRYRITYNGHHTCKDLLRSPQIIFDSPDPSNTSIILNFETKGFTENKQTDTCLSSMRQNRKEGFPSLWLKHNQSYSSWDLTAQVSEVPSKPVSVMSSGVDHEDMISSEVYSSTCCTRGYDEIDDLIGNNVFSDLFELCP >KVH98668 pep supercontig:CcrdV1:scaffold_241:105517:108300:-1 gene:Ccrd_023103 transcript:KVH98668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaN, reaction centre subunit N MATMNSSVLACNYAVSGGVASPDFNSKPSSATPAAVGYKFPVIRAKQTVKSSSESSDQSQQGRRAALLCLGAALFATATATSSANAGVIDDYLEKSKANKVWVLYFVL >KVH98663 pep supercontig:CcrdV1:scaffold_241:161987:165575:1 gene:Ccrd_023106 transcript:KVH98663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNHGLRSCASRLLVSVDSSLAKSAERGFHSTGAKRMGGHGHDEPAYMHSKHMYNLDQMKNQKLTMSLGVLTAFSIGVGVPIYAVIFQQKKASG >KVH98669 pep supercontig:CcrdV1:scaffold_241:203836:221792:-1 gene:Ccrd_023108 transcript:KVH98669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKEKLRRHRSLQTHMKEGHSQSFTPPSSSNPGMILAVPRIFIRKKAESSTSSFSVSGNPSKMSSVRFLVALCIISSLVPSIFSHEFKIDGKVLELDESNFDAAISSFDFIFVDFYAPWCGHCKRLSPELDKAAPMLSGLTKPIVIAKVNADKYSRLASKYKIDGFPTLKIFMHGVPTEYNGPRKSDLLVRYLRKFVAPDVTVLESDSGITEFVEAAGTAFPIFIGFGLDESAISNLAIKFKKNAWFSVAKDFSEKTMALYEFDKVPALLALHPNFNEQNIFYGPVEEKFLEEFIQQSLLPLTLPISPEGLKLLKDDDRKIVLTIVEDETHFESKALIKLLRAAASANRDLVFGYVGFNQWQDFAEAFEVDRKTPLPKMVVWDGNEVFFSVIGSESIHSEDQGSQITLFLERFREGKVIQKQLGPSFFGYITSLIGMRTVYIIVFLIAVMFLIATIGKEEPLTTGTQYRSASASTTIADNARLSAHKED >KVH94930 pep supercontig:CcrdV1:scaffold_2411:6593:7598:-1 gene:Ccrd_003002 transcript:KVH94930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAGKMMMQVLCVVVACMVVATPYAEAAISCGQVVGKLAPCLNYLRVGGAVSSACCNGVKGLNGAAQSTPDRKTACGCLKNAYASNSGINPSYASGLPGKCGVSIPYKISPNTDCAKYVH >KVI09971 pep supercontig:CcrdV1:scaffold_2412:55329:57710:1 gene:Ccrd_011637 transcript:KVI09971 gene_biotype:protein_coding transcript_biotype:protein_coding description:MENTAL domain-containing protein FSGHYPPSSAPISSPFPYLHFYTSIQLQKIPTTGKTESMGFLKDERSKRALRGFKTVFFLVTMIISFLFFSAPILFAVADALLPTALLSASLSAPSDSPFPDPSPAFSIFQTLSSHLSNYDFRYSLIDIPIISIIRSAIILCVYGLCDGPGLSRGPYLGITTVCSVLSLLFVSVKAAYVFGNSTSGFTATEVALFVCSLSLAVGHIVVAYRTSCRERRKLLVYKIDIEAVSTFKNGFPRFSEGEPLLDGQT >KVI09969 pep supercontig:CcrdV1:scaffold_2412:6371:29493:-1 gene:Ccrd_011635 transcript:KVI09969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVVARSIWGAKSKFAFAATALGVGAGAVAIVKSDDPATSLKICTTVPVRLFRLSLTAATIALDYEYSLSGLPEDSIERTRVKREVHTRGARRLEQLCFKNGGIYIKLGQYIGQLVGPAPSHGYTHDRYKEFSLIFKDWIFILHNEYLVPQEYINTLRESMLNRCPTSSYDQVCQVVKKELGGEPEEIFDEFDPVPIASASVAQVHVARTHQGEKVAVKVQHMHMTDTADADYATVELIVNTLHRLFPSFDYRWLVDEVRDCLPRELDFLIEAKNNVKCMDNFRRLSPHIADYVYAPVVYWNLSTTKLLTMEFVDGAQVNDLKSIQRLGIEPHDIARLVSQTFAEMMFKHGCVHCDVHAANLLVRIMPSHKRGIFGRRKPQLVLLDNGLYKELDISTRTNYAALWKALVLADANAIKENCLKLGSGEDLYALFAGILTMRPWNRVIDPTVDHLTMQGNASDHSELQMYASLYFPQITELLHKLPRVILLMLKTNDCLRAVNNALVQRLSVESFIIIGRVSSEALIDEKLSHAKSLFSLLHIWLEEISLEARFGIMQVALWILQIRRAFTLWTGKHDAGSFAFNFSRCLCGAKLTTKRVRLSRRTKRAAHPLPPPPPPPPPPRLIPFIPGKHTWEQPNMVVARSIWGAKSKFAFAATALSAGTGAVAIAKSDDPATSLKVCTTVPVRLFRLSLTAATIAFDYEYSLLGLPEDSIERTRVKREVHTRSARRIEQLCFKNGGIYIKLGQYIGQLEYLVPQEYINTLRESMLNRCPTSSYDQVCQVVKNELGGAPEEIFDEFDPVPIASASLAQVHVARTHQGEKVAVKVQHMHMTDTADADYATVELIVNTLHWLFPSFDYRFICGWWMKYVTVFLSSNDGYQELDFLIEAKNSIKCMDNFRRLSPHIADYVYAPAVYWNLSTTKVLTMEFVDGAQVNDLKCIQELGIAPHDIARLVSKTFAEMMFKHGFVHCDPHAANLLVRTMPSQRRGILGRKKPQLVLLDHGLYKELDISTRTNYAALWKALVLADANAIKENCMKLGAGEDLYALFAGILTMRPWNRVIDPAVDHLAIQGNTSDQSELQMYASLYFPQITELLHKLPRVILLMLKTNDCLRAVNNALVQRSSVESFIIIGRVSSEALIDEKLSHAKSLFSLLRIWLEEISLEVRFGIMQSHQYNSTPPLIPIQRLASWNKHNKSLYRLGSDYYPIMMNWKMGIDCCNWDGVTCDHFTGDVIALNLSCGMLQGTIYPNSTLFNLPHLQRLNLAFNNLNGSQLPREIGRFSNSITHFNVCYCGFIGQVPADVILLGKLMSLDLSWNDLKLQPQVFYNLLHNFTSLEKLSLRGVNISSTLPTYINTSFLKSLKLKYTNLRGKLPENIFNLPYLEELDMSFNDLVDRFPKVNTSISIPLKWLDLSYTNLSGEIPKSISHLKSLNHLVLSHTNLSGEILDSISHLKSLNYLDLSYIKLSRELPKSFCHLKSLNTLLLNSCGLMGPFPKSLFNLRNLTRLDLSSNKLNGTLPSSLSTLPFLEALYLQRNIFSGSLPSELFAIRSLKRLALEHNQFVGDINVLDQGSFMQILRQLVNLTRINLSYNNFTGVWDLDTLLSSLTNLEKLGLSYSGLSVVTNNDTHFINPNFSVLSLASCKLKVFPKFLGAMKYLEHLDLSNNEISGHIPEWAGVMGGNELVYLDLSHNLITGLPQFQWNGLEYFSVQSNLIQETFPRSICNMSKLKYLDMSNNSFSGVIPQCLGKIITTLKMIHMGNNHFHGTIPYAYKDCGQLEGLILNGNQLEGEVPSWLSKCQSLKVLDLGNNHLNGTFLHWSSHLSHLQVLVLKSNKFHGRLSMIQHPFPSLKILDLSQNEFVGHLPGFFFQNFDAMKNVVKKDSKPEYMTPGYGKFYSIVVAVKGVLLSFPQILVDYTIVDLSNNIFEGEIPDVICYLNSLKVLNLSHNHLRGRIPYALGNLSEIESLDLSWNWLTGHIPQSLADITDLAVLNLSQNHLMGLDEDEESGFTWEVVMLGSGCGTIIGLVLGYLMLSTGKPKWFNAIVDDIEHMVEKFGVELDVFNYIYHLALQGSASDHLELQMYASLYIPKSQSFCINCLILVMLETNDCLRAVNYALSLSLSWEEFLLRHLIIDDKLSHAKSLFSLLHVWLEEISLEVQ >KVI09970 pep supercontig:CcrdV1:scaffold_2412:6339:23151:1 gene:Ccrd_011634 transcript:KVI09970 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, subunit 1, conserved site-containing protein MKLQYPQDYLHYWTSREISSNQTCNKLKRDLACDNLSSIIKCLRRNSSHDNERLYRRSLYQAFQLVTLLDTPFDLPEAEAESVIGYNVEYVWDAILNSSRYFMAPKNFGNTFSLQDAKDKTEKLGASRKGRVDNEEGNVPLSLLDDKSSLVRFLRLKRDLGKGPIKPQELSSKVFKDLRWQNDLGNSLDNLMYERSKNLAYKPTITYVEMCDTIRKPSNLTWKLGTIKIIESKVESLEVRKVEKGGVRSAKIDHHHPDLELQSVDLCSSSCNLPNRSPVTRNQGQKYNYRKQEPQQSLGQSIAQQSYHNSQSCSILRALLVWTSRLTLVLSMLSSGSPNSEYS >KVI09974 pep supercontig:CcrdV1:scaffold_2412:60574:65110:-1 gene:Ccrd_011638 transcript:KVI09974 gene_biotype:protein_coding transcript_biotype:protein_coding description:CS domain-containing protein MAEKLAPEKRHSFFHGSQKVFEWDQTLDEVNMYITLPKEVPTKLFYCKIQSKHVEVGIKGNPPYLNHDLSSPVKTDSSFWTIEDDILHITLQKRDKGQTWPSPIAGQGQLDPYVADLEQKRLMLQRFQEENPGFDFSQAQFSGDCPDPRTFMGGIRSD >KVI09968 pep supercontig:CcrdV1:scaffold_2412:75066:78278:1 gene:Ccrd_011641 transcript:KVI09968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MACLSLFFHAVIAVLLWPPTLAGNHTDHLSLLAIKKCISNDPQRVLDTWNTSLHFCQWQGVTCGRRHPRVTKLDLGSRGLFGSLSPHIGNLTFLRVISLGHNTFNGVIPPQLGGLFRLQRLILMNNTFEGEVPASLSNCTSLNELWLARNKLVGKLPQQLGSLVNLMILTLHANSFTGGIPSFLGNLTSLEAISAFDNRLGGNIPDAFGQLYNLQNMGFGKNQLHGMIPPSLYNLTSLTVLSLPDNQISGGLSKDIGLQLPHLEIFEIWGNRLTGSIPFSLSNCSHLEELSLAENSFTGKVNINFRHIPNFSHLGLFNNSLGSSEPDDMNFIDTMINCSNLELLLVHQNQLRGVLPSSLGNLSSQLTVLSFHENLIHGLLPSGIGNLVKLERLIMERNQFTGIIPSEIGNLQNLRLLYLHENNFTGSIPDSVGNMSLLNELWLNDNRLEGQIPRDLGNCRRLVTLDLSGNNLTGPIPKELFQLSSLSIILNLAQNHLTGLIPQEIRNLINLKTLDLSKNDLVGEIPDAIGSCKSLEYLDMKANSFEGPIPLRMSNLKGIRILDLSSNNISGRIPRPLEQLTLSLLNLSFNNLDGEVPMGGIFKNASVISIDGNNRLCGGVPELRLPKCDLVARSKKXFHVILVVIPLCSFLVXAIALSLLFXWXXRKRQKPPTGASLVEPFSRVSYGSILKATDEFSERNLIGTGTFXAVYKGILXAGXAMVAIKVLKLGNRGALKSFMAECEALKNIRHRNLVKIITSCSSVDFQGNDFKALIYEFMPNGSLESWLHPSPRQETETERRLSLRQRVTVAMDVANAIHYLHQDCETPIIHCDLKPSNILLDDDMVAHIGDFGLAKFLPLKPHESSSIGIRGTIGYAAPEYGLGSEMTKEGDIYSFGILLLEMITEKRPTDEGFEEGLNLHGYXMMALPDQLMEIVEPALLHDLEEEMEATNVNRRSGDDEARRWKRLEEGMISLARTGVACSMESPRERMDSSKIVHELRRIDGILAGMGT >KVI09967 pep supercontig:CcrdV1:scaffold_2412:72094:74404:1 gene:Ccrd_011640 transcript:KVI09967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MGRVFRVTLEGKIYSCKHCRTHLALCDDIVSKTFQCKHGKAYLFSKVFLRVGTVKSCDLPVMLYFLVFVENHHMFYSVNVTVGAKEDRLMMTGLHTVADIFCVKCGSIVGWTYETAHDKNQKYKEGKSVLERLKLSGPEGSNYWVSHEAHIGGSDQDD >KVI09972 pep supercontig:CcrdV1:scaffold_2412:31750:35297:-1 gene:Ccrd_011636 transcript:KVI09972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIALSLPMSLHTLDSSYIASCSSLILLIQCAYNFAKSKKFHLWGSSWRHGDSLLFALSCAPVMYAFVMRPESLPKPYQDFIQKTGPVAQPCIQGCQGLL >KVI09973 pep supercontig:CcrdV1:scaffold_2412:65576:71129:1 gene:Ccrd_011639 transcript:KVI09973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup88 MRFNFDIPEPSNKDALTPPSQSRSPSSATPANDEVEWLPLQNHPIFSSGTVVRTTSNVPRKRNLMAWDGASRLYYWDINTQSLHRISLRFGEPDSTSVLAASPSKVLRADVELNFMVDRITINRHGSALLLEGSDGLRIMYLYGRPSSKDSAVICRTVSVGSDLYFNTNNAIRTLKVSWHPYSDTHLGILSSDSVFRLYDLSSALEQPEQEYYLQPVERGRLRNASSICPVDFSFGGDHLWDRFSVFVLFSDGAVYILCPVVPFGSVYKWESILEIYIDAQTFGLKAASSTAVSNANLAISWLEATFPELARQAAEGGNQPALKSHPYALYDASVSLQPRLSVDSQDRIVGVAMICETHSNELSVVTLDQSTDHDIWLGHSPPLLRLGTVDLALPGKTETGSLISMFVDPLIPERIYSIHDGGVDSIVLHFLPFTNQKNGIDDDMRAPSVQSVLSTCQMESSASPLCGFVALSDSFGCSWIVGLTSAFECIVLGMESWNLLLPAHIDEQKKSVDLEEPIETGYATIISKELLTGPKAVLVPPTSPNPVATDSIEGRSTLHQYFKLFHENYVEYAHKVYFELKHHGPQLKKIIDDQHARLREAQQKLAKVEEKQENLENRIDRAVQTHNLLEERLLNLRNLPGIHKKPLSKAEKEFRGLELDALRTTIEAINGRVKRHSSSPQHKRPNQRRQIPGRRKGNAEDDEISRLKSSIAKLSIVNSENTKKVKLVDSALRNRESTS >KVH87785 pep supercontig:CcrdV1:scaffold_2413:24520:34490:1 gene:Ccrd_024929 transcript:KVH87785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFSRSGRSRSYSPRRSLTPPPSSRRRYDDPRDRRYDDFRDRRSNPQRRRSPAPSGLLVRNISLDSSGRHGGGSGRRSPPRFSRRRSYSRSVSPARREFSDRDHKPRDHFLPSRSRSISRSRSPHDARTLKSRHYSRSPGRNGRGLMDPRDGNPRFQESKRSPHDKRATGDWKSAVANEGPSSRSLSPSPPYQSGTHTRS >KVH96969 pep supercontig:CcrdV1:scaffold_2414:9888:12002:1 gene:Ccrd_000936 transcript:KVH96969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MARIDGAAFATFILMVIVLMVDGSTTSGLGIGLGPVCTQVVGAKDGDTCFAVEQTFNLTSDFFTSINPNLNCTALFIGQWLCISGIGN >KVH96968 pep supercontig:CcrdV1:scaffold_2414:79204:81203:1 gene:Ccrd_000938 transcript:KVH96968 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNITSFLRDNNLSTPLFVLSTILFLLLIVKITRPSSSKNLPPGPPRLPIIGNLHQVGDRPHVSTAKFAKLYGPLISLRLGKQFLVVASSPEAAMEILKTQDRFLSSRVVPTAFQQTSLIPHSLIWSECNQTWKNLRTLCRTEMFSSKALESQSRLRHEKLGQLLDFLHRKQGQVINVEDVVFTTLFNTLSSVIFATDFLDLKDEQGTRDGLKEXLHKIIEYGGLIKDFGSFFPMFERFDLQGIRKGTMTQYKKTFAYWEDIIEERRARINSSTWSSDQAESFVDRMLENGFSNDQINQLVTELFVAGTNTTTTSVVWAMTELVRHKEVMSKIMEEIKREINSDTITDSQLSKLPYLQASIKEAMRLHPPVPLLLPHMAAETCAVMNYTIPKNSKIFVNLWAMGRDPKLWDDPLSFNPERFIGSKVDFKGQEFELLPFGSGRRMCPGMPSGVKSVQLILASLIREFDXVLPDBVDPLQLNMNDKFXIALXMEXXLKLLFKQKQESL >KVH96970 pep supercontig:CcrdV1:scaffold_2414:69267:69830:1 gene:Ccrd_000937 transcript:KVH96970 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTELVRHKEVMSKIMEEIKREINSDTITDSQLSKLPYLQASIKEAMRLHPPVPLLLPHMAAETCKVMNYTIPKNSKIFVNLWAMGRDPKLWDDPLSFKPGRFIGSKVDFKGQXFELLPFGSGRRMCPGMPSGVKSVQLILASLIREFDMVLPDDVDPLQLNMNDKFRIALGMEKLLKLLFKQKQESL >KVI04452 pep supercontig:CcrdV1:scaffold_2416:65797:72196:-1 gene:Ccrd_017231 transcript:KVI04452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MTVRSIPGTPASKIERTPISTPGGSRVREEKIVVTVRVRPLNKREQLAKDTVSWECIDDHSIVYKPLPQERVAQPASFTFDKVFGPATITETVYEKGVKTVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYQHIMHTPERDFRIKISGLEIYNENVRDLLNSESGRSLKLLDDPEKGTVVEKLVEETATDDQHLRNLISICEAQRQVGETALNDTSSRSHQIIRLNFVDLAGSERASQTNADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASSHVEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVSRLEAELRTPDPSNEKDSKIRQILKPQESLVPLAKKCLSFSGTLPSVLEGKEPTRFERTRNTTVRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRQGNQDAAETIAKLQAEIRDMCSVRPTPKDVDVENMVPVNKSVSANLKEEITRLHSQGSNIANLEEQLENVQKSIDKLIMSLPSNNDQQDEAPVKAKNSKKKKSPLTSSNAINKPNFIRSPCSPLSSTRQVCDTETENRVPENDETVPSNTQKETPLKGEEGGDVSSKEGTPHRRSSSVNMRKMQKMFQNAAEENVLELEANEAAGYDLENDENTADIAEESPVPWHVTFREQRQKIIELWDVCFVSIIHRTQFYMLFKGDPADEIYMEVELRRLNWLQQHLAEHGNATPSRGAEEPTISISSSLKSLKREREFLAKRLTTRLTTEERELLYMKWDVPLEGKQRRIQFISKLWTNPQDSAHVQESAEIVAKLVGFREGGNLSKEMFELNFVLPSDNRPWIMGWNPISNLLNL >KVI04453 pep supercontig:CcrdV1:scaffold_2416:57436:59478:-1 gene:Ccrd_017230 transcript:KVI04453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRNATRFDMLEVNSKLKELVKSGRLSDARQMFDKLPHRDEVTWTIMISGYVKALHSSEALSLFSNLWVDPTQRMDPFLLSLAFKACSLSFSVKQGESFHGYCIKTDFVSSVFVGSALLDMYMKTGNVYKGCRVFDEMPIRNVVSWTAIITGLVHGGFNMEGMSYFANLCRDGMTYDSYTLAIALKACADACLLRKGKEIHTQTLKKGFDTTSFVANTLTTMYNKCGKGEYALYLFEKMRTKDVVSWTTIITTYVQTSQEQQAIHAFFRMRESEVSPNEFTLAAIISGCANIVRIDLGQQFHAYVLHTCLFGCMSVANSIMTMYSKCGKLDLSSVVFEEMTRRDVVSWSTIIGGYAQGGFGEEAFQYLSLMRTEGPKPTEFAFASVLSVCGTMAILEQGKQLHAHCLCIGLDHTSMVRSSLINMYSKCGSIPEAFKIFKEAEHNDIVSWTAMINGYAEHGLSQQAIDLFERLIEVGLRPDTVTFIGVLTACSHAGLVDTGFCYFNQITKYGLGLSKEHYGCMIDLLCRAGRLREAENMITNMPYSGDDVVWSTVLRACRLHGDVEFGRHAADKILEMDPNCSSTHITLANLYSAKGKWRESAEVRTLMRTKGVIKEPGWSWIKVRDCVFAFAAGDHSHPQWEDIYCILGLLSSKGEMLVGGKDMLPYNIDEVEEEFWYSDR >KVI04454 pep supercontig:CcrdV1:scaffold_2416:23432:23770:-1 gene:Ccrd_017227 transcript:KVI04454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLCSSPFSHKPSCSMVHNSTDNYENSKIWNGGEGTNGSVHIRFRLVGDGGVVVQANDANFDNNMSLFPSELLARPRTNTQVGDSWEEVLTVPSLLNLKRFFKKLYWSLFR >KVI04455 pep supercontig:CcrdV1:scaffold_2416:25948:26847:-1 gene:Ccrd_017228 transcript:KVI04455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MKQLVCSKTFNLKEAINARDNGGRTALHLAINGNLHSDLVELLMIVGSLDVNICDNDEMAQLDLLKQRPRSDSSELLTRQLISAGATFGSQDYTARRMIASHLKMGSIGGGGSPRTSFKLSDSEMFLYTGMDSTSSTTTYGTPVFSMHSADLSQLDSSSNSNSESKSPKKNKQKGIQRFLQWIRRRKGGNEGMILTRNLNEIPVSLRERYSSLPNNKRTLAARSNLVSPTVKKKVASGLVBGVMQAMPHLNQRSGSNSQSSLDDKDMRVDVVGSSSSNQMFDDGDDEEQGVANSRRLWC >KVI04456 pep supercontig:CcrdV1:scaffold_2416:42958:51568:-1 gene:Ccrd_017229 transcript:KVI04456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSNEKQRIHQQQKIKRERGWRHFLLTHKFGMGDGTNFTLFMALCLFLVLENLLLKPSHKHGAGRNERFGHSSKHCQVLEDYKMYGRAELDNDQVISSNVDDDLEYGQKMNGSVETALNTVVYTKPLCSETTEIRYREQYC >KVI11550 pep supercontig:CcrdV1:scaffold_2417:35254:38238:-1 gene:Ccrd_010039 transcript:KVI11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MVFNKIILFLVLLFCILNHQLLSHSININNETLVGMGIVLDTESWIGKSIHMCISMAISDFYALNHSYRTRIVLHTRDSKKDPLKALSAVDHLLRKVKVKAIIGPETHLQPKLLSLYADRAKVPIFSLAAPSSVEYPYLLHIKDDESTMAKCVAAVVESYKWRDVIFIYEDTDDGREILPYLIESLLDKSIKITHRSAISLLATCDEITEQLHKLMTFQTTIIIVHMSPSLANRVFLNAKRLGMMREEYAWILTEKTVDLLRSTDFEVIESIQGALGFRSYVPASRRLHNLTARWHNFFYRKYPTSVTKEIPVLALRAYDTIWALAESVEKVEENDPFLLNEVLKIRFKGISGEFQLSEGRVISNGYEIMNAIDYGEKRVGYWTSSEGIKKAYPLINSGHRHSSMHNEAVIWPGGSITVPKGRVLLQTVPGKKLKIGVLKIRNFKYFVDVEHDVEKNVTTATGFSIDVFNTCIGALPYIFIAFDNATYDDIVQKVNNMELDAVVGDSTILANRSELVDYTATYTDLGVGTLARIKKKDMWFFLKPLDMGLWLTFIASLVATGFVVWAIECMNQESECSQAQRIGTILWLILLSIFFAQKEKLSSNLSRFVMFVWLLVVLILITSYTATLSSLLTVEQFELASKGRIVGFHGGSFIRGVTISNLHFEDHNNRPYYSYEDYAHALSEDGEADAIVDEIPYIKMFLGKYSSGDYSLVSSQPITSGFGFSLCKNGGPEYHFTRT >KVI11546 pep supercontig:CcrdV1:scaffold_2417:65308:70149:-1 gene:Ccrd_010041 transcript:KVI11546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILHFSSSLQLQSSYTPPFLTKSPPTLRHSFLKDRILLQTTRRSIAFTCQSINDDADDGYLLDAPVSAGDGFSFSGVEAINQAIEAMEKKSSPAYSYRKVLPLLSKDYHAIAFDWLGFGYSDKPQPKYGFDYTLDEFVSALESVIDELGVNKVSLVVQGYFAPIVVKYANNHQEKLNDLILLNPPLTAKHANLPSTLSIFSNFLLGEIFSQDPLRASDKTLTSCGPYKMKEDDAMVYRRPYLTSGSSGFALNAISRAMKKELKNYVEEMRKILMDDDWKVKTSICWGQRDRWLDFDGVEDFCKAAKHRLVELPMAGHHVQEDCGEELGNIIAGLVGRKVRI >KVI11547 pep supercontig:CcrdV1:scaffold_2417:60231:65245:1 gene:Ccrd_010040 transcript:KVI11547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF707 MKNTSVDLPIKRHKSLLCSRLFGAILVSAAFFISSAIIVVDHKKRFPELQNSDAMQADPTNICENQCSLDGSEALPKGIVVKTSDLEMRPLWGPRKKRKNPKSPMSLLAMAVGKKQKANVNEMIKKFPSDDFVIMLFHYDGNVDEWKDLEWSSRAIHLSAISQTKWWFAKRFLHPDVVSEYAYIFLWDEDLGVQNFDARRYVSIIRNEGLHISQPALDPDKSEVHHEMTTREKGSTLHRRIERMSRSKKKCYRNSTDPPCTGWVEMMAPVFSKEAWRCVWYMIQNDLIHAWGLDMQLGYCAQGNRTQYIGIVDSEYVVHYGLPTLGGSPQNKTNTESSIEESSSLQDKVSLESNHTDIRAEVRKQSLNELEQFKRRWRKAVQEDGCWVDPYKQQ >KVI11549 pep supercontig:CcrdV1:scaffold_2417:10766:11731:-1 gene:Ccrd_010038 transcript:KVI11549 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein LLPFPQTYTDFLPPFINGHAGDATSKSVNATRARKRVEADTASTSLKRAKMATLNDPIVKKATEKKKPSTGKEQSVKKVRKQKDPNNKAANPDNKKVSVVAKEGGEKWRSMTEEVSSKFLEKKPYTERATELKEDYLNALQTPIDAEKCLSKKNFEAENEKAVRRESNDDDDGDKVEVVANEEGSLDEVEVVADDE >KVI11551 pep supercontig:CcrdV1:scaffold_2417:3042:6318:1 gene:Ccrd_010037 transcript:KVI11551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor LPXNCNLCILLFLCILNPGLCANFVDVDVGIVLHMESWIGKSIHSSIMMALSDFYARNGGCRTRIVIHTRDSKGDRLQAISSVVDLLNTVKVHTIIGPETYIGSELLGSFTDKPKVPIFCFACKSLMRYPYMFQIKEDEFAMAKSIAAVIESYKWRDVIFMHEDANHGSDLLQYLLESFQDKNIRITYRSVVSASAKHDQINHELHKLMSVHTTVVIVDLSPSLASRVFLNAKRLGMMSKEYAWILTQKTIEILQSDEFEVIESLQGXLGFRSYIPASSRLHFLTKRWKEEFXREVPMLXIWAYDTIWALAEXIXRVGVPQNGSILLSEILKINGFKGMSGEFRLSERKMMSNGFEIVNAIDYGERKVGYWTSLKGIRRAHQQLNNVALHSRINIEDVIWPEGSTTTPKGWTIRVGPRKKLRIGVRTGLKFKDFVNAVHDDKTNVTNATGFSVEVFQACIYALPYEVPYEFIPFGNGSYDALIDKVYKKEIDGVLGDSTILANRSELVDFTATYSDLGLGTLAKIKRKDMWIFLKPLGANLWLTVAALSSSLASFYTATLSALMTVEQFELASKGGIVGFHGGSFFGGVTVXNMNFTDSKQXSYYTYDDYAEALSKGGKNGGADAIVDEVPYIKMFLGIPCNLCDLLGVG >KVI11548 pep supercontig:CcrdV1:scaffold_2417:72592:80855:-1 gene:Ccrd_010042 transcript:KVI11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiosis specific protein SPO22 MRIAEISSPDLRSTQSQDPISDLFCKLEAFTTEIERHSPKSPLPESLHANLRQGLTQLMSLAPFQNSVKLQIWKLSYRLWNACVDLSNCIRSSSSKVTEEHAKLRQVSADLLFLAVDVSGVPSPYFKCASFFYKTGTIWHDLSQYDLASNCFEKATDLTSKIEITNVSDREERRLLLDLNIARSKTAWEVSDKNLAINLLNRSKRVLFGIAENYMALVNQYMNFGKLALSKNEVSCVNEALKLMTEALELCEKGLRNVKKTEETLALKELRLKTLRFMAASHLQRDEFESVLKCVKVLRDGEKGEDHPTSSVLAMKAWLGLGRYGEAEKELKRMVVNKGIPEGVWISAMESYLQAVGTPGAETAMGVFLGLLGRCHISAGAANRLVQRVVGDCVNREGSRVRAKVVAEFVSSDKVVALFAGELAANERSVMHALLWNCAADHFRSKDYVVSAEMFEKSLLYVPHDIENRSNRAKGFRVLCLCHLGLSQLDRAQEYIDEAAKLEPNIACAFLKFKIYLQNNDHNGAITQVQAMPTCIDFTPEFLSLSAHEAIACRALPVAIDSLSSLLTFFPLGKPMPTTEVIVFRTLITILIQEPRNETEVLKYMKRAHARISDLGPDRFFGTGEVGIRERNWFALNLWNIGLKSGQEKNYQVCGELFRMCAEYYSVTIDGQMEGNDSMVCKSLILAVSAMLAGEKLNDENTMIEPHFYFIYTLSAYDLYTRLNDTESKQLVLIKNYANSKYCKPDHLLQIGLNASEGLRPNTEVAMFCLNTCISSLLSSSSPEYQTVALILRKLITIIGIHKGNTDDDSDDGVYGMYKKAYRIMVGLKAGEYPVEEGKWLAMTAWNRAALXVRLGQVVEAKKWMDMGLELAGRVAGMDTYKSCMEDFVSGSCGGRQVELTKMATAPSTMASHLKGSLATSFTRGLVTPKGISGIPFRLLPSSAKSSFTIKAVQSDKPTYQVVQPINGDPFIGSLETPVTSSPLIAWYLSNLPGYRTAVNPLLRGIEVGLAHGFFLVGPFVKAGPLRNTEYAGAAGSLAAGGLVVILSICLTMYGMASFKEGEPSIAPSLTLTGRKKEPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYYVK >KVH96667 pep supercontig:CcrdV1:scaffold_2419:10250:48038:-1 gene:Ccrd_001243 transcript:KVH96667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand VINAICYFQAEDGSGLPEEILNLPNIEAAAKFYFIFIRFDIIWTLNYFALIALNFFEKPLWCSNVLEISCSNRDYYFLGELPYLTNAESLAFEGVTLLILMVHTFFPISYEGINLYWRNHVNKLKIIALIILVADLVVDILYLSPLAIYSLPLRIAPYLRVLFFILNIRDLRDSLVVLGGMVGTYLNVLALWLLFLLFSSWLAYVIFEDTQQGTTIFFSYPATLYQMFVLFTTSNNPDVWIPAYKSSRWSSLFFVLYVLLGVYFVTNLVLAVVYDSFKSQLVKQIEEKDRMRTRILGRAFNLIDDNAVGTLDKEQCIQLFEELNKYRTLPKISKDDFELIFDALDDSRDFKINAEEFNDLCNAIALKFQKEDTEPWLKKFPFYNSSLSETLKGFVQSPKFGNGVAVILLLNLVAVIIETTLDIQNNSGQKFWQKLEFVFGWIYVLEMALKVYTYGFENYWKDSQNRFDFIVTWVIVIGETATFVSPKELTFISNGEWIRYLLIARMLRLIRILMHVQRYRAFIATFLTLIPSLMPYLGTVFCVMCIYCSMGIQIFGGIVNAGNPDLPSTDLADSDYLLFNFNDYPNGMVTLFNLLVMGNWQIWMQDYAILTGTAWSYAYFISFYLITVLLLLNLIVAFVLEAFFAELEIECPDEDEDAKGKGGRGRRNLGWMKRRSCQFFNTEATLFMKDV >KVH96668 pep supercontig:CcrdV1:scaffold_2419:3844:9188:1 gene:Ccrd_001242 transcript:KVH96668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 GSCLKTARIEEPSRTAKHTLHFCFLSGFDSIRSLSAGMEVGIEGLDSDVSILKKVKHDEDPVPSTSMTASLSNLAPMVPQVLSTGAEILENPCLPMNKGKDVASSSSTVHQNPVPSSAKNKEEVAENELKKKFEEFKLFDTVDDVSDHHFNCAGFQGQQPSRSWTKKIQDEWKILEKDLPDTIFVRAYETRMDLLRAVIIGPAGTPYHDGLFVFDVHFPPNYPDIPPHFEDLVGGHFRSRAHCILLACKAYMEGAPVGSITDEKIPNEKSGSKSFKAAVAKLMNGLISNFSRYGVTNCDQYRV >KVI11583 pep supercontig:CcrdV1:scaffold_242:105387:108806:-1 gene:Ccrd_010004 transcript:KVI11583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MSISIEEHDYIGLSEVNMEKKACEVANNNKDLNLKATELRLGLPGSESPEREAVNGGVIKNLVAGAKRGFSDTINGGSGKWVFSVNGGSEVDLVKNGGGLFSCSKVENMNSGLGVGSVKESAVLASPKPVLLEKKVQVSANSSNGQTSAPAPKQQVVGWPPIRSFRKNTMAVSHTKNEEETDGKMGSGCLYVKVSMDGAPYLRKVDLEIYSSYLDLSSALEKMFSCFTIGQYGSHGAPTRDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWDMFIRSCKRMRIMKSSDAIGLAPRAMEKCRNRT >KVI11585 pep supercontig:CcrdV1:scaffold_242:332208:343542:-1 gene:Ccrd_010001 transcript:KVI11585 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MLAHSHGSSLMYKRMPSRDGANPVDLEDESGLLQDDMVQELTHPPLINSLPHVVVATIVSFLFGYHLGVVNEPLESISYDLGFNGNSLAEGFVVSTCLGGAFIGSLLSGWIADGVGRRRAFQLCAMPMVVGAACSATTSNLGGMLLGRFLVGIGLGIGPSVASLYITEVSPPSVRGTYGSFIQISTCLGLLGSLLIGIPVKSIPGWWRMCFWLSTIPAIVLAIAMMFCAESPHWLCKSGRNAEAEVEFRKLLGAAHVRSAMAELLKSNRGDENDTVTISELLCGRHSRVVFIGSTLFALQQLSGINAVFYFSSTVFRSVGVSPNLANAFVGIVNLLGSIIALLLMDKLGRRVLLLWSFFGMAISTVFQVVAAGLFASTSGALYLSVGGMLMFVFSFAVGAGPVPGLLLSEIFPSRIRAKAMAFCMSVHWVFNFIVGLLFLRLLEVMGPQLLYTMFGAICLNGVMFVKKHIMETKGKSLQEIEIALLPQEYNI >KVI11586 pep supercontig:CcrdV1:scaffold_242:268284:274667:1 gene:Ccrd_010003 transcript:KVI11586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MNRGNVLQSSPVQQMLAGGPNSWWSMMGSSRPSPPPPPPQSPFFATSAQPHFFPHQYAPAPPPSLSPWHDNQDFPDSLSQLLMGGLVGEDDKSALSHMQQVKKLENWEEQLLHHHHHQQQQQQQHHSSPNVNSMVESAVKQENSLNYGSSYGHGNADFHGVKSNNWSNPMIPVSSPNSCISSLSNNMLDFSTTISNKADGRHPPPDRSSECNSTATGGASKKAKIQPSSNQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSGSGNMRHPQHSVQQGERNCLFPEDPGQLLNDSCMKLKGSVLDQDSHEEPKIKDLRSRGLCLVPVSCTMQVGSDNGADYWAPALNGGFR >KVI11582 pep supercontig:CcrdV1:scaffold_242:78805:85661:-1 gene:Ccrd_010005 transcript:KVI11582 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RPB5 subunit MSTSLTEEEVKRLFRIRKTVMEMLKDRNYLVGDFELEMDRRQFIHKYGDNMKREDLVISKSLKNDSSEQIYVFFPDEAKVGVKTIKNYINRMKSENVTRAILVVQQNLTPFARTCISEISTKFHLEVFQEAELLVNVKNHVLVPEHQVLANEEKKTLLERYTVKETQLPRIQVTDPVARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >KVI11584 pep supercontig:CcrdV1:scaffold_242:1461:3406:-1 gene:Ccrd_010006 transcript:KVI11584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFRDAAGNERSVGGSSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHSQLGFHPTNLHPQEDIRIPTAYSPSSSFSYTSPSPTYNTPQGQQNIMMGMGELERSNMVYGLSQPSGDPRWNASNPMFEAEHFAQPGITRHLFQIEVEDSLRKKKRSDSMGSSSQNSDSNGSQELDLELRLSL >KVI11587 pep supercontig:CcrdV1:scaffold_242:306326:309470:1 gene:Ccrd_010002 transcript:KVI11587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MEISSENISKQGSIQKGGLRTMPFIIVNEAFERVASVGLRANMILYLKNEYHLSNATGANIMFLWGAISNFMPTLGAFLSDSYLGRFRVIAIGSTISLTGMTMVWLTAVFPTARPDHCDPRIESCTKPHEAQIALLFLSFAIMSIGSGGIRPCSLAFGADQFDRPENTENAKILQRFFNWYYASVGISVMISVTVIVYIQTVKGWILGFGVPAALMLFSTVMFFMGSSLYIKVKANKSLFTGFFQVAAASFKNKHLVFPPTTSDGLFHHKKGSTIRVPSDKIRFLNKACILRNPEKDLTPTGSAVDPWSLCTVKQVEEFKALIKVIPIWSASIMIAVTVSQHSFPVLQANSMDRHVIGTFKIPPGSFDVFTLLTLTIWVALYDQLLVRQISKLTKRPEGLSLKQRMGIGLFLSCLSMAVSAMVERKRRNAAISQGLSRDPLGVVNMSAFWLVPQHCLLGLAEAFNAIGQIEFYYSQFPKSMTSIGVALFALGLAVGNLVASLIVGVVNEYSKHGGGVSWVSNNLNQGHYDYYYWVIAILSVANFFYFLGCSWAYGPCDETKHWDEEEEEEEEEEEKEVQEETFVRGSSSPMHHRV >KVH89837 pep supercontig:CcrdV1:scaffold_2420:4481:16606:-1 gene:Ccrd_008167 transcript:KVH89837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MNHYHIYEAIGQGKYSTVYKGRKKKSIEYFAIKSVDKSHKSKVLQEVRILHSLDHPNVLKFYSWYETSAHLWLVLEYCVGGDLRTLLEQDSKLPEDSVHDLARDLVRGLRFLHSKGIIYCDLKPSNILLDENGRTKLCDFGLSRKLSDISKTPSSLLPQAKRGTPCYMAPELFQDGGVHSYASDFWALGCVLYECYAERNGEKPLQNKTPPGNRDNSKGPDESSMPLHNTPSRGISSGRKIQPKASGKVVDEKQKEEKSNTKGVNLLRLSRIAKSNLQRENEKENYRRPMPNNSENDTDVKIENTDMELDFNENTEDETQDEPDMPGTPNHTLDENLSTPDQEERRLDEIDRNTHNLVASPMVNTPATEYSRRTEHESSSNHIEVPATPPTASPQSKIQRIIEDSGGAVESDTSRSSPNLSQVLWHPSDLSVRPVMPSKKSDKGSEAVPSLPFDAMPASDFVKMSKEQLDGLSKSIISILNGNTPIGEKQNVIRYLEMLSINVDAANILTNGPIMPVLVKMLRQAKVSALRVQLASLLGLLIRHSTFIDDDLSNSGILNSLNEGLVDRQEKVRRFCMAALGELLFYISTQSDQSKATNPPESPSKESRTSSGWQGEDDITQLYALRTIENISSQGGYWASRFTTQDVINNLCYIFRAPGKQESMRLTAGSCLVRLVRFNPPSIQQLTLLRVLEAITEEPSVIEENWSSFIHEILPSLSLLCKGSKDGDARFLCLKIWFEVVVNLLNEVQDDNGRREELKSVSRDHFLPLYPKLIEDEDPIPIYAQKLHQMLVEFSILQQ >KVH89838 pep supercontig:CcrdV1:scaffold_2420:50213:60364:-1 gene:Ccrd_008169 transcript:KVH89838 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MNLDCGLRTRSCRVIQDTTMAVAALDLQDADEEIVDDGLKQSCWANMPQELLREVLIRIESSEDKWPSRKNVVGCATVCRSWREIMKEIVKRPELSGLLTFPISVKQPGPRESLLQCFIKRKRSTQTYFLYLSLTQALADDGKFLLAARKFRHPTCTDYIISLHADDMSKGSSRYIGKLRSNFLGTKFIVYDGLPPHDGAKMTKSRSTRFMGSTQVSPRVPAGSYPVAHISYELNMLGSRGPRRMQCIMDPIPTSAIEPGGVAPTQTEFPLSSGESFSSIPFFRSRSSSVEKSMSGVLGNQRDGPLVLKNKSPRWHEQLQCWCLNFQGRVTVASVKNFQLVATPPSGQSGPQYEKVILQFGKVGKDVFTMDYRYPISAFQAFAICLSSFDTKIACE >KVH89839 pep supercontig:CcrdV1:scaffold_2420:25968:46170:-1 gene:Ccrd_008168 transcript:KVH89839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coactivator CBP, KIX domain-containing protein MVVDIYVAGDLPTAMDTSNWRPNQGGTGGGDSSMESVDWRTQLPADTRHKIVNKILDNLKKHLAFSGQEKLHEIKNIALRFEEKIYHGATSHSDYMRKISIKLLAMENRAANPIANSLQSNSAGNSANPSDPEKENIPLISGSLEGANQTAKEMRLPEVTSIRKFYEIFVISPLSNMDIIVSHSSQGMQQVNNQGQSLNHPQAGQQILPPSIHNNIASSGGQGSAGISSALQPGSSLSQSTMPIISGQNSGLQNIQNISRVQPNSVGNTQPVMQHHQQTVLRQQRQQQQASIVHQQQVLSTQQQQQQPNTMGQQTSAINLQHNQLIGQQSSYSEMQQQQQSRLLGQQSNISNVQKLQHHSIGQHNNFSAVHQQQLGPQSSSTGQQQQQHQLFGTQSGSTTMVNNQHSAHLLQSKVSIPQQNQPTQGQRSQPELQQQVMPQLQTQSGQLQHQLNTKLQPNLSQWDMQQRLPTSGAFQQQNVIDQQKQLFQQQRAMPEASSTSSDSTAQTGNPNGGDWQEEVYQKINTFTVSSSGICNEEMGTGVLEKAFLPGKLKAMKDKYMPELNDMYQKIIGKLQQHDSLPQQPKGEQVEKLKMIKHVLERCMAFVQVPKSNITPNYKEKLGIYEKQIVNIISTHKRKPGVPPQQAQPLPPPHIHLTQENQMNPQMQSMNLQNNMGSLQHNSVLPSNAQQNMMSATQPTSNLDPGQNSTMNSLNINPLSSQGGMGMLQPNSSILQHQQLKQLPYRQMQQQYLHKQQLIQQQHQFQRQTKQQQQNGQLHMNQLPQLQPINDGNELKLRQQIGVKPEPLQQQQVASQRPAYHQQLKSGAPFSPQLLSASSPLTPQHSSPQIDQQNLLNSLTKSGTPLQSANSPFIVSSPSTTSTSHMPGESEKVNSGVSSLSNAGNIGHQPTGAVLPTQSLAIGTPGISASPLLAEFTSPDGNHGNGASVVSTKSSAIEQPIEHLLKVVKSISPKSLSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKLHLQARTSGTPNGTRKMKRFTSAIPLNGVSSANSRRHPLLEEIRDINLGLIDTVVDISKEDADSAEGGKGTIVKCSFIASPIQPLRLLVPANYPNCSPILLDNKEYEDLSVKAQWRFGSCVRQLSEPMSLEEMVRTWDVCARTVISEYAQQSDGGTFSTKYGAWEDCLTLTGTAA >KVH89836 pep supercontig:CcrdV1:scaffold_2420:70381:79729:-1 gene:Ccrd_008170 transcript:KVH89836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidyltransferase-like domain-containing protein MEGEHKQRNWMVEPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFSNTYLLVGCCNDEVTHRLKGKTVMTDQERYESLRHCKWVDEVIPDAPWVLSQEFIDKHRIDYVAHDSLPYADASGAGQDVYEFVKSIGRFKETKRTDGISTSDIIMRIVKDYNEYVMRNLDRGYSRKDLGVSYVKEKRLRVNMGLKKLREKVKKQQEKIQTVAKTAGMNHNLWVENADRLVAGFLEMFEEGCHKMEQLKANANRRGLANGNDEDDDEYYYDYSTEDEEDYSNGAEEIASA >KVH93651 pep supercontig:CcrdV1:scaffold_2423:70611:72128:1 gene:Ccrd_004297 transcript:KVH93651 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MKKQMLCFLFISFFVASSYAQLRQNFYQSTCPNVESIVRSAVAKKFQQTFVTAPGTLRLFFHDCFVRGCDASVFLTNANAEKDHHDDLSLAGDGFDTVIQAKAAVDSNPNCRNKVSCADILALATRDVVALTGGPSYKVELGRRDGRISTRKSVQHKLPHAEFNLNQLNTMFASHGLSQTDMIALSGAHTLGFSHCNQFSKRIYSKAGIDPNLNRKYALELRQMCPVNVDPRIAINMDPTTPQTFDNAYYKNLQQGKGLFTSDQVLFTDTRSRPTVNLFASNNNAFNQAFVSAITKLGRVGVLTGNQGEIRRDCSRVN >KVI07690 pep supercontig:CcrdV1:scaffold_2424:35107:36162:-1 gene:Ccrd_013951 transcript:KVI07690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKGLFRSKPLTPVELVHQIHHLLTYTFPNSDVKDYKRQEKIRELTRLIHEMKIVLYGDDMSEPATEPCAKLTQEFFKEDILRLLILYLPNLDPGVRQDVTHVVANLQRQRVNGRYVAAEYLEKNTDILDMLIPGYDDPETAISFGAILRDCIRHQVVAKYILESDHMKTFFDYQHDPNFDVASDAAATFKELLTRHKSTVAEYLNKNYDWFFMEYNKLLESSNYITRRNAVQLLGAMLLDRSNTAVMVRYVSSLDNMRILMNLLRDSNKQIQLQAFHVFKLFPANQNKPQDIVNVLVANRSKLLRFFKDFTFDKVDEQFEADKAQVIKEIESLVPRCLTCSSFKNCEGLSC >KVI07685 pep supercontig:CcrdV1:scaffold_2424:27713:30556:-1 gene:Ccrd_013949 transcript:KVI07685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MERGGREKEEEEEEATMESISLLSPYDMTIFRLSHRVVLAPLSRLRSYNFTPQPHAILYYTQRTTKGGLLISEASGISDTAQGLPNTPGIWKKEHVEAWKPIVDGVHNNGGIFFCQLWHSGRVSNTSYQPNGQSPISSTDKPISTHLFIGGGSGGDNAPYSPPHRLTIDEISQVVNDFLIAARNAIEAGFDGVEIHGANGYLIDQFMKDQVNDRDDRYGGSLENRCRFPLEIVEAISNEIGSERVGMRLSPFADYNECGDTDPHSLGIFMAESLSKLGIAYCHVIEPRMVTQFEKVETRNTLVSMRKAFAGTFIVAGGYHDRNEANCVVENGDADLVAFGRAFLANPDLPRRFRLKAQLNKYDRSTFYTDDP >KVI07687 pep supercontig:CcrdV1:scaffold_2424:59743:62027:1 gene:Ccrd_013952 transcript:KVI07687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIVREESNIPGKRSRLWISSEVYDVLNKNEGTEAVEVLHLLVREYYPKVHIDGKGFAQMKNLRILKIYDEELRHRWHAFDLKLWKESKVNYDGKLKFLSNKLRLLYWHGFPFKCFPSDFYPENIIAIDLSYSHIKNLWTSPKVDMRRGFGLDGGVQFLKLHGFQSWIHSKHRHRSWQKAKNFVTVSIGHDDDFEVKECGFRLVFDEDIEEETNFSLIQEFQTPTQEGGAIKMRRNNQHFNWLW >KVI07688 pep supercontig:CcrdV1:scaffold_2424:66956:68192:-1 gene:Ccrd_013953 transcript:KVI07688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSGDLDMLEGNEENSFDQYGENTSSISSINKHVTSFDLNEEASSEEYIDLCLEDDEKTGEGSSSKNRKGPVRQYVRSKMPRLRWTPELHHAFVNAIERLGGQETTKDLKTLNFRSVIFSIIPTVGAIKLLSKSVLQLMNVRGLSIAHVKSHLQFPFVSSSVSEARGEGERREAEARGEGESEIR >KVI07691 pep supercontig:CcrdV1:scaffold_2424:73908:75294:1 gene:Ccrd_013954 transcript:KVI07691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDALDFDKKNIFLDIACSFIGEDKDLAVSVLGSSAHANIEVLLDKSLITISRDNNSLQMHDLIQSMARRIIXEEFVVKEVWSRLWNLSDFRNVLSKNKAIEGVEVLDLSLEQSSQNVHIDGEAFEDMKNLRILKISYGELINFWEDSKVNYSGXAFEDMKNLRILKISYGELINFWEDSKVNYSGWLKALSNELTLLYWNGCPFEFPLDFYPENIVVIDLSYSHLKTLWTTPKRSGLDGGLQFINIHGYQVRYNIPKKV >KVI07689 pep supercontig:CcrdV1:scaffold_2424:32844:35644:1 gene:Ccrd_013950 transcript:KVI07689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MGNRNKKPQPNSDNPKQDEEEEAFTCKICMEPVTLPNNKFKNSNKCIHPFCTECVMKYIQVKLNDNVSDIKCPDITCNHSLEPLSCRPKIAHQLFDKWCDLLCESAVLKIDRVYCTNQECSALILNECGNRNLKRCVCPDCSKPFCFRCKVPWHDAHRCKESWVTRYLNDISFSIISMWNGWMRCPSCRHSTVDLCLVNSSLNVAAASEATSKFGSC >KVI07686 pep supercontig:CcrdV1:scaffold_2424:11034:18574:-1 gene:Ccrd_013948 transcript:KVI07686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MIKYIQMKLDDNLSDIKCPAMACNHSLEPLSCRPKIARQLFDKWCDVLCESAVLKLDRVYCPNQDCSELIVNECGERDLKRCLCPNCMKPFCFWCKVPWHAGYMCEESGETRDENDVAFGVLAERKGWIRCPTCQHFVELADGCTIVRCSYESKLVDSISKEILETLCDGPLDVTKEVEVLVLLLEKSSEKVNMDSKSLACMNNLRILQVCYLELKNLEHTIERNQWNESEVKFDLKLWDESKVKFSGILEFLSNELRLFYWHGCPFKFLPSEFCPVNIVAIDMSYSPIESLWTTPKVFTCSYIYFPT >KVH87784 pep supercontig:CcrdV1:scaffold_2425:32402:33442:-1 gene:Ccrd_024930 transcript:KVH87784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MSRWDVTNRRSSAPPLIIFTVIFLFVFIHPSIAWRPWPHQRHNATELQFGSSKKYEGSSEFVKLRYHMGPVLTANITVHIIWYGTWPRDQKRIIREFINSISSTTAPSPSVSGWWKTVQLYTDQTGSNISRTVRLGEEKNDRLLSHGKTLTRLSVQSVIKAAVTAKTKPLPISPKSGLYLLLTADDVYVQDFCQNVCGFHYFTFPSIVGYTLPYAWIGNSGRLCPGVCAYPFAVPDYISGLKPVKSPNGNVGIDGMISVIAHEISELASNPLVNAWYAGQDPVFPVEIADLCEGIYGTGGGGSYTGLMLEDRDGATYNMHGIRRRFLVQWVWNHVVNYCRGPNALD >KVH87781 pep supercontig:CcrdV1:scaffold_2427:67278:68137:-1 gene:Ccrd_024933 transcript:KVH87781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase VANVIYLDAPTLTGYSYTKTSKAIRSSDTLSASQTIEFIRKVSGSSTYTYRVSSFVDIYVQLLQFVRDHPKFLNNPMYVTGISYSGIVIPIITEEFNNEVLEPTINIKGYIAGNPLTDKTGDIDSRLEYVYRMALISKELFESTRNGCNGEYAEADSNNLLCMSNIHEVNK >KVH87780 pep supercontig:CcrdV1:scaffold_2427:35693:46651:-1 gene:Ccrd_024932 transcript:KVH87780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYIHIHSTIKPITILLSYPPCQNKLNTHTKAHMMHTVSSLIPIYVGFLVWATSTTLIASQTIVKSLPGYPGPLPFKLETGYIGVGEDEAVQLFYYFVESEGNPDEDPLIIWLAGGPGPMQIRDTSSAEQTAEFLRKFVKNHPRFLKNPMYVTGISYSGIIIPIITEELYKGNEEGLEPIVNIQGYMGGNPLTDKTGDINSRLEYAYRVALISRELYEGAGHTAPEFKPEECFQMVKRWFANKPI >KVH87783 pep supercontig:CcrdV1:scaffold_2427:78623:87232:-1 gene:Ccrd_024934 transcript:KVH87783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase IYIHIHSTIKPITILLSYPPCQNKVNTHPKAHMMHTVSSLIPIYVGFLVWATSTTLIASQTIVKSLPGYPGPLPFKLETGYIGVGEDEAVQLFYYFVESEGNPDEDPLIIWLAGGPGPMQIRYGNYMDNVPALQLDPNSWTKFVKNHPRFLKNPMYVTGISYSGIIIPIITEELYKGNEEGLEPIVNIQGYMGGNPLTDKTGDINSRLEYAYRVALISQELYEATQNDCQGDYAEANSNELQCMSRIDEVNKRVGDINIQQILDPDCDPATNLVRSGNPIISGNRKSLRAANPIKMLPALSLHKDTFCRGDYYNYATLWANDENVMEALNVRKGTVKEWLLCNLDMKYNYGEPSMPLYEFNVKSSVVYHEKLSKRNCRALIFSGDHDMMVPHVGTRNWINSLNLTITESNWXAWYSNGQTAGYKTTYARDNYSLVFATVKGAGHTAPEFKPEECFQMVKRWFANKPI >KVH87782 pep supercontig:CcrdV1:scaffold_2427:122:2481:-1 gene:Ccrd_024931 transcript:KVH87782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MQIRYGNYMDNVPALQLDPNSWTKCSXVDTLCLPYYNXILYGDLKVANVIYLDAPTLTGYSYTKNSEAIVSSDTSSAEQTAEFLRKFVKNHPRFLKNPMYVTGISYSGIIIPIITEELYKGNEEGLEPVVNIQGYMGGNPLTDKTGDINSRFEYAYRVALISRELYEETQNDCQGDYAEANSNKLLCMSRIDEVNKRVGHINIQQILDPDCDPATNLVRSGNPIISGNRKSLRATNPIKMLPALSLHKDTFCRDDYYNYATLWANDENVMEALNVRK >KVH97024 pep supercontig:CcrdV1:scaffold_2429:71821:72385:-1 gene:Ccrd_000883 transcript:KVH97024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTTSWLTESAKLEFNWRIVLATLIEFLGSACGTVGCVGSGGGIFVPMLTLIVGFDTKYVAALYKCMF >KVH97023 pep supercontig:CcrdV1:scaffold_2429:66866:71768:-1 gene:Ccrd_000882 transcript:KVH97023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIRKELYSIEKATFKKDSEQTKQIEELQKQHEEMRDEKERFVKEIERILSEPDKILNLDRLSNKHRGAQLTVIHNMIETVEVLSIIS >KVH97022 pep supercontig:CcrdV1:scaffold_2429:76312:76914:1 gene:Ccrd_000884 transcript:KVH97022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVFSTYKSYKLAQGPIRAFVRNWHKELIKVSWEKPEIGWTKLNFDGSCKCKTGKASIGGVVRDHNAEFLLGYAEAIGRTNSTVAEFVALQRGLELVLENGYKDLWLEGDCKTLVEIVAQRRHVKCDEVQKRVSCINLILPEFRNCFVTHVYREGNRLADKLAQIGHQLKRPQIWHVTPREVLRVLNEDASGKVFYRRI >KVI10589 pep supercontig:CcrdV1:scaffold_243:294441:305391:-1 gene:Ccrd_011023 transcript:KVI10589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC-like protein MREEGISSSGDPLIFSNSPPPPPPPPAAASSAGASSPAFATNAGSTDWLSHGQGSKAGSLSRISSQPMWISLSTSAGGSALGSSQPSCRPWERGDLLRRLSTFRPANWFGKPKAASSLACARRGWVNVDVDKIQCESCGANLKYNAPDSWTPTEGDNGGEEFGNQLDEGHNVTCPWRGNSCAESLVQFPPTPPSALIGGYKDRCDGLVQFPCLPVVVASALEQMRVSRGPEIDRFLVQSCAFSVGESGFKAEITSDICIYSRAQKLISLCGWEPRWLPNVQDCEEHSAQSARNGCSFSPIKDCDPQQDRGPNKKTLSASTKKGPLKNEPPSKFESRSPLLDCSLCGATVRILDFLTVARPACFAPNNIDVPETSKKMALTRGVSAASGISGWVAADGVEKEQTEDIDEAATTGDGKSVSNIGVDLNLTIGSGFSTAHGHKRGISELYQDANIGRDLAIGQPAGSEVGDRAASYESRGPSTSKRNLDEGGSTVDRPHGMIRQADSVEGVVIDRDGDEVNNSKLSPGPSKRARDSHTFESYQPSYRRDASGAGPSQTLYFDIGKAGPPSQGHEPAAGYPSTKDSARASSVIAMNTVCHSADDDSMESVENHPGYVDEANYPSFSAPKSPDINETSDLNLSIQAQQSTCPPAVRVAGEIGVSSTNDEEVLNTETATVHGRDGPSFAISGGSVGMGASHEAEIHGSDALIHRTESVVGDMEPVTGVTENQGQTGEFAADPGPMGDFVPVELQHEYPRGESQELMSRSGGRADSGSKIVGSAKAESIESGPPHGESNFEEPVEFDPIKHHNFFCPWVNGNVAAAGVSVGNGASSSASAVAHCGWQLTLDALDGFQGPEPNQTVESESAASMYKSVAMVALTAVQEKWNKSSHDGAELSMDHFSSKYSELGFSRLSKSGFGARDFDREIR >KVI10579 pep supercontig:CcrdV1:scaffold_243:215159:217322:-1 gene:Ccrd_011016 transcript:KVI10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIDFGSGQGGGKIEFVSSHIMFMLCVILVFLSVLSMVIFVCSDSKTHHSHKRQHHKGRRFSSGFEFGGLVGEIGGGGGDGGGGGGGGGGGDGGGGGGGGGGGGGGGGTLIKFKGSNILFMLCMVLISLSFLSVVIFACVDSRKHDSNKKHRRKDQALSDGVYEGSNGGGGGGDGCCIGGGGGDGGGGCDGCCG >KVI10588 pep supercontig:CcrdV1:scaffold_243:288833:289952:1 gene:Ccrd_011022 transcript:KVI10588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MIALPQTLTITISSSLLSTQFHIYPKVIKTCKSLCSTMADKREKSTTASSQIITGSCEEGGEGSSGIRTVETLLRLLPVGLCVAALVVMLKDSETNEYGSLSYSNLTAFRFLVHANGICAGYSLLSAAFTAIPRPITMPRAWTFFLLDQVLTYLILAAGAVATEVAFLTYKGDVAVTWSEVCGTYGDFCRKATASIIITFVVVICYVLLSLISSYRLFSKYDAPVGYKNKGIEIVDFGN >KVI10581 pep supercontig:CcrdV1:scaffold_243:88746:89126:-1 gene:Ccrd_011010 transcript:KVI10581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRVHLHLNLLPPHHLLRFTKFQTHPFLHCPNTPPKLQISCSSSSSSSNSSSIVDIELVMDLATEIEKMNAQTVQTQEAMKASRKLLYAELGLYLGLGKEELRRKWEKMEQDEKWILAEEFVSD >KVI10580 pep supercontig:CcrdV1:scaffold_243:165728:166945:1 gene:Ccrd_011011 transcript:KVI10580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEICSVMEFDSALHLFELLGLKFYNSLFLQQKIVVKVTMKNHKSPRKALQIVVSVSRVVDSVTLVDKQQIVVIGERIDSVQLTCLLRKRVGHAELVSVGPVEEKKPPKPPSTTTATATSQKEPKQTVWCECVCREHHCWQAWPXQVVHEVPSNTCSIL >KVI10584 pep supercontig:CcrdV1:scaffold_243:262002:263779:-1 gene:Ccrd_011019 transcript:KVI10584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein GDSTPTTTSIPPGPQPLPLCFLLPFPHGGTATPNLFKKPKILIMATQALVSSSSLTSSVESARQIFGARPVQSPSRKLSFLVKAASTPPVKQGANRQLWFASKQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEVINGRFAMLGAAGAIAPEIFGKLGLIPAETALPWFQTGVIPPAGTYNYWADPYTLFVMEMAFMGFAEHRRFQDWYNPGSMGKQYFLGLEKGFSGSGDPAYPGGPFFNPLGFGKDEKSMKELKLKEIKNGRLAMLAILGYFIQGLVTGVGPFQNLLDHLADPVNNNVLTSLKFH >KVI10577 pep supercontig:CcrdV1:scaffold_243:200797:204781:1 gene:Ccrd_011014 transcript:KVI10577 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase, conserved site-containing protein MATVGAFNPPHFFSSSSSGAAIVADRRYLHAPSLSFSSSHLSGDKIFSASSVRRRCSRGRASVIVSPKAVSDSKNSQTCLDPDASQSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEGTADAVRQYLWLFEEHNVLEFLILAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDEERAKEMPYIASMGIYVISKDVMLNLLRDKFPAANDFGSEVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCMIKNCKIHHSVVGLRSCIAEGSVPIGIGKNTHIKRAIIDKNARIGNDVKIINSDNVQEAARETDGYFIKSGIVTVIKDVLIPSGTII >KVI10591 pep supercontig:CcrdV1:scaffold_243:316068:324285:-1 gene:Ccrd_011025 transcript:KVI10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum oxidoreductin 1 MVEMDGKENAGGNGGYKKRNNNNRWRRWGVVGAVIAVLVAVYLNPLWHSHTISFLHKPYLCSETSLIGLFYFSFVEQDTCKYTGIVEDCCCDYESIDDVNGAVLHILLQELVATPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPDHEFPESFKKPSLHILPKDDPVCQEGKPEATVDRTLDAKSFRGWVEIDNPWTHDDETDNGEMTYVNLQLNPERHTGYTGPSARRIWDAIYSENCPRYAFGEVCPEKKVLYKLISGMHSSISVHIAADYLLDETANQWGPNLELMHDRVVKHPDRVQNLYFTFLFILRAVTKAATYLDQAEYDSGNHAEDLKAQSLIRKLVHNPKLQAACALPFDEAEIALMDCVGCEKCRLWGKLQVLGLGTALKILFSVNDRGNPDPHLQLQRNEVIALINLLNRLSESLIYVNKMGSSVPSAKEMSSIHRQWGSLIAHWYTLSCSNHATLDLWLKTKSCELLFSVGDGEGDGERRPPTEAATETKGDGDEGPTATTTGEGEGCKLWEKMERCLALDMDMEMEMETM >KVI10582 pep supercontig:CcrdV1:scaffold_243:191273:197437:1 gene:Ccrd_011013 transcript:KVI10582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFINTAGTIYKKIQDGVFDVSNEASSYGIKVGYGGIPGPSGGRDGSSSQAGGCCS >KVI10571 pep supercontig:CcrdV1:scaffold_243:16087:20270:1 gene:Ccrd_011008 transcript:KVI10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, alpha subunit, C-terminal MLLDIMIVGDRAVNASELGLDVEYYILLAFKSIVNDPDYVLKSLTCVVREIGSDGKEVAKVVPDISEDVKEALEAMRQAEAVGTKDWIRVLPEAIAACAVAIERHEGKLTIKESPRAVSEWEDKLLAEQMAKLECQNAEVSGDEDSEVEEDTGMGSSFRVNQWHLLSFDPKK >KVI10574 pep supercontig:CcrdV1:scaffold_243:6424:8566:1 gene:Ccrd_011007 transcript:KVI10574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEYEKIIDIFIAVDLSSNKFRGKIPESIQSLGGLRLLNLSNNELSGVIPSFMGNLTLLESLDLSRNKLSGKMPRELTQLNFLAFLNVSYNNLTGHIPQGPQFNTFLNTSYTGNLALCGDPLSKKCENSEASKPPALSLHEDTDSNFPNRVDWVVILSGVGSGLEKNSGFRPTFVAAVERKDXQSCCCCRNAHXCA >KVI10572 pep supercontig:CcrdV1:scaffold_243:50178:142336:1 gene:Ccrd_011009 transcript:KVI10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIKSFPFPFSLSKPPLPDPLNLPGNPNTYIQIIKFTEMAKQKIVVKVTMTSDKKTRKALKIAVSLCGVESASIVGSDKDQIAVTGEGIDSVELTTLLRKGVGYTELVSVGPVEEKKESKATVEIHPYQYYYDYYVPSYYVYGITTYLSEETRHMNSTISEEPTKSSSLLMSRDKLFVLLSRDSQAVQNKYNQIIKFTEMAKQKIVVKVTMNSEKKSRKALKIAVSLCGVESASFVGSDKDQIAVTGEGIDSVELTTLLRKGVGYTELVSVGPVEEKKPEVKETKATVETTIFESSHSPVSRDSQAIQNTYNQIIKFTEMAKQKIVVKVTMNSEKKSRKALKIAXXLCGVESASFVGSDKDQIAVTGEGIDSVELTTXLRKGVGYTZLVSVGPVEEKKPEAKETKATVEVHPYQYYYDYYVPSYYVYGMVRNPKPSPILLTREIQLQKIVVKVTMNSEKKSRKALKIAVGLCGVESASFVGSDKDQIAVTGEGIDSVELTTLLRKGVGYTQLVSVGPVEEKKPEAKETKATVEVHPYQYYYNYYVPPYYVYGIGFISKDGTFLSLPMALTWSSSSSAAPLSLNPMGMRSIKSLQLHSHSSNHHFQNPLILSSLWRHIYSDHQIHRNGEGVESASFVGSDKDQIAVTGEGIDSVELTTLLRKGVGYTELVSVGPVEEKKPEAKETKATQNQRNPIPVAAAAAAAFHPPSPVLFLYSGILNRAFISRDEMAKQKIVVKVAMHTEKKARKALQTVVSFCGVESAAFEGADKDQIAVIGEGIDSVKLTAKLRKCVGHTDLVSVGAEEEKKKEEEKKKKDECEAFMEYCPYPYPYPYYYGCYGTTPAPHTAASCSLSWLLEFVPSGRSSFRSPLPNPSLTLYLRCCLNWNWNWNWNMRFEVLEGCWGSGETGVESAALIGSDKNQIKVTGEGIDSVELATLLRKGVGCTELVSVGPVEEKKPAVTAAAAASQTPATVVPLQVYPHHYSCYGGPYYHVYESCSNDDPSCGSNFHNRLLSQQNIVVKVAMINDKKTRKALKIAVGVCGVESAALIGSDKDQIKVTGEGIDSVELARLLRKGVGCTELVSVGPVEEKKPAVTAAAATQTPAKVVPATVVPLQVYPHHYSCYGGPYYHQNIVVKVSMNNDKKTRKALKIVVGVSGVESVALFGSNKDQIKVTGEGIDSVKLATLLRRGVGCTELVSVGQAEEKKPANTTTTAAASVESAALIGSDKNQIKVTGEGIDSVKLATLLRKGVGCTELVSVGPVEEKKPAVTAAAAASQTPATVVPLQVYPHHYSCYGGPYYHVYESCSNDDPSCGSNFHNRLLSQQNIVVKVAMINDKKTRKALKIAVGVCGVESAALIGSDKDQIKVTGEGIDSVELARLLRKGVGCTELVSVGPVEEKKPAVTAAAATQTPAKVVPATVVPLQVYPHHYSCYGGPYYHVYEISRKEASPLMAFTSSSGPPLYTWIPLQILPSLNLLLHPALPLLSHFYSKPTYPDHHQLHLDMAKQNIVVKVSMNNDKKTRKALKIVVGVSGVESVALFGSDKDQIKVTGEGIDSVELAMLLRKGVGCTELVSVGQVEEKKPATTTTTAAAASQTPATVVPLQVYPHHYSCYGGPAEASPDPLMAFTSSSGPPLYTWIPLQILPSLNLLQNIVVKVSMNNDKKTRKALKIAVGVSGVESVALFGSDKDQIKVTGEGIDSVELAMLLRKGVGCTDLVSVGQVEEKKPATTTSTTAAASQTPATVVPLQVYPHYYSCYGGPYHRSVGFNSIQFNFSILQQKIVVKVSMNNSKRTRKALQIAVGVSGVESASLMGGSEITVTGDGIDSVRLVMLLRKSVGYTELVSVGPVEDKTPAATATATAIDDATGQQEEKMVAMPWDDCPHDHFISYGTPYHVHVYESTYNHPSCTIM >KVI10593 pep supercontig:CcrdV1:scaffold_243:339241:353047:-1 gene:Ccrd_011027 transcript:KVI10593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MAGLQLQMTWQTNLLLKKRKNGPPLGFKNLGNTCYLNAVLQCLTYTPPLANFCLQHQHSAFCESLVQQDRKSDCPFCLLEKRIVRSLSIDLTLETPGKIIGGLKIFAEHFRLGRQEDAHEFLRYVIDACHTTCLRLKKLQQQRRKFVSNGGGDSFGGSTVVKEIFGGALQSQVKCLACGNESNKVDEIMDISVDVLHSSSLKEAFQNFFQPEILDGNNKYKCDNCKKLVAARKQMSILQAPNILVIQLKRFEGIFGGKIDKAIAFDEVLVLSSFMCKTSQDPHPEYKLFATIVHSGFSPDSGHYYAYIKDAIGRWYCCNDSYVSVSTLQEVFSEKVYILFFSRTKQRPVLPNKTLATNGTKAYDCNGSDSSKLPKSGHITKSTESQQCVNHHSQKVDSGTSSKVGKVLSGLQRKSDIAGNSGTKKFPATVNMKIIVHNKENNENNGDAKAPNSKKTSDKKIPLLEDKNGFSQNKLVANGNVEIQRNSDEFVANGCKTDATRNGTATGKVPGYQDAHNGVAKSLPDKSDSKRKHEEQKSCHLLSEDHQSLAKCEELKERHEVGSKTSFWFEPEMWIKISVEECGDCGQRVYFCCSRQWNAILCSLGYLRHSRGRFPYLNPKHRNVGVFTEELGCRFLGYRLVLGKKLLPFCEIVVGQMRFKVSCVPRSCALEMQAMMHYRGMNLKWRLDKPFILPHSRENCHDSTDSLEEFGLEMGRICMYFYEAYSALKDRFSNGKFCFFFSRV >KVI10575 pep supercontig:CcrdV1:scaffold_243:1672:3558:1 gene:Ccrd_011005 transcript:KVI10575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPDWLGKLKKLNNLYLNRMNLDEIPPLFSNLTKLSLVMMEDNSIHGSIPSSFMNLTQLRVINLAGNKFHGPIPSSFSNFKRLQLLSLLRNDFRVDLDMFVGLNQLDTLYLSGNKISFVATSNYTNGSLPELKILGLSSCDLKKFPAILRFRHKLNVLFLSDNKIGGRVPEWMWNKNLESLEIIDLESNFITGFHQHQNFLPWGRLKYFSIAYNQLQGRVPIPPRTMVVYDVSNNNLQGEIPPLLCELNSLLLLDLSSNNINGTLPSCLGRLSNSLLVLDLKGNNFQGTMMNTFTQGCMLRKIDLSENQIVGQVSKSLTNCINLEFLSLGDNSFDDTFPFWLGTLVELQVLMLGSNRFYGGIQGPTMVISQFPKLRIIDLSNNGFGGPLPHEYFQSWNAMKSVYDGKSSFLQSRFPLLTFELSIPYKMTITSKGVKREYPRILDIFTVIDLSCNNFEGQIPQSLQDLRGLESLNLSNNYLIGGVLSSLGNLKNLESLDLSRNELSGEIPHQLLQLGFLAILNLSFNHLHGRIPQGGQFNTFESNSYEANPGLCGEPLSNDCQDSKASTPPQTSEKSESFFPSERVDWIVIFSGVGSGLVVGIVIGNFLYARYSDWFSTRKDKWVRPLRN >KVI10583 pep supercontig:CcrdV1:scaffold_243:183440:184743:1 gene:Ccrd_011012 transcript:KVI10583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKIVVKVMMKNHKSLRKALQISASVCGVESVTLLDKERIAVTGEQIDSVQLTCSLRKRVGHTDLVSVGPVEEKKTVKPISIPEIVRYEYVYPEHHCWHTAPMPLLAVHEFPSNICSIL >KVI10578 pep supercontig:CcrdV1:scaffold_243:224661:226053:1 gene:Ccrd_011017 transcript:KVI10578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like protein MDGMQARNSQAGQATTLVTENVHKQPFVIGVAGGAASGKTTVCDMIIEQLHDQRVVLVNHNLQVFMSTTSIILANSFDNEKLLLAMEMLKSGEAVGIPKYNFRSYKNNVSRR >KVI10585 pep supercontig:CcrdV1:scaffold_243:255376:258639:-1 gene:Ccrd_011018 transcript:KVI10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MMALSLISRGTYWLLFTYVIFVSHICNGFYLPGSYMHTYSTRDEIYAKVNSLTSIETELPFSYYSLPYCTPPGGIKKSAENLGELLMGDQIDNSPYRFRMNVNETAFLCTTHPLSEHEVKLLKQRTRDLYQVNMILDNLPAMRFANQNGLKVQWTGFPVGYTPPNSDDDYIINHLKFKVLVHEYEGTGVQIFGTGEEGMGVISAAEDEKKASGYEIVGFEVFPCSVKYEPEKMAKLHRYDEVPSVNCPLDLDKSQIIREQERISFTYEVEFVKSDIKWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFREPTHSKLLCVMIGDGVQITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGTGAGYAGVYLWRIIKGTSEGWRSLSWSIACFFPGVVFIILTVLNFILWGSKSTGAIPISLYFIILSLWFCISVPLTLLGGYLGTRSEPWQYPVRTNQIAREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCVEDWQWWWKAFYASGSVSLYVFLYSINYLVFELQSLSGPVSAILYLGYSLIIAVAIMLATGTIGLITSFCFVHYLFSSVKID >KVI10586 pep supercontig:CcrdV1:scaffold_243:278579:280814:1 gene:Ccrd_011020 transcript:KVI10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MMLRVMKKLPFNGHRWMSNTVMSFGDGTHGALGLPTSITGIDPHAYEPTPISALPPDVSSIAAGHHHSLAVTSQGHLWSWGRNVESQLGRGLHSPRETWFEPRRIDGLIGVQSAFASGAVSAAIGVDGALWVWGKSNRGQLGLGEGITEAVLPSRIKVLAKEEIIKVSFGRGHALALAKNGKLFGWGYSADGRLGKRGVGGASPHNSRANLSSSFDLEAAEKVVLETMDKEKDMPIIWEPCLIDELEGVEVADISCGFDHALVLLRDGTLLSGGNNLYGQLGRSTQDLELLPVDISAHAVSIASGLGHSLAICQIPSADGGRGISGIFSWGWSRDSQLGREGPANIPLLVDGLLGENLLSVSGGRVHSIALTSDGEVFAWGCGRNGRLGLGSSMDEPEPMLVELSEGTKALQAVSGFDHNLVLTG >KVI10573 pep supercontig:CcrdV1:scaffold_243:5267:6400:1 gene:Ccrd_011006 transcript:KVI10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTKITLLDLTQNQLKGPIPSSLSTLKNLEIVLLSDNNISGIVEADIFLSLKKLKALYLGGNKITLSFIDYHTSDTLPKFEILWLESCNLKVFPDFLRFQDQLQELSLDDNKIDGPIPEWMGNTSKETLQALSLSQNSIRGFEQHSSVLPWVGLRELDLSHNMLQGSIPMPPPTTMNFLVLDLSFNNITGSITPCIERIEESLLVLNLRSNVLRGTIPNTFTNGSKLHMISLSENQLEDQLPRSLENCASLQILDLGNNHIEDMFPFWLEKLSELQVLILKSNKFHGAIPIPEKTNSKFPKLRIIDLSYNSFSGDLPHRYFQGWSAMKDTKSDATYMQARIDIWVENYGGLGIIHTQ >KVI10594 pep supercontig:CcrdV1:scaffold_243:354029:360961:-1 gene:Ccrd_011028 transcript:KVI10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MADQTSNSSAREGMVTYCYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFVLTKVLKILKVEEGMTLEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAVMPVAVFILGVAAGLEVMSSRMLLIMCVISFGVLVASYGEININWVGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISMMYYVSPCRHVLIPLELDKNLDSLCSALCLLVPWIFLEKPKMDAQGTWNFQPLVLTLNSLCTFALNLSVFLVIQHTSALTIRVAGVVKDWVVVLLSALLFADTKLTMINIFGYAIAIGGVAAYNNFKLKKEATRVSSISDDSKSSSIPKAASSQ >KVI10592 pep supercontig:CcrdV1:scaffold_243:333889:335310:1 gene:Ccrd_011026 transcript:KVI10592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEDDMILCPQDSDLDLSFTSSTSIATTSARSSLARSSLCLSFNDSSRISSAASSTAVPNLHPRPHRKSDANWSAIRAATNLSSDGTLHLRHLKLVRHVGSGNLGRVFLCRLRDYDHASFALKVVDNNALTSKKLSHVQMEARILSSLDHPFLPTLYAHLEVSHYTCFLIDFCPNGDLHSLLRKQPNYRLPIDSVRFFAAEVLVALEYLHSLGIVYRDLKPENILIREDGHIMLSDFDLCFNADVVPKLDNRIQGTTSSSSGKHRSRCYGGNRRRRRTDEEIVTEFVAEPTTAYSRSCVGTHEYLAPELITGNGHGSGVDWWAFGVLVYELLYGRTPFKGGCKESTLRNIASSTEVRFDEESTRGMAQAKDLIEKLLVKDTRERLGCARGATDIKRHPFFDGIKWPLIRMYRPPEVRGLAVKKNSRAHVSHVSGWSSSHKKRRWLWKGLSCIFLKNKGSKRNLNSNHNYYHYKK >KVI10590 pep supercontig:CcrdV1:scaffold_243:308967:315558:1 gene:Ccrd_011024 transcript:KVI10590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein MASFASLVGLGSICTCSSGQLEGTRLSIRRVYLPTSSCGFHSLLVGKRWRYVSICKYSVATDFIADQGTSVSLNATNKSSKEDASDLLLKPTRRPVLKPPEAKIEQVSGTNNAGWDPTKLGGGSGREKLDEQEERNKVIESLGEVLEKAEKLETSKNANVPNNRSSPPLRTNTNTDERNASRVNSTAAGKAKTLKSVWRKGNPVSTVQKVVKEAPKIEKVDEETSKMEVTGKVESQPVAPLKPPQPPQRVQPQLQARPSAAPPVKRPTILKDLGAAPKPAITDEVDSGTKTKERSGPILIDKFASKRGAVDPMVAQAVLAPPKPGKGPAPGRFREDFRKRSGAAGGARRRMVKDNEINDEETSELGVSIPGAKKGRKWSKASRKAARLRAARDAEPVKVELMEVDEEGMLVDELAYNLAVSEGEILGFLYAKGIKPDGVQKLDKEMVKMVCREYDVEVMDVVPTKIEEGAKKKEIFDEEDLDHLQDRPPVLTIMGHVDHGKTTLLDYIRKTKVAASEAGGITQGIGAYQVEVPIDGTVRSCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTTEAIAHAKAAGVPIVIAINKIDKEGASDRVMQVMQELSTNGVQPEDWGGDVPMVQISALTGKNVDDLLETTMLVAELQELKANPDRNAKGTVIEAGLDKSKGPVATFIVQNGTLKRGDVVVCGESFGKVIGLNNVPYAGDEFEVVDSLDIARERAEERAISLRDERILAKAGDGRITLSSFASAVTTGKNSGLDLHQLNIVMKVDLQGSIEAVKQALQKLPQDNVTLKFILQATGDVSASDVDLAVASKAIIFGFNVKAPGSVEKYADNKGVEIRLYKVIYDLLDDVRTAMEGLLDPVEDQVPIGSVDVRAVFTSGSGRIAGCMVTEGKVTKDCGVRVLRKGKTVFVGVLDSLRRIKEDVKEVNAGLECGIGVDDFNEWEEGDVIEAFNSIQKRRTLEEASVTMAAAYKEAGIEM >KVI10576 pep supercontig:CcrdV1:scaffold_243:206438:210129:-1 gene:Ccrd_011015 transcript:KVI10576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTEKQECVLCCRGGKLLVCNGDGCPISVHKDCMGCEAYVDDAGNFHCPYCVYRQLTAEACQLREKAMLAKKALSTFLEEQMRDGNHQEPIVGLANKDKQILSEDKVCSVDGNKLVCDDVQNQRINLEKHQNSKTPLPCEETHDTFVSEQTDEFSNRHGEVPGINNVNSCRVMVVYKPNSNLIDACDLDCISRKQDRMRADQFKSGVSKRIKVDNRTRNAKRKFDGVVDNQQHTTSIEEAAEPKDACISSSTKKEIAHQKRKPFAAFNKNGDSRKEECMIEQAAETLLSLPNGMHLHSKSNSKINNDYSDSNIFLKDRSRSRHGPTHLLDHPNGATKGSLEAKTGVMSHTESINVSNRGVLKDSSKQQLVIDRSMRHRAQWSEEEEEMLKEGVQKFSLLTRKNLPWKKILEFGRHVFDASRNPSDLKDKWRNMAK >KVI10587 pep supercontig:CcrdV1:scaffold_243:279639:282135:-1 gene:Ccrd_011021 transcript:KVI10587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAVQQILMVIGIIVVVITLLLFWIATVRVSALTLMFSHLFDTSVGMTGVAELSEVDGPFVKIRLKGRFWHERSLVLARLGNYMKERIPEILEVDIEDEKQLDDSPENF >KVI01631 pep supercontig:CcrdV1:scaffold_2431:15515:20435:-1 gene:Ccrd_020093 transcript:KVI01631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MAAPQQKAGVEQSTAAGLHSKKKNCRTQKAIFDGEGQKARSRALQDCSLQSKKAGAWPGRDVVEYLNRFSMRSLGLVDSWVQTCSMLNGSSSSSFVGFICTSPLKCGKPHFKNHPRSRFSSSSSSFSVSAVIAKEDQEISSDFQKSSFSFKAYMAEKANSVNXALEESISIKTPPTIHEAMRYSLLAGGKRVRPILCIAACELVGGDESTAMPAACAVEMIHTMSLIHDDLPCMDNDDFRRGKPTNHKVYGEDVAVLAGDSLLAFAFQHVSSATVGASPARVLAAVGELAKSIGTEGLVAGQVVDIASTGAKDVGLDQLEFIHIHKTAALLEAAVVLGAILGGGNETQVENLRKFARCIGLLFQVVDDILDVTKTTEELGKTAGKDLVADKMTYPKLMGMEKSRQFAEELLAEAKQQLEGFESYEAVAPLVALAEYIAYRQN >KVI01632 pep supercontig:CcrdV1:scaffold_2431:9399:14989:1 gene:Ccrd_020092 transcript:KVI01632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAKTDVDEKLSXFLQSGIYRFEGSNAVFMDPVRVLSLSYTHFRVSPSSYYSRFFEPSPSGEDRVSENQRKRKRKEKKPRXLNEREQAADERHQKAKPFLMKAHECLLEANDLLLNLKKLRNDDFLTSDCTVASDEHSFVELGSVWQAPLFEISLXLNQDYTSKQGSQSVQNCEKRNIPAFGSLVANETNXDMEADFLNRKYIIPRKSSFYMFGLQSLYVFLIHMWKSDLKKIHGLIPVKRDCGFNIIVIDPPWENSSASQKLKYPTLPNRYFLCLPIKRLAHADGALVALWVTNKEKLRIFVEKDLFPKWGVKYMATHYWLKVKPNGSLIGELDLFHHRPYECLVLGYCCPKDEDSECFSKLKSIPDGQVLLSIPGDYSRKPPIGEMLLDYIRGSGPAHGIELFARELLAGWTSWGNEPLRFQDSRYFSSTYQ >KVI08247 pep supercontig:CcrdV1:scaffold_2432:18427:22288:1 gene:Ccrd_013384 transcript:KVI08247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVDHPLVDGDPRISRGKAASRSAISQQKNGMVQKDHRDGSISSNINNHFEDLEIHSTQHVPAPPETMSRRAHKLGQFKDRAQFTKRQREGVASSNTGERAVPLPNDSEIATLRLSEEPSTSRSTRNKNRRGAGALDPVVALDESSPEVGNRGSNTHRSSTEDPSFRALQMDSHHAFATAMQQGHSSNAIHAGARPPYRPASRGSSTSNLLQHSRSNPSRNPSILRGVNGQAPTSTRLARLRGRFPGRPRTLSSTPRNSIFPPNMDVDMRMQILEALEAFNDMELPNDLLQIGREFNENDYEMLLALDDNNHQHGGATHAQINMHRSMAEKENIMPSMQIIGHLICY >KVI08244 pep supercontig:CcrdV1:scaffold_2432:66556:68929:-1 gene:Ccrd_013387 transcript:KVI08244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLKVSQLSYGAWVSFGNQIDVKEAKILLQCCRDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIIEGTKASLKRLDMEYVDLIYCHRPDLCTPIEETIRAMNYVINQGWAFYWGTSEWSAQQITEACEIAKRLDLVGPIVEQPEYNMFARHKVENEFLPLYNNYGIGLTTWSPLASGVLTGKYNSGVPADSRFALENYKNLASRSLVDDVLKKVKNLKPIADELDVPMSQLAIAWCVANPNVSSVITGATKEYQIQENMKAINVIPKLTPDVMEKIEAVVQSKPKRPESFR >KVI08246 pep supercontig:CcrdV1:scaffold_2432:26670:57135:1 gene:Ccrd_013385 transcript:KVI08246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSNAIAGLIRPMRQLGIFRVPISNCSQLTVIQDHIPTPVDENYLQDHFNIGKDAEGIGKLQKTYNVSKKDKISVLVRSLLDLEDSKEAVYGALDAWVVGEREFPIGRLKTALIALEKMQEWHKVVQVIKWMLSKGQGVTVGTYGQLIRALDMDLRVEEANKLWAKKLGRDVQSVPWKVCDIMISVYYRNEMWEELVKLFKGLEAHGRKPPDQSIVKKVAESYEKLGLVEEKERFVEKYKSSFTKTRGKYGRKASKESSTIEDNPSRNQQSISIDFNKP >KVI08243 pep supercontig:CcrdV1:scaffold_2432:15424:17454:1 gene:Ccrd_013383 transcript:KVI08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDPDLFEDQQGQSQVMDFMDIDQVVEVPDTPERLIAACSNDGNCIGKRSDGSSSCRLINKEYFNEKLRNEPREKGKSVTAHGGRRLFIRSENHSNSSGSTLGNSSSCKNVLPTVDGANHEKGKASCNSDVQRSTSQEDSSFVDLTEQTRRGHVFGQATSTGVSGTGSAHPSRKHRFSTFGFPAVDAFDTSSKSSGLNKPIKFTLRSDRGKGVEVGAQHKAESNSSSLASISPRVSRQKRLVRNGCISPHNIAKSKQVEEKHDIGRVTKDLRVDVGTIASDGPSSTVDVKDLVSEAKDSRRLKGKGASLHSSSEEPDARNGHLSQR >KVI08245 pep supercontig:CcrdV1:scaffold_2432:62648:68370:1 gene:Ccrd_013386 transcript:KVI08245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDPLVILQWLKFKQEYMNLHFKIKNYRLILTKSSLRIMQTIKNADLEELRELGCGTFGTVYHGKWRGTDVAIKRIREGCFSGKPSEQDRLTKDFWREAQILSQLHHPNVVALYGVVRDGPGGTLSTVTEYMANGSLRHVLSDKNRVLDRRKKLMIAQDAAIGMEYLHLKNIVHFDLKCDNLLVNLGDPERPLLAGSSSRVSEKVSYILLATPFLVSSLIVGCRTWQVDVFSFGIAMWEILTGEEPYADMHCGAIIGGIVTNTLRPPIPKHCDHGWKALMEECWSNDPADRPSFTQIANRLQAMFVALQSKKQTRVQPQPLFSNANRLSAGTPELYFPVRTPDASGLQVDLVSIPYASQTYYTLAVRRSVRRDPTALQSHKPP >KVH87779 pep supercontig:CcrdV1:scaffold_2433:3638:61031:-1 gene:Ccrd_024935 transcript:KVH87779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAAICLLRSMLYTQLWETSWPSKGDEDEVGNPTYNLGFLGISSSGSNNTGTNAPSYILPPENPSSGYMSISSASFLEEHPNHIINGCGFDFIVMKSHYRQLTNFSVQLTLEDAYGANSHYPDKLRYLSREEKNKNGGKMYVEDKIKEFSDE >KVH96812 pep supercontig:CcrdV1:scaffold_2434:46318:48435:1 gene:Ccrd_001096 transcript:KVH96812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMEGKEDVSSATAVLLGALAPGVNGPTWNTLKAAFLMLGVCLCAMLGLAFSSSDSTLVLHVTLLVLITGTLFFLLSGFLAQTGLVSVEDQMQEIGLGTPNQADRGTTDKSK >KVH87775 pep supercontig:CcrdV1:scaffold_2435:55184:56813:-1 gene:Ccrd_024940 transcript:KVH87775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MERVEGLLFYASVEGNVTLLQTLLQEDPLILDRVTVNRCDDMPLHVASMLGHFDFVNEILSRKPQLARESDSQRRLPLHIASAKGHVEIVKALLSAHPETCLARDRDGRNPLHLAAIKGRYQVVKELMQAQPHAARAMVEQETILHLCVKHNQLEVLKLLVGSMGDHEFVNSKDGDGNNILHLAVADKQIETINFLLLDTTIEVNASNTKEETYTDILAQGPKDVKDRQIIRSLTRADAVEPKTEGLIEKIPQKWISKTCLDYKKLFPPIRQKNREDWLDKKRNTLMVVASFIATMAFQVGTNPPSGVWQEDKLDAIPPRHAGYAVMAXNHPVLHHIFLISNTVGFISTLSIILLLISGLRFLKHRGSTWIMIVIMWIATTSLSITYYVSITVTTPKEQAETIRPLSVAILFVWIGLMTVVVGGHMLRLMAMTKEDQKA >KVH87777 pep supercontig:CcrdV1:scaffold_2435:51903:52986:-1 gene:Ccrd_024939 transcript:KVH87777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETSSFMPSSQPMVSKRILRQRILKPLPAEEAVQKRKCRHHKKNEKNLKLARVANEVEDEVVQVLRTRSSPLQLCLTIQNFQIEQRKFVTAMGLKSILHFSIEYIPSRLGFFVVDNFDDERMVLKLPVGELEITKNSIQKMLGFPTGPICITQKITNSNDKVVRCWRNQFPQTKKEIHPSLVVEHMKQQIDGGDMLKLNFFVLVATCLCESMKAGTANQRLLHCIAHKEDIDNLDWCEFVIDCLKRSKKNWNRADPTSYYCGPLMFLILLYVDGLLCKDLHVERSSPAIKIWTT >KVH87774 pep supercontig:CcrdV1:scaffold_2435:63679:69265:1 gene:Ccrd_024941 transcript:KVH87774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRSVVEPTNCDEDEFMNDDDDEDNESERCRTNINFDVDLSSKMVVDVIDFQMGRSFKNHRAKLQEHFLKCHGQEDVERAKGMKPTDSNVTDDARHILCDYWSSEKFHIDASLTPILFLLECGFLLRN >KVH87778 pep supercontig:CcrdV1:scaffold_2435:14061:15529:-1 gene:Ccrd_024936 transcript:KVH87778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MERVEGLLFYASVEGNVTLLQTLLQEDPLILDRVTVNRCDDMPLHVASMLGHFDFVNEILSRKPQLARESDSQRRLPLHIASAKGHVEIVKALLSAHPETCLARDRDGRNPLHLAAIKGRYQVVKELLQAQPHAARAMVEQETILHLCVKHNQLEVLKLLVESMGDHEFVNSKDGDGNNILHLAVADKQIETINFLLLDTTIEVNASNTKGETYTDILAQGPKDVKDRQIIRSLKRADAVELETEGLIEKIPQNWISEMWSGYKKLFPPLSRKNRHDWLDKKQNTLMVVASFIATMAFQVGTNPPSGVWQEDKPDAIPPRHAGYAVMANNHPVLHHIFLISNTVGFLSTLSIILLLISGLRFLKHRGSTWIMMVIMWIATTSLSITYYVSITVTTPIDKAETIRPLSVIIVFVWIGLMTLVVGGHMLRLMAMTKEDQKA >KVH87773 pep supercontig:CcrdV1:scaffold_2435:46770:47102:1 gene:Ccrd_024937 transcript:KVH87773 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MVKESSYSPEDRLLRTILGMRKREIKVGDKVAGRHGNKGIISKNLPRQDMPYLQDGRPVKERFNN >KVH87776 pep supercontig:CcrdV1:scaffold_2435:50538:50933:-1 gene:Ccrd_024938 transcript:KVH87776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNRILKXGKKSLAYQIIYRAVKKIQQKTETNPLSVLRQAIHGVTPGIAVKARRVGGSTHQXPIEIXSTQGKALAIRWLLAASRKRPGRNMALKLSSELVDAAKGSGDAIRKREETHRMAEANRAFAHFR >KVI03820 pep supercontig:CcrdV1:scaffold_2436:71:3154:1 gene:Ccrd_017874 transcript:KVI03820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MNLSLINLIFEQKCGRVDEQIVLLKQKLRMIFKGEAFNGKPTKTARSHGKKFQVSVKQETSKILGNLGWAYMQKSNFMAAEVVYKKAQMIDPDANKAYNLGLCLMKQARYKDAQLVLQEIVNRQFDRAEDVRAGIRARELLMELETWRQPPSGSPEELPDLDLDDDFVDGLERLMNKCGRVDEQIVLLKQKLRMIFKGEAFNGKPTKTARSHGKKFQVSVKQETSKILGNLGWAYMQKSNFMAAEVVYKKAQMIDPDANKAYNLGLCLMKQARYKDAQLVLQEIVNRQFDRAEDVRAGIRARELLMELETWRQPPSGSPEELPDLDLDDDFVDGLERLMNVWAPNKSKRLPIFEEMEKFRDQLQSAC >KVI03817 pep supercontig:CcrdV1:scaffold_2436:75580:80601:1 gene:Ccrd_017879 transcript:KVI03817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MGVCSNLSEENPIQRRGPPEIKILKPASPKRTSHEAPRNQAPIKVAAGNDIAAQTFTFRELAAVTKNFRQDSLIGEGGFGRVYKGRLATGSQWGARKSGISSRGFDVEPSSPSKFEPIFKEPRRFIELADPLMKEDFPVKGLNQAVAVAAMCLNDDASFRPLMSDVVTALAFLGEKADEMDFADSPSEPSGSPSRSLSCRNNPDKASASDRERAVAEAREWGTSSRTNMMKXMV >KVI03819 pep supercontig:CcrdV1:scaffold_2436:7175:11007:-1 gene:Ccrd_017875 transcript:KVI03819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MGQQSLIYSFVARGTVILSEYTEFTGNFTSIAAQCLQKLPATNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQVPIAFLERVKEDFTKKYGGGKAATAVANSLSKEFGPKLKEQMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTQIRRKMWLQNMKVKLIVLGIIIALILIIVLSACGGFKCGK >KVI03818 pep supercontig:CcrdV1:scaffold_2436:39376:49516:1 gene:Ccrd_017878 transcript:KVI03818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIQPYIKFPVYGNCVTELCFVPKGLWSQYQRWKCYARYGRVTRNFSIPGGLQSTNLKSRKCHDRRMECHCSGNLINTDTNASGWIPVIDQVLLMGSVFLTHLAGGLPVDRSFTNFGRKIFIDDIAPEEPIFSGSAATNDKDSEVNLNLSWDTVKGKLIDSISAIERGAKLDDGNMETEQIAKQPSCLAAVAEGPRYSFKFVAILDDFCFVDNISINTYTPDVKIWQKGFSEILQRSSLSVCIDWLKEELSLKHRGSIKDLPSPLLEKLDGYDSVLQYIKKSGKEELYTELVYILRFGALSQECCYDYNFFTLHGVTVLEDMVITLAEGIASMYLELISVDSDISNEMNNLGLSLCTLSTRALQRLRNETRTIVEPSNGKAEKFGWLKNLNLRKSRPVTAPFRAFVISYVSIPVKRTKELRALTGWRYYCSLFLESADIIMPFIRTLFAKISDAVSFFLVCLIGRSLGLIYTGIRQSLRWK >KVI03822 pep supercontig:CcrdV1:scaffold_2436:17109:21666:1 gene:Ccrd_017876 transcript:KVI03822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAISSPIACSRVPSNAQIVRTSNPSSSSIIRLNSTNNRHGKGAVGXLLQKKKLVLPRHGFRCNCSSTPGGPAPGENESKQVLDAFFLGKAFAEALNERIESTVGEFLSTVGRLQAEQQKQVQEFQDEVLERAKKAKEKAAREAMEAQGLVXKSASSSIISSPTANKTTNGSGTEPAAVDEPTVSPSSSSSGSDRNGSTDPLLGIQIDD >KVI03821 pep supercontig:CcrdV1:scaffold_2436:21406:32459:-1 gene:Ccrd_017877 transcript:KVI03821 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MPKRSKKSKSKRVTLKQKHKVIKKVKEHHKKKAKEAKKLGLNKRPKVEKDPGIPNDWPFKEQELKALEARRTKAVEEIEQKKAARKERAKKRKLGLLEDDGDDITNLAEQVSAKEQEFGERKAAGDSTATSKTRERSFYKELAKVIEASDVILEVLDVRDPLGTRCIDMEKMVLKAGPEKHLVLLLNKIDLVPREAAEKWLKYLREELPTVAFKCSTQEQKSNLGWKSSKAAKKTNNLLQTSDCLGAETLLKLLKNYSRSHEIKKSITVGVVGLPNVGKSSLINSLKRCHVVNVGATPGLTRTMQEVHLDKNVKLLDCPGVVMLKSGENDSAVALRNCVKIEKLEDPVSPVKEILKLCPAEMLVTLYKIPIFNSVDDFLSKVATIRGKLKKGGLVDINAAAKIVLHDWNEGEAMDANIVSELGKEFNVDEVYGTESSYIGSLKSVLEFNPVEIPPSDPLHFDESMLENEKQLETTTEVETPLERSTKTEGEDQAMAEGAPETKVTNXSSQQNKKLSNAEKKRRKKTNKPAANTIVEDESVEGDYDFKVDYKGSTAMDDDDDDDDDGDDDDGNSKIELPETTTMEVDESESANGSVLPLRSEPELDEDGETVGSSTAA >KVI11301 pep supercontig:CcrdV1:scaffold_2437:76234:79412:-1 gene:Ccrd_010290 transcript:KVI11301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MLSFLKSQNKTFLIIIPIEFFQGCIVFIWFVRLDKNLLNQLFPESGKLVLDTVANFGFLLHVFVVGVQIDTNILKSVQRHMVLMGCTSFFVPYVVCCLCLMPLTNMVTIDEFSFKTLPFVAALTSLTTFPAVTNTLSDLNLLNCDLGRLSCSTALVTDVCSYVTALALSTIGVALERSDWKPLNNILWIACFLLSIIYVLRPFVLWMAKRIPEGQQIKESQFLVVLVALLLCGFLAECLGQAAEFGGFTMGICVPDGPPFGLALVNRIDWMATVILVPAKFAISAFKVNLNSLVGDKVVASLITEVVISIAYLAKFTCNFLLAMYFNVSLPDAFHFAMIMCTKGIIDAVTEQAYSLLILNMLIVTGSTRLLLGHFYDPSTRYRTYKRNSILECEPDDYLRMVVCIHNEENVSSIINLLEASNPTRHQRIEVISMNLQPLEGRASAILVPSSEVKDIPSAQTRMLQIIKAYNYFIERKKGSVVIEHFIAMAPYGSMHEDIFTIAINKCANIVIVPFHKRWAIDGSIEATFPGIRTVNCKIMEKAPCSIGILVDRGQIGGPKSVLTGRNKMFRITQLFLGGADDREALAYSSRMAKHPHISLLLILLKPQCADMEPTPEMYEKRLDAEIIDRFRVECKGRDIFIQQQVAKDAVETVQLLRAMEKGCDLFIVGREHGCCVSQLTYGLSEWSQCPELGGIGDLLATSDFQFSVLVVQQQLVDTVDFAGEKLAFGK >KVH87770 pep supercontig:CcrdV1:scaffold_2439:51504:53606:-1 gene:Ccrd_024944 transcript:KVH87770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAWSLVRASMFDLCFIRSSSSLVHLAPIYMHPALMPICGKEFDSHNGSRAFLSYVFCKGPLNVHLRYLCSRAKPRWLRCEESSHDILLKELESCLKNHQVDEAWEAYTDFKRLYGLPDDRLLRRFITELSYSSEAKYLQRACDIILLLSKKKSNLLHLDLLRNLSLCLARAQMSIPASMILRIMIEKGNIPPSNLLGTFVLHMVKKEIGTYLASNILIQICDQFQCLGSNRSMHADLMKPDTIIFNLVLDACASYNLSFKAQQIIECMSQIGVVADAHTIIAIARIYEINGQRDELKKFKHCVDQVVVYWAHHYFQFYDSLMSLHFKFNDIDSASRLILDIINFRGTLPHLAERKGLHKPFLIPLGSRNLRQGLKLQVLPHLLHENSLVKLERNDGLVIHNSGKLVLSNKVLAKLVIRYKRQNRIDELSKLLCRIQTEQGSVETNNLCSDVIDACIHVGWLETAHDIIDDIEQEGNLACTGSYESLLTAYYEDKKHREAAALLKQIRKAGIIISDRMSILNVSIKRSDLITFLVQEMREGDVDCSIYELNSSIYFFMKAKMIDDALKTYQSMQGMKVHPTVATYIYMVMGYSSLEMYREITFLWGDIKRCSNDGSLLINRDLYEFLILNFLKGGYFERVMEVIGCMKAHCMYLDKWLYRSEFLKLHKDLYKKLKPSNVRTEAQCKRLAHVKDFRKWAGID >KVH87771 pep supercontig:CcrdV1:scaffold_2439:31169:37916:1 gene:Ccrd_024943 transcript:KVH87771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAHAGKGITEVAIMKREIKETFDDTLLTATEKRQKRNRRTIADVTLLVSPSERVNCLLLALYRFGMRAFMSAFSPMESLFLQLEKAEAVIVDAIRLGTLPDVVTYNTLVAAYCRFVDFDAGCSVLHRMREADIYPDVVTYNSLIAGASNHCLLSRCLDLFDEMIKMGIPPDIWSYNTLMHCFFRLGKPEEANKVFQDIVMSKLSPCSTTFNTMLNGLCKNGYTANALMLFRSLQRHGFIPQLLTYNILIDGLCKSGWLRAARTVLKELGQSGLAPNASTYTTVMKYCFRARKFQEGIEVFHEMKDKGYTYDAFAYCTVSSAFAKTGRLEEAHRCFDLMVEKGIELDIVSYNILVNMYCLEGRLEEVYDLLYEIEEAGLKCDQYTHTTLIDGLCRAGNIDGALKHLKYMDMLGFDSNLVAYNCMVDRLCKAGYTNNALKIFETMEVKDCITYTSLVHNLCKERRFLHASKLLLACLNQGMKVPRPTQRVVVKGLHRERLMFRCVHTLQGFFFCEIVCSLVYEVSSDAMPIINGFSFHFRVFDFLELSITASMIHPNRSAEIDEGSYEHIILLVWMPLAPSATQLGTKL >KVH87772 pep supercontig:CcrdV1:scaffold_2439:28510:33750:-1 gene:Ccrd_024942 transcript:KVH87772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSDSQKSVSWEEVHMADQLPVPRYGLDAPLSKAELEKQRFLTFISLFMMATSVIPFPAWAIFSHNSDLTIPRPLFFNYQRQDSDGGDEPTTSRREGGRRRSNESETGPSTGIFGFERIESPKFEFKVIWLRQSPSFYLHLIERDPSTKLPEGPWSSNAAVADPHNLHR >KVH90319 pep supercontig:CcrdV1:scaffold_244:347458:350740:1 gene:Ccrd_007697 transcript:KVH90319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 MAGEEAVVAVEAPAPAPALGEPMDIMTALQLVLRKSLAHGGLVRGLHEAAKVIEKHAAQLCVLAEDCNQPDYQKLVKALCADHNVSLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCLVVKDYGEESEGLHIVQEYVKSH >KVH90306 pep supercontig:CcrdV1:scaffold_244:200062:208408:1 gene:Ccrd_007688 transcript:KVH90306 gene_biotype:protein_coding transcript_biotype:protein_coding description:SANT associated MPKLSTASKTPISSSNRKSVFLREWWLIEVERDSKLGIGGFVNRETLGSRGMRLLGSASVGKRYNLNAPENGIQVFASAAIAKRLDNNTLEAVDGITITISGCINRSRTLSYGFSAEYAAKSYRDKCANTTKRSLPMSFDDLPVTRVRDILLSTNGESESCAFTSIILRDILKQCSGHSLNQNGSSVDSVIETEFDHKQHDGLSLLNPTQKIIKDAHKIHKFPVDLHDRDMKSSKEIEDDYKQGNDGSISNANKISKESQANTHTQAAVYISSQPAANLAASSQKLTRTNSVSKTRTLPRLILVLVLSTLALFVSMISILQVIKVSDIVKIGCTHTRDTFRESSKGCLLSVLRRRGSGCCSVLLTGEKRAAKLLFGPAVWRG >KVH90301 pep supercontig:CcrdV1:scaffold_244:100746:111965:-1 gene:Ccrd_007682 transcript:KVH90301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MASAEADSRLINSVLVPALEKIIKNSSWRKHSKLGNECKSLLERITSAEKPPPLSPTSPKSEENQSNVEPVSHSELPGVLHDSGSSEQSLAESELILSPFIKACSSGDLKIAEPALDCIQKLIAYGYLRGESDPSGGPDAKLLSTLIESVCKCCDLGDEGVELLLLKTILSAVTSIQLRIHGDSLLQIVRTSYDIYLSSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPVQPIVVAELMEPAEKGEDGDGSMTMFVQGFISKVMQEIDGVLNQGTPVGSNGGAHDGAFETRASTTESTNPADLLDSTDKDMLDAKYWEMSMYKTALEGRKGELADGEGERDDDLDVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAIFRTSERFLGAIRQYLCLSLLKNSASTLVIVFQLSCSIFFSLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFFERLTVNGLLKTAQGVLPGVATTLLPPQDAALKHEAMKCLVAILKSMGDWMNKQLHIPDPHSEKKFEFVENNSEMGDSPMENGNANENEPVDGLDSHSEASNEVSDASTIEQRRAYKLELQEGISLFNRKPKKGIEFLINVNKVGNSPEEIADFLKNASGLNKSLIGDYLGEREDLSLKVMHAYVDSFDFKGMDFDEAIRSFLRGFRLPGEAQKIDRIMEKFAERYCKCNPKAFISADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPAEYLRSLYARISKNEIKMKEDDLALQQRQSANSNRILGLDSILNIVVRKRADESNTSDDLMQHMQEQFKEKAQKSESVYYAATDVFILRFMVEVCWAPMLAAFSVPLDQSDDDIVIAQCLEGFRYAVHVTAAMSMKTHRDAFVTSLAKFTCLHSPADIKQKNIEAIKAMVTIAYEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAVNQNESNKSQPKSNILPVLRKKGAGRIHQVAAAMRRGSYDSAGIGGNASAGITSEQVNNLVSNLSMLEQVGEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSTSDPRVFSLTKIVEIAYESDSFVSLHYFVLFVVMYDAYCSLSIHYNMNRIRLVWTSIWNVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELSNYNFQNDFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTAAASDDHKSIVLLAFEIIEKIVRDYFPYITETETTTFTDCVNCLIAFTNNRSDKDISLSAISFLRFCAAKLAEGDLGSSSIYKDKESSEKISPSPRQQGKDGNYERGGLADKEDHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFDTLRNYGGHFSLPLWERVFDSALFPIFDYVRHAYDPSGEGQLQQGVDVDVDELDQDSWLYETCTLALQLVMDLFVNFYDTLNPLLEKVLLLLVSFIKRPHQSLAGIGIAAFVRLMSSAGEFFTDDKWLEVVLSLKEAANATLPYFSFILNKDGMNLKYEDVSTRQSNGDSAESSMQDDNLENLQKNRVYAAISDAKCHAAVQLLLIQAITEIYNMYRPHLSANNTMVLFDAVHGVATHAHKINVDTTLRAKLQEFGPMTQMQDPPLLRLENESYQICLTFIQNLALDKPPFYEQSKVESTLVTLSQEVLKFYVEIALTNEPSSGVHPRWSIPLGSGKKRELAARAPLIVVTLQAVCSLGDSSFEKNLGGYFPLISSLIRCEHGSSDVQVALSEMLGSAVGPVLLRSC >KVH90311 pep supercontig:CcrdV1:scaffold_244:142320:153669:-1 gene:Ccrd_007686 transcript:KVH90311 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSANPSTSHTGGGGGGGGSSSSGGPCGACKFLRRKCVAGCVFAPYFDSEQIGTAHFAAVHKVFGASNVSKLLHNIPVHKRLDAVVTVCYEAHARLRDPVHGCLAHLFALQQQAEVSYFQAHLATLHLEVPPTPPGFCTANLPTTASPPPIYDLSLLFDPMMQPPAARQMDHHQLSAGTGGAPPHQGGGGDLHALARELLQRQSTMASETSPLPPHRRGNQRL >KVH90310 pep supercontig:CcrdV1:scaffold_244:66722:83906:1 gene:Ccrd_007680 transcript:KVH90310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps53-like, N-terminal MEKSSTLDYINQMFPTVVQQSTICLFVLIFIRHFLLVKFFPCNFTLWNVHCAEASLSGVEPLMQKVHNEIRVVDTEILAAVRQQSNSGTKAKEDLAAATHAVEELMYKVREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAIQQLQVMASKRQYKEASAQLEAVGQLCSHFDGYRDNPKITELRDKFKNIKQILKSHVFSDFSSLGTGKETEENNLLQLLSDACLVVDALEPSVREELVKNFCDRELISYQQIFEGAELAKLDKTERRYAWVKRRLRTNEEIWKIFPTSWHVDYLLCIQFCKLTRTQLEDILENLKEKPDVGTLLMALQRTIEFEEELAEKFGGSGSSRNVTTDFEETDKADQTVLDIRKKYEKKLAAHQGNQDDDKDLAVPGAGFNFRGIISSCFEPHLMVYVEFEEKTLMDNLEKLVQEETWDMDDASQTNILSSSMQVFQRVLRAYATKLFMKLPKGGTGIVAAATGMDGHIKAFSTFTDHTSDKDERMICYIVNTAEYCHKTNVSKIIDAQLANAVDMSEVQDEFSAVITKALVTLVNGLETKFDVEMAAMTRVPWGTLESVGDQSEYVNTIKMILNGSIPVLGSLLSPVYFQFFLDKLASSVGPRFYLNIFKCKQISETGAQQMLLDTQAVKTILLDIPSLGKQTSGAASYSKFVSREMSKAEALLKVILSPVDSVADTYSALLPEGTPSEFQRILELKGLKKADQQTILEDFNKRGSGISQASIATPTVVQVVPTVAPAPPPVVSQPSSAVIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRTDGPFRKLFNP >KVH90320 pep supercontig:CcrdV1:scaffold_244:351997:356084:-1 gene:Ccrd_007698 transcript:KVH90320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase 39 like protein LGPKTTWHNAICLKPATYVASPLKKLQRTNFQSNRWGTNSHTHTHTPFLSLFQSLCIKLPEAGSPAGGSDLRKHTAVAPPSGQQQQSNHSNLSRRRTAPSSNSSPSRPSAPTRIIYRETLGPSSFSESDLDLPFWQRTWFIGILLVMALSFFGLAIFLFLTLDSDYNTSPVYAATSEGVEITYGSVLKLMHEKTRFRLHSHDVPYGSGSGQQSVTGFPNVDDANSYWIVRPMPETSAKQGDGIKSGTIIRLQHMKTRRWLHSHLHASPISGNLEVSCFGDDGNSDTGDYWRLEIEGSGKTWRQDQRIRLRHVDTSGYLHSHDKKYNRIAGGQQEVCGVREKRADNVWLVVEGVFLPVSKSK >KVH90318 pep supercontig:CcrdV1:scaffold_244:343521:344661:-1 gene:Ccrd_007696 transcript:KVH90318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNGPSQQPSPNKINPNFPFFFFFFFCSLRNPNFDLPDYWIFIAKMSLLSKGDSIQIREVWNDNLEEEFALIQGVVDDFSYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDMLKLIQLGLTFFDDQGNLPTCGTDKYCIWQFNFREFNVNDDVFANDSVELLRQSGIDFKENNEKGIDAHRFGELLMSSGIVLNDGVHWVTFHSGYDFGYLLKVLTCQNLPETQSGFFSLINMYFPTIFDIKHLMKFCNSLHGGLNKLAELLEVERIGVCHQAGSDSLLTACTFRKLKDCFFSGSLEKYAGVLYGLGVEN >KVH90317 pep supercontig:CcrdV1:scaffold_244:315697:321463:1 gene:Ccrd_007694 transcript:KVH90317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med6 MAATPMLPPNLAPGNANFDGNAAAAPPTPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDYTCNNEQLRMRSIHPLDISHLSKMTGIEFMVSEVMEPHLFVMRKQKRDGPEKVTPMLTYYVLDGSIYQGPQLCNVFAARVVLDSENEGVSLEPKAAKESIDFKEVKRVDHILASLQRKLPPAPQPPPFPEGYAPPTTTEGEQAPEAEQADPKLPLVDPILDQGPSKRLKYT >KVH90312 pep supercontig:CcrdV1:scaffold_244:139165:141822:1 gene:Ccrd_007685 transcript:KVH90312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFSNPNCTLICKTQVFHCFHSWKQSRFTNSTNLNLLKPIILRLVCAVGDLGQMQKVEDERSKIRWVEIKPDEINDAQRNHISRLPKKMTNRCRALMKQLICFSPEKTNLSMVLAAWVRSMKPVRADWLVVLKELGNMNHPLRFEVAEFALLEESFEPNIRDYTKMIHGYAKESRLQDAENALQAMKNRSFECDQVTLTALIHMYSKAGNFNLAKDTFEQMKLLGPPLDNRAYGSMVMAFIRAGMLEDAEILLTEMEALQVYAKSEVYKAMLRAYSMNGDSVGAQRIFDSIQIAGIIPDDKICTVLINAYVGAGKSREARVAYENMRRAGILPNDKCVGLMLDVYQKENKLKEVLDFLMDLEREGIMIGKEGSEKLARWFRDLGVVEEVELVLRDYASTET >KVH90315 pep supercontig:CcrdV1:scaffold_244:295911:298138:-1 gene:Ccrd_007692 transcript:KVH90315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MSLLSKGASIQIREVWNDNLEEEFALIRGLVDDFPYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDMLKLIQLGLTLSDDQGNLPTFGTDKHCVWQFNFREFNVNDDVFANDSVELLRQSGIDFKKNNERGIDSQRFGELLMSSGIVLNGGVYWVTFHSGYDFGYLLKVLTCQNLPDTQTGFFSLINLYFPTIFDIKHLMKFCNSLHGGLNKLAELLEVERIGVCHQAGSDSLLTACTFRKLKDNFFSGSLEKYAGVLYGLANANSDPGHAAPFVEFFALRSEQPVSANISKISKRNVTDEKGPSLGFGM >KVH90308 pep supercontig:CcrdV1:scaffold_244:60432:62246:-1 gene:Ccrd_007679 transcript:KVH90308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MWRSRVIAARRVRSAHKNVARGFSNSSSNFPQLHPKVYNPQALASYRHFDSKIFKSIALFPQLNAIRFFSSSSTDSITDVEVTTDNNNDVIGEGNENTDRFSIDEMWKDGIDEVKSVLELDVSDSENRESVEVVDEEAHGLDIEELDSVLSLLKRRDGDFDVVSGSLESSLDDMNLNLSEELVVRVLETPHVPGENLIAFFKWAASRKNQELFSVTARSLDALVRAVCTELRKKVAYSLWDLVKELGEKENHVVTTEMLNALISLFSRLGKGMAGFEVFNKFGDFSCATNADSYYFTIEALCRRSIFDRVGLVCERMLSEDKLPETGKVGNIICYLCKAGMVKEAHSVYLSAKEKQRYPAQSSVNFLISSLCDRKKNDPNSIHLASKMLEDFSGGEKQKYAIKQFSCVVQGLCRINDFEGAKALLSKMIEAGPPPGNAVFNTIINALSKSGDMTEAIKIMRMMEARGLKPDVFAYSVIMSGYAKGGEMEEAAKMLAEAKKKHRKLTPVTYHTIIRGYCKLEQFEKAVKLLQEMEEYGVQPNTDEYNKLIQSLCLKAADWGMAEKLMEEMTRKGLHLNGITKSLVRAVKELEEEAVGTKEVSVEA >KVH90313 pep supercontig:CcrdV1:scaffold_244:267903:271492:1 gene:Ccrd_007690 transcript:KVH90313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQGGQIITKSSSGSEGVRRISPRSVSSDTTVPRSSARAVRQLKTSGLEPSSSAIRAPKITSPRIISSRATLPEKKRVGRVAELESQVTQLEEALRTVKDQLIVSESWKKQAKLDAEESRKELLDMSLKLEESRKLLAQSSLDEHDPKAVGLADSAALAAAFVEIDQLKDKLDTITESEATQTKQSESAYVEIHILKENMAKTLLLMEDMKSQLEDCKISESQAQALASETLLQLETAKKTMESFKLTSGEYNAILLELDQSRARIRSLEGIIKKMKTKDQESESEEIIALKSELGKLKLAEIRFHEEQSSRTLDITAELKRSKADIQELKANLMDKETELQCILEENEDLKVKLADLMLGEQENDLENDSKQDFNRLKSQLTNLETELREKLDENEKLKLEIMDTKGVMEASKQDFNNLKSKLTSLEKELRKKLDENEKLKLESKNINSVIEVSKQDFNSLKLKLQNLEMELREKSDDNEKLKLEIKKMKSNNEVAEVTEQLEAVKMTNYETEEELKRLKVQMGQWRKAAEVATAMLCDENSDNNGRMLMERSCSMGHYNISSPCSLEIDDDDDDEDEEEEDDEFSKRKNGNMLKRIGVLWKKPRNK >KVH90307 pep supercontig:CcrdV1:scaffold_244:11385:48351:1 gene:Ccrd_007678 transcript:KVH90307 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEACH domain-containing protein MDDEEEKLDNIELSGGGDSFSNVDLKVETSDSEHISTVDISIADVVGSYSKEGGVDSESRYVDDGMFEQVSLDKEKEKIVRDSESGNAGDLDNLRSSSGGNEETFGVSVKGSTVDSDSSPAVVQVHQDGNASNRGSGGLSPYLSEQSSTRTSYDSPLYAYGDGGHSPIGSPRKPKPKPVPNVSPELLHLVDSVIMGKPESMDSLKNIVSGVESFGNGQEAESIALLVVDSLLATMGGVESFEEEEDNNPPSVMLNSRAAVVSGELIPWLPWLADTVGFMSPRTRMVRGLLAILRACTRNRAMCCSAGLLGALLRSAEKIFVDDADSTKQLRWDGTPLCSCIQYLAGHSLSVTDLKRWFFTITKTIHTSWAPRLMLSLEKALGSKESRGPVSSFEFDGESSGLLGPGESRWPFPNGGKGRKASLHFTHAFKPQCWYFVGLEHTSKQGLLGKAESELRLYIDGTLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMAQLASRGGDALPSFGNAAGSPWLATFAHVQNVSEESARLDAEIAGYLHLLYHPSLLSGRFCPDASPSGAAGMLRRPAEVLGQVHVATRMRPAEALWALAYGGSMSLLPFVVSKVDNDSLEPTRGDLTLSLATTALAAPIFRIISLAIQHPGNNNELCRTKGPEVLSKILTYLLQTLSSLDVTKHGVADEEIVAAIVSLCQSQKNNYALKVQLFSTLLLDLKIWSLCSYGLQKKLLSSLADMVFTESSVMRDAKAIQTLLDGCRRCYWTIREKDSVNTFSLNGAARPVGEVNALVDELLVLIELLVVAAPSSMAVDDIRSLLGFLVDSPQPNQVARALHLLYRLVVQPNTSRAQTFSEAFISCGGIEALLVLLQREAKAGDYDVPDPLVNNDEAVTSPGAKANGGGGLENSKTDDVVSLDRNELSSHEPQTRTSANTIPIGSNMESKESVSESQFKKILGGINFSISADNARNNVYNVDNSDGIVVAIIGLFGALVISGHLKSGSHTPDMTRNIHGLLEGGGSMFEDKVSLLRYALQKAFQAAPNRLMTGNAYMALLSASLNASTTDEGLNFYDSRHRFEHSQLLVVLLSSLPHAPKSFQCRALQVLIHSENRNRLTKMEEWPEWILEILISNYEMCGKNTSMSSGFRDVEDLIYNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSMVGGSSTGEQRIRNVRNYIIAAAAAGVAAYGLPPEVSKAEAENSAQLSVALVENAIVVLMLVEDHLRLQSKLYSFSLIQDGYDSSLSSVVRVGNHTNLPKIPEPLEAETSSTESRSLPLDVLASMADTKGQISAIVMERLTAAAAAEPYDSVSCAFVSYGSCAVDLAEGWKYRSRLWYGVGLPTETSNFGGGGSGWDAWNSALEKDSNGNWIELPLVNKCVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMILVSMREEDDGENSMLTRNLSADEGSFEGSRGQDGSVPSSLENSHRTSMRQPRSALLWSVLSPLLNMPISESKRQRVLVASSVLYSEYLEAILPPFVSVLRRWRPYLAGIHELVATDGLNPLAVDDPALAADALPIEGALAMITPGWAAAFASPPAALALAMIAAGAGGGEAAAPATTVNPQRDSSSSLGRKPARLHSFASFREPREAASKPSTAKDKAAAKAAALAAARDIERNAKIGSGRGLSAVAMATAAQRRNRSDMDRVKRWNVSEAMGTAWMECLPSADNKPVFKKDFNALSYKFIAVLVGSLALARNLQRSEVDRRAQVDSIARHRACTGIRAWCKLIHYLIETECLFGPISENLYNPERIFWKLDHMESSTRMRRCLRRDFEGSDHFGAAANYEDPVEPKHGKENVASPSKASILPTEAISMELVNDDDEQEDIVNMEGKTNDMGKIDDTQTRLSGIAEQPLHVPDSTKSQVGNNEEFASSAAVGPGYIPSEHDERVILELSASMVRPLKITTRKINFLLDKSEGIIGEDGLDHKTESKVVDKDRSWLISSLHQVYSRRYLLRRSALELFMVDRSNFFFDFGTTEGRRSAYRAIVQAHPSHLNNIYLATQRPDQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYKSKHLDLANPSSYRDLSKPVGALNADRLKKFQERYYSFNDTVIPKFHYGSHYSSAGTVLYYLMRVEPFTTLSIQLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPELLLNENSIDFGTTQLGDKLDCVRLPPWAENPVDFVHKHRMALESEHVSAHLHEWIDLIFGQRGIYIDFLYNIRYKQRGKEAISANNVFFYITYEGTVDIDKIVDPVNLGSQLINLYMLVFYFLILLNTYCQKVQQRAMQDQIAYFGQTPSQLLTTPHIKKMPLSDVLHMQTIFRNPKEVKAYSVSSPERCNLPASAIRASSDSLLIVDMDAPAAHIAQHKWQPNTPDGQGTPFLFQHAKANTSSSGGSFMRMFKGPSGSSSEESQYPQALAYAAPGLRSSSIVSITCDNEIITGGHVDNSVRLISPDGAKTMEIARGHSAPVTCMSLSPDSNYLVTGSRDTMVLVWRIHQSATPQTSSISEFPSNAGTPTSAGTNTINSLPDKNRKRRIEGPIQVIRGHFGEVLSCCVDSDLGVVASCSESSDVLLHSVSRGRLLRRLDGVKAHMVCLSPGGVVITYNRSLYTLSTHTLNGMLISRAHLSFSSTVSCMEVSSDGRSLLIGLNSCSENNDVSRMKSKTQETEGGEPESKENEEMERLDISSPSICFLDLHTLKVFHTLELKEGQDITTLAMNKDNTNLLVSTADKQLIVFTDPALSLKVVDQMLKLGWEGDGLSPFMK >KVH90309 pep supercontig:CcrdV1:scaffold_244:114360:120553:1 gene:Ccrd_007683 transcript:KVH90309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosyl phosphatase activator, PTPA MEDDRTTGNHRGSDESPPLPQPPPPQSYHEHTTACVNCGGPTSFPPPPSWSDNSPPPFYRPIRAPAINLPPNNNAQQTIILTPVPQAQKVPVVSPPYHFQTPTKMIHSDDDIRRFRDSATSKNYLGFVVALSESIRSHKISDPCHMSPVITSIISILQTLIQFVDEIPPLQQSARYGNLAYRDWHSRMTESADSLTLQFLPADLNSATVELTPYFTDSFGNSSRIDYGTGHETNFAAWLYCLARLGIITEEDYQAVVCRVFVKYLELMRMLQLVYSLEPAGSHGVWGLDDYHFLPFIFGASQLIDHKHMKPKSIHNEDILDNFSKEYLYLSCINFVKKVKKGPFAEHSPLLDDISGVPNWNKVNSGLLKMYKVEVMEKVPIMQHFLFGWLIKW >KVH90303 pep supercontig:CcrdV1:scaffold_244:190090:194685:1 gene:Ccrd_007687 transcript:KVH90303 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSCRHGGGGGGGGPCGACKFLRRKCVKNCVFAPYFDSDQLGTSDFAAVHRVFGASNAAKLLLRIPPHRRLDAVVSLCYEALSRVRDPVYGCVANIFTLQQQVINLQAELAYVRARISTLQCHRQANLPSTDILSSPKIMSSSTMSPVYSELAKSVQTSRVIPKCSNDTPTGQELEESDLEMIAREFLTKYVPRR >KVH90316 pep supercontig:CcrdV1:scaffold_244:305075:312479:1 gene:Ccrd_007693 transcript:KVH90316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MGVNLDALDSFRQSLVAQSQFKKRKVILDSDDDSSACSVSCEIETSLPTLQASDYFMEPCLSELAMRELMDPGHCSRVQNFTVGRYGYGTVRFLGETDVRWLDLDQIIKFRRHEIVVYEDEAAKPVVGKGLNKAAEVTLVLQINSAKFSREGQVIEKLRLSAERQGAKFISFDPNQREWKFSVHHFSRFGLSDDEEEDVAMDDVDAEVQEPVTNNDSDGSDLDEERALVDPRLLSHSLPSHLGLDPVRMKEMRMMMFPAEEEEEDEELDGRFSHHRLPFGKEHMKSPIQHASSKTVQRSSPPAIRKTPLALLEYKPGSFASSPNGSILMTQQNKGLPLTITKVQGFKLELKETPVTGSHSRNIVDAALFMGRSFAIAWGPNDLLLHCGSTAGNSLQKRELSSTINLEKVAIDNVIRDENNKVREELIDLCFDSPLNFHKELNHETKEVVVDSYKIKVQKLVCDRLTLSRVCRSYIGIIEKQLEVPGLSSSARVVLMHQVLVWELIKVLFSLRETSEQSNSVGHNHEEYMLNNSKESSLDADDEALPLIRRAEFSYWLQESVCHRVQDEISSLNDSSDLQHLFLLLTGRQLDAAVELSASRGDVRLACLLSQAGGSTVNRTDIDRQLNLWKTNGLDFNFIEKDRIRLLELLAGNIHQALGGMNIDWKRFLGLLMWYQLPPDSDLTSIFQSYQRLLEDGRAPYPVPVYIDEGLVEDGVSWSPGDRFDLAYYLMLLHAREEKESSILKTMFSAFASTHDPLDHHMIWHQRAVLEAVGTFSSDDLHVLDMGLVSQLLCLGHCHWAIYIVLHMPYRDDYPYLQARVIREILFRYCETWSAQEKQRQFIEELGVPSAWLHEALAVYHAYYRDSSKALEHYLGCAFWQKAHSTFISSVAHSLFLSGKHSEIWTLATSMEDHKSEIENWDLGAGIYISRLKGSLAVWESCLPIDARMVYAKMAEEISDLLVADSGEGSTTEVKLSCFDTIFESPIPEDLLSCHLQSAISHFTLHLMESAS >KVH90302 pep supercontig:CcrdV1:scaffold_244:90809:96639:1 gene:Ccrd_007681 transcript:KVH90302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDTGTEFTSGSRAAAVSLEQQNQPKRLCRSIFELPSDFLDSCRLLEHPSTSSTAFIPELETKETTLQDEESTKDKNVSTSERLTCNTCKASFESLQDQRSHFKSDFHRFNVKLTVAGKDTVKEDDFDEWTSDSLVKDYDISSISGSEDEDDRESSLHNDMNKGLLGSTKRKLFVHLANGEITSFWKCLFLDDAVKILFEHDKSGTMADGVMPFVTEREVTERLRNVIHEPRDNTHFRVMLLASGGHFAGCVFDGNSVVAHKTFHRYVIRAKAGKKQSSKDASGKIAHSAGASIRRHNELALKKEIRELLAAWKPYFDASSCIFVHAPSDNRQLLFDGETPCFSCQRSTIRRIPLTVRRPTFKEARRLYNILTQISVEPDEEIVHVSKEDSRTTDRHEGLPGSSKKNLGDNLDTMEVAEASLKDLSVSNGKESQVTLMLTPLHEAAKAGDVEKVHELLEQGSDPCVIDERGRTPYMLATEKEVRNTFRRFMALNLDKWDWQAAKVPSPLTKEMEESQNAKQAEAAQSQKSSSSTQLQSSRPQMTRERAAAAERRIAALQSSTPGSATSSDTLCSCCQASLAGKVPFHRYNYKYCSTSCMHVHREILEDE >KVH90304 pep supercontig:CcrdV1:scaffold_244:127863:137122:1 gene:Ccrd_007684 transcript:KVH90304 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MWIGTLAWMPCTRYSNSPLRDIWTSGLHPEINFTGSSLHNATRSFYPLHSLGCRAANWRFPNPELHSPPPSPPPKHAPPPGHECIFSALIAYILRLIPSNCCCLSLRLFCFLAAFLGFYQGGGVGEVLFSVVLKGRPYDGHPTSFRNNPSLYVELMDPTSRLICPGLIGTIGSKFCKQDTGVHATFINHYKQFFCVMSLTWAHCLSRRNILRRIHITTLLNRNLILHGIESESSTALQSNKGSLLRGNCLPVGSRYLGLDSRTFVSHFHHSIFENRSWFSTIPAQDDVSDDMKDIGADFSGTELPGDKFASGISVEFSKVDAYLLPTVLLIGRPNVGKSALFNRLIRRREALVYNTPNDHVTRDIRDGIAKLGDLRFKVLDSAGLEAEASSGSVLGRTAEMTANVLRMSNFALFLIDARDGLQPMDLDVGKWLRKHAPGMKIITVMNKAEALDDGFGSLAAAAGEAHTLGFGDPIAISAETGLGMNDLYEVLRPLLEEHMLQVVDESADETNGECQSCEQEDPKLPLQLAFVGRPNVGKSTLLNAVLQEDRVLVGPEAGLTRDSVRVEFEYEGRKIYMVDTAGWLQRTKSEKGPSSLSIMQSRKNLMRAHVSQARKSMTHDEVVIARRAVEEGRGLIMIVNKMDLLEGKLYDRVIKAVPEEIQTVIPQVTGIPVVFVSALEGKGRIEVMQQVVDTYRKWCLRLSTARLNRWLRKIMSRHSWKDLGTQPKVKYFTQVKARPPTFVAFVSGKTRLSDTDLRFLTRSLKEDFDMGGIPVRILQRTVPKKDANSSSSSSKSKSRKHTGKAGEASDKRKITTVETT >KVH90305 pep supercontig:CcrdV1:scaffold_244:221033:225231:-1 gene:Ccrd_007689 transcript:KVH90305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin MRTSNHLIGLLNFLTFLLSIPILAGGIWLSTRANNTDCMTFLQWPLIVIGVSIMVVSLAGIAGACYRNTFLMYLYLWAMFIIIAVLIGFIIFAYAVTDKGSGRPVMNRVYPDYYLQDYSGWLKDRVASDEYWGKIRSCIHDSKACAKTGRVIGGYPETADMYYLRKLNSIQSGCCKPPTDCGYIYVNETVWTPVNAATLASNLDCNRWSNDQEQLCYNCDSCKAGVLASLKKSWRKVSVINIVVLIILVIAYVIACAAFRNNKRIDNDEPYGATRMEKARPTRIHF >KVH90314 pep supercontig:CcrdV1:scaffold_244:280698:282488:-1 gene:Ccrd_007691 transcript:KVH90314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MFQEYTTIITSSNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSTLSSATSSNSSSSSALPKIRGPQDHHLIQQHHQQQHPLNIMTSNSNNHLLAGLAHLPYDSTCTDLSLAFARLQSQGNGHLGYDHFDHSDHHLGNNDPNSNHPLTPQGNHLGFLDAIRGGFLENSTPNGFHNNMLYNGGNIGNGNLMGLNNGSSSNHDEDQEIMNHQMFDDHQEVPLMNNGNSSCGAATTAVTMTTVKQETCNMMRSSDQLGMGENRPGVLWGFPWQMGAGGGGDQGNMIHEVESGGRSQLGGWNGIGSTTWHGLINSPLM >KVI06608 pep supercontig:CcrdV1:scaffold_2440:48453:50639:1 gene:Ccrd_015040 transcript:KVI06608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEVFSQRQIEMLGHVRVSELRASIKHIFDAWVENNDGEHSDKVMKVEMSQWFGNLMVNIIVRLISGKRFSPKDEEGIRFQKVVKKFFZLMGAFVVSDFIPYLKCLDVGGYIKDMKKTAEDFDNIFDGWLKEHKTKRVREQQREGCQVFMSVLIAILEGAPEKDFPGFDHDTVIKATCQQLFVAGLDTTALTLTWALSLLLNNPKALKIAHDEIDEHVGRDRLVEESDLKNLVYLDAIIKETLRLYPXGPLSVPHESMEDCIVGGYNIPKGTRLLVNLWKLHRDPNIWSDPLEFRPERFLTSHKDIDVKGKHFELLPFGSGRRICPAILLALRSVGLTLASLIQLFVLQKPSNEPIDMSESPGMTNNKATPLVVLLSPRLSPSMYCFGS >KVI06609 pep supercontig:CcrdV1:scaffold_2440:79002:81100:1 gene:Ccrd_015041 transcript:KVI06609 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFHLWLPTIVATTFSVILVAFLIHVVKGKWVKRGKKXEPPQAKGAWPIIGHLHLLGGSRLPHXVLGDMADKYGPIFTIKLGIHKVLVVSDGETSKECFTTNDKIFATRPKSEAVKLMAYNYGAFAFAPYGDYWRQMRKTMMLEVFSQRQVEMLGHVRVSELRASIKDIFDAWVESNDGEHSDKVMKVEMSQWFGNLMVNIIVRLISGKRFFPKDEEGIRFQKVVKKFFVLMGAFVVSDFIPYLKCLDVGGYIKDMKKTAEDFDNIFDGWLKEHKIKRVREQQREGSQVFMSKLISVLEGASEEDFPGFDHDTVIKATCQQLFVAGLDTTALTLTWALSLLLNNPKALKIAHDEIDEHVGRDRLVEESDLKNLVYLDAIIKETLRLYPVAPLSVPHESMEDCIVGGYNIPKGTRLLVNLWKLHRDPNIWSDPLEFRPERFLTSHKDIDVKGKHFELLPFGSGRRICPAILLALRSVGLTLASLIQLFVLQKPSNEPIDMTESPGMTNNKATPLVVLLSPRLSPGMYCFGS >KVI06611 pep supercontig:CcrdV1:scaffold_2440:3340:5143:1 gene:Ccrd_015038 transcript:KVI06611 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 KKSEPPKAKGAWPIIGHLHLLGGSQSPQKVLGDMADIYGPIFSINLGVHQALVVSNKEIAKECYTTNDKVFASRPKXVASELMGYNYAMFGLAPYGEHWRQVRKIIMLEVLSQRRVEMLGHVRVSELXASSRXIYEAWVNHKENGGSDMVKVDMQQWFGNLVLNVVVRIISGKRFSPNDKEGVRFQKVARKFFELLGAFVVSDFIPYIKRLDLGGYEKEMKTIAKEMDNVIDGWLEEHKREKGSEQQLEGNQVFMDVLISILEGASEEDFPGFDHNXIIKASCLAILTAGLDTTSGTLTWALSLLXNNPKALKVAQDEIDEHVGRERLVQESDMKNLVYLEAIIKETLRLYPAAPLAVPHESMEDCSVSGYDIPKGTRLLVNLWKMHRDPDIWSDPNEFQPERFLTSQKDIDVKGKHFELLPFGSGRRMCPGVFFALQAMRLTLATLLQQFMLRNPSNEPVDISESWGLTTSKATPLEVLLSPRLSHGMYPVGA >KVI06610 pep supercontig:CcrdV1:scaffold_2440:10375:14202:1 gene:Ccrd_015039 transcript:KVI06610 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSLAERGNEKGGRSVRWRDWRDRLGGRTMRGKRGNDDAGRRGEEGDRRWRLWRSPAMETREGDSNPNEFQPERFLTSHKDIDVKGKHYELLPFGSDRRTCPGVFLALQTFPLILAGLIQQFALRKPSNELVDMSEGSGLTTNKALPFEVLLAPRLSHSMYHIGA >KVI01713 pep supercontig:CcrdV1:scaffold_2441:62251:63638:-1 gene:Ccrd_020008 transcript:KVI01713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase CKSITVICNFPKSDSILLSGFKGIDTGDGRILKHRPILVAASVGSYGAYLADGSEYRGDYGNAMNLEFLKNFHRRRVQVLVESATTVGIIKSLIGHFNLDPNRVFDIVLECFELQPDNNAFLNLISIFPKSNASQILGFKFQYYQRLEVNSTVPFGLYQLTAILVKKRCQSAFQYDGRSNSRKVLSQGGKSGILVQFFGVPKWEECSAVAKITVNSSKWSYKSPAIICDLQQVLFKTISSCRSFWHWYRLTGKVDWKQQTPLADVNLHNASAMSFGVGGATMKDKHQRNTGIQHDDKQSLNQI >KVH89321 pep supercontig:CcrdV1:scaffold_2442:31881:53905:1 gene:Ccrd_008692 transcript:KVH89321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIENLSSQLQNDTYPSQFPRFQSLPYVRLVHCHVISAIFLSAYPRTLKLLRTPMLTSASAECQFPLPPLCHQQRTCRNRKLAARKGKEPIRHLNQLSNNFITGKRNKNYKTEQSGQILWKERQERPNNPQEKTKAQEKTIGHNEREDHLSNLTSTPVLENLHIYDNLPWMQFLNWYYQCPPKTPPQSLFLRQRDLRLQFDHHDSSCHREPRYHCYSGTINSIGTGLNRNGLSSQFILSREQSTFVISYILLLRHLIDFSPHLFEMKTWIEQ >KVH89320 pep supercontig:CcrdV1:scaffold_2442:34846:39556:-1 gene:Ccrd_008693 transcript:KVH89320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein SPPLGVFVCLKCCGVHRTLDPSISKVLSVTLDEWTDEEIDAMVEVGGNSSANSIYEGHFPEGVTKPGPDASHEQRAKSKYELQDFLKPSLKISSTNAKRNTSERANSLPATSSIQMPEGMEGAIGMLKITILQGANLAVRDMLSSDPYVVLTLGEQAQTTVQKSNLNPIWNEELILSVRQDCGPVKLQVFDYDTFSADDIMGEAEIDIQPMLTSALAYGEASMFGDMQIGKWLKSHDNALLEDSTVNIVDGKIKQEVSLQLQNVESGIIYLEVEWIPPPQK >KVI06890 pep supercontig:CcrdV1:scaffold_2443:9498:11179:1 gene:Ccrd_014754 transcript:KVI06890 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand 1, calcium-binding site-containing protein MVYTVLKTVVPSLCSGGNSVTIVWEGITVSFSNTVVVPSGFWSACEKGVALVGGVGYVSERPLMRRPPRPFFSATLGLGRLDFRRDGVTGVAGRGVSFCRWWVMAVEATTLAGVSRGCEGFFFLFKEKGRRKVSSQFGLSISTSLFEKPVTLPEHVRGECHHEQRPNDPLKKYAMITKGEQNVKNSSFRSNDGDGSRKVSAHEIHYTANRAVAEEMRRKTFLPYKSGLLGCLGFHHNLHEVSRGR >KVI06889 pep supercontig:CcrdV1:scaffold_2443:12331:12982:1 gene:Ccrd_014755 transcript:KVI06889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MFDECVAHLLDVLFQGYNGMVIAYGQTGSGKTYTMGTAPKEGSNRGLIPQVMNTIFNKIETLKDQIEFQLHCSYFEDKNKGEASSNDNFGGEEYKCAKLHMVDLAGSERAKRAGWSSEVHWMDF >KVI00763 pep supercontig:CcrdV1:scaffold_2446:52579:55223:-1 gene:Ccrd_020984 transcript:KVI00763 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MNEIALKLTPYGDRVFHLPPTLSSPYSIIEIEIEMVEVDEEEPTSTHDGDRSTSEIQEGGTGSSRVVRYKWWMQMAAFTIFVLSGQSVATMLGRLYFNNGGNSKWMATLVQTAGFPITFPFIFFFSSSKTTPKTHQITSRKPSWIVIAALYMFLGIFLAADCMLYTIGLNFLPVSTFSLICASQLAFNAFFSHFLNRQKITPLITNSLVLLSFSSTLLVFQGDSEETAKTSKSKYIIGFVCTKILKSETYKVVFEMIVYQNLTASVVILVGLFASGEWKSIKGEMEDFKSGKVPYVMNLVWTAISWQVFSLGCVGLIFKVSSLFSNVISTLGIPIVPVFAVVFFHEKMNGVKVISMLLAIWGFISYIYQHYLDDLKEKAETKTENREVDLTETRISQ >KVI00762 pep supercontig:CcrdV1:scaffold_2446:68481:71841:-1 gene:Ccrd_020985 transcript:KVI00762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DWFISVSISVGDLFAQVQKKQPWADPKTVKAEFRSNGLRRQPVAMIKDRDLLKDNGGESDMLEENIQKLPGRGEGWDKKTKGKRSVGTVFTRPMDSNGEQKTIVQNKVVSEHGLLLLIDEDKHKANATEELEQQPSPKKMITTNGSVVSESNDKSMVQGKVGESEANTSFKL >KVH96825 pep supercontig:CcrdV1:scaffold_2447:58813:67772:1 gene:Ccrd_001083 transcript:KVH96825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVAARSAFRSASTFTTLRTGASRIAAGAKSNATSPPRSTFRIPSQNPLSHRIFRSPVEMSCMSVESMFPFHTATASALLTSMLSAAPRTCGWTLEDG >KVH96824 pep supercontig:CcrdV1:scaffold_2447:69839:72048:-1 gene:Ccrd_001084 transcript:KVH96824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MATVNDTTAVEVENQQPEQGGRGVVKRKPVFVKVGELKPGTNGHTLAVKVLSSTTVLDKKSRNSSSFSSRPGAANTRIAECLIGDETGTILFTARNDQGKPSAVFLDKKRNETWFCKLVNRLLLMDDALGAFFDLMKPGNTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFTVKEDNNLSLVEYELVNVAE >KVH99398 pep supercontig:CcrdV1:scaffold_2448:56659:57348:1 gene:Ccrd_022370 transcript:KVH99398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-like protein MASAAAAAAAIVLLPGRQTLPATMMSFSRRLNGPVFRSVTSCSYSTSFASRKQLILYSKPGCCLCDGLKEKLHSAFSLASPSPDSYSLHDVELQVRDITSNPEWERAYQYEIPVLARLRSDGTEVGWCFLELNRQIPPYSYGSPFTMSVENAKFPTSKLTLIQLLVVNCLKLSRVPRKEWCLCRVCNNQY >KVH99402 pep supercontig:CcrdV1:scaffold_2448:31020:31897:1 gene:Ccrd_022368 transcript:KVH99402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKITTTLCSSSLVLINLALLLVCXVADPLVLESKKLCNQCSICDHNTKTCPPSEAYPHMTAFDDTLIAGALQSDYVNASDRGVYSVPNILGGTSDSNAYFGWKSTSGSASGFHRFSNYMDKCSKGQNYLTVNKHGKVSLESLTSLESLAFADWKSINPPKHLNHREFRFWLSRGTGKCLTVFGGNTKKRTXGVADCKFDGANKGQLFAFRFHYHNSFCCCGRYNN >KVH99401 pep supercontig:CcrdV1:scaffold_2448:52771:55854:1 gene:Ccrd_022369 transcript:KVH99401 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAKLMLEVVDATDLMPKDGQGSASPFVEVDFDGQRQRTQTKTRDLYPAWNETLVFNVDEPRNLPFSTIEVTVYNDRKTGNHNNFLGRVRISGASVPLSESEASVQRYPLDKRGLFSNIRGDIALKIYAVHGQYFSNQPPPPPSKEPEQQNQHHHVRNENDWNDRFESAKQVPVVEEINTNHFPFENEYEEYEDSQENKKKNKDKQKEVRTFFSVGTGGGGGGPTPAAPPPVTVESKSNFMRAGPTNMMHMQFPGQKPPPNFGLVETRPPVAARMGFRGADKTATTYDLVEQMHFLYVSVVKARDLPVMDITGSLDPYVEVKLGNYKGVTRHHEKNQFPVWNQVFAFSKERLQSTMLEVVVKDKDLLTKDDFVGKIGIDIVDVPLRVPPDSPLAPQWYRLEDKRGMKVRGELMLAVWIGTQADEAFPGAWHSDAHGVSHHNLANTRSNVYFSPKLYYLRIHVIEAQDLIPVDRSRLPEFFVKIHLGNQVRATRVSQVRNLNPVWQEELMLVASEPFDEFVTISIEDRIGQGKDICVGRTSVPVRAIPPRIDSKKHLEAVWFDLGRPSHWEEEGEKKKEVKFSSKIHLRLCLDAGYHVLDESTHFSSDLQPSSKHLKKQSIGILELGILSAQNLLPMKIREGRMTDAYCVAKYGNKWVRTRTLLNTLTPRWNEQYTWEVYDPCTVITIGVFDNCHINEKEESRDQRIGKVRIRLSTLETDRIYTHYYPLLVLQPSGLKKHGELHLAIRFTCVAWVNMVAQYGRPLLPKMHYVQPISVKHIDWLRHQAMLIVASRLSRAEPPLKRENVEYMLDVDYHMWSLRRSKANFHRIMSLLSGVTAIFRWLDGICHWRNPLTTILVHVLFLILVCYPELILPTIFLYLFAIGLWNYRFRPRTPPHMDARISQAEMTHPDELDEEFDTFPTSRPTELVRMRYDRMRSVAGRVQTVIGDLATQGERAMALLSWRDSRATAIFIIIALVWAVFLYVTPFQVVAVLFGLYWLRHPRFRNRMPSVPFNFFRRLPSKSDTLL >KVH99400 pep supercontig:CcrdV1:scaffold_2448:694:1240:1 gene:Ccrd_022367 transcript:KVH99400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQMMQEVALESDIQWDSKALDQSLYKPPPFVQVNHSLPSTTTHYHHYSQVIRGKDTIQVLE >KVH99399 pep supercontig:CcrdV1:scaffold_2448:69249:70217:1 gene:Ccrd_022371 transcript:KVH99399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MTLPPHPSTSTVVNNRHVLSNHVAPPPTTVSGDIAATMRVSGILLKWVNCAKGWRPRWFVLQDGVLSYYNVHGPDKTVITKETDKGCRVIGSRLSHNRRHVNPHHLHRKPLDDRRFSIFTGTKRLHLKAKTQEDQTEWLEALQAVKRMFPRMSNSELMNPARVSTEKLRERLLEEGVNETVIQDTERIMRSEFSEMQDQLVLLRKKVFLLIDTLQML >KVH99397 pep supercontig:CcrdV1:scaffold_2448:70891:82902:-1 gene:Ccrd_022372 transcript:KVH99397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDSREANLPADSNHDSNLYRSFNATTSSSSSSFTSSPPDFLRNVQAAFKRHRMMPQLNNLQPRRLVVPRQETSKSLIDSKKTDKSHDVSVKQRVQDSSAQTKNMMTVTTVNQEYASITPPFISGTPTEEGKFKSIDAQRGQPSFSCDCRSSNMVDFPCLKCGHVLLVDGPKKVQFALGNDPRSHGSDDHMDTRIGNLLTHMSSLALTEMECDVSNQLDGSTAPSHDANNQNFHDLDHQINPLRNFVHSEFGHHVTQSSLVGATSATTTLVNSASAPKLTSTTHNSRPQHNNFHAGNSFDSYQGVAHIDTGNPPKLLPKYSSKMLADQTPSAAVDSYPENKCELPRDHQGKEAGSCGMPKDPIPLTDKSTKGDLFAGDIIDLQSRRPISNDPISSVKSVPSKPEKVEKPASKKDASAARKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISQDCTIYALKKIKLKGRDYATAYGFCQEIEYLNKLKGKDHIIQLIDYEVTDKVLLKEVMSGSMSNKDGRVKEDGCIYMVLEYGEIDLAHMLSQKWRELDSSKSTIDENWLRFYWQQILLAVKTIHEERIVHSDLKPANFLLVRGSLKLIDFDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYTTFWAKFKVITDPNYEIPYEPLSNLWLLDLIKKCLSWDRKERWTIPQLLQHPFLVPPIPPRVSNDHRNLIHLVADSCSEDPKAKMLCSQLQQLVSDPVPDIKSGSSITIDERCRLLDEMSKLCLQLKKRLEM >KVI00888 pep supercontig:CcrdV1:scaffold_2449:34992:40037:-1 gene:Ccrd_020852 transcript:KVI00888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEIGKKKRVVVESLGWLTESSIMPKKHRAIAGVGPSSILELKAQLYKSQEEAKTINSNKNPDQLEVHRAKKKIAPHDPFAAKNSGVDVRSHKDALELKAVKDGSVSYAALEKKAELYEKLARGELSDEEDKEKYCVDFFSKSLVKEESQQPRGHDMFFTEMAERESDRDDEPVLPDTRAMGLGRAAGTVDNDEHKRFVRFRPRVSEIEIEYVENNLPIDNDIEEDEEWEEEEEEKDIPREEQDLQR >KVH91244 pep supercontig:CcrdV1:scaffold_245:290246:291502:1 gene:Ccrd_006730 transcript:KVH91244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MRLAFKSLFPFKPTAEPPPRRWCSAAFSNTTTVRPPRKWERLLVDLGEDRQTPPPSRLSKRWMEYHGAKNWEGLLDPLDDGLRGEIIRYGSFVEAAYQSFDFDPSSPTYAQCRYAKSSILERSGVEECGYEVTKNLLATSSIPLPRWIERMPRWMQVQSSWIGYVAVCNDQAEISRLGRRDIVIALRGTATCLEWLENLRATLTHCDGNENNTNELKDEPMVETGVLSLYTSGTKTSPSLQQLIRDEILRILRMYSDEPVSLTITGHSLGASLAILAAYDIKTTIKHAPHLSVISFGGPRVGNRTFRHHLERQGTKILRIVNSDDLITKVPGIFVEDHDDMGWIRKHVKGSRWVYANIGHELRLSSRDSLQLNSIDVATCHDLKTYLDLVNGFVSSSCPFRANARRMLQKATTIPSVK >KVH91251 pep supercontig:CcrdV1:scaffold_245:108397:109215:1 gene:Ccrd_006737 transcript:KVH91251 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSETLSDSDTAMLELVRKYLLEEPDILCPFPAINTPSDEPYYSRSSSFTSPFSSEKSIEPSFCVDSYVDSLSSVISSCKSGVSSPSNSIINPFFHDNSLASSCSESTHSPSPSYTRSNSSLTVGSDISTGFQVTNWEGGPALHSPTSPVSTEKAQFKVDFSLGKVEKIKKNDQRSKDWRRFRGVRRRPWGKFAAEIKNPCKKGARIWLGTFSTPEEAALAYDQAAFKIRGSRAMVNFPHLIGSKTLNPLCPLSQVALECKLKSKGFKTKPEQ >KVH91250 pep supercontig:CcrdV1:scaffold_245:123636:134630:1 gene:Ccrd_006736 transcript:KVH91250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase MEKSMGGDSRSNKAAVQATNDDASASKLSCVKKGYIKDDYVHLFVRRPVRRSPIINRGYFARWATFRKLLFQFLNSEPKSNEGHVKKQILSLGAGFDTTYFQLQDEGKAPHLYVELDFKEVTCKKATIIDTSAQLRVKISESASICREKGEVISDHYKLLPIDLRDIQSLNDLILAHMDPSLPTFIIAECVLIYLDPDPSRAIVEWASRAFSTSIFFLYEQILPYDAFGQQMIRNLESRGCGLLGIYATPTLHAKEKLFLDQGWQRAVAWDMLKIYTSFIESQERQRIERLELFDEFEEWNTTGMFEDFGFPKDQPVTVASTTASP >KVH91252 pep supercontig:CcrdV1:scaffold_245:72486:72980:1 gene:Ccrd_006738 transcript:KVH91252 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSDSDLSFLDSIHDHLLQDSKISEGTTEKVKKELVVVDGTHAPSGWRKFRGVRRRPWGKFAAEIRDPAKRGARVWLGTYGSPEDAALAYDQAAYKMRGSRAMLNFPHLIGTNMAEPVRVAPRRRTTEVVVSAPSFPSEEDGGLKRSRTSSSDATTVDESISRPY >KVH91248 pep supercontig:CcrdV1:scaffold_245:174715:189996:1 gene:Ccrd_006734 transcript:KVH91248 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM-like protein MAVATTSSSDNIAPVSQSMDKSPTANSSSDDTAAIEPLSVVNGNGSSSTAVADPADNSTPSPRASPSRQLEYQPSLRSEEYRQLFRLPPEEVGWKVLVQDFNCALQENFILQGHMYLFIRHICFYSNLFGFETKKIIPFDEVTLVKRAKTAGIFPTAIELVASDKKYFFTSFLSRDEAFKLINDGWLEHGNGNKAISDQQVHTSLTQLTNGDKLIIDSRSELIDEEPEIVVAEDSDEARPLGDNLETTNRNTSDYVSEDPNASLDIEAEVSLTSSSVQDAVQDTVVQNTDCSSSGKSLAWEIQDADAPEVPEGYTLAAESPFPIKVDEFFRLFYSDDALPFLESYHKKCGDKDLKCTSWKPHDQLGYAREVSFQHPIKIYFGARFGSCNEVQNFRVYRNSHLVLKTSQVINDVPYGDYFAVEGLWDVVADANDGCTLRVYVNVAFSKKTMWKGKIVQATIEECRDTFAAFIELETDMTTQTVPASREVNHXSTLVQGSSSGSGTAASFFRDSVLKLFSSFKSQNQISIIVLLLRPQTVQVVSDTAWMSNARIDRRAETVSLLNKQIDHLKEQMLIVETLLEKMRHEHDMXXVQLKNLMLXKS >KVH91245 pep supercontig:CcrdV1:scaffold_245:222093:231430:1 gene:Ccrd_006731 transcript:KVH91245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMEKLKMFIVQEPIVAASCLIGGFGLFLPAFVRPMLDSFESSKQVPQPALSDWSVWVEVELRLLRPKPTI >KVH91246 pep supercontig:CcrdV1:scaffold_245:203907:212928:-1 gene:Ccrd_006732 transcript:KVH91246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MAQNQGGAGGGGFFSSIASSFSSFKEHVNGLLGYEGLEVIDPEGKGTEDAEIEAERGRWKGEERDSYWRSMQKYVGADITSMVTLPVLIFEPMTMLQKMAELMEYSQLLEQADNCEDPYMRLVYTASWFISVYNALQRTWKPFNPILGETYEMVNHCGITFIAEQVSHHPPISAAHAENEHFVYDITSKVKTKFLGNSLDVYPLGRTRLKLKKDGVILELVPPPTKVNNLIFGRTWIDSPGEMVLTNLTTGDKVVLYFQPCVDGYVYNSDEDPKILMTGKWNESMSYQPCDLEGEPLEDTDLKEVWRVAEAPEDDKFQYTYFAHKVNSFDTAPKKLLASDSRLRPDRYALEMGDLSKAGSEKSILEEKQRAEKRIRESRNQEFIPKWFDMTDQIAPTPWGDLEIYEYNGQYAKHRAAADKSSSVEQVNIETMEFNPWQFGNITESE >KVH91253 pep supercontig:CcrdV1:scaffold_245:330610:337155:-1 gene:Ccrd_006727 transcript:KVH91253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSRADTYILISFTKCLLLLSFSFTCPQILSLIASQLDPGGDPQDSVVFFPSQTSSSSHIFSPIVIHSHDSRVYVFIGIVVFGSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIWLSEIDRYASENVNKILVGNKCDLVANKVVSTETGKAFADEIGIPFLETSAKDATNVEQAFMAMTASIKDRMASQPSLNASKPPTVNIRGQPVSQNSGCCS >KVH91249 pep supercontig:CcrdV1:scaffold_245:141427:144374:-1 gene:Ccrd_006735 transcript:KVH91249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MRRIHDSQKLPVSGHMPEIGKLPNSHFSLVREDMSFLNAENFQHSTLPISVRKWKGKNFLQDDEELMPDVNMLKETDDSFDEIGSTSFSGASHPPEPVDTDLMSPVCVPIGQNKSSEGQCMIKGVSIKGPFLEDLSIRVSGIKQSPESLTPSSPFAVPRPSQNTESSPIRQDSDEKECVWDASLPPSGNVSPHSSIDSTGVVTSMSTSTYRMSDGMLSVDRNYGITKITLRGESLESGKTSISRASDSSGLSDDSNWSNFTGTTNKPHKGNDPRWKAILAIRSRDGLLGMSHFRLLKLLGCGDIGSVYQSELSGTRCYFAMKVMDKASLASRKKLSRAQTERDILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHMLRQRQPGKHFSEYAARFYAAEVLLGLEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSSLDSDPSKRATNGAFCVQPACIEPTSVCIQPACFLPRFFPHKNKKKRTPKPRTDPTPLFGQLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGAGNRATLFNVVGQQLRFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPTEAAEPTSKLGAVEAVSGGNNSKRMVGNGGKAGGKYLDFEFF >KVH91247 pep supercontig:CcrdV1:scaffold_245:200982:203102:1 gene:Ccrd_006733 transcript:KVH91247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MSIAELACSYACLILSDDGIPITSEKIATLLKAANVNCESYWPGLFAKLAEKKNIEDLIVNVGAGGGGAAPAVAAPAAGGAVAAAAPAPEEKKEEPKEESDDDMGFSLFD >KVH91254 pep supercontig:CcrdV1:scaffold_245:301966:311028:-1 gene:Ccrd_006729 transcript:KVH91254 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein MAEEEVLIEVEAAESVYGDDCIVLEKYPPHLHLLIKPRTAEVSSQQFVEAVIGIQANSKYPDEPPAIVIIDSKGLDDQRQKHLITSEAMEKLTSMNHPDGDCPLCLSPLVEEGASDNASPFMKLMSACIIRWWNWLQTHKEVDHASSSGPTAHPQQIKTQPVCRKVFHAKDIEHVLDLVGAYSQLNLDNTEGIENEMLLRLDSENTRRQKFEAILKLQQENNGLIEPKKTEVLMPGMFLPRPTTTIAANATSSDKESATGEQASESKTNAGVSSNSSNHRRSGPRSRYRGRNSRTQVDRRWIVKENGNAK >KVH91255 pep supercontig:CcrdV1:scaffold_245:322464:327243:1 gene:Ccrd_006728 transcript:KVH91255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFDLLGDDDNDDPSQLVQKIVAAPIKKVQAAAPAGKTAAQPAKPSAKLPSKPLPPAQAVKEARNEGGRGGRGGGRGYGRGRGGGGFNRDSTNNEGSFGNRGFSGGQGGIEESDAAKLSERRGGYGGSRGGFRGGRHVSFGNGDAEDGEHPRRPYERRSGTGRMSSNVREPVVGTGELRLMTSNRVTEGEKTVATDKPSAEEEATDGKKENSANERVEEPENKEMTLEEYQKVLEEKRKALAALKTEERKVEVDKELASMQQLSNKKSSDDIFVKLVTSLSINEFLKPAEGERYYTPGGRGRGRGPRGGGGGGGGGGRFNQGGGGSSSYAPEAPKIEDPSHFPTLGGK >KVI10896 pep supercontig:CcrdV1:scaffold_2450:911:3534:-1 gene:Ccrd_010700 transcript:KVI10896 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MEASAYLEKQNPQEVEITISHAPPYHKPVNPTSSSSGEVDGVSLTWKDLWLTVPDGKGKTRPVLEGAYVTQDETLITTLTVRESVYYSALLQLPDSMSKAEKKERAEMTIREMGLQDSMDTRIGGWGAKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMSRIARLDEHQGRTIIASIHQPCSEVFDLFHNLCLLSSGRTVYFGDTSSAKEVSKPKKQSSVLLYSFFAFNGFPCPSFQNPSDHFLRSINKDFDEDIEQGSCGRKSSEEVIKTLIDSYRSSTTYQDVNNTVTEIYKKGLEVNDKKKNDHASFSTQCLVLTRRSLVNMHRDLGYYWLRLGIYIAICLGLGTIFFDLGSSYSSIQARSSMLMFVATFLTFMAIGGFPSFVEDMKVFESERLNGHYTTGAFVIANTLSSMPYLVLVSLIPGVLAYYLAGLREGFDHFAYFASTLFSCMLLVESLMMIVASLVPNYLMGIIAGAGIQGLMILGGGFFRLPNDLPHPFWKYPLYYVAFHKYAYQGLFKNEFQGEEFMYYEGGVRKVVDGEYILREKWQVEMGYSKWIDLVIILGMVVISRALFWVIIKIVEKVKPGFKGLIFAVPKKHSKQVLVNPLATPSH >KVI10895 pep supercontig:CcrdV1:scaffold_2450:47394:62864:-1 gene:Ccrd_010702 transcript:KVI10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQHYSPSPSPSLSPSPPPPPPPQPSMTPFKKRLLHRAEDSSRRYLSPSEEKEDEKYAAEKQERLEIEYKNPSYEVEDEGWTAQGVEQGRGDRFGGEYMMERDEGKLEDEELSEENFYDEHGLDQDSYKKERHDVVRERQKKKELEVFVGGLDRDTTEEDLREVFSQVGDITEVRLLMNPMTNKNKGFAFIRFATVEQARRALNELKRPMLKEKLVWYGIDKFEELTLVEDAKTEGMNRGFAFLDFPSRADALEACRRLQKRDVIFGTDRTARVAFADTFIEPDDEIMAQVRTVFVDGLPPSWEEDIIKDHLRQFGKIEKVELARNMPAAKRDDFGFITFNTHEAAVSCVDGINDSELVKVRARLSRPRQRGKSAKYARGGYSVGPDGYGSHRGSWEGGSNRMDAHRFSDRGRRSIRDRSPYDAGRRRSFDSRGDRGYEVSVSDRTVYERDGFKTEYMRQNMAISSTERQVYRDSYSSRGAGYVEESSRTVSRIPGRGSSHIYDEDDDGGRYVYIEHPSRYNDGGSRNFSSTSGLKRPYSSIASAWIPFWIQWKYSEFCRPFSWIQPVRLLIGSIPRKQSCGSEDLLSRWDRALQPEEYNFDEAATLRNSTDEIPPMMIDRALDNPSVIGTLVDPLAMLALKNPS >KVI10897 pep supercontig:CcrdV1:scaffold_2450:25178:28918:-1 gene:Ccrd_010701 transcript:KVI10897 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEPSAYSVSLEIQNVQEVEITSHGPALCYHEEEVKPISGVSLTWNDLWVTVADGKSGGSRSILQGVTGYARPGEILAIMGPSGSGKSTLLDALAGRLDSKTRQSGDILVNGFKKSLAYGTSAYVTQDETLITTLTVRESVYYSAQLQLPDSMSKAEKKERAEMTIREMGLQDSMDTRIGGAASYYVMSRIARLDQHQGRTIVASIHQPCSEVFELFHNLCLLSSGRTVYFGDANFAKEFFSSNGFPCPSFQNPSDHFLRTINKDFDEDIEQGSSGRKPTEDVINSLIDSYKSSTTFQEVNSRVAEICKKGDGRLEKKTNHASFSTQCFVLTRRSFINMHRDLGYYWLRFAIYIALSLGLGTLFYHVGSSYSSVEAKSSMLMFVVSFLTFMTIGGFPSFVEDMKVFERERLNGHYGTGAFVIANTLSSTPYLLLLSVIPGALAYYLTGLRGGFFRLPNDLPHPFWKYPLFYIGFHKYAYQGLFKNEFEGGEYVYNNGGVPKTVDGEYILKATWQVEMGYSKWIDLVILFGMVVISRVWFWVIVKIVEKVKSDIRGLLFGVPKKQRMQVMVNPLATPSH >KVH87768 pep supercontig:CcrdV1:scaffold_2453:63131:68886:-1 gene:Ccrd_024946 transcript:KVH87768 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MEDMAVLDDQSKTCVVLGGRTFVGRCLVMRLLMLGNWIVRVADSAQSLRLDPSDYKYDSPLNPALSTGRASYFHVDVRNKQSITKAIEGSSVVFYVDDADSCNNDFFLGYTIIVQGVKNVIGACRECKIKRLIYTSTADVVFDGSHDIHNGNETLLYATKFKNVYSELKAQAEALVLLANDIDGLLTCALRPSNIFGPGDKQLLPSLVDVAKSSWAKVWKRIGSDGSMSDYTYVENVAHALICAEAALGTRMVIVSGKVFFITNLEPVGSWEFSLRILEGLGYYR >KVH87769 pep supercontig:CcrdV1:scaffold_2453:41935:49413:-1 gene:Ccrd_024945 transcript:KVH87769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MVKLPAMIVRLIVYLIKWMHSQTYSRNISNSASVHNIVQLMSHTTTYDCSAAQQHIEYLPVVSLDQGVRSTIESFSHLAKEKFSISLDELYEQSKMEQLLGGGEVAEILLWRDERKSFLCFCGVASLFYWFCLCEKTIISSAAHLLLLIVICLYGYATLCSTEVSSYSQFEISETGMRSSVRTIANIWNRVVAHVARSLARGREWSLFFKVVVSLYLFKLLLVNSFPASLGIALAFSFILFFVYEQYEEEIEGLAGILMEVVR >KVH91962 pep supercontig:CcrdV1:scaffold_2455:59344:60728:-1 gene:Ccrd_006011 transcript:KVH91962 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydratase, small subunit MPAIYKEPRNQALVVILLHASIFKPLRLHITGTEFFLWFSNSSYAFFFLWFSISSSRGFRFLSVVCSSSRSRHHQPFASLATSNVFNATKQRSFKPLISQSIVSSTSPVTRRTSVVAAATANSSSSASSTSFHGLCYVVGDNIDTDQIIPAEYLTLVPSKPEEYKKLGSYALIGLPASYETRFVEPEEFQSKYKIIIAGDNFGCGSSREHAPVALGAAGVTAVVAESYARIFFRNSVATGEVYPLESEARVCEECKTGDVVTIELEQSLLINHTSGKEYKLKPIGDAGPVIEAGGIFAYARKAGMIPA >KVH91963 pep supercontig:CcrdV1:scaffold_2455:47910:55389:1 gene:Ccrd_006010 transcript:KVH91963 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWNN domain-containing protein MAVYYKFKSAKDYDSIPIDGHFITVASLKEKIYESKQLGRGTDYDLVVTNAQTNEEYLDEDMLIPKNTSVLIRRIPGQPRMPIVAAPVTEQAEPKVVNDLESAQSAKSNFSGAGLSFPNYLDGSEYDEFGNDLYEIPEVVPIASSNQLQDAPAPSKADEDSKIQALIDTPALDWQQQNFDGFGAGRGFGRGMGGRMGGRGFGRGGGFERKTPPPGYVCHRCKTPGHFIQHCPTNGDPNFDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEKEIEGMPSTRTVGDLPPELHCPLCKEVMKDAVLTSKCCFTSFCDKCIRDHIISKAVCVCGATNVLADDLLPNKTLRDTINRILESNNSSAENGGSAFHVQDMESARCAPPQPKIASPSRSAASKGEQAPPPNGETTMTRQGPGPAENDADPIVQQPVSGKGKIAKAPDVSEATYESKSMMEPASQGSARVADDEVQQKQVPSEAEMQWRASQDFAAENYMMPPGPSPYNPYWNGMQPGMDAFMGPYGGGMPYMGGYGLGPMDVPFGGMFPQDPFGVSGLMMPPFIPPQRDLAELGMGMNGGGHGGPGGPPIMSREEFEARRAELKWKRDFEKRGGGTGRELPKERDFSRDSRNNEDAPSMKSKSRPIPPPSSDGHRHRTERPSPERRHSRDDGPDVPPHPSSKRKSSSDRYDYDDYDDANHHHHHHAQQHKSHRRSESSTTRRPPQAPLTSDPKAVTAAAAASAATSASDRKHKPSVFSRISFPEEEAAAAAAAASKKRKLTSSSSEAASAGGPTAVSRKSSTNSSAAGASVDYESSDDDRHFKRRPSRYESSPARERERERERDRDRDRDRDREHYSKHR >KVH91960 pep supercontig:CcrdV1:scaffold_2455:6786:9235:1 gene:Ccrd_006006 transcript:KVH91960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGGFRKDLIYAYNRNFEVLISSTASSNPSSSRMLMVAQKAGLLIAFSTPGNSSRRLQPSFFWIQGNGSPFILVAIDFPKFWLLRNKPYKRGLQHENVGMAALNKKHRLQAMYATDAELQSETSDWHSEIYVNDGSYALLSGAVEVLRPYEYVSSFALHAVSFSGFASLYVHKLTSFLVLNVFTTGLRLRRRLKGCLRRVTVVIFPPKLHCPLCKEVMKDAVLTSKCCFTSFCDKYLSMDILSCLSRLHQQLYEITLSPKQRVSVPADDLLPNKTLRDTINRILIIGVE >KVH91958 pep supercontig:CcrdV1:scaffold_2455:26490:31530:-1 gene:Ccrd_006008 transcript:KVH91958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MKIVHPVIVFLMFRIDWKKLLPIGAIITITFALLQTKMLPYQLMTRNSSLPNTNHFDANLESQITPLIRDKQSEAIMVDPETLLNSSGQQIQPVAVVQEKVKVTQRRNKTDVIKSNISMKPSSPFFLSASERRHKRYLRDIKILSPYEALVYAKKEVDAVSVGIDDHDSNLYAPLFKNVSVFERSYELMELILKVYIYQDGKRPIFHNPYLRGIYSSEGWFMKFMEANRQFVTKDPEKAHLFYLPYSARQLQRALFVPNSHNIKPLSLFLRDYVNKLASKYPFWNRTRGSDHFLVACHDWHEELTKNTIKALCNADTSEGVFLAGKDVSLPETTIQNPRRPLRNMGGKKISQRPILAFFAGRMHGRVRPILLKHWANKDKEMIISGPMSYKTSRTMSYSLHMKSSKYCICPMGFEVNSPRIVEAIYYECVPVIIADNFVPPLNEVLDWSAFSVTVAENDIPKLKEILEAIPMKRYLTMQHNVKMLQRHFYWNRSPVKFDMFHMILHSIWLSRLYQIQIPTSS >KVH91959 pep supercontig:CcrdV1:scaffold_2455:33170:44041:1 gene:Ccrd_006009 transcript:KVH91959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial biogenesis protein AIM24 MAAPFFSTPFQPFVYQSEQEDVTPFQILGGEAQIVQIMLKPEEKVSARPGCMCYMSGSTQMENVYAPENEAGMWQWLFGKNVTSTLYLNTGSSDGFVGIAAPSLARILPPDAFLCSVGDVKVSNTFDQRARNVLPGVEKNLEVGEILSVDMSSIVALPSTANVQIKYNGPMRRVVFGSMPFPRLSQRIARAVTSPNMRDNPKLFMQIAIFFFLAYVVVVSSFILTDI >KVH91961 pep supercontig:CcrdV1:scaffold_2455:22089:22985:1 gene:Ccrd_006007 transcript:KVH91961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S59, nucleoporin MTYRKERAEPGYYGRVKDFVVGHHGIGSIRFLGETDLRGVDLESFIGIIQGRVAYSVEKPLVGHGFNGTAEMTLVTLKFSYGKLVCRNTQKLINSKALSFRMQVENNGEEFVSYDPITGECKWRTNYFSGFGLIRFKAKGEFSAGDQEVDGEAEDTDQLVYMRFRIN >KVH91965 pep supercontig:CcrdV1:scaffold_2455:61331:64956:-1 gene:Ccrd_006012 transcript:KVH91965 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MQAPKPIHNHKCNHHSIDFDFGVRSPGKMAEKMVRYGIIGAGMMGREHLCNLYHLRNEGAAVVCVADPHLPSQQISVEFAESFGWPLKVFSGHKELLDSGLCDVVVVSTPNMTHYEILMDIINHPKPHHVLVEKPLCTTVQDCKKVIEAAKKRPEMLVQVGLEYRYMPPVAKLIDIVKGGKLGHVKMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFVGAYPVRVMASGAIDLNHKHEVYDGKVPDIIDNAYVIVEFDNGARGVLDLCMFAEGSVNEQEISVVGELGKGEAFVPENVVRFGLRVEGRDGVRRMRVEDHRIKYDGLHHGSSYLEHLNFLAAVKTDGKKTPAVDLHDGLIAVAIGVAAQLSIEKGRFVSIKEVIS >KVH91964 pep supercontig:CcrdV1:scaffold_2455:75842:80381:-1 gene:Ccrd_006013 transcript:KVH91964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVRTSRRGVEKGDHVTDQRRRQTADGGEARDDESAATSVDAGRSGTRRSTASRPRLLLAMGMSSYIVLLLSIGQFAPEEISAQESTDIHVNEPEGDILIFMTGQDDIEKLVSKLEEKIRNLEAGSCMDAIVLPLHGSLPPEMQASATVQVKCKCATSSNHKQQSAEKQAVELRRTEDGLTGTGSYRGWPPPPPPAGPPPPNVAASASMALCLLLRWSPSSSSHTGCIRHTVIAIYEAICACIHNSWSIWGHLSLRWKESNECGCSPQALTIAAMLSVEGTLLPGRRYNSENVT >KVH87766 pep supercontig:CcrdV1:scaffold_2456:36950:39295:-1 gene:Ccrd_024948 transcript:KVH87766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MEAEASSFETSEMLARFLGSTPLLPESWNLCGRSNVMAPQSFLTDEVGGVTYVAFSGVQSVDGLDPFCGNLVPLAGVFPVDAGMFPALEDTVMVDAGLLHLFWTIFHTPVFQNQMFEIKKKQKPVVFTGHSIGGAIAALSSLWLLSYLQSISSPPTVICFTFGSPMIGNEPLSRAIQRQRWGGNFCHLVSKFDILPRLLFAPLAPITTHLHNLLKSWHLTMNSPFFFQDPGNQLGDHEKSELFHFVLGYVEATARSPGTNLSFVPFGNFMFCSSDGAVCVDDATAIVRMLYLTFASGSPSSCIDDHLEYESYVGKISLQFLNRTEEEICESNTRIGRTPNLNSANLAIGLSKITPFRAQIEWYKESCDQSDDQLGYYDSFKLRGASKRDFKVFMNRIKLGEFWNSVIDMLEKNQLPHDFHKRAKWVNASQFYKLLVEPLDIAEYYRSGEHRKKGHYMKQGRPRRYKIFDKWWREREAFRENDNDNNSVSISGSGSNNRRSKFASLTQDSCFWAKLEEAREWVEKVSSESDPRHVVALWASIEKFEQYARGLVDRKEVSIDVLAKNSSYTIWVEELRVLKLHSQHFSTQIPGILDRKVVP >KVH87767 pep supercontig:CcrdV1:scaffold_2456:11564:12100:-1 gene:Ccrd_024947 transcript:KVH87767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCKNAESLVASVEEKASLLERILSQVSESIEREIVHLERMECKANNCEKEANLLKEQLENLQRQFNECLHEKNEVEKKLSTLTCQEFPSSDDNILVKHLREELRNYEPQVREARKWKSSHEDIEVLREKLLEEKGRRERAESEISKLSEEQVNGK >KVH99613 pep supercontig:CcrdV1:scaffold_2459:25981:26946:1 gene:Ccrd_022153 transcript:KVH99613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MTAMDTELMKAAEDFASFDFLKSKNRFSPSFCTQETYSMIIISAQLKGYRRTDIKVERNEDGSRIVVSGEKLVQDMLAIGGKVVNKGIETQRFQKSFKILQGVVLDKVKVKFNDKDSKLVIQIPKLTKGFTGGAIEELKTEEIPPESTTILQVYGNRELAEQENQESEEENVQDLIKNDKQDKENSEEEQGEANHVGGKEDETQEPKSNRRRFKICKPIIFGSALFVSLIVMVFHLVQSEKLETQKKKKDQN >KVH99610 pep supercontig:CcrdV1:scaffold_2459:31351:32743:1 gene:Ccrd_022154 transcript:KVH99610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLVIQASIINPQPDPRTHRTTSATHDPHFAVHLNAVRFDCLINFHHSTAAIDDIHSFWTVVAVVVDGGAAALGPPPPSPEVAIAAPVEPLLEPSGFRRPLIFLEPKDHVLVSSSPFLGSSKLLLAPSDHVHVSPTYQETSIVIPLVYQSPPSSISRRNPSTSVSDSFSSPAAHHRKKSMKTSNSWTPTTYSDAKTETHLRHDD >KVH99611 pep supercontig:CcrdV1:scaffold_2459:31488:33743:-1 gene:Ccrd_022155 transcript:KVH99611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MMLQGEGIADSGDADASASASAAAAAELAAAGFSEEERARIEEGGRNSGGNRWPRQETLALLKIRSDMDVAFRDSSLKGPLWDEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKEGRTSKADGKTYRFFDQLQALEANPGGGSHQQPPPPPPLSLPPSKPPAMLNTSQIPSTTVPSVVTPINITHQNNVDPISVAAPAGAMNINHHVVGGFPFSHPNMLSASTNSTSSSTSSDDEPPERRRNRKRKWKDFFGRLMKEVIDKQEELQSKFLDTLERRERDRMAREEAWRIQEMAKMKREHDLLVQERSMVAAKDAAYQESGPKGPLWEEISSAMRKLGYNRNAKRCKEKWENINKYYKKVKESSKKRPEDSKTCPYFHQLDAIYREKASIKLPETQMAPIMARPEQQWPLPATAVVVQEQQHLHQQPPQQQSRTNESRDQNAEEDYDDEEDDDEEEEEEEGGGGGEYEIFPNKTSSMAAVE >KVH99612 pep supercontig:CcrdV1:scaffold_2459:593:7012:1 gene:Ccrd_022152 transcript:KVH99612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MSSLKIVKAYEGYKPYIAMLLVQVIYAGMALLSKASISSGMNPSIFVVYRQAFATLSLAPLAYFFERKNVPQLSYTLMWKIFFSSLIGITICLNMYYHALNHTSATFAAATTNLVPAITFVIALILRIERMYIKELHGWVKLIGAIASLSGALVFAFVKGPTLNFMAWCTSGEGGEIASTMNQFSSKSDLIQAIVGAIAMERNLPSWKLGWDVNLLSVAYCKRGPVFTAMFTPLALIITAVVSMFLWKETLYFGSVCGAVLLAGGLYCVLWGKSREVESKISEVKPEEETTLESIKTQDQ >KVI03043 pep supercontig:CcrdV1:scaffold_246:314347:318220:-1 gene:Ccrd_018667 transcript:KVI03043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MAKVRTIGIAMDYSTTSKSALKWAIDNLIEAGDTIVVLLVLSPKSDPANKKLFADTGSPLIPLIELKEVGVCKKYGITPDSDVFELLETVSSTKKAKVEAKVYWGDPREKLCEAVSHLKLDCLVVGSRGLGPLQRVFLGSVSSHVVQNATCPVTVVK >KVI03041 pep supercontig:CcrdV1:scaffold_246:288058:301289:-1 gene:Ccrd_018666 transcript:KVI03041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 5 MERGGKEAQQYVWDGAIPLQIHLHDSEVTTLPPPAPALILAPRIGYLPLLSPQLKPFFSGALPPGVDTVWFDYKGLPLKWYIPTGVLFDLLCAEPERPWNLTVHFRGYPGNVLIPCDGEDSVKWSYINSLKEAAYIIHGSSKNVMNMSQPDQIDLWRSVMNGKLETYNRISSKLKLGIVRDDFSAKLNPSSSKIQHSVDETEATAAPKASRIPVRLYICNVDEDFDDFEEELHFDSWDQISYINRPVEINGEEFYPNSSNTIKAEFKNEPGIEAEEESKTGIIEGTSIESVESQSLSGQPVIKLVRIQGIEPKSEIPFSWVVKNLMNPDYFLHICLYIKAPKPLDS >KVI03039 pep supercontig:CcrdV1:scaffold_246:284998:286447:-1 gene:Ccrd_018665 transcript:KVI03039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MGKDDVKLLSMWASPFCMRVKVALAEKDVAYEEILESDLMGAKTELLIKSNPVHKQVPVLLHDDKPVVESTNILTYIDEVWPAKPLLPACAYGKSRARFWADYIDRKEFLDILMYLDGTLGEQDYFNGDTFGFVDILLIGLTSWFPAFEKYGGFKVEDEYPKLAAWITRSYARETVSESLTSPEKITNFVGMMRQMYGIE >KVI03038 pep supercontig:CcrdV1:scaffold_246:7337:16330:1 gene:Ccrd_018663 transcript:KVI03038 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAAMTMTVTNTPAKDLAYSNCAYCSPSDVGEFVVPGSDLALALVGDVGQIGLNAIQRRHARVSTGDSVSVSRFIPPEGFNIALLTLELEFVKKGNKEEQVDAVLLAQQLKKRFVNQIYMNVTSMQVMTSGQRVTFEYHGSGYIFTVTQVAVEGQEKSDNIERGMLSSDSYIVFEASNSSGIKIVNQREAASSNIFRHKEFNLQSLGIGGLSNEFADIFRRAFASRVFPPHVASKLGVKHVKGMLLYGPPGTGKTLMARQIGKMLNGRDPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQRARGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALMRPGRLEVQVEISLPDENGRFQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQLSLDDLTKSVDEESIKVTMDDFLNALHEVIPAFGASMDGLERCRLNGIVDCGQRHDHIFKRTMLLAEQVKVSRGSPLITVLLEGPSGSGKTAMAATVGIGSDFPYVKIISAESMIGISEGSKCAQIMKVFEDAYKSTLSIIILDDIERLLEYVAIGPRFSNLISQTLMVLLKRLPPKGKNMLVIGTTSEVSFLESVGICDAFSVTYHVPTLKSEDAKMVLQQIKVFSEDDIDAAAEALNDMPIKRLYMVVEMAAQGESGGSAEAIYSGKETIQISHFYECLKDIVRY >KVI03042 pep supercontig:CcrdV1:scaffold_246:333749:341977:-1 gene:Ccrd_018668 transcript:KVI03042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase NADP-dependent PRHPIFRSCYSLFLSLRLPAGVSPSSFYEHTNLRSSFSINQLEMAFEKIKVANPIVEMDVAGLVTTCIFGAGDEMTRVFWESIKNKLIFPFVDLDIKYYDLGLLNRDATDDKVTIESAEATLKYNVAIKCATITPDEARMKEFTLKSMWKSPNGTIRNILNGWTKPICIGRHAFGDQYKATDAVIKGPGKLKMVFVPEGQGENIDLEVYNFTGAGGVALSMYNTDESITSFAEASMNTAYLKKWPLYLSTKNTILKKYDGRFKDIFQEVYESNWKSKFEAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVHLLSLAKLDDNAKLLDFTEKLEAACIGCVESGKMTKDLALIIHGSKLSREHYLNTEEFIDAVADELKARLSAK >KVI03040 pep supercontig:CcrdV1:scaffold_246:19368:24824:-1 gene:Ccrd_018664 transcript:KVI03040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MADRFLSLTSSDRAVEQDERTLMWFSGKEEKQLQLSSVTNIIRGHGAICKDKVQADSWFLGLKALISKCRDFGQAENRRGIQSCVNSPTSFILRKYNLGLSKETTKMSQVRSVCGSPIPSLSDRCFSDGLSLSSDSFYSRSSLSSGQNLTDGLVTNSPCVKPEEPKKKLKTLSRFVAPSLEPKKTKLTDVLIWGEGVRNGPLGGGVNGYRNETQVDALLPKVLDSVGMLDVEKISLAGKHAALVTKQGEVFCWGDGKMGRLGDGICFPKEVESLVGVRVKSVSCSEYQTCAVTSSDELYTWGDKGSGESIRWLPHLVSGGLNGISVSKVACGEWHTAIVSTSGQLFTFGDGTFGVLGHGNCQSLTEPKQVESLKGMLVKSVACGPWHTAAVVGTTTGPCKSSSAAGKLFTWGDGDNGRLGHSDHETKLKPTCIVRLVDHEFVQVSCGRMLTVGLTSTGVVYTIGSSVHGQLGNPQTRNQSVTLVQGKLKFEFVKEIASGSYHVAVLTSKGNVYTWGKGANGQLGLGDTEDRTSPTLVESLRHRQVWAISCGSSSTAAICAHRSITSSFDISVCRGCNIEFGFMKKKHNCYNCGLLFCGICSSKKTKNACLAPDETKSFRVCDSCFKCVERNGSKTGQLLNIEDLTPRPLMIKTFSEETDDQSTVTSIWNKTRDRNQVGSGSGSGLGSDLDLDLDWGSSLMSREPRWGQVSSPASFRKHCNEEITRNNLNIDSHISPTVKHKRAKDVIKALTSRLHLMSPRAFMRKQAKSPVVEPTMSATHLSARSKRVPCDAEVRGVNDSCNSALVSSMHNNISTQSAEKRPSDEAVAKEAVSEQNKVKQKHEWMEEYQTGVYITFIMLPTGQKGIKRVRFSRKVFREKEAERWWEDNQQKVYDNYNVDGYINSY >KVH87765 pep supercontig:CcrdV1:scaffold_2460:34774:38645:-1 gene:Ccrd_024949 transcript:KVH87765 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MRALGDYLGVKVHACVGGTSFREDLRILSAGVYVVVGTSGRVFDMLMRQSLRADYIKMFVLDEADEMLSRGFMDLAQSCDLKELVQKLKPESIGREIEKATSSIYPLQNVFIHKVKILKAPKLDLGKLMEVHGDYSQDVGVKMEMEMEWRADEPIAEETEVIGA >KVH87764 pep supercontig:CcrdV1:scaffold_2462:33942:38068:1 gene:Ccrd_024951 transcript:KVH87764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSRYHPLFIFLLIVFFIVQYVSCQLPSTQVATMKSLHELLKNNANSKWNSSLNLENPCSWTGVSCSNSSITGLTLSSFSISNAQDSWSSLVCGIGTLQHLDLSNNILTSIPQPFISSCGRITGLKLLNFSQNRLSGPLPVFQGFSSLEVLDLSNNMFNDASIDLQFDGLNELKSLNISNNQFRGPIPTKLGNSMLLEELQLSRNYFEGNISDEITKYVNLSLLDLSGNKLTGMIPSRIGELSNLQLLLLSANQLFGEIPPSISGITTLKRFAANQNQFIGAIPLGITRYLRNLDLSYNRLTGSIPADLLLQQNLQTVDLSANSLVGSIPSTASRNLFRLRLGNNSLVGQIPRWLFGDDVPSLAYLEVDNNNLNGSIPPELTIFKNLSLLDLSFNNLVGFLPPELGNLNRLEVLQLQHNNLSGKIPDEISQLQILVKLNISWNSLSGSIPQSFSKLQRLSNLDLQVNNLSGRIPESFGTMDSLLELQLGKNRFNGVGSLPTKLQIALNLSRNDFEGPLPSALSELQALEVLDLSNNGFSGGIPSFLGRMPSLTLLLLSNNNLTGTIPTFGSNVIVSTDGNSLSNPTPTPPVPVAKNKKPVSWGIVVAATSAVVVLTVVAIVALIYSRKVHKVNDEERHSSRLQVIRSNLLTGNVIHRSNLDFNKAMEAVAYPSNAFFKTRFSTYYKAVMPSGMIYFVKKLNWSDKIIQLGSHSLLEEELQVLGKLSNSSVMTPLAYALTTNSAYLFYEFTKKGSLFDVLHGNLASGLDWTNRYSIAIGVANGLTFLHGCPSGPIILLDLSSKVIMLKSLNEPQIGDIELAKVIDPSKSTGNLSAVAGSVGYVPPEYAYTMRVTTAGNVYSFGVVLLELLTGKAAVSEGSELAKWVSSKSKQQDDYDQILDSTVSRTSSLIRDQMLAVLRVALACVNVSPDARPKMRSVLPMLLNARN >KVH87763 pep supercontig:CcrdV1:scaffold_2462:26135:28284:1 gene:Ccrd_024950 transcript:KVH87763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTSPPFLGRNPRPPSRLLSPSTSAAVDFCRRRLLPPFKENQYEDQFGYKSWWHVPNKSILWR >KVI06705 pep supercontig:CcrdV1:scaffold_2463:702:2589:-1 gene:Ccrd_014939 transcript:KVI06705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEELRVDGLSMGDFDSNQKENSELASDFNAMDDKNNGFTQENQAEDSDAEEEYDDEEEEDAEETYSLRFEGETDPLALKEDDSFGVQAYECLEQPGHEYEALAAKKRKESLNYNHLGMPPIKKLRQEDYPGPSFEDLLAEITHGKRRKSKK >KVI06704 pep supercontig:CcrdV1:scaffold_2463:59838:80362:-1 gene:Ccrd_014941 transcript:KVI06704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLMEEEELRVDGLSMGDFDSNQKENSELASDFXAXDDKNXXXTQENQAEDSBAEEEYDDEEEEBAEETYSLRFEGETDPLALXEDDXFGVQAYECLEQPGHEYEALAAKKRKESLNYNHLGMPPVKKLRQEDYPGPSFEDLLAEITHGKRRKSKKVLWHSSRAVVEYGIYKCGSLRIKKRGRRKGSKKKVSPEITHKLGDATLYYAHXRYEEAXPLLKEIIKMSPSLPDPYHMLGLVYNAIGDKRRSLGLYMLAVVLKPKDASLWKLLVTLSLEQGYRGQARYCLGKAIKADPEDMXLRYHRASLFLELGEHQRAAESYEQIWKLRPKNIEALKTAAKLYQKCGQHERSINVLEDYLKKNPNDADLSVVHLLASVLMSGNAHEKALHYIEYAQQTYSVGKELPVELXIQAGICHVHLGDMEKAEAFFSVFTEDBVNDCRHLIIDVANSFMSLKHHGSALKYYLMVEGNDGGNKDQMVVFNEKLEEVVTMNARFCSTIHKDLCTTHLRNKGGGEGKGRGLKKLRMNSIILKANEIGLGTVKTDLTSILSIAAAESFKWDLKLLGEEDTGQLYMNMGRCYSCLSARTQATSYFYKALHEHEGNIDARLDLVSLLLEEDKEDEAISVLXPPDSGLLDFVLGNCYSQNXSIXSYLIVYFTFPESRVXQTSDREKEWWTDKKIKLKLSSIYKSKGLTEGFVEAIFPLVRESLFLETIQRKVRPKKRLPRSVLYKRVQVLDDHQTDNVFQGFRPIASSSDLSKAARAKRLLQKKEKEREEKRAAALATGAEWHSDDSADESPAYREPPLPNLLKDEEQLTLIVDVSASCFLFFFVVIVIKTTFGSDPWRY >KVI06706 pep supercontig:CcrdV1:scaffold_2463:17362:19123:1 gene:Ccrd_014940 transcript:KVI06706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLMEEEELKVDGLSMGDFHSNQKENFELASDFNATDDKNNGFTQENQAEDSNAEEEYDDEEDEDAEETYSLRFKGETNPLALTEDDAFGVQAYECLEQPGHEYEALAAKKRKESLNYNHLGMPPVKKLRQEDYPGPSFEDLLAIITHGKRRK >KVI04746 pep supercontig:CcrdV1:scaffold_2464:71688:76932:-1 gene:Ccrd_016933 transcript:KVI04746 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MESPNVVANLLGLLEVRIKRGHNLVVRDMTASDPYVVIKMGDQKLKTRVINNDINPTWDNDLIVSVKDANLPIKLTVYDYDVISKDDKMGDAEFDIKAFVEALKMPRLERHPNGTVLKRIQPSRTNCLAEESCIIWKDKQVVQELCLRLRNVATGEVEVPDINP >KVI04748 pep supercontig:CcrdV1:scaffold_2464:34153:36021:-1 gene:Ccrd_016931 transcript:KVI04748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin protein Ndc1-Nup FLKPQNCFLPATLSNAVSSSFLLPPFSLTVEEGRARNLKLTGMIGRLGDGHHHITTIIKDRFLGFLIWQSFHSTLIYFICKTLLSRLAPSVTLLAAVFAFLFFQLSLLLFSTSLYLVSSPQFIRGVSPFELLVGLVKLIFVYGGQPLPYDFRRRAKVTLSFVLFLASSGVSAFLSVVCLSGSQVFGAIGLRGLVVGLIYGSHYLFSRRWVLDFPIIQRPLFFSYKMGILKAIVKAVKLSSAGYLVSLVLPIFLVNEHKSERAMGDLVVEQILFYFASGVVFLCWELNRHLLQVFLTKRYLFAPPKGSAAAETNPSDHLLAALEETTPRSLLRHLAYLDLCMVSESNVDTWRRAAFFEETGDTYKKVIAVCLKPLEQLTLDLSEGLRSSPEKSFQLSRQLHSPTESSLREPFYDFQ >KVI04747 pep supercontig:CcrdV1:scaffold_2464:48657:71932:1 gene:Ccrd_016932 transcript:KVI04747 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein SVNLLVVFFHLRRTLLLATGIIVAGGTAAYVQSHGRGRRPSGTGQSNGLGDEDEQAELDVGNNSVIRRSRQKKGLKSLQVLAAILLSHMGRMGARDLLALLATVVLRTAVSNRLAKVQGFLFRAAFLRRVPAFVQLILENITLCFVQSALNSTSKYITGTLSLRFRKILTKLIHAQYFQNMIYYKMSHVDGRITNPEQRIASDVPRFCSELSDLVQEDLTAVTDGLLYSWRLCSYASPKYIVWILAYVLGAGATIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGETREESHIQQKFKALVHHLKVVLHDHWWFGMVQDFLLKYLGATVAVILIIEPFFSGTLRPDTSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGFADRIHELMAISRELSPKDVPSLQRRGSKNYITQADYIEFDGVKVVTPSGNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSKMVELLKNVDLEYLLNRYPPEKEINWGEELSLGEQQRLGMARLFYHKPRFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWRVHDKREDSRVRSGTDIEFVKLKSSETERQSDAMAVQRAFATRNVRDSAFSSSKSQSYFSELIAASPAEDLSTLLPVVPQLQNDPRALPLRIAAMFKILVPTVLDKQGAQLLAVAVLVVSRTLISDRIASLNGTTVKYVLEQDKASFIRLIGFSVLQSAASSFVAPSLRHLTARLALGWRIRLTSHLLKNYLRKNAYYKVFHMSGDTIDADQRLTQDLEKLSTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRSVTPDFGDLGNREQELEGSFRFMHERLRTHAESVAFFGGGAREKAVTPFDLYHLYPRALVSVLMCAKVEMIESRFNELLVHANILLRRRWLFGILDDFVTKQLPHNVTWGLSLLYAMEHKADRSLTSTQGELAHALRFLASVVSQSFLAFGDILELHRKFIELSGGVNRIFELEELLDAAQSDETVGTSSQSDEMHEESDDSISFSEVDIITPSQKLLARKLTCDIVPGKSLLLTGPNGSGKSSVFRVLRGLWPIADGRLVKPCHNVNDEVESGCGILYIPQKPYTCLGTLRDQIIYPLSHEQAKQRALNLYQGGQIDVNILDTHLKTILESVKLSYLFEREGRWDASQNWEDILSLGEQQRLGMARLFFHKPRFGVLDECTNATSVDVEEHLYRLARDMGITRPALIPFHSLELRLIDGEGKWELRSIKQ >KVI04749 pep supercontig:CcrdV1:scaffold_2464:20758:26721:-1 gene:Ccrd_016930 transcript:KVI04749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin protein Ndc1-Nup MSKLRSFVMKVLGLTCSASACECYLALPFLKILNPNSRSVAFFYLIVLYYPGCQGIFQMILGIKCLTIAESYFRRWFVTPVRYYFGSLIKTALIEWVLRETQRAGQSRAAVLPLPNLFQESSDRIDIAIQALKVCAWCARIASSLTVRSHKEDRFGVAQLSGSNAATISTLLACLLAVETLMGKKTNLQSSTQYLTGPANIKWAALSPARRDAMTTNGMTANKKDGPHYSKAYSMADILRTSIYQVVSNFHQEMVSSSKAGLLEKDWIVAGKPLYENHELLVQKLRLFLEFQAN >KVI04750 pep supercontig:CcrdV1:scaffold_2464:4098:11702:-1 gene:Ccrd_016929 transcript:KVI04750 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MKKIRKKKMKTFGMDEDEDVGRDKNPNAVSNFRISEPLRIALKTKGIEALFPIQARTFESIYDGLDLIGKAKTGQGKTLAFILPILESLINGPEKASRRTGYGRAPTILVLLPTRELAKQVFTDFKYYGDAVGLTSCCLYGGGGASISPQTVQLKRGVDIVVGAVGRVKDHIERGNLDFSSLKYRILDEADEMLRQGFVEDVEYILGKVNDASKVQTIASQFLKVNKKTVDLVGVEVMKASENVRHIIMPCSSSARCQVIPDIIRHHSSGGRTIVFTETKDYCSELAGVLPGARPLHGDIQQSQREATLGGFRSGKFMTLVATNVAARGLDIHDVQLIIQPRRANISKLEREAGVKFEHVSAPQPSDIAKAAGADAAEAILQVSDSVIPVFKSAAEDLLNTSGLSPGYTEIKQRSLLTSMENYVTVLLEAGRPIYTPSRILPEEKVESVRGLALTADQKSAVFDVSVDDLNTFLAGILSFFNFSSTRFAVIFFQSFGLGFAGQENAGGVSLVVVNALPQLQEREQSRGRFGDGRGGYGNRRGGSGGGGGGGGFSRGGRVGYSDRRNDRFPRGSGGGGRGRGGYKKW >KVH87762 pep supercontig:CcrdV1:scaffold_2466:68201:69079:-1 gene:Ccrd_024952 transcript:KVH87762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MGSIGNLGLAFFLLTLFWNGSTAQSGCTNVLIRMAPCLNYVTGSSKTPSSSCCSSLANVVKSQPQCLCTALDGGTMAALGININKTTALALPGACKVKTPPVSRCDAKSPDDKSSNGPFPAPTFA >KVH87761 pep supercontig:CcrdV1:scaffold_2468:33335:41362:-1 gene:Ccrd_024953 transcript:KVH87761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-type fold MFWCRNREDERVCRELPDRNRSDEKSLRFVALNSSYQSHHKHHHPSLIQSPCSSSFFSSISRFFSTNNNNGSKSSDLWKISTENEESIESVFGEVTKSLEDFVGGGDAVKNHKEEESWFSSEWKEKGGGNDVEHDIFKVIESEGKRTEASRVNDKWGTGEGFTDWKFGVGDQKDGGEAEIFDIGDAGVSESAGLDGDVKLESQNKEEDRFLEIEEKALSEVLKGGPDHAFGDLIAASGITDEMLESLMALKDLDDVPGLPPLSEIEEMRYEKNTSKSTRADIERQKQEEITKSRVRQVDSQGRAYGTGKRKCSIARVWVEPGDGKFVINDKQFDVYFPMLDQRAALLRPLSETKTLGLLNVNCTVKGGGVSGQIGAIRLGMSRALQNWAPDQFRPPLKQAGFLTRDSRVVERKKPGKAKARKSFQWVKR >KVH87760 pep supercontig:CcrdV1:scaffold_2468:62813:63126:-1 gene:Ccrd_024954 transcript:KVH87760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIDENGSLMTAIVRKNLVNKFNHLLEKGTEYVLKNFKVVENFGAFKVIDYITLFWSGP >KVH87759 pep supercontig:CcrdV1:scaffold_2469:70178:71122:-1 gene:Ccrd_024956 transcript:KVH87759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLSLFLLPLILLSSSPSSATSPNHVLTQGTSLSVESNDVLVSPNKLFPARFHQIGINAYYFAVWFSEPMSDGNHTLVWMANRDEPINGKRSKFSMHTTGNLVLTDXGRRIWRTDTKSTSALQLQLIDSGNLVLNQSDEQPYLWQSFSFPTDTILPNQPFTKDTVLISSRSSSNLSSGFYKLYFDNDNVIRLLYNSDEITSVYWPSPWLRAWEAGRTTYNNSRFVLLDTTGRFKSTDDFMFVTTDVGQTLHRRLTLDIDGNVRVYTLNKRSWTVSWQAISTPCEIHEICGQNSLCTYSFESGRRCICMHGYKA >KVH87758 pep supercontig:CcrdV1:scaffold_2469:33657:34286:1 gene:Ccrd_024955 transcript:KVH87758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MCLLFFLYITQKPSRATTQTSLAVATRFRRFTYDEIMKVSHKFREGIGRGGGGIVYKGILPDTREVAIKRLNEVGQGEAEFLAEMNIIGKINHINLIETYGYYAEGKHRILIYELMQSGSLAKNLSANQLDWRKRFEIAIGVAKGLAYLHEECLEWVLYCDVKPQNVLLDADYNPKMAYFGLSKLFNKGATENFIFSKIRGTRGYMAPK >KVI11335 pep supercontig:CcrdV1:scaffold_247:174418:185602:1 gene:Ccrd_010251 transcript:KVI11335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MAIAAAVVIVPIGVLFFVSGLIVNLIQALIFVIVRPFSKSIFRRINRMVAELLWLELVWIVDWWAGVKVNLYTDPETLRMMGMLYTAFIIVTLLRVKNXLLLTCCTIFSPNPVLFPGKEHALVIANHKSDIDWLIGWVFAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDESTLKSGLQSLKDYPQPFWLALFVEGTRFTKAKLLAAQEYASSMGLPVPRNVLIPRTKGFVTSVSQMRSFVPAIIDMTVAIPKDSTPPTMLRLFKGQSSVVSLLDLKFWLCKDEIHVKVTRHSMKDLPESDEAVAQWCKDKFIVKDDVLDQHKIADAFPDSELVVVSWACLLVFGTFKFLQWSNLLSSWKGLTFAAVGLATVTILMQILIQFSQAEHSTPAKVAPVLSSNGTVREKSQ >KVI11344 pep supercontig:CcrdV1:scaffold_247:101802:129028:1 gene:Ccrd_010247 transcript:KVI11344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDMEEHKSIWKSEEEESMVSVTVGRVMTTLLTARPTKLIDSISHLQSSPNPHNLLALSLENSLRILHKYVKDGAEKEEPLDAILIPMIEHSLRCKESKYKNQEMIILNWLFQDEVLFQALARNFSDIVLRKEDRHIALGWCILARHLIEYDVTMGRFSTTGIKERYTGLLENLSICSTHLLSLISNGSTLQGGFELPTRLSVAAADCVIALSIALTRKEMVSEASENTKKSSKPSRQDLQGISVVAVGGQRKAKSISPASEVTRDMKMSLLLWNLLDRLIMLVQRLHAVLSSLLFLHEFMNFLTPAILIVLWKTGPPKVKTGMLLLSSCWKHYGILLHLENHRISDRHNELLDQYLAGIERLGGLVAIIKYHIIIYIFFDGVCFFDKRVMQYYAGNSTTEHRDDKDSGIATINFFLNCLLLLLGCFTSKQFDTAMREHRLHITRVVASQVGELVATNFFRTTVDMTWLAFAHVYYAEYLLKKKASYTGKSLSDDDVIDGAVSILKATIFGTNHLASGCSLVDSKQMHSVLPLLLNLLDERDGTARAVVTLIAEFCSMSADRYCLEEILNRLAGENIAQRRNAFDVLSEVIHISSDSASTLSHSLWQEIANHLLDILKDEDDIIRAHATKLLTLIDPSLVLPGLVHLIYSSDATLHSSAASTLLGVLTYHNQKPEIVSMVLDCLSNPNASSDFQKATTNYRLEGFQGDADRVLKLIPEWSRSVKNWKLLAGPLVDKMFADPSNPIIVKFLSYISDHLAGVADVVFQRILLHTESQTEIDESFLSELDTSYAENDVKLQHSLFDRLCPLLIIRLLPLRVFNDLKSSVVYGNFGRNSDKNEISGSQCIADLLLKRAFNKLEFEDVRKLSAELCGRIHPNVLIPAVSSELETATNDHDILKIKACLFSICTSLVVELISIVTFISKAQHGCIDCLAIMVCIELQNSEPSRNSTLKETNIVRNGKSNTSRFHAYVLDRLTGDEHKLLSSNPGSDNHMSSKQFLSFRLCMANVLISACQKIADSGKKPFALKTLQRITHSVRNVKEPEIRAACIQERMGGAKLLASLLASEEAVVQSISEGLLEARTLLSTISLTDSSSTVRQLCTQLLACMTSP >KVI11349 pep supercontig:CcrdV1:scaffold_247:119415:119615:-1 gene:Ccrd_010248 transcript:KVI11349 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, mitoviral MDSTFNQTKPLDLLVGSRVCFSFDPRSATDRWPIVFLERVVSKLFDQDFSEAVSFLLSGGEFDVPW >KVI11334 pep supercontig:CcrdV1:scaffold_247:146931:155852:-1 gene:Ccrd_010250 transcript:KVI11334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLITVTISTSIRKQLSSKGFSQIRYFSIIRPEKAPENKQEPEIERPIHEDVFKSGQKLGSFKVGDPTFYSLIENFSKTGDLISLAKVFNQMKRERRVFIERNFILVFKAYGKAKKAENALDLFDKMWVEYQCRPSVRSFNSVINVAIQEGLFSRALEFYSSVVIRDKRVLPNVLTYNLILKVLCRLRLIDRAIETFREMPVRKCTPDVFTYCTLMDGLCKEDRIDEAVCLLDEMQVEGCFPTAVTFNVLINGLCKKGDLARAANVVENMFLKGCVPNEATYNTLIHGLCLKGKLEKAVSLLDRMVRNKCIPNDVTYGTIINGLVKQGRAVDGAHMLVSMEERGLKANQYVYSTLISGLFKEGKPKEALNMWKEMISKGCKPNTVLYGTLIDGLCGEMKPDEAKDVLLEMDKVGCKPNAFIYSSLMKGFFNMGNSDKALSLWKEVASNDCVQNEVCYSVLIHGLCSDGKLEEARMFWEEMLLNGYRPDVVAYSSLIHGLCSDGFVEEGLKLFNEMLCAGSGSQPDIITYNILSNALCKHGRISHAIDLLNNMLDRGCDPDLVTCNIFLKTLKEHTNGSQDGSEFLEELVLRLHKRRQVAGASKIIEVMLQKYLTPKTSTWEIVIQELCKPKKVIGGSMWFSESLFLVQSWAKDESTLKLALQSLKHYPQPFWLALFVEGTLFTKAKLLAAQDSIVNGVALSQMKSFVPAIIDMTVSIPKDSTPPTMLWLFKGQSSVIHVKVTRHSMKDVPESDEAVAQWCKDKFIVKWSDLLSSWKGLTFTAVGLAIVTILMHILIQFSQLEHSTPTKVSPVSSSNGTVLATFQRFPTMMLMVALSKGCGGFDFSSSAIVGSNHGGFVAHDRGGSDGGMVWFGCFSIVLVLHYKRND >KVI11342 pep supercontig:CcrdV1:scaffold_247:24614:34248:-1 gene:Ccrd_010243 transcript:KVI11342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, III-V domain-containing protein MAVGMHNYVTPTLNPNQKGFSFSSPFLRTQLSVGRALSSYSFPSIKYPSFSYSRRNQLPNFIKCSVSEAEATETVTEKKITLVRRNDIRNIAIVAHVDHGKTTLVDAMLKQAKVFRDNQVVEERIMDSNDLERERGITILSKNTSITYKDTKMNIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGLAVVVVVNKIDRSSARPEFVINSTFELFIELNASDEQCDFQAVYAIGISGKAGLSPDNLADDLGPLFETIIRCIPGPRIKKDGSLQMLVTSTEYDEHKGKIAIGRLHAGVLNRGMDVRICTSDDACRFGKVSELFVFEKFYRAPAERVEAGDICAVCGINDIQIGETIADKMDGKALPAIRVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYREIERNLAMKVEDGETADTFLVSGRGTLHITILIENMRRENYEFMVGPPKVINKRVDDKLLEPYEIATVEVPEEHMGSVVELLGKRRGQISEGTTILKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDIPGAEVYKGQIVGIHQRPGDLSLNVCKKKAATNVRSNKEVSVVLDTPLDYSLDDCIEYIQEDELVEVTPKSIRMCKNPKMNKKAR >KVI11348 pep supercontig:CcrdV1:scaffold_247:137493:141967:1 gene:Ccrd_010249 transcript:KVI11348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, alpha-subunit, N-terminal domain-containing protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTLSYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAVGANNQAAQSMLKQDYKEDITREEAVQLALKVLSKTMDSTSLTSDKLELAEVFLSSSGKVKYQVCTPDALSKMLIKYGVTQPPVET >KVI11339 pep supercontig:CcrdV1:scaffold_247:258363:258716:-1 gene:Ccrd_010255 transcript:KVI11339 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, ESSS subunit MPSVKPFSAAAGMLRSRLTSTLRQRGDATNRWTTPGHQERPNGYFLNRTPLPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTLETWAHQKALERLEAQQQIIASAPSDESD >KVI11343 pep supercontig:CcrdV1:scaffold_247:13199:18148:-1 gene:Ccrd_010242 transcript:KVI11343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCAVPSTTDSDFEKKKGKNKPNPFALDQGATVPSGNGYKSYVLENPTGHEIEQTYVLGKELGRGEFGVTYMCTDKISGEVFACKSISKKKLRTRVDIEDVRREVEIMKHMPPHSNIVTLKDTYEDDSAVHLVMELCEGGELFDRIVARGHYTERAAAGVTKTIVEVIQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSETAKDLVKKMLNPDPKLHHPWIQNAKKAPNVSLGETVKARLKQFSIMNKLKKRALRVIAEHLSAEEVAGIKQGFDLMDTSKQGKINIVELKAGLQKLGQQIPDADLQILMDAGDVDKDGYLNYGEFVAISVHLRKMGNDDHLKDAFSFFDQNKSGYIEIDELREALADEIETNNEEDGRISFEEFTAMMKAGTDWRKASRQYSRERYNNLSLKLFKDGSMHLTD >KVI11336 pep supercontig:CcrdV1:scaffold_247:193318:211107:-1 gene:Ccrd_010252 transcript:KVI11336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSIVKKASLLAVVVVAISAVTVSAQDVASAPAPSPDAGVAFSVASSGVMIGTSLLLSFVALVIAVMTRKIQAQQTKMQASLFRDLLRKSIAEMAQVSKVSMVAVVAVALSAAAATVSAQGPAPSPDAGAAFSLPASGMARSNIVKKASMIAVVAVALTAASTVSAQGPAPSPDTGAAFSLPASGMAQFSIVKKASMIAVVAVALTAASTVSAQGPAPSPDAGAAFSLPASGVMIATSLLLSFVALLKN >KVI11346 pep supercontig:CcrdV1:scaffold_247:65546:68472:-1 gene:Ccrd_010245 transcript:KVI11346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKKLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KVI11338 pep supercontig:CcrdV1:scaffold_247:231160:237580:-1 gene:Ccrd_010254 transcript:KVI11338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTDKKRSPINNQTKRSVRSQNRENKLQQEKASQPSRTKNVDTKVLPGKADSGIISDTNMDAIHGSEEASQNIKTLDDGSHKSNTNLEKEADDGREESDSETVSDSVSSSGDSRAAEDEKLETVPRDSRKHAKKDNSENSSHLQRVKSERELRNSQTNASASTSMKTTNSTKGPSNGTGKHSAESNSKGVKVHPKLSSAPSSVSSEGIDDQTSEEPREAGFPNEASNVARSVGSDNETIDNDGKEALDQKIGEMEMRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHAAKHYSQGKRLTFWWSNTIVLREIITQAFGSSCQSSSLTRVLNSNGSSNKREGTIPSLPWKTSSGSKQSNKPDFMEFIDDWQETRTFTSTLEKVESWIFSRIVESIWWQTLTPKMQSLAAETNTNKGIGKLLGPALGNQQQVNFSINLWRIAFHDAFKRLCPVRAGGHECGCLPVLARMVSMFNAILRESAHEIPTDPVSDPIVESRVLPIPAGDLSFGSGAQLKNAVGNWSRWLSDWFGMDTEDEHGTGEDDGVXKDRNGELKCFHLLNALSDLLMLPKDMLMDRSVRTEVCPSISLPLLKRILCNFTPDEFCPDSVPGAVLEAVNAESIIEHRLSGDDSSSFPYAAAPVIYRPPSSTDVAEKVTEAGGKSQLSRNASVIQRKGYTSDEELEELDSPLNSIIDKLPQSPTVTRNENGQHTNQTGHSPVNARYELLREVWLS >KVI11341 pep supercontig:CcrdV1:scaffold_247:279598:280661:1 gene:Ccrd_010257 transcript:KVI11341 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MTKVYPTPITSVTVSPPKSSAASKNPVIFTVWKKSLLFNCDGFTVFDSNGNLVFRVDNYVTGGXGEIVLMDAFGRSVLTIRRKRXSLSDTWQVYDGETTLVPRFSVTKHMNIFNTKSLAYVCKEGSSKNNNTRIPMYKIDGSYAQRCCIVYDDMRRNVAEIRSKEAKGGTVALGVDVFRLVMQPSIDPAVSMALIIVLNQMFGSSKRVF >KVI11347 pep supercontig:CcrdV1:scaffold_247:59148:60894:1 gene:Ccrd_010244 transcript:KVI11347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MSNDQRPPSSSTHPHHDDDDDDDDDDDENIREIHALTSPLPPPPPAYPHRHWETTSHHRSSSLSVASTEGESFTTMSREFNALVLAGTGIHVNGSENSEVANSTNTNNESSSNLERIGEEEMTETNPLAIVPDHNPLAIVPDHNPLEASPSGTRSMITTGGNPGEVSVQTVKKEEVESKISAWQNAKISKINNRFKRDDAIINGWENEQVQKSSSWMKIVERKLEAKRARAMEKMQNEIAKARRKAEERRATAEAKRGTKVARVLEVANLMRVVGRAPAKRSFF >KVI11340 pep supercontig:CcrdV1:scaffold_247:258771:261293:1 gene:Ccrd_010256 transcript:KVI11340 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MVGSGFVGHGRFDILSLSGAFTPNENGGAKGCSGGMSVSLAGPDGRVLGGGLAGMLVAAGPVQVILGSFLPSHQQEHQKPSKKSRLEPVQAILPPPIATTSVFKQATERRYGDEPNISFTLPNPTHIARSLDPENNGSLRASEPKVLNASQFEVSC >KVI11345 pep supercontig:CcrdV1:scaffold_247:76913:80145:1 gene:Ccrd_010246 transcript:KVI11345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSHLTVVTGDAFATLLELAANNDIEGFSQLIGSDPSGLDEVGLWYGRQKGSKQMVLEHRTPLMVAAMYGSVDVLKLILSQSKADVNRSCGVDKTTALHCAASGGSLNAVEIIKLLLLAGADPNLIDVNGLRPVDVIVVSPKFPDKKKTLEELLGVDGESSLLDSNLRSPSPENGSPVSESDLVSSPKISTFSDNKREYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGTCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTQDELRPLFVSTGSAVPSPRSTNSGPNALDFAAAMSLMPGSLSSFTPPMSPSANGMSNMNWPQPNVPSLHLPGSNLQSSRLRSSLNARDISDQDLNLQLFNELSRQQRAAHNQSILTPTKLDDIFAAESSSPRFSDQSVYSPRHNSSVFNQFQQQQSMLSPINTNFSPKNASFAVQSPGRMSPRSVEPISPMSSRVSMLARENQHQQQFRSLSSRELGSRSGAILGSAVDPWSKWGPTGEKADWGVNADDFGKLRRSSSFENGGNNGEEPDLSWVQSLVKETPQEMKEKLAAFGGSGGEGSGNGGGSGSNSNTQIEQMDQSALSAWIEQMQLDHLVAQQN >KVI11337 pep supercontig:CcrdV1:scaffold_247:215113:220582:-1 gene:Ccrd_010253 transcript:KVI11337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSQMEAENGDGKSKMEDYEVIEQIGRGAFGAAFLVLHKIEKKKYVMKKIRLNKQTEKFKRTAHQEMDLISRLNHPYVVEYKDAWVDKSGACTEGQRSVFPGGGDFGLAKLLNSEDLASSAAELLRHPHLQPYLLKCHNPSSVFLPVKPPNSPKDKIPKKNSPMKLNDGKDTRERDVQVVKQKVQVAKHEENGDLPVRSLHNNPIFAIVEETNLETKRVDPTSYFNDSGDTSCETTTVCYGDGPENSDSLAQKVSTKSSSLDLSNGEQEETSPQIVEKLDEGNLGNTIKENHVEITSNAGFSEKGESVDEENISTPTAICDEVDSEQPGSVEPKPVEPVDQEPPPPLRQTEKGAPAAETPATKLTPSSETNCKGEWLNPTQQRADALESLLELCARLLKQEKLEELAAILKPFGEETVSSRETAIWLTKSLMGAQKFAGGS >KVI07886 pep supercontig:CcrdV1:scaffold_2470:34092:36596:-1 gene:Ccrd_013747 transcript:KVI07886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVEKDEVPMLTDTDWPSDRHEHNPQRRLSHRNRSVSISILPSSNELNSSPTTPVCFTGPLCGGRKTPRQGNPSQSIHGAIGTERREHVEQENIRMHNHQLGDHKNEHLLRSGQLGMCNDPYCTTCPSSYNYKEKPKNSRGRFVIGREGMQNTDFNPWATPPCICPPSLHHVKWWARTKLSLLKQFTFGVMNPHAKVVQQWNQFIVISCLLAIFLDPFFFYLLSTNK >KVI07887 pep supercontig:CcrdV1:scaffold_2470:4989:16527:-1 gene:Ccrd_013746 transcript:KVI07887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MTQTIVVFRSMTDLIYAMHMLVQFRLAFVSPESRVVGAGDLVDHPKKVALHYLSGFFFFDLLIVLPLPQIIVLCILPNAIASSGANYAKNLLRSCVLVQYVPRLYRFLSLLAGQSPSGFLFESAWANFIINLLTFVLSGHRVNQCLRDACHNSGIKHCMKFLDCGHGNVGRYAADPAWKVWKEDENSSACFNEDGFPYGIYVKAVKLTAEPSQISTLAGNQTPSYFVWEVLFTMGIIGLGLLLFALLIGNMQNFLQGLGRRRLEMSLRRRDVEHWMSHRHLPEELRRKVRESERYNWAATRGVNEERLMENLPEDVQRDIRRHLFEFVKKVRIFALMDEPILDAICERLRQKTYIKGGKTLYQGGVVTKMVFIVRGKMESMGEDGSKVPLVEGDVCGEELLRWCLEDSSVNGDTRNQIKPRYILLSNRTVECLTNVEAFVLRAADLEEVTSLFAGFLRNHRVQIAIRNESPYWRGLAATTIQVAWRYWKKCRDRAYTPHGGGTTLPSS >KVI07888 pep supercontig:CcrdV1:scaffold_2470:72542:77940:-1 gene:Ccrd_013749 transcript:KVI07888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLFKKLNFRPNQASDSNPERSSSSSSKSNRRLSDVETHELNKPLSGIAGWLNSVTNKRSPSPPSSSNLKTTDRIEGFDSVSSSALDAAMDEVRTWDLGSGNSRDPEVEEEYQIQMALELSAREDPEAVQIEAVKQISLGSCPQENTPAEVVAYRYWNYSALSYDDKILDGFYDLYGIATSSKMPSLVDLQGTPVSDNVIWEAVLVNKAADSKLLSLEQKALEMAVKSMSESVNSAGHNLVQRLAVLVSDHMGGPAGDPDKMLIAWRDLSSGLKKTLGSMVLPLGSLNIGMARHRALLFKIQKIDGGVYIIAQVVLKDTFLLNYDITIIE >KVI07889 pep supercontig:CcrdV1:scaffold_2470:64862:72482:-1 gene:Ccrd_013748 transcript:KVI07889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MADPGTLIPSDTAGGSNIDYDEAFSLRSSPWSRDEDFSRLASSRSGVTSSSGECSELGTQEKRVWSRNLDYTQDDNNNVTVQYTHSSGLPRQIKAELEPKKSLPTVPHNKEKKVGEVPARTSQYTHARSPSWTEGIGSPGVRKMKVKDVSQYMMDAAKENPQLAQKLHDVLLESGVIAPPDLFTEDVAVKKFLDQIITTESLEEFKSEVKIMKRVRHPNVVLFMGAVTRAPHLSIVTEFLPSLYKLLHRPNNQLDVRRRLRMALDTARGMNYLHNCTPVIVHRDLKSPNLLVDKNWIVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSYGVVLWELCTMQQPWGGMNAMQVVGAVGFQHRRLEIPDDMDPVIADIIRRCWDTDPKLRPTFAEIMATLKPLQKSNTTSKTHRE >KVH91281 pep supercontig:CcrdV1:scaffold_2471:21678:33191:1 gene:Ccrd_006700 transcript:KVH91281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MHFQMKIQPIDNQTYEESVNCESPATVTVKPVLKSRLKRLFDRQFPSVLKNNSEKSNGAGEVKDGEFEPSSVCLAKMVQNFIEDTVPEKPKCGRNRCNCFNGNINDISDDEFDLSAAGFFGDSTNPNSWSGDSFETLKSLTPCANVSQRNLLADTSNIVEKNKACKRKDELRKIVAEALLLIGYDASICKSRWEKSSSYPAGEYEYLDVIVEGGDRVLIDIDFRSEFEIARPTGNYKAILQSLPYIFVGEADRLQQILSIVSEAAKLSLKKKGMHIPPWRKFEYMRSKWLSAHIRTPPSPSPSLLLPPPPTPPPTPNANASPKQIFSSEYKKSSSVSTPEFASECGIFELIFGEEKTPSQSTETSQLSSPGVLPSRKSDDGFDSSAARIWQPPAIKPRNVEKGRGGGGPWLPWSLGRRAEEAKCFRKIDQN >KVH91280 pep supercontig:CcrdV1:scaffold_2471:58227:68731:-1 gene:Ccrd_006701 transcript:KVH91280 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGTGETKYGSFTYENLEREPYWPSDKLRISITGAGGFIASHIARRLKTEGHYIIASDWKKNEHMPEDMFCHEFHLVDLRVMDNCLKVTENVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEASRINGVKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCRHYTKDFGIECRIGRFHNIYGPFGTWKALTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLGFEDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKERGAGFDLSVYGSSKVVGTQAPVQLGSLRAADGKE >KVI03120 pep supercontig:CcrdV1:scaffold_2472:51928:59830:-1 gene:Ccrd_018585 transcript:KVI03120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSCVVKKDISLERPDIAGTSIRSDSKIFGTTGWDHRFSNIIHHNPLLPSIFLQSSIHLPDNYFYGCIFRGGMGSCVVKKDISLERPXIAGTSIRSDSKIFGTTGWDH >KVI03122 pep supercontig:CcrdV1:scaffold_2472:67352:81706:-1 gene:Ccrd_018587 transcript:KVI03122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase MGSLSPAITSNLILPAKTVDRTFFHGLIGSVFACPGDRPNMIRLCKRSRRCVAFAGKNPMSAIDFSDPEWKSKFEDDFEKRFNIPHMRDIFPDAVSYPSTFCLRMRTPVSEEFAKGYPSDEEWHGYINNNDRVLLKVIRYSSPTSAGAECIDPDCTWVEQWIHRAGPREKIYFKPEDVKAAIITCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFGKELAEIPLSRKVVQNVHLSGGSLLGVSRGGPNVSEIVDSMQERGINMLFVLGGNGTHAGANAIHNELRKRGLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYRGVGIVKLMGRSSGFIAMQAALASGQIDICLIPEVPFELHGPHGVLKHLKYLLETKGSAVICVAEGAGQSFVEKTNAKDASGNTVLGDIGVHIQQEIKKYFKEIGDPADVKYIDPTYMIRACRANASDGILCTVLGQNALSYYLTAA >KVI03119 pep supercontig:CcrdV1:scaffold_2472:44839:47166:-1 gene:Ccrd_018584 transcript:KVI03119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAVNEGIARPKCSYQYDTSYQASFVRPPAAMPTVEIVLCACRRERISERMKLLQELVPDCNKNGKRKERNDEKKQRIEANWRGLTYDHPVDMWSVXCCLFELYTGKVFFPGAANNDMLRFHMELKGSFAKKMLQKGASTELLTYCLLKRGNCLFEPLPGF >KVI03121 pep supercontig:CcrdV1:scaffold_2472:62943:63931:1 gene:Ccrd_018586 transcript:KVI03121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MPPLCRPLNLYILPSQIPHYNLHRSAMAAKLNLLLFSLLFIAAVVRSDDADCIYSVYVRTGSILKAGTDSNITLTLYDDAGYGIRIKNLEAWGGLMGPGYNYFERGNLDLFSGRGPCLTGTPCEMNITSDGTGSHHGWYCNYVEVTTTGAHIPCAQQTFTVEQWLATDTSPYELTTVRDYCGSSSNASGERRHVIRESTSSLISVV >KVI08036 pep supercontig:CcrdV1:scaffold_2474:16751:16969:-1 gene:Ccrd_013599 transcript:KVI08036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKKTFTLLVIVAILIAVMSPVVVQGRVLSEDFAGSNHLATYSTVYEKAKTGMSFWLQRLASGPSPRGPGH >KVI08035 pep supercontig:CcrdV1:scaffold_2474:13361:13579:1 gene:Ccrd_013598 transcript:KVI08035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKKTCTLLVLVVILIAVMSLVVVDGRALSEDFAGANHLATYSSVYAKAKKGMCFWLQQLASGPSPRGPGH >KVH87757 pep supercontig:CcrdV1:scaffold_2476:65572:72777:1 gene:Ccrd_024957 transcript:KVH87757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear envelope, Cut8 MAKKRKSRASQPSVEEPVPMEEPQEQINEAQQEQEQEQEQEHTANDDVLGKELVQEGDENAQNLEENKEKYEQEEEDDAQEEDEEEESEEETTVNEVKVEANGGGGGEETEDLDEEPVEKLLEPFSKEQLLLLLKEAVSKHPEFIESVQKIADADPAHRKIFVHGLGWDTNSEILTSEFGKYGEIEDCKAVVDKVSGKSKGYAFILFKHRAGAQKALKEPQKKIGNRMTSCQLASAGPIPAPPPTAPPVSEYTQRKIFVSNVSAEIDPQKLLEFFSKFGEIDDGPLGLDKQTGKPRGFALFVYKSVETVPPALTPALGQALTALLATQGAGLGNLLGLGGPVNPQGMPPAMNNPGYGNQAGASYGAQPGMQGGYPNPQFKTVSYRPQSTECLVDKLHSLNVGLALLFGFSFEAVDFIMFLFWTPADSSQVPASYANDVFIISSIFYSFVESLVAPIRCSVSGNAHECPSPVAVSDCRGPSERGDHVIEKEDMKKACRQRLWFSQKVTDEMMCE >KVI08016 pep supercontig:CcrdV1:scaffold_2478:58841:62364:1 gene:Ccrd_013618 transcript:KVI08016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylacetic acid degradation-related domain-containing protein MERKNVGNSLHGGATAALVDVVGSSVILTFDRASTTGVSVEINVSYLDAAYVGDEIEIEAKALRVGKAVAVVSVEFRNKKTGKIIAQGRHTKYLAVSSKL >KVI00761 pep supercontig:CcrdV1:scaffold_2479:18149:20996:1 gene:Ccrd_020986 transcript:KVI00761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGTPESLPTVLHLFTLILLTTPQPSHSLPIPTTSFHFLFSLSHSLLSRVANLRAARGDISGSIRARSIAQKIEKHSHGFSFYGVMWSVGWDYLKNYAWRDVGMASFGAVSDMNELMRGLNELTRLESEVERLAWVRRNYGSVLKVSKSLLNRLLKVFNQSGPLKDAVEMVRTEIVDGGLLRDCLELGSSDLKGVIQILKDVASQYSSTSSKTEL >KVH92902 pep supercontig:CcrdV1:scaffold_248:73858:82071:1 gene:Ccrd_005038 transcript:KVH92902 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase MSVHDQAAVAVLSQVALAADGAVLGLALAYIAVRSVLKYKTTSSALNKVKKAPSVGVSDLRSVLSHSSDQDTDTTSCVDEKLVIVRGVVEAKSVVNGNWKKQNVLVSHESNEKAVILQRTQTCIYNEWRGFFGWTSDFRSLFARHWKEQESSSLRTVPFILVDGGRWPQSDYLAVNLDGSKHPLPLTTVYHNLQPINASPYTFLQAFFGHEYPVGLLDEEKILPVGKEITAVGLVSLRNGIPEVMACKDLPFFLSNMSKDQMVVELDFRTKVLLWSGVVIGSLATAILGYSVVRNWNRWKEWRQRRQIQQQNAAEESSEAEADVAAEEGGDVPEGQLCVICLMRQRRSAFVPCGHLVCCPRCALSVERDLSPKCPVCRQTIRSSVRIYDS >KVH92884 pep supercontig:CcrdV1:scaffold_248:307145:322889:1 gene:Ccrd_005069 transcript:KVH92884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MDTIRSFKGYGKLDPAEEQAFRRKTRKRILILILSLLLLLALIIGAVTATLLHKRNNHKDASPSSSSSAQSIKAVCSQTLYPDSCYSSISQLDQSNSTDPEHLLKLSLQVVFNSLSALSSSFPHSFIKSTTNDTLKQALQVCATLLDDALDYLRDSISTMDLKSADDKLLTLTKIEDLKTWLSTALTNQDTCLDALQEIDPSSTLLADTKSLMQNSTHYASNSLAIVSKISGILRKLNLPMHRKLLGEFPEWVSPGVRRLLQVGRPTPNVTVAADGTGDVTTIKEAMARVPKKSKTMFIIHIKEGVYLENVVLDKSFWNVMIYGDGKDKSIVSARLNFIDGIPTFSTATFAVAGRGFVAIDMGFKNTAGAEKHQAVAFRSGSDFSVLYRCSFDAFQDTLYPHSNRQFYRDCDVTGTIDFIFGNAAVVFQNCKIMPRQPLPRQFVTITAQGKKDPNQNTGISIQKCDISPFDNLTAPTYLGRPWKDFSTTVIMQSNIGSFLHPSGWISWVQGVDPPKSILYGEYLNTGAGAAVDKRVTWDGYKAILTDSEAGRFTVDSFIEDRIRSFCVAFLPPISPTDVLKTNTAMRKFVAHRAKTIITKATASLFTPHRIHPTSSSSSSSSDFYYYYYTRTLNRFFTSLDSSTSMSSDKSAPPLTVESLNPKVLKCEYAVRGEIVSLAQKLQQDLQANPGSHPFEEILYCNIGNPQSLGQQPITFFREVLALCDHPTILDKSETQGLFSADSIERAWQILDQIPGRATGAYSHSQGIKGLRDAIAAGIEARDGFSADPNDIFLTDGASPAVHMMMQLLIRSEKDGIFCPIPQYPLYSASIALHGGTLVCVPYYLDEATGWGLEVSELKKQLEIARQNGITVRALVVINPGNPTGQVLAEENQRDIVEFCKKEGLVLLADEVYQENIYAPNKQFHSFKKVSRSMGYGDKDIPLVSFQSVSKGYYGECGKRGGYMEVTGFSPEVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYDSYFAERDGILQSLARRAKTLEDALNSLEGVSCNKAEGAMYLFPRIRLPNKAIKEAEAAKKAPDAYYASRLLNATGIVVVPGSGFGQVPGTWHFRCTILPQEEKIPAIVSRLTEFHKKFMDEFRD >KVH92898 pep supercontig:CcrdV1:scaffold_248:45563:47875:1 gene:Ccrd_005036 transcript:KVH92898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MATSLPSLLLCFFFFSFLSVTLSVHTKPFDQPKTYIIHASKSEKPATFSSHHRWYSSIVNSVSSSHQPSDILYTYERSVNGFSARLTRAQAAQLRRLPGILSVIPDRPRKLHTTHTPTFLGLADGFGLWPNAEYGDDVIVGVLDTGIWPERPSFSDEGLPPVPSTWKGTCEATADFPSTACNRKIIGARAFYRGYTASLGSRLNETGGSLSPRDTEGHGTHTASTAAGAVVKDAGFYEYARGEARGMAVKARIAVYKICWSEGCYDSDILAAMDQAIDDGVHIISLSVGASGYAPAYYRDSIAIGAFGAMQHGILVSCSAGNSGPEAYTAVNIAPWILTVGASTIDREFPADVVLGDGRLYGGVSLYSGEGLGDAQYPLVYAANCGSRYCYTGQLNSTLVAGKIVICDRGGNARVEKGSAVKIAGGVGMIHANTDENGEELLADSHLLPATMVGAEGSDKIREYVKSDPSPTATIVFRGTVIGTSPSAPRIASFSSRGPNHLTAEIIKPDVTAPGVNILAGWTGYTSPTDLDTDSRRVDFNIISGTSMSCPHVSGLAALLRRAHSTWTPAAIKSALMTTAYYLDNKGEKITDLATGEQSTPFVHGSGHVDPNRALNPGLVYDTGVDDYVAFLCAIGYDSKKIATFVKDPVDCSAGKFSSPGDLNYPSFSVAFDSNKGGVVKYKRVVKNVGSDVDAVYEVSVSAPAGVEVVVSPEKLEFSEEKTEVGYEITFSSAGGRKAAFGAIEWSDGSHNVRSPIAVVWGASTWQMSM >KVH92920 pep supercontig:CcrdV1:scaffold_248:97091:100583:-1 gene:Ccrd_005042 transcript:KVH92920 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MAGVLPSSISQYTLLYYSIDNSISNSKPYSSLSSICNAKKRSVVCSSSRKGKPGFFDVILDYIEGGPKLRKWYGAPDLNTEDGSILEEADESSEEDEVRDAVLVTDGDNEIGQSMTGDPKDSSFLKKSLRGVRAIICPNEGFLSKVESLKGVQHVVILSLLAEQDEAVVVASGIPYTIIRTGLLTNDRGAKLGFSFEEGCTVNGSLSKEDAAFVCIEALDVVPERGLVFEVVNGEEKILNWKDQLTRLMEQA >KVH92923 pep supercontig:CcrdV1:scaffold_248:137195:148491:1 gene:Ccrd_005049 transcript:KVH92923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKKQEAKEEAGRRKKQEAKEEAGRRKKQEAKEEAGRRKKQEAKCKAKKNQLFFYCNMIFTNAYVGSTTLIHHQASHSIAPPRSSNRRSAAGGICRSPPFTIRCCNNSDSNSNSGRGFGPLQSQDPSPSPNKASKASTARDGKDRGRGAASRQRKLASQQIGSIPSQAPGTNSRMDGTSKSFASDIQFEERLQAVKRSALDQKKAEEKNIYGAIDYDAPIASEPSQIGLGTKIGVGVAVVVFGLVFALGDFLPSGSVSPDKDAIIAKNTLSAEEKETLQTRLQEYEAALNISPDDRIAREGVAGTLTELGEYTRAASVLEDLTKMKPSDPEAFRLLGVVKFEMKDYEGSVAAYRSSAMVSGKMDFEVLRGLTNALLAAKKPDEAVRILLATRDSLEREKENQANTGADGSLIDTQSQMDPIQVELLLGKAYSDWGHVSDAVSVYDQLISSHPDDFRGYLAKGIILKANGKSGDAERMFIQARFFAPEGAKAIVDRYSRQ >KVH92922 pep supercontig:CcrdV1:scaffold_248:87064:89223:-1 gene:Ccrd_005040 transcript:KVH92922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 METMEVTGENRPTWGRGRRRRVQEIIVSDIGNHYFNIPQYPSTKIKMIHIFLILCSLFTFSTHAAVQDFCVADLKGPESPAGYSCIPAAKVIVDDFVSTSLRGAGNTSNIIKAAVSPAFAAQFPGVNGLGISIARLDLSPGGVIPMHTHPGGSELLLVTQGFIKAGFISSANTVYVKTLKKGDIMVFPQGLLHFQVNAGGVTAVGFASFSSASPGLQITDFALFANDLPSSLVEMTTFLDDATVKKLKSVLGGTG >KVH92891 pep supercontig:CcrdV1:scaffold_248:263525:263953:1 gene:Ccrd_005064 transcript:KVH92891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MDPCNSSVGTIAYMSPERINTDLNHGKYDGYAGDIWSLGVSILEFYLGRFPFAVGRQGDWASLMCAICMSQPPEAPATASREFRDFVSCCLQREPARRWTAAQLLRHPFVTGAAGSHASNNQVHPTHQLLPPPPRPHFSSSS >KVH92893 pep supercontig:CcrdV1:scaffold_248:277761:293549:-1 gene:Ccrd_005066 transcript:KVH92893 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome subunit P45 MNKAWSGLEKAPPGSFKNKIHGLGLSLLSRVKPSEIFLKSIPKQLTGVEVVFPSSLNARLVRRRLRHIALRGTVVHKNYFYGSVTLLPVTSAFAVLPLPNVPFFWILFRTYSHWRALKGSEKLLQLVSDSPKNQKSSEIHPENEAAENNSEDPPLVMQASEELERLVGGGDDVSKCRLTDICKVFDLNTVDVLKYQQQQQKNVFFSGDSIRYHTMPTDIEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKDVKEMARRINDLCVSPILIGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDMESRTQIFKIHTRTMNCERDVRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KVH92901 pep supercontig:CcrdV1:scaffold_248:39482:42489:1 gene:Ccrd_005035 transcript:KVH92901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGASCGSPSMSLVSLPPSSVDYVDLYGKRRQAARVQVLEREIGLLQDEIKSLGGIELASRSCKENPMSGESLVAARGCVVFAGFAAVQRLVKTHAHPVVATIAGVAKFRVVAASVCRVLDVSFAVVV >KVH92907 pep supercontig:CcrdV1:scaffold_248:149171:152094:1 gene:Ccrd_005050 transcript:KVH92907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MDQQRKSSFCTMPDVYGAILDSTHLHKNLDLVFLAHHHTKDDLQLQIPDIRRPFTEFFRTREAGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSRKISGSFLEVIEKQGWQGLWAGNAINMIRIVPTQAIELGTFECVKRVMTSAKEKWSREDCPNVQIGGVKLSFSLSWLSPVALGGAAAGFVSTLVCHPLEVLKDRLTISPDAYPNLSVAVQKIYRNGGVGSFYAGLSPTLIGMLPYSTCYYFMYDTIKKSYCTAQKKKSLSRPEMLLIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMAAALSEVVREEGLIGLYRGWGASCLKVMPSSGITWMFYEAWKDILLGDDKHRQ >KVH92889 pep supercontig:CcrdV1:scaffold_248:258106:260078:1 gene:Ccrd_005062 transcript:KVH92889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MATKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDEAEEVSIKKKTRKALGLQDFAERGQHYPDDEFVWESSAEHLHEGRHS >KVH92914 pep supercontig:CcrdV1:scaffold_248:324888:334718:-1 gene:Ccrd_005071 transcript:KVH92914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MDASDKPLTDLIGTLKSWIPRRSEPANVSRDFWMPDQSCRVCYECDSPFTLLNRRHHCRLCGRVFCAKCTHNWIPARSSTSSIIREESEKIRACNYCFKQWQQGGLAASVDHGVQDSSLDLSTSPSAISLVSTKSSGTADSSSITFASVPQSVCSYHHNHYPPGLGSHQSVVMESNLEEQTELATTSNELLLDVGTQSPSHFGCCINRGDDFDEEFAYQLATGGRGLLQIDNSYYDELPLDDMDNDYGSHKVHPDGEAADAKSVSSSSLQTSFDSRVSEVVQPLEKREDARDVSDECEAPSSLYAAQDVAEPVDFENNGVLWLPPEPEDEEDEREALLFDDDDDDGDAAGEWGYLRSSSNLGSGECRNRDRSNEEHKKAMKNVVDGHFRALVSQLLQVENLVASEEDDKDSWLEIITSLSWEAASLLKPDTSKGGGMDPGGYVKIKCLASGRRCDSVVVKGVVCKKNVAHRRMTSRIEKPRFLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHQPNVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYSDIFHVERFEEEHGTAGQGGKKLVKTLMYFEGCPKPFGCTILLRGASGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLNSSLTVALPDKASSIDRSISIIPGFTTPMAETSQGHQSCAEPPQLNSVSMSAMFSSIISQKSEVIQLSVGPNAPNIQSTKPCSNTGSSATFLCSVPSSKLDVSEATGHEPAPSRAPGDITHSSSEVVTSSSNSEQVGGDRHVGDGFEPPQAQSFHNSKCTTNVDHGPSEGFDGRNAKEDSATSKEEFPPSPSDHQSILVSLSSRCVWKGTCYTHRQGTLTISVKKLPEFLLPGEKEGKIWMWHRCLRCPRTSGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPHKLDFKYENQEWIQHEVAEVVSRSELLFSEILNALSQMAEKRHVTGSANGNTKMPQSKRQIADLEVMLRKEKTEFEVNPALLELLHKILNQEVKKGQPIIDILEINRLRRQLLFQSYVWDHRLVYASSVDSNSPRADLNDLESEHGDKPNETLVDAKLAADSVDGCETTTVKLIHNQENGTGVDISQPEGISKETEVDVNSSPVEENESALSAGINNCEQSDPLISNVGVRRAISEGQFPVLASLSDTLDAAWTGNHPGCGFQKDTASVLSDSDLTDSSVADKLDKGDRVEEQGGTKASLLSPVWSTKGSESMEDSTSWLGMPFLNFYRSLNKNFLASSQKLDTLNGYNPVYISSFRQSELQGGARLLLAVGINDTVVPVYDDEPTSIISYALLSPEYIAQVSDEFERPKDGEPIFSSQSVDSAAFQSFSNFDGLTLESFRSLGDDSILSMSGSRTSLMSDPLSQTKSLHSRVEFTDDSPLGKVKYAVTTYYAKRFEALRRICCPSEMDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESIGTGSPTCLAKILGIYQVTKHMKGGKETKMDVLIMENLLFGRNLSRLYDLKGSSRSRYNADSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASVDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSPNLVPSKSQTDICEDNNSQSGSCAAD >KVH92894 pep supercontig:CcrdV1:scaffold_248:176208:185295:-1 gene:Ccrd_005054 transcript:KVH92894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPLGVGQSQPQQQQQPEQPPPAKVVERLNPAVQQQLNLESVKTRAISLFKAISRILEDFDLIARTNSVPKWQDVLGQFSMVNLELYNIVEDIKKVSKAFVVHPKNVNAENAAILPVMISSKLLPEIEADDNSKREQIDMIGAACESAEKVIADTRKTYFGTRQGPTNILTLDKAQAAKIQEQENLLRHAVNHGQGLRIPMDQRQITSALPAHLVDVLPVGDSVQTISESSGMYLKNTPPMSSNAVNNQGTLLQNQLSQLHDLQGQAQQKYQLHGQNQMQFSQSLGAQQFQGRQLPSGAIQHGIAQSQLNQGNQLTRHLNQMSSTANSALFNAAQATPNNQMFGLSGANRTLGSQNLNDQVFNMGAANPTTMLPIQQQQQQQQQQGGFGNMQQNTQNLQPGMVPMQQNPQQNHNNFQQHRQNQQ >KVH92885 pep supercontig:CcrdV1:scaffold_248:297364:303349:-1 gene:Ccrd_005068 transcript:KVH92885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGKKSMKKIKGADGDKNISGGISETLKPQKHSNHQEASTPRTSFLREQVDPETAKYFSEIANVIEGTEVDLEERSSICGNALEETRGKEVELATDYIISHTLQTLLQGCALDQLCTFLQNSSKDFPRISMDKSGSHVAETALRSLAAHLQESDTQALVEATLNDICQAIVANPVEVMTNCHGSHVLRSLLCLCKGMPFDSSDFHSKKPSAALAQRLNFKSSRSDGSGSQGLQLGFPDMLKFLVLEILKAARKDIEMLQVDQYGSLTALKLLVGYDQELLHAIPVILGCNGVDASEGNLIDNNVVKRLRSLMKEAAFSHLMEVILEVAPEPLYNEIITKTFRNSLLEMSSHYCGNFVVQALISHARSEDHVELIWKELGTKFKDLFGMGKSGVVASLIAASHRLHSHEHMFCQALISAVCMENEPPRCIVPRILFLDNYFYCKDKSNWDWPSGARMHVIGTLILQSVFRLPSEFIHAFISSMTSLEEDHLLDTSKDSGGSRVIEAFLNSNASGKQKRKLVVKLKGHFGELSMLLAGSFTVKKCFDVSSVALREVIVSEMYAKRPDQWKSRQTSRESAYNDFISTFGSKETTSSKYDASFTENRPKSQQEKLKDMRKEIDTHLTSSCSPFLAHKPFKMSGQKRHSDGTERGAGKFTKHTMEDDTGKSKQKSHRKRKHDG >KVH92913 pep supercontig:CcrdV1:scaffold_248:323430:324606:1 gene:Ccrd_005070 transcript:KVH92913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGSSPLFLIHHPPHTIKKTSTRISMLATQNTSSSLIQIGSSINIQKVFEDKSRGIVCYLDDKGEITCEGYDEGPRFATLHHHQTFSPTYNQSTSQQDILRLLNRSLLLQVIDAAAAAAAGNPN >KVH92910 pep supercontig:CcrdV1:scaffold_248:167479:172045:-1 gene:Ccrd_005053 transcript:KVH92910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAEPDSKKKEMVHSPILTYFSVITLLSTCPPFVILLWYTMVYLDGSLLNTFNYLKENGFEGFVNIWPKPTAIAWKIIGCYALFEAALQLWLPGRRVEGPISPTGNKPVYKANGVAAYVVTLITYISLWWFGIFNPTVVYDHLGEIYSALIFGSFVFCVLLYIKGHLAPSSTDSGSSGNIIFDFYWGMELYPRIGMNFDIKVFTNCRFGMMSWAVLAVTYAIKQYETNGKVADSMLVNTVLMLVYITKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGIQLAISILIAGILCIYINYDCDRQRQEFRRTNGKWGLSRHFHYVPEILAAFFWTVPALFNHFLPYFYFAFLTILLFDRAKRDDDRCRSKYGKYWKTYCTKVPYRIIPGIY >KVH92908 pep supercontig:CcrdV1:scaffold_248:152872:157680:-1 gene:Ccrd_005051 transcript:KVH92908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MDQLNTNNAENEELLGRKRRKELGSVVIGKGKPLIVGMCATLVYYHCAYRNSSIVSLLSDVFIVLLCSLAILGLLFRQMNIQVPVDPLEWQISQDTANSLFACLANTVGAAESVLRVAATGHDKRLFLKVVVILYMLSALGRWVSGVTVAYAGLSLFCLYILAENSSFFSTCSSKFCWRRDSPNDVPYYYDRIPAGYGPIV >KVH92917 pep supercontig:CcrdV1:scaffold_248:122272:124107:-1 gene:Ccrd_005045 transcript:KVH92917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MEPPENDSQTAQQIILRWDSTASQLPMIFQGDRQEIDRYLQAVDQIQTSIQSTTLSEDDGVDSQSTNAIQIAMARLEDEFRNILITNSTPIDTESLTESVSSAHLTPRTSTDNDEYTTRGEDEALPRDPSSSLLQRIGSSNKFVNCRSMNSIREQDLIPPESISDLRCIAQRMITAGYFRECVQVYGSVRKSVVDGSFKKLGVEKLSIGDIQRLEWEALNAKIVRWIRAAKVCIRVLFASEKRLCKQIFEDLGTAADDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDSLLDLLPDIDDVFDSKAAESISIQVTEILSRLAEAARGMLSEFENAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLGELIVSKPATASRLSGDSNSPDIDFTDHEGQSPLALHLIWIISILHFNLEGKSKHYKDNSLAHLFIMNNVHYIVQKIKSSQELRDMIGDNYLRILTRIFRQAATNHQRATWIGVLHCLRDEGLHSTGSFSSGVSKSALRERFKSFNAIFDEVHRTQSLWLIPDEQLREELRISILEKLIPAYRSFLGRFRNHIESGRHPENYIKFSVEYLETAVLDLFEGYAVNQHSRRRSQ >KVH92904 pep supercontig:CcrdV1:scaffold_248:186972:191425:-1 gene:Ccrd_005055 transcript:KVH92904 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MEALSSTSLFFPAFQESFPKPASSLVINLSLPKTKLLAAVAREVSGDAEDDQQLHLHNVLDFSPQQPSSSTSSGLDKKSDLVTSPSETHGVGTGGGTKAGLFRTPISGGVQSATSVHDLPRPALAVRNLMEQARFAHLSTSMSRMHQRSKGYPFGSLVDFAPDAMGHPIFSFSHLGIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLAEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVNVKEYEALQPDEIAVNGGEQNLKELNAIFSKPLKELLSLESEVDDASLISIDSKGTDIRVRQGAQFNIQRLVFEEWDGIKTVEEAKAALWKLIKRGGVYRVHK >KVH92916 pep supercontig:CcrdV1:scaffold_248:126147:128826:-1 gene:Ccrd_005046 transcript:KVH92916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAIHFLLSPPFLILLFFILLFLFPEIIRAYMTDSDALLRIKKSLNDPQSLDSWKIGTKPCDQVIPWAGLVCTNGLVINIHLRSMSLSGHLDFTALSQMPGLRIISIENNSFSGPIPEFNKLGSLKALYLSMNQYSGEIPAGYFSEMTSLKKIWFDGNRFSGKIPSSIGELPNLVELHLEDNQFSGKIPAIGQRSLESVNLSYNNLTGEVPPGLARFDAASFEGNPGLCGAKFGTVCGDPIPKKPKPNEKPSKSTRIEYALMAVSLLILMLMIIGIFVLMKKKKKKDKSERNAMMGKDNLEGSVGLTICSISKPSAIPGQSSFGTGQTALITKKKAQVELMMLNNSKGRFGLADVMKAAAEVLGNGMLGSSYKAMMSNGMTVVVKRLKEMNMVDKEGFEAEMTRLGRLDHPNVLTPIACHYRKEEKLLIYEYIPSGSLVYLLHGEGEMRHSELDWHARLKIIQGIARGLGYIHSELAILELPHGNLKSSNVLMGPNYQPLIVDFGLHRMINTNHVANALAGYKAPEAIQSRQVSPKCDVYCLGIIILEVVTGKFPCQYVNSGKGGTDVVQWVRSAMHEQREGELLDPDMGAGSSRYVAEMKKALRIGAACTESDPGARLDIREAICSLENIQGGDESRIAMFPSFGDTYGDAASTISESSYKSWGGESKDGRNDSFGYHVS >KVH92919 pep supercontig:CcrdV1:scaffold_248:102750:113701:1 gene:Ccrd_005043 transcript:KVH92919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVAVEESEKTRLEGTSAIVITCSDSSKQIADPVVYKLARVEGDGRLVPATDDEVMEVEDLLDDKNSVHFVLDTGQTAVCTSNEDTFAQEFMPIDCEGILKFEDPSTQGGRSDGLVDTTVDLGKLNSQYEEIAPSRSTPVGEQIDQSGDRKQAELPLGSAESEPSTSTMCASWKPDFSKLKGEICLDNLSVRELHATFKATFGRETSVKDKQWLKRRISMGLSNSCDVSTTTFIIENDKVKKKGKVEDCNNKDEDYEEDHFAGSGGRNVSGDDISVSHTIQMDDHFSGSSKSVQSATSKLHIASEDDHQGAKRVRKPTKRYIEEVSELDCREYSGRLVSSVKSSGHGPRTRVRPIHNIQPVRRPLVTRHDSLGGSGVQIPYVSRIRRGRPRENFMALMKFQSCGMGMAARLVKKAHDGHDPQSDNEIENQELKARPVTGWIQQPLIEEPGENQQYSEQSADLNDDVDEGHMDSYADDSDDNILTVPTAKGGMRRKHHRPWTLSEVVKLVEGDKWRNLLRASFAQLPAEKGVGNSKKQSSSIPIPAPILLRVRELAEMQSQVSPDLKSVKYNNNNNNSGSTRNNNVNDIRSGFL >KVH92921 pep supercontig:CcrdV1:scaffold_248:94996:97416:1 gene:Ccrd_005041 transcript:KVH92921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MENKSTKKEINRGAWTAEEDEKLAEAIQIHGPKKWTAIAAKAAEMKEEDHITPIDEHGVECSKVIFNVDEFFDFSNEDPTTLDWVNRNRPTQNKTNNFEPEANGISSIVRLYASRPV >KVH92911 pep supercontig:CcrdV1:scaffold_248:234702:238413:-1 gene:Ccrd_005058 transcript:KVH92911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIDPRQIVAGVLTVTMFLMLGNMIKREHFDAPVSHHVNHAGSTQSVEDSEKSLAFDSAGDDPWKEEDTALKPCWSKPDLEEVEESKGFVTFSLTNGPEYHVSQVTDAVVVARYLRATLVIPDIRGSQPGDRRNFGDIYDIEKFISSLDGVVKVVKTQPSEITSKNLAVLRIPNRVTEDYISENIEPVFRSKGNVRLATYFPSVNMKKGKSEQEKERNSVGCLAMFGSLELQPEVQEVVDSMVERLKTLSRKSDGQFVAVDLRLDMLDNKGCQGNGDGSKKCYDPQEIAVFLKKLAFDKNSAIYLTQSRWDSSLDSMKDLFPKTYTKEGIMPMEKKPKFLGSDSSEYEKVIDFYICSQSDVFVPAISGLFYANVAGKRIGSGKTKILVPANIPPDASASVGNYISRYVSKKNHMAYSCFC >KVH92895 pep supercontig:CcrdV1:scaffold_248:239132:243982:-1 gene:Ccrd_005059 transcript:KVH92895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIESWGTPVGDPPEVRVCIQIQLGLPEVQLTSVKMFPPSLSSSSTRQFHSQIILSPCLHPPHLQASNLKLSNNRFPINPTTVSVRWVSTTVHSLHVSPWDDKPHQLLPGGQISYYDEMDVVSFLDPPKQLIPLDPSSYNPAAYLWKKIDDIPEERRHRLLALLNPRLISRAWEVAGTRYDNPKLAKQNSSILFAGEAGPLAVPWINYFKKALFRCEDGQTFGRVIDASVLRGLSHSFAPLYFTVREVNEVMATEQPCDVAYEFGSGLLDIQEYPQGETPMAVERPGCDIRAEYRGWCCGGTSMARRRRPEASS >KVH92892 pep supercontig:CcrdV1:scaffold_248:295237:295866:1 gene:Ccrd_005067 transcript:KVH92892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDPDDSGVMDLTRNIMLVSIAALFILTLFFLFLHLYSKWYSYRLRQTAIPDPQQRPLDASFLKIIQFHPNDFQHGLECAICLSQLQQGDKARILPKCNHGFHMECIDMWFHSHSTCPICRDQVSQQHSPTHDVTNHHQEEPASSSLHDRRIRPDLVIDIPAALSNQEEEEQQQQQQLSNRCSSGMRSLKKIFSPGTSSGSNVKSCPLTP >KVH92900 pep supercontig:CcrdV1:scaffold_248:27012:36017:-1 gene:Ccrd_005034 transcript:KVH92900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MEKNLSIDGGEEGRERWRRLSIGRSMEEKRVVSDGEEGCRSMEEKRPSIRFGQSELSSTTAVSLRHQLLGVADMGFSKEYEFLTEIGIEPKNSGSFVNGTWKGSGSVVSSVNPAKNQTIAEVVEASSQDYEEGMQACLPAPNRGEIVRQIGDALRAKLQYLGRLLSLEMGKILPEGIGEVQEVIDMCDFAVGLSRQLNGSVIPSEHANPSITLIYYDYVQMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVGLMVQQTVSQRFGKCLLELSGNNALIVMDDADIKLALVHEKIYDSVVDQLLEAYKQVKIGDPLDKGTLLGPLHTKASRENFEKGIKAIKSQGGKILTGGSIIESEGNFVQPTIVEISPSADIVKEELFEAVEINNSVPQGLSSSIFTRSPEIIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKGTGGGREAGSDSWKQYMRRSTW >KVH92912 pep supercontig:CcrdV1:scaffold_248:339426:349004:1 gene:Ccrd_005072 transcript:KVH92912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptojanin, N-terminal MAHQQDCIAHLPKLINSYLQKFRLYETRSNFYMIGRSKNRSVWRVLKIDRSEPAELNITEDSTTYTEIECCDLLKRIHDGNKSTGGLRFVTTCYGIVGFIKFLGPYYMLLITKRRKIGVICGHAVYAITKSEILPIPNSTVQPSVAYSKNENRSFVNSVCKCKMYLVLLRGCKAIRSQNYFLFICIFISIALNLGYKKLLCSVDLTKDFYFSYSYRVMHSFQKNLPTHETGHFLNETMFVWNEFLTSGIHNQLKNNLWTVALVYGYFKQVKLSIAEKDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPVQISSVVQNRGSIPLFWSQETSRLNIKPDIICKMSKKDHKYDATRLHFENLVKRYGNPIIILNLIKTKEKRPRESLLRMEFAHAIELINNDMPEENRLKFLHWDLNKYSRNKSTNVLALLGKVATYALNLTGFFYCQVIPNSRSEELFNCSRDDIGGHCCFQTENNDADSFKNVISHGSSGVSGDFSFKPSKFQTGVLRTNCIDCLDRTNVAQYAYGWAALGRQLHTIGYIDSPVIELDSALADDLMRIYEKMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHYQPEIGKPALWELDSDQHYDVGSRGSNFFMEKARYDAVAAFCLLILKKDEESEQQPYPDKAQSNTKALSESSPEISCESDSSYPRSKLLNCPKTKR >KVH92888 pep supercontig:CcrdV1:scaffold_248:260333:263359:-1 gene:Ccrd_005063 transcript:KVH92888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase, C-terminal MGDVGPFKGSAVHVFKKNLDLTGVIEHIMALDDVEIVDVAQDLDFATDLAADVVFVVAVDNFEGKEAAGGAVEDLVDGSAAAAADSIHAVEFRQVEGLLFRVGEELGGGSRGEGRGEREGEGNLGLTLGQGKELPSSSLSKMAAIAISFLMLFSLIASTLSHTQSPTFMAFVLNATALPPHDSFDYIIVGGGTAGCPLAATLSLDHRVLLLERGGPPHLNPNVMTQEGFIPTLRQVDPFHSPAQPFTSEEGVPNARGRVLGGSSAINAGFYSRADDQFYNRSGISWDPNLIERSYQWVEKAIVFEPELQSWQSSLRDALLEIGVQPYNSFTFKHSLGTKIGGSTFNSSGHRHSAADLLNYARETNIKVAVYATVERILFAPSDSPTSKENAIGVVFCDNLGRYHHAMLREKGEVILSAGAIGSPHLLLLSGIGQRNYLSSWGIPVVTHSPFVGQFVYDNPRNGISFVSPMLLQHSLIQVVGITDSGAYLEASSNVLPFPTPVHSVFMRPPSSPLYFSVASIMEKIIGPVSAGSLGLVSTEIRANPSVRFNYFSNPVDLQRCVNGTRKIGELLRSRAMDDYRFWGWSGGDFRFVGPGLPENQSSDVEMGEFCRRTVNTIWHYHGGCLVGRVVDENLKVMGVGSLRVIDGSTFSISPGTNPQATLLMLGRHMGLKILKERMQKTTI >KVH92887 pep supercontig:CcrdV1:scaffold_248:244124:253489:1 gene:Ccrd_005060 transcript:KVH92887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MASKLSSRSRGGAPVKPNSKETGPKLEENLNIFKSDKFDADGFVNSKCNSLNEKEIRQLCSYLLDLKKASAEEMRRSVYANYTAFIRTSKEISDLEGELLSIRNLLSTQATLIHGLAEGVHVDSLSITVPGGSSSNGLSPDVDRDPSDLENWLIEFPDILDVLLAERRVEEALATLDEGERVASEAKEKDSLSPVVLKSLQTAITECRQRLANQLADAASQPTTRGSELRAAISALKKLGDGPRAHSLLLQAHYQRLQSNMQNLRPSSTSYGGAYTAAISQLVFSVIAQTATDSNAIFGNEPAYSSELVMWATKQTEDFALLVKRHALASSAAAGGLRAAAECVQIAMGHCSLLEARGLALCPVLLKLFRPSVEQALGANLKRIEESTAALAAADDWDLSSSPTVTRLSGRPSSTSHNTISAYQHKLSSSAQRFNLMVQDFFEDVGPLLSMQLGGKMLEGLFHVFNSYVSMLIRALPGSMEEEANYEGSGNKIVRMAETESQQMALLANASLLADELLPRAAMKLTPVAQAIYKDDPRRRPSDRQNRHPEQREWKRRLASIVDRLKDSFCRQHALDLIFTEDGDSHLTADMYINMDGSIEEIEWFPSPIFQELYAKLYRMSAIAAEMFVGRERFSTMLLMRLTETVILWLSEDQTFWDDIEEGPRPLGHLGLQQFYLDMKFVISFASQGRYLSRNLNRVVNEIISKALASFAATGVDPYGVLPEDEWFGDICQEAIERLSGKPRLANGERDLSSPTASVSAQSISSIRSHGSS >KVH92896 pep supercontig:CcrdV1:scaffold_248:1740:3035:1 gene:Ccrd_005032 transcript:KVH92896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVGRHQYRPARENPSFSSSLLDEIYRSIDERDDQELMVYRKTTREKQSNYSINGCFQNHGDAQQDIAGIGRNCLIEKWMDKEVCEKVVVRRRSAADFKKSSQQREGDSLYFHSSSSSSDSSCGGGFSSSEAESVYGTSSRPKPIHTGTDRHEEYNRRGKQRNTYGQNYQLEVEDLQSKPKHEGKFVKTKSRAMKIYGDLKKVKQPISPGGRLATFLNSLFTNGNTKSTKLASSSTTGYDNARSHMDRKSKSANASTCSSASSFSRSCLSKTPSSRGKLSNNKIRSVRFFPVSVIVDEDCQPCGHKSLYGEESNLQSVKFVKNTITEELKMHSSEKNRRIEEAARNLLRNYQKKVECEFDLIRNSVKSHNEIDMNYEEDDDDDAASHTSSDLFELKNFSAIGMEKYRDELPVYETTHLDTNLAIGNGFLM >KVH92918 pep supercontig:CcrdV1:scaffold_248:115424:122144:1 gene:Ccrd_005044 transcript:KVH92918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEDFSTSVDMGLRLSKRIYYGKDERNSMSAPKPVLMTKSSSLRSSTSSSRLPPDQHHATAPMVYAVITEPDVVDNPDIRSYQPHVFGRCNPPALIPLHMHGITMNVDCYLGTAFVTGSILEVEAETTKRSYFTKFISPGDDQKDSDRVTKAKDGFMMKRNTYTLKIPQVEGGSLIHVKVRWSQKLQYQNDEFCLNVPFTFPRYVLPFEKKIPVTEKVLVNVDSATGTVITCTIASHPLKSPSLQDRDQREMFCFYLFPGTNNVTKVFRKEVVFLLDISGSMRDVPLEKSKYAIEASLSRLNEGDSFNIIAFNEGIQSFSSSLELATEEAITNATEWMWKKLYAGGDSISDRMERLFDNAFSPIVANITLDALENLKSYELYPSRIPDLSSGSPLIVSGRYHGKFPEIVKVRGFLADLSTCEIDLKKCSKKMNQKMILLRSVCVGFGNLKATATNLPPGIEDVKLNDAAKMVMKAASSCWGMFSDWYCWRCLLQAWSRVKNEQCAIAVAQLCTALACLESLDFCCELCDSCIDLCQ >KVH92909 pep supercontig:CcrdV1:scaffold_248:158020:166974:-1 gene:Ccrd_005052 transcript:KVH92909 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, alpha/beta subunit, N-terminal MPSAYGARLTTFEDSEKESECGYVRKVSGPVVIADGMAGAAMYELVRVGHDNLIGEIIRLEGNSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDILWEFQPKKLGEGDLVTGGDLYATVYENSLVEHHIALPPDAMGKITYVAPPGQYSLKXVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERNGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEKFDSDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERGAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEDVLIGKFKKLNEDLTSGFRNLEDETRHFVSCC >KVH92924 pep supercontig:CcrdV1:scaffold_248:134574:135859:-1 gene:Ccrd_005048 transcript:KVH92924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MISASSGLCFPQSPIFFNRLTTLTQQPPSISVSNQQFQPTRRELFNFSIFTIIINAPSKCSWAQAEELLHLQRYTDTNQGFTLLIPTSWIKVEKAGATVLFEDPNKGGNNVGVVVTPVRLTTLRDFGDPQFVSNKLIQAEKRKESTKDVEIVSFKERGGEIYEFEYKIDSTRGGIKRIFSAAFVASKKLYLLNIAHSDNPQQPITDQTRMMLEQVLHSFAPATTPQI >KVH92905 pep supercontig:CcrdV1:scaffold_248:217871:222918:1 gene:Ccrd_005056 transcript:KVH92905 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MDASFSNKRHFDHSTLDPHLTPTSSTKRRHLQSSPATPKGETLFRLLCPATKTGGLIGKGGAIIRQVREETGAKIRIDDSSHGSEERVILIIAADSTSKNRDGNANNNNEDSNSEESGNSNFEESPAQKALLKVFERILKVDEERSKMSKEESDHGEDNSAQGSGGIPQGPVVCRLLAASNQIGCVLGRGGKIIEKIRQETGAQVRVLPKDQLPDCASPGDELIQMAGKFLAVKKALLSVSSCLQDNPRADAANSGSNRPMGLMPHGYGTEGVGNHHRMAMEEEVMFRLLCQVDKVGSLIGKGGSIRRALQTETGATIKIADSAPESDERVVILEQRHSPAQDAVIRVHGRISEIGFEPGAAIVARLLVHSRQIGCLWGKGGMIISEMRRITGASIHIFPKEQVSKYGMPNDEVVQVIGSLQSVQDALFQITGRLRETMFPMKYFSNVNGPAYMAPYPEMPPPSFRPRHDPASSPGYPSPIGHSHNPDRVAGQGPPFDPTPLYFHGDHPRPTYFDRNSYPYGNERAGYGHRQPPPGRWTSQSGSNRYGGEVGELEGGLPKVNDSSGRNETGSIRVEIVIPENLFGCVYGEKNVNLGHIEEISGAKVGGVMEGGKLVLSGTSDKTHTAQCLLHGFILCDHYMVS >KVH92906 pep supercontig:CcrdV1:scaffold_248:223894:236354:1 gene:Ccrd_005057 transcript:KVH92906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MARTRSSSSIRWRYLNPAYYLKRPKRLALLFMAFVFVSFFVWDRQTLVREHEEELSKLKEEMDRLQNELGELRHEGGVPVKKMNTTTKRTDVTKMDGLATDPIEDQRREKVKDAMIHAWTSYEKYAWGHDELQPQSKNGVDSFGGLGATLIDSLDTLYIMGLDEQFQRAREWVANSLDFNKNYDASVFETTIRVVGGLLSAYDLSGDNVFLEKAKDIADRLLPAWDTPSGIPYNIINLAHGNAHNPGWTGGDSILADSGTEQLEFIALTQRTGEAKYQQKVENVILELKKNFPADGLLPIYINPHRGTPSHSTITFGAMGDSFYEYLLKVWIQGNRTAEVKHYREMWETSMKGLLSLVRRTAPSSFTYISEKIGNSLIDKMDELACFAPGMIALGSSGYGPGDSTKFLNLAEELAWTCYNFYQSTPTKLAGENYFFHSGQDMTVGTSWNILRPETVESLFYLWRLTGNKTYQDWGWNIFQAFEKNSRVEAGYVGLKDVSTLSIILKSARVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVISLDEWVFNTEAHPLKIVSRGGNSKPNIRLRGFITRPKKINKIEVDDHSQRAVTTALWCNFLVFSLKFGVWLFTSSHVMLAEVVHSVADFANQALLLYGLRSSKRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVNGVQNLWTSQPPGNIMYAALVIGGSFIIEGASLAVAVHAVRKGAAAEGMKVRDYVWRGHDPTSVAVMTEDGAAVTGLLIAAGSLVAVNLTGNPIYDPIGSIIVGNLLGVVAIFLIQRNRHALIGRAIDDHDMKRVLEFLKNDPVVDSVYDCKSEVIGPGFFRFKAEIDFNGAILFQDAAKEKDETEMLKVMSFYGEEVVTALGSEVDRLEKEIQEIVPGIRHVDIEAHNPIIPPP >KVH92897 pep supercontig:CcrdV1:scaffold_248:19561:20496:1 gene:Ccrd_005033 transcript:KVH92897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1677, plant MSTTVMSDAMVMPAMESPPLATKLIDQTADVEFVKCDCCGLTEECTPGYIERIRERYKGKWICGLCGEAVKDEIVRNERLISTEEAMARHINFCRTPISSGPPPDPAVHLIAAMRQILRRSLDSPTSVRSMPCSPTTKNTDAAGLTRSESCISSLALTSGSSSYHESGDDSDRKNQTP >KVH92903 pep supercontig:CcrdV1:scaffold_248:83734:85338:-1 gene:Ccrd_005039 transcript:KVH92903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MSETMFFGEPDPDLNSGHILDWLEDSIPYLPSLLDDLYGSTDIINDPWWVPTQELEQDLIDANNTSTSNSVENLTSSVSSPTITAPTDLLILSNEPQLPVSDLSKKRKAPEDHMPQPAPQGKKSTGNKKGTGKSTKDNCINGNNKEGRWAEQLLNPCAAAITSGNVTRVQHLLFVLHELASPTGDANYRLASHGLQALLHHLSSSTNSPTKVLTPAISFDTATPKFFQRSLINFNDINPWFTIPFNIANNAILQVLSEHDHGSRRNLHILDIGVSHGFQWPTLLEALSSRPGGPPPLVRVTVVPPTLENHQLPFANCPPGYNFASYILRFAKDLNINLQINRLDNCPLQNLNTHIINSSPDETLIVCAQFRLHYLNHTKPDTRTEFLKLMRSMEPQGVILNDNDMDCSCSNFDAGFSQSLDYLWSFLDSTSVAFKGREMEERKLIEGEAAKALINTFEMNEKKEKWGERMRGVGFLENAFSEDVVDQARALLKKYDTHWEMRVEEKDGSVGLWWKGQSVSFCSLWKIDAKTSDS >KVH92915 pep supercontig:CcrdV1:scaffold_248:128762:135859:1 gene:Ccrd_005047 transcript:KVH92915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 11 EEEDEEEEDEKGRWRAVASWTWDAHDETCGICRMAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTPQAHCPMCRREWQFKE >KVH92886 pep supercontig:CcrdV1:scaffold_248:253040:256199:-1 gene:Ccrd_005061 transcript:KVH92886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MASMVGKITRTCFSIALQDFYQQHTNTTTIIVPNFRDSKQDNVQAASAAIDLLKNLQVIAIFGPMKSSQADFVTDIGNKSKVPIISPATTPSLSPNRNPYFIRSAPNSSANIEPITQFIKSFAWKQVVFVYEDGDYGTSFIPCLSDAMLTIGAKVMYRSIVSPSASDDWILQELYKLKTMQTRVFVVHTLPALASRFFKKVNEAGMMEKGYVWIITEVVTSQLHTLDIDSMQGVIGVKSFIPRSYRLINFEKRWKTRFRSQNPEVDVIAPDMFGIWSYDTVFALAMAFEKVASDQIDMTFHREMKSSTTDLDAIGTSEMGPKLLPWIRNLRFEGLSGNFHIVDGQLQSYVYQIVNIIGKGEKPIGYWTPGNAISKTLKLTTNKQLKDELGAITWPGDTHLIPKGWEIPTSNENKLRVGVPAKGGFVQFIDANFDPQTKKVVATGFCVDVFKAVVDALPYAVRSEFIPFVTPDGTRPAGSYNDLVYEVFRGKYDAVVGDITILANRSEYVDFTLPYTEAGVSMIVPIKVDERTSAWIFTRPLERDLWITTGVFFIYTGVVVWILEHRVNKEFRGPPHQQVGTIFWFSLSTLVFAHKEKLKSNLSRFVVAVWVFVVLVLTSSYTASLTSMLTVQQLQPLYTDIHEIMRNGESVGYQDGSFVAGMLIGMGFDGSKLKSYSTFEEYDNALQIGSKNGGVSAIMDELPYIRVFLAKYLLQVTEEQMRNISNQWFGGAAGCDEQKGAKVTSDSLTLDSFKGPFLIAGLSSTSALIIFLLMFLYENREKLMSEGSISQKLAAIAKTFDNESRKTKEVVDIDKSPAISVYHQEAVVFCHDEGGSSTTEPGTPLTAIET >KVH92899 pep supercontig:CcrdV1:scaffold_248:62721:72977:1 gene:Ccrd_005037 transcript:KVH92899 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDSTHHWPYYASAWLAALALILISRRLRRSRKLNSPPGPKPWPIIGNLNLIGSLPHRSIHDLSQKYGDIMQLKFGSFDVVVGSSVEMAKVFLKTMDVNFACRPKTAAGKYTTYNYADITWSPYGPYWRQARKMCLMELFSAKRLESYEYIRVEEMRSLVKSIFDSAGVEILLKDLLSTVSLNVISRMVLGKRYLDESVESNSVISPDEFKRMLDELFLLNGVFNIGDSIPWIDFMDLQGYVKRMKTVSKKFDRFLEHVLDEHNEQRRAAGESFIATDMVDLLLQLADDPNLDVKLERNGDLLAGGTESSAVTVEWAIAELLKKPEIFQKATEELDRVIGKDRWVQEKDMPNLPYIEAIAKETMRLHPVAPMLVPRRAREHCKVAGYDISEGTRVLVSVWTIGRDPRLWERPEEFCPERFIGKEIDAKGHDFELLPFGAGRRMCPGYSLGLKVIEASLANLLHGFNWKLPSTTTKDDLNMEEIFVACLATVALILLSRRRRRSRNLNSPPGPKPWPIIGNLNLIGSLPHRSIHDLSQKYGEIMQLKFGSFNVVVASSVEMAKVVLKTMDVNFACRPKTAAGKYTTYNYSDITWSPYGPYWRQARKMCLMELFSVKRLESYEYIRVEEMKSLVKSIFDSAGEEILLKDLLSTVSLNVISRMVLGKRYLDESDESNSVVRPDEFKKMLDELFLLNGVFNIGDSIPWIDFMDLQGYVKRMKAVSKKFDRFLEHVLDEHNERRKAAGENFVATDMVDLLLQLADDPNLDVKLERHGDLLAGGTESSAVTVEWAIAELLKKPEIFQKAIEELDRVIGKDRWVEEKDMRNLPYVEAIAKETMRLHPVAPMLVPRRAREDCKVAGYDITEGTRVLVSVWTIGRDPQLWDKPEEFCPERFIGKEIDVKGHDFELLPFGAGRRMCPGYSLGLKVIEASLANLLHGFMWKLPSTMTKDDLNMEEIFGLSTPKKIPLLTVAQPRLPLEMYRF >KVH92890 pep supercontig:CcrdV1:scaffold_248:268582:274359:1 gene:Ccrd_005065 transcript:KVH92890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MISNLTLFFCLLWAASILYGEKFAFRLPSYFTCSWPSPSSSPSPSPTMDTINNPGDYVKIAVVTDPQLMDRTSLHLAPKSLTLEIVQFYTDIYMRRAILASIMPFKPDMVLFLGDYFDGGPIISDEEWQDSLNRFRHIFDLKTLERTTNNQVYFISGNHDIGYAAYHSQMPEVISRYEKVFGSRNYRFTAGEVEFVAVDAQTLDGHPQQNQTSAAWKFVNSVSRDSLSPPRVLLTHIPLYRQDWTSCGSQRSSSVINQRISRSTDDQEIVYQNYLTEETTKKLLDSIKPIVVLSGHDHDQCTVTHIAEHGSVVEQTLGTISWQQGNLYPSFMLLTARKATFSNASSLADAVSTHVCLLPVQTFIYMWYLFLFVLTLLVVLLWPNGLVIHRHVGDFMSHMLGIFKSSTKEKDEDGNCDYEMVWDAEGSMHLIKKASKIAPTRANERVERGNATMRSTAKKQIIQEINLSMPQDISGQLGPDAAVKLGPSKVNKSNVRLVIQRLLRAFQVLSVVAAVNLPIYIMLLFSDWIDI >KVI03036 pep supercontig:CcrdV1:scaffold_2482:64391:72414:-1 gene:Ccrd_018670 transcript:KVI03036 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAPVSLPPGFRFHPTDEELVAYYLKRKINGHEIELQVIPEVDLYKCEPWDLPEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQSRAMGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETASGLQDAYSLCRVFKKSLNAPKATTTGDRYATAASDHSSCIDLYSDGGRGGEDMETCNYPTPPMASTIIHGSNGIISDRSDVKWTQYLSEDAFTFTNPSFPNCGNLPYPPSKVDITLECARLQHRLSLPPLQVQDFRHHGTSNYIENVPQSSNGPTMGSQQDLLHEILSVAQVSINQDEWVGSFAAGNDNDFSFLANNSNQMQDVGSFRFMGDDQNARSIEIGGLDEQMEPDRMVENLRWVGMSTKDLEMNDLHDNFLDDCDFSTNNPTLETYEKTEISHGLLVSTRQVSDTYFHRMVPSQTVKIQVNPGTLATHETKQYKNSFETVAGSSKVINKSNSKETTTNPLTNLVSLLLICCIYPSMADNYEEDDAIKMKKEQGSWRMLKNVVIWPACVTLALAVSTIWMHHNYLPNFS >KVI03037 pep supercontig:CcrdV1:scaffold_2482:24347:27848:-1 gene:Ccrd_018669 transcript:KVI03037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene/phytoene synthase MSAALIWVVSPNSDVCNGLSFLETTRVLDSSRLFAKEKGVLRSDRRRMKNVKCYSCFHELGFLGSNKNGRRSDVVSRRVVANPSGGLAVSSEQLVYDVVLKQAALVKEQMRSREEDMEVKPDIVLPGTLGLLNEAYDRCGEVCAEYAKTFYLGTLLMTPERRKAIWAIYVWCRRTDELVDGPNASHITPKALDRWESRLEDLYKGRPFDMLDAALSDTVSKFPVDIQPFKDMIDGMRMDLKKSRYENFDELYLYCYYVAGTVGLMSVPIMGIAHESQAPTERRVYLPQDELAQAGLSDDDIFAMKVTNKWRVFMKKQIKRARTFFDQAEEGVTQLSSASRWPVWASLLLYRQILDEIEANDYNNFTRRAYVSKPKKIVALPVAYAKSLVSPSSRKLEVTTG >KVH94087 pep supercontig:CcrdV1:scaffold_2484:1603:13773:1 gene:Ccrd_003861 transcript:KVH94087 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1771 MKHPKKKKRPRPPISKSKQTAGCQIPTPGQIDGHSGGLNALTEGIASFSIEEAMAFSNRDDAAADIAGNLTETASSSSANRDDDAAEIFGNLTETASSSSGSSGLSNYDGYDRRIGGKVTKGSRGNKVIAATGMVSTVIGKDYVPTSNRKRGISKWKGSGDGAVSHEDAEQFLCSMLSDDCELGMDLVKDVLCQSAYDVEKALDILLELTAPPSEHSKSGEYHNLNARSKDTRSLLESSNSGNVPYMGCNDRNYFDVLANCGTQHPSVPKTPSELTQDVLESLFNTRKSSKHEPDSMNWRNVVKKMESLGQMFDCPSDDTVEKQHAATAYANGKREHAAYLSDQGRMYNEKARQADQRASQDIFSSRNKNIENVITIDLHGQHVKQGMKLLKLHLLFGAYLCGCSELSQDVGVTDLGSQSLNSRLLIC >KVH94081 pep supercontig:CcrdV1:scaffold_2484:26023:31696:-1 gene:Ccrd_003858 transcript:KVH94081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 MKLVQGVLRSLAVGISPSCQGFSITRTLATSSASVIETDANTNSSETLEEFDRSIFGDIRDSSLTSRSFFQKLDQQKKALDRSAINSRLFGSWRPGSVDGLDESFTTLSDGMDGKLKDAASYFEYDVDEVNKDDYKFRSDVTFWSGNTYDAKDLDLTKPGVRKPSRRPEFEVTTEEVLQKADFRVSNFAAGIDIQLEDISTYSASALTLEDEEGGFCFLILEGGCGGFANVRFLANFITEAGVIIKRSKTKISAKAQRKVAREIKTARAFGLMPFTTMGTKHFVYGRTMQDLDKDYEYETYGHNFDHCSITPPPK >KVH94088 pep supercontig:CcrdV1:scaffold_2484:15156:18807:-1 gene:Ccrd_003860 transcript:KVH94088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPSAALLCSLLLVGTLILTEAKKSDKDLKEVTHKVYFDVEIAGKPAGRIVFGLFGNTVPKTAGEKGIGKSGKPLHFKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGEKFNDENFKIKHTGPGKFQFIRIQQIAGLDGRHVVFGKVLSGMDVVYKMEAEGTQNGTPKSKVTIADSGELPL >KVH94084 pep supercontig:CcrdV1:scaffold_2484:41441:43339:1 gene:Ccrd_003857 transcript:KVH94084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MCTSDLVVGLSNGQKLSNISILLELSAADDLEGFRLVVDEEGYDVDDSGLWYGRSIGSKKMSLEERTPLMIASMFASKQVLKFILGLNRVDVNKACGSDRATALHMAVSGGSSSSIEVVKLLIDASADTSCLDVNGNRPIDLIPLVFGSSFNSKRKFLELMLNGYAHGEELCLRDPQKYHYSCVPCPEFRKGSCRHGDACEYAHGIFECWLHPAQYRTRLCKDEVGCSRKVCFFAHKTEELRPLHPSTGSAVLSPRPMSLKLSDMSSISPFSLGSPSIMIPPSSTPPITPGASSPGGGPLWLNQPSPTLKVLGSRFKTTPNSQDLDFEAEMIRVDRYRQQQLMDEFAGLSPPSSWNNNGFLGDRSEDYNISTGSNHKSLELLSSADHTILSQLQGVSLESRIAQLHQNMNYSGLPSSPLRMSSPSSLRPNQIMHSRSAAFAKRSQSFIDRGTVNRQSGFPSPVLSSMAPSMHSDWGSPDGKLNWGIQKDELNKLRKSASFGVRRSPDSLLIPNNQEPDSHVNNVTFDEEQFPPWVEQLYMEQEQLVA >KVH94086 pep supercontig:CcrdV1:scaffold_2484:60472:68913:1 gene:Ccrd_003855 transcript:KVH94086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MQQSPSPESYPPCFRQNHLSNQIHSNHVAEINHDREDPLPVIDLDRIDLRKLNEACTDWGIFRLINHGIPSSLLSELHEHTVRVFDLGYESKRNLFSSIPTSSISYFWGTPALTPAGDALYKPNTHHEKDDSKNYNWVEVEMTISIKEWTEFWILLEEYGAHQARVAKSVFDSMLRNLKLGEENECCLSPSTGILRVYRYPRCYSDNPPKVWGLDVHTDSSLVTILNQDEVGGLQINSEKDDAWIDAKPIPNTLLVHLGDMMQAISDDKYKSVKHRVKVHKDKERISIGYFVFPGDDYVIRSSIYEPFTYSDFRTQVQHDIKALGAKVGLSRFKLNKDNDF >KVH94083 pep supercontig:CcrdV1:scaffold_2484:51910:55938:-1 gene:Ccrd_003856 transcript:KVH94083 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHF5-like protein MLACNLNSSSSLSTILFLQHLLYLEKEENSECLMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KVH94085 pep supercontig:CcrdV1:scaffold_2484:72852:76294:-1 gene:Ccrd_003854 transcript:KVH94085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGMFSFVLLDTRDNTYIAARDAIGITPLYIGWGLDGSVWISSELKGLNDDCEHFQAFPPGHLYSSKTAGFRRWYNPPWFSEAIPSTPYDPLVLRGAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARHLSGTKAAKQWGAQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKSTSAWGLETRVPFLDKEFINVAMSIDPEAKMINMEQKRIEKWILRRAFEDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAKLHVNIPDPLVLGFLFNNTNPLRYLQVTDKMMLNAEHIFPHNTPVTKEAYYYRMIFERFFPQNPAKLTVPGGASIACSTEKAIEWDASWSKNLDPSGRAALGVHNDAYKQNAVPVASANIMDKMPRMMDIATPGVVIQS >KVH94082 pep supercontig:CcrdV1:scaffold_2484:21263:25661:1 gene:Ccrd_003859 transcript:KVH94082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MLVVFPTNSVQSLIFDFYIDSTQGNGDQPQIMGLFARKTNRNPTSSPLSPFLVFFSSSFSLPSSSLSSLWHFVEQVDNLATQTKTIVGYNLKPTPWHEFPTKKFNNETKIARASKIIQCSYLSCRSHGLHSTTRILYADLMEVKKFASFRVVIIGGKLYLEYYYDCVQGRAMFMIWGLLQLLKRHPGRIPDVDFMFDCMDKPLIQKDASTKPMPIFRYCPTPDHYDIPFPDWSFWEINIAPWQEEFQSIKQGSQQQRWKKKHPYAYWKGNPDVISPTREALLMCNDTKHWGALIMRQNWTQEQLDGFKQSKLSNQCNHRYKIYAEGYAWSVSLKYILSCGCVPLIINPKYDDFFSRALFPKKDYLPISPDNICPSIKTAVVWGNAHPAKAEAIGKSVQDFTERLNIDRIYDYMYHLIVEYAKLLDFKPTRPLSALEECAESLYCFADGHQTRFLARSATLPSQSSPCNLPQQANRQVDKFIEEKSKFINSTQLLMQLISLQTNL >KVI00661 pep supercontig:CcrdV1:scaffold_2485:72039:76016:-1 gene:Ccrd_021091 transcript:KVI00661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAVAEIFLTAFITVLFEKLASVNVIELARSEGIDSQLNKWNKTLSQIQAVLADAGQKQIRERAVQLWLHDLQCLAYDIDDLLDDLATEAMRRQLNEESYASTSTSTSTSTGKVLKIMPTCCTNFTPHTIMYGGQMSSKLDEITTKLHDLVEEKNILGLNVNVERSNGGKSRRSEETSLVDESIIVGREGDKEALLDILLVNEAYHQNVSIVCIVGLGGIGKTTIAQVLYNEEKVKDHFELMSWVCVSEEFDVFNISKAIYKDVGGDNKKFETLNQLHEALKEKLSKKRFLFVLDDVWNENYKEWELLQRPFLVGATGSKIIVTTRKTKVTSVMDSVKAYPLEVLSNEAALSLFAQHALGEQNFDKHPTLKLHGEGIVYKCGRLPLALMTLGRVLRKRENDEEWKELLNNEIWNLPNESQILPALRLSYYDLPLHLKQLFAYCCLFPKDYVFDKNELVLLWMAEGFLQESNANKAMESFGREYFEELKSRSFFQHLANDESRYTMHGLINDLAMSVAGEFFFRSDDKMDNKASEKFHHFSFIRQHYGVYRKFKALQRATRLRTFLSISLSNRVGSWGSFFLSNKVLVELLPQLRFIRVLSLTDYSIKEVPQSIGCFKHMRYLNFSRTDITCLPNQVSDLYNLQSLLVCGCNELESLPDSFVKLINLRHLDISDTRLLNKIPLGIGRLTSLQTLSKVIIEEANGFKISELKGLLHLRGQLYIKGLHNVRDGVEAKEADIQQKKGLDDLEMEWTNNFDVSRNEMNEYEVLEGLMPHSNLKNLKICFYGGTKFPSWVGNISFFGLTHFTLHGCRSCTYLPTLGHLRSLRKLFVEGMNGVKTMGSELLGPSNSCLGIAFPSLEVLEFKDMQSWEKWSTGGTIGSFPCLREISLVNCPKLAEVKIDLIPSLRILYIGGCSEEVLRSMVGVSSSILRLTMWDIKRLTQLHGEVSKQLEALEDLHITSCNELRYLWESDSEACKFLVSLRKLEVKFCKKLVSSGKKEVDSRVSMESIREVIFHGCEGLERYYCPNSMQRLKILKCPLMTLLTFPEPQDVPSTLKFLSIDKCENLEESWLLNNFLSSLEILIIWSCANLRSRSFSEGCFVHLTTLQIWNCDNIESIPDEGFGFLPLFCLRYLRIYKCKNLKSFPHEHLQSLTSLEDMWIHDCPSMSYSFPCGSWPPNLRSLRIGCLSKAMSEWGQQNYPTSLVELYLYGGKNSGVVSFAKPEDVRNSNNTTSSSFLLPPSLTSLEIKGFMDVESVSKGLQHLICLEKLHIASCPKLRDLPEKLLPSLSALSVRNCQKLEKKCRGGKAKYWPIISQIPLLTIYGS >KVI00660 pep supercontig:CcrdV1:scaffold_2485:53016:63411:-1 gene:Ccrd_021090 transcript:KVI00660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVNEHPASRADRTETMAGLRTLDFMTTPFYLRSSRLAPFSLHPSLLLQVKNKFHSLRSHHSFRSSLPCSLSKNSTGESLVDGIGVAGSKASEGKLLQVVLVSPQWRSGLPDHSLWCIYHPRAADQKFEESDCCCLFRLLPYVASTVSASNASSSSGSPRQNAPSTCIDSMLVSLFLSHSFHDCCSGCIATSPFSRFHGLVHVFREVVEESSSTSSLFVLASVFYPTVGSDTTVALRMKIANL >KVI00659 pep supercontig:CcrdV1:scaffold_2485:5705:8127:-1 gene:Ccrd_021088 transcript:KVI00659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plus-3 MRDSDTFDLCSMEKREGEDGRNRVKGSSKSNRNKRKATFAKKMEFIGWGSRPLVAFLVSIGKDPSKPLSQQAVANIINKYVLESNLIHPEKKRQVVCDEKLSYLFRKKTITRNRIHDLLDPHFPDNYESSEDDSENYQKVDTGLPFEKQLVSGTEVMGASFQMEKELETSKMSFAAIVPEKEIGSPKTRFAAIVPENIKLLYLKRTLVEDLLRNCENFGKRLIGSYIRIKSDPNDYLQKNSYQLHPVTGVKTIAENGENVGKEVFLQVPNMMKDISIGMLSDCNFSKGEVEKKAIMLHEDITKHWIPRELIHLQNHIDRANEKGWRNEYPLLIHYLERREVLKKPSEQTRLLLEVPKVIADTIQKEPTLLITDKI >KVI00658 pep supercontig:CcrdV1:scaffold_2485:35252:36361:-1 gene:Ccrd_021089 transcript:KVI00658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTGVYLVVAVLVAAVSSTVVNSCPPSDREALLAFKSALNEPYLGIFKTWAGTDCCTNWYGVSCDPTDSRVNDINLRGESEDKIFERAGRSGYMTGSLSPSICSLDRLTTLVVADWKGISGEIPACLTSLPHLRILDLIGNQITGKIPADIGKLGKLTVLNVADNKISGEIPSSIVNLGSLMHLDLSNNQITGVIPADLGKLSMMSRALLNRNQITGSIPSSIAGIYRLADLDLSMNRISGSIPAQLGSMQVLSTLNLDSNQITGEIPVSLLSNTGLNIVNLSRNSLDGYLPDVFTPRTYFSVLDLSFNKLKGSIPKSLSAAKYIGHLDLSNNHLCGSIPMGFPFDHLEASSFTNNDCLCGSPLMRVC >KVH90369 pep supercontig:CcrdV1:scaffold_2486:25333:32901:-1 gene:Ccrd_007630 transcript:KVH90369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MATYCLTSSLHSCSCSSSPPACKSKINSTDSLISLIRFPRRNEISSFTSPLSSSSSSFILCPKKPATCREDFTVSALVEDEKQQYSSGSPISGNGYSLQEDFEFRNSFKPFELYVCNLPRSCGIPELLNQFETYGTVQSVEVPRNVETGISRGCGYVTMSSLNEAKAAIAALDGSVSFRNIYLSCATSFLNKLHPRNANLLQSPETAFACVSSQFFIKLSDVDGREMRVRFAADMNSKWGSAVTSSRPQKNLVFESPYKVYAGNLAWSVKPEDLRNHFSQFGTVVSTTVLHDRKGGKNREFYGRTLKLREVINWPQSEPEPEPEPES >KVH90371 pep supercontig:CcrdV1:scaffold_2486:64534:73104:-1 gene:Ccrd_007634 transcript:KVH90371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase MGKVGLGLAVGCAVASCAIAAVMVGRRVRSRRRWWGVVRVFDEFEEAASTPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPDGSENGIYYALHVGGTNFRLLRIQLGGQRAILEYDVERELIPQHLMTSTSEELFDFIALSLKEFVERKGNNLQIPQVRGTNLGLTFSFPVKQMSVSSGTLIKWTKGFAIEDMIGKDIVECLQRALSRRGLDMTVTALVNDSVGTLALGHFYDKDTVAAVVIGTGTNACYLERADAVIKCQGLLTTSGGMVINMEWGNFWSSHLPRTSYDIDLDAESQNPNDQGFEKMISGMYLGDIVRRVILRMSLESDVFGPVSSKLLARFVLSTPSMAAMHEDYSPNLSEIHDVPLKVRKLVVKICDVVTRRAARLAAAGIVGILKKIGRDGTGGITSGRIKSGKSGKMRRTVVAMDGGLYMSYTMFREYLNEAVAEILGEEIAPYVILKVMEDGSGIGAAMLAASNSAQSVDTVQVL >KVH90365 pep supercontig:CcrdV1:scaffold_2486:11639:12070:-1 gene:Ccrd_007627 transcript:KVH90365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVCIPNCVDDARIPIRATYQNLYKWPKSEVEFMNTTVARSNLHRHGQPRGVNSISCRQLYLRSYTFSKKETMPERTRKFLDRVRKKVVARRRRKRKGVKVGRGRRRYVVALRKVKTVSWTVVSAIFQRLLSYNTSIDVETS >KVH90370 pep supercontig:CcrdV1:scaffold_2486:35214:36746:1 gene:Ccrd_007631 transcript:KVH90370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLKSVGSRCSNEIPKFLFFRSSSSSSRSKFHNYYLRKRRKWPHPVYKSRWHEKLSQQHAMQALRHQASSSSSINLLSSLVNSFALYNCDPTPTAYRFAIKTLIKTSQSHHIPPLLHHLQTFEKFETPESVLIDIIEFHGENNEFQEAIDLFFRLPTFRCIPSVDSLNCLLSVLCKRKEGLGVVPQVLLKSRLMNIRLEESSFVILIEALCRFKKPKNAIGLLYHMVDHDIDIDQRSFSLVLLTLCQQNDLKSNEVMSLLEEMTKLGFCLEKTDWGNVIRFLVKRGNGMEALEALSKMKLDGFKPDAICYTMVLDGVISEGDYETADQLFDEMLVLGLIPDINTYNVYMNGLCKQNKFDDGIKMLSSMEELGCKPNMITYNTLLSAIYESGEVGKARDFLKNVRAKGVLLHSRTYQIVIYRMIVTDDIAGALDLLEEMVQKSLVIQPSTFDEIVCRLCQNGLVSKALNLLTELLEKNVLPGCRSWEALIVGFHIKHGFNEIDLNAMETMSS >KVH90367 pep supercontig:CcrdV1:scaffold_2486:39271:47251:-1 gene:Ccrd_007632 transcript:KVH90367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocation protein Sec62 MITLFTNNSCLARVIQRVKHLAGGVVLFLALQHNNITKTRSRENSETRSRPKREMKKAGAEKKRARRSSAAVKNGTSRDPTTDTPPRKQANKKDVFQLFAEKVRDHKDLVSRWAVLQETRVEYFRGKDFVTFLRNHPELKDILESDRHLEVEDIVNMLLSKNLLVRCDRVVKTVRPGKRKLSTWPAHLEIFPDQEFSDNDAFFAWTFVNKRPLWQTLLSLSWPVLTLAICLFPVYPHQAKLLILYSCAGVLLLILCLLLDQCVTCAVDISKLSCSPVRALIFGASWILLGRRIWIFPNILAEEATLRELFRFWPKKDEEEKPKLTARFFYAIVAVLAILLLRHHAPDEAARARYQRRVSNIIDDVLEWDPRLALSGMMDSMQSEVNGTESSNNGTSNEYGGGGKSEVEDVTDEKDLYNDDDN >KVH90368 pep supercontig:CcrdV1:scaffold_2486:49997:54791:-1 gene:Ccrd_007633 transcript:KVH90368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase, C-terminal-like protein MAVTFSDLHTETGVKSLESFLSGKTYISGNQITKDDVKVYAALLEKPSADLYPSASKWYDCVASTIASSFPGKAVGVSISSQAPSAEAAPVKEAAKEAAADDDDDLDLFDMKKLEEAVRSVELPGLLWGASKLVPVGYGIKKLTIMMTIVDDLVSVDTLIEERLTVEPINEYVQSCDIVAFNKI >KVH90363 pep supercontig:CcrdV1:scaffold_2486:22497:32548:1 gene:Ccrd_007629 transcript:KVH90363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPSFLSHFSKIVNCSVQTLKPVVFFHSSPTLLLNHNQIPTGVPSPSRIQKLIASQSDPLVAKEIFDLASNAYPGFHHSYATFQTLILKLGRSHHFSLMNSLLSCLKSDHRYTVTPSLFTHIIRIYGDANLPDKALKTFYTILEFNIKPRAKQLNVILEILVSQRNYLHPAFDLFKSAYQYDVSPNVESYNILMHAFCLNGDLSIAYNLFNQMLKRDVVPNVESYRILMQGLCRKSQVNRAVDLLEDMLNKGFVPDSLTYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIIHYNTVILGFCRENRAHDACKVLEDMPSNGCLPNLVSYRTLVGGLCSQGLYDEAKTYLGIMVSKGFSPHASIWHGLIGGLCNIGKIDVACSVLDGMLKSGEAPPLYTWMEVVNRVCVVETEPERLEEVLKVEIEAHTRIVEAGFDLQEWLVKKARANGKFRSGREKHRRANHSTKLAEVISQVLRFDAPCKITSIDLVRIKRKLCRTEQVSFVFYKNGIMPGWGISTNTWSTILRKLSNESLPQQIERYHTFRTDLEAQGCHSFWEDYRRRVQMV >KVH90366 pep supercontig:CcrdV1:scaffold_2486:4405:10646:1 gene:Ccrd_007626 transcript:KVH90366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MDDDVEELSRIAGEQHREFMAARVVDSDLDLAFRLQLEEAISASLAFQPSSSTSPTRPQQPPSLVSDHDRANTVADLQTLEFERFETEIRDRVLIKAETKKLQADLHRRIHDGNVAREILHMPVHQWLEVGDNFERPYGEGSSSSSKSEVDSEIFSVYFKGLLSEERVDGTGPLQKTVTMAGIGVAICDSRDGLIFEMRKPLDLKEDDRTSRQSMEGKALIEALNAAIALDLKRIVLYCDYYTLYQYVFGRWQPKQRKMRAFVNQVNHLRKNFTYCVPALVARNDVKFAFKLAREAILSQITKAVESSDVRLENCVICFDDKPMDQFFSVEGCKHRYCFSCMKQHVEVKLLQGMVPKCPYEGCEFELKIGSCEIFMTPKLIQMMKQRLKEASIPVTDKIYCPYPKCSALMSKTKILQLPRFGHESAARTCYNCLGAFCMNCRVPWHNYMTCAEYKQKNPIPLVEESQLKNLAARNLWRQCGYEFCYTCGAEWKNKKATCNCPLWHEDNIMDSDEEDEDEEDFDFDDDDDDDDYDYEGDGYDYNFDDSFL >KVH90364 pep supercontig:CcrdV1:scaffold_2486:13508:18989:1 gene:Ccrd_007628 transcript:KVH90364 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit D, mitochondrial MSGVGKKVADVAFKASKTIDWDGMAKMIVSDEARKEFSSLRRAFDEVNSALQTKFSQEPEPIDWEYYRKGLGSRIVDSYKEYYDSVEIPKFVDKTTPEYQPKFDALLVELKEAEQKSLKESERLEKEITDVQELKKKLSTMTADEYFEKRPDLKKKFDDEIRNDYWGY >KVH87754 pep supercontig:CcrdV1:scaffold_2487:32748:42533:-1 gene:Ccrd_024958 transcript:KVH87754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding MFESYSHFDKKLAWHLFRQIVEGLAHIHSQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLEQDLDPAETTGVSVDGTGQIGTYFYTAPEIEQRWPKINEKADMYSLGIVFLELWHPFSTGMERHIVLSDLKKKGELPLDWVEEFPEQASLLRHMMSSSPSDRPSATELLQHAFPPRMESELLDNILRTMHNSEDTSIYDKVVNAIFNEETLSTKNHDNNAAVPKLGGNDTSSIHHTDLDTEMHDLVQEISAAVFRLHCAKRLEIIPMRLVGDSLQFNRNAVKLLTNGGDMIELCHELRLPFINWGDFDVIGGASALTEAEVIKAAMDIITRFFHPESCDIHLNHGDLLEAIWSWIGIKADHRQKVAELLSVLGSLRPQSSERKTKWVVIRRQLRQFFLSVLRPSSSVVDLLGAVNKLQTVGLRFCGIADQALPRLRGALPADILTRKALDELSQLFNYLRTWRIDKHVFIDPLMPPTEGYHRDSFFQIYLRKDNSLGSLTEGTLLAVGGRYDYLLHDMWQSEYKSNPPGAVGTSLALETIIQHSSVDIYRPFRNDSSRSILVCSRGGGGLLEKRMELVAELWEDDIKAQYVPLLDPSLTEQYEYANEHDIKCLVIISDTGVSQKGSVKIRHLELKREKEVSRESLTKFLSEAMASQFRNPSIWN >KVH87755 pep supercontig:CcrdV1:scaffold_2487:66597:67583:-1 gene:Ccrd_024959 transcript:KVH87755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MAWLGCLIDTGSRERRHGSCQIFLAFHRHGGFGHVVLCKNKLDGRQYAVKKIRLKDKNPPLDDRILRYPI >KVH87756 pep supercontig:CcrdV1:scaffold_2487:68032:76199:-1 gene:Ccrd_024960 transcript:KVH87756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAKKKKRGGTGRRRAPSSDHTSIHGVGGELLSEELTALSAIFQEDCDVLSESPPRIKIKLRPYSQDSGFEDLDVSALLSIRFLPGYPYKCPKLLIIPEKGLSKSDADNLLSLLHDQANLNAREGRVMIYNLVEAAQEFLSEVVPLEQRHVPCATMDRNSQLSRKNAAVSCDRPSKGPFVYGFTDLFIGSGESWPWGLSVEKSSNTCTSLQLHALDDLQNEKVNPNNKMGVAENGKLDKVLSPTAKLDTLEEESRSTESYTSLSVSEESEENVSIGEIAQDYPLKEDTEETDVGETKDDHSESGSPASMNHHQISHTAHLLRLACAPKGPLADALTDVTSELVNLGIVSDRVADLATEPPSVFDRSFNQVFRHRMVASKISHFWRTASDSKGQHTSPTLSSRYLNDFEELQPLEVLLNSHCFVQAAAAAAAAACANPISGL >KVH87753 pep supercontig:CcrdV1:scaffold_2488:27358:73741:1 gene:Ccrd_024961 transcript:KVH87753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKKNNMSTIL >KVI00982 pep supercontig:CcrdV1:scaffold_2489:16511:24496:1 gene:Ccrd_020754 transcript:KVI00982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase substrate, phosphoprotein PP28 MGRGKFKGKPTGRRQFSTTEEMIAGTSSRPRTFKKEAEVEEEERSEESEEESEEESERKKGPQGVIEIENPNTSKPKTMKARDVDLDKTTELSRREREEIEKQRAHERYMKLQEQGKTDQAKKDLERLALIRQQRAEAAKKREEEKAAKEQKKTEARK >KVI00983 pep supercontig:CcrdV1:scaffold_2489:33748:34092:1 gene:Ccrd_020755 transcript:KVI00983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDHHRQNPPNKPRVSGEIGDSSSRYKPNFPNPPEITNPDAATLRDQWKYAIRQYSKWYSHAWGTAILAGLSFFALGWVIKGGNPIPSFHQSDVAPRDSPPRPSDPPDVNQSR >KVI00981 pep supercontig:CcrdV1:scaffold_2489:50079:56217:1 gene:Ccrd_020756 transcript:KVI00981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MRPKIYLFGDSITEESFSDGGWGASLANHFARTADVVLRGYSGYNTRWAMKVMEKVFPAEMNVGGGRSPLAVTVFYGANDACLPDRSSAFQHVPIDEYKQNLHAIKRWPSTHVILITPPPIDEVARLLRHSFDENPSNLPERTNEAAGNYAKACVAVAGECRVPVVDLWTRMQQFPYWGKTYLRDGLHLTLGGNKIVFEEVIGKLHEVGLSLETLPADLPFINTIDHMNPLNAFKE >KVH97179 pep supercontig:CcrdV1:scaffold_249:156862:168614:-1 gene:Ccrd_000720 transcript:KVH97179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVETIVTEVPRRTGQERSEFRILTVTSFEINAQTCKPSGGIRGKKPPPGQCNRENDSDCCVQGKNSESMHSFIKEEDQVA >KVH97187 pep supercontig:CcrdV1:scaffold_249:84047:87258:-1 gene:Ccrd_000717 transcript:KVH97187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGQILEKLQGKQWREKQIRKISDKVFDYFKDDAGKECDMDLDGGLNREEFEEFMKRLTADTFVYVTQGLIITLAIAPTVAIATKRSTEGVPGIGKVVQKLPNSVYAGLITFAVMMIQKANE >KVH97185 pep supercontig:CcrdV1:scaffold_249:37834:52948:-1 gene:Ccrd_000714 transcript:KVH97185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MMPRRSRNAIATSSNTSTSAVFRPDAILLLLRKAYKDSHLGTVCRMASRVLLKLEHPSITQEASISSSDVTTVNDKVPSTESLGALQATDYSNLFGEEFRVTDDNWNFKYLQLLDIKAIEEGILHVLFACASQPMLCSKLAGNSSEFWSTLPLIQALLPALRPNFSSSGQVDDGFSAWRQPFVQHALSQIVVTSTSPLYRPLLHGCAGYLSSFSPSHAKAACVLIDLCTGVLGPWMGQVDLAVELVEDLLGVLHGARHAIADARAALKYIVLALSGHMDDVMAKYKDVKHQILFLLEILEPFLDPALTPLKSMIAFGNVSPIFLDSQEQNCTVALNVIRTAVTKSGILPSLESEWRRGSVAPIVLLSILEPHMQLPPNIDLGKFPTSEAMDPQPLDVSPLSSAPCNGASASKIIDQDDADGRIDTSDTMAKLEIFDDVSVLFAPPEVRDMMLTYVCGVPDQTNSDLSHCNANAEEKHLVERKAPSNYKGEGFGAMEYSELHTECLQLMNYGDCELWASEFRRVAFDLHSHCDITPEGHNAAIDALLLAAECYVNPFFMMSFRDYPKLALETKIGKTNDKYGFAEIRRILEKSDSTLETIARLEKKRDKIVLEVLLEAAELDHKYQKMVPNSDNSSPVPDENDDVIQFSVPDTSSMDAMTLVRQNQALLCKFLINSLLKEQHSMREILLQSLVFLLHSATKLYCPPERIIDIIIESAEHLNELLVSYCHQSKEGTLQLDLIKVHEVERHWMLLQRLVVASTGGEEGSNILVNMNNGARFSNMVPSSAWVKKISSFSSSASPLVRFVGWMAVSRNAKLYQKERLILASDLSQLTTLLSIFIDELAVVDDIVEQKAENKIHGVGFKQDIISVRGQLASQHGDHSFRVIYPEISQFFPNLKRQFKAFGETILEAVGLQLRVLPSSVVPDLLCWFSDLCSWPLLQKEDDQVPSSKRSPLFKGFLAKNAKAIILYVLEAIVAEHMEAMVPEIPRVVQVLQSLCKTSYCDVAFLDSVLGLLKPIISYSLRKASSEENQLVGDSCLDFESVCFDELFSNIRNHSENQVSPAEQGYSRAPTILVLASVFLDLSLQRKREILQSLVFWADFATFEPTTSFYDYLCAFRTLIESCKTLLVEKLQVLGVIPIKIPLNSNVNGGSCDNNSESHTKFLVDAFKGCDNLQSDIENTLVLDRVGHQLSVEEMAEFSKDLEGLVSKLNPTIELCWKLHYQPAKKLAIMSAQCFVYSRCLSSVLEKDSTFAEVEKEGLVSHSLVDHFHWKTSLEQLAGIVLVLQENGCWEVASAILDCILEAPRFFGLDRVIIPLCSAMKIFSCTAPKVSWRLQTDKWLSSLFDGGIQSLNESEVPLVDLLSSMMGHAEPEQRFIALKHLGKLVGQDADSEATLLSSASYIKSAIIELDSSCDESILSSLVSNTWDQIVVLASSDTSPLLRTRSMALLVNCVPFAERRQLQSILGAADSFLPCLINLGRQTCEGPSMLLSLALIASICLYSPVEDVYLIPQSIWTNIETLGLSEAGKHEDSVQSACQALCRLKTEGDEAKGILKGVLTSSFSKQGDPDFGSTRESLLQVLANLTSVQSYFDFFSKKTEEKLTEIEEAEIEMDLIQKEQSVPESSDDFLDWRKLPFLASYEKEDKRLQEIKDGIQSLEKAKLKEEIAARRQKKLLIRRARQKYLEETALHEAKLLQELDRERADEMEKEMERQKLLANERAKTRELQHNLDMEKEKKTQRELQRELEQAESGLRPTSRREFSSTHSSRPRERYRERDNGRSTNEGNLRTSGGGLPPDFTNPNSSVSATPTIVLTGPRQFSGQPPTLLQSRDRPDEGGSSYEENFDGSRDSGDTGSVGEADLVMALEGQSGNFGSGQRHVSRGNKSRQMVERRERDGRREGKWERRH >KVH97178 pep supercontig:CcrdV1:scaffold_249:206365:207357:1 gene:Ccrd_000721 transcript:KVH97178 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSMQLDSNLHILEFIRNHLLFDDLLQTFPGDNYQHPFSCSNFNTDEQRVLEGSHESSISMEEKSSFFAAVCSSNSSDSLICPNIMDDQVQDLVNLNDFNIPSINLDDHGVGIHPVANSSHSHHSNPQVFPNTNIELAEIPTISEEIQPEVSPATFTSLPSISYSTERAVNKPLNWDFSTGHVVAIGDGVVSGDKDNRAPPTVKEVLSDGVDNQAQRLPSLPGMRYRGVRQRPWGKFTAEMRNPEKKGSRLWLGTYETPEEAAMAYDRAAFKHRGSQALLNFPHLIGKHKEIPKKLVTRKRSSTTLEPSSSSSSSESSRNKSSKRRITCGI >KVH97181 pep supercontig:CcrdV1:scaffold_249:257356:258360:-1 gene:Ccrd_000722 transcript:KVH97181 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MFTQAELYQSHLESIRKHLLDDHCELFPDIHQNPSSFPGYNFDDWSFLENSDESSEKMEEISTSFMGLSSWDSSEMYSPGSNLISPYTVDDHVEPSLNLDDFDMYSILDDNSDRNLQVSRSQHNTTEMFPDTNIEFTEIPAVSNEAQPEFFVATVANLSGISNTTEGAASKPLNWDFSTGHVIGFDDEAFSGGKDDLDAVTLMGGCFAEDGDVIPAKQLPFIPDKRYRGVRRRPWGKYTAEMRNPEKKGSRLWLGTYETPEEAAMAYDRAAFKHRGSNALLNFPHLIGSHHENPKKHTTKNRDAANKSRSSSSSSSSSESSKKINRKKGKNSAI >KVH97182 pep supercontig:CcrdV1:scaffold_249:4584:6845:1 gene:Ccrd_000710 transcript:KVH97182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin, N-terminal, SH3-like protein MDCGIGTRVNYGTPVNIIVGSHIWAEDPEVAWIDGEVIKIKGNNATIITTNGKTVRPFIRLFILYTDHDI >KVH97176 pep supercontig:CcrdV1:scaffold_249:334795:348916:-1 gene:Ccrd_000725 transcript:KVH97176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQAEHIENFVKQASIIKGSSSALANVIVEATSHPSLFAFSEILAVPNIIELQGTEHSIYLDLLQMFAHGTWSEYKSNASNLPQLTRDQVLKLKQLTMLTLAEANKVLPYDVLMHELDVVNVRELEDFLINECMLGTSDNLLISIQEKIKWADTMSELDKKHKKENEERLEEVKNALSLKADMDFRGHGIFSEPGGVMDYDEDRRSKRRRHPMG >KVH97180 pep supercontig:CcrdV1:scaffold_249:296635:297624:1 gene:Ccrd_000723 transcript:KVH97180 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MFTLQTLSSFEYPGLENSRAHLNDSSYMKMFPMLNQDDHDHDHDHSQEKSHEPLFCSRFLDGNQADEGGKKKKRPSPEREIQEVEWRRYRGVRRRPWGKFTAEIRNPEKKKARLWLGTFDTPEQAALAYDRAAFKFHGSRAKVNFPLLIGCDDRSVVLTPMQKMTHELHHPSSSMSSSSSSMENGQQRKNCMVDHPTTTATKVGSEHDSLHDLHLYTSTPYDFSLKNSMEAPATTITTGNMEEGRKDNDTLWSIFFQSTVQSPTATVTSGVDEVGGGDHDSMWDFQMLPVVEPPSATTTAVRASDVGTDHDPFWDFQMDTLTDDDFLFL >KVH97177 pep supercontig:CcrdV1:scaffold_249:332910:333389:-1 gene:Ccrd_000724 transcript:KVH97177 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MQIEMSQSKDLNVSQDGLFSLEKKELGGICLNFIGKCETESQCSKKTKRQVADKDHGSEEWMQYRGVRRRSWGKFYAEIRIPKKKNTRLWLGTFDAPEKAALAYDKAAFKFHGRRAKVNFPHLIVSHDDQVTVHEPHSLISSSLSAERSVVEPSSGYTL >KVH97186 pep supercontig:CcrdV1:scaffold_249:77521:82121:-1 gene:Ccrd_000716 transcript:KVH97186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGMYVVAIMVVADDRSRDRRADHGNSTRKSFENICTISMHEEHSCLRRLMYDVIPIVRDSGSVTSHDRSYNLNFRRCSLISVKDSPTFFNYRSIGVMAKIHKTLALLISVMIICSVETLAKKSRRPISDIEIREKKQSCYADIELGYWGSECKSSMVAKENCALKCLSPTCYELIYESDPLEEGERDYVRSQEYKYCMHRLSLGENLDGVKGSFE >KVH97184 pep supercontig:CcrdV1:scaffold_249:30093:34720:1 gene:Ccrd_000713 transcript:KVH97184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRVAAFVAVLLVVSELPLGFTFSSTAPAFLWTNTQDELTTNKVKEAVSYQTLSPKDLAKSVMLEGGWSNLLCPSQKPEDSVDLAIVFVGRESLDISGRKRGDQGLLDLLKVSFTKSTFSLAYPYVAASEENEALQNSLVSEFAETCGQDAVLSKVGILESCASEGGNFEKLTDINSVHVIVAGSFNQILPYGVWYVLIDLHEYVVSSMEKKSNGQTPLVVFCNGGADSLKGSGSDGKVFSELISSVEQTGAKYSVLYVSDPVNVIRYPSYRQLDRFLAQKSGNASDNSTSCDGVCQIKSSLLEGLFVGLVLLIILISGLCCMAGIDTPTRFEAPQES >KVH97189 pep supercontig:CcrdV1:scaffold_249:112107:122428:-1 gene:Ccrd_000719 transcript:KVH97189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MAEFLDLETQDAVRMPWNVLPGTKQEAAQCVIPISAIYTPIKPLPNTTPLLPYSPLRCRNCRSVLNPFSIVDFSTKIWICSFCLHRNHFPLQYQQSISEDNLPAELFHQYTTIEYEPQNERPTSQVIPQVFFFVVDTCMIEEEIGYLRSGLSRVIGEIPENSLVGLITFGRYVCVHELGFFGKIPKVYVFNGTKEITKDQVLEQMGFFLKKPRPATGVVAGVRDGLSQESISRFLLPAAECEFALNSVLDELQKDPWPVPADQRAPRCTGTALTVAAHLLGVCVPGSGARIMAFLGGPATEGPGSIVSKALSEPIRSHKDLDKDDAPYFHKAVKLYEGLTKQLIHQGHVLDVFACALDQVGVAELKIAVEKTGGLVVLAESFGHSVFKDSLLRVFQSGDNDLGLSSNGIFEVNFSKDIKIQGVLGPCASLEKKGPLCSDTTIGQGGTTAWKMCGLDKSTSLCLIFDIVKKENPDIGYECIWLASGTSIDLLHIICSSYQHSNGQMRLRATTLSRRWVTGPEKIQASLFDLIAGFDQEAAAVVMGRLVSFKMETEAEFDPIRWLDKSLIHLCSRFGDYQKDSPSSFVLSPRLAIIPQFVFNLRRSQFVQVFNNSPDETAYFRMVMNRENVANSVVMIQPSLISYAFNSGAEPALLDVASIAADRILLLDSYFTVVVFAQLLQAPLDDANAIVKERFPVPRVVICDQHGSQARFLLAKLNPSATYNSDAPPTPGGDVLFTDDVSFEIFLDHLQRLAVQ >KVH97183 pep supercontig:CcrdV1:scaffold_249:21723:27784:1 gene:Ccrd_000712 transcript:KVH97183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 MKPKQGNISLINCQPSEEGSEAYTVFMNPTIDARLSTPLSPLLIVACSPPPCVDRPHLHHCCILAIVCCWHIATILDLVIYSEAMSVAARISSITTTIKPQSRPSSSPSVVLPIRLHKTHTSHASLRCSNSSFLNPIHITPLTTTKSISRRPHSIRMSMEAGVGLMGTKLGMMTYFESTGKVVPVTVIGFREGNVVTQVKTEDTDGYNAVQVGYRRVRDKKLTKPEMGHLEKSGIIPLRHLQEFRLQSVDGFEPNQKLVLEELFQEGDLVDVSGTTIGKGFQGPLLSPPFPLVLHF >KVH97188 pep supercontig:CcrdV1:scaffold_249:107096:111140:1 gene:Ccrd_000718 transcript:KVH97188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MIDFCFILSPDFEFIFVFSISILPVFNHFHQRFPKFSITAEKMMDNSDMFEASDCFDPNSLTHEDDSFPQPHVSNFHQIPNFSAIEAPGDELHHRHLITNMDIQQHNWTHNDQILMGNDDDCLPTPPPDLLNLFQLPRCSSSICFSNPTHIDQTTMYDPLLPLNLPPHPPFVRELLNSLPNGFNFSGFGEMDMERGDQNQLYHDGDSVLELGEKGKETKHFASEKHRRQQFNGKFEALKELVPNPTKADRASLVGYAIEYINELKRTVEKLKILVEKKRCNPSRIKRRKTQDDSTLDVESIYTRSNGDPANDREAINGNSSSTMRSSWLQRKSNNTEIDVRIVDDEVTIKLVQQKKMNCLLLVSKVLDELQLDLHHVAGGVIGDFYSYLFNMLLREDNNGGSN >KVH87751 pep supercontig:CcrdV1:scaffold_2491:72311:74409:1 gene:Ccrd_024965 transcript:KVH87751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MNFPAYADGSVNGFAVFLVLVIVYFHQFQIIDLLGIHPSARQHLGKPQGVQKHGFVLPVSECEFNITTTIEEIHQSSPLTPGHRSPRCTGAAILVAVALLEGCSATIGSHIMVFTSGPATMGPGMVVSQYLTQSIRTHRDINTGHASFYWKSCAFYKQISEKLTDLSMVLDLFACSLDQVGATEMRAAVEKSGGFMMLAESFESDQFRKCLRYIFSRDEEGFLNMCFDATIEIITTKDVKICGAIGPCVSLRKKNGLVSDKEIGEGGTNIWKLGTVTDKTCITFFFQVSDEQKAQPGTAFFIQFITKYRHGNMGIRKRVTSAARRWVGCGAPEIAAGFDQETAAIVMARLAVHETEKNFPREVVRWLDKELISFASKFGDYICEDPSSFRLSSNFSLYPQFMYHLRRSQFIDVFNSSPDETTFFQLMLTREGVVGSLIMIQPTLSQYSFDGPPIPVLLDVCSLSPDVILLFDSYFYVVIHYGSKIAQWKKLGYDRDPDHENFRKLLEAPEVEVEQLVAQRIPVPKLVRCEQHGSQARFLLAKLNPSATHKSSYVDGSEVIMTDDVSLQAFIEHLQELAVQG >KVH87750 pep supercontig:CcrdV1:scaffold_2491:1052:2420:1 gene:Ccrd_024962 transcript:KVH87750 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2296 MDFVELEAIEGLRWSWNSWPVSKTESNNLVIPLSIMQTPLMKFNELPLLSYDPLICSRCGSVLNPYARVDYPSRIWVCPFCYQKNSFPRSYAEIGENNIPAELFPTYSTVEYQLGHQGLMTPTRMNSNRVHNWGTSNGLGLTKSNSCSFSSSSLSSLDQRGLGGRGLGIGPVFVFVVDASSSEDELQALKNELLLIVAQLPENAMVGLIVFDSMVRVYDLGFTECLRMVVFHGERKLSTTQGSSDPIILEDIDESNEWLMGRMENDDEDDDLVFIGDDLTWDDVVGRAFGTYKTPHFTRASRGRVNDDGSGPSRARSARGKGHAL >KVH87749 pep supercontig:CcrdV1:scaffold_2491:12082:19908:1 gene:Ccrd_024963 transcript:KVH87749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MKFPYDVHISSGFVVFRTLVIVYFLQFQIIDLLGIHTSVRQHLGKPQGVQKHGFVLPVSECEFNITTAIEDIHESSPLTPGHRSPRCTGAAILVAVGLLEGCSTTTGSHIMVFTSGPATMGPGLVVSQDLNQSIRTHRDINTGHAPFYWKSCEFYKQISEKLTDLSMVLHLFACSLDQVGATEMRAAVERSGGFMMLAESFESDQFRKCLRHIFCRDKEGFLNMCFDATIKIITTKDVKICGAIGPCVSLRKKNGSVSDKEIGEGGTNIWKLGTMTDKMCIAFFFQVSEEQKAQPGTAFFIQFITKYRHGNMGIRKRVTSAARRWVSSGAPEIAAGFDQETAAAVMARLAVHETEKNFPREVVRWLDKELISFASKFGDYICEDPSSFRLSSNFSLYPQFMYHLRRSQFIDVFNSSPDETTFFQLTLTREGVVGSLIMIQPTLSQYSFDGPPIPVLLDVCSLSPDVILLFDSYFYVVIHYGSKIARWKKLGYDRDPNHENFRKLLEAPEVEAEQLVAQRIPVPKLVRCEQHGSQARFLLAKLNPSATHKSSYVDGSEVIMTDDVSLQAFIKHLQELAVQELETDAYPALDEFTSKMGRVWLNVGIFQPQLSLVRAASCGAASWFLGSPTIGSKISRASRASVMMVHGDENDVEELEMLLEAYFMQIDGTLNKLTSLREYIDDTEDYINIQLELFLSSGTVCMSIYSLVTGQVDDEPSVKPAPRVMWRS >KVH87752 pep supercontig:CcrdV1:scaffold_2491:61320:62856:1 gene:Ccrd_024964 transcript:KVH87752 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2296 MDFVELEAIEGLRWSWNSWPVSKTESNNLVIPLSIMQTPLMKFNELPLLSYDPLICSRCGSVLNPYARVDYPSRIWVCPFCYQKNSFPRSYAEIGENNIPAELFPTYSTVEYQLGHQGLMTPTRMNSNPVHNWGTSNGLGLTKSNSCSSSSSSLSSLDQRALGGRSLGIGAVFVFVVDASSSEDELQALKNELLLIVAQLPENAMVGLIVFDSMVRVYDLGFTECLRVVVFHGERKLSTTQETTTNALMHRFDRALEFHTFLVDIYLGKVRAQGEV >KVH89173 pep supercontig:CcrdV1:scaffold_2493:10663:12939:1 gene:Ccrd_008842 transcript:KVH89173 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MEPRITTKLSYQCVNLENKAPAFFTRQHAQCITEPGIVQLCHVNILGLGHLEANTFRNSFMQNNLEDLYSLLCFLHVEPWCNWAWWNKLIQRPYENGDKRGLKLVKAILRPLTLRRTKDTKDKEGRCPILVLPPTDIQVIECEQSEAEHDFYDALFKISKVQFDQFVAQGKVLHNYANILELLLRLRQCCNHPFLVMRTAEGSSSVVCRRRSIANSEGEGRVDRSFVVRRYRDTTIVVRRSSRGDGSCEAVKGKWV >KVH89171 pep supercontig:CcrdV1:scaffold_2493:13970:16568:-1 gene:Ccrd_008843 transcript:KVH89171 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDHPTFFLVLSFLLTCFYALTVFRRRNSRLPPGPFPLPVIGNLLQVNPDKPHRSLATLSKRYGPFMSLKLGSRTTIVISSPNLAKEFFLTHDMSFSSRSLPDTARVVDHDKYSVAWLSVGDQWRRQRRLLKEYLYPVQRLEAIDLLLGKKVQELLDHVNQCCKHEKPVNIGAAMFTTLLNIISNLVCSMDFAQYDSATSQEFKEAVCGLMEIAGKPNIADFFPILKLFDPQGLIRRGNVYGKKLLAILDQIIDQRIHTRSSSSSCDGVSSTNNDILDSLLDLNLKGRSESLSRDDMKHWVMDLFIGGTDTTSTTLEWAMAELIRNPGKKETARLEVINLMQNINRNIQEPDINQLPYLQAVIKETLRLHPPAPLLVPHQAMQDVNVQGFTVPKNAQILCNIWGMGRDPSIWSNPETFMPERFLEADISYKGQDFEFIPFGTGRRICPGMNVAHRVLPLVLGSLIQKFDWKLEGNKRVQDLDMEEKFGLSLPRRVPLMAIPIKP >KVH89174 pep supercontig:CcrdV1:scaffold_2493:52573:57100:1 gene:Ccrd_008844 transcript:KVH89174 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAYPTFFLLLSFLLTCIYAFTVFSRRNSRLPPGPDPLPIIGNLLQLGDKPHQSLAILSKRYGPLMSLKLGCITTIVVSSPDIVKEFFHTHDVTFSSRSVPHTAAMVDHHKYSIAWLPVGDQWRRLRRVTKECFYSVQRLEATELPINIGVIVFTTTFNIISNFLFSLDFAQYDSVSSQEFKDAIRGLMEVSGKPDLADFFPMLKLFDPQGLLRRANVYSKKMMTIFDEIIDQRFHTRSSSSSCVGASSRKNDVLDSLLDINLKDESGSFNLNDMKHWFLDLFVAGTDTTATTLEWAMAELIRNPEIMKKAQLELTKHMQSNNRNMQESDITRLPYLQAVIKETLRLHPPAPFLVPHEAVHDVDIQGFMVPKNAKILCNIWAMGRHSDVWSNPEMFMPERFLEVGIDYKGHDFEFIPFGTGRRSCPGMNFAHKMLHMIIGSLIYRFDWKLEGNTSIVDMDMEEKFGLTLPKSVPLMAIPIKLQLV >KVH89172 pep supercontig:CcrdV1:scaffold_2493:60608:64234:-1 gene:Ccrd_008845 transcript:KVH89172 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEYYTTFFVFLSFAFIYTLTISGRRNSRLPPGPYPFPIIGNLLQVGNKPHHSLAILSKRYGPFMSLMLGSKTTIVISSPDMAKEFFHTNDQSFSGRFITEAIRAVDHAKFSLVFSQAGDQWRRLRRITKEYMFSVRCLDNSELLRQEKVRELLDHVNRCSTDEKAVNIGAATFTTILNIFSTFIFSLDFSQYDSVSSQEFKDTVWALMEYSGKANLADFFPILKPLDPQGIVRDGSVHMKKLLAIFSKLIDQRLQTKLSSSSYDGVLSTDNDVLDVLLNLKHKNESEFSQDLLGHFFVDLFVAGTDTTSSTVEWAMTELIRNPDKMATARSELVKLMQYDKRSLEERDITQLPYLRAVIKETFRLHPPVPFLVPHEAIHDVEVHGFVVPKNAQILCNVWAMGRDPNIWSDPETFMPERFLDVEIDYKGQDFDLIPFGTGRRICPGLNIAHRMLHIILGSLIHKFDWKLEGDMRAQDIDMGEKFGITLQKIIPLMAIPIKL >KVH87747 pep supercontig:CcrdV1:scaffold_2494:35510:36514:1 gene:Ccrd_024967 transcript:KVH87747 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MCFHPFPRCRLKLLLFYFTTLQKGISGSNDQIGSSIPMSSSTRERTSCNRTHRSLGDHLGTPCTDGGPDRLSEDIVRCISSIYCKLGDPNQCHQGPSESSASSLSSCSTVSTGNISDERGPYADMVEVLKIGLDDDGFNYAEKMLKHFRTLIEKLDKIDPGKMKREQKLVFWINLHNALVMHAHLTYGTHNYSRSNSILKSV >KVH87748 pep supercontig:CcrdV1:scaffold_2494:3918:12262:1 gene:Ccrd_024966 transcript:KVH87748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MIGWDDIYKVVIAMFPLYVALILGYGSVKWWHMFKPDHCDSINRLNCYFIMPFFTFEFTTCIDPYKFNYRFAVADAISKVIILFAISLWTKFSINGNYPWSITTFSLSTLNNTLIVGVPLMRAMYGSLGENLAIQSSILQFLFWIILLLLMYEFQSANKRLCLDVDRENDNISEEETMTGTKPSSLILMKIVGLKLAKNPNSYACILGLTWALVSNRAGSGVAMFCMGLFMALQDKIIECGVTLAVFGMLLRFVAAPATMAVGSLVAALPQAITAFTYAKEYDLHANVLSTAVIFGTILSIPVLVAYYVVLDVLNV >KVH87746 pep supercontig:CcrdV1:scaffold_2496:16913:28973:-1 gene:Ccrd_024968 transcript:KVH87746 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MVPSTERLSLPVSDPSPQQRGGAGEQRLFKGSAMTTRGAYAAVSYMSCAGMRHMGYGLCFDFTVLLVLFNKAALSSYSFPSANVITLFQMICSCSFLYVLRCWKLISFSSGDISPLTDNSARFVSLQTVIHTSPLALTYLLYMLATMESVRGVNVPMYTTLRRTTVVFTMFVEFILVGQKYTRSVIGSYVLDCFVVVDIIVSYAVRLSAFNCFISVALIVFGAFVAGSRDLSFDAYGYAVVFLSNITTAIYLATISRVGKSSGLNSFGLMWCNGILCGPVLLFWTFIRGDLRMTMDFPYLFAPGFLIVLLLSCVLAFFLNYSIFLNTTLNSAVTQTICGNLKDLFTITLGWMFFGGLPFDLLNVIGQLLGFVGSGFYAYFKLFGK >KVH89720 pep supercontig:CcrdV1:scaffold_2497:43118:66109:1 gene:Ccrd_008286 transcript:KVH89720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVSLFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPTDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKSIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVTLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTNFQVTSGRAKYNASIDCLVWKIRKFPGQTEPTLSAEVELISTIAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >KVH89721 pep supercontig:CcrdV1:scaffold_2497:11472:16242:1 gene:Ccrd_008284 transcript:KVH89721 gene_biotype:protein_coding transcript_biotype:protein_coding description:EYA-like protein MDQKINVFVWDMDETLVLLKSLITGTYAKAFNGSKDVQKGIEIGKTWENQILRICDDHFFYEQIESCNKPYVDAMREYDDGLDLTDYDFANDGFVGASFDDDDNKRKLAYRHRLIAHKYKKGLRSVFGEKMIKSWDNLYEVTDDFTDKWLSSARTCVAECASGTKDVTPLVDSTSSRTQNVNVIVTSGSLIPSLVKCLLFRLDDLISYENERFNGPNVQFCAIGDGWEECEAAENMQWPFVQIDPGPVSTSHRFPGLTVETLGHYIGVVYGESDDDDDDDDE >KVH89722 pep supercontig:CcrdV1:scaffold_2497:19256:27346:-1 gene:Ccrd_008285 transcript:KVH89722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFKRVAGLLGFGNHDLHEVNKDDVNGNNDVNPVEEIYHRDYSNLPRKGFSVPVQVPVDRAHQIGPVLVPCAAGDGGVQGLGWYARRLKIDEDGDVADEFLDEIFPASNTEVHHNQFPRFEVKLNTKSAKARNPRLSREGTVQQYVEFGGRLQLV >KVH93611 pep supercontig:CcrdV1:scaffold_2499:8615:11769:1 gene:Ccrd_004338 transcript:KVH93611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MDANGKSSKSGEISMTENRKSGEVVVTISGEEKDAKSRGGVTESPHRKSVDTPHENAYFMPSPNRPPKIPHPDTLTRRKTLARSIYSKPKSRFGEQPLIDSSMFDDIAEPVGQTSNSPIRIVSNRASPNNPKVAANPTASPSGTPSKETIRTVSITPKTPLMASPGGAGGVDEDEEIYKKVNIRKKLKYRRVRIKVLLEWLVLLILVGSIVASLTIKELKHYKVWSLELWKWCVLIAVIICGMLVTNWLMHFIVLLIELNFLLRKKVLYFVHGLKKCVQVSIWLIVVLVTWTSLFNDQDVQRSKKATRVLDYFTWTIVSLLVGSILWLLKTFLLKVLATSFHVSNFFDRIQESIFLQYVLLTLSGPPVMESLQNVGASASTSASHLSFQMKRKGGKEAKTKEVIDVSKLHQMKREKVSAWTMKMLVDLISNSGLSTFSGELEESAYDRGGGSTDKDKEINNEMEAIAAAYHIFRNVAQPGFTYIEDLDLRRFMIKEEVDIVFPMIDVTDEGHIDRKCLTEWVVYNGRKALAHALSDTKTAVKQLDKLVTAVLVVIVFVVWLLLTEIATTKVLVLLSSQLVVAAFIFGNTCKTIFEAIVFVFIMHPFDVGDRCVIDGVQLIVEEMNILTTVFLRFDNEKIYYPNSVLSTKPISNFYRSPDMGDNVEFSIDFATPFEKIGLLKDKLKKYLEKNPQLWHPNHNFVVKEIENVNKIKMVLFFNHTINFQDYGEKVRRRTELVLELKRIFEELKIKCNLLPQEVHLRPETTTK >KVH93610 pep supercontig:CcrdV1:scaffold_2499:34706:51939:-1 gene:Ccrd_004337 transcript:KVH93610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis factor 10 MGIEVGESSGAETSSREDADPGTAPTSTSNPIRRRFPLAAQPEVMRAAQKDDQYASYVYEACRDAFRHLFGTRAAISYQSETKLLGQMLYYILTTGAGQQTLGEEYCDITQVAGLYGLPPTPARRALFIVYQSAVPYIAERVSSRLASRGIALTESLSDDLHGDTARSSQGQESVVQASSHLPVVPISRLSRFKEKIGGLWLYTVQRWPAVLPLAREFLQLVVRANLMFFYFEGLYYHISKRAAGIRYVFIGKPMNQRPRYQILGMFLLVQLCIIAAEGLRRSNLSSITSSVQHTSLRVHQASAGRGFPVLNEEGNLITEKGSDSMTTAESGISKCTLCLSNRQNPTATPCGTASWNGVMKSPNALCVVLL >KVH97773 pep supercontig:CcrdV1:scaffold_25:391120:396418:1 gene:Ccrd_000128 transcript:KVH97773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYPDIGKKARDLLYKDYQSDHKFSLTTYTVNGVSITSSGAKKGELFLADVNTKLINKNITTDVKVDTNSKVFTTITIDEPAPGLKTIFSFVVPDQRSGKVELQYLHEHAGISTSIGLTASPIVNFSGVAGNNTVALGTDISFDTATGNFTKYNAGLSFSTSDLIASVTLNDKADTLTASYYHTVSLLTNTVVGAELSHGFSSNENSLIIGTQHALDPLTTVKGRVNNFGIASALLQHEWRPKSFFTISGEVDTRAIEKSAKVGLALALKP >KVH97745 pep supercontig:CcrdV1:scaffold_25:163541:167720:-1 gene:Ccrd_000144 transcript:KVH97745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKPVTLAVTTLHAMLASLEAYPFGSVALLDLPFETCRARNELFPLVEKVSISNKSELASLAASLPSALFEIPMLDELRSSWKLFIHGTLEVLFGPKNPDFSIFHLLFRVDLQRLNEVNVTKLKNNPLTRLQIEDLDTIKSNSHFIVPAVPKITLVRVAESSTSTSNSWRQSFLLPFGSSTPSSQSFEIPFPYGTCRKNTSISTIDGSPVPR >KVH97739 pep supercontig:CcrdV1:scaffold_25:237063:241694:-1 gene:Ccrd_000139 transcript:KVH97739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MQHTTLRCTALFRNGELSPFSAVSLALRTLTEEETENRIERLSSRVGDKRTHSSFHPHPSATLIWTYFLVLSPVLFLSISPSIPSPLSNIPSAPPPPSPPPPIMLHSLLLPSTTTTLVNNPNSTTMNANASKRSISDSAGENNEVADDHHHKRRNVEDKGIDNQDDHEIIVEAESSGLRLLGLLLQCAECVAVDNLDHANNLLPEIAELSSPFGSSPERVAAYFAEALQARIISSYLGTYTPLGIKKLALAQSQKICNALQSYNSISPFIKFSHFTANQAIFQALQGEDGVHIIDLDIMQGLQWPGLFHILASRPRKIKSLRITGVGSSIELLEATGRRLNDFANSLGLPFEFVPLEGKIGNITSDLTTQLGIRSGETVVVHWMHHCLYDVTGSDFATLRLLTLLKPKLITIVEQDLSHAGSFLGRFVEALHYYSALFDALGDGLDSENVERHTVEQQLFGCEIKNIVAVGGPKRTGEVKVERWGEELSRVGFRPVSLAGNPAAQASLLLGMFPWKGYTLVEENGCLKLGWKDLSLLTASAWQPSDAD >KVH97788 pep supercontig:CcrdV1:scaffold_25:108491:110858:1 gene:Ccrd_000152 transcript:KVH97788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNGCPNSLSVLTELDDSTLKKEVEKNPKALTIGSSLESSLTSSESSGRRENDDHVVLSLMEMNPPAKNGRKRSGSTISDGNCEGKRLQKDSKRFDLNSQYVNDFDFDFESRSNTLDLNSN >KVH97747 pep supercontig:CcrdV1:scaffold_25:153591:158586:1 gene:Ccrd_000146 transcript:KVH97747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3675 MVGPSSSTDVARAVDENGALDDEEAPLLTIAECRICQEEDSIDKLETPCACNGSLKYAHRKCVQHWCNEKAERQFLEAEYEDYNASNASGAAFCRSAVLILMALLLLRHALFLLRVAGFLLPCYIMAWAISILQRRRQRQEAAALAASQIAFVLQRRGLHFAIAQAPAPAAVAIPVVTPQENNV >KVH97778 pep supercontig:CcrdV1:scaffold_25:749955:754176:-1 gene:Ccrd_000101 transcript:KVH97778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSSGGDEFAVGCLLSIKTTLGDEFEGQVITYDRPSNIVVLQEGLKSNPQSRRSIRFLKANYIKEFSFLGQSDDPLDLKKCYLDLNSLQSKEEAAVRQAEIDVERIGVGVTAEAQSIFDALSKTLPVRWDKTAIVVMNEVRVSSPYLAESVTGGTPAANERVRKVLELERRRLQTRGAGQ >KVH97760 pep supercontig:CcrdV1:scaffold_25:540313:541031:-1 gene:Ccrd_000115 transcript:KVH97760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MAIVPGKCSEAVAGGGGGGGAGGATFSSTMNNDGGITGKGIMLFGVRLMEGGGGGGGSSFRKSASMNNLAQFDEQPQDSNVDAVGYASDDVVHPSARSRERKRGVPWTEEEHRLFLVGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRTNHNRRRRRSSLFDITTDTVLFNIFYLIYLFAHLNSIIFRSSFAYEILF >KVH97737 pep supercontig:CcrdV1:scaffold_25:289124:290127:1 gene:Ccrd_000136 transcript:KVH97737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSTRRSSYRQTRASMITDDQMADLIYKLQQLIPRHQIHTSHAHSDHKGCNKRILDETCNYIRSLQKEVEELSQRLSELLQSIDANSPQAAIVRSLLLSP >KVH97757 pep supercontig:CcrdV1:scaffold_25:525635:527530:1 gene:Ccrd_000116 transcript:KVH97757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MALPIASPLSSLQPSKRTLAATPTMRLHPFFLFIITTTTILTGVSAMEDDMRCLQGLKTSLTDTKGKLSTWSFSNDSVASLCKLVGVSCWNEKENRLISLQLPDFSLSGSLPESLQFCRSLQSLDLSKNAISGSIPPQICTWLPYLVTLDLSGNSLSGSIPPEIQNCKFLNNLILSDNSLSGAIPYQIGQLERLKKLDISINDLSGSIPDDLSRFGSDSFIGNDRLCGEPLNSKCGRLNNKSLAIIIAAGVLGAAASLFLGFAIWWWFFLRPDRKTNNGYGGDGSSGEDRSNWIDRLRAYKLVQVSLFQKPIVKIKLNDILAATNNFSHNNIEITTRTGVCYRAMLQDGSVLAIKRLNACKINEKQFRSEMNRLGQLRHPHLVPLLGFCVVEDEKLLVYKHMPNGSLHSLLYGNTTSINLDWPFRLRVGIGAASGLAWLHHVCEPPYLHQTISSNVVLVDDDFEARIIDFGRSKDAIDKSLRGKGHDDEIMQFLRIACSCVISRPKERPSMFNVYQSLKSLAEAHGFSEDFNDIPVKYAKQDHRHRD >KVH97744 pep supercontig:CcrdV1:scaffold_25:161120:164503:1 gene:Ccrd_000145 transcript:KVH97744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RASFIYISSFSQLPSLPASPQNHTENCRPEKWCNGSLTVNGTVTPPNRINIGLLKPLIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSAGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKTASKS >KVH97777 pep supercontig:CcrdV1:scaffold_25:676315:679964:-1 gene:Ccrd_000106 transcript:KVH97777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMMLRSGLPAARRLIFLRFFSSSSAKIDAMRSGGVASGLAAEDLLLDEESAPTTAVKSNIIPTLLQPRVVVYDGVCHLCHRDKDRRIKFCCLQSKAAEPYMRICGADREDVLRRFLFIEGPEAYHQGSTDAAYDYIAKRRYEWFGKDTNCLVLKEKELLERFIDRDEM >KVH97780 pep supercontig:CcrdV1:scaffold_25:695094:702026:1 gene:Ccrd_000103 transcript:KVH97780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MPFCRSSEFRLSCHRQLQAPARAVVQSPTHFLVPQDVVATGGRCSVMRWITEAQLNAMKEKSKEVEAIEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHAHIHGERQYVCHYENCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPECGKRYAHEYKLKNHIMSHHEKQNNNNMMVEAPLKYVHIQPIEKPPPKAPKASTATYASASSDRPYACPYEGCEKAYIHEYKLNLHLRREHPGHFPEENPKNAHGNNEMDVGSDHDGNTMKREITKSQKQNRPKPNVKLPPAKVVQRKVSTAVGNVIKKQLWPVVKDVYEEEDSEETEEDRDNVEDRWGYGDNNNDEDDDEETEYED >KVH97783 pep supercontig:CcrdV1:scaffold_25:631327:632406:1 gene:Ccrd_000108 transcript:KVH97783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISLLLLILSLFTSSIAAFPHFFPNVSSIPPSLLPNTTAGAWDSFHKLSGCHSGQTVPGISKLKTYLHYFGYIGNASKNYTDDFDDGLESAVKNYQLNFNLNTTGELDEPTVNQILKPRCGVADIINGSSTMNSGKAASMVGHTVAHYSFFPGMPRWSSRRRDLTYAFDPRNQLSDDVKRVFGNAFTRWSEWTPLTFTESSNYDTADLKIGFYGGNHGDGEDFDGVLGTLAHAFAPPRGLLHLDSDETWIIDDVFASGSPSAMDLESVAVHEIGHLLGLGHSSIEEAVMFPTISSGVRKVELARDDVEGIQVLYGSNPDSNSTVGPTFGGRETSGAHIVSSLLVHVIFLAIGLALFIL >KVH97761 pep supercontig:CcrdV1:scaffold_25:799600:816776:1 gene:Ccrd_000097 transcript:KVH97761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex AP-1, gamma subunit MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECASIRAAVSDNDNDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIAAPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNVRKKGTTRDGNFTVLSVVLRWSLGRMRSSVLEGALGSCTCTYLCSYNVQAALCSIRIVKKVPDLVENFVNPVASLLKEKHHGVLLTAMQLCADLCILSEEALEYFKKRCTEGLVKVLKDAVNSPYAPEYDISGIADPFLQIRLLRLLRILGHGDADASDCMNDVLAQVATKTESNKNAGNAILYECVETILSIEDSGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAISVDGQAVQRHRATILECVKDSDASIRKRALELVYLLVNESNVKPLTKELIDYLEYSNSSPSQMLQFHFHTEDHASACISLWPDPWLSPDKLWYIDQMLKVLCEAGNYVKDEVWHALIVVITNASNLHGYTVRSLYRAIQTSVDQEAVVRVAVWCIGEYGDLLMNNTGMLDLEEPITVSLVLQLAIKRHTSDLTTRAMCLIALLKLSSRFPSSSQRIKDIVTQSKGSLLLELQQRSIEFDSIIEKHQNLRAALVERMPVLDEATYSGRRSGSVPAAVSTSQGNALHLPNGVAKMADAPLLDLLDLSVDEPAAPNSSGGNFLQDLLGADISSSSSQSGKIQAQKSGTDVLLDLLSTGSAPAQNGSSTPDIFSISQDNKTSISALDSLSSPMVPPVQASPITGEDNGPAHPPIVAFESSSLKIIFNFSKEPESPQTTLIEANFTNKSSEVYTDFIFQAAVPKKSIMMRIRISYKLNGKDMLEEGQISNIPRDL >KVH97748 pep supercontig:CcrdV1:scaffold_25:132421:133003:1 gene:Ccrd_000149 transcript:KVH97748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YNNFEWKTKYCQELQSPPRIEFDLQFGLLKRPNSRVDFETKSSTSPELNPPTIVYFNHTNTTNQLIGATDIYPLL >KVH97768 pep supercontig:CcrdV1:scaffold_25:398567:401786:-1 gene:Ccrd_000127 transcript:KVH97768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGDQESAKMESLHVVKSKGNKKKKKNEEGVVGEEKTRSGCWNRWGFIGSCVSSRSKVDSSTSGISEVLVGENNLSTPKPLEEELKVASRLRKFAFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHTEWLAEVNFLGDLVNPNLVKLIGSLPLPWSIRMKIALGAAKGLAFLHEEAKRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHISTRVMGTYGYAAPEYVMTGHLSSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSVKGAQKAAQLAARCLSRDPKARPLMSEVVEYLKPLPALKDMAGSSYYLQTVQSERVGSSPDSRNGTRPRAASFSRNGPPHARTVSIPHGSSPYRQHESPKTNGKQ >KVH97765 pep supercontig:CcrdV1:scaffold_25:450800:454312:1 gene:Ccrd_000122 transcript:KVH97765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MGGQISSQQRAANGEVISVNSLDHWNTQLKSSITSNKLMVIDFSADWCGPCKIIEPAVHDFAVEFSNVEFIKIDVDELPDVAKDYSVQAMPTFLLLKKGEEVGRVVGAKKDELRRMIEKHRYI >KVH97771 pep supercontig:CcrdV1:scaffold_25:430573:437374:1 gene:Ccrd_000124 transcript:KVH97771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27070) UniProtKB/Swiss-Prot;Acc:Q9LFY0] MVSFITSSNAHYLISPVVSSLGLGTNFKIRTRAVLVLVKKDRFWGFKELKKTRRRSGVLCKGSLESEAELVLEREILEFMKVSRNPNDFPTKKELLDAGRIDLVNAIIKIGGWLALGWDDYDDENELDIKEVDNIMDLHTRIRSCQQNNDDSDPSLNCSSSHQLASSSGRSLGTVDQEDAGIEGILYRLEKDRSLSFGISMLENGHDTYASSKDNGGHRGCSSADVAAEINCGEKLTRGEEDSKDKVLGTQGDTELQERHKEINSNYIISRFQDMQLELSSSLCLLRSKSDKNNPEGHKRSSNELQQLPDTREFQENEFMNTKDRLRSIRAELAVLEREMGLSIINLRKRVEEKQRRSDNGCRALHLLHYTSIIWPNSASEVLLAGSFDGWTTQ >KVH97736 pep supercontig:CcrdV1:scaffold_25:306752:310275:1 gene:Ccrd_000135 transcript:KVH97736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MTCTGMAFFPTNFMLQASHHDEDDHHTPHSLSPLLPPCTTTHDFHGSFLGKRSMRFAGTEVCDDETNNNIHGEDELSDDGLVGGEKKRRLKMEQVKTLERNFELGNKLDPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKDYDIMTLKNKDPMESINLNIKETEGSCSNRSENSSEIKLDISTTHAPIDSPLSSHHNHQQPIPNLFPSANVDHRSHHHHHHHDQIFQNSSSRLLVDHHHHHLLHGRKADQSVAVKEESLCNMFVGIEDQSGFWPWLEQPQFN >KVH97732 pep supercontig:CcrdV1:scaffold_25:369994:375834:-1 gene:Ccrd_000130 transcript:KVH97732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MSTAARKRLMRDFKRLQQDPPAGISGAPEDNNIMMWNAVIFGPDDTPWDGGTFKMALHFSEEYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSEMKREYNRRVREVVEQSWTAD >KVH97779 pep supercontig:CcrdV1:scaffold_25:759111:763805:-1 gene:Ccrd_000100 transcript:KVH97779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MASKSYANILDLDPDKLLDIPQTPRALPRVMTIPGIIAGVDSYGNDCDSESTSSSCRERKIIVANMLPLHIQRDPDTLKLTFSFDEDSLLWQLKDGFSPESEVVYIGSLKVEVDAREQEEVAQKLLDEYNCVPTFLPYELHRKFYGGFCKHQLWPLFHYMLPMCPDHADRFDRVLWKAYVSANKIFADKVMEVANPEDDYIWVHDYHLMILPTFLRNRCNRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNCDLIGFHTFDYARHFLSCCSRMMGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLESVLNLPITLRKVKEIAERFKGKKLIVGVDDMDIFKGISLKLLAFEYLLQMHPALRDKLVLIQIVNPARSAGKDVQEAKMETYLILNRINEAYGSPDYQPVVLIDRPVARYEKSAYYSMADCCIVNAVRDGMNLVPYKYIVCRQNSPHSDDETALRTSMLVVSEFVGCSPSLSGAIRVNPWDIESVAVAINSAIIMKESEKQLRHAKHYRYVSSHNVAYWARSFMQDLERASKDHYNKRCWGIGFGLGFRVVSLSPGFRKLSPDCIVSAYRRSNRRAIFLDYDGTLVPHSSIVKTPSPELITILNTLCDDPKNTVFIVSGRGKGSLSEWLAPCARLGPDLVPMELEWKEIAKPVMELYREATDGSTIEIKESGLVWHHQDADPDFGSCQAKELLVHLENVLANEPAVVLSTMTENGEPLDFVTCIGDDRSDEDMFESIMNTVSSAEIFACTVGRKPSKARYYLDDTVDVVRLLAGLANASDPKPSSNPARFHVTFDT >KVH97754 pep supercontig:CcrdV1:scaffold_25:573465:583946:1 gene:Ccrd_000113 transcript:KVH97754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIDSVSAFNHRVSGLIYTQSRRPESVGTIHILFFTSRFNAKFCFTERGIVDGMKRSRDDDVSGSASQLQPPIISGASGQPQVMDGGGTHKLTTSDALMYLKDVKDMFLDRRDRYTEFLDVMKDFKAQRIDTTGVIARVKELFKGNRRLILGFNTFLPKGYEITLSDEDEPHAKKPVEFEEAIQFVNKIKMRFQGDDHVYKSFLDILNLYRKENKSISEVHQEVATLLHNQPDLLKEFTNFLPDSSAAASNHYVHSSRNHNPRHEDSSSPIGTIRPLHSEKKGIACHAERDVSVDMSDPCHEEGSIRPNKEHRRHGEKEKVRREDREHHSHNKDFDHHGMNRSTHKRKSANALEDSVAELFHQDMRDEVLCLREKVKERLRNSDDYQAFLKCIVHYCTENITRPQLQSLVNNLLGAYPDLMEEVNGFIDRSERTVMSYRIHLISFSFVNYPIPSVSQRTKIGDEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNITARRVEELLDKINDNSIKTDSMVRIEDHFTALNLRCIERLYGDNGLDVMDVLRKNASFALPVILIRLKQKQEEWARCRFDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSAKALLAEIKEISEEKAKDGNLYQHFASGKRQDSMPHQEFKYCDLDVHEDVYQLMKYYVPQNCTPEQFDKVMKIWTTFVEPMFSVPPRLAKASNPAMKSGSTVRQSNGKDVHSTIGFKQLTTANENDKVLAEHSGSSRACLVNDNNGVKENGSHITNHFRRKSGTSCITPKCETLKINVQSTDETSQIGGAHSSAALESGLVSRPNAGGSTQPGSSLNEAIVGSGRSKRRHSDTGGELKIEREEGELSPNGDFEEDNFAAFRDTGTILSKENYQNRYHEGGLENEADDEGEESAHRSSCDSENAFENGDGSGSETAEVEDHSPEEHDGVHDNKAESEGEAEEGEETVLPFSERFLETVKPLTKYVPGVSHNQKDDPRVFYGNDAFYSANDKWRGGSNDTTPNDSYARFLDLLYTFLGGAVDSAKYEDECRTVLGTWSFSLLAIAMDEVDNKLLHLYAYEHMRKPGRFVDELYNANARVIVNDDNIYRFEHSLIPETDRQTRLTIRLMDLGCETSEAPAFSIDPSFAAYPSIQNLPVAHGKKKPGIFLK >KVH97759 pep supercontig:CcrdV1:scaffold_25:552977:557344:-1 gene:Ccrd_000114 transcript:KVH97759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSLSKRVSLAPQSPAQPQQDLKHRVITCLNKLSDRDTLAVAAAELESIALSLNHDSFAPFLTYLSATSSSDKSPVRRQCVRILGVLSVTHGDALSPHIFKMLSAVVRRLRDPDSAVRSACVGAVSSIASEITKPSFSSLSKPLVEAVLTEQDQNSQIGSALCLSAAIEASPDPEPAQLQKLLPRVLKLIKSDSFKAKPALLSVIGSIAGAGGAAFNRNSLNSLIPCLVDFLSSDDWAARKAAVEALGRLAVAEKLQLTAFRSSCLASLENKRFDKVKVVRESMNRALELWKEIPGHLDEVPVSPQLDDISSSKDNGVGRSPAICPNSPPAAALETTSKEKVPSSMYSPIQRSSPTTTQSRSPSKNNYRKQSALIARKMDFDKETDSKVNVPVPKPAAVEVDYKESFVREKLEAPDTVDTDKRVILEMEKRVTEQVISNKTRDGNFGKLDGLRFGSRVVPFIDDCELDMDINGNAIEYVYGNQKEVEDLSLIQKQLLQIENQQSNLLNLLQKFMGSSRSGMNSLETRVNGLEKALDEISYDLAISTGRVSSNDSCCMGTEFLSPKFWWRTEGQYPSPRSPFRGSHQSNSDRCLPNKDFNSNITNLGSPTANKIGSGRVGNPGRSLESLLSARKKKAAQVQYHNNDRFDRGNLANYMQQRI >KVH97792 pep supercontig:CcrdV1:scaffold_25:12062:14134:-1 gene:Ccrd_000158 transcript:KVH97792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF962 YLYYIWVFYVINYVEKYPLISFQFSFTFLKLLQNWKMGFLDLDKNFAFYSAYHSNSINITIHMIFVWPIFFSAALLFNFIPAPFDFPNFHFSLFQTHFILIFNFAFLFTLIYAVFYICLDFKAGSLAALLCLFCWIASTALASLLGFQLAWKDKMSIIMLLIKHNLQRRAPALLDNILQAFLMAPFFVLFETLQILFGYEPYPGFRVRVKAMVDAETEDWRNKKGKLLS >KVH97772 pep supercontig:CcrdV1:scaffold_25:377724:386730:1 gene:Ccrd_000129 transcript:KVH97772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRRKLSKLVGTGFYTEALSLFSKLHFQSHPLNEFTFPFLLKACSKLKVIPHGQMLHAHLTKTGFNSDIYTATSLTDMYMKFVLLASALKVFDEISQPNTTLINVLVSGFSQNGCYEKSLDVFRRVSEYGLRPDSATIASLLSGCNNAVKDGFQVHCWAIKIGVETDIYVATSLVTMYSNCKDPVTASIVFERICDKNVACYNAFVTGLLQNRIHQPIFEVFKEMLRWSNQNPNSGSFVTILSACSDLKNLKFGRQIHGFLIKLDLLLDVLVGTALLDMYSKCGYWHWAYDIFHELCGFRSLITWNSMISGMMMNGESENAIGLFMMLESDGLKPDSATWNSMISGFTHLGKANEAFLFFRKMQSFGETPGKKSITSLLSACASLSTLVAGKEIHGHSIRTGINYDEFLATALVNMYMKCGRSSWALSVFNQFEIKPKKPVIWNAMISGYGQNGESEAAFEMFEWMKRENVEPNSSTFNCLLSVCSHGGKVEKGLGFFSSMKGYGLVPNSLHYSCMIDILGRSGRINEARELLSKMPEVSGSVLGSLLGASRFHSDLKLGEEMAGLLAEMDPESSTPFVMLSNIYAGEGRWKDMSYDPSEDLFGLSADLQPSSPLKVKEDDEVDNLEIKLAARRKSKRVYQSPSPESLNAKTGLFTNRMKIIHGDPKLHAQRVAAIKKAKGDIDARKRVSFYCKNCGRQGHRRHYCPELDQTSSDRQFRCRLCGEKGHNRRTCKKYETIESDPQNFKQPCCSICGKPGHNRRTCLQQTNSTTAATTTTTIAATETPENSKKRAYSCRLCREEGHNIRTCPSRNTQSVHL >KVH97785 pep supercontig:CcrdV1:scaffold_25:26783:33015:-1 gene:Ccrd_000157 transcript:KVH97785 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MAGQKNDYEKRSHYQPDFPGSEGGKRTNPVDERESHAIGPEDTVYRYLCPGRRIGSIIGRGGEIVKQLRSDTQAKIRINESVPGCEERVVIIYSSGEETNSFGDSDDLVSPAQDALFKVHDRIIAEEAPTDDELDEVQQVTVRMLVPSDQIGCVIGKGGQVVQTIRSETRAQIRILSREHLPNCALSTDELLQISGEATVVRNALYQLASRLHDNPSRSQHLFLSSSNLHRAGGGYVGPNSGPPLMGVVSLMGPYGSYKNDGGDWAASAKEFSLRFVCPTENIGAVIGKGGVIIKQMRQESGASIKVDSSAAEGDDCLITVSAKEACIVFEDPSPTIDAAMRLQPRCSEKSEKDSGIYVLTTRLLVPSSRIGCLIGKGGSIISEMRSLTRANIRILSNDNLTKVASDDEEMVQISGDINVASSALLQVTTRLRANVFEMEGTRAALPPAIPFLPMSMDMPDASRYGNRDGNSRGRGHASHSGRYDPELPSADNYGGSQIPVSGYGSYGVYSGRSASGGLSSSNPVSQGKHHGY >KVH97746 pep supercontig:CcrdV1:scaffold_25:142039:148903:1 gene:Ccrd_000147 transcript:KVH97746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MRRSNARVAEAVDRPHINKEKKKMDNSIKLKFRPNQSLRSTNLFSRNQKQPHLTKSNIYIIGFLTFVFVSSCYLYFNSRNPVQKRYRIIIDGGSTGSRIHVFQYVIKDGAPVFDLSGKKGLASMRVSPGLSAFAEDPKGAGASVLELLEFARERIPGEKWGETEVRLMATAGLRMLDLSVQERILESCRNVLRTSGFAFRNDWASVISGSDEGVYAWVVANYALGTLGDDPQETTGIIELGGASAQVTFFSSAAIPPEFSQTVKFGNVSYSLYSHSLLEFGQNVAFDLIRWSMFAKESFGNKEPVDPCSPKGYKHNAMIGNYTPGSFVEMNEQSSVLHSKLMVAGKKFCEDDWSKLKRKYPTFKDEDLHRYCFSSAYIIALLHDSLGIALDDKRIRYANQVSDIPLDWALGAFISQIMSELDVGQLYPVKSILGVDSWTLAFVIFLLIGAAYFGSKWRNPPNVKTIYDLEKGKYIVTRIGRHS >KVH97770 pep supercontig:CcrdV1:scaffold_25:424875:427859:1 gene:Ccrd_000125 transcript:KVH97770 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MAAFFNNQSFFFLLLTTLSLLPLFPADHHHRHRHHDQPHVIPPPPPPTLPPPPPAPTQPPPPAADGRNDRHLQPITEKLNQLPKKPLGNWVLLQRSIGISAMHMQLLHNNKVVIFDRTDFGASNLSLPFGETCRLNDELLRPDCTAHSILYDVPSNTFRPLYVQTNVWCSSGTVDPTGTLVQTGGYHAGDHRIRLFTPCNDQSCDWIELARNLTVQRWYASNHILPDGNIIIVGGRRAFSYEFYPRNPIGSSSLNLFNLTFLMETSDYQEENNLYPFLHILPDGNLFIFANQRSILLDYVHGRVVREFPPIPGEKRSYPSTGSSVLLPINLHENVQSPPVEVMICGGARAGSFSMAERGLFMAASRTCGRMNLNSLQPYWITEKMPLRRIMPDMILLPTGDVLIINGAARGTAGWENAIEPALHPVLYEPRKRLFTVLNPTTRPRMYHSAAVLLPDGRILVGGSNPHVSYQFSGVNYPTDLSLESFSPPYMDDRFAYYRPSILSVEYGIDESVIYGQYFNITLTMTLSGLDRRLIVTMVAPSFTTHSFAMNQRVLFLHIVSVEHLSVFGYKVTVRAPASPNVAPPGEGTEGDDIRSRIVEHSNGLLALWLLTLKDEIPRSEPHNFRSVSF >KVH97742 pep supercontig:CcrdV1:scaffold_25:166753:168006:1 gene:Ccrd_000143 transcript:KVH97742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESEKRVSLFGDNVDLIDASFSTYLNDNATMLKLTQPLQVNAKKKVEDGEIGIFGAEKYFKGAMDEQLPRTPKLIQPANVNPQAKDEDPAKPKGESGTRSVRSESSWNSRSGLLVNNGGNQRVHRSRREKSSTVKSLLASLGCNCNDKASVTITENRVHVVKPPAKSSDFDDKKRDDCFAFPVLNARGNDAASDASSDLFEIETFSTNGNNSFLARQVSNGRSSNATLPNGYASSEASIAWSVVTASVTGFSIISDYEDTRAFKTHNMERMIKGTSILSGCNSHKSVRVAGEHLVVSGGDKAGVTVGNTTKERCRLDSVVPVVKFQAETKPMSSHSPRASHHLYIQQQ >KVH97755 pep supercontig:CcrdV1:scaffold_25:612176:618150:1 gene:Ccrd_000110 transcript:KVH97755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, MYND-type MECAAKGSRRRCSGSANRRCGGCGAVSYCSVAHQISHWGVHKEECGRLKRQMCAVELLNDFPFTFSQGATYQVCEKVETRCSFLENMAVHYVGFWICECTCGASVTLLDNFRSDKCWSLSSQLCPCRGPLSILKNQLYSWNDYYEWRGIPLDSPVAVLLHWPLTIYQAIQLASEKQLIPSTTDELCIHYLGPERELYQLAVFGELHALLPGVQVHIDFVGPSVPHDRDGETISLCSYAHCLDANCSCKSGKGEFSSHATSDKSSAISIRLHSGCYHDRYRELTKELIREIEIPAIFSDYCEEACHLAANCISSVTDGMILPQVQLNPFRQPLAVEDSVLALPCYSNCFLFGI >KVH97774 pep supercontig:CcrdV1:scaffold_25:680790:687636:-1 gene:Ccrd_000105 transcript:KVH97774 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methylase, N-6 adenine-specific, conserved site-containing protein MKLKQLESLLGNLQQFDNPKIELEQYPTGAHIASRMLYTAENSFGDVSNKVVADFGCGCGTLGLAATLLDAEHVIGLDVDDESLEIASINAEDLEVEMGLIQCEIKNLRWQGQIVDTVVMNPPFGTRKKGADMEFLSTALKVASQAVYSLHKTTTREHIKRAALRDYGASSAELRYDLPKQYKFHKKKDVDIAVDLWRFVPKPKHESSS >KVH97753 pep supercontig:CcrdV1:scaffold_25:589390:592871:1 gene:Ccrd_000112 transcript:KVH97753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMTTFFGMSLGAFVFWQSMDKVHVWIALHQDEKQERMEKEAEIRRVREQLIQENKERDPLA >KVH97758 pep supercontig:CcrdV1:scaffold_25:515740:521729:1 gene:Ccrd_000117 transcript:KVH97758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHADVDRQIEQLMECKALTELEVKTLCDQARAILVEEYNVQPVKCPVTVCGDIHGQFYDLIELFRIGGSTPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLTLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEVGEKMEHNFLQFDPAPKQIEPDSTRKTPDYFL >KVH97782 pep supercontig:CcrdV1:scaffold_25:620566:627165:1 gene:Ccrd_000109 transcript:KVH97782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSRMMWLWRVLCILGLILGSVSEFGDALKLPFRVNDVLPVLPRQISWPVMNNFGKAVDLLPSFVGTISPNNGSLEWKGACFNGNEARMDFTEGDDRGMGGGIIYLKTAEAHSWTCMDLYVFATPYRITWDYYFAAREHTLTFDSWEETAELEYVKQHGVSVFLMPSGMLGTLLSLVDVLPLFSNTKWGQNANLAFLKNHMGATFETRPQPWRATINPNDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAGEEIIVVIPWDEWWDLALKDESNPQIALLPLHPEIRAKWNNTAAWEYAQSMSGKPYGYHNMIFSWIDTIGDNYPPPIDAHLVISVMSMWTRMQPAYAANMWNEALNMRLGTEGLDLYEILEETEKRGISFDELLTIPENDEWVYSDGKSTTCVAFILQMYKAAGVFGPVADSIQVTEFTIRDAYMLKIFENNQTRLPNWCNNGDDKLPFCQILGKYRMELPLYNTLEPYSNMNEHCPSLPPTYGRPMHC >KVH97752 pep supercontig:CcrdV1:scaffold_25:473148:480264:-1 gene:Ccrd_000119 transcript:KVH97752 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MGACLSTPENFVGGGIRLSKKKFSKRKKVLKRRGSSSLSDQSKFDPSGSTDESWYDSAAILESDCSDEDFRSVLDDVLSLNSSDGASRPSIASLRDVNLGDGELRRSSVHPEDMDFRSRFDGRSNQTRPVYLDEISSSINDSAGREDGLLDCGIVPGNCLPFLATTVPSVEKRRSLSSSPPSARKKTAHKLSLKLKDGHPNAAMFSSKNHLERPIGGSQVPFCPAEKKVFDSWSYVEPRTFRVRGKNYFRDKRKEHAPNYAAYYPFGVDVFLSQRKIDHIARFIELPVVGPSGELPPILIVNIQIPLYPAAFFQGEIDGEGMSYILYFKLSDSYTKEFSSQFQDNMRRIFNDEIEKVKGFPVDTLVPFRERLKILGRVVNVDDLQLSAPERKIMHAYNEKPVLSRPQHEFYQGENYFEIDLDMHRFSYISRKGFEVFQERLKNCILDFGLTIQARKQTRGAAGADIMLCKVKRNRLHELSHVNVKSRSRIG >KVH97789 pep supercontig:CcrdV1:scaffold_25:88835:89548:1 gene:Ccrd_000153 transcript:KVH97789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGFHLIVITLLAFSHLLFMANAISTSGKIHRHLLNESVEILASRNTKNQMMNMKETAFLEDEFMSERMDLEKTDYPGPGANKNHTPRPPQRD >KVH97741 pep supercontig:CcrdV1:scaffold_25:204423:204875:-1 gene:Ccrd_000140 transcript:KVH97741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESHPKRHRDDDDHHSDIDTTKRHRNSYINEQQETTQDLFDFFTTLTSDPLLDFIQQPQPDPDSSNPSVKQELDDDNDEKERVIRHLLEASDDELGIPSRVESGDGGGDDEISGGGFDDFPMAFCDGLWELEDEAANYYTLLQSELFMQ >KVH97738 pep supercontig:CcrdV1:scaffold_25:245394:249309:1 gene:Ccrd_000138 transcript:KVH97738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MAICIKSRTTTLLPQKPRGLSSFFAAIITEGLGTSFSRCSISTDQSVVSPKNCQDLFRAWGCNNQQTSHIFERVPSLHKAKLDKLQSKLKILHDLGFSSSDLVKIISCRPRFLRCKINHYLDERVQYLENLFGSKQTLQKAILRNPSILTYDLKTMIIPTVDLYKSMGINQEDLTLMLLSRPTILPRTSLNDEKLEYIRRTGTSKDSKMYKYVVTIMAISRLETIREKVANLEKFGFMEDEVFRLIGSSPLVLTLSVDKVQRNMTYVVGCMKQPAKVVLSHPFLIFNNLETVMKPRMIVAAKIDDMGLFPRIEGPKVFTALRMTERRFFKAFINCHPLETAKELMDCYLDAKHVKRLAEESKRSVHKGFPF >KVH97733 pep supercontig:CcrdV1:scaffold_25:345774:351559:-1 gene:Ccrd_000132 transcript:KVH97733 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MEFHGVALRRVLELVFCITGIWSAYIYQGVLQETVSTKRFGPNKERFEHLAFLNLAQSVVCLQWSFMMIKLWGHGRGGRAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYLCSLLVAGGVSIFALAKTSSKTINKLANPNAPLGYGLCFLNLVFDGFTNATQDSISARYPKTNAWDMMLGMNLWGTIYNLVFMFGLPQASGYQAVQFCKQHPEAASDILYFCLCGAVGQNFIFFTISRFGSLTNTTITTTRKFVSIVVSSLLSGNPLSQKQWGSVAMVFSGLSYQIFLKRKKPKRMKKKKRKTG >KVH97781 pep supercontig:CcrdV1:scaffold_25:728742:733022:1 gene:Ccrd_000102 transcript:KVH97781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MSVSYSDCFSDLLCGEDSGILSGGEQPECSYDFEYCADFDDSIAEFIEQERKFVPGIDYVERFQSQVLDASAREESVAWILKVQRFYGFQPLTAYLSVNYLDRFIYCRGFPVVNSSTANGWPLQLLSVACLSLAAKMEEPLVPSILDLQVEGAKYIFEPRTIRRMEFLVLSVLDWRLRSVTPFSFIGFFAHKIDSTGTYTGFLISRATQIILSNIQGKDHKLLQTGTRSESIRNVNQQVLKDTTGSGPSHDSCEYWIR >KVH97787 pep supercontig:CcrdV1:scaffold_25:65474:74577:1 gene:Ccrd_000155 transcript:KVH97787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MFNEELQESDWSSVVQDLSSYLVMKSLYGGWKREIKWINDHFIYGGHLLTTTTSSSSSQPSSSSLSSSSSLDFPFLIPTTTSTHSTSTNFNEDDQDHEHDDDEEEEEEEREEEEEEDIDLNLSGSYSLNGNIDSHHHHHHNGKTRPGGSGQSKTSARGHWRPAEDVKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMTAHRLYGNKWALMARLFPGRTDNAVKNHWHVIMARKYREQSNAYRRRKVIISQSQSQTSTATPPPLPPPPPPPPVSATVPITHNGCIHQLPPFGVISGQLFSFFSSCSGAATSQLHLGFFISS >KVH97762 pep supercontig:CcrdV1:scaffold_25:783622:787114:1 gene:Ccrd_000099 transcript:KVH97762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERELEDEFRVYGVLRNVWVARRPPGYAFVEFDDRRDALDAIRALDGMFIVYAEVNYWKNGWRVELSHNSKGGGGGRGGGRGRGGGEDLKCYECGEPGHFARECRLRVGPRGLGSGRRRSPSPRRRRSPSYGRRSYSPRYSPRGRRSPPPRRSISPRRGRSYSRSPPYRHARRDSPYANGV >KVH97786 pep supercontig:CcrdV1:scaffold_25:78584:80463:-1 gene:Ccrd_000154 transcript:KVH97786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSVVGIGIRVCNILACRNHHFEASCSYYPSAAEDSMTPSTSLAIINDSKTPISNKEASSNRKELPTQRIFKVNHGDHLFETGVGKKAMVNLRANPAAMSRSVNFQETSWL >KVH97766 pep supercontig:CcrdV1:scaffold_25:454346:456035:-1 gene:Ccrd_000121 transcript:KVH97766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal MGVDYYNILKVNRTVSDEDLKKAYKKLAMKWHPDKNIASTDDKVAESKFKQISEAYYVLSDPKKRQIYDLYGEEGLKSGQFDESSPTFRGTTKSSSRFRFDPRDADEIFAEFFYGSDGGGGRRKGNPGGVLKNSNQKSVRKAETVENKLSCSLEELYKGSKRKMQISRIVLDDSGKPGTLEEILPIHIKPGWKKGTKITFPEKGNQEPGVAPGDLIFVVDEKPHDVFKRDGNDLVFTKRITLLEALTGKTIKVVTLDGRNLTIPLTDVIKPGHEEVIPNEGMPISKEPGKKGNLRIKIDIKFPSRLTGEQKSDLKRVLGGGGRVDD >KVH97790 pep supercontig:CcrdV1:scaffold_25:120251:131371:-1 gene:Ccrd_000150 transcript:KVH97790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like, N-terminal MPPIDEGDGGSDGGDVVKGEKGVLRIRPRPLDFHKKLPILKSIKDFEDDDTPTSTRTKMLRLVAEADNETQVHQVASKKTVSEIPTPEYVIVDTYERDYSPTFNQPTSYLRARGVRAEIGEFVEYDLDNEDEDWLEEFNRERKILPAEMFETIFFKLEVLDHKTRERAGVITPTLGAPIPVLLTFDAAAEALQALNVKYGVFQSIYNYWKEKRERWQKPVLRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKNLLDALIKREEKKREVIESEVTLQRIQMKYKNESELLEDSLALPGLPSFLSKFASSEDEFVDSDDIQHNRVAPQPYTVQNQALMDSRFVMPSGGGVKREFRRRPLPYTWLHKLDPLEPVLLFTKPLDAAKLAAAGIVPPTASATSSRSYNSHGRIGRGGRIIFDRWNPLMHTPIDSGDSLYIPPKPRPPTHN >KVH97740 pep supercontig:CcrdV1:scaffold_25:179929:182548:1 gene:Ccrd_000141 transcript:KVH97740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4, conserved site-containing protein MVHVPFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSCIRNAARMLLTLEEKDPRRIFEGEALMRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIKQRHIRVGRQVVNVPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKASGGDADEDDEE >KVH97751 pep supercontig:CcrdV1:scaffold_25:362050:367124:1 gene:Ccrd_000131 transcript:KVH97751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEDYSIWVSSNFIFLAFFSLFLLQSSNSQLNPADSRTLFQAQQKLEYPPVLQQWSKWTDFCYLPSNPNLVIVCEGNRITELTIIGNKTKSALSANFSIDSFFTVVTKLSSLKKLTLVSLGLWGPLPPKIDRFWSLQVMNFSSNSINGDIPSSISSIKNLTVLDFSKNLLNGSVPDLKWLQNLEVLDLGSNLLGPKYPSLSYNLVSITLKNNSIRVQIPSDFVKFVHLQRLDISSNKLVGPIPSSLFSLSSIEYLNLANNQFSGAMPTNLSCTTKLRFVDLSNNLLIGRLPSCIGSNLANRTVISLWNCLTNTTSKYQHPHKFCQKEAIAVLPTKRNGEHKKEETTLKLGLVLGIIGGIVGIAGLIGLLILGVYRRRQAKRTKQFKSDSFAFDNNAVRRRPQTMRTAALGLPPYTIFTLEEIEDATNNFDSSNLVGEGSQAQLYKGWLRDGSMVLIKCLKLKQKHSTQTVQQHMEVISKLRHRHLVSVLGHCIVSYMDHPNSGSTVFVVLENAGSESPLNSHDASQVPHRPTNPEKDDIYQFGVIILQLITGKPVNSEDEIIELKNQLEIGLMESPTRLKEVADSSIRGTFAYESMKTAVQIAISCLNEDVNVRPSIEDVLWNMQYSVQVQEGWNSSGNLSTKL >KVH97791 pep supercontig:CcrdV1:scaffold_25:116453:118386:-1 gene:Ccrd_000151 transcript:KVH97791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease T2, active site-containing protein MKLNGKGFLIIFLVIQCVTILTVAKDFDFFYFVQQPAEDFSIHGLWPNYNDGTYPSNCDSTNSFDDSKVSDLESVLQKEWPTLACPSGDGLKFWRHEWEKHGTCAESIFDERGYFEAALSLKKKANLLRALEHAGIRPADGKFHKMEQIKDAITKGVGYAPYIECNVDSSGYHQVYQVYQCVDASATNFIECPVFPHGRACGNKVEFPSFSSASSRDEL >KVH97764 pep supercontig:CcrdV1:scaffold_25:440635:446311:1 gene:Ccrd_000123 transcript:KVH97764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATAASDVTDGPVLTLINKRILDGDNVGKTLENEQKETVLPVAFVEDLLSLIYFGTMFDVKSQSDFNSIMLTRTHERNCCLTYDYVTDDEAAVMLGERDLDLISMMGSLLISRPVDSSLSHQNALQRCIEHAKLWLAKSEQPIDSNSIVTYAALREKLAKIIGSDFFKITPEMKATADVAAEAAGNYAFQVPVQVESVTHHEQKEDDAQDFQRNETYEDQSTPIEDSLKYEAEGQNSVETLVQVESVETHAGSADKEQYVPRRSYQNQRGGGGGRGGGGRRGYGNGRGGRSGGRAGPYQNGRNQYNDQPGGYYPRNYQGGRGRGGRGNSGDAYSNNGSSVQVAES >KVH97735 pep supercontig:CcrdV1:scaffold_25:336353:340456:1 gene:Ccrd_000134 transcript:KVH97735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MQNMKKKACDSTSSSNNNNGDGGGGEVQKQKERHIVSWSQEEDDILREQIGIHGTDNWAVVASKFKDKTTRQCRRRWFTYLNSDFKKGGWSQEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKNEALAKDNSASYITANNKRAMFHNGQDADRNLETSMPPAKTRRKHIPDTTENCNLEESTGTFRTTDQQLRPPFAVLLQNCHNINTLRTQLHAKTEEASKDGTFLKKDDPKIIALLQQAELLSSLALKVNMEKTEESYETACKAVQDFLKQNKGSDVLGFNVSDIDFQLENIKDLVEDIKSCDGGSQQSWRQPDLYQGSPDSSEYSTGSTVLSQVIEKMEPLQEGLCEVEPQTTQVIQPTSIVNGKNVMIPDSTTNQVLLASCDEFDNDVGAIFPLPNSEFNSPLQVTPLFRSLAAGIPSPQFSESERNFLLKTLGIESPPVKPGTRTSQPPPCKRALLHCL >KVH97763 pep supercontig:CcrdV1:scaffold_25:790926:800689:-1 gene:Ccrd_000098 transcript:KVH97763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETVSAEFPSKVSPLTVSSDTQPAGSYLINNQSTIDIYTIKTQNPTLTTSLKGHSYGHYGPKFAIYLQNATIPLATTRPFCLAKGLWGGMILAEDEDDNGESGFNQNWAYENFPLLGGRKMKDGKRGNSMASSSIFRFIIGFILLVNLTLGIGGEIVFEEGYTVTTLIDGDKLNINPYSVFSLYGSSSDLIILDHVKSTIYTVSFSDSQGVDIKKLSGNGDAGYMDGDLGSAMFNKPKSFALDRKNNIYIADMTNHVIRKISKSGVTTIAGGYVRKTGKADGPAQNASFSDDFELAFDPQRCTLLISDHGNRLVRQLDLKAEDCAGSSSGSGLGSTTAWGIGMGVACLIGLIIGFAIRPYVLPHTGSFRPSSVQHDMEALPNPSGETSTDLLLRQQKRNC >KVH97743 pep supercontig:CcrdV1:scaffold_25:173422:177584:-1 gene:Ccrd_000142 transcript:KVH97743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHVSDELLGTIVPLVVYWLYSGFYMLFESLENYRLHSKQDEDCKNLVPRRTVVKGVLLQQALQAVVAIILFTLVDELDGKLYLPQSVVVEEASLLASRTRLLLGIPDNFDRSINIDNVTSNDADAANSKGHSLVDIVRQFFTAMVVLDTWQYFMHRLMHQNKFLYRHIHSLHHRLVVPYAFGALYNHPVEGLLLDTIGGALAFLLSGMSPWASIFFFSFATIKTVDDHCGLWLPGNPFHVFFRNNSAYHDVHHQLYGAKYNFSQPFFIMWDKILGTYMPYTLEKRAGGGFEVKPTKFDKDD >KVH97775 pep supercontig:CcrdV1:scaffold_25:688598:692673:-1 gene:Ccrd_000104 transcript:KVH97775 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RPB5 subunit LVRAAGQLFSNSSSSSTSSLFPRLSPPPPSNDTRMTLSEEEISRLFRIRRTVMQMLRDRGYLVGEFEINMGRGDFFRKYGENMKREDLIISKAKANDSSDQEAELLVNVKDHILVPEHQVLTAEEKKTLLARYTVKETQLPRIQVSDPIARYYGLKRGQVMKIIRPSETAGRYVTYRYVV >KVH97750 pep supercontig:CcrdV1:scaffold_25:250400:252429:-1 gene:Ccrd_000137 transcript:KVH97750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MLEEPSFVVSRNFNCKRENSWGFMSNCRIEKIESHHEKRPLEIGDDEVVHARKILKQSNGYENLDLGLGFLDLAIASSEQSGDNSDSSSLIHAIGPDNSISCLLRCSRSDYGSLASLNRNFRNLIRSGELYRLRRKNGIIEHWVYFSCHLVQWEAFDPINQHWMHLPTMSSNTCFQFSDKESLAVGTELLVLGKEVLDHVIYKYSLLTNSWSFGQLMNAPRCLFGSASLGEIAIVAGGSDPNGKITNSAELYNSETGAWETLPNMLKPRKMCSGVFMDNKFYVIGGVGGSDMKALTCGEEYDLSTRVWKEIPNMSPVRTGGAANATTATATEAPPLVAVVDNELYAADCADMEVRKYDKERKEWETVGRLPERADSMNGWGIAFRGCGDRVIVIGGPRTSGAGFIEVNSWVPRDGPPRWTMLGRKQSSNFVYNCAVMGC >KVH97767 pep supercontig:CcrdV1:scaffold_25:468757:470640:1 gene:Ccrd_000120 transcript:KVH97767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MPPPQPPPELPSLLLILSFIFHLVTVSAHTCARAPPCPPFTTTAPPFPFSNSPGCGHPSFQIQCPSPPLPATISINNHHFFLLHYDPTSTSLSLHPTTTSTTTTTNCTTTHSLSTIPTQPINFSNSPFQPTDSFCSRLSYLRPCLPPTLPNCSHCPWECKITKNPLQIIHSCGSIHPPQLSKEGCQYDILGFLDSFLKFGIEVEWEEKDSYFSNCTACKSINGVCGFNSSDPNKPFLCFQPSIQPQKSSPEVRKPKLRVAMMSLAVLFLCFLLFILISTYISRRKRKNSPLEDPAVIYLHRHRSASLLPPVFTFDELQSSTNNFDPNRKIGDGGFGSVYVAHLEGNRTYAVKYLHKQNPTSNSFSTKSFCNEILILSSLNHPNLVKLHGYCSDPRGLLLVYEYVPNGTLSDHLHVYKKQCLTWQTRIDIALQIALAIEYLHFSVVPPIVHRDITIQMGLLGEVIDPRLAAVDGGGVAAVAELSFRCVAADKDDRPDAREVVAELRRIKGRTRGGGTAVRAANSSNVVVPDGGPMMVD >KVH97776 pep supercontig:CcrdV1:scaffold_25:667959:674265:1 gene:Ccrd_000107 transcript:KVH97776 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MPGNKSKSEKSDAEKQSLRDPYEVLGVPRNSTDQEIKSAYRKLALKYHPDKNANDPKATDMFNEITFSYNILSDPDKRHQYDTAGFEVKFSRSTDVGIWFMLTKKSWVQAVESEGQDLELDLSSLGAMNTMFAAIFSKLGVPIKTTVSATVLEEALNGTVTIHPIAFGQPVVRKVEKQCAHFYSVTITEKEAQAGLVCRVQSADKSKFKLLYFDQEENGGLNLALQEDSAKTGKVTSAGMYFLGFPVYRLDRPSNSMASAKDPDAAFFQKLDGFQPVSYTIEVICTASFVEEKESLRAVEAQILSKRLELSKFESEYKQVLAQFTEMTSRYAHEMQAIDELLKERNEIHASYTTTSPTTKRSSSSTSSSGRRSKNRKESKEDGHQKASSRDHRGKKKKWFNIHVKVDKRKTC >KVH97749 pep supercontig:CcrdV1:scaffold_25:136306:140632:1 gene:Ccrd_000148 transcript:KVH97749 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MNRHLADVIDERSDKDGLIVASPGRDVTTVEGDKDFELHDGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDGGSTRRPSVKKTDCKASMHVKRRKDGKWYIHEFIKDHNHELLPALAYHFRIHKNVKLAEKNNMDILHAVSERTKKMYVQMSRQSGGYSSVGSLRDDLNYQFDRGQFDMRWWKLVGRFELQENEWFHSLYEDRKKWVPTYMKDTFLAGMSTPQRAESVNSFFDKYIHKKVTLKEFIRQYGTILQNRYEEEAIADFDTWHKQPALKSPSPWEKQMSSEYTHTIFKKFQVEVLGVVGCHPKREREDGSITIYTVDDCEKAESFMVTWNGMKSEVWCSCLLFEYRGYLCRHAMIVLQICGFSNIPSCYILKRWTKDAKNNQSTTEGMERMQTRVQLYNDLCKHAIQLGEEGSLSEESYDIAFRALVEALKTCVNVNNKECSNNALSIRDVEEENLGAVSAKTSKRKSANKKRKVQSEPEPVMLEVRDNLQQMESMSSDGITLHGYYGTQQNVQGLGLVYMNSIWYTFILFKGNMCENTFKGQLNSLAPTHDGFFEAQQSIHGLGHLDFRPPSFGYGMQDEASLRTNQMHNRHS >KVH97734 pep supercontig:CcrdV1:scaffold_25:337191:341846:-1 gene:Ccrd_000133 transcript:KVH97734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RERRKACFKICTSFPLFSLHLFFGNIIILKQISLHSLVPPATTVVPLSKFTLQLTNLNTPQQISKSPTFPTTQKPPSLTKLHTQKHESFIICKVPLDDCSQILQKETDTVYCITTTHHEKFSRVQATIRDGLFLHFAY >KVH97769 pep supercontig:CcrdV1:scaffold_25:410853:424991:-1 gene:Ccrd_000126 transcript:KVH97769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVMVAMTVVVVGGKEREERESSKEEEEERLIQCTSLYLYRPANVLFSGVISDRGTLGGAEKIFGSVVPSYISGGRTEGQAESNNMKLAAKLDGLMAENQYPVTSFADDYDGKREGAGSKHGRLGAISTLNGLTIVDKHTDVHEEEGWITIPCKELPDGWTEESDMLPFRSLDRPFVFPGEQVHILACLSACKQDVETITSLNPAEVTSKSGVGQNTKKHNVQTEQGPTQVSKVESSDLTEGKMNHKNKVAAESALRMEEYRRKTEALLKRFRNSHFFARIAEADEALWSRRKTQETFPGSTSTIGGKFLPVESSNDVNKEPPLNVDRGSLDASTSGGVAKNDVKCSLLANGDIVVLLQVTIGVDFLRDPVLEVLQFEKYQEAALTSGCSEDLGHTKQDPYGDLLKWLLPLRNSVSTPHFFPPPQMSSSPNARSSLTKPNAPSSFGSQNFLLGQFRSHSMTSLSSKSVPSPTPLTSSSRPHVGLEDWDQYLSDKSGSERSGEGLLSFRGVPLEPERFSVRCGLEGIYIPGRRWRRKIEIIQPLEINCSVVDCNTEDLLCVQIKNVSPAHAPDIVIYLDAITVIFEEALEGGPPLSLPTVCIEAGNDHGLPDLALSSNAQGEKSSRPSLWAGSARSSLYSFSNVDRCAFPANKYSVLVSCRCNYTGSRLFFKQPTNWRPHIQKDLLISVASQMSKQILGPHDRITRLPIQVLTLQASNLTNEDLTLTLLAPSSLTSPSVVSLSCSSASPLSPSDESVARTSGDMQGISLQRLCAASKVLDQKWGDDGWWRPDSFNEQTISISDVIPRNGMGHTHLWLKSRVPLGCVPSRSTVTIELELLPLTDGIITLDSLQINVEKGSGL >KVH97784 pep supercontig:CcrdV1:scaffold_25:46339:47272:1 gene:Ccrd_000156 transcript:KVH97784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAILGFLFVGFLSIASSVHADGGWVNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCFEIKCVSDHQWCLPGSITVTATNFCPPNAALPNNNGGWCNPPQQHFDLSQPVFQHIAQYKAGIVPVAYRRVPCVRRGGIRFQINGHSYFNLVLVTNVGGAGDVHSVAVKGSKTGWQQMSRNWGQNWQSNTYLNGQSLSFKVTTSDGKTVVSNNVAPAGWSFGQTFSGAQFR >KVH97756 pep supercontig:CcrdV1:scaffold_25:603948:605084:1 gene:Ccrd_000111 transcript:KVH97756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MVDFRFCRRSSSSIDAVVVVLLFLSIPLLCLGIRSFPTNGEIISDDFFGFTEAPEYRNGVDCWPEIIHVAMTLDSEYLRGSIAAVHSVLRHATCPENIFFHFIAAEFDPASPRVLTRLVRNTFPSLNFKVYIFREDSVINLISSSIRLALENPLNYARNYLGDILDPNVNRVIYLDTDVVLVDDIQKLWNITLQNNRVIGAPEYCHANFTNYFTDNFWSDPVMSRAFGSKKPCYFNTGVMVMDMRKWRAGNYRRKIEKWMELQRKKRIYELGSLPPFLLVFAGNIEPIDHRWNQHGLGGDNVKGSCRSLHSGPVSLLHWSGKGKPWVRHDEKRPCPLDHLWKPYDLYKSNEDHHHRHQSQPLDFSFSSNFLGYSNYLI >KVH92507 pep supercontig:CcrdV1:scaffold_250:235289:251979:1 gene:Ccrd_005460 transcript:KVH92507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MENSPENCNVKVALHIRPLLKQERRNGGEECLDVVPGTSQVLMGSHSFIFDHIYGGGGSPSSNMFDECVAPLLDDLFQGYNGTVIAYGQTGSGKTYTMGTAPKEGSNRGLIPQVMNTIFNKIETLKDQIEFQLHCSYIEILKEEVRDLLDSAVIDRLETGDGNAENAVPGKLPVQIRKASDGAVSLSGSTEVSVSTQKEMTACLEQGCANRSTAATDMNSQSSFVQQIREFHVWHVCNSRSHAIFTIILEQKDKNKGEASSNDNFGGEEYKCAKLHMVDLAGSERAKRAGSEGVRLKEGIQINKGLLALGNVISALGDDKKRKEGLHIPYRDSKLTRLLQVCLTLLNSENQLWQNVLTYSNIYHVFPFSLSYISSIGNEVRISSQGLSTRHFEKDDASLGGNCKTVMIACVSPADFNAEETLNTLKYANRARNIQNKASVQKEVFPADTHKLRQQLRLLQAELAHRREAEIAEVQEYREYFVQRDGVKSGSQCTTSPEAIKRDSQCTTSPEAIKRDSRCTTSPEAVSESVASEVLKEPDKLTIKQEHEIQQNMWHKELNDLDKRLEEKEVPLSLSEMKLIGSSDVEALKQRFGKKIIELEEGKRSLQLERDGLRAKIARLEALGEPTEKEQTMNAEKLEEIEISDLEKQYEQQIELLKQKSQDAMKRLQDQICLIKSQKLKKESRKSEFERHKLLALYQRQTQVLQRKTEEAARATKKLKELLELRKVANRRETTVQPSGQKRSGQIKNLTRWLNHELDKVMHVNKLHMEYEKQTKSHAKLKEELIFLKQMDKPSSQASKPPSEENKQAWRSLSPNTRAARIVSLESRVKSSSAALSLLSTQLSEAGRGHDLASGRWKMVYSLGDAKDLLQYLFNLVTDTRRRLLEKEVEMKEMKAQLDDLMLQQKEILKQRDIIREASIQTSTQNAKDTKDKENTKDTREKENTKDTKEKEDTKEKENTKENPPAEESKEMEWQAVTIASNPSVKAKAPTPFDIFADLGIESPLPFPESRQLDSKAGICNRPIQEMVPIAHVCMKKLPLGEQKGKVSRWRRSHDEWLIQFKWKWQKPWKLSQLIRIGDEIRNSDETLKDLSIKLDPTT >KVH92503 pep supercontig:CcrdV1:scaffold_250:320982:322478:1 gene:Ccrd_005466 transcript:KVH92503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MYDEHVDIFQVHPKRAPQENSLTLIYFLLLLLLLLLQYFSNSSEKMGHNQKEDPNPQSEWLIAIEEELTKARLDNEAFFARKPCIYKVPRYLREADWKSYTPSVVSIGPYHHQNRHLLPMDRHKWRAFHRTLDRHKHDYKVYLDSIKELEAKARGSYEGEINLDSNEFVQMMVLDGCFILELFRGIKYGFEKLGYAKGDPVFSICGSLDSIRRDMVKLENQIPMFILDKLFALQSVEGHELGTLASMAIMFFSPLIPTDEPLPESREVSQLGPYPLHCLDLFRENLVSKALILPKRTKKLWKRKSSRNVVGKPTTQVTYSVSQLRESGIRFRKRETDQFWDIKYKNSVLEIPRILIHDGTKPVFRNLVAFEECHPECSNDITAYLVFMNNLIDSAEDVGLLHYEGIIEHWLGNDDDVAALFNGLCQEIVADLNNSYLSGLTDKINKCRNDRWSTWKADFRHKYFRSPWAFISVIAAILLLLITIVQAFYDVFSYYKST >KVH92502 pep supercontig:CcrdV1:scaffold_250:326840:328492:-1 gene:Ccrd_005467 transcript:KVH92502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MGQSSSSLSPSPPGSTHHLHRSVVLSSSSSSAGSEALAFRNSKEEVSIEFSEDNSMAALAKNCKELKKFSCGSCMFGAKGMNALLDNCSSLEELSVKRLRGINDGGAAEPIGPGAAAMSLKSVCLKELYNGQFFGPLISGAKKLKTLKLLRCLGDWDRLLETVATSDNCLSEVHLERLQVSDIGLSALSNCSNLEILHIVKTPECTNTGVIAIAGHCKFLRKLHIDGWKTNRIGNEALIAIAKHSANLQELVLIGVNPSSISLEAIAINCQKLERLALCGSETIADGEISCIASKCVALKKLCIKGCPVSDEGIEAFAWGCPNLVKIKVKKCRNVTFEVGDWLRARRGSLVVNLDACAVETETVDASASDSGAPEDPVGFPPMMSHAAVAQPDHLASSSSSRASVFKSRFGLFGGRGLVTCTLRRWSNGNSSSNGTS >KVH92504 pep supercontig:CcrdV1:scaffold_250:314283:319819:1 gene:Ccrd_005465 transcript:KVH92504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MKLQALQQSYANRGRSNSFRGPSALDSSVDGGTTAAVKSPASIFWLILHCFCCLISLVLGFRFSRLVFFLLFSTSSINNLYTSTTTSFGTASDIAETLSFTSSNRNLSPLVVNNTSTVATTSRVVVGRHGIRIRPWPHPDLKEVMKAHKIIEAVQREQRVQYGIKNPKTLIAITPTYVRTFQALHLTGLMHTLMNLPYDVVWIVVEAGGATNETAALLAKSKLQIKHIGFEKKIPIFWEARHKIESQMRLQALRVVREEKLDGIVMFADDSNMHSLELFDDIQKVEWIGAISVGILAHSTHSDDDPFEVQKTMDEKDDKKSESPLPVQGPACNSSDQLIGWHTFNSRVYKGKHANYIGDMAIVLPRKLEWSGFVMNSRLVWKEAEFRPDWIKDLDMVVAGDGNDDIESPLSLLKDSSMVEPLGSCGKKVMLWWLRAEARADSKFPAGWTIDPPLEVTIPAKRTPWPDAPLELPSNVGKTTIVTQDNTTEKRATKTRTPRTKRTSRGKRKRESRNADARRNSGERIEN >KVH92495 pep supercontig:CcrdV1:scaffold_250:178:1179:-1 gene:Ccrd_005443 transcript:KVH92495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMHAKTDSEVTSQTASSPTRSPRRPVYFVQSPSRDSHDGEKTTNSFHSTPVLSPNGSPGRHSRNSSSTRFSGSLRPGSRKGSSHHHHQQVRKGEKGFDAIEEEGLIDDDHRRGIPRRCYFLAFVVGFFVLFTFFALVLWAAARPQKPVITMRSISFDQFVVNAGADASGVATEMVNLNVTIKFNFRNRGTFFGVHVSSTPIDLAYTELTLASGS >KVH92501 pep supercontig:CcrdV1:scaffold_250:49734:52305:1 gene:Ccrd_005448 transcript:KVH92501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly A polymerase, head domain-containing protein MSKTNGTLLANPPLVVRETIDLTDKEKKIFDRLLQVLSHFNLETQLRVAGGWVRDKLLGKECYDIDIALDNMLGREFCEKVNEYLISAGEETQGIGVIQSNPDQSKHLETARMRLFDVWIDFVNLRSEDYAENSRIPTMQFGSAEQDAYRRDLTINSLFYNINTCLVEDFTGRGLDDLKSGKIVTPLPPKETFLDDPLRVLRAIRFSARFEFEMVEELKVAAKENDVKSAISDKISRERIGHEVDLMVSGNQPAKAMAYISELGLLWVVFTPPPSCEPPISKELDGVCVGYMDAAWRLMNEIGRILSDEQRRLYLYASLFLPLRKTVYKDTKKKILPVSNHIFRNSLKLKASDADDVMRLHNAVEKFLILIPFVLSNEEDMQISEINWETDMIDVPVNLKPRILLGLLLREIKDFWKVALMISTLLYKKSSGEQEILKLEENRDLFMEVEQKILKLDLEKIWEMKPLINGKEIMRLLELEKGGPVVSEWQRKLVQWQLAYPSGGAEESVDWMMRETRLKRTRT >KVH92517 pep supercontig:CcrdV1:scaffold_250:178543:185662:-1 gene:Ccrd_005457 transcript:KVH92517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MASIPPLLDPLSVSHVRSATVFHHQIPCLISSPPSLTYRLPSPCILPLSRRPTFTVAAAATLTGNSVPVGLVSDYDLLALDSVSGATKADTSMFPEVDSTWKTFNEVQKLLSKTDGKLVGDLMTPAPLVVRENTNLEDAARLLLETKYRRLPVVDGQGKLVGIITRGNVVRAALQIKKAGETQT >KVH92497 pep supercontig:CcrdV1:scaffold_250:21679:24162:-1 gene:Ccrd_005446 transcript:KVH92497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYDASAICSSYSPPPEPSDDISLLLRQILFKSSSSSSSPSPSLIPKQVQCEIQRQPPPPHSSIPTYSSAAAANVPANGVAHVSSSSAGTIDYDPDEYDCESEEGFENLMEEMAAKRNPPRNPSKRTRAAEVHNLSEKMLTMRNGINLYSMSAPSGVHEQDQRTGGLNQGNPPANMTVNQERLMNPMLSLPVPCTGQNQPLVLDFSHSVNHEPTFGTQRGSS >KVH92509 pep supercontig:CcrdV1:scaffold_250:285131:286075:1 gene:Ccrd_005462 transcript:KVH92509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis protein MKNNINNGNTKLILLHPYIQKQANPNRLWFIALISVFTLASLLTLVYTRESFYATTTTTTTTTRLASTTNHHHHTLPKNVMKALVHYAAQTNSTDHMSFTDIKQISDVLSQCNSPCNFLVFGLTPETLLWNALNHYGGRTVFIDENRYYAAYIEEKHPEIEAYDVQYTTKISELKELVNSVREETRNECRPVQNLLFSDCKIGLNDLPNQLYELDWDVILVDGPRGYWPEGPGSISAIFTAGVLARSKKGGNNKTHVFVHDYNREVERVSSEEFLCKENLVKSSKDLLAHFVVERVVEESGNHQFCRNHPPTSS >KVH92508 pep supercontig:CcrdV1:scaffold_250:285429:292732:-1 gene:Ccrd_005463 transcript:KVH92508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel YSCTHQRHLPDPGRRCFLHRRRSRRFQPSTKRNYWPPSSLLLSINNPFMAFDSVTQTLATCPHNTESPTVDAEDDICSETVDAYPESVVNYESSSLGRNGYLTGDARIEGAWAHWKKLGEPKLIVAPMVDNSELPFRLLCRKYGAEAAYTPMLHSRIFTENEKYRSQEFTTCKVTTLCKYAELSLKIGHYLFNFVRMIQTLYWRQHAGWSLIAITLTLIWGKLLELLFKCPQRIAKRGNYGAFLMDKLPLVKSLVEKLALNLNVPVSCKIRVFPDLQDTLNYAKMLEDAGCALLAVHGRTRDEKDGKKIRADWKAIRAVKNTLRIPVLANGNIRHMDDVKNCLEETGADGVLSAETLLENPALFAGFRTIEWVSDGEDGNQDSKLDQAGLVVEYLKLCEKYPVPWRMIRAHVHKMLGEWFRIHPHVRDDFNAQSILSFEFLYGMVDRLRELGVSRPLYVKNTSSKADLQTEV >KVH92514 pep supercontig:CcrdV1:scaffold_250:85795:93198:-1 gene:Ccrd_005454 transcript:KVH92514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEHKSWLWKKKSIEKTMIAADKGILAYEAELERNLNVSNENLSAALAEINAKDDIAKKQTNIAREAIQALKQELEKTTQQAVASEERLHGVDAALKECMQQLRFVREEQEKRIHDAVMKTSREYEKARIHIEEKLSESNKRLSKLSSENTQLTKALLAKEKMIAELHAASAQVDSDLSAIMSRLETTQRDNASLSYEVRVLEKELEIRNEERDFNRRTAEVAHKQYLESVKKIAKLETEAQRLRLLVRKRLPGPAALAKMKNEVEMLGKDPIETTRRRSNPFPIALKDSSFDTSGKQINFLTEQLCALEEENRVLKEFLNRKTNEPSKIQFSIPASSSDIGSDEKASMAESWAPSCKTVGASDIGLMDDFVEMEKLAIVSVDKPFTSTTNLVLENHSDWLENILKVISDHSRITQRQCSDIVADITAALTQKSDENQALEKSLVETSLTKLSNEVFDSDMNRSMERLIELIEGIRLSGKEDSSSPYKTSETPTGYTVRVLQWKTSELGAILETFLQSCTNLLNGKAEMENFAKELTSTLEWIVNHCFSLQDVSSMRNEMDKHFDWDETRSESEVRMSETYKLQSREESKKLKDDLESMESAKMDLERKLQSEISKNESLVIQLQESERTIESLQTEVESLKQQKDMIEDQIEGEMKGKEDLDEQLIEAIGEYSEEREIIAASEKLAECQETILNLGKQLKALASPRNASVPDRVICNPTFVAPSSSPVPNNKTNHQRISLLDKMMAEDAAGAQQPTKPKEITRTITSPAIVMDGNNSKNVKAPQRFLSVNGIKHQEEEEALVNFLSVVPNKKKKGGMLRKLLWRRKKSNK >KVH92500 pep supercontig:CcrdV1:scaffold_250:53187:57988:-1 gene:Ccrd_005449 transcript:KVH92500 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MKKSLLGGDANQYLLLFVSATFFLTSSACSNGECQVLESCSAATDCAAGLYCGNCPQLGKTQPFCIRGQAVVPTSINGVRGLMLDMYDFENDIWLCHSFHGQCLNITAFVIIFSPVQPAINTLREVEAFLSLNPTEIVTIIIEDYVHTPRGLTKLFVDAGLDKYLFPVSKMPRKGEDWPTVTDMAQQNHRLLVFTSDSSKEGTEGIAYQWRYMVENDPGDPGVEQGSCPNRKESKPLTSKTTSLFLQNYFPTMPVQAEACKEHSAPLMDLVGTCYKAAGNVMPNFLAVNFYMRSDGGGVFDALDRMNGPSLCGCVSVTACQTGASFGACRNNAVSNSTSPRGPSGSFSGTVQLTGSASRIDLTSEDKRDFIEAWLLFFNEQVGEIEM >KVH92498 pep supercontig:CcrdV1:scaffold_250:16724:18268:-1 gene:Ccrd_005445 transcript:KVH92498 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1/A1 complex, subunit E MNDADVSKQIQQMVAFIRQEAEEKANEISVSAEEEFNIHKMQMVDTDKKKIKQEYERKTKQVEVKKKIEYSMQLNASRIKVLQAQDDVVVAMKESARKELLNVSSNRRTYKDLVHSLILQGLLRLREPSVVLRCREIDVTLVEGVLEEAKKAYVAKTKLSSPKIDIDRKEYLPAPPNNNDPHRPSCSGGIVLASQDGKIVFENTLDARLDVIYRNKLPTIRKTLYR >KVH92511 pep supercontig:CcrdV1:scaffold_250:77393:81713:1 gene:Ccrd_005451 transcript:KVH92511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMLGLSPLGSHRVITCDEKKSSINTWLWIFYSTSKIWRIMKAGGGLVFGVPRAAVLPSLFVSRRRSITFRCYSSSSSDHVSFIKDIAVAQPPEHLHHLLKMLQVRGKTLMELWTAYLLNANHLSAILKSCYFHHFVTGESIISPAAKQGMIPLAIPLSKNSSGSVTALLRWPTAPPGMEMPVVEVRSYGVWLLAKNVSLLAYFRLHSKSWLNVVKHLKIERVDQYIHRILVEEDANSSTEGIDEVFNASGEAGEKLYTKGDFAKSRNSNLDVSAMVTGEFYTKKEHFPGFGRPFVFNAEEVADIAEWDDEQIEFIKEKVSEEVKQEDLKKGKEAAQISLDEAAFLLDLASIEGTWDEELERIAECYNEGGLPEIAKFLKYRD >KVH92506 pep supercontig:CcrdV1:scaffold_250:255211:259194:-1 gene:Ccrd_005461 transcript:KVH92506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MCALAKPFHHHQLRHHHHHQLRHHHHHLLSIFFLLTVSLPTIISAADPTPVEVLLPSDAVALLKFKLKADIGNKLLYNLNERFDYCQWQGVKCVQGRVVRFVLQGCGLSGIFADNTLARLDQLRVLSLRNNSLSGAIPDLSALTNLKTLFLDHNTFSGTFPLPLVTLHRLRSIDLARNNFSGSLPSELNSLDRLNYLRLEWNRFTGELPPLNQTALEVFNVTGNNLTGSIPVTPALSRFDASSYLLNPGLCGKILNKICTTKPPFFDTPSGVSAPAPVLQNAESQGLIVSPPSTKRHKRLGVILGFIIGFLLIIALVLSLFAILNKRRYQHPSKSAAFSSDSENEIENEKENGNENGNGIGIGNGTVVAISTNNTPVRIANTVVEIKEKKLQLPQQHREKSGNLIFCEGETAMYNLEQLMSASAELLGRGTIGTTYKAVMDNQLIVTVKRLDAGKTAITSGEAFEKHLEAVGGLRHPNLIPVRAYFQAKQERLVIYDYQPNGSLFNLIHGSRSTRAKPLHWTSCLKIAEDIALGLAYIHQASRLIHNNLKSSNVLLGPDFEACLTDYCLLFLADPTAIDDSVSTGYKAPELRKSFHRATTKSDVYAFGVLLLELLSGRPPSQHPHLVPEDMAEWVRAMRENDDIPNDNRLGMLVEVAGVCSLTSPEQRPVMRQVLKMLQEIKETASIDNDNTYNGYS >KVH92499 pep supercontig:CcrdV1:scaffold_250:11177:16615:1 gene:Ccrd_005444 transcript:KVH92499 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MRRFHDHLLVITSILLYLLQSSSSLKIGETCSTANNKCDSGLRCGTCPASGNTRPRCTRIQPFSPTSKVNGLPFNRYTWLTTHNSFAVSGTKSPTGGPVLGPANQEDDITSQLKQPAINVLREIQKFLQANPSEIITIFIEDYVTSPNGLTKVFDASGLSKFMFPASRMPRNGGDWPTITDMVKQNQRLIVFTSKSSKEASEGIAYEWTYVVENQYGNEGKIAGSCPSRSESSPMNTTSRSLVLQNYFSTNPNVTGACIDNSASLISMMNTCQEAAGKLMVEEQLKPSMKQMVNGTCNVPLLSPPPPAQASTGDSAASSTGFSIHKVVRLQSFIGTLLLSTWILLSL >KVH92505 pep supercontig:CcrdV1:scaffold_250:301289:303127:-1 gene:Ccrd_005464 transcript:KVH92505 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAVDLIMGFTTTTASAENNVVQEAASGLESVQKLIRLLSQSQSQQQQSPVDYKAVADVAVTKFKRVISLLGRPSRELTGHARFRRAPVSNGRFQDQKIMKDHEQDEVVSEEGETKVYCPTPIQQVPFVPPPPPPAPVTSNQPAVFQRKDSLPKTISFSYSHAASRTSSFMSSLTGDSDSKQLSAGGKPPLCSSSCLKRKCSSSDNGASGKCSGGSSGRCHCSKRRKLRMKRVIRVKAISMKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPTMLIVTYEGDHNHALSVAETSGLILESS >KVH92512 pep supercontig:CcrdV1:scaffold_250:78880:85115:-1 gene:Ccrd_005452 transcript:KVH92512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINEIQFQIPPNTIQPHKQNEARSTVAEQFLDIKRTFPEGWLTSDWHLEGKQSRVPSRFYLSPEASLEGDAGAQEVELQQCPL >KVH92518 pep supercontig:CcrdV1:scaffold_250:192314:192799:-1 gene:Ccrd_005458 transcript:KVH92518 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDGRRAAGGGGGGGGGGGGGRKNDQRPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGPSARLNFPELLASDGVLGELSAASIRKKAIEVGARVDAETSCTSLHMGGTREHREPTSELKPCWFQEKPDLNKKPEPEDPEGDYW >KVH92510 pep supercontig:CcrdV1:scaffold_250:60296:73142:-1 gene:Ccrd_005450 transcript:KVH92510 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein MSSISYSSSLPVCAPPQLILSTAGNVVAEGPSSIIHRRRQIGLSRCIYGEFPSFLACIGYGNALVDVRIVGPQGAAIAPSEISLVIDSLKKKVDADRCVYIMKRMEENRIKLSEVTHTHHKLSLERRHLRISGADQTVDLLTKRQKDAIDKQNGVNASNGDSDSTSSQEDGHASAILLGSSIAVKNAVRPIKLPEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEAIDEEEEKKEFVDSEDYIIRLVYFNLYVLLIPSASCAYNFHLQTSKGMTIQQLGSSDAVLDLLGQRLSRKPSELKARYEVLVNRENAVEGSKPGNVEFDMSSLFDKDLEAALDSFDNLFCRRCLAEKHSTWNRMDEEKLPCGPHCYYRVQKVEGTAVTSSMQPNSEQKAALSSDGNGVQASRKKSNGRSLLRRLKSCQSENASSNARNISESSESETRPVHDGNSTYRLPSPRKSKLVEKRGTRQRNSKRIADHVMVAIKKRQKKLAASDSDSVPSGSLGSKDMGVRSNSHKENEEASSSSLKAKPSARRGRRKDSLVPSGDRSLQAEVPDCALKEITSDQPVISSDDKWKKEEFVDESICRQELIEFKCWRTIEKSLFEKGLEIFGRNSCLIARNLMNGMKTCAEVFYAMNCSENKLSSQGGDGTNSLGDGSRIDSNENTGTALRRRSRFLRRRGKVRRLKYSWKSAGYHSMRKRISDKKELPCRQYNPYVRKHAKIVLEDAIALKASVEVVNALALLLVENVIQTCAEIAGSVFGILQCAQVLLGRSDVSGWGAFLKNSVPKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQYVLDAYRKGDKLKFANHSPVPNCYAKVIMVAGDHRVGIFAKERICAGEELFYDYRYEPDRAPAWAKKPESSGSKKEEMGPSSGRAKKLA >KVH92496 pep supercontig:CcrdV1:scaffold_250:45872:48636:1 gene:Ccrd_005447 transcript:KVH92496 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSMEGEAQIDRLPMDLLAHIFVFITCFKDLAQASSVCRKWREGVKQSTGRRERLSFAGWKMNDESTSRIVRHAYALKELDISKSRWGCQITDNGLYQISTAKCVINLSSISLWGMTGITDKGAVQLISRANSLQHLNIGGTFITDESLFAIATSCPHLKTAILWGCRHVTENGLLVLVNNCKKLESINVWGMRVARDSFIALLTIRPALQIVPQSLLNIENVPLLPVF >KVH92519 pep supercontig:CcrdV1:scaffold_250:222404:228830:-1 gene:Ccrd_005459 transcript:KVH92519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLTEERKAWRKNHPHVKAYAYDASEISGMKLCAAVSGYCGVQEKSPPASKAISSSCLDAIHGFLVSCRLTSQGTLHDSLSPRFLFC >KVH92513 pep supercontig:CcrdV1:scaffold_250:84868:95090:1 gene:Ccrd_005453 transcript:KVH92513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MPLGTTIHCIEIILGKGGQLAKAMGAVAKLIAKEGKSATLKLPSGKALWVVEHLLHPRPSFCRAERFVDDVSKEESFKAMGKGFDLLLVVSIGSFPSISTSFFIFARAAGPGSRFLTSKRSLCASVSSFAIFLTLSRYCLCATSAQVPPKDSHLKHSNSSPVRLHKLKYPATTNEKIVRIGHKSKRESYFEEN >KVH92515 pep supercontig:CcrdV1:scaffold_250:118904:127372:1 gene:Ccrd_005455 transcript:KVH92515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain TALPSGEHKHRLLPQRYQFFHLKRSDTRSRKYLHQKDERFREIMVKTKIKKSKPNKQPNTKKNGKQSDISEFRAQLDALGLKVIEVTADGNCFFRFVQSKRSPFGQYRLFVSYKHIYVTVVNWKTEIFMKWLKFVHELLVFLKFPNQLEGDEDKHEAYRKMVVHYIMKNRENFEPFIEDDVPFDEYCQSMGKDGTWAGHMELQAASLVTHSNICIHRKSSPRWYIKNFDDHDAQMIHLSYHDWEHYNSVRLKEDTCNGPTRPIIIKADADLSVKSHQANAAVAKAKSSSGKTDIPPESIKTVKIGSGCEDDEKIQQVLLQVDGDVDAAIEFIIADQGTEEYLVENDRVTFPVDTSHGNDNDRNNHLEKSEQCSEKIDNKTIKEKHACSNSERGNDKTNSLPDEKKIPRNKACPCGSKKKYKSCCGTAAGRLSTVAVYNTVDYGKSRKDKKQGKKGGSTNSTVSHGSDGGSPDMGALCI >KVH92516 pep supercontig:CcrdV1:scaffold_250:155138:159878:-1 gene:Ccrd_005456 transcript:KVH92516 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MWDLNGSPDLRKDQEGSNACSSPVEVDEEPNSKGKRVGSVSNSSSSVVVMEEDDASDEDDSERMTSRKRLFGFSMDGDPPPVTHQFFPIDDPQVGPTTSLAVGISPATAFPAAHWVGVQFCHQSPGPISGATAASSVGGFLGKAAVPEVPQPLKKSRRGPRSRSSQDCGKQVYLGGFDTAHAAARAYDRAAIKFRGIEADINFSLQDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKYNGKDAVTNFDPKIYEKESNVTECSNNDNHASSYHNLDLSLGHNSAPNKQSNRDLGFRPGAFGVGRYNETETLQLISQTHLQSAGSSEGRSNESHGYGHLTRPGDPSMFHMLRPPPLNSPNHQVEQWSNGNGNGNGGLLYANSGAASSGFPQQRFNMRQPPAPPHAHQTWQLHKNGFTTTLS >KVI11368 pep supercontig:CcrdV1:scaffold_2500:50053:50565:1 gene:Ccrd_010224 transcript:KVI11368 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, mitoviral MSGYLLGYLGAWPLFVLSHHLIIWWCAELVYPGRTVLGDDVVIADENVATRYKESLDHLQVPISKDKSLISNSGSVEFINNFSVRDLTVDLSPVSIKALLNTFLPYGLMVVAHHYLLRDFRLLCRLGGAGYCVLASLDDRRPRQYSHLGVMGLKLLSSSYPLDFWL >KVI11367 pep supercontig:CcrdV1:scaffold_2500:40627:46756:1 gene:Ccrd_010223 transcript:KVI11367 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase subunit I MPLEDEPHCIHRAIDRVRENLSAPDKKESGSGNWSVLILIGAPDMAFPRLNNISFWLLPPSLLLLLSPALVEVGSGTGWTVYPSLSGITSHSGGAVDSXISSPHLSGISSISGFPLIVRWNCPIIARRAASMARYERRPALQLLVPYLPKPSHLTSDAPPRRSSSDAALSFKPLSFGSDKSSPFGAAAFLNKVYPNRINTSHIRQGLYSRAGHRVPGCTSNWHIEESLPGFLGLVFSVFSLVHAGVLLASGDIDMKLEYDPCAVFLLYHDESIDLM >KVH87745 pep supercontig:CcrdV1:scaffold_2501:18693:22736:1 gene:Ccrd_024969 transcript:KVH87745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MNPQVRQNKIGKVRPLLSSIAPTFAHSSPNFSRLTSLEQEEHHILHPSSSLSLPCSPPSSLLSLVLSSSCSPPSYQHRRLLSSQSRFSSFQFFYSIQSSPGSILQLCSHKPSSIFNGLQPNCFCNPSLFTDSVALGTPSIDLGGSFSTQGNRFQVPFMGCYISSSPVNFAAKRQMCVPRSCLRHGRKLVGXSLIGGIKETQEMIDFAAKHNITAAIXLIXIDYINTAMEXLAKXDVRYRFVIDVVNTLK >KVI01476 pep supercontig:CcrdV1:scaffold_2502:67314:68281:1 gene:Ccrd_020252 transcript:KVI01476 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MDHGKERKESMKQNKKTAEREFNMDEYKSLPISKESGIDAMSTIPGFNQIQFEGFNQIQFEGFCRFIDQGLTEELSKFPKIEDTNQEXDFELFLERYQLVEPQITERDAVYESLTYSSELYVSARLIWKNDRRRYIQEQTILIGKIPLMTSLGAFIVNGIYRIVINQILRSPGIYYKSEFNDNGIKKSRSHPLI >KVI01477 pep supercontig:CcrdV1:scaffold_2502:66276:67104:1 gene:Ccrd_020251 transcript:KVI01477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 MENDIFIVRRDGSILLFFFSRTERSMNRDPDAYRYKWSTGSKNFQEHLEHFVSEQKSRFQVVFDRLRINQYSIDWSEVIDKKDLSKPLRFFLSKLLLFLSNSLPFLFVSFGNIPIHRSEIYIYELKGPNDPQSSNRSFEKLETLLIG >KVH95035 pep supercontig:CcrdV1:scaffold_2503:45176:46692:1 gene:Ccrd_002896 transcript:KVH95035 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MHKPIKTQLILLITTLTMEMNIMSFLSLFIFLIFSIVTVSCDTPLSPSYYDQGCPEALPTIKRVVEEAIANERRMGASLLRLHFHDCFVNGCDASILLDQTSTIDSEKNAAANLNSARGFEVIDKIKFEVDKVCHRPVVSCADILTVAARDSVVALGGPSWDVKLGRRDSTTASQAAADANIPSPFMDLPALIKNFENQGLDEEDLVVLSGAHTLGSAQCRTFRARIYTQTNIDPAFAHHLQTICPQVGGDMKLAPLDPTPNSFDNRYFTNLMSKKGLLRSDQALFTGGETNEIVEEYNENQNKFSMDFIKSMIKMGNIKPLTGEKGQIRKDCKKVN >KVH87744 pep supercontig:CcrdV1:scaffold_2504:3783:9053:1 gene:Ccrd_024971 transcript:KVH87744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase, FGGY, C-terminal MENLSLPEDALFLGFDGSTQSFKATVLDSKLNIVTSEIVNFDLDLPHYKTKDGVYRDPSINGRIVSPTLMWVEALDLILHRLQDSKKLDFKKVAAVSGSGQQHGSVYWKKDSSRILSSLDPKKPLVGQFGQAFSTIESPIWMDSSTTEQCKAIEKAVGGALKLSELTGSRAYERYTGPQIRRIFEKQPEVYNNTERISLVSSFIASLLIGRYACIDHTDGAGMNLMDIKERVWSKQILEATAPGLEEKLGKLAPAHAVAGLIAPYFVERFQFNKECLVVQWSGDNPNSLAGLSLNTPGDLAISLGTSDTVFGITMDHKPSLEGHVFPNPVDNKGYMVMLCYKNGSLTREDIRDRCADKSWDVFNRSLKQAPPLNGGKMGFYYKDHEILPPLPIGYHRYTLENFNGNTLDGVVEHEVKEFDFPTEIRALIEGQFLAMRGHAERFRMPSPPKRIIATGAALRAAHGWLCEKKGCFVPISCMYKDDLEKSAFGCKLVATVEDKQLVAKYGLLVKKRMEIENRLVQKLGR >KVH87743 pep supercontig:CcrdV1:scaffold_2504:2035:3910:-1 gene:Ccrd_024970 transcript:KVH87743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASDYVLLSSKILVLLMWVLEEEDDILGICGKYYWAHAVAIGFEKGTKERFWRLYNAYSKAFHLQS >KVH97027 pep supercontig:CcrdV1:scaffold_2505:77813:78444:1 gene:Ccrd_000879 transcript:KVH97027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein NFSKEEEDIIFHSHLLLGNRWAAIASRLPGRTDNEIKNHWHAHLKKRLTDHNMVPKTTNQNDKRTSMTFKPDNVEEILQQPANHNYDISESCLTFEDDIFSSSSSTTTSIEHHQIDNRADYFDLGSPGTVDDLQYFWQQLCPFENLELENNHLDMLSNPIFQDSFDDPNSPCSFYISDYDIIQGF >KVH97028 pep supercontig:CcrdV1:scaffold_2505:77389:77650:1 gene:Ccrd_000878 transcript:KVH97028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVEPSWRGRDQFPYLLLQSTTVMRTPTSDIKTGLKKGSWSREEDQMLISYISRYGIWNWSQMPRFAGK >KVH93413 pep supercontig:CcrdV1:scaffold_2506:24234:40109:-1 gene:Ccrd_004537 transcript:KVH93413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTIVVVVAVTTLGVGDGYDNKQQRPGGDNGDRCSYGNNLTVVAVMKVGYIRVLMNCFAFGICFSNKELFSILYFISFVCDALDGWFARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPSFVFLSLLALDIASHWLQMYSTFLVGKSNHKDVKDSTSWLFKLYYGNRMFMGYCCIACEVLYITLFLLAKENEKMIDVLMGAAQQSIIYSALLALLLFGWAIKQLVNIIQMKTAADLCVLYDLNKKHKA >KVH93412 pep supercontig:CcrdV1:scaffold_2506:17318:19743:-1 gene:Ccrd_004536 transcript:KVH93412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic METQGRCKGCKNYLMVPPGRPCPVCYKVSKPNKKASHHVIPQVLHYVDKYANRASSNNYYNGLYGQPLGTPTYTGYSAPPPQAAYGYGYYSPQPQALSSPALYSNGYDRPKPQMYQQSPQVHEKKRAVLCGVTYKGHIKALDASINNVRSMHRLLVKLGFPNASIRILTEEESEPTRTPTRRNILMALEWLTKGCRAGDSLVFYYAGHGSHVPDSNGDEKDGFDEALCPVDYSESGKILDDEINAIIVAPLPHGAILHSIMDTCFSGTLLDLPFLCRIDRGGFYKWEDHHPSYVSSYGGTSGGKAICISACDDHQNSADTSAFTGNSIGALTYSFIQAVQSSRKLSYGDLLDNMRKRVHDAHQRQGLNASFASSSSQEPQLSCSTRFEIYSEAFML >KVH93411 pep supercontig:CcrdV1:scaffold_2506:3197:5292:1 gene:Ccrd_004535 transcript:KVH93411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELPQSPIPLAPLLLRNILLPLFIYTDKSLLNLTHKFKLFEILRYTLVTAFVFFLKIVPTFIPSSLNPNHTSDFSDIDSDSSGIWVPFLKGAGKCNETADSSLAGDTCIARALSQLLAIVNEIPVSSRKYDIVRSYAEKLMDENLEEGYESLRKVNATVLSGGFSRALGQLESLAVAERVTAREVVDEASGGDGGEVVKKSGRGGYFGLNRAFKTVGHYGDAAWTRFMKRKPRVGGSAEKLSAELLWLAQKLAACGSADEAVRQWASATKLASFALSAQLRLQGSLVKLSALLFKQATCMGSEDDDEGHMEELRKIKMKLLMSWIPFMCRASNGTDTPVLSFHEKLELESVLGELIGSLKHEEEQEKVLALWLHHFTHCQSTDWPNLYLYYTRWCATSRKLLILEGR >KVI04350 pep supercontig:CcrdV1:scaffold_2507:30444:37085:-1 gene:Ccrd_017339 transcript:KVI04350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl-diphosphate delta-isomerase, type 1 MLKFPPFKTVATTILSSPYPSFCLPPKSPFTRFPSLTVATVLFRPFSTLDMGDDSGMDAVQRRLMFEDECILVDENDKVVGHDTKYNCHLMEKIEKENLLHRAFSVFLFNSKHELLLQQRSATKVTFPLVWTNTCCSHPLYRETELIDENALGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRILYKAPSDGKWGEHELDYLLFIVRDVGVNPNPDEVKDVKYVNAEELKELVRKADAGEEGLKLSPWFRLIVDNFLYEWWDRVHKGTLPEAVDMKTIHKLT >KVH87741 pep supercontig:CcrdV1:scaffold_2508:28571:33052:1 gene:Ccrd_024972 transcript:KVH87741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQAEAEQKKRAQILESEEERQPSVNIADGKKSSMMLEVQGEAEAILARSQATNKGVALVSQALQENGGVESKLEADLARRTEHYSSENMRVIKDTLQSDEASSSRQSNKRGSTHADYKKVRKEMAGDR >KVH87742 pep supercontig:CcrdV1:scaffold_2508:43119:60413:-1 gene:Ccrd_024973 transcript:KVH87742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, PpiC-type MLGWVRKGQMVPEFEEAAFNAPVNKVVKCKTQFGWHLLQVLSEREESVLQDIQPEELHTKLQDPDFLEDAQFIDVREPHEVERAYLSGFQVLPLQQFGSWGPEVTTKLDPQKDTYVLVRACSQTNYFQHMLVAYMLLAGLLVTRALGYECQSSDTITKLKVPTQNQLMCHHGVRSLQVAKWLQTQVTLPSASPLWGFRKIYNISGGIHAYAVKADPSIPTY >KVH87740 pep supercontig:CcrdV1:scaffold_2508:62379:63635:1 gene:Ccrd_024974 transcript:KVH87740 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MNMEDGLNLTLGLPGRGDEESTISCFKNNNKRSQPETNDDCDESNQAKEGDMETSPAAKSQVVGWPPVRKYRRNXNISEAMLVGRRKRMESDGEIDKSGIEPEAILETESWEVSVDLLWKGK >KVI08794 pep supercontig:CcrdV1:scaffold_251:153587:155975:1 gene:Ccrd_012825 transcript:KVI08794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MWWSVTVLSFLLNGVCEAGITSSFIRVAAPSIEIPKEDFPPPHGYNAPEQVHITQGDYTGNGVIVSWVTPVEKYPNFVTYWKADEKNGIKRNRVRSKITTYRYYNYSSGYIHHATINRLQYNTKYAYELGHGNGTRQFWFMTPPEIGPDVPYTFGLIGDLMKIDTYIGDLGQTHASKQTLDHYLATKKGQTVLFLGDFSYADVHPFHDNEKWDTYGRFVEKSNAYEPWIYTAGNHELDLAPEIGEYVLFKPYKHRYRVPFRASGSTSPLWYSIKRASAHIIVLSSYSAFEQELTKVNRSETPWLIVMMHSPMYNSNNYHFMEGETMRVVFEPWFVKYKVDLVLSGHVHSYERSERVSNIQYNITSGLSTPVKDPSAPVYITVGDGGNIEGIADSFTEHQPDYSAFREASFGHALLEIKNQTHAFYSWHRNQDNVAVSGDSIWFYNRHFYPKEESSSKG >KVI08788 pep supercontig:CcrdV1:scaffold_251:243884:246986:-1 gene:Ccrd_012834 transcript:KVI08788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIPPQKEAESSNDQPKEMDITAHPNVEEDSSKAMERSNTSNTGKDVFGSSNSERPQNEIDCNGSTISKCNQEAAVSSSLSNIASEPAPSFLSMMMDNPSVDEDAWHYRDPSGNVQGPFSMVQLQKWSIAGYFPADMRIWASREADSLLLTDAIQAQFHNYDGRWHENVASNNKIGNQTEGANVCNAVPSSTIPATPFALDSVNLEKLPDTRASSGQSSGQNWNASNYNLNCNPPTTSVASLTKPNESVKPFDARDMPSSTPNVSSVFTITDAALVDLPSPLLKKASHVNEKVQDAGGKEPKLLVQESGNPPSWSTALSLVVGGAQLPETADEWGRYPPAAVKPEEWDSGRVSGYSSKPIEVPGHQVATTNSTIDQIMHSSPPPSSQPYNNLPSWHGLGETIEFSTLAEESVSDLLAEVDAMESRNGVPSPTSRRNSFLEDLFNGSIDDFSPTADQGTRSDGFSSTADLQLHRQSTSTTVEHLAGVSQSNNNVFDMVKRSTGLHQFSFGLETKPTGAVTIPQTTNSENMAFKWAEMGGSELQPSRLDMIDLNDSTRAEADEGTNDYQVEEKVKNHSGFMGHGIGRAGGNTVQQPESRGGRKMKAGVSGEDIKTGTKLSGGFPDKEEEEGEFIQPEAPPPPPPPPLLPPPPPPPLPPPPLTMGLDVVDSRRVGSETSNPKISGRASQGTTNTGRHSNASRSGNAGRERESQHHRRSGGDRYNSNSPRERSHHVEDTGRTGQGIVSGRQFLWDASLSKRNRDVQRLTYEID >KVI08796 pep supercontig:CcrdV1:scaffold_251:170834:175463:1 gene:Ccrd_012827 transcript:KVI08796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MATAKLRPSKRSSTGSHTSTVTTLVFVCICGLGLWMLTSNSYVSPNKSTTRVSDSAASELRSRKIPIDSPVFEDNPGNLPEDAIKTDDASASRNNDPTVGSNETKNTTEGNADEIGNREDIKKQDETAKEHQTDMSGSEVDKQPEVDEELIRKTEGKAGKEHQTEMPLDTEVDKHPEVDEEPKTEDIEKGKDTKQQDKAVKEHETETLGSEVGKAPRMSEVDEEPKIKTEEVQISEESTMTQNQRIEEIPMVKNTTADEDHLRGKSDHVENNEEHHITEEEQENRMEKHQQQQEESQNEETSTDQTQHDEENTNEPHPANKMEAPMEETTTITPDKDSNAAITVDERSSGIPKESHESKKGWKTQAGESNNQKERRQGRNNVAGLAADHKWELCNVTTGTEYIPCLDNENAIRKLASKSHFEHRERHCPEEGPMCLVPIPEDIEGHQNWMRVNGEFLTFPEGGTQSNNGALHYIDVLQEKKCNRSSSSFYGMQAVPEIAWGKHTRVVLDVGCGVASFGGYLFDRDVLAMSFGSNDEHDAQIQFALERGIPAISAVMGTRRLPFPSKVFDLVHCAHCRVPWHKEGSHITTSILRGMLLLELNRLLRPGGYFVWSATPVYQNHEEDVQIWEGITGKSFQLTPIILHSEMSALTVAMCWELLNITKDKHNSVGVAIYRKPESNECYNGRKKQEPAMCKPDDDPNAAWYIPLQACMHNSPMVDTERGSRWPEDWPGRVQTPPYWLRTSQMGIYGRPAPDDFITDYHHWKRVVSKSYMSDLGFNWADVRNVMDMRAVYGGCKIAPVMVEVDRIVRPGGKLIVRDESSTIGEVENLLKSLHWDVHLTFSDNQEGILSAQKSTWRPTDLQHLHDPHHQSPHIQIENSNFL >KVI08808 pep supercontig:CcrdV1:scaffold_251:67609:68403:1 gene:Ccrd_012817 transcript:KVI08808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin MAQYGSGDEQYVKEGHHNTNEYAHNPLQSTTVGHEIGTTIQTHGHEQAGKHDGGILHRSGSGSSSSSEDDGEGGRRKKKGVVEKIKQKLPGGDHCGDEQKTSATAVGGGGGGGYEAGEEGHEKKGLMEKIKEKLPGGHQ >KVI08787 pep supercontig:CcrdV1:scaffold_251:218743:222942:-1 gene:Ccrd_012831 transcript:KVI08787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 90 MAAYAACIRAGTKLRSVQAIDSSVFRGVFFPTSHLSSSWSLSSSHFYPSSSRFDCRQISQLVQSNGKRLFLVDTLALVRRLEGQGVPSKQAEAITSAITEVLNDSLENVAQSFVSKGEMQRIEMTQDGNLGKFKSQVQSSQENHFSSLQRETEKLRNDIEKMRSELRRIRDELANQSQETANLTNKLDREIHSLRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >KVI08802 pep supercontig:CcrdV1:scaffold_251:185125:197172:1 gene:Ccrd_012829 transcript:KVI08802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MTATPSVSSPWMPEDDLLLKNSIEGLDTPATYSERPLPVEVGSVKMNDPELMDNTYISDQYNSDSDGDIPYFSDVEAMILEMDLAHTQESCILSEVARYQYEGTKRMIIRLEQSARSSFERTMSSQGALAIFYGRHLMHYIKKAEVTIGRSTDDTEVDIDLRKEGRANKISRRQATIKMEADGSFNLKNLGASSISLNGKDVARGQVVALGSSCLIEIRGMCFVFEINDKYVRRFLDSQPR >KVI08791 pep supercontig:CcrdV1:scaffold_251:295102:301646:1 gene:Ccrd_012839 transcript:KVI08791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MGVNGGGGGETRSGDGGVGHDEKIFVSVRLRPLNGREIVMNDVSDWECVADNTIVYKNVNLSVPERSMYPSAYTFGMRYFLWNNRVFGCDCSTRQVYQDAAKDVVLSVVGGINGGFTFFFSFYNCFILSKSVRIHVQLRNVPASIFAYGQTSSGKTYTMTGITEYTLADIYDYVQKHTERDFHLKFSAMEIYNESVRDLLSSDGYPLRLLDDPERGVIVENLTDEIVRDWDHVVELLSICEVPNYLFIIAAQRQSGETSLNETSSRSHQIIRLNFVDLAGSERASQSLTAGTRLKEGCHINRSLLTLGTVIRKLSKGRSGHIPFRDSKLTRILQSSLGGNSRTAIICTMSPARSHVEQSRNTLLFASCAKEVCTNAQVNTVISDKALVKHLQRELDRLENELRTPGSNQMISDITALLQERDHQIQKMQKQIEELTIERDDARSQVQDLLRLVVNNGNSLIRIGPDNYPRLRVQISPEPENYLPETSIVEDLRHSFDGSVRTLASSRYSPRRSESSFEENYIRVTEFDDTSPSNNTPLRPIRIAQLSECGSCHEWDEVDEKSNRTSQELCKEVCCIETEELTPKIYVQSYCLSPDANIRISSQAMFHDDESETHDVTSTKGEYMSPKSNENGEMKEHNRVAQPPLKEDREVETSLKEDGQLESSPLDKDNHLAEFPQSFKLPKSRSWSEGRHFTWLNKVENNTPPNGYEKYYTGRAQSFSIKSSTLSYGVASEKGSHSSMGTTDLNSNEAEKKISSDEEDSSITSATKEPAETRHDEEVEDTQITTMKRMKSVKSIGLDPIEDEFRTTSWALEFKRLQKELIKLWHDCNVSLVHRTYFILLFNGDPTDSIYMEVERRRLAFVKDMFNRVNLAVDDGQTITRASTEKSLRREREMLTKQMHKKLSEEERESMFLRWGIGLNTKYRRIQLANQLWSKVDDMDHVAESAVIVAKLVGLIRDPGHAPKEMFGLNFTASPSRKSYSFKRSLIPLLSLASLLSP >KVI08805 pep supercontig:CcrdV1:scaffold_251:54:4123:1 gene:Ccrd_012814 transcript:KVI08805 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVVRSVARDYLPPEFRDYLYLGFRNFINKFSIYLTMVIYEFNGFRDNEIYNATELYVAARMSTDFHRLKVIKNPTQKNITVTMEVNEDFTDTFNGAKFYWSSVSKKTPTRQYDGHDSRTSRTDLRWLELTFHRDHKDLVLNEYLPFILNEAEMKKKEQKTVKLFTVKTNSSYSKMGTAKWKSVNLDHPASFATLAMDADMKEMVKKDLDRFVARREYYRKVGKAWKRGYLLHGPPGTGKSSLIAAIVNYLNFDIYDLELTDIKSNSELRSLLVATANRSILVVEDIDCSVELHDRVAVEAAKAVTLSGFLNFVDGLWSSCGDERIIIFTTNRKNKLDPALIRPGRMDLHIHMSYCTPCGFRLLASNYLGITQHDLFNQIEDLMSEVNVTPAEVAEQLLKDDDPSVVLDGLIKFIDVKRKMNEEAKAKRKEKKEAKRKMNEEAEAKRNEKEKEEAEAEAEAETEVETARKEKEEAKIKVTKKEKEKAKLDAEK >KVI08803 pep supercontig:CcrdV1:scaffold_251:176459:179360:-1 gene:Ccrd_012828 transcript:KVI08803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin LCPLESRVLSTNSCLISPFSVQTQASETEGRGEMKTMTGSLSIGCKFGVLIFGIMLSLMINAPKKVVASKSPSAFVQTVIYSNKIAIFSKSYCPYCRRAKHIFNELQEQPYVVELDLRDDGYQIQNVLLDLVGRRTVPQIFVNGKHIGGADDLEVAVRSGVLQDLLDGN >KVI08792 pep supercontig:CcrdV1:scaffold_251:260553:264345:1 gene:Ccrd_012836 transcript:KVI08792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3353 MNLSGLTGSPTKYCFHLSSRGPALPCKRTLVSQDQRKSQFFCLERQNTWVGTTQRYITKRTSLVKCAMDASFGDARDESSVIFPRINIKDPYKRLGISSEASEDEIQSARNFLVQKYAGHKPSVDAIESAHDKIIMQKFYERKNPKIDVKKKMREVSQSRYVQAVTNRFRTPATNFIIKTSIAFVVLAALTVLFPTEEGPTLQVAISLITTIYFIHDRLKSKLRAFLYGVGTFIVSWLLGTFLMVSVIPPILKGPRSLEVTTSLVTYALLWVSSTYLK >KVI08786 pep supercontig:CcrdV1:scaffold_251:212413:213398:1 gene:Ccrd_012830 transcript:KVI08786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MDCLQSQSKPTFKFNHLPPSFSDDFLPLNADDFFVDGLLDFSTDAAFVQDDDNSQLLKHPDDTNNQKPSSVSPIKTDNHDRTTVPETELCLPVDDVADLEWVSQFVDDSFSGGYLLTCAEGKLPERKLETETVTLVAVNPSFKSMVYKKARSKRSRTGGRVWSLRSSTPLTDSSASSSSSSSCTSKPLLALEEILGKAPVKRQKKKKPAAMVETTGSGQPQPRRCSHCLVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSEVHSNNHRKVLEMRRKKEEAVDGGVSLPVQSS >KVI08790 pep supercontig:CcrdV1:scaffold_251:269408:280038:-1 gene:Ccrd_012838 transcript:KVI08790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MRVLLVSVGSLLVILMFTVCNGLSTSRILERMHSSVSGKYLTREELWFNQTLDHFSPYDHRKFGQRYYEYLDEFRLPDGPIFLKICGESACNGIQNDYISVLAKKFGAAVVTPEHRTNFDNPWFVFGVSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTEFDQQVGESAGPECKTVLQEVTQLVEEQLGSNGKALKSKFGASELQIDGDFLYFLADAAAIAFQYGNPDKLCTPMTEAKKAGEDLVSAYAKYVKEFYVGSFGADVQSYNQENLKSDYESGDRLWWYHLDLCKNVFGEDVYPVVDDTNLYYGGTDIAGSKIVFTNGSQDPWRHASKQVSSPDMPSYIITCHNCGHGTDLRGCPQSPLVPEGQYIFSSWVHLYPQKRVKREKRNYTANMSQKIWCTRYSLWNSKNCSSPDAVNKVRQQMIEQIDLWLSQCHAVGKCSLST >KVI08784 pep supercontig:CcrdV1:scaffold_251:225208:230121:-1 gene:Ccrd_012832 transcript:KVI08784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGEDVKGPNEGNPNSKDHDNLQLLNEKVSSRKGKSCKGCLYYSSTLKSNSRNPVCVGITRSLPKAPRYYVGESEMEASKEGRSLADFRYGCVGYSVLVDRKVNNANSTPHHVHNKDNHAIPQPRVNRPAQSAADDFFSRQIYEKCKCSCIRGSEERA >KVI08799 pep supercontig:CcrdV1:scaffold_251:86693:89523:1 gene:Ccrd_012820 transcript:KVI08799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYYYQRISQSLLHHQFRLSGCRSPNLIESHPPQCTHLHTLRGFCSSPQQSNSNSSTPSTRVSEIVNELMNLTLLESADLTEVMRKKMGMNEMPIMAVMMPGMGLKTGVKGGGSGAVKGGEEKAAEKTTFDLKLEGGFDAGSKIKIIKEVRTFTDLGLKEAKELVEKAPTLLKKGVPKEEAEKIIEKMKGIGAKVVME >KVI08800 pep supercontig:CcrdV1:scaffold_251:108024:122345:-1 gene:Ccrd_012823 transcript:KVI08800 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MKKRIAAVTSSYRLLNQKNHASNRILHHHRRFNANFNQVIRSSSTSEGVTHHCGPVPLKHFLASCRWDLQKSSLIHMQNMPIIQQRNFGSVASTIVQRNPLFSTINHDDIIYFEKILGVKNVIQDDEELQPANTDWMHKYKGSSKLMLQPENTDELSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVSGVLVCDAGCILENLISFLDKQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGTVLGLEVVLANGNVIDMLGTLRKDNTGYDLKHLFIGSEGSLGIITKVSILTPAKLSAVNIAFLACQDYISCQKLLFQAKRRLGEILSAFEFLDAQAMNLVLQHLDGVRNPLPSAIYNFYVLIETTGSNESSDKEKLEAFLLHAMENGLVSDGALAQDMNQASSFWHIREGVPEALMKAGAVYKYDLSLPVEKMYDLVEEIRIRLGAAANVVGYGHLGDGNLHLNVSTPQYDDTILAQIEPFVYEWTSRHHGSISAEHGLGLMKAEKIYYSKSSGTVQLMASIKKLLDPSGILNPYKVIPPSLLS >KVI08801 pep supercontig:CcrdV1:scaffold_251:100714:103511:-1 gene:Ccrd_012822 transcript:KVI08801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHHRTCKASLPLSHTHKLPSLPSPALSPPFSTVMTGSSASSRKVLSKIASNRLQKELVEWQVNPPSGFIHKVTDNLQRWVIEVHGAQGTIYANEKYQLQVDFPEHYPMEAPQVSIRAVPVDSYRRLNLLNSFADILYDSWSPAMTVSSICISILSMLSSSTVKERPEDNDRYVKNCRNGRSPKETRWWFHDDKV >KVI08804 pep supercontig:CcrdV1:scaffold_251:339632:342027:1 gene:Ccrd_012840 transcript:KVI08804 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MIMDTIDQSSCVPPGFRFHPTDEELVAYYLRKKVASQKIDLDVIRDIDLYRIEPWDLIERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYEKQRLVGMRKTLVFYKGRAPNGKKTDWIMHEYRLESEENGPPQASNSNHRKSKTESFLIHFFGCLEEGWVVCRAFKKRATGHSRNSERCESNYFYEESRRITSATIDDYITTPLSSSFLSTQSFMMCKQELEAAENLNFVQYDHDQSKQLPHLESPSLQSIKRPIISSISEQDQEYINQIGGRNARYNNNEMNKVRDWRDLDKFVASQLSQDPEIKCMGEGVSMSFAENHDSDLSYMFLQCGSEEDDDGGGGGGGGSGGGGGKLTGFLTSTRSDHFDIESYIYDNL >KVI08797 pep supercontig:CcrdV1:scaffold_251:158532:167734:1 gene:Ccrd_012826 transcript:KVI08797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLAILMLAAFAIFFSLQHEGDFSFKEAWYHLSDEYPIKYEGERLPPPIVSDLNGDGKKEVLVATHDAKIQVLEPHSRRVDEGFSEARVLAEVSLLPDKIRVASGRRAVAMATGVVDRSFKRGNVQKQVLVVVTSGWSIMCFDHNLQKLWETNVQEDFPHNAHHREISISVSNYTLKHGDAGLIIVGGRMEMQPHVCLYLFYPFEEIIMAEESADQHRRSASEKESADTPGAVDLRHFAFYAFAGRSGALRWSRKNENVEAASSDESPLIPQHNYKLDVHALNRRQPGEFECREFRESILGTMPHHWVGTRLFFPSLGRAHCLFSSFFLLKHLTSFPLNQDRREDTMLKLAHFRRHKRKAVKRTPGKTSNYPFHKPEENHPPGKDSTKKISNLIEKAASFANSAKTKKASPYVPTITNYTQLWWVPNVVVARQKEGIEVLHLVSGRTLCKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVVSGSMEVLRPCWAVATSGVPVREQLFNVSICHHSPFNLFPHGEYSRSFGRALDVSSLEVATPILIPTNDGHRHRKGSHGDVVFLTNRGEVTAYTPGLHGHEAVWQWQLLTGATWSNLPSPAGMMDAGYVVPTLKAFNLRMHDNQHLILAAGDQEALVISPGGSQLASIDLPAPPTHALVDDDFSNDGLTDLIVVTSNGVYGFVQTRQPGALFFSTLVGCLIVVMGVIFVTQHLNSMKGKPRVASGQL >KVI08810 pep supercontig:CcrdV1:scaffold_251:72415:80521:1 gene:Ccrd_012819 transcript:KVI08810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVFLSTFLPALAPTFDNLLPASSQPSPSPPQMPCSCTYFRRYNLRYPHAFLYQTGSVAAVVVPPTNCYVLDNSSHIYDFVSYFYVFCVSVTILKENMSSWLGLPFEYDGGDTDYAVRFCKDMETRSQPGYVGFGRFDDFNYFVAGSGHYDFVQEFYNGDLQHCEKSHDKRGRTSQLNIICGDCPNGRCKGGLECVCNVTLESDCRMIVDLAIPCEKPGLRVFEGSTVGFHPRTWEIVYNGMTQYGYEKAYKDFSFQTDQSHVSLYMTAIASLSHLVQKPSITVSPQDGLEVTLSGSAATTGSRPTTLSPTLLNIDWRCETAHDSPYEHGIDALPGMTILSACLEILSGVGGGPSYMRPEDVNDGYTSQASWTREAEGTPGSSRTSERTYGT >KVI08806 pep supercontig:CcrdV1:scaffold_251:9444:10227:1 gene:Ccrd_012815 transcript:KVI08806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MVQKTVLKVQLSCEKCKKKILRSVSGLQGVDKIEIDGAKGTVTVTGDADPYEIILQTKKAGKFVEVVTIGPPPAPPKKPEEKKPEEKKQTEKKPEEKVHMHPYDNHMRYDCIICQQMGMGCMMTIYEQPNPACTIM >KVI08798 pep supercontig:CcrdV1:scaffold_251:92716:100506:1 gene:Ccrd_012821 transcript:KVI08798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MQPKLKMAVDWQWETAAAGSIAGLATVTFCHPLDVVRTRFQVNDGRNPNLPSYKHTPHALFTIARAEGLRGLYAGFYPAXLGSTISWGLYFYFYSRAKQRYMINREGTSPGIHLASAAEAGGLVCLFTNPVWLVKTRLQLQTPHHNTRQYSGFGVVGSPLIRLQSPPRSLFGHVHMHIEMLLSIMQDSLEKGMTLALFVARLPAMMFLKIVWQGRYTFHYALKTILKDEGWMALYKGLVPGLFLVTHGAIQFTAYEELRKVLVGLRNKQSTSIATSSDLLTTIDYATLGASSKLAAILTTYPFQVIRARLQQRPNIHGAPRYIDSWHVMKETGRLEGLKGFYRGITANLLKNLPAASITFIVYENVLSLLKLTRRNN >KVI08793 pep supercontig:CcrdV1:scaffold_251:265689:268450:1 gene:Ccrd_012837 transcript:KVI08793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MASASLFKPSPSTILDKSEWVKGGQTLRQPPVSIVRFSSAAPAVSTIRASSYADELVKTAVSVLLYLCNVLQIYTKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGNYISGAILFEETLYQSTVDGKKIVDVLVEQGIIPGIKVDKGLVPLTGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVDNGLVPIVEPEILLDGEHGIDRTFEVAQQVWAEVFFNLAENNVLFEGILLKPSMVTPGADCKERATPQQFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRASANSLAQLGKYTGEGESEEAKKGMFVKGYNVDGPFALQCPL >KVI08785 pep supercontig:CcrdV1:scaffold_251:233262:240830:-1 gene:Ccrd_012833 transcript:KVI08785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF726 VQTVSLPTTSKSNRSLHQFTDACKIDSTSAVTMSSTLTQTQRYAAGALFGLALNQAQIHQTLPLGSSHDDPTEDRLSSASSTDSVSEDNQLWVNESSGLLRPIFRFLDIDSSAWSGLEETAGSQSHHVGAFLRLLAEESDEVSSEVSDKELALSNAVNAMVASIEITPEDYEWKKENSRAYEHEWQEKYATNESKSEMEKEMKKEQEQKDCKMEHLKNEQEKDCKTEHLKNEQEKDSETEHLKNEQEKDCKKEHLKNEQEKDSKPEHLKDEREKDSKKDDLKNEQEKDCKTEHLKNEQEKDCQTEHLNEQVKDCKTEHLKNEQEKDCKTEQLKNEHEKDCKTEHLKNEQEKDCKTEHLKNEQEKGCKSPGTKDVPIASNREVEGKSIEEVRIGRKVTVLYELLSACLADIPEDNTVEIIVACSAMAVLKQEEAKEEEQAPKSLWSKWKRGGIIGAAALTGGTLMAITGGLAAPAIAAGFSALAPTLGTIIPVIGAGGFAAVATAAGSVAGSVAVAASFGAAGAGLSGTKMARRTGGIEEFEFKAIGENHNQGRLAVEILVSGFVFEEGDFLRPWEGHSDNMERYALKWESKHLIAVSTAIQDWLSSSLAMELMRQGAMMTVLSSLLTALAWPATLLALTSFIDSKWTIAVNRSDKAGKLLAEVFIKGLQGNRPVTLVGFSLGARAIFKCLQHLAENGYDGIVERVVLLGAPVAINGENWGAVRKIDKCLLHKRLDAGSSLSCQVDVTELIDGHSSYLWSTQEILDRLELEAYFPVFTINRTKNSASASASASASQNHVG >KVI08807 pep supercontig:CcrdV1:scaffold_251:22149:48784:1 gene:Ccrd_012816 transcript:KVI08807 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVVRSVARDYLPPEFRDYLYLGFRNFINKFSTHLTMVVYEFDGFQDNEIYNATELYVAAKMSTDIHRLKVIKNPTQKNITVTMEVNEDFTDTFNGVKFYWSLVSKKTPTRQYYSHDNRTSRTDLRSLELTFHRDHKDLVLNEYLPFILNDAEMKKQEQKTVKLFTVNTNSSYSRMATAMWTSVNLDHPASFATLAMDADTKEMVKKDLDRFVARREYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTDIKSNSELRSLLVATANRSILVVEDIDCSVELHDRVTLSGFLNFVDGLWSSCGDERIIIFTTNRKDKLDPALIRPGRMDLHIHMSYCTPCGFRLLASNYLGITQHDLFNQIEDLMSEVDVTPAEVAEQLLKDDDPSVVLDGLIKFFDDNQVYSAAEHYLSARMSPATHRLKVTKTPNQKHIVIGTEINEEFTDNYKGVKFYWSTVSKKTPTREYYSDDDVTHSSRSDLRSMELTFHRKHRDLALNDYLPFIVQVAEKKKREQQTVKLFTVNSNGLYSREGTWKSVNLDHPSNFATLAIDSDMKEMVMKDLDRFKQRREYYRKVGKAWKRGYLLYGPPGTGKSSLIAAISNYLKFDIYDLQLTDIKSNSELRTLLMATANRSILVVEDIDCSATLHDRVAVEAAKAARRRSSYYSKENEVTLSGFLNFIDGLWSSCGDERIIIFTTNHKDKLDPALIRPGRMDVHIHLSYCTPCAFRVLVNNYFGINEHNLFQQIEDLMMEIDVTPAEIAEQLLKDDNPDIMLNALHFQKMSSSTESKIAMAKTVVSTIGSVAAAAMVARSVARDYMPPEFQDYLYFGFRNFINKFSTQLTMVIYESDGFRDNEIYNATQLYLAARISAEIHRLKVTKNPSEKNIRVAMESNEEFTDIYNGVKFKWSFLSKKTPTREYYNDDDSSGSSRSDQRTLELTFHRKHKDLALNDYLPFIINDTTTRKQEEKTVKLFTVNTKNMYSGRPTAWTSVNLDHPANFSTLAMDPDVKEKMMKDLDRFAARREYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTAIKSNSELRNLLVSTANRSILVVEDIDCSVELHDRVAVAAAKALARENHRRALLRPGRMDVHIHMSYCTSSGFRVLASNYLNITQHDLFEKIEDLIREVEITPAEVAEQLLKDDDPDIALGGLVGFFDVKRKENEEAKARAMEEEQLVAKEKEELDSKQNKEKQQDNGNLLID >KVI08795 pep supercontig:CcrdV1:scaffold_251:142926:151820:1 gene:Ccrd_012824 transcript:KVI08795 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin domain-containing protein MEINSISKCLLLLLIAVQLCCQQIHCSVTYDGKAILINGRRRILISGSIHYPRSTPEMWEDVIMKAKEGGLDVIETYVFWNVHEPSPGIVLFSSFLPKNHRGFPVWLKYVPNISFRTDNEPFKWAMKGFTEKIVTLMKGEKLFESQGGPIILSQIENEYGALDKKFGAVGHNYMTWAANMAVGLRTGVPWIMCKQDDAPDPIINTCNGFYCDYFSPNKPYKPKIWTEAWTGWFTEFGGVVHKRPVQDLAFAVARFIQKGGSFINYYMYHGGTNFGRSAGGPFITTSYDYDAPLDEYAFLSNYDTTNAARVYFNRMHHNLPPWSISILPDCSRVVFNTAKIGVQSTRMTMLPTGSPMVSWETYNEDLTSSDQGATFTTFGLLDQHPKLLVQSTGHALHVFINGQLSGSAFGTRENRRIKYKEKVRLRFGSNKIALLSVAMGLSVGLKGEALGVVSANRMSSVAWVQGSLIAQKKQPLTWHKAYFDEPDGDEPLALDMHSMGKGQVWINGQSIGRYWTAHATGDCRRCHYTGTYRPPKCQAGCGRPTQQWYHVPRSWLKPSGNLLVLFEELGGDPTRILLVKRSMRSRCVGKERCAVAIANSNFGEDPCPNTLKRLSVEAICAPSSRLNRRN >KVI08789 pep supercontig:CcrdV1:scaffold_251:247013:255281:-1 gene:Ccrd_012835 transcript:KVI08789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plus-3 MMEVPNSGVESQTLDRSQQIDGDVSKLVVADGGVKVEVGNAGGGAQVVKRKRGRPPKVQANPLSGKKSKEEDEDEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFKSKAKWNCGWHICSICEKTAHNMCFTCTYSLCKGCAKKADFVCVRDDKGFCTVCMKTIMLIENSGRGKDETARVDFDDKSSWEYLFKVYWVYLKGKLSLTFNEITQANNPWERSSAIASIEPTGSHISASGRRSITSDASFGNLEANESKRRKTYEQTNGLPKESRSMVESKEWASKELLDFVAHMKNGDTSVLSQFDVQALLLEYIKRNNLRDPRKNTQIICDLRLKNLFGKSRVGNIEMLKLLESHFAIKEDSQKNTIIGTAKQSVADCSTDNTLVVGNKERRNHWKGEQRTTQIKLDEYAAIDVHNMNLIYLRRNLMESLIEDSEKFHEKVVGSIVQIRISGSDQKDDMYRLVQVVGTTKVDVPYKIGDKSVDVMLEVLNLDKKETVSIDTISNQELSEDECRRLRESIRCGLVRYFTVRMETEMLRLNHLRDRASGRGQKKGYPLVSVLFSRNSLTNSTLRECVQKLQLLKTPEERERRLQEIPEVHSDPKMNPDYESDDTEEYFNNEHGLFNALFTIEHFSVVSHIRLVPTFDFVNQWRRETLQENT >KVI08809 pep supercontig:CcrdV1:scaffold_251:69926:71890:-1 gene:Ccrd_012818 transcript:KVI08809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMVSIARTLTTTKIPTNLSIIPKLQFPHSNRQRSQETLKFQQTKILESQLVSLLDSSNNLNQTKQIHAHIIRKGLDQCCYVITKLVRVLNAKFDVPMDLYPRRAFQQVKHPNPFLYTALIRGYAVQGPFMESVMLYNLMRRQGIGPVSFTITALLKACSGAGGVTLGMQIHGQVISLGGFTSDLYVGNTLIDMYVRCQLLDSARQVFDEMPERDVISWTSLIVAYARNGNMEEAGELFDRLPVKDMVAWTAMIMGFSQNAKPKEALEHFDRMLEARVETDEVTLASVISACAQFGATKYAKWVRDVAERAGFGPSNNVVIGSALIDMYSKCGSIEEAFDVFNTMTEKNVYSYSSMILGFAMHGCAQEAINLFEKMVKTDVKPNKVTFLGVLTACSHAGLVHQGRTFFAAMEKDYGVTRTQDHYTCMVDLLGRDGLISDAYNLIKTMPISPHAGVWGALLGACRIHGNADIAETAATHLFELEPNAVGNYILLSNTYASARRWNDVSRIRALFRSKGLKKNPASSWIEGAKGAIHEFLAGDMSHPQTSEIKKELEDLLCRLRLDGYMPILSCVPYDVGDDEKMKILWGHSEKLALGYGLLGDCRRIRIVKNVRICEDCHVVMCGASKISGREIVVRDNSRFHHFRNGVCTCNNFW >KVH87739 pep supercontig:CcrdV1:scaffold_2510:39042:40754:-1 gene:Ccrd_024979 transcript:KVH87739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MADDMNDEMVMDLDLNQEPLLDPSPPPPFGYGPLLNELETAHGRIEDRIRQLEAVTARARQRQRWRQARNNPELSYMTVIEQGVGAGNENQGGNGVVGGDRVVEGIVNAEERAGYERGRNSKRDISQLAKALEMDLDSKKTDNGGGGDDDSGGFFDCNICFDMARDPILTCCGHLFCWSCFYQLSYVDSSAKECPVCKGEVTDSSITPIYGNGKNQPVLKLETGVKIPPRPRAHRVESIRQQRVFRGISHIPVAEALRRIRIGIGSIGENPLLQGLNSVGPTSETNPSLHSSEAGGSRRHRSRHFSRVISESAASLSSISSALNNAERLVEDLETYINDRLLRRVDAQILPNNQERNTFLASTADIQPEPQNAEMNLTVPLSSSSSQRAVATTVVQLDNLSTSSAVEIDLTISHPSSSSRRTAVSRTLSLEGGASRELRRRRLR >KVH87734 pep supercontig:CcrdV1:scaffold_2510:51746:55319:1 gene:Ccrd_024980 transcript:KVH87734 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MDQGYKHFCHSHNLIMHQMPEGVEVSCSGCNSSGTGIVYVCWQCNFFLHEQCYRATRSLQHPSHPLHPLTLVPYPTYPSNSFYCNSCQSIGTGFSYSCADCEFDLHVQCAFSISRVPSFQTAHQYPTSYYDPDQELAIPGWNGQSINMAHNSPFVSVSYSLSNPPTAAHNPYMAPSAHSVPFPNPITSEQYYSTGQDLAYVSIPPDCQNSITTAQDPSRVQDGPSVSVPTSSQNSIAGAQYFSMAQTGPSVSVPISSQNSITGTQYPSMPPNRPSVSIPISSQNPVAGAQYSSMAQTGPSVTIPISSQNSNTGTQYPSMPQNGPSVSIPTSFQNPVTQIQYPSLVQDVASVPIPTKNENPITTTQNSNMAQKIASISVPAASPNNQLESHVESGQNQVSDKGIMHFSHPHNLFMVNLQDKEDEVACSGCEENLIGKGYSCVEPKCDFHLHESCFHLEKEIRHKSHPEHPLTLLSLTPHNDKNSEFTCNACFSDGTGFTYHCSVCTFDLHVQCVSLPETVERNDHEHVLKLFYSCPKKGEEEFSCDVCHGAVQKDRWAYYCESCDYCTHLGCVDCDECEGDSVLDAQMQLQRLQLQMEMTRQHAQLIASMGASLM >KVH87733 pep supercontig:CcrdV1:scaffold_2510:56722:60173:-1 gene:Ccrd_024981 transcript:KVH87733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MGKKAVLIGCNYAGTKAELRGCINDVKRMYRCLIDRFGFSEDDINVLIDSDDSYTQPNGRNIRKALADLVRSAEPGDFLFVHYSGHGTRLPAESGDDDDTGYDECIVPCDMNLITGCRITIVSDSCHSGGLIDEAKEQIGESCKNDTEEQEEESSGSGFGFRSFLHKSAENALESRGIRLPSALRHRRHDQEEEEVDEETYEGDLHVKNKSLPLSTFLDILKQKTGKENIDVGNVRPALFDIFGEDSSPKVKKFMNVLLSKLQGSNDGSGGSGGFFGMVGGLAQEFLKQKLEDDSDYGKPAMETSVGSKEDAYAGSKKREMPENGILISGCQTHETSADATPSGKPQEAYGALSNAIQTIIEESGGEVSNRDLVSKARQLLKKQGFTQKPGLYCDDNHVEASFVC >KVH87737 pep supercontig:CcrdV1:scaffold_2510:4132:8856:1 gene:Ccrd_024975 transcript:KVH87737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MWRIKQFMPKEKSGLEGRMIDIGNLKIHVRNVIAEGGFSCVYLAQDALNGSKQYALKHMICNDEESLDLVRKEISVLKSLQGHPNVVMLCAHTILDMGRTKEALLVMEYCDKSLVSVLESRGAGFFEEKQILLIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLGSDGLWKLCDFGSTSTNHKRFERPEEMGIEEDNIRKHTTPAYRSPEMWDLLLREVISEKVDIWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPELPKYSSSVTDLIRDMLQSSPDSRPDITQARALLDWTFISMNLVNGLLSDGLQKSLPDRPPEMLQTDMPEGLPRSANKSSPMPRRSPPPPPTAAELARNAPQPVPASRTEGTGGPIGAFWTTQHGKDSAVVEELSKVTFDEEITGAERSRSERFSLHRTSSPKDEAPHAPRPSQKTVQGKSGPSKDFEMNFFQDGPSHATERPKAKSNDAFNAFATEFSVNKVSPGRSNVNTGKEEQLEAEVERLREQLQQINLEKSELSSKYEKLSGICRSQRQELHEMKQTLASRTPSPNRNGTFWEQQKGLFDKSSPSSDQNSWQPFPEAAPTLTNNSKSVRTRNGQQNKQGGEVGGGNSSWSFGAESFTAVPAASPQVNVSSPSLNNSQRFGESKNKESKSASQPAGWA >KVH87738 pep supercontig:CcrdV1:scaffold_2510:21135:33203:1 gene:Ccrd_024978 transcript:KVH87738 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MDFGGRKRGRQDGGWNGAPKRARDEMESFTPGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYHAPSPLTNMGGPLGRKSQMQQPFTDGLAPAVKNKLCNKINTPEGCKFGDKCRFAHSEAEIGGRPSFPGYENQRGPMNYGGGGYQASPPGLAGANFGQSATAKISIDASLAGAVIGKGGVNSKQICRITGVKLAIRDHETDENQKNIELEGSFDQIKQASAMVREVIMNLGGGNGGARKGGGGGNNFKTKMCENFGKGLCTFGERCHFAHGPNELRT >KVH87735 pep supercontig:CcrdV1:scaffold_2510:12164:18342:1 gene:Ccrd_024976 transcript:KVH87735 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMSY N-terminal MDYEPYDSSGTDDDLPPSHQNRIPRGGRVTGNGRSAVMGSVQYPRIYGENDMEAQIHHLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGKVNADDVIRRIREWRQSGGLQPGMLNTGQAVHDPLPSPSVSASRKKQKMNPSVPSQSFNVPSPSFHPQPIPAPNQPSSSSARRAPMTGTKGKNKKSGQMMGGASSMKMQYPPTGPTGRGQLGNRVNPGALANEPAETSSLIGRKVRTRWPDDNNFYEAVITDYNPNEGRHALVYDIGTGNETWEWVNLAEISPEDIQWEGEDPGIPHKGGYGHGMSRAVGQGGGRGRGMSKGQTRKDFPPSQNGIGKKGLDDIQLLHTDTLIKEVERVFGSSHPDPLEIEKAKKALKEHEAALTDAIARLADISDGESEEGGRLMRGQAMDRG >KVH87732 pep supercontig:CcrdV1:scaffold_2510:66912:71744:1 gene:Ccrd_024982 transcript:KVH87732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MRPYLSRGSDWHLGFRRFNSVNSKLKSYFQLGIDHGQLPTSNHIEDSLSKMYATMPEKIPEDAVKDDPVSNMLVDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPGPLVMSQNEIVRLANLFVSSGVNKIRLTGGEPSIRKDIAEICSKLSSLKGLNTLAMTTNGLALARKLPNLKASGLNLLNISLDTLVPAKFEFMTRRKGHERVMESIYAAVDLGYNPVKVNCVVMRGFNDDEICDFVELTKDKPINVRFIEFMPFDGNVWNVKKLVSYAEMLDIVGKRYTGLKRIQDHPSETAKNFTIDGHRGTVSLRDPIRAGADDNELRQIIGAATRIFLCHWRTINSKSLLPISGQKKKSIACWNVGHCKDTKSTNDTYWWLTFIFSLTPVLHDGFEVQVLHFTEKLEYTS >KVH87736 pep supercontig:CcrdV1:scaffold_2510:19289:19612:1 gene:Ccrd_024977 transcript:KVH87736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lambda repressor-like, DNA-binding domain-containing protein MPTRTTRSVSQDWEPIVLHKSRPKSEVLRDPKAVNQALRSRAKLDEVAEPATLGRVSPEVRQLIQKARIDKKISQDDLAKQINERPVVVQESENGKVDQRQANVDSC >KVI02328 pep supercontig:CcrdV1:scaffold_2512:46201:47812:-1 gene:Ccrd_019387 transcript:KVI02328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MTHHFYLISFFLLALLPTMVAPHCKLQQVDSSTTNIIGHHDSLKYKIAAMLTILFAGGVGVTIPQLGKKVEALNPDNDIFFMIKAFAAGVILATGFIHILPDAFEHLTSPCLPHNPWAKFPFAGFVAMTCAIGALAIDTFATSFYRKMHFNKQQKPRQVHVESGSTSSDDHAAHLHVHAHATDGHAHGTSLISSLESELIRHRIISQVLELGVIIHSVIIGLSLGTCQSISTIKSLLAALSFHQFFEGMGLGGCISQAKFTSVSSAMMAIFFSLTTPIGIAVGIGISNVYREDSNTSLIVEGILNSASAGILIYMSLVDLLAADFMNPRMQSNLKLQLGANVSLLLGAGCMSFLAIWA >KVI02329 pep supercontig:CcrdV1:scaffold_2512:76181:78460:-1 gene:Ccrd_019388 transcript:KVI02329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein SFHFHISRTHLYLSLSLSIYIYAHIFLDQNFYKKIIKLMEGGSDITGGGGGLYEEDDDNIILSPPPHQPSSWRLNINHFHLPQTSSYSNPTSKSTSTFRRLFRPTPRKHGKVQEYYKKQKRLLEGFNEMETMNESGCLPGSLTEDEMDNLAKNEKRAIYVSNMANLVLFIAKVYASIESRSLAVIASTLDSLLDLLSGFILWFTSHAMRNPNQYHYPIGKNRMQPVGIIVFASVMATLGFQILLESARQLIAKTRPDMNHDNENWVIGIMASVTVVKFMLMIYCRRFKNEIVRAYAQDHLFDVVTNSVGLASTILAVRYEWWIDPVGAIIIAVYTICTWARTVIENVWSLIGRTAPPDFLAKLTYLVWNHHEEIKHIDTVRAYTFGSHYFVEVDIVLPEDMLLNQAHNIGEMLQEKLEQLPQVERAFVHIDFEFSHRPEHKAKV >KVI02327 pep supercontig:CcrdV1:scaffold_2512:3888:10189:-1 gene:Ccrd_019384 transcript:KVI02327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MFHSKKAAAASTTAMNSLHHNHHHHHERPNMCGVGGNNHSSPGAGTIQGDSGLVLTTDPKPRLRWTVELHERFVDAATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDSERASALELQRSSSASSSGMMGRSMNEMQIEVQRHLQLRIEAQGKYMQTILEKACQTLAGDQSMAAADYNNGNKVVGGNATGISMNMNMNISASTSSTSHLEQAAAAAAGHRGGMMLLPGDHVPSAIKDFGSLNFPSFQDLNLYGTSPSPSPPAVTAAGSAVGRKSPLIWSDDELHLQDDHHRPPEHHHIGVLSSNSSEGGGDGCDLDSTVPSHIYETKPLTLTSSHNIDHHHHHLGLGSVDHQKKLDRTSPRRPPLSSNSSMDNMRATLSTATTNKWVSSMQYNL >KVI07104 pep supercontig:CcrdV1:scaffold_2513:55476:58951:1 gene:Ccrd_014537 transcript:KVI07104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin 6, N-terminal MSSAQDPFYIVKEEIQDSINTLQATFHQWEHMPVASGEHTRLTKELLSNCESIEWQVCISTVLDWNPSEKIDTLQATFVALRFKVNTVLDWKSYARVLKIYFTDRKVDELEKAISVAARDPALYGIDQAELERRFKWTRTARTQVGNIKKPVTGTGSSSLSGMRQELMRLPSTHQQDKANHYVAKDNDDFISSESDRQMLLIRQQDEELDELSASVERIGSVGLTIHDELHAQKKVAVVMKKASAKGQMMMILFLIVLFIILFVLVFFT >KVI07105 pep supercontig:CcrdV1:scaffold_2513:29525:39347:1 gene:Ccrd_014535 transcript:KVI07105 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MEGNVGRDKESHDTMDHNENNEANQTTIPPCCLKARAFSPDAELEANCHETVVSGWFSVHQTTSDKVGKRMYFNNPMWPGEAHSLEVENILFKEKSEYQEVLVFESATYGKVLVLDGILQLSERDEFAYQEMITHLPLCNSSFRKFLVVLYVRLTCDFAFVAFNSSTTFLCSVEGIGYTALEDHHIVNVLVVGGGDGGVLREVSRHTSVELIDICEIDKMVIDVSKKFFPELAAGFEDPHVHLHIGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFEMVARALRPGGVLCNMAESMWLHTHLIQDMVCACRDIFKGSVHYAWASVPTYPSGVIGFILCSTEGGSAVDFKTPVNPIEKVEGAAELKFYNSQMHKAAFALPSFVRKQVMECNRDEAIRAKTIAEKKLAEKDFAGAKKFTLKAQSLYPELDGISQILIALNVYISSENKVNGESDWYGVLDVKPSDDEETIRKQYRKLALMLHPDKNKSVGADGAFKILSEAWSLLSDKAKRSVYDQRRNSRGFHQKVKLSPQSGRPSTSPSPGVNGVYRATSKPNNWNSGTTTRSGPGSVPHRAPPPHPPPPPPPPTVVHDYACDTFWTVCRICKMHYEYMKVYVNRTLLCPNCQKPFHAVEMAPPINFPKAGQSPQSCGAENSKNGSSAGGASASRTQVDIKAGKERKKKEGEQTSKRRKGEGEGQSKTKMASSAREHNKCIPMKKR >KVI07102 pep supercontig:CcrdV1:scaffold_2513:71504:72743:-1 gene:Ccrd_014539 transcript:KVI07102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MAGHCTFLPDFFHHQFGETTFLSPGADDVVFSVLEADHDHLEGISGGVNPVVSRKLDLSSPKRKRQKLSVVEADGGPNSDGRQKVSHMTVERNRRKQMNDHLSVLRSLMPRFYVKRGDQASIIGGVVDYITELQQILQTLEAKKQRKVYSEVLSPRLVSSPMTLSACPLSPRPSLPISPRTPQPATPYRSCLPTSNSYLSPSISTSLDHSSPANSFITNSEGGNDLMANLKSAIVEVEVKLVGGNLLLKTCSKRIPGQATKVIRVLENLSLEVLQANISIVDEAMVNSFTTKIGVECQLSAEELAQHIQSSFC >KVI07103 pep supercontig:CcrdV1:scaffold_2513:59108:66266:-1 gene:Ccrd_014538 transcript:KVI07103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLATARESRMYGPRLSRNRAEYMNTGIYVFSTIVLLCGFVALLSWQQKPGAVLLLIGLALIIVVNIHDLIAHLAGIDYRIGLMELDIQLPLVEVAVPVVQAIGGILFFLGIIFLLGDRGYMHNMRLEEHALNMLLAGAVLWVLGSILNSCQIYERADGHVQILQQSVHIPFLAASFLFLVGAIFNTRQQAGNYSGHLRGRHGLSLLTSTWVWMGTFASLLLLIGGLANVVKVFNMLQMDRLRLEKLRGGAQERLVRVREGQTPFLTEERRKWPRNVQGTTAPPPPPPPPDVTPYKDVLV >KVI07107 pep supercontig:CcrdV1:scaffold_2513:13377:15082:1 gene:Ccrd_014534 transcript:KVI07107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MTTCTSVLPGGCCFPYLTAEIHHFYVPISPYTYPSLPTNYISKSITVSSIVNMMIANMEHTISSSPSLTSCRQSAGFSDKSDAVLSRRRRTELRRLKLLTSNGSDDCLSKRRRFENIENEGDHDFPYHSSVIGRRREMEDAVRIDLGFVHDDDSRKFDFYGVYDGHGGSRVAYACRERLHKLLAGEMEMKNGTTAAEEMNWEDLMVECFSKMDDEVNETDLVGSMGSTAVVAVVGDKEIVIANCGDSRAVLSAGGAAMPLSNDHKPDRPDELERIEHLGGRVIDWNGQRVLGVLATSRSIGDKQLKPYVTAKPEVIVHKRDGIEEFMILASDGLWDVMSNDLACHVVRKCLDGRIFRRRSQQMNATMKGNKPRATNAAVVLTELAIARGSKDNISVIVVNLKD >KVI07106 pep supercontig:CcrdV1:scaffold_2513:41371:47120:-1 gene:Ccrd_014536 transcript:KVI07106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFAELNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGNDARLVTIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDSETASSAKEALDGRSIPRYLIPELGPCSLKITYSAHTDLSVKFQSHRSRDYTNPLLPVASSAIDATGQFSVGLDGKKLESESNVLLASIENMQYAVTLDVLHMVFSAFGPVLKIAMFDKNGGVQALIQYPDVERAIVAKEALEGHCIYDGGFCELHISYSRHTDLSIKVNNDRSRDYTMLNCVQYNVNNNGGWGHQPYMMPSPSHHFLHYQQQ >KVI09555 pep supercontig:CcrdV1:scaffold_2514:51890:57539:1 gene:Ccrd_012064 transcript:KVI09555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase, active site-containing protein MAIAATVSAPITSSNLRSHSITRPSSFSLINPFKSSSFTKLTTTSLIRNPKFGFSVSAMSVAAQENLSQTAFLDRRESGVLHFVKYHGLGNDFIMVNNLDSMEPKVTPEQAVKLCDRNFGIGGDGIIFAMPGSNGTDYTMRIFNSDGSEPEMCGNGVRCLAKFIADLNNSHGRQSFTVHTGAGLIVPEIQDDGKVKVDMGEPVLKASDVPTKIPANKDQSVVKAKIEVDGVNWNVTCVSMGNPHCITFGREGGENLVVDELNLADIGPKFERHALFPARTNTEFVQVFSRSHLKMRVWERGAGATLACGTGACAVAVAAILEGRSERNCTVDLPGGPLVIEWREDDNHVYMTGPAEVVFYGSVHL >KVI09554 pep supercontig:CcrdV1:scaffold_2514:29983:31737:1 gene:Ccrd_012062 transcript:KVI09554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MKAIDIFCASQAATSISNATIIMDSDLHQQPSSSSSSGGGRAIDRHNPIIQDEKRLTRTLLPDQPPSTPNHHTFKKTKNPKNNDQKRKTIAFADENNDHEFITSNYVKNPSSSSNTVVRRQGGVLLGWGCTRPGDFISPATSSRYLLTDKALSDQVDPLLKQVPSPQPPPPPEKPYNYFDADKSFKKKDDGHISSSPPKSPPRSSSSTLSSQPSDHQVVVLRVSLHCKGCEKKMRKHLSKMEGVTSFNIDFMAKKVTVVGHVTPLSVLTSISKVKNAKLLTPTTVSTPSINSDFSEIKKQMGLVR >KVI09553 pep supercontig:CcrdV1:scaffold_2514:36110:43037:-1 gene:Ccrd_012063 transcript:KVI09553 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MGKIRTGKLFNRGPQAMLSLSNIREAKKRMSQRWKTHGYKDAGMVGKGSTLEEMLSIPNSEIRHGKTGRVVGLVGVSDEYASPGGSGMPLGISSNDGWRDGYLRNTPRSRSLPPSLRNRSHRISNYHEAHADEKIVVHNEPLHRGKSKGAKGNFNHIEDLRSKNLRYTKRCRSSQHCNACSLEFANISTENHSSQKPTETCFENEDPAEQEPLISDIHGATTNAATTNAASVIDVIRISSESSNELSPRLNVDSSAIDEDSSNSQEPSTSKSVGTVSIRLSGPELESSEGSKEVDQHGQISVLEVPPTEDVSSGSDCFETVISQLHELRKQLHLLKMESGSTDDSANEEEVEQGSCFTVSESNNWESTYLTDLLQGSGFSDNDPYTFMTTLYAVDCPIDPWLFDHLEKKYSGDSNVSRSERHLLYDRINEALYVISKTRVSCSWVSPGKRGIQTTLTEIGFEDQLQKVLAKQEKEAKEDFEEISIDKDPDWFQPVKEIDVVGKQIAELLVNDLVLEIISV >KVI09556 pep supercontig:CcrdV1:scaffold_2514:9356:11227:-1 gene:Ccrd_012060 transcript:KVI09556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MKKFGFSPKRSSSSFIAHYPSPSRFILPAPSRSLSDSVMVQTLEVVEPLIVKWDPETSTYAKVTSLFYDNRQEAFEFINLVNKLHKAMHSLAADNSNSELLIRAQHLMQIAMKRLEKEFYQILSLNRAHLDPESVSTRSSRTSTRSSLSDFADESDDDIRVAGELIGEVEDAAEGVMKDLKAIAECMISSGYGKECVKIYKVIRKSIVDEGIYKLGVEKLKSAHVHKMDAEVLDLKIKAWLNAVKVAVKTLFNGERILCDHVFASSDSIREACYTEITKEGASILFGFPENVAKNSKKSSERIFRTLDMYTAIADRWPHIVSIFSFPSTSSIVNQAVNSLIKLGESVRSDLTDFETMLNKESLKTAVAGAGVHKLTVDTMGYLSLLTDYGVLADILLDSPPSEKSLMPETFFDKSFSEDSPSPAVSVWLAWFIFVLVCKLDGKSKHYKDVSHAYLFLANNLQHILSKVRSSNLRYLLGDDWIIKRESEVKKFAANYERLTWSHVIDTIPKNAASMTREEARDSFKRINMIFDDTHRKQLAVVVPDGKLRDEIKVSVARKLLPAYREFYNAQRVEMTKDKKFAGAVKYAPEDMGNALSDLFFGSGSTSTSVSSSVSSSRKSHSG >KVI09552 pep supercontig:CcrdV1:scaffold_2514:21913:27813:1 gene:Ccrd_012061 transcript:KVI09552 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MNNMKQLHKQSTANQRRDEEMPTSQTPPYSPKFPKHQSSSRYLPRSINYILKEQRLLFILVGILIGSAFFILKPSISSFTITDASDENQTTSLTRSIAFSHPDTTSHYYRPINQATGRVPVAIGRKKRRVVVTGGSGFVGSHLVDKLIARGDDVIVIDNFFTGRKENVAHHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIISFFRVGYLKVMSLEFLNWCNYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGDGVEPDMWQLWFTLQVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVDGLMALMEGEHIGPFNLGNPGEFTMLELAQVVKETIDPSATIEFKENTADDPQKRKPDISKAKSMLNWEPKITLREGLPRMASDFRNRILNEDEGKGNK >KVI11357 pep supercontig:CcrdV1:scaffold_2515:9461:19623:1 gene:Ccrd_010234 transcript:KVI11357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MIPKNNQPILIWKAIPGLQPPTYQENVAGFACVSMPKKKRVAANVEFTPTTEQVLKHPLAVVALVPKEAALFAAGAVAGAAAKTVTAPLDRIKLIMQALVSVGTQEGVKGYWKGNLAQVLRILPYSAVQLFAYESYKKLYRGKDGELSVIGRLAAGASAGMTSTFVRFSLLFLLSDFAECSTLDVVVKMLKEEGLGSFYRGLVPSLIGIAPYVAVNFCVFDLVKKSLPEKFRNKTEASLITAFAAATIATLTCYPLDTIRRQMQMRGTPYKTVLDAFPGIIARDGVAGLYRGFVPNALKTLPNSSIRLTTFDAMKHLIAASEKEFQRIMEENTNSNEEKQDSSSSTS >KVH94913 pep supercontig:CcrdV1:scaffold_2517:13933:14295:-1 gene:Ccrd_003018 transcript:KVH94913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAGVAKVAIAVVLIAMVANVRYTTESAVTCELVVSRLTPCASYLIKGGPVPKSCCTGVQSLYKDADTTTDRQTACRCLEQAATLVPGINVDNAVNLPAKCDVSIPYKISPVFNCSTYFIH >KVH94914 pep supercontig:CcrdV1:scaffold_2517:69123:69559:-1 gene:Ccrd_003019 transcript:KVH94914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MASMMMKFLCVAVACMVVLAPYSEAITCDDVVEKLKPCLEYLKGGGAVPAPCCAGVKGLNAAAKTTPDRQTACGCMKTTYKSHPEIKVDNARVLPGKCGVKIPYKISPKTDCSKVK >KVH87731 pep supercontig:CcrdV1:scaffold_2518:68881:70054:-1 gene:Ccrd_024984 transcript:KVH87731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEDGLNLTLGLPGRGEEESTVSCFKNNNKRSQPETNDDCNESNQAKEGDMETSPAAKSQVVGWPPVRKYRRNSFQPKKTEAESGMYVKVSMDGAPYLRKIDLKVYKGYPQLLEALEHMFKLTIGVYSERDGYKGSEYAPTYEDKDGDWMLVGDVPWEMFMLSCKRMRIMKGSEAKGLGCNV >KVH87730 pep supercontig:CcrdV1:scaffold_2518:62308:64221:1 gene:Ccrd_024983 transcript:KVH87730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKSSLLNVLNNCQTLKSLNSAHALLVVNGLFASSDIVVNKIIRLYFRFGSAEDAHKVFDKISQPNVFLWTSMIHGHVENKLYSKGFSLFLRMLRHSVAPLNFTITTVLKGLAREARLRDGKVVYGFVLKCGFDFDVMDLDAAIYIFNTMPCHDVGSWNLIISGCCKVGNLESARSYFDMMPSRSVASWTMMIDGYMKSGNINDAKILFDQMPEKNLISWSTMIGGYAKNGEPRTALELLEFFKQQCIKPDETFILGIISACSQLGVLDAAESITSDYVGPCLLSNLHVATSLVDMYAKCGSLKRATQVFEMISGKDLFCYSTMIAAYANHGLGHEAISLFEKMKENNLEPDAATFIGVLSACNHGGLIAEGWRYFKEMTDAYGIQPTDKHYACMVDLLGRAGCLRDAYNLICSMKVAPTAAVWGSLLAACSVHCNIELAEAAATELFKIDPDNSGNYVLLSNLYAASGEWHNVAKVRAMIRLNRVKKNRGSSWIELDSVVHEFVMGNLSHLSAASINFILDLLCEEMKFEN >KVH90732 pep supercontig:CcrdV1:scaffold_252:12308:24433:-1 gene:Ccrd_007234 transcript:KVH90732 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MEKSGYGRDGIFRSLRPPLVIPADPNTSMISFLFRNVSSYPNRPALIDSDSGETLTFSELRTTVAKISYALNTQLGIKKNDVVLIFAPNSIQYPICLYSIIALGAIATTVNPQYTVRELSKQIKDSNPKLIITVQELYHKVENFGLPVVFLGSESSRKGCISYKDLISKSGSVSELPKVSIRGDDTAALLYSSGTTGVSKGVILSHRNFISVSQMAVSDQRLMGEKGYVFLCFLPMFHIFGLGVILYSQLQEGNTVVSMGKFDFGEMLKNIEKYRATHLWVVPPVILALAKQDVVKKFDLSSLKQIGSGAAPLGKELMEECAKKFPSAVVMQGYGMTETTGVVSVESPIMGPRHSGSAGRLIPGVEAQIISVDTSKPLPPNQMGEIWARGANMMQGYLNNPQATKLTIDKQGWVHTGDLGYFDDEGQLFVVDRIKELIKYKGFQVAPAELEALLLSHSEILDAAVIPFPDAEAGEVPIAFVVRSPNSSLNEEDVKKFIAEQVAPYKRLRRVTFVNSVPKSAAGKLLRRELIEKVRKRREPMEKSGYGRDGIFRSLRPPLVIPADPNTSMTSFLFRNVSSYPNRPALIDSDSGETLTFSELRTTVAKISYALNTQLGIKKNDVVLIFAPNSIQYPICLYSIIALGAIATTVNPQYTVRELSKQIKDSNPKLIITVQELYQKVENFGLPVVFLGSESSRKGCISYKDLISKSGSVSELPKVSIRGDDTAALLYSSGTTGVSKGVILSHRNFISISQMLASDQRLLGEKGYVFLCFLPMFHIFGLGVVLYSQLQEGNTVVSMGKFDFGGMLKNIEKYRVTHLWVVPPVILALAKQDVVNKFDLSSLKQIGSGAAPLGKELMEECAKKFPSVVVMQGYGMTETSGVVSVESPIMGPRHSGSAGRLIPGVEAQIVSVDTSKLLPPNQMGEIWTRGANMMQDKQGWVHTGDLGYFDDEGQLFVVDRIKELIKYKGFQVAPAELEALLLSHSEILDAAVIPFPDAEAGEVPIGFVVRSPNSSLNEEDVKKFIAELVAPYKRLRRVTFVNSVPKSAAGKLLRRELIEKVRSKM >KVH90731 pep supercontig:CcrdV1:scaffold_252:64296:68971:-1 gene:Ccrd_007237 transcript:KVH90731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MDADRLNSPHTSAVLFEVLGYQLQFSQDPNSKHLGTTVWDASMVLVKYLEKNCRKGRFSPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQVEVLPLLMRNVDRNTSRIMQMNPDADSIGSITAAELSWGNEDHTRALDPPFDYIIGTDVVYAEHLLEPLLQTMLALSGPKTMILIGYEIRSTNVHEQMLQLWKTHFEVKIVPKLKMDIKYQHPSIQLYMMRLKIPEGNTNHDPIIESGTNEKTEDDTISRVEGEADNVLPTESHQGNLSDWEARRYGSMAARLLRDIKIT >KVH90747 pep supercontig:CcrdV1:scaffold_252:263447:264124:-1 gene:Ccrd_007259 transcript:KVH90747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAPKKSTVVKTTKKTIQESVQVSVVDQTTKKPVTRGGGATVSSKEKIEVFTVKTPQQEEEEEEETLEDDDDTQQEDIVNDGIGRERREIKVQDASTPGTTRDGGGGRRRKAASGDPVKKKKKRRKVGEIGGGGEGYKRYVYRVMKQVHPDLGISSKAMTIINNLMTDMFERLAEEAGRLSEYSKKMTLTSREIQGAVKLVLPGELGKHAVAEGTKAVTSYMSYGG >KVH90736 pep supercontig:CcrdV1:scaffold_252:64353:66346:1 gene:Ccrd_007238 transcript:KVH90736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MEIKKLYHHHRHLPNPITRSISTYPLIFIIFFLLSHSIVAISFPKPPPIPILPFPTSQQLSWQLSDMALFLHFGPNTFTDSEWGSGHDDPSVFNPTALNATQWVTVAKENGFPRVILTAKHHDGFCLWPSDYTDYSVKSSPWRNGNGDVVGELAKAAQKAEIQLGLYLSPWDRHEPSYGKTLEYNEHYLAQMTELLTRYGNIKEVWLDGAKGEGEKYMEYLFENWFSMIHQLQPESVIFSDAGPDVRWVGDEAGCAPTTSWSLFNRSNAAIGATDPTYSQNGDRLGHDWVPSECDVSIRPGWFWHASEVPKSAANLLELYYNSVGRNCLLLLNVPPNSSGLISEEDVKVLGEFSKLKNSIFSRSLAKEAVVSASSTRGGHNNTRFTASSILDDGIFTYWAPNINQSHWEIYLDFQESVSFNVIQLQEPIQMGQRIAKFHVDVVNEDGKWCEVLSGTTVGYRRLLRFPGVKTQSLRLVIDESRSDPLIAYLGVYVDTVSIIGSTMRNSSSHSYFNASQVLHQIAYNHTHISSS >KVH90739 pep supercontig:CcrdV1:scaffold_252:188422:189402:1 gene:Ccrd_007251 transcript:KVH90739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEMEFMAEFTQGMSRDRARQIIRGKRTKRQRPCSPFGMSSSTSGNSNADGDCLIDNYTPEADHSPVMSSEMSTEEDQDMANCLIMLAQSVSPVKEEKSDQIRQKTEKLSSRRFTEMTTTTVGGKTGFYVYECKTCNRTFPSFQALGGHRASHKKPKLNVEEKKSGSVNIPPPLMEYSEEDQHKVVVEDEENKASMIISNNVQKSPSPSSGFIQTGIIHNNTKGKVHECSICGSEFLSGQALGGHMRKHRPTPPSINRVGISMQTDDSTTDHIIEKSPGGSSSMLSLDLNLPPPDVVDDVQSKFQFTSKSSQQHLVFSAPALVDCHY >KVH90755 pep supercontig:CcrdV1:scaffold_252:150668:151827:-1 gene:Ccrd_007249 transcript:KVH90755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MPMSGMVDRVAIGNYHEEVRHPSAIKAFVAEFISTLIFVFAGQGSGMAFTKITGGAPTSPAGLVAASLAHGFGLFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRGIFYIIAQLLGSVVACLLLFFSTGVYATAIDPKKGDIGTIAPLAIGLVVAANILAGGAFTGASMNPAVAFGPAVVSWDWGSHWIYWAGPLIGGGLAGAIYELIFINRSHESLPSSEY >KVH90726 pep supercontig:CcrdV1:scaffold_252:286848:307979:-1 gene:Ccrd_007261 transcript:KVH90726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2451, C-terminal MQPSNFPASPLALIPIAFNHVNVSPVGFELSRVWLLGSLLFSQGGAVDSGGEMDLSKVGEKFLSSVRSARSISLLPSTSERPEVPFYVVMTMEMETTKMEMRNGPRAATAATIARALASIPPHHRQSLSSSSEELSSIYGSTSRGQVVEELEEEFYEEMFDPVRHTLENLPSEENELTYFEGKAALRLLQLDKVTENLSRQVMEHHEVMVKGMHLVKELEKDMKVANVICMNGRRHLTSSRNEVSRDLIVNRNSRRKQALLDILPVLAELRHAHDMQVEIEIHVDEGNFFKNIMHTYLSIQECSFMLDWFIPQAFQVLSEYLQLLDGFSELLVIQEMSRGVEIWLGKALQKLDSLLLGVCRDFKETSFITVVDAYALIGDVSGLAEKIQSFFMQEVISETHAVLKNIVLEVQFFLPVSGEQKRKKIRYHTERECIHDYLSLCLHIRLTYSDLCTRVPESKFRECLLATLAVLFDLMCSYHAIMNFNSDNKVPLDRLSPAMQEGYEGTGSSEDVQHADPSSQNCSSLKADDGSLSEPSGQVPNLASSEEPTTSATTLSDANGINNITHDPSGSESRDDGTGASSSGSSWFQLRNDATTFVSQTLQRGRRNLWQLTASRVSVLLSSDAVGSTSIHQFLKNYEDLNTFILAGESFCGADAVEFRMRVKAICECYYTSFHRQNIYALKMVLEKESWFTMPQETIQVVSFAGLVGDGAALILQSATSSTSRELQSQKSADLVKVKTIPNQSGFSHWISSGNPFDPKIASKEFDDFSPRAASAVSEEPNENFNEASHKNNSSLNSDANHSNGHVDLEDENEDLHADFIDEDSQLPSRISKPNRSRNHSSPWSDEEMEAHTGSSICVLRLMDKYARLMQRLDIVNVEFFKGICQFFGIFFHFVFESFGQQNTNPGGKGLNDALDRLKTALSRIRRDCDQWVKSPSSSSSSSTSLNMPLPHTDAAPNGIPNSNLCHVPNTSFGLKERCAGADTITLVARLLHKSKAHLQSILPKNNAAIIEDFYVHLVSSVPDLVEQIHRTTARLLLHISGYADRIANAKWEVKELGMEHNGYVDLLLGEFKHYKTRLAHGGIQQEVQDKLIGYGLENLSETIVEGLSRVKRCTDEGRALMSLDFQVLITGLQHFLIINVKPKLQRVETFIKAFYLPETEYVHWACAHPEYTKSQMMGLINLVATMKGWKRKTKSEVLEKIEAACGF >KVH90738 pep supercontig:CcrdV1:scaffold_252:168027:171815:1 gene:Ccrd_007250 transcript:KVH90738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase, copper/zinc binding domain-containing protein MQAAIAAMALHSILFSSPAATSYPLLFPLSNPSTISIPSSSFHGVSLKTPITRQSLTLSVAAAPKPLTVVAAAKKAVAVLKGTSSVEGVVTLSQEDNGPTTVNVKITGLAPGPHGFHLHEFGDTTNGCISTGPHFNPNGLTHGAPEDEVRHAGDLGNIIANADGVAEATIVDNQIPLTGPNAVVGRAFVVHELADDLGKGGHELSLSTGNAGGRLACGVVGLTPI >KVH90730 pep supercontig:CcrdV1:scaffold_252:45837:52850:-1 gene:Ccrd_007236 transcript:KVH90730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MELSLIINSGLKRCGKSCRLRWLNYLRPNIRHGEFSDDEDRIICSLYATIGSRWSVIAAQLPGRTDNDIKNYWNTKLKKKLLTMLPSLQEKPTLFPSMPFQPPYDDHHHHTSDHFLTTSSSFYTNYTPNFMSVDTTSNSLLVQDNDHVNVANLSSPPPPPPPENHLISLLGNNTIDVNENSYNSYLGFQDDHQSMCNSPMQECYHPVSAMKEGMLMFGGGATCSSSEGGSCMSQISHGNYNKDHHQKQQYQIKQEDQITLQEQVLGDQTQSLQGFIINQKPKEYMIKNHVSPLNNDLEEVKQLNFNSYMFHDGLKRCGKSCRLRWLNYLRPNIRHGEFSDDEDRIICSLYATIGSRWSVIAAQLPGRTDNDIKNYWNTKLKKKLLTMLPSLQEKPTLFPSMPFQPPYDDHHHHTSDHFLTTSSSFYTNYTPNFMSVDTTSNSLLVQDNDHVNVANLSSPPPPPPPENHLISLLGNNTIDVNENSYNSYLGFQDDHQSMCNSPMQECYHPVSAMKEGMLMFGGGATCSSSEGGSCMSQISHGNYNKDHHQKQQYQIKQEDQITLQEQVLGDQTQSLQGFIINQKPKEYMIKNHVSPLNNDLEEVKQLNFNSYMFHDGDESKTTHYQKGMCSYYY >KVH90742 pep supercontig:CcrdV1:scaffold_252:209286:211492:-1 gene:Ccrd_007254 transcript:KVH90742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase, regulatory subunit MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLSESEWRAIGVQQSRGWVHYAIHKPEPHIMLYRRPLNFQQNQENQA >KVH90744 pep supercontig:CcrdV1:scaffold_252:232677:233285:1 gene:Ccrd_007256 transcript:KVH90744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MANAKPLTQNQPAPSRFNLVRLIAIVLLTLIVLVGLTILIIWLTIKPKKLVYSIDDAAVHNYVLSNSNHLNATYYFILRAYNPNKKVSIYYDKVDVVVLYDDETLSTGTIDPFYQPKRNATSFKLNLSSRDVSLPQQIARDMKVERSSGQIEMTVKLKARIRFKVGVWKSRHYGMRVTCAPIMVHYSYSSKGFQKISCDVDI >KVH90728 pep supercontig:CcrdV1:scaffold_252:328513:332276:1 gene:Ccrd_007263 transcript:KVH90728 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase assembly factor ATP10, mitochondria MLRLKQLSSSRSVITLSSRLLFTKEDKVLLPTSIPTRLTSNRFLNFYEIGNKDAIEKERARLKDEMNRGYFADMAELKQHGGKIAAATKVIIPAMVAVKFPQLEVNYSDGTSFKLPITTFGSDDESMKADIPKATLMCLSFRATSQEMIDSWSVPFAEAFSGSEKVQLYEISFIESWLLSLTPIRKLLIRMLKKSKPQENDGVLQRRMAYAFGDHYYFRKELKILNLLTGYMLLLDKFGRIRWQGFGLATKDELSSLLSCTSLLLEEE >KVH90750 pep supercontig:CcrdV1:scaffold_252:78941:83270:-1 gene:Ccrd_007240 transcript:KVH90750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase, large subunit, C-terminal MEKVMNILKPKPNPQQLLRDWQRRLRQECRNIERQIRDIQREEKSVQKAIREAAKRNDMGSAKFGGGTLRHPWGNAPGAIANRVALEACLQARNEELALAREVVRSRRTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKIVNNLMKAPEMAVTMQEFNKEMTKAGVIEEIVNDAVDSALDSDDIEDEIDEEVDKVLTAIAGETAAQLPEADEGVDDEEELEEIRARLAKVRS >KVH90749 pep supercontig:CcrdV1:scaffold_252:92333:95512:1 gene:Ccrd_007241 transcript:KVH90749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily RICLFIPYLTNCVLYTYLSFVLLPFNHTLQPMALFLPLLILLFVSLTNGQGNPPSPGYNPSSMVQTVPFDQAYRNLWGPQNQRFDQTSLTIWLDKSSGSGFKSIKSYKSGYFGAAVKLHPGYTAGVITSFYLSNNEDHQGNHDEVDIEFLGTTPDKPYTLQTNVYIRGSGDGNIIGREQKFHLWFDPTKDFHQYAMLWTPNEIIFFVDDVPIRRYPRKSDTTFPLRPMYMYGSIWDASSWATENGRYKANYGYQPFVGRYNNFKIKGCATDSQPTCQPPSGSPTTTGGLSRQQLVAMQWVQRNYKVYDYCSDPKRDHRQTPEC >KVH90729 pep supercontig:CcrdV1:scaffold_252:313924:326442:1 gene:Ccrd_007262 transcript:KVH90729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKPSENGTEGDDEREEGEEDTEEDKDEEEEEEEEDEPRLKYQRMGGTVPSLISSDAASCVAVAERMIALGTHGGSVHILDFLGNQVKEFRAHTAAVNDLCFDIDGEFVGSCSDDGSVVIISLFTDEIQKFDYHRPMKAIAIDPDYARKASRRFVTGGLAGNLYFNVKKWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRVTFIERPRGSPRPELLLPHLVWQDDALLVIGWGTTIKIASIKTNQARGVNGSYRQITTSNMNQVDIVASFQTSYFISGVAPFGDSLVVLAYIPGEEDGEKEFSSSVPSRQGNAQRPEVHVVTWNNDELATDALPVLGFEHYKAKDYSLAHVPFTGSSYAGGQWAAGDEPLYYVVSPKDVVIAKPRDAEDHINWLLQHGWHEKALAAVEAGQGRSELLNEVGSRYLDHLIVERKYAEAASLCPKVLRGSAAAWERWVFHFAHLRQLPVLVPYIPTENPVLRDTAYEVALVALATIPSSHKDLLSAVKSWPPVIYSVVPVIAAIEPQLNTSSMTDELKELMKPDIFEFIEKHSLHDAIREKVGQLMLIDHKRSVALLIQHRDLITPAEVVSQLLASRKQSNSRYYLHEYLHSLFEVNPHAGRDFHDMQVELYAEYDQKMLLPFLRSSQHYMLEKAYEVCVKRDLLSEQVFILGRMGNTKQALAVIIDKQGDIEEAVEFVSMQHDDDLWEELIRQCLNKPEMVGVLLEHTVGNLDPLYIVNIVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADIVNLLVKYYKEARRAIYLSNEEKETRSNMDNGSSNPMDRTPVLKSMEGKSKTQAGGRCCICFDPLSIQGASVIVFFCCHAYHLNCLLDSTNSITTKKGESANSYTNGDYIDDDEDDENDNDTPTGASRMRCVLCTTAAG >KVH90748 pep supercontig:CcrdV1:scaffold_252:104632:107154:-1 gene:Ccrd_007242 transcript:KVH90748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MVEQYRSTKNHRKEFYVSMKLFRTRNGKPEKPFCFRYFKWLLWFSLSFYFFARFLSNHQPSTTKLKTAIISHSQSNVVSRALSESILQHPRNNRGLLKDLKVYIYELPAKYNADWLSNERCSNHLFASEVAIHKALMKSDVRTFDPSEADFFFVPVYVSCNFSTVNGFPAIGHARALLSSAVDFISSELPFWKRSNGSDHVFVASHDYGACFHAMVVRTNAEEDRAVADGIPEFMKNSIILQTFGVKYLHPCQDVENIVIPPYISPENVQSTLSNSPIDGRRDIFVFFRGKMEVHPKNVSGRFYSKRVRTEILRKYGNDRRFYLKRHRFSGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFESTVPWPEISLTVKEKDVAKLGGILDYVAATNLSSIQRNLWDHKLRRALLFHDDMEIGDATWEVLVALSMKLGRSYRRSRLSSE >KVH90740 pep supercontig:CcrdV1:scaffold_252:198897:203151:-1 gene:Ccrd_007252 transcript:KVH90740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9 MASPAASLSWNSSSWLSNRTLTRNINHSAPISDRSSVFVILAQKKAKKTRKIILTEDVIDVGKKGQLLDVKAGFFRNFLHPAGKAQIVTPVLIKEMKVEEERIEAEKQRVKEEALQLARIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQRDIDKRIVSLPEIRETGEYIAELKLHPEVSAEVRLNVFAN >KVH90737 pep supercontig:CcrdV1:scaffold_252:69583:72983:-1 gene:Ccrd_007239 transcript:KVH90737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MPPHPRTKNYLLSSISTIMPPLPPQILYLLPLLLLLFISSSSARTNPSSFHHSKSLVKTSHQLIRDLNLHPNLDVNIVKSYTNSSYLDLDKVTQSGIVEKRLSLSVLGDSGATVHDLAQHAGYYRIEHTVDARKAKTDPVVIWLTGGPGCSSELALFYENGPFKVTNNLSLVWNDYGWDKVSNLIYVDQPTGTGFSYSSSDQDIRHDETGVSNDLYFFLQAFFKAHPDYVNNDFYITGESYAGHYIPAFAARVNQGNKNKEGIHINLKGFGIGNGLTDPAIQYKAYTDYALANDLISQSDYSQINQQIPDCEAAIKECGTTGTTSCGTALQTCQQILEDILYIAGNINYYDIRKQCEGSLCYDFSNMEDFLGQSSVKTALGVPSDIDFVSCSETVHEAMLDDWMRDLEVGIPALLEEGIELLVYAGEYDLICNWVGNSRWVDAMAWSGQKDFVAASNVSFTVDGKEAGILKNHGPLTFLKVHNAGHMVPMDQPSAALQMLQLWTTGKLTPPNKKGKVTLP >KVH90752 pep supercontig:CcrdV1:scaffold_252:122248:133617:-1 gene:Ccrd_007246 transcript:KVH90752 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase MGGEGWFRRWSLDGEWEALPPTVRAYGNRDLLFIDFMASSTADATNDKLANVQINELSISASPNLQKNLSIFSPDQAELAKMLLVRGQSHLFEDWPDAGVDDDEKKALLDQVALLNSSYPGGLASYIKTARELLADSKAGKNPFDGFIPSVPSGEVLTFGDDNFIKYEEAGVRQVQNAAFVLVAGGLGERLGYNGIKVALPMETTTGTCFLQHYIESILCLSEASCRQAQGQCQRDVPFVIMTSDDTHARTLQLLESNAYFGMKSTQVKLLKQEKVACLADNDARLAIDPKNKYRIQTKPHGHGDVHSLLYSSGLLREWKDAGLRWVVFFQDTNGLLFKAIPAALGVSATKEYHVNSLSVPRKAKEAIGGITRLTHADGREMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILEVGPYIDELSKTGGAIKEFVNPKYKDSTKTAFKSSTRLECMMQDYPKTLPPSARVGFTVTDPWLAYAPVKNNPDDASKVPKGNPYHSATSGETSIYRSNSMILRKAGVKVDDPVSQVFNGQEVEVWSRVVWKPKWAVTFADVKRKVSGSSSISQKSTLVVKGRNILIEDLSLDGTLVIDSVDDAEVKVGGSVQNNGWKIESVDKDDTALPEEVRIRGFKINKVEQLEKSYNEPGKHSLKP >KVH90745 pep supercontig:CcrdV1:scaffold_252:247917:255666:1 gene:Ccrd_007257 transcript:KVH90745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGINNVSVLDSSYLGENYSPVSRRWGNQERPISRTSFIRKMWRDLEGESRFRDNERQQTSGMGYGSIGSLCSCSSGGAEGEDLSVNTSEVENECPRDQNQMGLQNGQEDDGNMCLQRLPAFGVAEKQRVRQVFREWGSKNCSGRALNVSHKNNCSRAQWLCEYECKRVRTVRQWIELNTQQAETGHSGAEEQGTEIGCQIEQGRDGLGVNHTRVGARRSICRLYGRQALLDLLTRFERERKRELRSLLENRPVTNFAHRNRLQSLLRGRFLWNQRFVQDKKCTSIAASELGLLRQTHTVSDLRKGFLSRLDNYGPMPDGSQSDTSSNNDMKYHQENFQELPDDIADEFESPNMRSDISGTHKVDWEADTCGSSREYSAPAEERHEQGLEDEGRQQQPSTEFIGIGDIDQDQDQEGLVERSQGVCHIELSQRALELECTTRGLFQSNWEEPEVREASEHHDPSETNTDSLSWHGSAEEWQEPLTEDNGIEWHHLTNTESDGGLDADSIEHEHEWYQETAVSDFQESHNVWYDNTDTVEDTTESWFAPQVVRRDAFYTSDDDNNGNRLELRELMSRRRVSNLLQSDFRVRLDQLIQSYVERQDQASESDDEWMLEREQQDQDQQSVHGNDDATEAVEGPEGAHSSAPATSQTHQDDTEWEMINGLCIDMARLQERMNNMQTMVETCMNVQLELQRSVQQEVSAALNRSSTSEDDRLQTDESKWKAGKEEACPLCCDSSFDSSPTRCGPVYICSKCAVKINWSKLKESVRHP >KVH90746 pep supercontig:CcrdV1:scaffold_252:258345:258881:-1 gene:Ccrd_007258 transcript:KVH90746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNRRTLLQEIEDASRSIGSPTPPFASNSPFDSSLALTILVLLTVLFFMAFFSLYIRRFSNNNNNSADPVTRLRQTPSHFRCDKRGGLDPSAVRVLPLVPYSDESKIWSECSICLSEFEDGETVKLIPYCRHGFHPLCIDTWLSSHASCPLCRSTRLFSAVDEGRVSVDGDPTVVTRRD >KVH90756 pep supercontig:CcrdV1:scaffold_252:138786:141784:1 gene:Ccrd_007248 transcript:KVH90756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF966 MEGRVRKYGQQHQQLSPDRAKVWKERSPRYQQRQPDPEQEQEPEPELQQKSRKVPVVYYLCRNRQLEHPHFIEVPLTSSDGLFLRDVIEKLNILRGRGMASMYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELVEESNSVVVNNDLILPAHGNGYVLKGSELVEESNSGRFTPVKLQNLKQLPEPPSARSQDDSSSSTSMSGRETKHSQDEELSPPVRLSSSSGVSPESRGGKSTVSGGCLSLTEYKIYKSDGLADASTQTEENIRANKARETCTRGVSTDDGGLESLSNQSPQSQALCVKDNSEIQVDPSPPSSSSACSSVGKTETLESLIKADASKLNSFRRLEEGRMPANAKLRATDMLMQLISCGSISVKDHSFGLIPSYKPRFSDSKFPSPLFSTSVMLGELDCLPENPRFMSMRLEEKEYFSGSLVETKMLKEEGVATLKRSSSYNADRTNNSDPISDKEEATATRTKCIPRSLKASLGKQPKSESMRSPISEGPRISSEGGTSSRIVSPCPSNGSSKRMTEPCSAKKQSGRLESFREEGSVIKIEES >KVH90724 pep supercontig:CcrdV1:scaffold_252:342574:343220:-1 gene:Ccrd_007265 transcript:KVH90724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MLVFSRATHYHVLYDENKFTADGLESLTNNLCSRMLRCTRSISVVPSAYYAHLTTSRARSYTEGMVSESGSAAVGRATRERKAEIRQLPMIRDNVKAVMFYC >KVH90735 pep supercontig:CcrdV1:scaffold_252:3628:7976:-1 gene:Ccrd_007233 transcript:KVH90735 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVSDASKKKALQKKAAAAAKRGGKAAAAATSAKAAAVGTMNGSSSSDNLSNGMGSLVISDRTCTGVLCSHPLSRDIRIESLSLTFHGHDLIVDTELELNYGRRYGLLGLNGCGKSTLLTSIGCRELPIPEHMDIFHLTREIDASDMSSLEAVMNCDEERLKLEAEAERLAGQDDGGGEALERVYERLDAMDANTAEKRAAEILNGLGFDKKMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKNFERILVVVSHSQDFLNGVCTNIIHMQSKKLKMYTGNFDQYVQTRSELEENQMKQYKWEQDQIAGMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKVLVFRFVDVGKLPPPVLQFVEVSFGYTPDNLIYKCLDFGVDLDSRVALVGPNGAGKSTLLKLMTGELVPLDGMVRRHNHLRIAQYHQHLAEKLDLDMSALLYMMREYPGNEEEKMRASIGRFGLTGKAQVMPMKNLSDGQKSRVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMGFKEHLRTKAGLSG >KVH90751 pep supercontig:CcrdV1:scaffold_252:130704:134130:1 gene:Ccrd_007247 transcript:KVH90751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSINNRSRFPYALTVGGNCNQKGEKDDDVKLNSSEFIFRYKNHGMVNLMAETSGTLLKKINRSDGASTQILSHGRRGYTWFVMKETDLKPWVFVKISRGERRPQKSVISLRERERDIFFVAEVAYQRWGGSWPAGKCRPT >KVH90754 pep supercontig:CcrdV1:scaffold_252:113184:117598:1 gene:Ccrd_007244 transcript:KVH90754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPFDFESDVLLATSPIVAPNRRRKVIGLDDLLVDHYKEKNRVIERKSKLAKIKKTYNSDDEEDGRVAKLSKYMTQLSDEDDVSIWGLKVFGNKKSPPSFVFSNLPSCFLFRSFMGHGVNSLIELSTESGEMFFEGLLTNGWLLKLVYKCGEVEKPIATWTFHLMLYSSKEVLRTAAVNFWCAILLPKNEDELLFLKIDWLPSFSELKGALETYGFLLHSPLEDSSDAEMILGDSECTESTQNIVAWIKFVAACSQARKTHFIFSTSEAEELVVVIICLLLDRQLLGLSVDLNECMLSLVNFFTDDEWSSSCAKVAKSVALRVPYDINSLRAVDCIQAVCGHTKHLRSAIAFQILLGYFDKVEDEEDVIRQLTLINLKDKSCDLFKIYIYLVLTENWFLYNPTLKDKPLLNEMWGLYLRNCSCQINITDTRSYASKVRSKASYLLQGATDKS >KVH90727 pep supercontig:CcrdV1:scaffold_252:279023:284557:1 gene:Ccrd_007260 transcript:KVH90727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MLRAEAFLEMGRSHFVALFLVSAVLFFLPFFHGRLAMAAGTPDGSEQWGYVEVRPKAHMFWWYYKSPYRSQDPKRPWPIILWLQGGPGASGVGTGNFEEVGPLDSFLKPRNSTWLRKADLLFVDNPVGTGYSFVEDKKLLVKTDEEAATDLTTLLIEIFNRNETLQKSPLYIVAESYGGKFAVTLGLSALKAIEAKKLKLILGGIALGDSWISPEDFVVSWGPLLKDVSRIDNNGLIESSSLVEKIKQQIADGKWKDATETWSDLEEVISASSNSVTSPGSNGDLDNLMNGAIRKKLEIIPNNVEYQ >KVH90743 pep supercontig:CcrdV1:scaffold_252:213885:223222:-1 gene:Ccrd_007255 transcript:KVH90743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MANGTDGEEYVVLSSIRPGLKREFVFAMKSQSENYGFCGRTRARRAQMLPEPSPIHKKSKTADLGKETEEKLDVCSEKVLAESISNTDEEESKSDVVDPGRAVIEPMSEVKSEPMDAPVKSTQITSDLKPAKLNDNQDDKIADKDGDVISSVVTPSNGKNDKKMEIKMSKKIVLKRFPTKLKELLETGLLEGLPVRYVRGAKVRGNSEKGLPGVIRGSGILCFCETCGGKEVVTPNQFELHAGSANKRPPEYIYLGNGATLREVLNTCKTAPLEGMEETILKAIGCSSEEKPTFCLNCKGSIPESGNGKTMLLCDSCMAIKESQLHPRLQSHSSPVRPNDSSDRSASPVSAPNSTARVATSSSGRSKGQGRLTRKDLRMHKLVFKEDVLADGTALAYFARGEKLLEGYKKGSGIFCYCCNSEVHGAILFGKLMVGDLDTEFVCFLIRSVLHNLKLMLAGHHVANREFSLQLIRRFVYFFWFNGYIDLSFYTQSPYLHIYTSNGVSLHELSIKLSQHRKFCADDNDDLCSICADGGELLCCDNCPRAFHPECISEPVPHGTWYCKYCQNMFQKEKFVERNENARAAGRIAGIDPIEDITKRCIRVVENSELGESGVPAKKGAPACVLCRAHDFSKSGFGPRTVIICDQCEKEYHVGCLKEHKMDNLKALPKGDWFCCVDCNNIHTSLENLVAGGERMLPDTMMGGIKKKQAEQGLEPSAELDIRWRLLCGKMASDATRVLLSKAVAIFHVSNRYLRSLKQQDYGGMYCAILTVNSTVVSAGIFRIFGQEVAELPLVATSSECQGLGYFQALFSCIEELLASLNVKVLALPAADEAESIWTKKFVEAV >KVH90741 pep supercontig:CcrdV1:scaffold_252:206940:207950:1 gene:Ccrd_007253 transcript:KVH90741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MAAFCFSNTFDHHQSTINDSLIFNLSLLLDKTQQLQSRATIFFAQTPSSMASSDHSTSNSPENMENVAGLLQEIISIVFNVISTCQNISQNDANNQINNVNNTHNDMIIGFDQLTQSNPIFDQWSAGGVDQNSILGNAKCSTRNDDVTGLDQKKKQKEILWQKNYDIVEMEAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSTAALVKPVVINGCSTTSMRKYSCPQEGCRWNRKHPKFQPLKSMICMKNHYKRSHCPKLYACKRCNGKQFSVVSDLRTHEKHCGDVKWRCSCGTTFSRKDKLMGHVGLFLGHTPVVDYNKSTSLIKSQ >KVH90733 pep supercontig:CcrdV1:scaffold_252:33215:36520:1 gene:Ccrd_007235 transcript:KVH90733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKSIYFLRHTRRRIGIQSYTIKPRSAWHDDSGAKACTEKDPDSKAYHNGNQAHPCGFELGLTKEGRSSME >KVH90734 pep supercontig:CcrdV1:scaffold_252:1605:1931:1 gene:Ccrd_007232 transcript:KVH90734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MKSNGILKLKVVVEKLQKSLLQAKKWSPRNTRVPEDVKQGHFAVIAADVDQEIRKRFVVPLAYLARPAFQRLLEKAAEEYGFNHKGALMVPCRPRELEWMLAERSSRG >KVH90725 pep supercontig:CcrdV1:scaffold_252:334470:338999:1 gene:Ccrd_007264 transcript:KVH90725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MWDSFISRSPATLRLSGIAVALLLQGVVVLCKSPATLKLERVFPHDNEMELSELRHRDNLRHARILLSEDIIDFPLQGTYDPYRVGLYFTKVLLGSPPREYHVQVDTGSDVLWVSCKDCKGCPTSSGLHIPIEFYDPSKSATSTWISCYDARCNVGIQSSSAATCSTTNTHCNYTFKYGDGSATSGYFVSDKIELEMYSDETSLLSHTSSTVLFGCSTSQSGELSSSERAVDGIFGFGQQGLSIISQLASEGEAPNSFSHCLIGGGDGGGILVFGQIIDPKMVYSPLVPSQPHYNLNLLSISVNGHILPIDPAVFGTKNNRKGTIIDSGTTLAYLTEEAYKPFVDAVSTEGQCAVTKGLAEAFPLVHLTFAGDATMVLKPQNYLLPQKTVDGQSAWCIGFQKVDAEGMTILG >KVH90753 pep supercontig:CcrdV1:scaffold_252:118859:123202:1 gene:Ccrd_007245 transcript:KVH90753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shugoshin, C-terminal MRGDRMAKRSSFGKTIRKRLSDITNYQPQPKSPPVFDQMLPSSTKEYIDHLSKLQFKPVVSKAIELNGVELQKLRLIVQKTQLQNWNLAQSNSHMMAELNLGRQKLKALQHQLICKDTLLKTMKLEHQAQIEMKDQKSGSQEGDDDVDTKQRNTNRRMRPTRSQSTGPLTTVQQVSEKETAENKRQCVRRQSARFRSQEHEPKEKLFEIKDLKMHEDGPTSKVIEQKDGKWSSQESQRMSFGRPSRRAAEKIQSYKETPLNIKMRRPE >KVH97014 pep supercontig:CcrdV1:scaffold_2520:44904:52229:1 gene:Ccrd_000892 transcript:KVH97014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MANFSPSSFCSSSCITAFIILQLLWSCRLFANGCYTSIISFGDSLADTGNLKMLSAYKPDQESLHFFLPPYGESFFHVPTGRCSNGRLVIDFIAESIGLPLVAPFVGGNRNRLMEFRRGVNYAVVGATALDSSFHAARGVPNPLTNSSLRVQLGWFKQSWPSICAKVQDCDQLIRHSLILMGEIGGNDYNHALLAGKSIDEIETYVPLVVKAIISTVKELIKLGAKTILIPGNLPIGCSAAYLTLYHDSDKAEYDNSTGCLIWLNKLSEYHNQLLQKELYQLRELHRDANIIYGDYYNAAMQFFRYPDKYGFTNGALKACCGGGGPFNYNLSVHCGDPSSNLCAHPDTYVNWDGLHLTQAAYKIIYKSLFQGSYTVPQFNSICPTSMLRPIKELSSAI >KVI11009 pep supercontig:CcrdV1:scaffold_2522:36571:40627:-1 gene:Ccrd_010583 transcript:KVI11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MGSMVEGVTQVLIPVAAFIGIGFALVQWFLVSKVKVSSGLTESGSQNQRNRLIEEDDQEVGVDSDQLVFKCAEIQSAISVGATSFLYTQYKYLAIFTAVFAAIIFLFLGSVKNFSTKSEPCTYNKGNMCKPALANAFFTTVAFLLGALTSVLSGFLGMKIATYANARTTLEARKSVGSAFITAFRSGAVMGFLLAANGLLVLYVSINLFRMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPPMAFPLLISSMGIVVCLITTFFATDFFQINGVADIEPSLKRQLLISTILMTAGIAIVSFTALPSEFTIFNFGANKLVKSWHIFFCVSIGLWAGLVIGYITDPVRDVADSCRTGAATNVIFGLALGYKSVIIPVFAISVAIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHTIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGIETVDVLTPKVFIGLLIGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASLKEMIPPGALVMITPLVAGTFFGVESLAGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEGSEAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLFFKWF >KVI11007 pep supercontig:CcrdV1:scaffold_2522:5319:7945:-1 gene:Ccrd_010581 transcript:KVI11007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAFDKERFAESKKELDALLSDESLASVPFLILGNKIDIPYAASEDELQYFMGLSGLTTGKGKVNLENSSVRPLEVFMCSIVRKMGYGEGFKWVSQYIK >KVI11013 pep supercontig:CcrdV1:scaffold_2522:73637:77210:1 gene:Ccrd_010587 transcript:KVI11013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding-like domain-containing protein MLSFQLEKPDLWDDPAHAARISREHGTLMGKMKEVNGLEQGLLEHIDMIKLSREEDDPDLELESVKALLKMRQSLKEKELEALLAGEHDSCSCYMEVQAGAGGTESMDWAGMVMQMYKMWAQRHGYGVTVVDEMRGEIAGIKRATVRVDGEYAYGYAKAEVGAHRLVRISPFDSAKRRHTSFAAVAVTPILGDGSHQVQINESDLRIERYRSGGAGGQHANVTDSAVRITHIPTGITASCQNERSQHQNKASAMAVLQSRLDQREMPYRMVKDLRTNFEVSDPDSVLEGEIDDFILSFLTESMDKENDELYAVMVGDSVATCRWKSNDIGAYIIECFGQ >KVI11011 pep supercontig:CcrdV1:scaffold_2522:55103:58910:-1 gene:Ccrd_010585 transcript:KVI11011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MTMKPFGSPLHSTRFCTPSISPTSITFFRSLLMAAHQQCGSQKTHLDDDPKYLEKYPVPLSPPLPAVSKDMELSRAMSASSKSALFSLCRTDVLLEDDWLIALNKPQGVYCETILASVPSLLNDSPDESREVGTQSKKLEFHLANRLDRDTSGVILITKSHKVAAKLVKAFTDHKIRKTYIAFCVGQAPKWKKITVKSGHGRSKFGAWRVYAATDVGRTLPGGSSVRNMETLFEVLAINGQRCYQESSELELGIVVKEKSVIECDGNKVEVLVRAFPQSGRTHQIRLHCQYLGIPIRGDVKYEGVYEWNGVVYDGHQLHAESLSFEHPVTGSPIVIQASLPLWATEVMASSSERF >KVI11008 pep supercontig:CcrdV1:scaffold_2522:26065:35144:-1 gene:Ccrd_010582 transcript:KVI11008 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MQSSISLSLSSPSPITARSNCGVDSYSPHLFFNNTTTPNQLRFCGLRRDAFSGCKSSSSNNAIRFNQLPPTNKVFASLSGNGTPSKGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEPHMKSFGLQVAAAGYDRQGVADHAQSLATKIRNNLTNSMKALGVDILTGFGAVVGPQKVKYGKVGGTETIITAKDIIIATGSVPFVPKGVEVDGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRVLINPRKIDFHTGVFATKITPAKDGKPVMIELIDAKTKEPKDTLEVGIGVDAALIATGRAPFTEGLGLKSVNVQTQRGFIPVDERMRVPHLYCIGDANGKMMLAHAASAQGISVVEQVSGKDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEISIAKTSFKANTKALAENEGEGIAKMIYRPDNGEILGVHIFGMHAADLIHEASNAIALGTRIQDIKYAVHAHPTLSEVIDELFKSAKVVGCVSSVTPEAVAV >KVI11010 pep supercontig:CcrdV1:scaffold_2522:38955:40119:1 gene:Ccrd_010584 transcript:KVI11010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATNKAAHDDSAYDPNKSDPIPAMSPTTKRPLAARRNPITAPDRKAVMNALPTLFLASNVVLAFAYVAIFIPRNPERTDVRAPSKKATVVKKALANAGLALCAKVLDRSQKQKDDCSKYCCKDRKVFVLRIKK >KVI11012 pep supercontig:CcrdV1:scaffold_2522:59876:60506:1 gene:Ccrd_010586 transcript:KVI11012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNILRRVYQRSSSILTRYQPISTLFVSQFFTSSDKPQNHASGLELLSNNASGVSSIWYKRLNFSCGSTRLLFSTQAAVEPSTSDGLTVDGIIANEWTILDENESDWKSHASAIAQSIGLIKKRLQESRSEIMQSVEKK >KVH97020 pep supercontig:CcrdV1:scaffold_2523:2805:27088:1 gene:Ccrd_000885 transcript:KVH97020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 16 [Source:Projected from Arabidopsis thaliana (AT4G04920) UniProtKB/Swiss-Prot;Acc:F4JGZ1] MSASSGPVAYNNKDSEEELSSGGTTATVAATTSSVIEPSDGAIGVSDSGAVGGEDSDIDKGGGTPMEEDSVNPATVFCIRLKQPRSNLQYKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTESTVFNVIADSPRDSVQFIEWSPSSCPRALLIANFHGRITIWTQPSQGSVSLVKDASCWQREHEWRQDIAVVTKWLSGVSPYRWLSSRSSSSTKSTFEEKFLSQRPQAPGEFLKRMLTKFLPHESRKLNCHGILAGWPNFLCVCSVFSSGSVQLHWSQWPSNQSGTSKWFCTSKGLLGAGPSGIMAADAIVTDSGALHVAGVPIVNPSTVVVWEVTPGPGNGFQATPKATTSNGIPPSLNPPSWDGFASLASYLFSWQEYLFLEAKQGKKQTEQDYSDMVALHCSPVSNFSAYVSPEASAQSAATTTWGSGVTAVAFDPTCGGSVIAIVIVEGQYMSPYDPDEGPSITGWRVQRWESSLEPVVLHPIFGNPTTSFGGQPPMQTVWITKVNKSIPATDYFKNNQAAATALTPEGLNTSDSDSEKAKRVVFDPFDLPSDVRTLARIVYSAHGGEVAVAFLRGGVHIFSGSSFTPVDNYQINVVSAIASPAFSSTSCCSATVWHDAVRDCTMLKIIRVLPPAVPSSQVKANSSTWERAIAERYSTELITLVAIYLDEVAYPLSTFAVVLALEVWDGLCYFDLYLLVIIVLFSNTRFWWSLMVGVDWWDAVGCTQSAAEDGIEHVLILWFLCFYSVSLNNVIAVLDADFHSLPSTQHRQQYGPGLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVGEPWQASGETLNSIDHEAMAVDPALVLNVQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGGNRNMVISPTPSTGNTGATQGAQNGTASSTASTPIQAWVQGAIAKISSTSDGVSNATPNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRIQLPRFIGAAQRNTDSAVHKPQPGATGKVEEISSVPTKVASSLGRSEELPISRAGQIGSGAKGPEEGPANRSRCGSGNAGQGYTFDEVKVLFLILMDLCKRTQGLAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNTFGGPWSDPDDLGVDDSSRLSTSTDLLNFSMVESSDVHHEAQRLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKLLAHKVATTHLHRVSGRPGGSAAGFMAVLCVEGHGFVLYRRLLQLHSR >KVH97021 pep supercontig:CcrdV1:scaffold_2523:27874:29608:-1 gene:Ccrd_000886 transcript:KVH97021 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3700 MDWLFSSVDDIHCLFLGSLNNLCTLNKQYGLNKGANESMFVIQAYKTLRDRGPYPAHSVLKDLEGSFGFVVFDFKAKTVFISLGNDGRVMLFWGIAADGSVVISDNLEVIKTSCSKSFAPFPTGCLYHTGGELKSFEHPKNKMKAISRVDSEGAMCGATFKVDIYSKTKSMPRVGSQANWAWSQEA >KVH89185 pep supercontig:CcrdV1:scaffold_2525:60350:64315:1 gene:Ccrd_008831 transcript:KVH89185 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MSSSAAFSPDHHHHHHLSPPSEQLCYVQCDFCDTVLAVSVPCSSLFTTVTVRCGHCTNLLSVNMRALLLPASAAAVAANAAANQLHLGHNFFSHQNIMEEMRNTPSNLFINQPNPNAPFAPVRVDELPKPPVANRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKPNVCQQEGEDLLMKDGFLTTANVGVSPY >KVH87729 pep supercontig:CcrdV1:scaffold_2526:31113:34712:-1 gene:Ccrd_024985 transcript:KVH87729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MRARGERDEFVFKDSSLGLLRLLCFLILFVTGIIIGLTSSSHVDRYFTSQPDNFYMNYASAAPAPSYGSSSSKTATFDHDCSTNLISCKKDDCLNMESFRMPKNLSHQMTDNELFWRASMVPNKPEYPFDRVPKVAFMFLTRGPLPLMMLWERFFRGQDENKYSIYVHTNPGVHLNVTNSSVFYNRQIPSQHFFLLNASVSFQDVKWGSVKLVDAEKRLLGNALLDFSNERFVLLSESCIPVYNFPTIYKYLIGSTYSFLDSYDDPSRYGRGRYSRRMKPEIKLRDWRKGSQWFEIHRTLAVKIVSDTKYYNLFKSYCLPSCYPDEHYLPTFVNMFHGSLNANRTVTYVNWSLGGPHPASFGADDVTEKLIYLIRNNGTSCYYNNRKTSVCFLFARKFSESALAPLLGLASTVCFGIDGTGFSQDFQEHVSWSSGNLGNTFDPNNRKQM >KVI11358 pep supercontig:CcrdV1:scaffold_2529:9264:16190:1 gene:Ccrd_010232 transcript:KVI11358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/proton exchanger MASIQPAEPWLLENGTVKRLTKETRHGHGHSRTAHNKSSSSLRRKSNMTLVSKVPCATIRNFLANLQEVILGTKLAILFIAIPLAIAAKYLGFARPWVFSLSLLGLMPLAERVSFLTEQLSYYTGATVGGLLNATCGNATELIIAIFALMENKVDVVKYSLLGSILSNLLLVLGTSLLCGGIANVSVEQKFDRKQADVNIALLLLGLLCHLLPLMYRYASLETPLATAAATLNLSRASCIVMLVAYLAYLVFQLFTHRHIFESQEDEDGMGASEEETPVIGFWSGLIWLIGMTAVISLLSEYLEASTSWGISVSFISIILLPIVGNAAEHAGAVIFAFKNKLDITLGVALGSATQISVPMSVIVAWIVGIKMDLDFNLLETGSLALTIIATAFTLQDGTSHYLKGVVLLLCYFIIGASFFVSVSPIGDDVVTMGLESSNQKIFRV >KVI11359 pep supercontig:CcrdV1:scaffold_2529:58495:59049:1 gene:Ccrd_010233 transcript:KVI11359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MKASATFLLFFCHLLVVSLANSGMKRQYHPCRHLVFYFHDVVYNGQNDANATSAIVGAPQWGNLTILADKFHFGNVVVFDDPITLDNNFHSVPVGRAQGMYLYDTKYTWTAWLGFSFVFNSTQHKGTINFIGVDPLLVKTKDILVVGGTGDFFMHRGIATINTDSFEGEVYFRLRVDIKFYECW >KVH91214 pep supercontig:CcrdV1:scaffold_253:271466:272956:-1 gene:Ccrd_006775 transcript:KVH91214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MAFSDDQQPYFKHFCRICKKGFMCGRALGGHMRAHGVSDETGNLDDQDPTTDSNWDGNKKMYALRTNPNRFSRVCENCGKEFLSWKSFLEHGKCSSDDAESSLVWSPESEADDDDDGAGVAGCHHGGGGSGWSKRKRSFRVCSSEDEEDVALAKCLMALSNTTVDPVELEVADEHLFAAIKREEQRRNPIFSSEFLPTFTKPPPPFDKAKTVVAAAAASSSAAATTPKGMFECKACKKVFNSHQALGGHRASHKNVKGCFAARNDQFDDNLAYEDVAIVQNDLKSVITRQSLETGPNTGPISLAGRKSKVHKCSICSRIFASGQALGGHKRCHWLTSSYTSEASLNKFNFHEHIDQLHRRALAIPKELELNLNLNLPVSGNTPTADTRPDLQNPARLDQVSTDINLHAWSSAADQENGDHGDAKADHKHQLNNNNSDQDDQNAAPPATAEMVEDEGESKVKLAKLSELKEMNDNDGSSSSWLQVGIGSTTDVGPTP >KVH91219 pep supercontig:CcrdV1:scaffold_253:245075:247064:-1 gene:Ccrd_006772 transcript:KVH91219 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX, N-terminal MKFGKRLKQHVQETLPGWRDMYLNYKDLKKLVKLISGYGKSKAAFVYLLDNEIDKFNLFFMEQEEDFVIRHKELQQRIKKVKEGEASSEGEYEEEMSRIRKDIVDFHGEMVLLVNYSNINYTGMAKILKKYDKRTGGLLRLPFIQKVLEQPFFTTELISKLVKECEATIDELFPTVVVAEEMKESTITMAGEGIFRNTVAALMTMKEIRKGSSTQSHFSLPALNLPDTELIRSFQFNAPIPII >KVH91208 pep supercontig:CcrdV1:scaffold_253:144743:149498:1 gene:Ccrd_006766 transcript:KVH91208 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein LWGLINTSAILCSGSLFLLFSLTFSIVTSFSLVFENTHKHKKARSPNTINPYTLSTRSHQSIKSDSEKRSKRRGERRKSKSKKKKNMSSSAAFSPDHHHQHHLSPPSEQLCYVQCKFCETILAVSVPCSSLFTTVTVRCGHCTNLLSVNMRAHLLPASAAAAAANQLHLGHHFFSPQNIMEEMRNTPSNLLMNQPIPEPFGPVRVDELLKPPVVNRRNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPLKKPNVCQQEGDDLHTKDGFLAAGNVGVSPY >KVH91211 pep supercontig:CcrdV1:scaffold_253:53611:55459:1 gene:Ccrd_006764 transcript:KVH91211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MQLPTTKTISKTSLLFLSEMPIFPFLVTLISCLFLLISPGVFGYSISEIRSWCSQTPHPESCESVLAGKPNYGPLSQKPDFIKALVKVTLERAADAESNTRGLGAKCRNNLEKAAWKDCLELYENTVWRINMTVDPNRKCSQNEMQTWLSTALTNLETCRAGFEELGVGGYLLPLMKNNVSALISNTLAMNKGGSPPETYPNGFPSWVKPGDRKLLQSSNPGSQANSVVAQDGSGNYKTIGAAVAAAKSGGGRYVIYVKAGTYNEYVQIGSGLKNLMIIGDGIGKTIITGSKSVGGGSTSFNSATFAVALRSGSDLSVFYQCSFEGYQDTLYALSNRQFYRQCDIYGTVDFIFGNAAVVLQSCNIYARDPPNKTNTLTAQGRTDPNQNTGISIHNCRVTGVSSLSGVKTFLGRPWKQYSRTVYLKTYLDGIVNPAGWMPWSGNFALDTLYYGEYMNTGPGSSTANRVNWKGYRVITSAAEAGKFTVGSFIAGGSWLPATGVAYTSGL >KVH91213 pep supercontig:CcrdV1:scaffold_253:257589:263673:-1 gene:Ccrd_006774 transcript:KVH91213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MKQSKDPFEVAADEQEESPPDSPVGHDETETQPPSAQPTVSSQGADVDTNSIRPSNPQKATIASASTSAAAAKTVKNKEDYDEEEEENMDVELGKVPSSSDPDKMAKMQSILSQFTEGQMSRYESFRRSGFQKSVMKRLLGSITGSNKISMPMTIVVSGIAKIFVGELVETAKVVIIERKETGPIRPCHIREAYRRLKLEGKIPKRSVQRLFR >KVH91207 pep supercontig:CcrdV1:scaffold_253:156875:158719:-1 gene:Ccrd_006767 transcript:KVH91207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLTIAEGNDPYLFSTNNFVGRQIWVFDPHAGTPAERQQVEDARQRFMNNRKQEYEKLYPFNHLYCCCVAWNHSRELVLLREESHYERAEPGIAEAIVLKEALSWI >KVH91205 pep supercontig:CcrdV1:scaffold_253:205439:207619:1 gene:Ccrd_006769 transcript:KVH91205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MPLPFEFERKGAVALRQPATAELVVPCANKVERFEDLGNKKRKYIEPKSVLDNINSSPSPPTSTSTLSSSLGGGGGGGGGETVGLVAVSGNPSLKWPPPENQETSSSNVGVFGTHQPLLLPKQSLDITAGGGCGDQKCSEMEDWESVLSESGQEQSILRWIMGDVEDPSMGLNKMLHGGGTADEEHNGGFGFVDQGYAGFDPGNPIGQIGNFYQVKEKTGFSSNPPPPPPSQAEMFGDHHHNHKLSDLQNPIFSQLNPSQIHPFDVKPQIFNPQSVINQNQSQSPQNPTFFLPLEQQLLMPPQPKRHNPGIIQSNFQIPKTPFLDSPPNPQNPLQLLQQKPSSIKKMSAIDELGQQQQQSIIDQLFKAADMIQSGNNPILAQGILARLNHQLSPIGKPFDRAAFYFKEALQLLVHSLVNNMNPQITPIGSPFSLIFKIGAYKSFSEISPFVQFANFTCNQALLEILDGYDQVHIIDFDLGYGDQWASLMQELALRTNTNGVSIKITAFASPTTHDHLELSLTRENLVNFANEINVGFDFEIVNIDVLASASWSLPFHVSDNEAIAVNLPTSAFSNYQILLPLVLRFVKDLAPKIVVSVDRGSERTDLPFPNHLIHALQSYSNLLESLDAVNMNLNVLQKIERFLIQPSIEKSITGRYSYPEKTQHWRAQFLSSGFSPLTFSNFTESQAVCMIKRTPVRGFHIERRQSSLVLCWQRRELVSASAWRC >KVH91220 pep supercontig:CcrdV1:scaffold_253:249355:255661:-1 gene:Ccrd_006773 transcript:KVH91220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase domain-containing protein MESKRQLIDSLTSHISLYSSHSPSSSNPNPNPNPRSSILKWFSSLTPHQRQSHLTIIDKSFTQLLIQLQFKLNNNGHGSFIILPDIPSSSSNPNLPTFCFRKSHGLLTRISQLSNSEKRIRDSVQLFDSKEGESFGSCCCNCLDSMTVGRNLVENVDTFVEAMDGVSNGEFLRGEESVLLGSLDWVEFNWLKAKGYYSLEAFVANRLELALRLSWLHLNVGKKRGMKLKEKSVCAAAGVAANVYWRKKGCVEWWMKLDDQKKKKAFRIVLGKSARLLTHDILYGRSSGVEDELQITSGKDKQPPRYSHPRWHQETIETLRGKYIESELTLFIPPSNKPSPITHYFNRLLIVCEVSTMLLAFEHGQFDEEALFFSSLGSISTIFDHILRRLRGLLMVVSLDFTKLELLEDLSSTKSVKKPKQKLVSGKKGKARDVNKPIPVQRSIKSNSTSNKPAKDEGDKLDVHGSVVLEKDIKKETPSAAVEMEHGDGMVNGTVQSTSKKSKRAKKKHKKDTVKSIVSQSAPVKSRSSAISHGEFRPDENKSEIMFNGTSVDDHNPTESSTLATINQPLLERVIGNQDDGKENPDVTDSSNVENDTIIDGQNVDFVGRENVKEMETQSLVSEVTQVTKKEKNLPPNKERDSRTVSSSGNPPYEWPSAAPQLSFVNSHLPATTDRLHLDVGHNWQNHFHQSGFVHTMHQVRNSPIENGCKPMPMSLDWPPMVHSINGLVPSMACSYNTGYMSRRQPFKQSFSAGEFVDSSHIANSSEMVEEHDGHWLGEEDFAVSGMDYSQYFGGGVMYWDSSDHPVASFSRPPSLSSDDSSWAWREADMNRTVDDMVAFSSSYSTNGLTSPSASSFCSPFEPLGYVIPGEVTGKVLHSSSTMTDLSEENVSGSLATFPGDIEAKTGDLFPYPILRPIIIPNISRDRSREFKRNHEHKSPCVPPSRREEPPIKRPPSPVVLCVPRPSPVGDARKHRGFPTVRSGSSSPRHWGVKGWFHDGISFEECCVRVDGSEVVWPSWRKKSLSARQLTQTLPGAILQDRLIAISQLTRDQEHPDLAIPLQSPELLDCSVRKASLPVMHSLLNDEMDAFCKRVAGVNMTRKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQEWVKNDSLKIVENTAIPIIMLVVEVPSDLIVSSVSNVPLLRRPPLTSVDQEISTSKMSTDGGKDTDVQSIRIDISFKAPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLILLITRFLQHEHHYGRPINQNFGGLLMDFFYFFGNVFDPRQMRISVQGSGVYINRERGYSIDPIYIDDPLFPANNVGRNCFRIHQCIKAFADAYSTLEDQLASLPDNRDSSTGSSSLKLLPLIIPSIAPS >KVH91216 pep supercontig:CcrdV1:scaffold_253:329975:334723:1 gene:Ccrd_006777 transcript:KVH91216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESRENKANLSPPSQPPASADIDVLEKVNPVGGDSATEWVDNAVQQALIVPKTILGTLESAISATTSRLKQFKLTSSANLQMTFESLRDRKSAYNAYEDYLFGKIREGVFFAAANPFATSGIVVVSGFLAVKRSRRALYYKTLRLFLSEEAMLSRATAKVQKLRDSVKDITEEGKKLENFSLAAERELKRGRNKLRQAGKQIQGVISSAYKIERQSGGLKDILKELPKRDASQFRSAVCT >KVH91218 pep supercontig:CcrdV1:scaffold_253:236854:241266:-1 gene:Ccrd_006771 transcript:KVH91218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVRSPSTMASMVLPKLQSTNRRIWKPANFRITFPHLATKHRTICCTNVSPWEPPPVTYAPTDDTTKSQFLSGSTTLFETLDSDQTKTAESQTTDTKRVSKVGYIRWPMWLVGPSVLLATGMVPTLWLPISSIFLGPNIASLLSLTGLDCIFNLGASLFLLMADACSRPNIESQEPCHSQAPFGYRFWNMVATISGFMVPLAMMLGSEKGLFQPQLPPISFAILLGPYLLLLAVQMLTEMLTWHWESPVWLVTPVVYESYRVLQLMRGLKLGAELGAPAWTVHTIRGLVCWWVLVLGVQIMRVAWYAGFTAHLRQNEPSNSNIGDAATSSG >KVH91209 pep supercontig:CcrdV1:scaffold_253:72938:75875:-1 gene:Ccrd_006765 transcript:KVH91209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MKIIIFPFLATLISCFLSSGVYGYTKSEISSWCSQTPHPESCEYFLVAGNQNSGPVTQKPDFIKALLKITLDRAKHAESNTKGLGTKCRNKLEKAAWEDCIELYDNTVERLNMTVDPRKKCSQYEMQTWLSTALTNLETCRAGFEELGVGGYLLPLMKNNVSALISNTLAMNKGGSPPETYPNGFPSWVKPGDRKLLQSSSPGSQANVVVAQDGSGNYKTVGEAVAAAGKRSGSGRYVIYVKAGSYNENIEIGTKLKNIMLVGDGIGKTIITGSKSVGGAVVGDGFIGRGITFRNTAGPQNHQAVALRSGSDLSVFYQCSFEGYQDTLYVHSDRQFYRECDIYGTVDFIFGNAAVVLQNCNIYARKPPNRTNTVTAQGRTDPNQNTGISIHNCRVTAASDLKGVGGVKTYLGRPWKQYSRTVFMKSNLDSIIDPAGWMPWSGNFALDTLYYGEYLNTGPGSSTANRVKWKGYRVITSSTEAARFTVGNFIAGGSWLPSTNVPFTSGL >KVH91215 pep supercontig:CcrdV1:scaffold_253:289887:296914:-1 gene:Ccrd_006776 transcript:KVH91215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHCVPSWDTLDDYPDRNPNPGYRKPDLRASSNSMSSHLDAPILDYAVAELTWKNGQLAMHGLGPPRVVNKPHATAATLTKYTWDKPRAAETLESIVNQATLPPNHKPQMHIYGAGDLVPWLDHQSSATAAGSFSASVTMTMDALVPSSNAQPPAASLRSGVGAGRCSTRVASCSGDQSGFVDQRIRGGGGGTAAATATHEWSSCRDQSASGSANFGMESSRQLTVETCERELGVKGFTSTSMGSPENTTSGKRSTKSTSPDEQDSVCHSKPQSNMEEKKKGKGKSSISTKRNKASMLDEVIEYLKQLQAQIHMMSRMNMSPMMMPLAMQQQQQIQMAMMNPMGMGIGMGIGMGMPGVMDLNAIGGNRSNIPGIPPVFHPATFMQTPMASWDMHTGGDRVTNPNDPMAAFLACQSQPMTMDAYSKMVALFQHMQNQPCYTGLKN >KVH91217 pep supercontig:CcrdV1:scaffold_253:222274:229676:-1 gene:Ccrd_006770 transcript:KVH91217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MSTGELLSVDPQELQFPFELKKQISCSMQLTNKTNNHVAFKVKTTNPKKYCVRPNTGVVLPHSTCDVIVTMQAQKEAPPDMQCKDKFLLQSAVASPGAVPKDITPELFNKESGNQVEECKLKVNYVAPPQPPSPVREGSEEGSSPRASISDNGTINTTDSTAVPRAYAESQEKSSEARALISKLTEEKNSAIQQSNKLHRELEMLRLQGNKSHGGIPLIYVILIGLLGILLGYLVK >KVH91206 pep supercontig:CcrdV1:scaffold_253:168564:170014:1 gene:Ccrd_006768 transcript:KVH91206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MIHEDAKKNEKNIFCLDCCEAICHHCLDLHTSHRLLQVSIRNSFVCELQFVLDFFFLFWIRRYVYHDVIRVGDAEKLMDCSYVQVSLNCIQNHVPLVNYGSNLCNLDFNLFRRILQTISQILRSEGMLSEYLHDCEVLTLPEMGSDDGLMTPESVLEPFVSLRTSSGSSASCAGVDRLTIACTATTEIVRKKRTSKSAIPPASRKPVNPSAVETPANRRKGMPRRSPFN >KVH91210 pep supercontig:CcrdV1:scaffold_253:14753:15373:1 gene:Ccrd_006763 transcript:KVH91210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAQMNLSLLVLFFTFLGFLGTIESRSRARSYLEAQCRSTLYSDLCVRTLLPYTSKRVVPSPQKIAQVSLATCLSKARVTKAYVDVIAEQFKKTKNLGEYHAVKDCLQQINNGVNQITQSVKELRQMGTDGEEKFVWHESNVQSWVSAALTDTTTCIDGILGDEIGSREKRMIKARFLNVKQLASNSLALFNRFTAKHRASRAVRNP >KVH91212 pep supercontig:CcrdV1:scaffold_253:344648:345740:-1 gene:Ccrd_006778 transcript:KVH91212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MDIYGMSSAGQDFLGVDELFDFSDDRDDLFSSSTTTAATDAFHNITSSTASASQFQYIFNNNNNTTTTTTTTNYHHSTDFTDHFCVPNDEVAELEWLSKFVDDSSSDFPANNIAGTINFRPENTSIHSRSRSKRTRAPSNNNSWTSTPVPTTQISETSKTKRETYSSTTSSSCDTTSETGIVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKEMILGHHHHQQQQHLPPSEHQLYGGGHHHHHHRQQQQRLHHGSNYEVC >KVH93410 pep supercontig:CcrdV1:scaffold_2530:59896:62503:-1 gene:Ccrd_004540 transcript:KVH93410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MDPLSSSFLSTGSTRPPLPLSLSPPPSPFRIVSVRNEEKPQTVTSTTKRSSQDRSKWPTITSNNKKRVRKSVKIDRLLLSMIFNAFDDIINKFIDPPIRDSVNPRHVLLDNFYPVDELPPTDCEVSEGMLPSCLDGVYFRNGPNPQFLPRGPYHLFNGDGMLHAIRISKGKATLCSRYVKTNKYNIEKDAGFPMIPNVLSGFNGLTASVARMAVATLRVLAGHFDPSKGIGSANTSLAFFGNKLYALGESDLPYAIKLAPDGDIITLGRHDFDGKLSMRMTAHPKIDPVTKEAFGFRYSSISPFLTFFRFNEKGEKHADVPIFSMRSPCFLHDFAITKNYAIFSENQLKMELFGNGPLIKADLREVPRVGVIRRDAKDESKMKWFQVSGWNILHTINAWEEDGGDTVVMVATNILSIEHLLERMDLMHPSVEKLRINIKTGMVTRHPLSARNLEYIYCGIADQTAKISGVVKLDMALSEVHHQECIVACRMFGSGYFGGEPFFVAKELDNPDADEDDGYIVSLVHNENTDESRFLVMDAKSPTLEIVCVVKLPHRVPYGFHGLFVKESDIKKL >KVH93408 pep supercontig:CcrdV1:scaffold_2530:18641:23028:1 gene:Ccrd_004538 transcript:KVH93408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MEFELPTVIYQNYHLLVSAVALILGFALLYGLRSNVKARRKSEEIGRKYSKSSGNDGLPVPKTDESTDVIIVGAGVAGAALACTLAKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLEDCVDGIEAQQVFGYAIYMDGRNTKLSYPLEKYDANISGRSFHNGRFIQQMREKAASLSNVKLEQGTVTSLLEKDGTVEGVCYKSKDGQVMTAYAPLTIVCDGCFSNLRRSLCKPKVDVPSCFVGLVLENVDLPYANHGHVILADPSPILFYPISNTEVRCLVDVPGQKVPSISNGEMANYLKTVVAPQIPPELYNAFVAAVDKGNIRTMPNRSMPADPQPTPGALLMGDAFNMRHPLTGGGMTVALSDIVLLRDLLRPLRDLSDAPTLLNYLECFYTLRKASALYRVFCASPDPARKEMRNACFDYLSLGGICAEGPISLLSGLNPRPLVLFCHFFAVAIYGGASGIIFPIIKSEGVRQMFFPATLPAYYRAPQVA >KVH93409 pep supercontig:CcrdV1:scaffold_2530:45402:51804:1 gene:Ccrd_004539 transcript:KVH93409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene epoxidase MGDYSLFPGDGRRVHVLERDLAEPDRIVGEVLHPGGYMKLMELGLEGKILRFHELIIHCVEGIEAQRVSGYAFYLNGKTIRLDYPLGKFDSNVSGKSFHNGRFIQRMRSKASFLPNVKLEQGTVTSLLKTQGTVHGVHYRTKGGHAMTAYAPLTIVCDGCFSNLRRELCNPKIEVPSCFVALVLENVDLPYANHGHAIIADPSPVLFYSISNTKIRCLVDVPGEKVPSISNGEMTKTMPNKTMPAESKTTQGALLLGDALNMRHPLTGGGMTAALTDIVLLRDLLRPLHDLNDAYKHLQRFYTLRKASLFHFLKLNMNFFLPMSFTLNTVAGVGYKMFCASSDLVSRELRSACFSYLTLGHWFTDGLMAIFSGLDQRPVALFLQGTMMGAYIVGRLLMPFPSPKRLWLAAKLIPIVSDDGE >KVH87726 pep supercontig:CcrdV1:scaffold_2531:58661:64488:-1 gene:Ccrd_024988 transcript:KVH87726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPYLKFIHLERLDCLESFPDVSGAPNIERLILKLCGNLVEVHESLGSLRKLAYLRISHCWKLEYLPPLIDMPLLETLDLSHCCNLKNFPKVCSGMEKLSNINLHNCPQLQKLPEELGSMVNLEELRLSFWDHSANQISCFNFHTLPKLFLRKLDLSCRQIEDQKFLNNLHAFSSLEELCLSGNSKLLHLPSSISHLSRLKHLELNECQQLRNIQGLPSGIQILKATDCRVLEEIEDLTEEYKQLAVDRRLSIWIPGSKIPSWFEHQHHGREIALKFPTNRHTNITGFVVCVVFRNEWPFKQTEIEDSTLSIIYIPIGDFWRQMQLYGLKPDDWSPLLEGRCCLNLNVVSSSIRVERCGAHIMYKEDTESVQQITTSISDYRDLEDKHFVDWFFWYRIEFKVMNIDL >KVH87728 pep supercontig:CcrdV1:scaffold_2531:7607:26548:-1 gene:Ccrd_024986 transcript:KVH87728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNLLFHLFFVFLLRFSNGFSDLDALLKLKASMVVPPARSGLDDWKPPQFNSIVPDSHCKFSGVSCDENSRVTSLIISNVPLYGTIPPEIGILNKLVNLTLVSGKLTGELPVEMCNLTSIRFINFSANSLTGVFPGDIVAAMTELQVFDIYNNNFSGKLPLEFVKLSHLKTLFLGGNYFSGEIPEAYSEFRSLQSLGLQGNGLSGGIPWSLSRLSTLEELWLGYYNSYDGGIPPEFGSFKSLKLLDIGGSNLSGEIPESLGNLKMLHTLFLQFNNFTGEIPPTLAGLTSLKSLDLSNNNLTGGIPESFSELKNLTLLNLFXNHLAGPLPPFIGDLPNLEVLQLWENNFTFSLPENLGRNGKLLKLDVTGNHLTGTIPEDLCKGGKLRTLILMENYFFGPLPVKLGSCKSLTKIRIMKNFFNGTIPAGIFNLPALTMLELDDNYFSCELPAEMYSESLQSVSISNNWITGKIPPAIGGLVNLTTLSLESNNLFGGIPKELFNLKKLYKINVSGNNLTGVIPASIGSCSELTSIDFSLNGLYGEIPRGILSLLNLNILNVSRNQLIGEIPSKLGHMKSLTVLDLSFNQFSGRVPSDGQLKDFNDTIFAGNPNLCSPHVLHCPAKSKFESRSIAKSKLLIPIFAIIAIMLVITAIFLRIRKNKLIEKSKMWKLTAFQRLDFKVEDVLGCLIDENIIGKGGAGVVYRGSMPNGVDVAIKRLIGRNHGFDAEIQTLGRIKHRNIVRLLGYASNCETNLLLYEYMSHGSLGEILHGSKGAHLQWETRYKIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDSDYEAHVADFGLAKFLRDAGASECMSSIAGSYGLNGYVLSSVVDMFKIAMMCVEDESTARPTMREVVHMLTNPPAHQPCMKLLIVLHVFFFFHLHFSNGFSDLDALLKLKASMVVPPTASRLDDWEPPQPNSSVPDSHCSFSGVSCDENSRVTSLIISNVPLSGTIPPEIGILNKLVYLTLVSANLNGELPVEMCNLTSIKFINLSSNSLIGVFPGEIITTMTELQVLDVYNNNFTGKLPLEFVKLKNLKKLFLGGSFFSGEIPDVYSEFLSLQSLGLQANQLSGRIPWSLSRLSTLEELLLGYFNSYEGGIPPEFGSLKSLKLLDIGGCNLTGQIPESLGNLKMLHTLYLQNNNFTGQIPPTLAGLVSLKLLDLSINNLTGGIPESLSELKNLTLLNLFHNHLAGPLPPFIGDLPNLEVLHLWENNFTFSLPENLGRNGKLLDLDVAGNHLTGTIPEDLCKGGRLRSLILMENYFSGPLPEKLGGCKSLEKIRIMKNSFNRTIPVGLFNLPALTLLELDHNYFSNELPMEMYSHSLQRISISNNQITGNIPPGIXEIFNLKKLYSINLSDNKLTDVIPVFNGNCSELISVDFSRNGLVGEIPEGILSLPNLNILNVSRNQLFGEIPSKLGEMKSLTVLDLSYNHFSGRVPSNGLLKDFGDAIFAGNPNLRTLHVAHSPAKSKFKSHSITKSKLMIPIFSIIAIISVITVVVTVVFIRIRKNKRIEKSETWKLIVFQRLDFKIEDVLGSLKEENVIGKGGAGIVYRGLMPNGVDVAIKRLITRKHGFDAEIQTLGRIKHRNIVRLLGHASNQESNILLYEYMPHGSLGEILHGSEGVHLQWEKRYKIAVEAAKGLCYLHHDCLPLIIHRDVKSNNILLDSDYEAHVADFGLAKYLRAGEYAYTLNVDEKSDVYSFGVVLLELITGKKAVGEFGDGVNIVRWVRKMISKIPRPSYEIVVLSVLDSRLKGYILSSVIDMFKIAMMCVEDERRARPTMKEVVYVLTKPSTESILLANTLNKHACGGEDVLYHGQTI >KVH87727 pep supercontig:CcrdV1:scaffold_2531:52408:56198:-1 gene:Ccrd_024987 transcript:KVH87727 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit C11/M/9 MGTKMDNAHQSDFMFCDICGTMLSFDSRNYIRCPLCKFKRKAIAIAGKEIKYTVSEEDYNVRCPKCSNKGVYYHTQQTRSADEGQTMFYNCPNCGYNFTDNT >KVI08004 pep supercontig:CcrdV1:scaffold_2533:7322:10790:1 gene:Ccrd_013630 transcript:KVI08004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVISPMAKIFVRPLTLKFSSTINLPWPSKLSFRMVSLSHDDANGFPMHKKWQSARIVSPAEVEYALLPSETFSKSTVCSSFTSTWFDLSQSRVFLEAFGPRNSSNRLPLCTNVIFFSGKSTAISPASSTPVGPPPTIKIDSALFIFSFSAMINKAHM >KVI08003 pep supercontig:CcrdV1:scaffold_2533:9615:16066:-1 gene:Ccrd_013631 transcript:KVI08003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, FAD/NAD(P)-binding domain-containing protein MENPLVEGSQGRRKVVVIGGGVAGSYIAKSLQFHGNLTLIDPKEYFEIPWASLRGMVEPSFAERSVIQHKDYLTASRLIVSSAISITDSEVLTSEGRLVPYNYLIIATGHRDPVPKTRAERLVQYQAGGPTGVELAGEIAVDFPEKKITLVHKGNRLLEFLGPKASKKTLDWLKSNHVDVKLEQTVDLENVSDGSNAYSTSAGETIRADCHFLCIGKPLASSWLKDTILKDSLDGHGRLIEMKQGYLAKKQASVAAKNLQLLIGGGNESKMSTYKLSSAKAIVSLGRHDAVAQLPLTTVIGLIPGLIKSKDLFVGKTRKELDLDSRIMH >KVI08002 pep supercontig:CcrdV1:scaffold_2533:65068:74548:-1 gene:Ccrd_013632 transcript:KVI08002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP14 subunit MVRLQLDPFLNELTSMFEHTTEKGSVWVTLKHCKFVLCSFCFPDFEFVALATFVFQDIDCKFVNYSPASDKSKVQRNKMTTAGKELEYKCLVRATDGKKNISTLVGTKDHQRFQASYATILKARMTSLKKREKKDKRKAADADKKQVVSKKRSTTAKP >KVH92009 pep supercontig:CcrdV1:scaffold_2534:3289:21736:-1 gene:Ccrd_005962 transcript:KVH92009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTPGIFFVSLSILHQASRFCKLVGLTGDDETILSLLLKLDTIHGLYPVAQDIIFMEYDSLSRCLGGEMCVDSLFFHRSSPSIHYCVLIHTPFDPGCLELGNLHYIQLGLIKNGCLKPQHYLQKSH >KVH99559 pep supercontig:CcrdV1:scaffold_2535:50192:52905:-1 gene:Ccrd_022206 transcript:KVH99559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin supergroup MADDELVEVKFRLADGSDIGPSKYTSVTTVGSLKDKIISQWPKDKENGPKTINDVKLINAGKILENNKTLGESRSTVGITETTVLPFRPEDRDPTFTKVATEEERLFLHNPMKFIHTIRSFWIKSKES >KVH99558 pep supercontig:CcrdV1:scaffold_2535:9471:10518:1 gene:Ccrd_022199 transcript:KVH99558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNIYSHILLLVTIMFLAVNLGDSLHPHHFIYQGKGGLGEAQRHPKGAKNVELGMDLYPTGSSIPDCSHACGPCFPCRRVMISFKCSMTESCPVVYRCTCKGRYYHVPSN >KVH99565 pep supercontig:CcrdV1:scaffold_2535:11275:16054:1 gene:Ccrd_022200 transcript:KVH99565 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/pantothenate metabolism flavoprotein, C-terminal MESMKLMRSVSLASSMDAITNLDAVDEMTSVQVKSFFDSAPLLKEANSISSKLSQFIDQNSSLSSMFTSILYWHVFLGSGGPRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGATSTEYFLKSGYSVIFLSRRGTCQPYCRSLPDDPLLECFELTDDSCIQGHTILSVILYHLVDAFFGLPIDLRLTVHESQSEAVKSAIRGHHAAVSGGFLLKLPFTTIFEYLQILRLIAMSMKILGASGMFYLAAAVSDFYVPWESMAVHKIQSASGPLDMRLAQVPKMLSVLRKEWAPTAFCVSFKLETDREILLEKADSALKRYKMNAVVANELSTRKEVVILVTNSGKVSVYRENDHADVESPLIKLLVEQHSSHIEGMNA >KVH99562 pep supercontig:CcrdV1:scaffold_2535:42572:48941:-1 gene:Ccrd_022205 transcript:KVH99562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLAYQGHKKGFTNPNYSEKPNFTLSSHLLRSDHGAPALLSPSAPQQVLKCIAQSILRDTSVVNRQDYHMAKHERLINGATIIPISQFILLLHLQTVHFYLQRMVSLFHQNPKQKITIVRCSMPFHSVALFFYECRGLSFLLVMFINVPGLKLTSERLRPSNFTVEAVVGDATAVPNDSTTDHVSINEQAYPRANSESGEENGDDSADPKMVRISDKLIEVFMVDKPNPIDWRRLLAFSKEWNTIRPHFFERCQDRADKEDDPGMKHKLLRLGRKLKEVDEDVQRHNELLQVVKNAPSEIGDIVARRRKDFTKEFFMHLHAVAESYHDNEVEQNAIAKLGNTCLAAVQAYDTATESIEAINAAELKFQDLINSPSVDAACRKIDNLAEKNQLDSALVLMITKAWSAAKETNMMKDEVKDILYHLYMTARGNLQRLMPKEVRIVKYLLTIEDPERLLCALKDAFTPGDELEGKDFDNLYTTPEKLHTWIKAVVNAYHFSREGTLIREARDLMNPKIIQQMEQMEKLIRDNFM >KVH99560 pep supercontig:CcrdV1:scaffold_2535:61154:64723:-1 gene:Ccrd_022207 transcript:KVH99560 gene_biotype:protein_coding transcript_biotype:protein_coding description:eRF1 domain 1/Pelota-like protein MSDGQETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILANVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNSTTSEITIAHFNKDQEADQSNFRDSATNAELEVQEKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDDLSDDGENYESE >KVH99564 pep supercontig:CcrdV1:scaffold_2535:25592:37506:-1 gene:Ccrd_022203 transcript:KVH99564 gene_biotype:protein_coding transcript_biotype:protein_coding description:UV radiation resistance protein/autophagy-related protein 14 MVKKGLRKPKLLKKSLYESPVACTCSGPATVLRRSPLLSTPAGFWNLGTMTRKTSICGICENSNLASICTVCVNYRLNSYGTNLTSLKSRRDSLYSKLSEVLVAKGKADDQLSWKVLQHEKLATLREKLHFRKEQLIKDKAKVEKMASDLKVRYEMLESAMNVLERNRKEQLEKYYPNLICTQSLGHMAITSERLHKQSVVIKQICKLFPQRKVNIDGERKDASSGQYDQICNARLPRGLDPHSVPSDELAASLGYMVQLLNLIVYNVGAPALHNTGFAQGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNFCTTGVETSWSDRSSSNFGVASMESERKHRLDSSSSSSFNYTLASPHSVETHMDLQKGISLLKKSVACVTAYCYNSLCLEVPAEASTFEAFAKLLAMLSSSKEVRTAVSLKMACSRSSKQVQQLNYSVWNVNSAISSSTLLESRHTSASMQRSRGEKSLSSSGASYLYGATDNGKNEMLTEGWDLVEHPTLPPPPSQTEDVEHWTRAMFIDATTNK >KVH99563 pep supercontig:CcrdV1:scaffold_2535:19252:21837:-1 gene:Ccrd_022202 transcript:KVH99563 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MVSTSTQFSTSRTMGTYDPFHYVSMQQDTLGGQVSQNTSHLLASQLEPRLTNNESLESSQDLKNDISEKAYVQELESGRLKLAKLEHEIERTRQQGAYVDLSNTVHGLLSGNFDSGIAIFETKYDLWVVEQHKKESQLMAILQTDVNDVELRVVVDGVVNHYHDLFQMKADAAKSDAFNLLYGTWRSPVERLFQWLGGFRPSEVLYVSPNIVTKTIQQKRTLMPWFEPLTDTQVVNLSKLRHTCRQAEDALTQGIDKLEQTLAQGVGINMTEGGSYGIQMKSAMEGLEALENFLNQADHLRYRTLQQMSRILTVRQAARGLVALGEYFQRLRVLNSLWSSRPHGIGS >KVH99566 pep supercontig:CcrdV1:scaffold_2535:16509:18768:-1 gene:Ccrd_022201 transcript:KVH99566 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MSNLRVICRPHLAVYSSITCCYNRARCRPRSVVRVSNSIRNPKSSKPRLSSSRSAVFSPGIESSDVLKTESLWAGFSNHQRRMLVRAVNWSDEKSPYDTLELEGDANEDEIKLAYRRLAKYYHPDGLPFSTILIRGDMAIAAWAEQQQQELNIRVRRLSRSKIDPDEERRILAKEKKASMENFNNTLKRHTLVLRKRDLMRKKAEEEKKKLIISKLLAAEGLELASDEDDASS >KVH99561 pep supercontig:CcrdV1:scaffold_2535:34300:42310:1 gene:Ccrd_022204 transcript:KVH99561 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MTIQGAKRPGGERLIIIRSHLSNISPFSCIFCFEEAISVTSTLQATILSLRFLQVSSGLSDWALQTCKELGMKKPTPVQQHCIPRILSGEDVLGLAQTGSGKTAAFALPILHRLAEDPFGVFCLVVTPTRELAYQLAEQFRALGSCLNLRCAVVVGGMDMISQTQALMQRPHVVIATPGRIKVLIDQNPDIPAVFSKTKFLVLDEADRVLDVGFEEELRVVFKCLPDNRQTLLFSATMTSNLQSLLEVSANKAYFYEAYEGFQTVDTLKQQYVFIPKNVKDVYLLHVLSRMEDMEIRSAIIFVSTCRSCHLLALLMEELDQEVAALHSFKSQSSRLSALHRFKSGKVPVLLATDVASRGLDIPTVDLVINYDIPRYPRDYVHRVGRTARAGRGGLAISFITQNDVDLIHEIEADVGKKMEKFECKENEVLEDITKVYKAKRVATMKMMDDGFEEKAKARKAQKMKSLAEKGLLNKNDNKRRKRKRDHKQHR >KVI10907 pep supercontig:CcrdV1:scaffold_2536:34579:44875:-1 gene:Ccrd_010687 transcript:KVI10907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDMVSVDPFEFHSEEEPYQNHEDKRPLKVVTDGMTSSTISQGDPRIVSQDRLSSNSKFRSNAFRLVSTCPLRIFEFLLSLINVASSIVT >KVI10908 pep supercontig:CcrdV1:scaffold_2536:60060:60715:-1 gene:Ccrd_010689 transcript:KVI10908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MVALAFKLADAQFSRLQNLINISGSISCSLNGSIIANCTIPTPSRFSHALIDVSCGGNVISSAITNGSGMFDITLNPLQFSPNNLLSSNCNVRVVTPLSNCNTTLPSTGILQSPLQFIRTTTRGLTSVFNLVPSTFELIGI >KVI10909 pep supercontig:CcrdV1:scaffold_2536:46239:54384:1 gene:Ccrd_010688 transcript:KVI10909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLVILFLLVALTLSSNAEATMARRLLNIPGLPNMGSFPMPTLQSPSFPLPPFGPSPPAGTGFPFFSIPTTMPTTPSFPGIFTPPIIRF >KVI04385 pep supercontig:CcrdV1:scaffold_2537:25629:39723:1 gene:Ccrd_017299 transcript:KVI04385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MTEVDHLLAKKVADRYLKREVLGEGTYGVVYKAIDTKTGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIVLSPTDIKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPRGQLKLADFGLARIFGSPDRRAPELLFGAKQYGPGVDVWAAACIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKPSQWPDMIYLPDYVEYQFVPGQPLRTLFPMASDDALDLLSKMFAYDPKARISAQQALEHRYFSSGPPPTEPALLPRPPPKKESVDSKPSDFNPLEGPTVLSPTRKSRRVMPHPEGYEGNSRQPEKIDDHGNERSGPAPMSLDFSVFAARPPARPTINSADRTHLKRKLDLEFQIPEED >KVI04386 pep supercontig:CcrdV1:scaffold_2537:53468:55820:1 gene:Ccrd_017301 transcript:KVI04386 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MESSKSTEAYPMKGGDGVCSYTNNSSYQKSLSEVAMSFICEAVAEKLAVENLACGHPIRIADLGCSIGPNTFIAVENIINSVQIKYETLTISAPPDFQVFFNDHISNDFNTLFKTLPANKQYFAAGVPGSFYGRLFPRASIHVFHSSFALHWLSKVPKEVTEKSSGAWNKGRVHYGGADDGVIMAFRQQYMKDMEGFLKARADEVVCGGLVVVLMPGRPNEVPHCECIGNVLFEVLGCCLLAMAKQGKIAEETVESLNIPIYYASPQELEEIVDRNGCFTIERMEGLAHIAEPETKHAAGRLGMGIRVGVEGIFKGHLQDEMIDELFESYTKKLEQAPSMYSSGGAAILYSVLRRKGKIYNR >KVI04387 pep supercontig:CcrdV1:scaffold_2537:42827:48075:1 gene:Ccrd_017300 transcript:KVI04387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSQVGNASWTIKPYARKNDGNAMESITNFTVKVIPEKAETMPKCTKVHTVPAIVFSVGGYAGNNFHAFADVIIPLYTASREFNREVRFLVANKRSQWTTKFQQLLDKLSRYEIIDIDHDNQIHCFPSMIVGLKKEDRKELHTDGMQDFIHFLRSSYSLERSTAIKLTNSSTKRPRLLIVARQKTRAFTNVKDVVDAAGELGFEVIVTEMNANMAEVSRLVNSCDVMMGVHGAGLTNMVFLPEDGVVIQVVPLGKMEWLAKTCYGEPSKAMGLKYLEYKISEGESTLIDQYPHNHQVFLDPITIQRNGWAAFKSIYMDKQNVMLDVVKFKERLSKALQLLHE >KVI05575 pep supercontig:CcrdV1:scaffold_254:238930:239599:-1 gene:Ccrd_016079 transcript:KVI05575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHKTVRRAIMGFGFWTKRPSWNTMGFGSLSFDTAFTSGFPQNHISSQKLLQKSLHHNPRVRSEHTPLPTSREHPPRFRMEITRVKFRQHCSTHRLNVGNLHPSIGGKNKNLNSSRLRYKIIQQSSQWG >KVI05577 pep supercontig:CcrdV1:scaffold_254:62921:67308:1 gene:Ccrd_016094 transcript:KVI05577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKSEDEKCVKLESGQNKKKQRSDEIVVDEGGTTGCWNRWRLIGSCVSSRSKVDSSIMESKLTNDTSKDQPVVPIVSSTTSNGESNPSTPKPEDELKVGSSLRKFGFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNYLSDLIHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNSKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEILTGRRSMDKNRPNGEHNLVEWARPHLGDRRRFYRLIDPRLEGHFSIKGAQKAAQLAARCLNRDSKTRPLMSEVVESLKPLPVLKDMASSSYYFQTVQAERVGSSPSAQNGARAQAGLFSKNGVQHPRSLSIPNGSHASPYHQKFNLNSPKPIENKKQ >KVI05587 pep supercontig:CcrdV1:scaffold_254:119445:127830:1 gene:Ccrd_016089 transcript:KVI05587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MAACNTTKLLNLISITFFFIAFFSTKTLAQCEEATNNACNNKSKALPLKLIGIATILITSIIGVCLPLITRSIPALSPDRSLFVIVKAFASGIILATGFMHVLPDSFDMLRSSCLADNPWHKFPFTGFVAMLSAIFTLMVDSMATSMYTKKNNSVFAEGGDQAAGRSGDHEMAVASSGHGHHHSHHHGAQKGPIGSQLLRYRVVAMVMELGIVVHSVVIGLGVGASNDICVIKPLIAALCFHQMFEGMGLGGCILQAEYKMMKKAMLVFFFSITTPFGIALGIGLAKTYKENSPSALITVGLLNASSAGLLIYMAMVDLLAADFMGPKLQGSIKLQLKAFSAVLLGAGGMPRVSFLSVKKDCVLGYKELKETITRSGVSFEGYFNSEAELVLEREILVFMKNSRNPNEFPTKKELLDAGRLDLVNAITKTGGWLALGWDSSDDENDLESNDFNDLRELHTRVESYQQRHEAASPSGRPLFNGRQKKIGVHNLIKDSMLKQFFLISEMEADDEDKGVEGILSRLKKHRRLSFDLCMEKNIYASSKDNGCLSDFHMSTDVGKSTAQGTWTIPRRHFSDFQAAEIDYGKEDSTAKMLAIQRDSQLQERHQEINPDQIRSRLQDMQLELSSALRSLRSKSQTLNSEQLSDAWEFQENEHMKAEDKLRSIRAKLAVFEGKIALSVIDTQKLVVEKQRRIDSARRTLQLLRTTSIFWTHSALEVILVGSFDGWTSQIKMEKTKTGIFSASLKLYPGRYEIKFIVDGIWRVDPLLPIIHNNGYENNSLIVHERSA >KVI05578 pep supercontig:CcrdV1:scaffold_254:43767:54057:1 gene:Ccrd_016095 transcript:KVI05578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLGLRSQQTAAQVPQAPPPQTLLPQAQAEPNNRKPTTTLEDLVAEDSFPLASLIDNFDGRSEGTGSENGAFGGLSTKNGAPIVDKHIDVCEEEGWITIPCKELPESWSEEPDMLSFRSFDRPFVFPGEQVHILACLSGYKQDTEIITPFKVAEMMSKNGNGRNAKKQNGEIMHGSAPVHEVILSTDAHDLDENANNILEVKTNPKKDVSAGESLLRMEDHRRQTEMLLQRFRNSHFFARTAEADEPLWSKRKTQETFRESTEMIGGKFTAHDVESIKGADKNPLLKASVDRGNLDASTSGGVARNGFKCSSLANGDIVVLLQVNIGVDCLKDPVLEIIQFEKYQEKTLTEAAENRTHSNQDPCEDLLKWLLPLENSLPAPSRSVSPPQLGSGSITRGSSTKINSSGSSASPIFSFGNFRSYSMSSIPPNTVPPVSPNNSIPSLDLEDQDQFSSKKSGMNEKGESEGLMSFRGVTLEPERFSVRCGLEGIYIPGRRWRRKVEIIQPVEICSFAADCNTEDLLCVQIKNVSPAHVPDIVIYLDTITIIHEEASKGGPPLSLPIACIEAGDDHSLPDLALRRGEEHSFILKPATSLWRSSKGLIDRSPRSSHSQAGTATSSVHGPSNVDRGVMFADKYAVLVLTLQASNLTSEDLTLTVLAPASFTSLPSVVSLTSTPASPTSPFDVSSESAAGRFSGDRQSISLKRLSSASNVIENQRRGDEFGTHSGSFNEQTISITDVLPKSDLGCTHLWLQSRVPLGCVPSRSTATIKLELLPLTDGIITLDSLQISVKEKGLTYIPEHSLKINATSSIRTGII >KVI05582 pep supercontig:CcrdV1:scaffold_254:89090:93239:1 gene:Ccrd_016091 transcript:KVI05582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MRINVLSLSLSLSYRNTSTSPNNPLCFHCGYIEDPSAGFAYEGMSTPARKRLMRDFKRLQQDPPAGISVAPQDNNIMLPDDTPWDGGTFKLALQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDSLLCDPNPNSPANSEAARTFSENIREYNRRVLEIVEQSWTAD >KVI05591 pep supercontig:CcrdV1:scaffold_254:172260:179431:-1 gene:Ccrd_016085 transcript:KVI05591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cross-linking MEFFEKSVAVRLKTHLDRYLVAEDDKVTVRQSHRAAETRRARWMIEHVESNSHVIRLKSCYGRYLTATNTPFLLGMTGKKVLQTLPENSKDLAIEWQPVRDGFQVMLKASDGTYLRANGSMPPWRNTVTHDSSSTTSTHNWILWNVEAVEIPEDEEFSDYLSLISSFSSVSDELSGLEFGSPARSFQVTTSSVMDLFHNAKAVRLKSHHNKYLHAEEDEESVSQDRNGAAKNNRWTVEFVTDSQDDSITIIRLKSCYNKYLTASNHPFLLGMTGRKVLQTVPRRLDSSVEWEPIREGNQVKLRTRYGQYLRANGGLPPWRNSVTHDVPHRTTTQEWVLWDVDVVDIVVQSPAPRPPPALIPNSDYFSSESSSPTANWSKSPTYSRQESTDSYHSSPPKMEDGRSIYYTVLSDDFGEIDENAQGFCINFKGNDVNELTRKLEEETGIKGITVCTRFPSDGKLYPLRLQLPPNNVTMKVVVVQNSSEDATVDV >KVI05584 pep supercontig:CcrdV1:scaffold_254:67545:72077:-1 gene:Ccrd_016093 transcript:KVI05584 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1338 MITIPSSSSSSSSSPIFGSSFSSSVNPPSSTFVSVKFLPPSTMNHSAKTLSKFSIVSASKSTHQSPSKVGDSFFRNVISSMEQVYLSKNPTAKSILELVRSTDVNQICYDHLAYRTFGVNDYGIDSVSKFFLDFGYTQQEELRFPQKKLKALWFSPPSVSAINGGSGSGVHGPLPRIFISELIVDQMSVQAQEIIRKYVELSGAGYKHAVVSSANIKNLNRFIEDNGFKLNSEGGVLKVSPDALLLQSSTVADSIPFEFSDGVKDSVPCSYIEFAERLVLPEYRYLPEDKIEEIHRRDGFEVGNADKIFESTSKDQVTRKGVA >KVI05589 pep supercontig:CcrdV1:scaffold_254:159178:165131:1 gene:Ccrd_016087 transcript:KVI05589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTATSDVTDGPVLTLINKRIRNLRKKLNRVAQLEDSIAQGKSIIKNKEQEELLKTKPTIIAAVDELEKFRQSLSVAVDEEINLALQRRNVAASHNNDDDDVDNSSKAQTLDKEQQETEQPVLGVEDLLSLIYFASMFDVKSQSDFNSIMLTRTHERNCCLTYDYVTDDDAAVMLGERDLDLISMMGSLLISRPVDSSLSHQNALQRCIEHAKLWLSKSEQQIDSNSNVTYAALREKLTKIMGSDYFKITPEMKATADVAAEAAGNYSFQVPVQVEGSVSQYEQKQEDDVTDLQRSETYEDQSGPVEDFPKDEADGVEIPVHKEPIEAHGGNEEYKEQQASRRPYQNQRGGGRGGGGGGRRGYPNGRGARSGGRGGPYQNGRNQYYDQPGNYYPRNYYGGKGRGGGRGNGGNAYNHPGSGVEDAES >KVI05580 pep supercontig:CcrdV1:scaffold_254:20444:24924:1 gene:Ccrd_016097 transcript:KVI05580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MQEVEQDMDTWEGYVDWKNKPAQKGRHGGMLAAFFVLVVEVMENLAYLANASNLVLYLKDHMHFSPSKAANNVTDFMGTAFLLALLGGFLSDAFFTTYHIYLISALIEFLGLVILTIQARSSWLKPPKCTSSDPSVPCEEVHGGQAAMLFLGLYLVALGVGGIKGSLPTHGAEQFDENDVKGRKQRSTFFNYFVFCLAIGALIAVTFVVWIEDNKGWEWGFARSPSNAIASMSPPISANPEERTITPAKQNEEPETPSSSLKFLNRAAMNKPACNFLQCSVHQVEEVKIVLKVLPIFGCTIMLNCCLAQLSTFSVQQASTMNTKIGNLKVPPASLPIFPVVFIILLAPIYDHIIVPFARKTTKSEMGISHLQRIGIGLLLSIVAMAIAALVEIKRKRIATKTGLDTHEPLPITFLWIAFQYLFLGSADLFTLAGLLEFFFTEAPATMRSLATSLSFASLAMGYYLSTVIVSVVNSATGSSDHRGWLSGGNFNHYDLEKFYWLMCALSALNFLHYLFWAHRYKYRSTGVEN >KVI05571 pep supercontig:CcrdV1:scaffold_254:241746:245934:1 gene:Ccrd_016077 transcript:KVI05571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MSLPEGTFSSSAGDLDFGQEVTLGEKLKVFKTSGFDPEDYVSTKCRTTSEKEIKQLVTCLLDLKKASAEEMRKSVYANYPSFIRTSREISDLEGQLVALRNLLNNRATIIQTLADGSRVESMSSGPGSRKKDSSDTEGREPSEKEVWLSQYTENLHILLAERRVDEALVALDEGERVAKEEKSAGSMTALMLSSLQATIIDQRQKLADQLAVSACQPSSSGIELRSAVETIKQLGDGPRAHTLLLNSHHQKLESKIQGLQTSGSTYGVAYTAALSQLVFSIIAQASSDSLAIFGDQPLYTSELVTWSVKQTDAFAHLMKRRVIASPSASGGLRTVAECVQISMGHCYLLEARGLALAPVLLRHFRPCIEQALNANLKRIEQSTAALAAADDWSLNFSPVGSRSLGSTASLGSLVTSQPKLSSSAHRFHVMVQVILLCLILSSFYIEAQYDFREMCEDIGLLRSLQFSRQAMEGLVQVFNSYVHMLVNALPGSSDTENLEGRIVRKAEDEAQQIALLANALLLSDELLPRAAIKLLPLQHSLPTETPRKASDRQLRTPEQRELKKRLQRFVDQLRDSFCREHALELIFTEDGSARINAQMYLSMDENSEEPEWFPSTVFQELFVKLNTLAILASDMFVGRERFTTLLLIRLTETITLWLSDEQSFWEAIEHGSKPLGPFGLRQFYLDMQFVILFASQGRYLSRNLHQVIKNIISRVMDSVAAANIDPNRFFFLLSCLKPLIQGSAITRLLFFFDFSSTLPEDQWFVDVAQTAIKMLNGNATIENVEATSPTASMPARSLSS >KVI05586 pep supercontig:CcrdV1:scaffold_254:333799:335535:-1 gene:Ccrd_016068 transcript:KVI05586 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF23 MDSSDQRRKRKRFLKPTHHFFSLRSLLYSLSFFAFFFILFRRPTPSFYPLVGSSASFTFHRFSGELTRFKIDDIVLFPDHVLVLVSKDVGFGIGRWNGNRKGLECVYGSNDTDSGGVRDVLSVDEYNDIRWLLRCPLPPPNFSSVVGIRSQGGVVVGTADGGLNSWENLAYEAVVDGNTAVVFVKGISHRQDKESDPNRFNCHFGLGNEKYILTSRVLTAAQEVVRCVLPKSLETHPEKAQGIRVTVSFRMPTFRNRRTHDHRVFVPSVAKISGSTLEEKKPTPKHELCVCTMLWNQADSIREWVTYHSWLGVERWFIYDNNSDDEIQEVINKLDLEGYNVSRHVWPWIKTQEAGFSHCAIRARDECNWVSFMDVDEFYYFPRLTGSDSTGQDALRTLVSNYTSTSPSTGELRTACHSFGPSGLRSPPKQGVTVGYTCRLQSPERHKSIIRPDAMDTTLMNVVHHFHLREGFGYEDVRQSTAVINHYKYQVWEAFRAKFYRRVATYVADWQDSHNEGSRDRAPGLGTEAIEPADWRLRFCEVWDTGLRDFVLANLADISTGVLPWARSLGHTDIEQIQ >KVI05573 pep supercontig:CcrdV1:scaffold_254:255376:260056:-1 gene:Ccrd_016075 transcript:KVI05573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLEEAGRRKKKEEAGSEGRSRKKEEAGSEGRSRKRRKNQEKERDSSDQLHNYVQKQRDEEFCRKIMELKAELASSNELRQKLDCKESVCEMKRSIESRDRKITMLSEKINADILSFDTIQKEASFVKQVVDNAQSVVNEKEEVGMSSLNNFVFQLYAYFESLLIPLAILSGSIKNRNGQEKINDLETELESNEIELKRKGRVITEFPIQLETTKITDQCQSKIEEISIPSLL >KVI05566 pep supercontig:CcrdV1:scaffold_254:300948:303882:1 gene:Ccrd_016072 transcript:KVI05566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQETYPPTHPSIIPPLHSLLQHHLSTPNPINTSKMSSKKRHYYHYYYPLIRALVFLLAFLSLFILLHNTSTTSSSQDPKFAEAVVHRGGVYGGRPSLHISKRRVPNGPDPIHNRFVIVLLKFVGHETDPFNESRKLRKTPGPSVEWSYKIRMYFQENYDQNETVLKTLIAKHPTFRSQALN >KVI05593 pep supercontig:CcrdV1:scaffold_254:197832:202700:1 gene:Ccrd_016083 transcript:KVI05593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/Sorbosone dehydrogenase MEAFLSFLPLLCLLLMMYPYPSSSLPMCTNFRAPVIGKGSLAFCPYKGRVCCDSAKDSALQREFEAMDISDPNCASAIKSILCANAAQNLPNLLSWGLFNLQTCDQFSANLFTVESQSRSVPVLCDSPKSVDSALLKQSEISFCTSVWDTCQNVSMRNSPFAPSLQGAGGLPQNTSSKLTDLWQSRNDFCTAFGGPPDETTVCFNGRPILMNSTQIPVPPRGMCLEKLGDKGYINMAAHPDGSDRAFFSDLPGKIWLTTIPSHGSREPLGLDESSPFVDLTDQVKFDTVFGLMGIAFHPTFNENGRFFASFNCDKEKSSTCSGRCGCNSDVGCDPSKITSSGREEHPCQYHNVVAEYTVNGTASDPTKILFGPADGYLYIMVGDGGGTNGPFGFAQNKKSLLGKIMRVDVDTVPKEVDDLNLWGNYSIPPDNPYSEDKELLPEIWALGLRNPWRCSFDSKRSSYFLCTDVGQDRYEEIDVITKGGNYGWSIYEGHLPVNTQQSSKGNRSDTTTDLIFPAMGYNHYDVNKKEGSAAISGGYFYRSTTDPCMYGSYLYADLYATALWAAAETPTNSGNFTTTSIPFSCARDSPLECNSVPNTNLAALGYIYSFGEDNKKDVYILASTGVYRVVRPSRCNYSCTKENATQVETPRTSSPSSSGTHLTSQCVEFVLYVSLILVLVGHML >KVI05595 pep supercontig:CcrdV1:scaffold_254:213491:216445:1 gene:Ccrd_016081 transcript:KVI05595 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MSNDVENGQKSSVLPSAVIEPESTSKWHSAFLQQVSVYGIAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVLLFGSLKLLEHDRLDLMTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLATIFGGSVLYVITDYQFTFMAYSWAVAYLISMSIDFVYIKHVVMTIELNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEMQDESDWYSFGVILPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLMIWDKHSTFIGTIGLLICMFGGVMYQQSTSKKPKPANIKEVEAKENQDEEQQKLLELQNFTESSENDKQVTSSEEQNKVGGYYDGGHVGQ >KVI05581 pep supercontig:CcrdV1:scaffold_254:94496:95619:-1 gene:Ccrd_016090 transcript:KVI05581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQVTPRVPSNTSLEIFCRQLLARSSTEEFGGTLGRSSILRIDAHLSVFTSEVQVRLQTSFKQVLLSFCNVRV >KVI05588 pep supercontig:CcrdV1:scaffold_254:140182:145386:1 gene:Ccrd_016088 transcript:KVI05588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MPYSPPSEYEAGKDHNHHLPPPSPQSKVGQRKPGGWKSMPYVLGMESFERLATIGLLANFTVFLMTVFHMTQVSSSYLINIWSGVGNFTPLVGAYISDAYVGKFRVIAFSSFASLLGMMTMTLIVAIPALHPPSCTPQQTHLNQCQGPTTLHMGVLILALGFLSIGSGGIRPCSLPFGVDQFDPTTDEGRKGINSFFNWYYTTFTIILLIALTLVVYIQDSVSWVWGFAIPTILMVCSIVLYFVGTKLYVYVKPEGSVFTGIFQAFVVAYKKRKLKVPDANEIVIDVKFYDPPLKGTYDIPKLPLTDNFRFLNKAAVILNGEITSDGSRVNPWKLASIQQIEEVKCILKVIPVLVSGIICFIAIAQQGTFTISQALKMDRHLGPHFQIPPGSLAVFSMITIGIWLPFYDRILVPSLRKITKIETGITLLQRIGIGVVFSILSMVVAAMVEKMRRESAVHHNQSAPLSVMWLVPQLVLMGFAEAFNIIGQIEFYYKEFPENMKSFANSMFFVTVGMANYFSSALVMVVHKVTGKNGRPDWLTANIDAGRVDYFYYVIAGLGVVNMIYFLIVASRYEYKSKMEISEDESRFDVELNNIKI >KVI05594 pep supercontig:CcrdV1:scaffold_254:200345:205674:-1 gene:Ccrd_016082 transcript:KVI05594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRCATFVPRYCCQILYLHPQLVSSVICLPFDVVNSSSRKFSSLGSANARNFPNYSPKKPTIKDSELVYNISTTIKQRRLEPIERILKPFESRLKPDHFIWVLMDIKNEYKLVLDLFDWLCLHRSPSLEVRCIIIHISVAAKDPQVAHGLIHDFWSKPNVDASLPFALFMEKLIYTYKDWGSNPYVFDIFFEVLVEVGILDGAKKLFYKMLNYGVVISVDSCNLFLSHLSENISGCKTTPHIFTEFAKEGVNWNTVSHNIMIHCLCRMGKVKEAHNLLLQMELRGYASDAISYSTMINGYCQIGGHQVVLKLIEEMQIKGLKPNMFTFNSVIFLLCKIGKVVDAEKVLREMICQKIVPDKVVYTTLIDGFCKAGNVTAAYRLLEEMHSKNIFPDLVTYTALISGICRTGKISEAYNLFLEMRSREMEPDEVTYTVLIDGYCKDGQMKEAFSLHNQMVRIGVTPNVVTYTALVDGLCKQGEIDTANELLHEMCAKGLELNICTYNSLVNGLCRSGNIVQAIKLVEDMEVAGIYPDAFTYTTLMDAYCKSGDMVKAHELLRQMLDKGLEPTVVTFNVLMNGFCMSGMLEDGERLLKWMLEKDIMPNATTYNSLMKQYCVRNDMHATTEIYKGMLGKGVSPNTNTYDILIRGHCKARNMKEAWYLHKEMVEKGYDLTVKAYNALIKGFIKRKKHLEAKNLFEEMRKKGLVADRELYNFFMDMNYHEGNLDLTLELCDEAIEKRIVDTDELHSNGESRAQLKGIEVVVKFPLFVGVSAAAHKAVAYRSAYRIRCCPINCVLGNNIVILAWVFFSARARYF >KVI05585 pep supercontig:CcrdV1:scaffold_254:328393:332860:1 gene:Ccrd_016069 transcript:KVI05585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2930 MYMYAYMYRYLSLIQVSIGRSKGGYRGPRPKRELIAEWVSNNDDAVRSMPIYVGGISLFAVLFNRTISGIAPVADASSSQSRADLLTIGLAVTNILAGLVWLSIRPKTISVVRAWESLSAMTCSRSLVIVYDDICVLQIGCASAASSNEGEPLVVDADKLMQGSIYQGVVKSGSQSYLANLSLYPGKSELPFLPSNTQAVILQPLGDKGIAILGGDTIRGFTASDQAWITLIGEKLDATLSKRMTSIPLASGRAR >KVI05579 pep supercontig:CcrdV1:scaffold_254:27141:33113:-1 gene:Ccrd_016096 transcript:KVI05579 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAC motif-containing protein MEQQPMGIAIEQSITSRYSSWVREALDELPHSFTITDPSISGHPIVFASREFLKMSGYSREEVIGKNGRMFQGIRTNRRSVMEIREAIREERSLQVSLLNYRKNGTPFWILFHMFPVFSKEDGRVIHFVGIQVPIVPKPRRSGSEFSRIEANFCENGAGFRDSVLGFCRREVCSDTVAELGRSSNLDSVLTDDTEVTNGTCKASDLEKRRANTAMSNILSMLTHYSELTGRLVSDRRCCSSNMSHVGASLNTSLGRIRQSFVLTDPHKIDIPIVYASDAFLDLTGYARHEVLGRNCRFLSGQETDGSTQLQITNSIQTGKACTVCILNYRKNGRPFWNSLHVSPVRNASGKIAYFVEVQTDVNCGNHGNQNLSPEIRQLSVVGAVKVAVRSSAMFASTSES >KVI05568 pep supercontig:CcrdV1:scaffold_254:321243:326314:-1 gene:Ccrd_016070 transcript:KVI05568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTASSKFTSFDLHQPLFIAAIIFNFFVVYASSDLSIPQELGGGRGRGVGLRSLKSFKEKKTGTNITFDCSPSGPCVPCQYSEKKDDTYRCSETGYRIPMKCRNLETQVDEENDKKRQKGRSTLENADKLKLHVLVHNAEDPTTLIRQRNLLEESSSREDGSGIYITYRSCIPAINEEKLSVLGFEAIILLLLASSLFVIFRRKGTLVMPGAVRVPTNSRF >KVI05570 pep supercontig:CcrdV1:scaffold_254:246597:252572:-1 gene:Ccrd_016076 transcript:KVI05570 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MGGCVSRPENCVGGGIKKSSWSKKKRKSRKGLKRRVSSRLYDQPNSVNPTSHGSTDELWYDSATILESDSDGSEGVSWPNIEVHRSSVHPEDMAFQSRCDTSFEKRSLSSSPSTTRKKAAHKLSFKWKNGHPNATTVSSKMHIQRPIAGSQVPFCPIEKKMLDSWSYIEPQTFRVRGKNYLRDKKKEHASNYAAYYPFGVDVFSSQRKIDHIARFVELPSVGSVSAEVPSILVIPLYPAAFFRGEIDGEGISFVLYFKLSDGYSKELSSQFQDNMRRILDDELERVKGFPVDTLIPCRERLKILGHVVNIDDLQLNAPERKLMHAYNGKPVLSRPQHEFYQGNKPEELPEEILCGVRLNGIDYKNYHMLGLNQEPSSP >KVI05567 pep supercontig:CcrdV1:scaffold_254:272711:293110:1 gene:Ccrd_016073 transcript:KVI05567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWREASGSRQGGDSASAGTPLAVDRNPSMSTIRLGRVQPQAPGHRTVFCNDREANYLAKYKEKICSLVSQAFPVRKLINRFVHSIYEHRRSKKTRRLEGYGGLGRLGSFARVMTSILFRICRPINKLLLLIDRERERDYDLHKTLCEATNMQSSPHGLGMWRKASYGRNMQNDTGYYWSMKGNAVSTTKYNVATFLPKGLYEQFRRVANLYFLTISCLSFTPVSSLRFTVHGVLVRQDGFFPADLLLLASTNPDGVCYTETANLDGETNLKIRKALEKTWDYVTPEKASEFKVLHFILMHKTLNSEFHLFYDADVSIDAGEIHCEQPNNSLYTFTGNLILQKQTLPLGPNHILLRGCSLRNTEYIVGTVIFTGHETKVMMNAMNVPSKRSTLERKLDKVIATLFGVLLSMCLIGAIGSAVFVSNKYHYLELWINGDSQQFNPNNRFVVFVLSIFTLITLYSPIIPISLYVSIEMIKFIQSTKFINNDLRMYHSETNTPALARTSNLNEELGQIISSGDGTSCKVEAWEVLQETRVLAVDPNTGGHDLNLMEFFKCSISGETYGTGVTEIEIGAAKRNGVDVKEVQKPSHAVREKGFNFDDARLMHGAWRNEPNPEMCKVDLVIYKHAESLRGRLLKVCVPSLFRNFSDALLYVIPYSLKVKSPRKNFVIKLHLQMRLPYEVNFCRRTPTMIYVRESHVEKMGKVQDAAYEILNILEFNRIFPSQGADTVIYERLASGSEELKKISREQLEQYGEAGLRTLCLAYRDLSPEIYESWNEKFIQAKSSLRDRERKLDEVAELIEKDLTLIGCTAIEDKLQEGVPSCIETLSRAGIKIWVLTGDKLETAINIAYACKLINNDMKQFVISSETDEIRDVENRGDQVEIAQFIRETVKNDLHKYHEEAQSIIHGSSGQKLSLLIDGKCLMYALDPSLRSTLLSLSLSCSSVVCCRVSPLQKAQVTRLVRKGANKITLSIGDGANDVGMIQAAHVGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVVSYFFYKNLTFTLTQFWYTFHTGYSGQRFYDDWFQSLYNVIFTALPVIIVGLLDKDVSASLSKKYPQLYKEGIDNAYFNWKVVGTLACFSVYQSIIVYNFAVASSTTGLTSAGRMLGLWDISTAAFTCLVITVNLRLLMMCNTVTRWHSISVGGSILAWFIFIFIYAVVFVNKGTYYTIYVLMGTAYFYLMIVLVPIVALLCDFLYQGWFYPRDYQIVQEIHRHEPEAIGAGLLAVGNQLTPEEERRYAFALLPRERSKHTGFAFDSPGYESFFATQQGVTTPQKPWDVIRRASMRQKTKSPRASQS >KVI05569 pep supercontig:CcrdV1:scaffold_254:312534:320644:1 gene:Ccrd_016071 transcript:KVI05569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MEKVIDLRCMIASTLEKEVPRGNHLRGDLDSAEPPLVGRGKMPSHADIDRQIEQLMECKPLTESEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLVELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLSLISRAHQLEKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >KVI05592 pep supercontig:CcrdV1:scaffold_254:185100:189950:-1 gene:Ccrd_016084 transcript:KVI05592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGIKMEDKWGYEVNTSSSSCISSINSYYHQVLSYGRRRDVIMEAIDHDPNCVLANILAAHFCCSSNPSRAPSLLHAAKSNLDSATPYEIAVLETIDCLISPNRDDDVAFELHSKLLNDFPKDLVSLKRGQVLCFYMGRPDLSLQLIEQVLLANNQENFVYGMLAFPLLELGRMEDAEKAAKKGFEINKEDPWSQHALCHVFQYECRFKEAVEFMTECSKSWDPLSSFMNTHNWWHVALCSLEGNAPLDKVYLNAVGLLLRVHVRGKIRFFEDHLKILAGYLSDRAFWYLEWHLDLLILWALACTEKSSEAQDLLDGLKSKVSKMNPKKGKLMQKGLKLAEAAFEYGRGDFTRALELLGPDFDADNCKVLRNSFFQELFASIPLYDCILQMVGASGEQLDVFNEFWFVMLLDTGRADKAIEVIERQLKKRDGTPFLWRLLERAYSMLGKQEAEAIGAKAKALEGAYF >KVI05583 pep supercontig:CcrdV1:scaffold_254:77908:84200:1 gene:Ccrd_016092 transcript:KVI05583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase MATVPLQAILHRHYRRKTPKFLMESEKHKRASLYYTWKRRNCLIANGAKLCNFRPANSRVLNITVRASSNSSATTCDSADVIFHKTFSLQRTEKVDVMRLQKKSCGRRCERYRVDRIDSQRKSLAIACSTFTFHGVLIVPHQCQVEGKILVRLDCGKDEENFQLVVACNLPGKWVLHWGINYVNDIGSDWDQPPVEMIPLGSVPIKVLNLSDSFFCRCIFMVGHSIMDGASSFQDYAIDTPLKKLTDTEAGFIYEVKIDFNTKSSIAAINFVLRDEETGSCYQLKGRDFKVPLTNLSDDDGNNIGTKQEFSIWPGTLGQLSNMLLKSNGASEDGNRDSNSKESAQKKRDLRSFYEEHDIVKQTLVDNSISVCIKRCPEAANNLLHIETDIPGDVVVHWGVCKDASKKWEIPTGSYPAKTSAYKTKALQTQLQQKEGGCGCSGLFPLDEGIEGFLFVLKTNNNTWLNFMGDDFYIPMPNERSFEKLEHLGEENMPKSAKIDSSLESGEHVEVSQEVSASTDEIINEIRHLVTGISSEKSRKTKSIETQESILREIEKLAAEAYSIFRSSIPSFTEKTVSESKEAELPLKISSGTGSGFEILCQGFNWESHKSRRWYMELHDKVAELSSLGFTIVWLPPPTESISPEGYMPKDLYNLNSRYGHVDELKALVNKFHEVGIRVLGDAVINHRCAHHQNQNGVWNIFGGRLGWDDRAVVGDDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLKEWLCWLRKEIGYDGWRLDFVRGFWGGYVKDYMEASEPYFAVGEYWDSLNYTYGEMDHNQDTHRQRIIDWINATNGTAGAFDVTTKGILHSALERCEYWRLSDSKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPSVFYDHIFSDYKSRISALISIRNRNKIHCRSTVEIIKAERDVYAAIVDEKLAMKIGPGDYELQSSKWTAP >KVI05572 pep supercontig:CcrdV1:scaffold_254:264021:268905:1 gene:Ccrd_016074 transcript:KVI05572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDPPVMMNGGDFRSGNSASSLCNLADIVPFHVNFGGSVGNSASFGWGQLSGNSENNSNNNSGSSVHAYMNHGDHVSVDDPMDLDQRGSNFHSKKQREEDDSPKRVSSSSPSNGMSDCDGKRLRMLGLGNENFEPKAKADGGFGKLAENHIKPAESKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQALQRQVEFLSMKLEAVTSRSNLNPEGFPSKDLGHRTLDMPFSQPTTREFSRTSSPEWLHMQIGGSFERTI >KVI05576 pep supercontig:CcrdV1:scaffold_254:2207:3685:1 gene:Ccrd_016098 transcript:KVI05576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQALRNFLTHPTISIAETCKSLGEINQIHSQLLVNGFVNDINLVSKFAASIALKHPHNVDYSVRLLNQCENPTVFALNSLIRVYSKSPTPEKSFDFYKRIVESNEKPDNYTFTFLIKSSAQLIMVKNLGLAVHGAALKYGLDQDPHVQSGLINMYAQMGFLRALKDLFSDIHERDLVIQTAMVVACARLGDIVFARQLFDEMPDKDVVSWNAMIAGYVQFGEPLKGLELFGMMETKGLKVNEVSMISVLSACTQLGALDTGRWAHRYIASKGLQIDVTLGSALVDMYAKCGDIKMAMEVFWEMKEKNVYSWSGAMGGLAMNGYGEKCFELFDLMRQENIMPNEVTFTSVLKGCSVAGLVEQGRKHFESMINEYGFEPRIEHYGCMVDLYARSGRLDEALNFLNRMPFAPHVEAWGALLNASKIYNDVEMAELASRKMVELEAKNDGAYVQLSNVYADFKKWDSVDGVRNVMKSKRVVKVPGVSVIDSRVRTN >KVI05596 pep supercontig:CcrdV1:scaffold_254:218152:223951:-1 gene:Ccrd_016080 transcript:KVI05596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MSRLRLLQLLMGISVLYLLFMTLEIPVIFKPGFGLVYPEEEQGYAVSLLPQPIKAGKEEEDEDDDSVGVSVSRRRTRDVDDKLSGLIFDDVAFDGIKKDEFLELHKVARYAFVLGKKVWEEIVKFNSNSSIETESITTTRSESKNESCPNSVAVSGEEFQEKGFMVEIPCGLTLGSHITVIGKPRWGHSEREPKIRLVKEGDGSVMISEFKIDLQGLKAVDGEEPPRILHFNPRLKGDWSGRPVIEQNTCYRMQWGSALRCEGWKSRPDEDTVDGQVKCEKWIRDDDNRSEESKAFWWLNRLIGRSKKVTVDWPFPFVEDKLFVLTLNAGLEGDVDVHSIIAASLPTSNPNFASVGHLEMSTRWRAPLLGDKPVFLFIGILSAGNHFVERMAARKSWMQHRLIRSSTVVARFFVALLIKEAEFFGDMVIVPYMDAYDLVVLKTVAICEVDSVLKEVKEVEDSGSVYIGNMNYYHKPLRTAYANGPGYVVSSNIAEYVVSKFERHQLRLFKMEDVSMGMWVEKFNKSSSIEVKYVHSFKFCQFGCVEDYVTAHYQSPRQMICLWNKLQQTGKPQCCDMR >KVI05574 pep supercontig:CcrdV1:scaffold_254:238753:240356:1 gene:Ccrd_016078 transcript:KVI05574 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MSDCMKFPSVETCDSNGRENHTVVADMDGTLLRGRSSFPYFALVAFEAGGCLRLLMVLLSAPLAGLLYYFISESAGIQVLIFATYAGMKVSDIESVGRAVLPKFYSSDLHPESWRVFSACGKRCVLTANPRVMVEGFLKEFLGTDVILGTEIGTYKGRATGFVLSPGVLVAEQKAKALRKEFGDSPPEIGLGDRDTDLPFMALCKEGYMVPYNPEVKAVSNDKLPKPIVFHDGRLVQKPKPIMALLTVLWIPIGFILACLRIAAGALLPMPLVYYAFWALGVRVTVKGTPPPPVKKSSGQTGVLFICSHRTLLDPIFLSTALGRPIPAVTYSVSRLSEIISPIKTVRLTRDRATDASMIKKLLEEGDLVICPEGTTCREPFLLRFSAMFAELTDHLVPVAMVNKMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPLELTCSSGKSSHEVANYIQRVIAATLSYECTAFTRKDKYRALAGNDGTVAEKPKKKANKIMGC >KVI05590 pep supercontig:CcrdV1:scaffold_254:167770:169296:1 gene:Ccrd_016086 transcript:KVI05590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVLATASFPTVATAVDYNNSVQTTNHHRRLLHLLNQCTSMSHLKQIHAHTLRTTCTTHPHTLFLHSRILHFSSLHDLHYAFLFFQTHIDNANSFAWNTIIRACSRSSNRKQEAILLYLKMLSEGNVIPDKHTFPFVLKACAYLFAISEGRQLHSHIFKLGFASDVYINNSLIHFYGSCGRLEDAREVFDEMPERSVVSWNAMIDTLVQLNEFDDALTCFCEMQERFEPDNFTIQSILRACASLGALSLGMWAHKYVLRRCSPEVSNDVLINNCLLELYCKCGSLETAKSVFERMVKRDVTSWNTMILGFANNGQVEPALEYFTKMVDEGGLIPNSITFSGVLSACRHRGMVHEGQKYFTIMTTKYGIEPVLEHYGCLVDLLARAGLIAEALDVVSTMPVKPDVVIWRSILDACSKRSVGIEVSEEMAKKIMESKEGSEFSGVYMLLSRAYAVARKWDEVGSLRRLMTVKGVAKEPGCSAIEIDGVAHEFFAGDTSLSQIADIYTTLDS >KVI11036 pep supercontig:CcrdV1:scaffold_2540:48877:52030:-1 gene:Ccrd_010558 transcript:KVI11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DPKPERPSLSRCFLRPLIEAQATASHSASNRFHAQVILDGMIRVLSYPKKSSFRNVVCARMYCSSEIKKDVLDPAKEICNIMMSCPKLGVATALDQSGLRPSSEVVEEVLKRFGNAGMLGYQFFEWAGKQRYYEHSVRAYHAMIESLAKIRQYEIMWDLINGMRSKGLLNIETFCIIMRKYARAQKVEEAIYTFNVMEKYNVSPNLSAFNGLLSALCKSRNVRKAQEIFNSMKHKFIPDQKTYSILIEGWGRDPNLPKAREIYKEMVNDGCRPDIVTYGIMVDILCKAGRVDEAIEIIKDMEGSGCWPTSFIYSILVHTYGIENRIEDAVDTFLEMERKGIRADVAIYNALISAFCKVNKLQNAYRVLNEMECKGMKPNSRTCNILLNYLIERKETDEAFKVFRRMIRICDPDADTYTIMIKMFCETGNIKMALKVWKYMKQKQFVPSLHTFSVLINGLCENEDVSQACMLMEEMIEKGIRPPRLTFGRLRKLLIEQRRDDVLEFLHQKLNLLVKEPLCD >KVI11035 pep supercontig:CcrdV1:scaffold_2540:68608:75165:-1 gene:Ccrd_010559 transcript:KVI11035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MATVMEESGTDSASTRSSLSVSERERNSLTLHDGPPVDDCCPICFGSFSAPCRGPCGHWYCGGCIMEYWNHVAAFRPCKCPMCSGPITRLTPEATLFQQQDPGVREVLKNVRQYNCLFAGGACGFILQVLQLPLFVKRMLQAMMDPDRPVAYLSRLRLVAVFLGALYTLSPFDFLPRWRYLDPIDLFDCSAIVLSFSLYFVGLYSRRRRLRYLREQAPVPHFDVDM >KVI11038 pep supercontig:CcrdV1:scaffold_2540:1312:2683:1 gene:Ccrd_010556 transcript:KVI11038 gene_biotype:protein_coding transcript_biotype:protein_coding description:BYPASS-related protein MMHQSSSSTTITTTSVNGFYDFLTHSLDDLYHSFNFQNFMSVHFLQLVLASLQSFHSQLTLLVHKLHLPVGEKWLDEYMDESARLWEVCHVLKLGISNMENYCSMGSNIPSFLENHNLNPQILSQVLRSTNRCQREKVRLEEENKSLVESRIKPLMMKFDEDMIIESKFNGFNGFRGVLYALKNISSLLLKIMLNALVYCSHETSFSSSSYGNTICYNESQMVFGSGFMVSATRLNDRVKMSEEGQNGILLHEFRNTGQAMDELKTELESIRGFETEFDVSERVEKLKNCFQGLHSGVENMIVQLDDFFDEIVESRKELLDLCIHTISI >KVI11037 pep supercontig:CcrdV1:scaffold_2540:35026:39683:-1 gene:Ccrd_010557 transcript:KVI11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MDLTRAPQSKLSLGFVPHSSHSNEIANDTVSFQIDSSFRSPPHHLVSGVPLQLMEQQTTPENKNVDLNEKHQQTNAGSMDLNEESRVGGEEEDEDRDVEEFRILGHSMCLKRRRDNNSNSSCATTDSACSNIMSKRCHTEQNRQDQSLESRRQSVRAWGNQSLQTADPDIFELLEKEKQRQYKGIELIASENFVCKAVMEALGSHVTNKYSEGMPRARYYTGNQYIDEIEILCCQRALVAFGLDSDSWGVNVQPYSCTSANFAVYTGLLSPGDRIMGLDTPSGGNTSHGYYTPNGRKVSGASIFFESLSYKVNPQTGIIDFDKLEERALDFRPKILICGGSSYPREWDYSKFRQIADKCGAVLMCDMAQISGLIAAKECSSPFEFCDIVTSTTHKSLRGPRGGIIFYRKGPKSRKRGMLLNQGDGSDRYDFEEKINFAVCPALQGGPHNNHIAALAIALKQLATPEYKEYMQQVKKNAQALASALLRRNCRLVTGGTDNHLLLWDLRNLGLTGKNLEKVCEMCHITVNKIAIFDDNGTLIPGGVRIGTPAMTSRGCLESDFETMADFLCRAAQITSSVQREHGKMVKCFLKGVENNKDIVDLGGQVEKFATQFAMPGQDL >KVH96539 pep supercontig:CcrdV1:scaffold_2544:43543:47954:-1 gene:Ccrd_001378 transcript:KVH96539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MSCWDEWVEQALSRLESMKILRSLRPINYPYHPFEIDPKPDHAFEVFDGIRQWDRASVEIEIAESTFQRWVQDVPSSGDELTCGIGVSEVAPCPKPFRKLLLFSGNDYLGLSSHPTVAKAAAKAVQEHGMGPRGSALICGYTIYHRLLESCLAESKNKEVLACSFINQCLSFFGFVTDCLLCPTGFSANMAFMTVVGYVGSLSTVNETPSEVGVAIFSDALNHASIIDGIRLAERQGRLQVFIYRHCDMTHLNGLLSNCTAKKKVVVTDSLFSMDGDFAPMIQLSKLRKKHNFLLVVDDAHGTLVCGKNGGGVPEEFNCASDIDICIGTLSKAVGCHGGFIACSKRWKQLIQSRGRAFIFSTSTPIPIVAAAHAAMMVGKKETWRRKAIWKRVQDFRALTGIPIASPIISLIVGCEEQALQASRHMLKCGFHITAIRPPTVPANLCRLRITLSAAHTRNDMRKLAYALSQCMSLRESGTSKL >KVH96538 pep supercontig:CcrdV1:scaffold_2544:49046:61123:-1 gene:Ccrd_001379 transcript:KVH96538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MELHILLQHSKVSNFTILGRLWLEIAGMPKWKHKNPQSGFLIFILEMLSSWSSVKAIIARVSIQNYYQYRHVNKPGWKLGFTWSNKEVIWSISGAIATHQGNCSSFKDEIPHCCIPTPQIVDLMHGGVLTARAINAQQSSSSFNMVVGNLQVVQMPINLTLLVPGPGYTCSPLEDTEPTVSLVIGGRREEQVFSNSNSIVLLIQIILGFQEHGKQHAHILVFWLTKCQYVVFHYQHFITHKSQHALNAVVDADQQTRKHPSALGIYLSIFSLNLCTCVCDGHACSGSSHDSSLETEFMGSSDTVRCSNHMCPLRVHWHIKNNYMDHWRVKLTVTNLNYDRNYTNWNLLVNHPGFGPSATTYSFNSTLLHTAGIPDDVALFWGIDYYNTDLLNAEKDGVGSVSTEIVLGKDPATFTLHNGWGFPRSIYFGGENCVMPPPHTFPMLPNAASTAPSTTLILIILLLLTF >KVH96535 pep supercontig:CcrdV1:scaffold_2544:9229:19196:1 gene:Ccrd_001375 transcript:KVH96535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGNSTKLWRPALAAITEDGVARRTPSDSYGFDYRSPKSFTVRNVAQSPEALDHHHRSSIIEQQLMSFYHLQLGTKVMVRMAFADITCVGSKAVREKFSGIISDEEEEFEDTNDDLDKDNNDEFILSDETSGSETADSPEVAFPMAIATTIQHSSLQHLHPWNHISSSSSSFESLSSMTLIIIASCSIGCDCPATTASGLDSYTIP >KVH96537 pep supercontig:CcrdV1:scaffold_2544:23838:28348:1 gene:Ccrd_001376 transcript:KVH96537 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MRPPKRTIHVISSWVRRQPPKVKAFLAVVTGMVALVLLRVIVHDHDNLFVAAEAVHSIGISVLIYKLMKEKTCAGLSLKTQELTAMFLAVRLYCSFVMEYDIHTLLDLATLATTMWVIFMIRFNLKSSYMEDKDNFAMYYVVVPCGVLALLVHPSTSHHIINRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLTALGYGLWPSMVLISEIVQTFILADFCYYYMKSVFGGQLVLRLPSGVV >KVH96536 pep supercontig:CcrdV1:scaffold_2544:33100:42807:-1 gene:Ccrd_001377 transcript:KVH96536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphorylase MAPPPNEDNSISHDSSDDQHRPISTIVIIIAMQTEALPLVNAFELTQDTNPIFPKGTPWVRYYGSYRALNVNIIWPGKDAVLGVDSVGTISASLVTYAAIQTLQPDLIINTGTAGGFKAKGACIGDVYVASEVAFHDRRIPIPVFDLYGVGLRQGCSTPNLVKELDFKVGKLSTGDSLDMTPQDEASILANDAVIKDMEGAAVAYVSDLLKVPVMFVKAVTDIVDGDKPTSEEFLQNLSAVTIALGKAVTHVVDYISGKSLSDL >KVI11302 pep supercontig:CcrdV1:scaffold_2546:32597:44814:1 gene:Ccrd_010289 transcript:KVI11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINRYQIRNVYSLADPELYKAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEEVMATAARGHGLLARVQQLESEFPSIERAFLSQTRHSIFFPNSGIDWHPNRQTAHNLITTGDLPRFVMDSYEECRGPPRLFLLDKFDVGGAGACLKRYTDPSVYKVEASSFEIESAETQRDKKIRKTKVSSMKRIISLAFAGNRNLFMILLKKGSRWKGGETQEVSQLSHVKLHQLFLEERVQNGAIEPARLVKLKKRPNKLPFDLESGESYMNKLLNSPEDKLVHEVPVCLSTLMLPTNTSNGSRLEIPEDSMVGSALGSAVQSSRAEKIVYGDPTDSLSRKVAERQTSEQPTSGLSLGAGIIPSTLEEEVDEKQIAVVEEIKTDGLQNGYLSDDVASETDNYMDALATMESQLETNAELRAKIDPSVDHGEYSDANIDQFQSQLSGSQSRGNSIASDDGNNLIRKGITTSLYSDTTSMSTENASPEIPQPFACTEIPFHPRVPPKQVSDAEKIMVTQYLDHNITNDTCIDASKVPNVSSAIDSALHVDQVTILEEGTSKEADSNEMSSNHNETLTSPRKIGEHQIYMEVTVDCTCKQPDFLPTADSPSSMSFAEIPEGEVDDRLDNDSSRPMIHLPIISDTTCRISENSLSEVNEIEHEEDNHSTGSVDSHCSLSHSVTLHADEQPFDTSLAECGIDNLDVKPSYTTFSDDVPVAEVAADNPDIYSKEQFKEIADDILHIPDLAEAIVPYSSEDETKSNNAKIELMTGAVSDVADVNRSGGNDLSALKDEAELEERAKESVKSEVKVGSNPVSVPDDLDINEGNDLSTLEDDVELEAREVDSINLEVEKRAAVLLLVDVDKNEDNCCSTLEEPDGSRSVISHLCNEQNSQESGEKEEVDQLLVISPDLDYVSCDTAPYDSSNNLLLDSILDTSLSTCDDLDDDVDSPSLNSKILQDQSHSFLAETDQNGLQEVILRDLSSPPGNIAPCDPSNVQLLDSASSSVVFTEANHDLESKSPNHGDHDKFEAAKSSPPEDNVNQPNDQLHVQSLASEGSQMLPQLDSLTHIDHEKHFDTHSEPYPVNNATQSIVQEEDLHPQPMNVVVATDESTHIQDVQSSTESDSVPVSGKLHTLELLQMNSQEVNLSAQVKHQSTPVFSGFGILPQLSPVKSEDIPPLPPLPPMQWRMRKPQNALLTPTDGGQHSDNPFPSIFPCKADEGPQVVVHPTLDEIRNPDTENRKSKHAYKDLRSDTGGFSQILLPEASLHTEDHDKSSVPSETKFAETLMSAGTEHSHSVPTSSGWEIFWPSSNPYSFQPVDNEVSNTIRPIKVQRPRSPLIDAVAAHDKSKLRKVSDRATPQIPKGEESDAILEQIRAKSFNLKPAVQTRPSIQGPKTNLRVAAILEKANAIRQAFAGSDDDDDDSDNWSDS >KVI11303 pep supercontig:CcrdV1:scaffold_2546:10238:11360:1 gene:Ccrd_010288 transcript:KVI11303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTKPQTQSISYKKVTYGGINGAYYTATTTRKSGSDGVVLEASKEANKTTGRATHRISKGIHDKHGPFASALHDDHHTSTLHTSRQSMT >KVI01659 pep supercontig:CcrdV1:scaffold_2547:23931:38662:1 gene:Ccrd_020063 transcript:KVI01659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPSPISLVISPNSSILSYASFFPIVFQIPRKPSTLISAAFWRTKRRRTLSTQPPENDMSVTVPMPAEDEPFVNSRNRDGAVSSSKSVLQRNRYSISSISCFGVDLTPDNIAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVITGFSALPWLIKPLYGFISDSVPLFGYRRRSYLVLSGLLGALSWSLMASFVNDKYGACFCILLGSLSVAFSDVVVDSMVVERARGETQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGLTALLPLLTSAVAVLVKEQRVRGPSRGGPSSSKDSILELWQAVKQPNVFLPTLFIFLWQATPHSEAAMFYFTYLVTSVASLLGVGLYNGFLKNVPLRKIFLGTTVTGTALGLTQVILVTGLNRQFGISDEWFAVGDSLIITVLGQASFMPVLVLAARLCPEGMEATLFAALMSVSNGGSVLGGLFGAGLTQVLGITKDRYDNLALLLIICNLSSLLPLPLLHLLPNDNPNANANGKQKEDVDIELKSS >KVH94779 pep supercontig:CcrdV1:scaffold_255:124065:133985:1 gene:Ccrd_003157 transcript:KVH94779 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MCEKGGKFAATSTSPTPPSPTANFNSRSLKNAIVSSARLGYLTAESLSDDQAKETYGKILGVVFSAIPYQLDYPLSQSPDRGVESPVSRLWGLLQAVSYGPLKNLVGSDDERLLPNVDLRCLSWHQKKDILAFVSARNQVTIRDYGDSGEGKDPCVLMNDLQREVKLLEWRPNAGKTLSVACKGGICIWSASYLGNSACMRSGINSGSLSRGSGVRWTLVDFLRSHGDEQISALSWTSASYESSSFTIWDVAQGVGTPIRRGLGSISLLKWSPTGDYFFAAKFDGTFYLWETNTWTSEPWSSTSGFVTGAAWDPDGRMILIAFSESSTLGSIHFATKPPSLDAQLFPVDLPELKSLTNSGGIEKIAWDASGERLAVSYKDGNELYKGLIALYDVKRATLITPSLIGFIRGPGDDPKPLTFSFHDKFKQGPLLSVCWSSGICITYPLMIRSHVLA >KVH94780 pep supercontig:CcrdV1:scaffold_255:146167:153819:1 gene:Ccrd_003156 transcript:KVH94780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEASLQLYSPLFTPIYPHINSLSLPFHHKPRRKSLPLLPRCCSSEATSKKLVGFVDYDRGERQVSLHVSGFRKSDLSKRNRLRVQGDRFQKDWAISDLVFKILKLNQGEDIDGLLNRWAGRFARKNYPVLIKEISRTGSIEHSNQVFKWMKNQKNYCACNDIYNMMIRLHARHNQTDQARGLFFEMQKWRCKPDAETYNALISAHGRSGQWRWATNIMEDMLRAAVPPTRSTYNNLIHACGSSGNWREALKVSKRMTENGVGPDLVTHNIVLSAFKTGSQYSKALSYFELMKGTKIRPDTTTLNIVIHCLVKLGQFDKAIDIFHSMREKRAQCNPDIVTFTSIIHLYSVYGQIDNCKAVFDTMIAEGLKPNIISYNALLGAYASRGMSEEALSVFNDIKRTGYRPDVVSYTSLLNAYGRSQQPEKAMEVFNMMKKNNRKPNLVTYNALIDAFGSNGLLPEAVDMLHEMERNGVQPNIVSVSTLLACCGRYGQKVKIDSILAAADSRGIYLNTVAYNSAIGSYMNNGEYDKALALYRSMWEKKVKPDSVTYNVLISGCSKMSKYTEALQFLDEMLALKIPLSNEGQLSKAESLFSTMKMTDGGPDVITYTTMLHAYSAAEKWDNAFALFQEMKMNGVEPDLIACSALMRAFNKGNQPAKVLVIAEFMLERKILMNDAIYFEMLSACSILRDWRKTIELIGVMEPSFNVMSIGLLNQLLHSIGKLGKTETMMKIFYKIVATGAEINNSTYTVLLKNLLAAGNWRKYLEVTSSNFI >KVH94770 pep supercontig:CcrdV1:scaffold_255:312468:320950:1 gene:Ccrd_003148 transcript:KVH94770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MAEGFEPYHIPQQSRREKLRVVTTGCVGDLLPLYDQSSFISSSPNLLSKQPRNITSSLMATATSNSDYATAMIDESLDLQSVNDPDNNHCNFLYTHQDLRFIQHHPFDGSGDFLVYKPEPLALSLSSHNGTHPLQKYESTGLDFCRTAVMTLGPYTGYASILKGSKFLKPTQQLLDEICDVGFGVDCGLMTDPPSLENLRTTTSVDHPNCGSDTRKRSRLISLLDEVYKRYKHYYQQIQAVITSFETVSGLSSAAPFANLDLKAMSKNFRCLNNAITDQLQFSVKPHEHQISYRREELMWSGSSNDGLYGQKAINNMGFVDHQPVWRPQRGLPKRAVTVLRAWLFDHFLHPYPTDTDKQILAKQTGLSRNQVSNWFINARVRLWKPMVEEVHTLEARQVHKVSSHIQEQETPPVISRDPSHRRNQDPPSKRPRNDFSSENRYSDHHIMERNKEHMNFYGNLSIHGANNGANGNRGVSLTLGLHQDNNAITLSEPFRLNRERFVISGLDAENRQVGREIMGGQFLHDFGG >KVH94784 pep supercontig:CcrdV1:scaffold_255:240467:247061:-1 gene:Ccrd_003152 transcript:KVH94784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MAFSGAKIIQPLPLHTTIRSPNNPLLFDPFTSSPFLGSTRTLRLSQSISASQRRCASHIVAVSDVFKEKKLKSNTNLLLTKEEGLQVYEDMILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSSGFIKLLKKEDSVVSTYRDHVHALSKGVPARAVMSELFGKKTGCCRGQGGSMHMFSAEHNVLGGFAFIGEGIPVATGAAFASKYRREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIIFVVENNLWAIGMSHLRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKARYAARDPITALKKYLIESKLANEAELLAIEKKIEEVVEDAVEFADESPAPSRSQLLENVFADPRGFGIGPDGSYRCEDPKFTEGTAQV >KVH94774 pep supercontig:CcrdV1:scaffold_255:29915:42448:1 gene:Ccrd_003163 transcript:KVH94774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MARKSRKSKQEEVEVDEMVEPEYVDEDDDEFEKDGFIVDDLDEEEDLADGDDGKQKKRRKKRESNKNYLLDEDEYELLEDNFPGFSRPKLGSENYKRLKKARMDADEGPSMFFDEEEYDRRGRARRTAEEKLKRTIFGDDDGSFLEDSADEQLLNEDKLLGEEDEMADFIVDEVETDEHGGPLRKAKVDKKKSRQAPGVSSSSMQGANDIFGDLDDLLIKYKLEPANVSRYDEATKLKEKKLEDEFEPIILSDKYMTKKDDHIRKIDIPERIQISEESTGPRPTDDTSIHRESDWILNQLRMGMVPWLVKGGSRTTEEGNELSILKDDVKRFLELIHVEKLDVPFIAMYRKEECNSLFKDQELHEGDEESQHTSDQKPILRWHKVLWTILELDRKWLLFQKRKSALQLYYNKRFEEEQSIYDETRLHLDQKFFESITKSLKVAETEREIDDVDSKFNLHFPPGEVVVEKGEFKRPTRKSQYGICSEAELREVASKFGYNSEEFGLRISLEQMRMDELEDAKETPEEVASRFTCAKFENPQAVLKGARHMISCEPFVRKHVRRIFMDNATLSTSPTAEGNVDIDSRHQFAGIKWLRDKPLTKFEDAQWLLIQKAEEEKLIEVSIKLPTPIHDQLINDARDFYLSGGVSKSSQLWNEQRKQILKDAFDDFLIPSMEKEARALLISRAKSWLLMEYGRVLWNKVCVAPYQKKGLAPRVLACCWGPGRPATTFVMLDSFGEVLDVLYAGSLSVRGQSVNDQQRKKNDQQRIVKFMADHLPDVVVLGAVNVPCSRLKEDIYEIICKMVEDNSRDVGHNMDGVSVVYGDESLPRFFSLDLASNLHVNLEWNLLISYLTLNGIVKRAVSLGRYLQNPLAMAATLCGSGKEILSWKLSPLQSFITSDEKYGMVEQVMVDVTNQVGLDVNLAVNHEWLFAPLQFISGLGPMKAASLQRSLVRAGCIYSRKDLLNHGLGRRVFINAVGFLRVRGSGNATSSSQFIDLLDDTRIHPESYSLAQELAKDIYRADAQDDVNDDDDDMLETAIEHVRENPNLLKSLEVDAYAKSKKRETKKDTLSLIKQELIHGFQDWRREYVEPSQDEEFCMISGETENSLAEGRTVRVTIRRVLPQRAICNLESGLSGMLNKEDYSDDCKDDDLTERLKEGDILTCKIKSIAKDRYQVFLSCRENDMKSDWTENSQAMDPYYHEVRDTSVSVKEKPCKVKELAKKLFKPRMIVHPRFKNVTIDEALELLSEKELGESILRPSSRGPSYLTLTLKVYNGVYAHKDILEGGKDHTDITSLLHLGKTLKIGEDVFTDLDEVTSRYVDPLVANLKKMLGYRMFKQGTKAEVDDILRKEKLEHPSRIVYSFGISHEHPGAFILTYIRTSNAHHEYIGLYPKGFRFRKTMFEEIDRLVLYFKKHIDDPRESMRSATTGGWGGSNTNESGGRGRAGRGDYGNNDNQDDNGGRGRGRGRGRGRGRGRGRGSYDNRGNDSDNEKQDSGYGGQKWGSKDDNDASAGGWSGGGGSWGGGASGGSGDGSWGGGASGGSGGGSWSGGASGGGGGSWGEKNNGASGSGDANKDADSSGWGGSKKSSQAGGGGGWSGGASGGGDGGASGGGDGGWGQKNTTGSGDANHAIGWGGSKKSTEAEAIGGWSGGGASGGGSWGQKNSDGGDASGGGGGSWGQKNSGGGASGGGGGSWGQKNSGDGGGGSWGQKNSDGGGASGGGGGSWGQKSNDGGGASGGGGASGGGGSWGQKNSAGGGGSWGQKNSDGGGASSGGGSWGQKNSDGGGXXXXXXXXXXGGGASSGGGSWGQKNSDGGGASSGGGSWGQKNSGGGGGSWGQKNSGGGGGSWGQKNSDGGGESGGGSWGQKNNGGGGGSWGQKNSDGGGASGGGGGSWGQKNSDGGGASGGGGGSWGQKNSDGGGASGGGSWGQKNSNGGGASGGGGGSWGQKNSDGGGASSGGGGGSWGQKNXQKNSDGGGASSGGGGGSWGQKNSDGGGASSGGGGSWGQKNSDGGGASSGGGGSWGQKNSGGGGGNWGQKNSDGGGASGGGGGSWGQKNSEGGGVSGGGGGSWGQKSSGGSSSGAGDANKDADSSSGWGGSKKAGASGGW >KVH94776 pep supercontig:CcrdV1:scaffold_255:46250:57053:1 gene:Ccrd_003161 transcript:KVH94776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated domain 1 (KA1) MDESSGKGSLTPLSNYRLGKTLGIGAFGKVKAAQHILTGVKVAIKILARQSFHDYAAEKVRREINIMRLLSHPHIVRLYEVIETRSAIYVIMEYMNSGELFDYITENSRLEEDEARHFFQQIISGVESCHLHMVVHRDLKPENLLIDSKGNVKIADFGLANVMRDGHLLKTSCGSPNYASPEVISGSLYAGPEVDVWSCGVVLYALLCGSLPFDDESLSGLYAKIKVCKVELYFEFSGEADSDMDIIWYQSGIYTYPNYLSIGARDLITRMLLVDPVNRISIPEIYKHAWFQKHLPQYIAGCSINASWSARKVDVQVLEEMGIIGFDVQEVIGSLNNLLHNQATVTYSILLHKHLSNHSYHNDYLLGSLPPECMERRDIYVRPISPVQGKWVLGFKSRASPHETMGDVLTVFKSLNVQWKKIGPYNMKCIWKPAVESYSMPMAIHRISLTEDTDVPMKSSNKGSFSSYDAVKFEIQLYRATTAESYLLDWQRIYGPPFLFIELCAGFRACVVIT >KVH94773 pep supercontig:CcrdV1:scaffold_255:30016:51586:-1 gene:Ccrd_003162 transcript:KVH94773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLILLKSTILGDDHHQPPLAPAFLLPPHPEELSASLLASPAPELEPPLLFWPQLPPPPPLTPPPSLFFWPQLPPPPPLAPPPSLFFCPQLPPPPPLFFWPQLPPPPLLAPPPSLFFWPQLPPPPLLAPPPSLFFWPQLPPPPPLLAPPPSLFFXLFFWPQLPPPPPLLAPPPSLFFWPQLPPPPPLAPPPLLFFWPQLPPPLAPPPSLFFWPQLPPPPPLAPPPSLFFCPQLPPPPPLAPPPSLFFCPQLPPPPPLFFWPQLPPPLSPPPSLFFCPQLPPPPPLFFCPQLPPPPPLFFWPQLPPPLLAPPPSLTILLAPTATTTTCTTTTTCTTTIVTFLSPTATSTATCTTTIAILLSPTATTTITTILLAPTATSTATCTTTTILLSPTATSAATCITTIAILLAPTATTTCTTTRPSTNCFCFKAPLFFSPQLPPPPPLAPPLQLPPPLPPLAPPPQLPSPLPPLAPPPQLPPPPLQPPAEASLFGICINLKTLQQSPHIYPPSAGYKAHSQLPERQHQAKAEVKRAVMHQQLTVDHKQNLPLLPSLLLFPIITICQITMNDDFVCYYPELDRTLL >KVH94772 pep supercontig:CcrdV1:scaffold_255:332647:337571:-1 gene:Ccrd_003147 transcript:KVH94772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEMEKGGVDDQKWVYDSSIDHKGRIPLRASTGAWRASLFIISMEFGERLSYFGLATSLIIYLTRVIHQDITTAAKSVNYWTGVTTLMPLLGGFVADAYLGRFTMVLVSSVIYLLGLLLLTMSNMIPSLKPCSSHGLCQEPRKIHQVVFFVAIYFISIGTGGHKPSLESFGADQFDEDHLEERKKKMSFFNWWNAGLCAGLLLSVTLIVYVQDHVGWGVADIILTTVMASSLVVFCVGRRSYRYQKATGSPLTPMVQVCVAAFSKRSLPYPSDPSSLYEVPKSGNSHERLLCHTKSLRFLDKAAIVEDEDCLEKMQQGPWRLSTVTRVEEMKLILNMIPIWLTSLPFGICVAQASTFFIKQATLLNREITHNFILPPASIYALAACGMIFSVTVYDRVVVPILRRITGTERGLKILQRIGIGMVFSVMTMIISALVENKRLNLLNNDVSNSNGSASMSVFWLAPQFIIIGIADGFTLVGLQEYFYDQVPDSMRSLGIALYLSVIGAANFLSSFLITIVDHATKKACGKSWLAKDLNHSRLDLFYGVLASITAVNLLLYMFVANRYSYKTVQKKSVVAVSNSYDDY >KVH94782 pep supercontig:CcrdV1:scaffold_255:173264:178274:-1 gene:Ccrd_003154 transcript:KVH94782 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MGKRNMSLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNLSREDYLASLRRKGSGFSRGTSKCRGISSTRWDSPLGRIAGSDYHNCMHHGDDATTESEYVGGVCMDRKIDLTPFIKWWGPNKSRQSESHGKSSEETDHGSSEDVESASEWVTQPTEPYQLPRLGGKQHKRSSVSAMSILSRSPAFKRLQEKALKKEEKDVENDENENKNSINKMDYGKAVEKAGHDAASEGFGVALGMEAGELPLQRNTYPLAPFLSAPLLTNYNTIDPLTDPILWSSLVPALRPRSSQPMEVRTNTYVCLVIYHMLPVLYLIWPLLQRQRPVQIILSSNRRTCSLHDPSALFVQILLGKLQHMCYANEIYNNLTASEQENVALRAWSNWPKYTANTLQRHDHKHPLHRRFHQ >KVH94781 pep supercontig:CcrdV1:scaffold_255:166385:170830:1 gene:Ccrd_003155 transcript:KVH94781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPVLFYCYYDKGTDHRRGHFRPHRVAVIIFMLLLLVNRLLLISLFLSPQSHIALCHLLNFSSMVFVRRFGLPQLVMQWMEDSGVQPSVEMYQSILAFAQSSGREYSVIIQDRVGRSMPRMVHIPATVTKNEESSSTTTGDGVAGRLLCLPIT >KVH94786 pep supercontig:CcrdV1:scaffold_255:281181:282681:1 gene:Ccrd_003150 transcript:KVH94786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MVRCINFTASRDWCLARSFASAGLKSTTTTLHDGDTTIHCWIPKTHKPNKPNLLLVHGIGANAKWQWDPIISRVTPLFNVYVPDLLFYGDSYTRRPERTEHFQAECVMRTLESVGLIGKINLVGLSYGGFVGYSMANQFPEAIERVVIVGSGVSLDSEIDLEEGLFSSKNIKDAANILLAQTPEKTRELLRISFYKPPPPNLAPSCLINDFIDEMNVDYFEEKRECIEAVQKDRKFSDLPKIIQQPTLIVWGENDAIFPLDLGHLGEGAELIVLKKTGHAMNMEQPKELCRLFKLFLIQHSLPQS >KVH94771 pep supercontig:CcrdV1:scaffold_255:284028:289769:-1 gene:Ccrd_003149 transcript:KVH94771 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MASATMVILCPQNVTRHPKPFFSLHKTTTTLYPIPYYSSSTRSSSRSISLSAATSRAMEALIFDCDGVILESEDLHRQAYNLAFAHFNVCCPPFNEPLNWDTDFYDVLQNQIGGGKPKMRWYFKENGWPSSTIFVTPPENDIDREKLVDTLQDWKTEKYKDIIKSGSVEPRPGVLRLMDEAKASGKKLAVCSAATKSSVVLCLENLIGIERFQSLDCFLAGRLKDLEELLQNVVAA >KVH94783 pep supercontig:CcrdV1:scaffold_255:187390:232796:-1 gene:Ccrd_003153 transcript:KVH94783 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEERTKGKKMNSSSGRQLKAMLRKNWLLKIRHPYITLAEVIQSIVLLPLVVMLMLIAVRTQVDTKIHPAQSYIKKETFVDVGKSYISPTFNQVLESLLASGEYLAFAPNTSDTRLMIDILSYKFSLMKLVTKVYKDELELETYLKSNLYAACSEVKNCSNPKIKGAIVFHGQGPNLYDYSIRLNHTWAFSGFPDVKNIMDINGPYVNDLELGLNQIPILQYGYSGFLTLQQVMDSFIIFYAQRKAANVVSDDTFDPSESPMSKYIVSNSLKIPWSQFTPSTIRLAPFPTREYTDDEFQSIIKDVMGILRNFFYLFDIVGNGRXLLGFLFPISRLISYSVFEKEQKIKEGLYMMGLKDEIFHLSWFITYALQFAVSSGVITVCTMGTLFKYSDKSLVFMYFFLFGLSAIMLSFLISTFFTRAKSAVAVGTLAFLGAFFPYYTVNDEAVSMVLKILASLLSPTAFALGSVNFADYERAHVGLRWSNIWRASSGVCFLICLIMMVLDSLLYFAVGLYLDKVLHKENGMVYPWNFILPKSFWWNRNANKQFASSLEVNGDNQEKCIQIRNLHKVYSSSKGKCCAVDSLQLTLYENQLLALLGHNGAGKSTTISMLVGLLAPTSGDALVFGRSILTDMDDIRKNLGVCPQYDILFPELTVKEHLEIFANIKGVNEESMESSVTEMVDEVGLADKLNTYVSALSGGMKRKLSLGIALIGDSKVVILDEPTSGMDPYSMRLTWQLIKRIKKGRIILLTTHSMDEADVLGDRIAIMANGSLKCCGSSSLFLKHQYGVGYTLTLVKTSPGASAAADIVYKHIPSATCVSELVYYFGLLIQVGTEISFKLPLASSASFEYMFREIESCMSKSDPHLISLEHEDCTDFGIESYGISVTTLEEVFLRVAGCDINDEECLEGRTTVAVLDSTPSQTCNGYVEDKRSSSKFFGNYIVVVGLMLSAVGRACTLALAAALSFLSFVGLQCCFPSVITRSTFWKHSKALLIKRGISARRDRKTIVFQLLIPAMFLFIGLLLLELKPHPDQPSITFTTSYFNPLLSGEGGGGPIPFDLSLPVAQEVSFLFMSLFLFLRLTFCCLCNVFILCAFGEQISHYIEGGWIQRFKESTYRFPDPSKALEDAIEAAGPTLGPILLSMSEYLMSSFNESYESRCLPFLDGVGHSQTPSSVPESHAENSFLSVSQPLVPYPYSSHQALLRRYGAIVMDAQNDDGSIGYTVLHNSTCQHAAPTFINLMNAAILRLATLNGNMTIQTRNHPLPMTQSQRLQRQDLDAFSAAIVVSIAFSFIPASFAVAIVKVAWQDRLCIQACRMQSAQLARMLAEVNATGLEEREVKAKHQQLISGVSILSYWVSTYIWDFISFLVPSSFAMLLFYIFGLEQFIGSDSLFPTILILLGYGFAVASSTYCLTFFFSEHSMAQNVVLLVHFFTGIVLMVISFIMGFIPATQDMNSFLKNFFRLSPGFCFADGLASLALLRQGMKIGSSSGYFGWNVSGGSICYLAVEPFQAAIANTLFTVSTAAIITLSTIADGVVVDASVIIEHSTVHPEKGVVYFLLTIGLEFFHPHQLTSFSILEFLRSFRRTGPTISDAYSEPLLRSSTDSASIDLDEDVDVKTERNRVLSGSIDKAIIYLRNLRKVFPGGRNHGKKVAVHSLTFSVQEGECFGFLGTNGAGKTTTLSMLSGEVYPSDGTAFIFGQDMRLNPKAARQHIGYCPQFDALLEFLTVQEHLELYARIKGVPEYMLENVVMDKLVEFDLLRHATKQSFTLSGGNKRKLSVAIAMIGDPPIVFMDEPSTGMDPIAKRFMWEVISRLSTRSGKTAVILTTHSMNEAQALCTRIGIMVGGKLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLENLCQMIQERLFDIPSHPRTIFGDLEVCIRGDDSITPEDASVTEISLSREMIILVGRWLGNEERIKTLTDPVGCGGAFNEQLPEQLARDGGLPLPIFSEWWLAKEKFSMIDSFVLSSFHGATSQGSNGLSVKYQLPRGEDVSLADVFGLIERNRNQLGIAEYSISQSTLETIFNHFAANS >KVH94785 pep supercontig:CcrdV1:scaffold_255:253849:275314:1 gene:Ccrd_003151 transcript:KVH94785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAALMGRERRKRTRQEKEQKNLLMDDEVITARTVSIALPGSIIDNTQSFELATRLAGQIARAATIFRIDEVVVFDNQGKSLDDLHVTAENTSDENESGTAFLIRILKYLETPQYLRKTLFPKHNSLRFVGLLPPLDAPHHLRKHEWAPYREGVTLMQQPPGSASTFVDVGLSKNVVIDQVLKPGTRVTVAMGANRQLDDQPRQVVSSSKPREEAGMYWGYKVRYAANISSVVKCCPYKGGYDYLIGTSEHGHITKSSELELPSFRHLLIAFGGLAGLEECIEEDKNLKNRSLERREEGLGSIEGCLDANQAGSQVYGGYTTGYHTFINQKPSSYLFNISKNQLIAYCRVLSNMVEDRPILLSLLSLILIVVVMAYVCFRGLVLKRSLFG >KVH94775 pep supercontig:CcrdV1:scaffold_255:67757:84296:-1 gene:Ccrd_003160 transcript:KVH94775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MVLDISHPNTCIRGCCCSDSIPLHLPPYSYSLSLPIARGAESVVYDATLDGNRVAVKKPILSTSDDINNKLNHQGIAKLVAAHARPPNYMFFFKFYESGNLSQKLHVEEWSPAIDQSLMITRQLANALQYLHNIGIVHRDVKPANVLLDKRLRPHLADFGLSEYKRDLKQVTPENWRSSGKPTGGFHKKNMVGTLIYMAPEVLRKEVHTEKSDVYSFGVLINELLTGVVPYTDLRTEAQAHTVLEMNYTEQQLTAAVVSEGLRPALAGIEGGAPSRLLSLIERCWDADTCNRPSFDDIITELDLIIGNYKRLTMEENAATESSSSDENTNIVQPSQEIVDWFVQGESISKATYDSGLGVKSWAVYSDNSSLYLPVLSWGSFATCGRRETMEDTHFLMPHVWNEEDIHIFGIFDGHRGAAAAEFSVRALPKLLQTLDCASSPPDALKEAFINTDVAFRKELNSQRKSKGFIQKDYHPGCTAIAALIVRDKLFVANAGDCRGIICRAGCPYPLSKDHVASCPEERERVTGVGGQVKWQLDTWRVGPAALQVTRSIGDDDLKPGVTAEPEVTETVLSDEDEYLVMASDGLWDVVSNAEVVSIIKKTVKEAGMCSKRLAMEAAERGSNDNITVIVVFLRPVSTAERIY >KVH94778 pep supercontig:CcrdV1:scaffold_255:112868:117075:-1 gene:Ccrd_003158 transcript:KVH94778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MKAVVMSQFNGPLEYVLVLMIYKAEAPCGDFMTHLCCHLCAVCQEYREIRERSVDINPHANIVEVTAPQVQTMDLAVRK >KVH94777 pep supercontig:CcrdV1:scaffold_255:103492:107976:1 gene:Ccrd_003159 transcript:KVH94777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor, TFIIS/CRSP70, N-terminal, sub-type MAALESGGRMDYWREYFQTSNGDLFETIEKAIMVAASDYPKEFRIRRDGIAQILFSCNLIKCCGCDKLELGFPADDEQEDDDDDDHKELNDNIINKVSDYDYGVAEALTDEIEEESQMFGEVMRIKQIVDNHQDESESVLYNSLRKLQLMALSVEILKATEIGKSINVLRKHVSKDVRHIAKTLIGGWKYMVDQWVMATEKAAAVSEATPESLNPSVLDEEEEGLPSPPLDDLAFFYPQTSLELSEFFDGMDEYGSELNNPGNNGRTEKQSIPKCKQQKPTNVPIMVRKNEPDSDVMKMKKKQATVVKPIKPSVAESAPGRPKKQRKIQVMELHDLPKPKQQQGFPIRNQHNVRLGSNHNRHR >KVI04593 pep supercontig:CcrdV1:scaffold_2550:41034:46045:1 gene:Ccrd_017087 transcript:KVI04593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDFPQIVVSNDLTSIFSDEILLHILSKLSEKSQRNSNYLGSKWWLNLQGRLGIDRDPDIDEHLGFCPMLERLHLERCQLRIKQSVRVLFYICQDVHEVVFKNCWGFNDGMFFHANLCRRLKFVYLEGCSRLTTQGLEAVVLSWKELESLKVISCKNIKGDTFGYSARSNNLMGHHQMLMDWAVM >KVI04594 pep supercontig:CcrdV1:scaffold_2550:48538:58946:1 gene:Ccrd_017088 transcript:KVI04594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDKSVLIASPSGSTEAKSSSSNKVFFPEEEESEKQQTSNDFPLPAGTRNGSSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVALKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGQEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSSPIWARDFNSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGMHVDPSIYLMDEENKSPHNVPVKAEQSNSSKMDEKDIKRMQNNASALRPHDENIPQSVENSKTEANVAEESDKISDRLKSVHLVDHTAASDKTKDEAADRNPILRREKSGVTEPVIIPIVLKMAEFDHKALLEEWISSRKFSDKYPIQEKDKLISNLKTIQDYLCSFKSQGLTVANISATTFPQTLDWLHNHLLQCIEQGMSSASKGSGGQTGGS >KVH98686 pep supercontig:CcrdV1:scaffold_2553:26264:52435:-1 gene:Ccrd_023089 transcript:KVH98686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MWGVAYKVSRKEDEEVALTYLEVREKQYDKKAYVDFFTEPTASPAVTGVMLYIASPDKANENYLGPASIEQIAKQIVSAEGPSGPNRDYLFNLEKTLLHMGCKDEHVFKIAEAARKLISDS >KVH98684 pep supercontig:CcrdV1:scaffold_2553:62399:64444:-1 gene:Ccrd_023090 transcript:KVH98684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MMPPFIHLLLLFSIITFICASSSSPTKNLTLLGSAHFKNNSIILTQQLATCNSHPNSPTGRVLHSYPIRFLRSSSNATATVSFSTRFSATIIPSPPPCLPGEGIAFLIVSDPNSLPHSDGYLGLPDSPLLDPFFAVEFDTTFNQALGDINDNHVGVDVGSIISVASIDLMSKGIDLKSGKTITAWIEYRDSEKIIRVWVHYTETKPENSILAVPVDLSKILKEFMYVGFSASNGRGSAIHSIEKLQFHTFESLSPNMQLETVSSRNCLICYPEDPGLEESELGMPNKHHRDNRLIELALGLGCLIVLLIILASCLMVYCICLTKRRTIKQRCNEQGQMYRFQGTRMPKKLKLTEIKSATKGFDQNRIIGEGASSIVYEANLPSCGNVAVKRFSKVNQSSSFGNQFATELETMVGCLRHKNLVKLQGWCCEGNELVLVYEYMPNGSLDRILHRRMNVNRSLTFDKRLNILLGVSSALVYLHEECERQIIHRDSVRSTEMVGRICGEDAETPWSTPRTHFSRA >KVH98687 pep supercontig:CcrdV1:scaffold_2553:18804:22070:-1 gene:Ccrd_023088 transcript:KVH98687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MPTSESSFLRQLSEKEGWKLKSKRWGGYSYNHSSSSGSEAHLMQMEGVGMYASNGGRYGSAGGGGGGAAMVEKRKRVMVIVDQSIHSKHAMLWALTHVANKGDMLTLLQISPNLKKETEGSSPALANHLVTSLGTLCKACKPEVEVEALVVEGPKLATVVSQVKKLEVSVLVLGQKNSSPFLHCLGGMSSTEKFVEQCIKTVECLTIGVRKQSKGIGGYLISTRWRKDFWLLA >KVH98685 pep supercontig:CcrdV1:scaffold_2553:1:898:1 gene:Ccrd_023087 transcript:KVH98685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal RAACPQRVLACLLEFGVDFELINVDLDSNEHKQPEFLQKQKALVDQWLEVEAHHFNDMVYTIVLQKLVIPKMGGKPDLALVQNCEKKLEKVFDIYEQQLSKNRYLAGDCFTLADLSHLPGIRYLINEAELGHMVKGKKNVNSWWCDISNRVAWKKVMQLME >KVI08132 pep supercontig:CcrdV1:scaffold_2555:54155:55540:1 gene:Ccrd_013500 transcript:KVI08132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Permease for cytosine/purines, uracil, thiamine, allantoin MASTVPNSIPEFEDFEPDPKLINDDLAPTTVSKRTYSGWEMASLWVGLVVGVPTYYLAGSLVDLGMAWWQGILTVVTANIITVIALGLMGHPGTRYGIPFPVLARSAFGIHGAHIPTLLRGLVACGWYGIETWIGGEAIFLLLPNSIKTSTFSNSIPWLGTSPIEFACFMVFWLAQLLVVIKGMDGIRELEKYSAPILIILTSCLLIWAYVNAGGFGQILSMSSRLSSAEFWLLFFPSLTANVSFWATLALNIPDFTRYAKSQKDQIMGQAGLPILMGGFTFVGLAVTASTQVIFGRVISNPIQLLGEIGGLTTMILSIFGITLATITTNIAANVVAPANALVSLSPSVFTFRRGAVLTALVGIAFQPWRLLQSSESFVYTWLVGYSALMGPITGILLADYYLIKGRNLVVKDLYSSNPFGKYFYFNGYNLGAIAALVVGILPVIPGFLQKIGILRPVPELL >KVI08131 pep supercontig:CcrdV1:scaffold_2555:33666:43934:-1 gene:Ccrd_013499 transcript:KVI08131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C (PP2C)-like protein MVVEIVLGEELDSGEELDFGEDVPGDLIDFGEDPRNKLDIYLPKNDGLKPVIAFTTGGACVIGYKAWGSLLGLHLSGAEVIVACIDYRFVLHCHAFQEQELDEAFELLVLASDGLWDVVPNEDVVSLAQTEDKPKEAAEICS >KVH87725 pep supercontig:CcrdV1:scaffold_2556:57242:58852:1 gene:Ccrd_024989 transcript:KVH87725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MELKFFMYELVSIFLSLSLGFFSLTNSNPTSDHFLDCISHRISSNFSNIIITPNDASYSTVLQSTIQNLRFDTPETLKPSAIIKPLSYSHVQSTVICSAKSGLQIRIRSGGHDYEGLSFTSFDHSTPFILLDLNELRSVTVDVDDKTAWVESGATLGELSYWVSQKSNLLGFPNGECTSVGVGGHLSGGGYGVMARKYGLSADNVIDAQIVNVNGQILDRDSMGEDLFWAIKGGGGASFGVILAWKINLVSVPPKVTVFSLSKTLDQAATQVVNKWQYVGHNLSEDFFINLIVTPVSVLDQEENKTMLVTFNGLFLGTTVELMTEVNDRFSELGLHETDCIEMSWIESVVYYSVYLRGQSIEALKERIPWPKSYYKYKSDYVKKPIPEETLEEIWKRCLEENLILAIEPHGGRMSKIDESETPYPHREGNLYIIQYIMRWNEEGLDAAEKKVASVRMVYEKMTPFVSKNPREAYVNFRDLDLGTNGNACGTSYLQAARWGITYFKGNFRRLAMVKGVVDPTNFFCYEQSIPPLFFV >KVI04474 pep supercontig:CcrdV1:scaffold_2557:40332:41542:-1 gene:Ccrd_017209 transcript:KVI04474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETESNCNTFISNTMVHQETTESPSMKRIKQTISIPFLWEEKPGIPKIDWKRVNPVMKMPIKLIASVPFQWEEKPGTPLPGRPFSHPSPEPPHQQQQHNTRNNPFCDSDCDDLDQVLDSLKHQKLHKKNPFCDSSDDELDEIEELENGGKAESESDDNSSYLQAPSSPAWETESNASSYATTGTTTILAGSSFLECMFPLLTPQSSFFETVGGSVIRVPPITNTCIRTVSSNGPGRKPLTLGELILMSRRRSYLKKAVESHEHNHSTELVMRNAFGCCIDVGGLNKLKRQLQLQLKLV >KVI01621 pep supercontig:CcrdV1:scaffold_2558:8631:11357:1 gene:Ccrd_020104 transcript:KVI01621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein FQSAADFNRRHISKSSLWTESLPFTKVSPLLHSPLDSNRHISRSDLPSPFSIIDLPSPFQMADRRNWSIQEEDVLISILQEIVAAGGRSDNGCFRTDTYEQIILKMSEKILGLNITSKHIQNKMKRLKDKYSATYDILNTSGFSWNDAHQCVTVDAQVLEEYIKKHPSKNYIANKPFPQYERLKTIFGKD >KVH98630 pep supercontig:CcrdV1:scaffold_2559:65727:68006:-1 gene:Ccrd_023146 transcript:KVH98630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MEGVPATIGSSGDDGLSAQAQQQPAQAQAQAHVNGGGGGGDDGGSIAGEEGDRNSGGNRWPKQETLALIKIRSDMDAAFRDSNLKGPLWDDVSRKLSELGFHRSAKKCREKFENVYKYHRRTKEGRTSKSDKTYRFFDQLQALEASPRGAFPPPLAAVKPPPIFMGMSNNATTHPLPVTVPSFNLTGSDQNNVSPISVAAPAGMVVPPLPAMNHKRSFPFPQPNMSGSTNSDESYTSSDEEPPRKKRKWQEFFGKLMNEVIEKQEELQMKFLDTLDRRERDRVAREEAWRAQEIAKMNQEHERLVKERSIAAAKDAAVVTWPKAEINALISLRTQLDIKYQENVPKGPLWEDISAAMRKLGYNRNAKRCKEKWENINKYYKKMKEGNKKRPEDSKTCPYFHQLDAIYSEKANNNSETKMTAIVARPEQQWPPAATVAVQEKAPTPQQQPSTDAVYMDKSANNLAFTVKPETQITPVAAWPQQQWLLPTA >KVH98632 pep supercontig:CcrdV1:scaffold_2559:52628:56021:-1 gene:Ccrd_023145 transcript:KVH98632 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSFRSIVRDLRDGIGSLSRRGFDARLNRDKSQNAVNELDDHPILVSHWDGLPPELLRDVIKRLESSESCWPARKHVVACAAVCRSWREMCKEIVSGPESSGKLTFPFSLKQPGPRDTSIQCFIKRDNSNSTYYLYLCLSSALVIENGKFLLSAKRSRRSTCTEYVISMDADNISRSNGGYIGKFIIYDSQPPYSTAVPSGRLGSSRRFSSRVSPKVPSGSFNVAQITYELNVLGTRGPRRMHCVMNSIPISALEPGGTAVGHPDLFHRSFEDSFRSISFSKSSQFGSARFSDPGIGTNDVQNRKNMPLVLKNKQPRWHEQLQCWCLNFKGRVTVASVKNFQLMAAAASHAVVLPPQTTSQAQPHDKLEKTYLLWIIGTRYRRFKLSPYA >KVH98631 pep supercontig:CcrdV1:scaffold_2559:17259:37627:-1 gene:Ccrd_023144 transcript:KVH98631 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40-repeat-containing domain-containing protein MLEARSLKKVEVPSTLIEYPSPANLHSNRLALHVSEDGTSCWVYVASGCQIYRILVSLKDSLVNEGKDSLLIPEHTQVVDSAIVNRCPHRSEIQTVVLNETESNDRLILGSVDSYGHLIVSRLDSDSKDVERLTFSVSPRDFGVGEGGWAGLCFSPSQWSTTAVAHSFGKSIDVYDQDLHLRTFRTSMLAVTEGCQLSIWDLRIKENGGCVHRICGSVGDIWYAVSSSSNGYIAVGGADRTMTVYDPRRWSAISRWVNCSKYEITGISFSSIDPNYAYIQGVDYEVFCGQWKESKKAFSFRGDSNWLGFSKCANRDVLGGWCDSGSIFVADVVEGKDR >KVI06473 pep supercontig:CcrdV1:scaffold_256:28219:30250:-1 gene:Ccrd_015161 transcript:KVI06473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSLPFGIRNQKPMKPTIIRKNKKKSKDLVFNKVVSYLLSDSYMYNPLISPQPTFDFPPPKQISTSAGGPEDMALPTGSSNHKFIEFLETDCYLYSPLLAEKHVCSKNHPPAPSSGHFQIRGGSSSTETQVGQMQHSEREGGDLGDQLRARLKVLKETVAYHESVNQDYASVVVVLATPTRGLLAGYFVSMNVRTRRMCVEIEASVIGINLLIKKLGAS >KVI06477 pep supercontig:CcrdV1:scaffold_256:161584:162287:1 gene:Ccrd_015168 transcript:KVI06477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQITILFISFALFSILKVQPIDFQVGGDKGWSIPPSNDTRLFDQWASKNRFNINDTLRFEYKKDSVLVVSKEEHEKCKSVHPVFFSNNGDTSFELDRSGFFYFISGVSGHCERGLKMIVKVLEPENVAAQSANQTASMKNGGMGLVKMSGDMYSQISVVIMGSVSLFMMLFV >KVI06469 pep supercontig:CcrdV1:scaffold_256:137706:142281:1 gene:Ccrd_015165 transcript:KVI06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MASSLCRRIYCSFLSMNPNTYHHQSLLISLQHFSTETSSDSASGSDSDSTIHPEPESDPLPQSSYSNNQQRDFGDRPLENGLDAGIYRAILVGKVGQNAIEKKLRSGRTVTMLSIGTGGIRNNRRPLQNEEPRDYANRSMVQWHRVSIYPERLGALVAKNAVPGLWAYVDELVKEKKDESFTLTVLATTTLEVLIFIAQIRHAFSIRNLGSILYLEGNLETKIFNDPITGLTRRVREIAIRRDGRVVFLGEGSDAPSQAELKGVGYY >KVI06483 pep supercontig:CcrdV1:scaffold_256:212849:215003:1 gene:Ccrd_015172 transcript:KVI06483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MALRASTTARLRHHQPPPPPPPKTLIPFKPTPRIQPRPDSYLSLSTSTTLSLLTLFTSPIEAHAFTISKDDVVSSLTQVEEKIDLVQKVGYNVFEFTGNAVKTVTDFLKPAAEVAMPVLKQAGGEAIKIASPVISEASKKAQEAFQSSGFDTQPVYDAAKTVADAAEQTGKVAKPIASTTVQTISSADPTTIAVTGGALVLAYFLLPPVFSAISFNFRGYKGDLTPAQVLDLVTSKNYTLIDIRSENDKDKSGVPRLPSSAKNKMIAKLEAEIAALKISYLKRINKGSNIVILDTYTDSAKIVARCLTELGFQNSWIVADGFSGGKGWLQSRLGTDSYNVSFSRVLSPSRVIPAVRSFGTTSSAKLLSD >KVI06481 pep supercontig:CcrdV1:scaffold_256:300309:300731:1 gene:Ccrd_015178 transcript:KVI06481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSKIYGTEECQSSESGWTMYIGSSMDDDIDEGGGGGGVYDGGGGRKKKGHVKDEDEDTDDSMASDASSGPSHLHLQQPWEEDDQEKYKSCSSKKNKKAAAGKKKEESKKKEETNEKQRGAITTVQSGNRAWFLGKRK >KVI06482 pep supercontig:CcrdV1:scaffold_256:310231:313509:1 gene:Ccrd_015179 transcript:KVI06482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKEQSEKLKNYEAQYASYLKAKYFSDKDIYGGKIFEEKAIIDGVTIWASSEPGTKSYADPLAYWNEKVARSEPQTETTTNLSNGKQSSKRSA >KVI06479 pep supercontig:CcrdV1:scaffold_256:317231:324666:-1 gene:Ccrd_015181 transcript:KVI06479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3639 MKIRSMKLREAHKNDGVSSYCTILWDLTAEHIVTASSSDASISIHDALLPSNTPKFLRNHRDGVTTLALSPNSTCLASGSSDRSVKLYKFPGGEFETNITRFTLPIRALSFNRSGTMLAAGGDDDGIKLINTIDGSIARVLKGHKGSITGIAFDPKSEYLASVDSVGTVMIWELQSGATIHTLRNVAPNTSSDFSTLSALGWSPDGEMVAVSGLKNDVVMYDRDTAEKLFTLRGDHTTPVCFLAWSLNGKYIATSGLDRQVLIWDVDKKQDIERQKFDEAICCMAWKPHGNALAVIDVMGKYGVWDLVVPSSMKSPTEGVPTLSSKRSDGLLFFDEEEQEPSTSGSISDHGEDSLVSTEPLTRKRLRKHFKYDEDSDEDMNDGTSLLPKVESRKKPSIAGRDGLKNGKVTPTGPLILTGSIMQEAFQPGATPMQSGKRRFLCYNMLGSITSMEHDGYSHIEIDFHDTGRGPRVPAMTDYFGFTMASLNENGSVFANPCKGEKNMSTLMYRPFKSWANNSEWSMRLEEEEVRAVALGTSWVAAVTSLNFLRIFTEGGLQRHVVSLDGPVVTACGFGDELAVMLEFRVFNVSNGTQPIRGRLPLTPGSCLTWFGFSEEGQLSSFDSMMVLYIVACSASKLKKKEENYWMVGLNSSKLFCILCKSPDKFPQAVPKPVLTLLDHSIPLASSDLGAETLENEFIVSNMHLSQIQRRIEDTEGVGQDTTSLEDEAFNTEAALDRCILRLIASCCNGDKLVRATELVKLLSLEKSVRGAIKLVTALKLPNLAERFNTILEERLLKEATDTISVKETTEPESSKASEPVNAPQSGSSSFVKKRTIEAVNEVVQPGQLSAPSFVKKKAVEPVNEVQSGQLSSPSFVKKKTTEEAAKVTKPENSKGLGVKKNIGEVEFHRSSNPFAKSSANHERTSLLDSLKKLKKNDG >KVI06480 pep supercontig:CcrdV1:scaffold_256:315734:316852:-1 gene:Ccrd_015180 transcript:KVI06480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAEVHCFDHDDEDDFLVSFSSRNNLHAYPSRISPFDRQNQVNFEIDMFRQSQSQSQSQSQSHCVSPVIQESPLVPFHRSFGVQEGNHDIDFDVEFGSRLGFFEGNHHNRNANANDSGFTVAGSGDDLFVSRRTSGLHGARSESGVSSYESGSPDFFLGGVRATDLVSDVDDIIPVNGNTNEDLQWDEVLDVNEDYEVLGVCLRADVGDGDDDDDDHGDDDDVLSGIPPGGEQFQEIQEAFEWQVLSNVHNFEPTPDLADDDYNYTEYEMFFGQFGDTGVSSLGRPPASKSVVANLSTVAVTQEDVENNTTLCAVCKDEVGVGEMATLLPCSHRYHGDCIGAWLGIRNTCPVCRHELPTDDVDYELRKTARVL >KVI06471 pep supercontig:CcrdV1:scaffold_256:145958:148273:1 gene:Ccrd_015167 transcript:KVI06471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MEKIWQNSKILHSASKYLPHPQPPPPPTHIQTISTSPAAVIKLSRRHLAICTKSSLLLLFQDQFHLPKARAQEQTLFKTTLDDNPTETNTITTPVDENPVDASDTITPSEDHPADASSTNTPTQQNPVETTISTQENAVETSGTTAPTEENQVEASGTTQENQVEASGTTQENQNQVEASGTTQENQVEASGTTQENQVEASGTTSPAEENPVETSSVTTKDGPVDDDSASTTTTTIDSCTEKVLTKRAFLDISIEGKPIGRVVIGLYGNNVPAGTTKFSDFVSGAAGVSYRKKEFIKITPSYVQHGGVRSYGVDAELAAKTGRNFAVDNLVAELDKENGRCPGTKNVAGTIGIIVRDPLKPPPKQKLVARNGKLVIDEEEIGKEPNGTEFVISIKDSPELDASTLVIGKVLEGMEVVEKMGQVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKVVITNCGLMA >KVI06476 pep supercontig:CcrdV1:scaffold_256:194434:195180:-1 gene:Ccrd_015169 transcript:KVI06476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MARTSQDKKHFHWSNKVSNEDQEHEEFPSFNSCPSKDDKILPSPLTRKKQIQAVTIARFRSVLTALGKNRANVLHGLGPRVVGTLFGSRRGHVHFAFQKNPTSQPTFLIELQTPISGLVKEMASGLVRIALECDKQDDHNKIKKAGNSTRLLEEPVWRTYCNGKKCGFAYKRECGEKEWKVLKAVEPISMGAGVLPANHKAGEEDEGEMMYMRAKFERVVGSRDSEAFYMMNPDSNGAPELSIYLLRT >KVI06475 pep supercontig:CcrdV1:scaffold_256:37784:39514:1 gene:Ccrd_015162 transcript:KVI06475 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MYPSSNSNRSCTAFSSINQPTTSFCNYNHENHSKPSQDHSQPPSFASFQLYYPHYIPLEDGPMSPEFFQQQQPFSNHNSYHDTGVVAHEHSTCDTTNVQSTMENSNYNNGKFVTVDGRNQHVNSHVILENSYLTGKRSSKKDRHSKINTARGPRDRRMRLSLDVAKKFFRLQDMLGFDKASNTIEWLLMKSKSAIQDLIPQQLNQSSSLMGGSNSASSASECEVLSGFDDQSMEIAGEDQLMAIDKVKAASCSSTKEKKSLDRGLKKSAHIHYPLAKETREKARARARKRTTEKINNKLSDAGCDQYSKLRPSLDQIMDQNVNRLGSCISFRENQVQTDQPQYPSSRFQLIKQGVVGDNASVIPGSWSPSSLFNYQHNPVPSHEHDQLSDFQINGKPWEGNNN >KVI06489 pep supercontig:CcrdV1:scaffold_256:230010:244304:-1 gene:Ccrd_015174 transcript:KVI06489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITPNFAWCNRNNLMTELKLAFSFCGSQASSNLHVPLGTIVSRQFVNSGKLTLPQGVSSISSQRIKQFCGCTIDPNKSSIRERLMALSFQPKTRLLYIIYAYLGLLVVGPNNKLGTKLVGGFKIARGLDVDLLTGNIVSPMLGSLSSAQKTPVQPVYKMENLFINHTNGSKKKEAGAKQLATDYQLARSEEAIANLAQVSAIYRKGDQALRLERQ >KVI06486 pep supercontig:CcrdV1:scaffold_256:209408:209893:-1 gene:Ccrd_015171 transcript:KVI06486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSGLPEDQLQQLREIFARFDLDKDGSLTHLEVAALLRSLGLKPTGEQIHKLFKNMDSDGSGTVEFDELVNAMSSQMMSEDILINQHQLLEIFQSFDRDGSGFITPAELAKSMTKMGQPLTYRELSEMVRNADTDGDGVISFKEFQGIMAKSAADSFGFSVS >KVI06478 pep supercontig:CcrdV1:scaffold_256:326181:334926:1 gene:Ccrd_015182 transcript:KVI06478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MEYTPDSPPTKRLKTLSSGSPSPSLKGKSKIEKEEDDDDDSLPEQQLGTCGVCLLEEGMSLRGLIDSCEHYFCFVCIMEWAKVESRCPVCKRRFSTIRRPPKDGLFFSERIVNVPVRDQVYSYNGNATIGPSDLYSQVKCSICSLATDEELLLLCDMCDSAAHSYCVGLGATVPEGDWFCQDCTLSRDEHAKVEPDATCDANICPSSSNKVHFTSPQVSINDIVRETSMQYHEPDTSSVVASSSQRELLAECSSTQPTVDCTSNARTLRRCLNVHARIKVLRENWEGFRGGSLNFSSSFGDRIKASQPQTSSSNQESTSQKHPDSNVSPGRGPYNIDKAWKMMDIAAKALGRKRGDKSSKDKVSKHPVKKESLPSAEGMVRPNSRYFEGLKKNDRVDATKKNDEKHRFQMLDKNKPMQGTAKSRRNHVEHELPSCTNLIASGQVKNHHYNSQNLSRKHHLGATSNVVGSIDKSSHLIRPPLMTTDKCCAKKEIDAAFEEKRSINKDPQLDRNIREVEDAKKVDVFKEVARHATHSILGACGIERPRAGFRSFQGSVCKHNETHKRPRSTLMPTSCRECFFVFVKDVVTTIAFDRYK >KVI06470 pep supercontig:CcrdV1:scaffold_256:80593:83468:-1 gene:Ccrd_015164 transcript:KVI06470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MDGRYNGTGQPHLKKQPRGTIIGDGRPPFRRLEGPYPEKSTQLMGIMALFVSSGLLFIISGITVVTTVLGLICFAPIIIITSPIWVPFAILLSLVVAGVLSLCVFGLVAAAVVDLLVVQVLMKRLRLLAGGRKWRRRWWSEMETAVTGRQWWSRETVGNGDGGDGVGRNSRRVWLWRSATVLGADSGGRRREGDGG >KVI06490 pep supercontig:CcrdV1:scaffold_256:244500:245919:-1 gene:Ccrd_015175 transcript:KVI06490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MATSQVQPSMLSIFVVFFCVYGNVVYGQFTKFDKLTLPRGVIGPEAASFRGFMAEGPFTTVIDGRIMKWQGPNIGFQFCDGTTDPDKGPICGRPLALSFQPITGLLYITDAYFGLLVVGPNGGLATQLAGGFKFASGLDVDLLTGYVYFIDASGGGPAVSADSTFVLVPKLTGLRVLKYWLVGLRANTTQVLVNVAGNPNKIKRAERLGEFWMAVSVGQVPRRPPITPQGVRINSNGVILQTVSFATEFFNKTISLVQEQSGKLYVGSRFTDFIGVYSN >KVI06484 pep supercontig:CcrdV1:scaffold_256:221951:234671:1 gene:Ccrd_015173 transcript:KVI06484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein Mis12 MEVGASPPPWATPYQSAFAASLSFAFLGFLPYDTKKKRAELRRATRYQKSLCVDIIHPLTQKERNGVLSDAGNPLHASLKYDRGLREKRGIPKAGRAKKNPENFDYIWREISKPAPYQFTQIPLDDYIRPGDCSLPLKSQRLIPFPISRTKEGEKRLEMEGSESEAIFDSLNLNPQLFINAALNIVDELIDSAFDHLHQEASTRLKIDNSDRAEDLTKGLNYIRNTIQTSLDRRLAMWEKYCCLRFFVVPEGFSLTTDNEASGGDIMDVEDAVGNPDLDAQLDSLRTKLTLAEQESSELRREIQALEKQSVISNRQATSINEVMKLSEQLPDDGSFKELQNLATKLRAKVERLKTERADEIQRARFERLCVANGDLLRIIGGNGLSNAKPEDIEGFLAGFNTR >KVI06487 pep supercontig:CcrdV1:scaffold_256:250330:253063:1 gene:Ccrd_015176 transcript:KVI06487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSDSEGDRSAHKEKEKKKLLALAPIAKPLAGKKLSKRTLKLVRKAAEHKCLKRGVKEVVKSIRRGNKGVCVIAGNITPIDVITHVPILCEEADIPYVYDLANAGATKRPTCCVLVLTKPTKGELGEEEQQKLKAEYDQVASEVTELAATLF >KVI06485 pep supercontig:CcrdV1:scaffold_256:198185:204409:-1 gene:Ccrd_015170 transcript:KVI06485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MYNYKHKKPQIVDTCRKGQRIGQPVREGSDCWRGQKATAAGGYGTTAIEGRGRGSGCRRGQKATAAGNYGMTAIEGRGKGSGCRRRRKATAAGDYGTTAVEGRERGSKMEPMDIVGKTKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLISSESNEVCSREDKRTIAPEHVLKALEVLGFGDYIEEVYAAYEQHKLETVVMDTVRGGKCTNGAEMTEEEALAEQQRMFAEARARMNGVATAPKPPEAEPSLNS >KVI06488 pep supercontig:CcrdV1:scaffold_256:258095:273597:1 gene:Ccrd_015177 transcript:KVI06488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agmatine deiminase FLRPNFILRFFPLNETKVGKRKKPYAGDQELHRFCHKLINQMDITEGSPALHGFRMPAEWEPHSQTWLGWPERPDNWRDDGIHGQRIFAKVASAISKFEPVTVCASASQWANARNQLPHSVRVVEMGMNDSWFRDSGPTFVVSERKSGSGKVGHNVAGIDWNFNSWGGVDDGCYKDWSLDLLVARKILAIERIPRFPQSIILEGGSIHVDGEGTCLTTEECLLNKNRNPHLTKEQIEDELKAYLGIKKIIWLPRGLFGDDDTNGHIDNMCCFVKPGVVLLSWTDDESDPQYERAVEAFTVLSNSTDAHGRKFEIIKLHVPGPLYMTDEEAAGFVQVGEAKPRLPGTRLAASYVNFYIVNGGIIAPQFGDPKWDNEAVRVLSQAFPDHEVVKIEGAREIVLGGGNIHCITQQQPAGPQAQ >KVI06472 pep supercontig:CcrdV1:scaffold_256:145885:155168:-1 gene:Ccrd_015166 transcript:KVI06472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC-like protein MAEESEKRFHAVMDKLFLAPPPNSKSTTTTASTSATAGGLSRERKRPHTMTTTLAVVDSKFKGGTIKELQNASGGTVQSPMCRPWDREDLLKRLATFKSMTWFAKPEVVSAINCARRGWVNVDMDIIACESCRARLFFSTPSSWAQQQVEKAASVFSLKLNNGHKLLCPWVDNACDEKLAQFPPTSPADLVDSYKKRCASVLQLLELPVISSTAIDHMSSPLLEHFLKSPPALEYVNGHDNVSARGFGDEREEVLPVLYYQAQKLISLCGWEPRLLPYIVDCKDVQDQSIKGGTLPDPSEASNAQNASLTVYTSRTAENVETNQSPATGSEQYDHTSVVLDCRLCGARVGLWAFRTTPRPAEFVRLIGHAELNEENEAACQMDDANHPNVETSHAAKGEQTASIAKIGTTSSSRNLLNLTIAGGPPPAEQNFRPTISLPVVGQNLRRRFSSAFESVDGDQQPFKALSQVGKEHMRLVQKTNEDAHHSATSNGSVDGVDNGGHMSVGGDVIMKTATSGTNKPYTATTDQLENVDGKSSNSGDNTPEDLANVETLNTVTGDLHSPEDEGSSKTLGIEDSALDHAASLNNVLDTSLAVNDNVQQSPVIDKNCNKQVNNRDCGEQTPGLPLKSSSAYLEDSKQPSAAKAMELDPIKQHRHFCPWIASSGKSAPGWKQTLSALERQKEFIYPSATTQASSSLIEVEDPVGSVKKLLTPPSAKRKKFTYGSS >KVI06474 pep supercontig:CcrdV1:scaffold_256:72990:73361:1 gene:Ccrd_015163 transcript:KVI06474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGTRSPTTVIILVSLFLLLLLLWCTTTQPPTAIAIRVINNHQEDQHYYQPLSTHRVLISNRKVLSHPTKFDFTPFIHGHHHHHHHRHRHHQHRLAAAGSKIDPRYGVEMRLVPTGPNPLHH >KVH87724 pep supercontig:CcrdV1:scaffold_2560:37564:44531:1 gene:Ccrd_024990 transcript:KVH87724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MTKAWLNDPLVEKTLSKPSVIEGLLEVLFAAINEEIQELVISLLTEFVTRNEANGKIILSLDPQLEGFTTLMRNSSLFLKAASLLHLVKPEAKQMMSTEWIPLVLRVLEFGDQTQTLFSVRCSPQIAAYYFLDQLLTGFDEDRNLENGRQVISLGGLSLLLRRMVIGDTVEKTKAASVIYLCIQADGRCRHYLADNLNPELILSLLVDARELDSDEITGDPLKSSVYREESIEAITEALDSQICNENVQKEAAKALLILGGRYGYTGTPEIEKWILKEAGYDESLEGGFHGRYHVAKGSKHLDEIEHWQRKAAMGLWISGGEKLIAALGESIANGIPCLARSSLVTVAWMSKFVHTVGDGDVLWSTTLLTMVRQFDVRALRRRKESDGGSASTHIKGDMDCKETNFHHSRKSNNKIFRPLTKACWFNHHH >KVI00855 pep supercontig:CcrdV1:scaffold_2561:53014:62452:1 gene:Ccrd_020889 transcript:KVI00855 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein MKLTEVPLRNTKFIFPFPAANSRLLFFSSSSSSFLKPSLVKPFTSIRSTTDNSTTTTTTTTTTANNPSSSSPWLNSWSSPPPNPSIQRESGKRSEARHSSEGAGDGVPTKTTAIDRIVLRLRNLGLGSDDDEEEEENEFLNSEMVLTGDEKLRDLLRRDWVRPDKMLIDDEDEGTVLPWEREQEGNNEEGNEIGGVKKRTMKAPTLAELTLEDSELRRLRTVGTMIRERITVPKAGITAPVLEKIHDQWRKSELVRLKFHEVLARDMKTAHEIVQRRTGGLVIWQSGSVMMVFRGVNYAGPCSRPQSSERDGDALFVPDVSSANNQITRNGDSAATSLATRTPALAGRAESMTEEEAEFSSVLDGLGPRFYEWWGTGILPVDADLLPQTIPGFKTPFRLLPTGMRPRLTNAEMTNLRKLAKSLPCHFALGRNRNHQGLAAAIVQLWEKSMVAKIAVKRGIQNTNNELMAEELKRLTGGVLLLRNKYYIVIYRGKDFVPTNVATALAERQEMTKEIQDAEEKVRNGAVEAAPSVEHGETLAGSLAEFYQAQAQWGREKTSEEQEKMIEEASKTKITRVVKKLEHKLFIAQSKRLKADKELAKIRESWLPSGAPDDQETITDEERVMFRRIGLRMKPYLPLGIRGVFDGVIENMHLHWKHREVVKLISKQKDTEFVEETARFLEFESGGILIDIVRVPKGYAIIYYRGKNYQRPISIRPRNLPSKARALKRWKALQRYEALTEHVGELENTIKQMKAENGGCDENEVLASQGEDEISWTNCDDEEEDEDLDWENEDDDSS >KVI11029 pep supercontig:CcrdV1:scaffold_2562:5441:7363:-1 gene:Ccrd_010564 transcript:KVI11029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKWRNLASQNSILMALLDPLRFQSIPSSSSTSYAPIFQFLTGLNLHKLGQQTHAHLILRGLNPNSFLGAKMVAMYASSGDIDSAIVLFDCIRRNASTLLYNSIIRACALYGLSKTSVGIYLEMDSAGVRADYFTFPFVLKSCADLCDVGVGRCVHGKGLRSGLEFDFYVGASLIDFYVKCGELGDARKLFDEMPQRDIASWNSLIAGHMKNGMVRAAEDLFSRMLNKNIVSWTTMISGYTQNGLADRALELFDEMTNDFSNIKPNWVTIMSILPACAQLSALDRGRKIHDYATSIGVDSNTSVQTALAAMYAKCGSLSDARICFENIPPNRKNLVSWNTMISAYASHGYGIQAISTFSEMIRTRVQPDAITFTGLLSGCSHSGLVDIGLNYFNSMRTEYNIEPTHEHYACTVDLLGRAGRLNEAYELTAKMPMPPGASIWGALLSASKNHRNLSIAEISAKNLFVLEPENSGNYVVLSNMYAEAGMWIEVKNLRDLLKTRGVKKNPGYSWIELDGKLHMFLGGDTSHSMSKEIYQFLAALPEKMKALGYVAETNFALHDVSEEEREESLASHSEKLAVGFGILCTSSGTVVRVTKNLRICGDCHTVMKFVSRIYGRQIVVRDVNRFHHFSDGSCSCRDYW >KVI11028 pep supercontig:CcrdV1:scaffold_2562:27526:31234:1 gene:Ccrd_010566 transcript:KVI11028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVGMLRRPTKPSDHGGGDEVEDNINTISFTTDCYACTQVGVPVFHSTSCDVDHAQQPQWEASAGSSLIPIRNRPESKSIKNRYSAGKLRPTSFGQFRRVYDPRSKSIQRWNRFVLLARGMALAIDPLFFYVLSIGRGGSPCLYVDGGVAVVVAGLRTLIDCFHVVHMWLQFRVAYVSRESLVIGCGKLVWDPHSIASRYVTSRRGFWYDAFVVFWLLVPRLIEEERIKTIMTTLLLIFVFQFLPKVYHSVSLMTRMAKVTGYIFGTIWWGFALNLIAYFIASHVAGGCWYALAIQRVILCLRQQCANQNACILTLSCTEEVCYEFLEKKGSSGSRCAGNSTMGVVKKSFCLDADGPNDYGIYQWALPVISSNSLTIKILYPIFWGLMSLSTFGNDLDPTSHWLEVIFSICIVLSGLMLFTLLIGVSARGYGEEEEDATSVPRYGVVDEEETIAITSPAKSPPLRPPKLGGHGRSRRDGVDQRLPRRPQTRYQTIIREGDLVQRMVFIVQGSVKSSQNLSKGVVATTFGLDANHLRYITDHFRYKFANERLKRMVRYYSSNWRTWAAVNIQLGWRRYVARRRPAVDFVTSENGGGDRMLRRYAAIFMSIRPHDHLE >KVI11030 pep supercontig:CcrdV1:scaffold_2562:12888:14272:1 gene:Ccrd_010565 transcript:KVI11030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MIAAGNANLYLKGKGCGTCYQVLCTREPFCSRNPITVTITDECPGACNKVPFAFDLSGTAFGAMSSPGQADHLRNLGQIDPMTNPYWFAMAIEFCEGDGGLNSVEVAPFGSPQFRWMQNTWGAVWTAHIDPSFRGPFSFRLISRRNEAVIAYHAVPYGFVPGQTYYSQINFSM >KVH87722 pep supercontig:CcrdV1:scaffold_2564:49653:62316:1 gene:Ccrd_024991 transcript:KVH87722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSQQIQDLDYLYASNANVSNFVSVKLFGKHNYHRWETQMLCLMEIHYMRGLVDDAFVHGPRASSEKIRKQYDNLLKGWIFGSVSEGVLDTVVDRKSAKEVWNKLKSLYELRSAEDVEPTMSSQQDAISSKAKITSEKTRRWRELFTYKCKSEWSWREIKKQLESDKDLLKDDIWDDGNTVLHIAVGMGQNDIVENLLLFIKKEAEKEILEQKNGDGSTALHVAIIVGNTYAMMLLVDQHKDLLTLVDKKEEDPLIKAFNNMQFDAFKYLFKVAVDNHEATMLAISKDSKKGASLLVNAITAKQYSEFTRPSFLVVIIKFVVAVMGEERVGIGEPSPALFKRSLVWMVFLPLRLLYLLLWKAVATLVPPIKHIEKKIKVLEEAKMVLELVCABIDTLMFHGTHHPYYDKPILEAAIKNANKVFINIFRHSREAIKSRHENGYDIFQLAVIHRSDKIYNRLYLIGEDKNRYRTIKDSSENNMLHLAGRLAPSQVLKRRTGAALQLQRELQWFEELKNFMHPSAITEENSFGETPHQVGQQQRPWWFSANGAGGTWGYNREKQGGKGWLHGVKCGDEKYHEGETWKRKKAVMEGECCVLCGPQ >KVH87723 pep supercontig:CcrdV1:scaffold_2564:70551:75996:1 gene:Ccrd_024992 transcript:KVH87723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein EREENAQREKENHDRLKAIEAILGRQYPPSCFHDPTCRALLQWSLIDILVDYGPEEIETESKDAISEDIEADPFSLKTERMHAKLWSTLGWMLYKDTSEWIWREIKMILETDKNALKEEIWGNGNTMLHMVVEKGQNHILEKLLLFIKKKEEEKEILEQKNADGSTALHVAVSVGNKHAMKLLLLMIYSTKIFGWFNHHVCGGGRGRGAVPPIKHIEKKIKVSKDAAMVLGLVCAYIDKLKFHGTHHPYYDKAILEAAIKNANKVFINIFRRSRELIKSRHENGYDIFQLAVIYRSDKIYNHLYLIGEDKKRYKTIKDSSENNMLHLAGRLAPSQVLKRRTGAALQLQRELQWFEELKNFMHPSAITEENCFGETPHQVFTREHENLVKEGEKWMKTTAESCSISAALITTIVFAAAITVPGGSDQQTGIPIRVPSSTYVKDISLNKIF >KVH87721 pep supercontig:CcrdV1:scaffold_2566:59656:60091:-1 gene:Ccrd_024993 transcript:KVH87721 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MKGGKSSGGTRKADTKLAVKKTQAKGKAVKDPNKPKRPASAFFLFMEEFRKQFKEENPGNKSVAA >KVI06810 pep supercontig:CcrdV1:scaffold_2567:66935:71808:-1 gene:Ccrd_014837 transcript:KVI06810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKKAEEYLCPPLRKNSREGEPESGEAERGEGEPERESRRGGAQRGRAGEAERGEGEPERESRRGRAGVRRGRAVACRRGLSSSACHRRRRPVAVADYVVGREQQRHGGETLRGQMRERAALQQRRGGESVNQEIRLISRLGFRRKRLIRIDKKRESCFNFQYPVKVPPTLVMKVSLLLTYVQENKGLELLKTAIAKAGYTRKERVNIDSQFSFYPIQCVEKTIKEKTCNALLLRMAMLYYLSGEPNWIKTGARCRSKRLAKHSQKQASRRFWEDVAILDDNTRVEEMNNNHFSSP >KVI06808 pep supercontig:CcrdV1:scaffold_2567:22425:23245:1 gene:Ccrd_014835 transcript:KVI06808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion tolerance protein, CutA MFFSLHDCIFDYIGPSPKGSLQKIQTDSEELLITKTRKSLLNALTEHVKENHEYK >KVI06809 pep supercontig:CcrdV1:scaffold_2567:61214:65414:-1 gene:Ccrd_014836 transcript:KVI06809 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MAAAATVPENLTREQYVYMAKLAEQAERYEEMVKFMEKLVVGTTPAAELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEDHVMLVKDYRSKVEDELSDVCSGILKILESNLVPSASTGESKVFYLKMKGDYHRYLAEFKGGDERKEAAEATMNSYKAAQDIAVGDLAPTHPIRLGLALNFSVFYYEILNSSDKACSMAKQAFEEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDAQVNAFSIPNSHHQTSWMRHRGRVYAVLRPTSFKTIL >KVH92003 pep supercontig:CcrdV1:scaffold_257:49846:51829:-1 gene:Ccrd_005964 transcript:KVH92003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MNIWKRSRGLDHTYASHSNIEWDEISIVVKKIADNDGIINGIGLLNFNEREIFEWNTRIFPYSKNHIVLHLDSADENVTWDSLYPEWIDEEQEDKVPKCPILPQLDVPKEKLEVIAVKLPCRNQGNWSRDVARLHLQLASAGLAASAKGDRKVHLLFISSCTPIPNLFPCKELVIRRGNAWLYQPNLMVLREKLQLPIASCELSFPFKPQDGDYVGDARREAYVTILHSADVYVCGAITAAQSIRMVGSTRDLVVLVDETVSDYHRSGLESAGWKIRTIQRIRNPKAENGTYNEWNYSKFRLWQLTDYKKIIFIDADMLIFRNIDFLFHMPEISATGNNGTLFNSGVMVIEPSNCTFQLLIDNIDKITSYNGGDQGYLNEIFTWWHRVPKHMNFLKDFWIGDDEETKTKKTRLFGANPPIIYVLHYLGLKPWLCFRDYDCNWNVDILREFASDVAHNNWWKVHDAMPSQLQQFCLLSSERKAQLGWDRYQARKANFTDGLWKVKIQDPRKNICIENLCPRKINRSHDWSSRPTPSATARSF >KVH92007 pep supercontig:CcrdV1:scaffold_257:43498:47667:-1 gene:Ccrd_005963 transcript:KVH92007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGVLILIATSFSLYKVVLKMKDKRQKARFFKRNGGLLLKQQESADESLVDKTTLFTAKELEKATDYFNENRVLGRGGQGTVYKGMLTDGRIVAVKRSKIVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFISNGTLFEHIHDESDEFPLTLNTRLRIATEIAGALAYLHSATSIPIYHRDIKSTNILVDDKYRAKVSDFGTSRFVSIDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGERPISLTRFGEHRSLATHFMSAMEEGRVMSVMDGRIVNEGCRGEVMAMANLAMQCLNLNGKNRPTMKEVASELESIRAAHVPSAIQTDFRKSKYEAGEEEELIMLSYD >KVH92008 pep supercontig:CcrdV1:scaffold_257:150758:156214:-1 gene:Ccrd_005970 transcript:KVH92008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 (eIF3), subunit 6, N-terminal MKQKANNEIKKKVELQNPSARGSNPPHTQIPPSKKPSTEHHHKSIIEGKPKETVQNPFMAMAKYDLTPRIAPNLDRHLVFPLLEFTQERELYPNDQILKAKIELLNNTNMVDYAMDIHKSLYHTEDVPQDMVDRRVEVVARLKSLEEAAAPLVTFLQNPKAVQELRADKQYNLQMLNDHYQIGPEQIEALYQYAKFQFECGNYSGAADYLYQYRGLCTNAERSLSALWGKLAAEILMQNWEIALEELNRLKDIIDSKVCFMHLFFVDLFASSLEMLMRLLLQNFSSSLNQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLATAFIVNKKRRPLFKEFIKVIQQEQHSFADPITEFLACVYDEFLENARLFIFETYCRIHERINMGVLAEKLNLNYEDAERWILNLIRTSKLDAKIDTQTGTVVMEPNHPNVYEQLIDHTKGLSGRTYKLVTQLLENSQPQAAR >KVH92004 pep supercontig:CcrdV1:scaffold_257:58509:69310:-1 gene:Ccrd_005965 transcript:KVH92004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEPPPFQESSRCDICNCSFNTFRRRILYNFTLMLTYLHVAIPFSFCSIIADVVAELCVPNIHQIKWLYHNLVFTHLLEFAQIVIMMHLETLECSMLPCVYFLADCLLLSIRVDDFLAIGGKNMRKMGTGQECLPVETLGFLNSGKSDGAASVNGVNSVTDSVSRVDINTPSNSDARQSAGVSTPDCKCGMPLCICEVPSNDNVAPVQPKPMPTSTVSTMSKTKKADTAPRSRGSSSYSKSSTGQSANAQKPLADYEVNGEVWYLKVHMKDIKS >KVH92001 pep supercontig:CcrdV1:scaffold_257:116899:128555:-1 gene:Ccrd_005968 transcript:KVH92001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFRHSGSTPSEESALDMETSPLESFATTSAGQLSETNAAYFSWPTSCRLNDAAEDRANHFDNLLKGVLPERIGQLPTGERAVTLLELMTIRAFHSKILXXXSLXTAIGFXIRRGLLTDIPAILVFVARKVHRQWLSHIQCLPCALEVEQGPGGVWCDVDVVEFSYYGAPAATLKEEFYTELVDGLRGNFPCIGSGSQVASQSKCGTIGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPMPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFDILNVTTSVTGIGEIGDVKVIDLQSPVNSVIGQQVTKVGRSSGLTTGTIMAYALEYNNEKGICFLTDFLVVGENQQTFDLEGDSGSLILLTGQNGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDVLELDLITTNGSLQGLYFAQNLCRLFALREQRNALVAAGVETAIGESSSRGCIPSERTGENHRSLDLNVQNAPPLEAKFVHEEVHVEGGTDAAHHVEEHQFISSFPSHQIGNLHSRSSLRDGSEGISIGLQLGEPEPKRRKHSGGSLPDENAK >KVH92002 pep supercontig:CcrdV1:scaffold_257:139966:145448:-1 gene:Ccrd_005969 transcript:KVH92002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MITFVPKSQSKSAYNHLILNLPQLRFQRTLQMARSALDEMSDSGAFIRSPSTFRSFISRDPKSTFPAEPGRYHLYVSYACPWASRCLAYLKIKGLDKAIIKPIWERTKESDEHMGWVFPDTETEQQGAAPDPLNGAKSIRALYELASGNYSGKYTVPVLWDKKLKTIVNNESEEIIRMINTEFNEIAENPTLDLYPSHLQSQINELNGWIYSGINNGVYKCGFAKKQGPYEEAAKQLYEALDKCEEILGKQRYLCGNVVTEADIRLFVTLIRFDEVYAVHFKCNKKLVREYPNLFNYTKDLFQVPGMSSTVNMEHIKKHYYGSHPSINPFGIIPLGPDIDHLSPHDRDRFSS >KVH92006 pep supercontig:CcrdV1:scaffold_257:113851:114420:-1 gene:Ccrd_005967 transcript:KVH92006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MYDLPRKFTYGVIESYEQGRMNNEEEASLESGNQHVAEWHSFSDLNNPERSDAYVTRVLDPEQADLFYVQFFSSLSLVANVVRDGPDDPNALHKIVDRVKTAVLLVSDFGRLGRNQGSTVKDVILPYSHRINPYKGDIGVEKRKSLMFFMGN >KVH92005 pep supercontig:CcrdV1:scaffold_257:76482:79905:-1 gene:Ccrd_005966 transcript:KVH92005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MLLVLIIMIFRGEIRDVLFKLLENEEDVVIKHGAQSRESRRMATQGIHSSKFCLHPAGDTPLACRLFDAILSLCIPVVISDYIELPFEYVIDYMKIAIFVDTDSAIMPGYLVRLLKGVKMETILEFQQELTKVKHYFEYDDPKGIVNDIWRQVSFKLPLIKLMINRDKRLVVNRELDCSCLCTNQTGIQASL >KVH93295 pep supercontig:CcrdV1:scaffold_2571:75650:77002:1 gene:Ccrd_004655 transcript:KVH93295 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAACHVVFFPFTAYGHLIPMADMAVLFASRGLQTTIITTPFNVHRFSKSIQKTTTFPHQIALHIIEGYENSDQIRSDESISNESISNFRESISMLQEPVEQFIQEYRPNCLIADMFYPWTTKMAAKFDVPRIVFHGSGFFPLCASESIRLYEPHKRVSSDSEPFIIPHLPHEIKLTRKQLPDLEAEIFKGFVEVIIESMKADETSYGVIFNSFNELEPEYVRHYREVMKRKAWHIGPVSLCNKNTDDKLERGKKAAIKEDECLRWLDLKPPNSVVYLSFGTLAEATTSQLHEIAMGLEACNENFIWVIKNEREGWMPEGFEARMKVNGKGLIITGWAPQTLILDHESVGVFVTHCGWNSVLEGISSGVAMVTWPVMAEQFYNAKLVTDILQIGVSIGDTEWSATASCDGVKREAVEKVVAKVMSAEEGEEMRKRARVLKEKAKMAVEDGGS >KVH93296 pep supercontig:CcrdV1:scaffold_2571:65637:68726:-1 gene:Ccrd_004654 transcript:KVH93296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTLPNCSHSPWECKITKNPLQIIRSCGSIHPPQLYKRGCQYDILGFLDSFLKFGIEVEWEEKDSYFSNCTAFKSINAICGFNSFDPNKPFLCFQSSIQPQKSSPEVLKPKYE >KVH93299 pep supercontig:CcrdV1:scaffold_2571:54491:59405:-1 gene:Ccrd_004653 transcript:KVH93299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAAQVLQPQSVNGGGASGGGGAQQFVPTSLYVGDLEMNVTDSQLYELFNQLGQVVSVRVCRDLSTRRSLGYGYVNYVNPQDAARAIEVLNFTPLNGKSIRIMYSHRDPSVRKSGSGNIFIKNLDRAIDQKALHDTFSAFGNILSCKIATDLTGQSKGYGFVQYDSEEAAQQAIEKLNGMLLNDKQVYVGPFLRKQEREMAVDKTRFTNVFVKNLSESTTDDDLNKAFSEYGTITSAVVMRDADGNSKCFGFVNFENAEDAARAVDGLNGQKFDDKEWYVGKAQKKNEREQELKQRFEQTMKEAVDKSQGLNLYIKNLDDTVSDENLKDFFTPFGTITSCKVMRDPNGTSKGSGFVAFSTSEEASRALLEMNGKMIASKPLYVALAQRKEDRRARLQAQFSQMRPIAMAPAGAPRMPMYPPGGPGLGPQMFYGQAQPTFIPPQPGFGYQQQLVPGMRPGGAPMPNFFMPMVQQGQQGQRPGGRRTAVPGQQNQQQPVPLMQQQMLPRGRVYRYPPGRNVPDVSMGGMGGGGMGSVPYDIGNGMQLRDAGISQPIPIGALASALANASPTEQRTMLGENLYPLVEQLEAEAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRNVSQQQQGGGGSPADQLAALSLNDTIVS >KVH93298 pep supercontig:CcrdV1:scaffold_2571:11780:36136:1 gene:Ccrd_004650 transcript:KVH93298 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase, WSD1, C-terminal MSHELDQPLTPAGRLFLQPETNIVVISSLGTKEPLRIEAIKSVIADSMLAKHPRLSSLLVVDKNGHEYWRKTEIDMDRHVIIRHDAIEGAKDDEDAANIYMADLAVSVPLETDKPLWELHLLTSHKCAVIRVHHALGDGVSFLSFLLAMCRQAADPTKMSVVAPPRGDRGKETIGGMVGRWLKVVWFTMVFVVDFIARVVWVCDETTVVSGGAGVELWPRKLVTARFLIDDMKMAITMHMISYAGTGYMQILVAKDIVPDPDVLAKCLEDALLEMKEAAVAAIKSRIASKLMRFKFCYSNARHGRRTIIPYLNFSIPCAALEPLHFSKRHLKSYLWAIGFPGXLQSPKSSELLLLVDCGSNSEYANLEKDEPLTPAGRLFVQPATDQIINCVLGLDRPVGIEVARSVISDSLLIKHPRFTSLLVKDNHGRERWKKVELEIDRHIICLPDALGDHDDETIVNDYIADLTVSCPLSTDKPLWEIHILPPHKCVVIRLHHALGDGVSLLSLMLTMCRKVGDGDKMPTIKPLSTSRSNHRESGVERFWKLLKMMWFTLIYMLEFFMRSLWVRDRETAVRGGAGVELWPRKLATAKFSLDDMKTVKSSGINTTINDVLFAVISSGLSRYLEIHSRKPPQQGTQMTGAAIVNLRPSQGIQEITELMKKKSGSRWGNKFGMMLLPIYYHTNGSDPLEYLKRAKKMIDRKKLSLEPFLSYKIGYFVMKCFGAKFASLLNYRIICNTSFTISNVVGPQEEVMIAGIPVTYIRTTSSSLAHAITMHMMSYAGKAEMQILVAKDLIPQPEKLAKCFEDALLEMKQAALKIGEKPPKFPQNSG >KVH93300 pep supercontig:CcrdV1:scaffold_2571:44930:53421:1 gene:Ccrd_004652 transcript:KVH93300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MDNEQAFLAADDGVGDAVVNAPASSSSVSFASLLKSETVSDNANSGKNLSTRVYDDDDDEEEDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENAPAKLPFQEFLVGMSMKACHVLQFFLRLSFVLSVWLVIIPFITFWIWRFSFVRSFGEAQKLFLGHISTTNILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQEGDRDDEGDRNGARVARRQPAQANRNLVGEVNGEDAAGAQGIAGAGQIIRRNAENVAARWEMPAARLEAHVEQMFDGLDDGEGAEDVPFDELVGMQGPLFHLVENAFTVLASNMIFLGVMILVPFHLGRFILYHLSWLLSSATSPMLSTVVPLTEQALSLANITLKNALTAVANLTSDHNPDNSVMGHVADIIKVNATGLIESSNNATTPLSADILEGETAGASWVSDVTNLAVGYMFVFSLIVCYFGTVAVIRYTKGEPLIMGRFYGIASIAETITSLFKQFLTAMKHLMTMIKVAFLLVVELGVFPLMCGWWLDICTIRMFGKSIVQRVEFFSVSPLASSLIHWAVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPANMLLFQICIPFAIEHFKLRATIKSLLHYWFTAVGWALGLTDFLLPKPEDNVGQENGNLDPVRQDRQHAQIGDQDRAIVGYMAPRDMNRVRHVEANANIAEADGGEQYDSERYGFVLSIVLLLLVAWMTLLIFNSMIIVVPISLGRALFNAVPFLPITHGVKCNDLYAFIIGSYIISTALAGARYSIGQIKTKRATVLLGQIWKWCNIVVKSSVLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWAFGLIFLKIWTQLMAPLVDDSWRLKFERVRENGFSRLQGVWVLREIVIPIIMKLLTALCFPYVLARGVFPVFGYPLVVNSAVYRFAWVGCLGFSLLCFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGETPSGVRENSNEEGGGGGGGGGGLRHRHHVALEG >KVH93297 pep supercontig:CcrdV1:scaffold_2571:36004:39513:-1 gene:Ccrd_004651 transcript:KVH93297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF869, plant MDQKTWIRMKKSLEKSIPMNDEAEISVKGNEEEKFPYEKKAALEETVKNLHEQVACLLCECNVKDKLMAEHVKTAQKANTGREKAEAEVVKWKRELEGMLQQKVAANERLVRLNAALKNCRQQLSSSKVEHDRRVNIEREHKRLESKYTEARKKLANLTVENARLTKALVKKEEIIEDVNNQMSEATAEFNALISRLDFTERGNDVLKYEYRVLERELKMRNRRADVAKRQHQESAKNVAKLEAERQKLRLLVRRRIPGHAKTKPSNHPKAVDKRKSVMIKRLCEVEEENKILKESESKREKEIRLLKAELTRKRCGEQNIGIAMQHEMIRNSGVNLNEKEKEELVSVDAHSSDKDNLDMAGKKLVPQSLDDAFNSSEKDYDWLQHVLLETLEHTHDSKRSFDEVLEDIRIAFQHRYHASLIEQRRGPINKLDEVNDVHKDLEAKLLESENRIVDLKTELKRLKESKRMTEDHFENLKLINVDLDHQLFAAKLQIKEALRKVSFLEMELEDRSHHFEGLEAACLELQLQLASVSHKDVVSEDFEQEGKSLQTGLQITAEMTNNKKSSQHTSLRDRMAADDGIDTEDLLNSSMIKEIITTKETKEPTIPRHNTCNTSYGVKNVIPRALSVVPSKQRRKGIELLRKLLYRRKRGGNKKKLLTFATNRADLIRNKDGI >KVH99372 pep supercontig:CcrdV1:scaffold_2573:13226:69636:1 gene:Ccrd_022397 transcript:KVH99372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKIQPSHRRKICRSLTSHLALEAWNFCREQGNKLLASRFRGDCLYICDWPGCVHTYDLLSLTSHLASEAWNFSREQGNKLLASRFRGDCLYICDWPSCVG >KVI08218 pep supercontig:CcrdV1:scaffold_2576:36095:42012:1 gene:Ccrd_013412 transcript:KVI08218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSGGMFNGSLPQCLNHILSLTLLTRILMPSLIVNLTSMEYVDFSNNNFEDSFSFSSFSNHTKLEVLRFVSENDKFELKAKEPLRWIRMFQLKVIVPTSCNMNRLVPEFYFTNTNLSHNSLVGPYPNWLIENNTILANLNLIKVWVSLTIRLEDQHPVGAETSGTSVTIGTPVTTRAGS >KVI08217 pep supercontig:CcrdV1:scaffold_2576:50926:69705:1 gene:Ccrd_013413 transcript:KVI08217 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40-repeat-containing domain-containing protein MDASGQCMIVARRLNGMGGTNLLTKISLVAPSDREDIQLPANSKAVRAVCVRPCSRLALLACLGKKLSVVSTESNNTILTYDLPAPAWSCSWDIDSSHYVYTGLQNGMVLAFDMRQTRTPLESRSGLSCNPVHTVISVSPDSLLSSGTRTLLTASQIGMCEWNIGTSEERPYLIPESEKQGVCISLAHSGSDDIVASFRPKIEMSADMAVSPTPSISSMGVEGCHIFYKRSGSRCYQKTGSLQAQVDSIRLPKSSIINKQNPMFVSANEVTSDLILHDVSNLTVVQRLKTSKSQHIWDVKCTGIRNSCVLGCLSGDIVNRD >KVH87719 pep supercontig:CcrdV1:scaffold_2577:17549:20386:-1 gene:Ccrd_024994 transcript:KVH87719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MENPEIFQASSANATHHHHHHHHLHHQFTPEKSKTDHFLIEDLLDFPTDEMGPAVDPTPYVGENVVPGGGSTDSSTLIDSCNSSLCGGVDHNFPADFGRRSFSDTQFSNDLCVPYDDLAELEWLSNVVEESFSCEDLQKLQLISGIKARPNYASNNQEFQAEAANRANNAIFNSDMSVPGKARTKRSRAAPCNWTSRLLVVSPTATGPTVTMSSESESDIASSSIGKKTVKAPPKKKEVYDNPSHNGDGRKCLHCATDKTPQWRSGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMQKAQQQQQQQYLHHQHMMFDVPNNDDYLIHQHIGPDYRQLI >KVH87720 pep supercontig:CcrdV1:scaffold_2577:28513:35189:-1 gene:Ccrd_024995 transcript:KVH87720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II domain-containing protein MMGSFRNQSRPSKNKQDRGWLGRSFQPQNFIPGLVIGFIFGMLLDLLKPIKRNATTRRKSNRFLSNSNNPDEDLKMVLVVRQDLKMGQGKIASQCAHAATGLYSKLMQSHQNLLHRWEACRQAKIVVSCKNEQEMNKLQEAAESIGLPTYVVADAGRTQVISGQICFLSLPNSHHLFWVLAGSKTALAIGPGYNSVVDSVTGKQRLL >KVH87718 pep supercontig:CcrdV1:scaffold_2578:39567:40142:-1 gene:Ccrd_024996 transcript:KVH87718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, BED-type predicted MCQILLGFANVTIVLAKCLMMASGSRDSNLNGPAKPTATAEASSCIETSLKWSSDDAGWNYGTLCDPTNKDATKCNLCGFMCRAEITRLKYHMTGIKWKGVAKCKKASKEDKDVYVMLLEKPKEKKV >KVI12363 pep supercontig:CcrdV1:scaffold_258:102719:113957:-1 gene:Ccrd_009236 transcript:KVI12363 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MGDGEAVVSQTSAVDQYSSAPYSASDYHDRSGNAALDSSTGAETTDSGDITAFVGTGNMDVDHATSHDNDPDTAGKSEVTDVGQQVPLTTAQDVRENLPSVMDTSMDSSHVATYDSSVHGIDVSDAKSLPAVGAENGVISSYSVHTSASAQQLVDGSALSPEEDRLWNMVRANTLDFNAWTALIEETEKTSEDNILKIRKVYDAFLAEFPLCYGYWKKYADHEARLGSVDKVVEVYERAVQGVTYSVDMWLHYCVFAINTYGDPDTIRRLFERGLAYVGTDYLSFPLWDKYIEYEYHQQQWSNLAMIYTRILEHPNQQLDRYFNSFRELVASRPLSELRTTEEVATAAKAKEESRNQESEGEVNPNTVEQSIKPPSASLTEAEDLENYIAIREELYKAAKDFDAKIIDFETAIRRPYYHFRPLNVAELENWHNYIDFIEGCDDFNKVVKLYERCLIACANYPEYWIRYILCMEASRNVELAENALARATQRHPEIHLFAARFKEHSGDIAGARSSYQLVHTEISPGLLEAIIKHANMEYRLGNLEDACSLYEQTIAIEKGKEHSQTLPLLFAQYSRFLYLVLGKVEKAREVLDQALENNQLSKPLLEALIHIESIQSLPKRTDHLDSLVEKFISPGPDNSNAASRVEREELSNIFLEFLDLFGDAKSIKKADVRHAKLFLPHKSSSESKKRQLEGYLVSDRAKLAKGVVSSASSVTGTYQATQNQWPAGYGAAYGTYNAYGSGYAQPQAPASVPQAAAYTSFPASYPVQQAVPQQVSTMTPAQQPAAVAPAYYGTYY >KVI12358 pep supercontig:CcrdV1:scaffold_258:182559:192516:1 gene:Ccrd_009230 transcript:KVI12358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MSSGLKFIVVVFVIVGVLDRVAVVNGSQFKGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKCLSAMNCWLKCMMLDPYNQTDNPECRSRPDSGLSAITELDPGYITGPLSSVWKEWIKWCIEFGIEANAILAVPYDWRLSPSKLEERDLYFHKLKLTFETALKHRGGPSIVFAHSLGNNVFRYFLEWLKLEIAPKNYIRWLDDHIHAYFAVGSPLLGSVQTVEATLSGSTFGLPVPEGTARLMFNSFGSSLWMSPFSEYCRTENVYSKHFSGGRRKKHNVYHCDDIEYRSNFSGFPTNIINIEIPSVVEAYPSFGDEVQANLSNMECGIPTQLSFSAREIADGTFFKAIEDYDPDSKRLLYQLDKLYHGDPVLNPLTPWERPPLKNIFCIYGVDSRTEVGYYFAPSGKPYPDNWIMTDVVYEFEGSLYTRSGNLVEGNPGSASGDETVPYRSLSYCKSWLGPKVNITRTPQSEHDGLDVQMHLDVEHHPGADIIPNMTRSPRVKYITYYEDSESLPGQRTAVWEIDKANHRNIVRSPILMRELWLEMWHDIHPDKKSKFVTKAKRGPLRDEDCYWDYGKARCAWSEYCEYRYVFGDVHLGQSCRVKSSSADLLLNYV >KVI12343 pep supercontig:CcrdV1:scaffold_258:336003:341626:1 gene:Ccrd_009217 transcript:KVI12343 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MTAPPGFASLTSFTLKRTKGNNEACNLAGNPNDIEPEPIEVSSKIADVEKLQKCLKRRPWILHDQLINLEKLDRKHLKTNISGENTLPKGVIRGCPSCNKCQKVVAHWRPEESCKPVIEYAPVFHPTEEDFKDTLQYIAKIRPKAEEFGICRIVPPDSWKPPFTAKGKQWESSKFHTHVQQIDELKDLYSKRKLDETVEQAEGNRTSILELDHESDGFEFECGPEFTLRAFKGYAEHFKGHYFQKKDIFTEFRTSPWENVEGEYWRIVQNPTEQIQVLSGHNLEAKFFGSGFPSTSLGGDDQNEYTKSGWNLNNTARIPGSLLAFDHGSAALLAPRLDVGMCFSSICWKVEEHHLYSLSYMHLGASRIWYGVPGKYHLNCEAALKKTFPEISGHPELFHKLVTQLSPSILKSEGIPVYRCVQHPKQFVLIFPGVYYSGFDTGFSVSEKVNLAPLDWLPHGQIAAETYSERRRKTSISYDKVLIEGARDAARGDFARWKNGCGKNGWFYKSS >KVI12347 pep supercontig:CcrdV1:scaffold_258:234688:241516:-1 gene:Ccrd_009226 transcript:KVI12347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochelatin synthase MAMAGIYRRVLPSPPAIDFASSEGKQLFMEATQGGTMEGFFKLISYFQTQSEPAYCGLATLAMVLNALSIDPGRKWKGPWRWFDESMLDCCEPLEKVKAEGISFGKVVCLAHCAGAKVEAFRTNQSSIDEFRKHVIACSTSDDRHVISSYNRATFKQACQSSCGRELMLFHPRLGHFFMLISRLQRPPALLYTLSCKHESWVNVAKYLVEDVPVLLSSKNVKDVKDVLSIVFNSLPSKVLEFIKWVAEVRRTEEGDQSLSPEEQERLAIKVSYCHEPTSVCCQGAGILKGNSESPNGFCCGETHVHCIKSTGNVPATVVSGTVTNGIGEQHVDMLVPSSAKNLSPSSSGLTSCIGMHPASNDVLTTLLLALPPQTWSGIKDDSLFQEIKSLVSIDNLPTFLQEEIMHLRSQLHFLKRCKDDEVKHQDTSDKAMVFLHGSKSNLHNQGKLSLLGQQRSLGCCSRLADRYERLDRVSGGIKRIITWPKPIAYASIRSIFSVNYLSR >KVI12364 pep supercontig:CcrdV1:scaffold_258:98872:100507:1 gene:Ccrd_009237 transcript:KVI12364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRSSSTPILGSLLPSISSESPNHHHHHHHDTTLKPSPIHKFSPGSQHFTTLSCTSSPISPSVGHGRIRRAQSEGNLEHLISSGGGNGGSGGGDQFSYCNPNKKTSSRLHSYPLETIPSFSYQNSNFKSDDDESGDDGFGDFNVENTVRGLNKQLGFGNLVIKDEIEESGSQMYLAKGIGVDAGFGCADGDVVGGGGGGGRNLSSVGRGGDSGGDNHDVEEHYRKMEYYSRAILVDPNDGEILSQYAKLLWEVHHDKDRATSYFKRAVHVASEDSFLWEIEGEEDDDDEDEEGYFSNIPPLFSNGTMASATA >KVI12338 pep supercontig:CcrdV1:scaffold_258:48082:52044:1 gene:Ccrd_009242 transcript:KVI12338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MMNDRKSRFSVKGTPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLEKVCLLGCGVPTGLGAVWNTAKVEAGSNVAIFGLGTVGLAVAEGAKAAGASRIIGIDIDPRKFDRAKQFGVTEFVNPKDHEKPIQQVLVDMTDGGVDYSFECIGNVSIMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTQVPQLEIKIDEYITHKLKLEEINKAFDLLHGGDCLRCVLEV >KVI12355 pep supercontig:CcrdV1:scaffold_258:248047:253226:-1 gene:Ccrd_009224 transcript:KVI12355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein domain-containing protein MEGTAFAPALEGMKNVKSEEGEMLSKPFLDVCKQLLPVIDQFGAAMALVEIEAKNADASSSCTNGLLWLTRAMDFIVELFRNLMVHADWSMSQLAMKLAPDRQKFMEVVGGTGDISSDIEKFCSTFRPLLEENHKFLASVGLDDLKAN >KVI12341 pep supercontig:CcrdV1:scaffold_258:10390:20108:-1 gene:Ccrd_009246 transcript:KVI12341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFLVTFSVPYQFSSHTVKLALSPVRCKILLGVDGFALCFELGFWWAAWIYTFPKNAKMLLQLNLFLLTSFAYLLMGLQKHIQVNWTIVFPSRSYDVHFIKKTSFAYLLIGLQKHIQVNWTIVFPGRSYDVHFIKKGVPRGSKMQCSDESIGYKISTTT >KVI12356 pep supercontig:CcrdV1:scaffold_258:90999:94450:-1 gene:Ccrd_009238 transcript:KVI12356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 62 MSSCGCLYWNRVTDVTVPVIDSFSLPSPIPQWPPGNGFATGMINLGELEVCEISKFEFIWGTDMAKNRKKGVNFFKPVEIPDGYFCLGHYSQLDEKPLRGFVLVAREVSKSGSPALLKPIDYTLVWCPDDWTEENVHGHGYFWLPLAPEGYKAVGFIVTNKPAKPGLEEIRCVRDDLTESCEPHCLLVNTHSKIAESLFRVWKTRPCHRGMHEKGVSVGTFFCSCLWSPGDDLNIACLKNLNAKMQSMPNLDQIHALIKHYGPTFYFHPDEIYLPSSVSWFFENGALLYRKGEPTGERIDPSGSNLPTGGRNDGEYWIDLPKDTSEKKLKRGNLESAKPYIHVKPALGGTFTDIVIWVFCPFNGPGCLKIGLMNFPLSRVGQHVGDWEHVALRISNFTGELWSVYLSQHSAGVWVAAPDLEFIEGNKPIIYASRNGHAHFPHPGEFLQGSANLRIGIRNTAAPSKYSLDSSQDYEIVAAEYLGDGAVNEPCWLQYMRKWGPTTVHDSRAEITRILNRLPATLRCSAVYIFNKLPNELYGEDGPTGPKEKSSWLGDEKC >KVI12351 pep supercontig:CcrdV1:scaffold_258:258414:263648:1 gene:Ccrd_009222 transcript:KVI12351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MNIFKKKPTPKEALRESKREMANATRGIEREITALQLEEKKLVAEIKRTAKTGNEAATKILARQLVRLRQQIANLQSSRAQMRGIATHTQALAAHSSVAVGMKGATKAMTAMNKEMAPAKQMKVMQDFQKQSAQMDMTTEMMTEAIDDVLDDDEAEDETDELTNQVLDEIGVNVASQLSSAPKGRIAGKNTEDASSSGMDELEKRLAALRG >KVI12336 pep supercontig:CcrdV1:scaffold_258:67799:77299:1 gene:Ccrd_009240 transcript:KVI12336 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MIMMMGVEVISYEKALRVLELISTGFSLSFVFLSLLVVLGRKIEVYLHSNQLIKQDSDGVNEATTIFTEIQSVEIGKTYKASLYCCFYVLFLQIVVLCYNGIYLIQGGKGINLEPLILPTSQGLSWLVLSFSVHNCRVKPLEKFPLLIRLWWIVSFIICLSTLYADGMEIGIEGSKHLNSHLVANLASTPVLGFLCFVGLRGVSGIEIVRGSDLQEPLLVEEDAGCLKVTAYSDAGVFSLATLSWLNSLLAIGARRPLELRDIPLLAPKDRSKFNYKIVNSNWEKLKSENQPSLGWALFRSFWKEAAKNAVFAGLYTLVSYVGPYMISDFVDYLGGIETFPHEGYVLAGVFFVAKLMETLTTRQWYLGVDILGMHVRSALTAMVYQKGLRLSSSSKQSHTSGEIVNYMAVDVQRVGDYAWYLHDIWMLPMQIILALAILYKSVGIACIATLIATILSIVITIPLAQVQELYQDKLMAAKDDRMRKTSECLRNMRILKSQAWEDRYRRRLEEMRSVEFRWLKKALYSQAFITFFFWSSPIFVAAVTFGTSMLIGRQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRITGFLQEEELQEDATIVLQRGVSNVAIEVKDGEFRWDPSVPRPTLSGIEVKVNRGMRVAVKICGSAAYVSQSSWIQSGNIEENILFGNPKDKAKYKNVLHACSLKRDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFTEYILTALATKTVVYVTHQIEFLPAADLILVKNLLSFIVCIRFRTESSRVLKEGQIIQAGKYDDLLQAGTDFNTLVSAHQEAIEAMDIYNHSSEESDENDPLDRPIHMGKKCESVGSSMDRMAKVPTEGPSRSDLKAIKEKKKAKRSRKKQLVQEEERERGKISMKIYFSYMAAAYKGLLVPLIIIAQTLFQVLQIASNWWMAWANPQTDGDSARVSSIVLIGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFMKMLINVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTQKSPIINLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCLVLLVSFPRKAIDPKRIHQYCHIPSEAPPIIEACRPPPLWPEEGNIEIIDLKVRYKENLPVVLRGVTCAFPGGKKIGIVGRTGSGKSTLIQVLFRLIEPESGRIIIDNIDISSIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQEALDKSQLGDVVREKELKLDAPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDSATDNLIQKIIRTEFKDCTVCTIAHRIPTVIDSDLVLVLSDGQVAEFDSPGRLLEDKFSMFYKLVTEYSSRSSGIPDC >KVI12362 pep supercontig:CcrdV1:scaffold_258:124035:127483:-1 gene:Ccrd_009235 transcript:KVI12362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MASPANHFILILLLHTLRRSLPLSAAAPSGLINLIYKGCANQNFQNSDASENLKSLYTTLLSQSSTTNFYKTISDENDQSTTTIAGLYQCRGDLSNSDCNTCVKKLPETIAKVCNRATIAARVQLGGCYLRYEVLGFPQVPATELLYKQCSSRRASGSGFDERLASALSLIPKGVANGKGYYAGGYQSVYVLGQCEGDLGGGECVNCVKSAVGIGKSECQTSISGHIYLQQCYISYTYYPDGVPGADGGSVGGVGGVETAATETGGGGGGGGKNNTEKTVAIVFGGLAGLGLVVAFLLVLKSAFRKKKEHYYGDILTWYQKDVSKSYNDWI >KVI12346 pep supercontig:CcrdV1:scaffold_258:201628:207742:-1 gene:Ccrd_009228 transcript:KVI12346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKKGFNWEDVVIMLKEARVLLGRYEYQKGNIEAALRVFEGIDIAAATPKMKISLSEIGKPHIRLGFPEHLGADHKLHETLSNTIKLLPELWQLADLHQEAILAFRRILLHRWNMDKETMADIQKEFAIFLLYSGGEEGNPPNLRMQMEGSFIPRNNIEEAILLLMILLRKVSLKKIKWDPSILDHLSFALSISGGLRSLGKQLEELLPGIIDNNERCLLLALCYYGEGDNLSALNLLRNIYENNNPNIGFALLLASKIYEENSNSKEGISTAKRAIHAFKDRCDEMVGVAYSSLGVSLSANSRSAVTDSERVTQQSEALESLETAGRLTRMNDSRILYHLSLENAEQRKLDVALGYAKRLLVLEGGSHLNGWMLLARILSAQKQFRDGEIIINAALDQSGKWDQGELLRTKAKLQLAQKQVKHAIQTYTQLLAVLHVQHKSFGFQKKNLEVGEIHHRSLEIETWNDLATIYISLSQWHDAEACLLKSKAISNYSASTRHTNGLLCEAKGLHKQALKANKHALDVDPGHVQSLVSIAVVFRKLGGQSGAVARSFLNEALRVNRMSSSAWYNLGLILKDEGPMFLREAADCFEAATVLKETEPIEPFR >KVI12357 pep supercontig:CcrdV1:scaffold_258:79402:83225:-1 gene:Ccrd_009239 transcript:KVI12357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MDGTLGLLGGIHGGADGGGGGDGGGVPSCGSILTNSKEDDTMNDQDMLAEVSSSYPVDDDLELGLGLRIGGGGSNAIQEGSRILRPNSCSSSSSSSSVNITNSSIAGFKKSAIDSVSPPNAASVVGWPPIRRAHRMPILANRIKSERKEYSSRAKSYLSVKVNMDGTLIGRKVDLNAHNSYETLARTLENMFYGRLSNTETIGRSRLLSGTSEFVLTYEDKDGDCMLVGDVPWQMFVSSVKRLRILRSPKSRNCI >KVI12349 pep supercontig:CcrdV1:scaffold_258:173607:176944:-1 gene:Ccrd_009231 transcript:KVI12349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSPPASKFRFRDHGNDMENGESAIGDTTSESTSVTSDEDSEIESEELASMTAKGINHLCLELIELKQASDVDFQDNIISNYSSFIRVFRETEGINNEVMQLKQHLLVQKQLVRNLMDGACLKAVSDDPIDTVLDESVLDDQNRTTFFKACSVEVLETLDNLLFEHRLEEALEIFEIEDGDSPKVKFQEDISPDVWMCYKSDMAERRVMLADKLTLVADNARVTAAELQKSLVGLSRLGFDHLATRLLLKYYDTRITSGVNQLQSFATFPPEIYIHNIAKYVFSMMSQAARSFVVLHGETSPYDSELMKWACEETETFSACFNRNVASISQISSGLSMVVNSVHVAMSYCLMLESQKLVLQPCLIENILPCMEGILKAHFDHFKKVISIFTCSDIWVMGRYLVSGMLTKGSSSMAFEQHPEYCLLTNSGRKFVSLLQAVIEDVSSLVIILGRSILEELMDLFTEYTLILEKALTNETEYVVEGASRIKVAESLSEKVSVIANLSTLEHFFSSMIRNLFKDINQLKSVVNGRMESVASTCSRLRTHFCKQFIHRIMSNEASCIGDHVLFLELRKVEELAEDNVAEFDWLVNLLTELVQTTFDWISINGETRITHDNADTTDQQSDRLTQVTISTQQECNIMSKNMASL >KVI12352 pep supercontig:CcrdV1:scaffold_258:264589:274376:-1 gene:Ccrd_009221 transcript:KVI12352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MLTSKLIEAKNMDHVVGGKYKFGRKIGSGSFGELYLGVNIQSGEEVAIKLEPTKTKHPQLHYESKIYMLLQGGTGIPNLKWYGVEGEYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLVSANRYHLYRINRVEYMHARSFLHRDLKPDNFLMGLGRKANQXYIIDFGLAKKFRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDQISEKKLLTPIEQHQSGNGGQNVGTSAGRTLGGQDVRDRFSGAVRNPSGGGRHEHSRNRASEDQADSEKVRSSRNGSSAKRGALKPSSSGEATDGRSSRVVSSSGGRLSTSQRVQPSGFSGTSKDPLRSFEFLQIRK >KVI12359 pep supercontig:CcrdV1:scaffold_258:163035:170866:1 gene:Ccrd_009232 transcript:KVI12359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGKLFIGGIAWDTTEETLSDYFSKYGDVSQTVIMRDKTTGRPRGFGFVVFSDPSVLDSVLQDRHTIEGRTVEAKRALSREEQQTSRPGGNVGRSSGGTGNYRTKKIFVGGLPSTLTEEQFRQYFESYGDVTDVVIMFDQNTNRPRGFGFISFDTEDAVDRVLQKTFHELNNKLVEVKRALPKDANLGGGGGGSGGSRGGGYQGSNAGSFDSQMDGNRFLQQQTAGGGYPAYSGYGQPAYGFGGPNSNAGYGGYGNYGVGGYGGPAYGNPTGANSGYVGGNPSALKTAWGGQSPGYGGTGYGPNVGYGGNVPWNTAGGGAAVSAPMSQSAGGGSGYGSQGYGYGNYGSNDGYNTNPGGYGGGGGGRFGPGGAPNNMAGGGDQQAAGGGGGNMGSGYNGQTGYSNAEYAYISNTLSLSINPSTTLLQTTVSMAATTAVAIGRSLLTFRSASPSSYFIISKRLFSSSSSIARAPPLPGFRRPVRSAACLSHSLQVAAPGAVRLNPIRCRVNRSGGAYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYVETLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELYVNGEIVQRSPERQRRVEPVPQRVQDRPRYNDRTRYVRRRENSR >KVI12353 pep supercontig:CcrdV1:scaffold_258:292218:301143:-1 gene:Ccrd_009220 transcript:KVI12353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDSARSWLHKFQPRERLRPSTGKKDSTVDDEDLNNPVMDEEASNITKQKVEAAKKYIENHYKEQMKNLQERRERRILLEKKLADGDVSEEDQNNLLRFLEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVRICREKTTGTVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDNEYLYLIMEYLPGGDMMTLLMRKDTLSDDEARFYVAETVLAIESIHKHNYVHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLEDHDFSTVDNVSNSTAYSTVGTPDYIAPEVLLKKGYSLECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVHWKSHLKFPQEAHLSSEAKDLISKLLCNVNCRLGSKGADEIKAHPWFKGIDWDRIYQMEAAFIPEMLSSKDINFVGYTYKNFEIVNDYQVPGMAELKKKKAKPKRPTIKSLFEEEPGNGNESS >KVI12335 pep supercontig:CcrdV1:scaffold_258:54488:57822:-1 gene:Ccrd_009241 transcript:KVI12335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNAVVLEISSDEEVGWEGHDGRGISITDGGDDHNWIAELLDEVNRDDCGYYDGADDSDEVVLVSEVLPSKKPRKKLTSKSSSLIDLDDDCVILDHDPDKSLGTPNDTPIAGAGDEDDDDSDDLLVVSEKGQVACRDYPHPRHLCIKFPFSSSPNQTHCHQCYCYVCDSLAPCIYWGSGSSVLDHCLATDKDDFWKLERQNSKKESKLVQSVLELADPSRLPPINLPPPASDLFPTQSAAQSQVTRPSPMRASPNPPNFGVPDVVIENRSPFLLSRNKYQPGLVSQQLIKTTSFTNPRDRGQPNYNLAIPFHGPVFKRRGSAAVPPAGNRHSYSSYRDRYRNSHPPSGGNPCRQQDHCVNEMVSGPNIVNTSGSFSPLNLGLSTTNSEQLPEFPHHQPSSNTTQFEFSPSFQPEVNSDVYIENPVGFQPHLVTCQPQGQSFLPNYAIPCEAPIHGPSIPILVYTKQGNEAQRQNIDPGFFQGISWPLGQSGGRSSVVEGTTATNVPSVPGGLVDYEYDNWGYNCEAGAMEFPGALESGFVETGTGFEF >KVI12361 pep supercontig:CcrdV1:scaffold_258:128634:145652:1 gene:Ccrd_009234 transcript:KVI12361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR1/2/4 MEAARDGYRTSSVWWEDVRDAYGEEGEIETQYKSLVERQSACVFENEEPWRPTSARAFASNPQEISQSSSMPNFPVTFSVPYQSSSHTVKLPLSPVRCKILLGVDVMAPNPRVAKAFRAMRGLGIPEEKTKPVLKRLLKIYDKNWELIEEENYRALADAIFDEAEACFSETQIQEEAERPLKRLRLRHQDGQASPSSINPGTNSSETLLKRPKLEVDELLDGQPQLQSTTVTEPVRRNDSQPVSPLNRVRNKGKQPILSNASGRLDGSGVSQSVDIERTHPVVADATHSDSDILSRNPKGKGKEHLSPQSARRENILTSDKPSLAVRFKEPKVEPGIDIMPKQKGLALIKPKDEPLTDDPPRPRFEVPLAVIFPDSLANGDTSTETESNLIREPDFLPLTTESAENEDLNNCVQETLTSGRELINILDESNAKLDIASSSSGEIKLVLSCNPAHGKPKLSVPNVDAVLKIMEDKCLNSYKVLDPNFSVKKLMNDMCECLLNLGSDSTNEPPNARPPTDSSEACADVKDSVSTKGGLEAGMLQMPKLSPPSNGNDNDKQIEQNGFENMESESLVIVVNNHSTPDDTTSFDDANDIAKGQESLIISLVNEVNSECPPSFHYMHRNAVFQNAYVNFSLARIGDDNCCPNCFGDCLTSSTPCLCALQSGGEFAYTTEGLVKEDLIDECIKMNRDPQNRCLLYCKECPLERSKNEEILEPCKGHVERSFIKECWLKCGCNKQCGNRVVQRGIKHKLQVFMTAEGKGWGLRTLEDLPKGAFICEYVGEVLTNMELYNRVSQNSNKNEYAHPVLLDADWGAESELKDEEALCLDATHYGNVARFINHRCFDPNLVEIPVEVENPDRHYYHLAFFTTRKVKAFEELTRDLEKEGEVETKELL >KVI12360 pep supercontig:CcrdV1:scaffold_258:146662:160085:1 gene:Ccrd_009233 transcript:KVI12360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWCHPEISLQELLKLIKGFVDIIILASGYQSSGHFAHWDPLNIKKAFQWGLFFENVFISMDGNQDSINEFDAALSKLTSDPQFPQGLTRISSATLSKSRIFIVEHLIRTLPMRDTHLKAVLKATIEMDLDELQRTETNYVDIYLEKLTLQKRSQVSIEEGLMDFLPFSCFDDILHQKAKNSTEIKLTAFAIRGIMKRQRAVSCASMMETSVDVVAKITTQGNWNELHEDLLQVQQNHSTVSLWNNWRTRTLSYLLDKRTIRLVSGANMIFSAPKVQWARVFKQLDMSKEANENACETIELLLLGSISSRWDRVIQKFMSNSHGSISIFTLYEGVHNLILERFQKVKLLRGFGASTSFMY >KVI12354 pep supercontig:CcrdV1:scaffold_258:244833:246328:-1 gene:Ccrd_009225 transcript:KVI12354 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MGTKLLLFADMIMQTDVTINVVGADEEKEEQEIQIGMPTDVKHVAHIGGDGPAVESPSWLVQIMSLYENEIRNELIDRTPETHVGTRNHRASRSEELNRELPDMPKPSKHRHRSMDDSLDPDSQMKDSSTKPKRTRRHRLRRRSQEKGDESPDTNLPDIPKKKTRRKKSNEDGGSTRSKDSEPSNNEPNLD >KVI12337 pep supercontig:CcrdV1:scaffold_258:39760:42219:1 gene:Ccrd_009243 transcript:KVI12337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLNFLASPSIPIIREAPAAFAPSATYQNGDDLPLSSHGGEMTMREEGYRIQGGKCSTKVQVYIDLGDLDLEFSSKFKNFVALTVNH >KVI12348 pep supercontig:CcrdV1:scaffold_258:218952:233901:1 gene:Ccrd_009227 transcript:KVI12348 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase/succinyl-CoA ligase MVRGLLNKLATKSITVAGRWQQQQLRRLNIHEYQGAELMGKYGINVPKGVAVSSVEEVRKAIQTTFPNEKELVVKSQILAGGRGLGKFTSGLQGGVHIVKIDQAEEIAGKMLGQTLVTKQTGPQGKVVSKVYLCEKVSLVNEMYFAITLDRTTAGPLIIACREGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDAAKVVDGLAPKVADRNASIEQVKKLYNLFRESDCTQLEINPIAETSDNKLVAADAKLNFDDNAAFRQKEIFALRDPSQEDPREVVEAFKILTSDEKVKAILVNIFGGIMKCDVIASGIVNAAKHVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKALKSA >KVI12339 pep supercontig:CcrdV1:scaffold_258:27089:27519:-1 gene:Ccrd_009245 transcript:KVI12339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVWGCSPGKYQLKFDAQETCYLLRGKVKVYRKNSSEMISEFGAGDLVILPEGLSCTWDVSVAVDKHYKFESSSSS >KVI12340 pep supercontig:CcrdV1:scaffold_258:37993:42138:-1 gene:Ccrd_009244 transcript:KVI12340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MATQGKVITCKAAVAYEPNKPLVIEDVEVAPPQAGEDPEGRFPCILGHEAAGIVESVGEGVTEVKPGDHVIPCFVAECRECKFCKSGKTNLCGKIKEATGVGVMMNDRKSRFSIKGTPIYHFMGTSTFSQYTVVHDESVAKVDPQAPLEKVCLLGCGVPTGLGAVWNTAKVESGSNVAIFGLGTIGLAVAEGAKAAAKEFGVTEFVNPKDYEKPIQQVLVDMTDGGVDYSFECVGNGWGTSVIVGIAPSGQEISTRPFQLVTGRVWKGTSFGGFKCRTQVPQLVDKYMKKEIKIDEYITHKLTLNEINKAFDLLLEGDCLRCVLQL >KVI12342 pep supercontig:CcrdV1:scaffold_258:315882:326414:1 gene:Ccrd_009218 transcript:KVI12342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin II MARSLGFIIVLLAVTSICDAVAIFHPISESHRSAALELFSPAAGSFSGLEEAYEALRTFEVLGIEKPDTKDHTCNSVVDTLSSSSSNLKDLYHSLRVNGLLKCKTSKEALTGTVSRLKGGVKEATTLLDYYYSVGGLLLVKDQSSEVDVLLGNADGILRSIKALSQSDGRWRYSSNNPASSTYAAGVALETLSGVISLAASAIDENLIGTLKNDIVKLFDSIEKYDDGAYYFDDKVIDASGHQGPLSATSAVVRGLTTFASTSGTLNVLYTIPEEKILGLARFFLGVGVPGNSKDLVSVPLILSLPATVLSLTSNDKLKVRVNTVLGSIAPPLSVKLMQVFSSGSKDAPVLKEELKFDPKEAIHTMDALPEGVDIGEYVFAFEIVLSDPEDKRKYATGGRTKVPIHVTGVVGVDNAKLTLLEGDSVESEKKLNLPGKNDVALSANHLQKLHLSFLLTTPLGKPFKPHQALFKLRHETGVEHIFVVGNSGKQFKIALDFLGLVEKFFYLSGQYDIELIVGDAAMENSFLQPLGYVELDLPKVPEKATRPPSQAVDPYLRYGPKAEINHIFRVPEKRPPQEVSFAFLGLVFVPFLVFLIGLLRLGVNLKNFPTSTVPATFAILFHGGIAAVLLLYVFFWLKLDLFTTLKALGVIGIFLMFVGHSTLSHIASVSVKVKSA >KVI12345 pep supercontig:CcrdV1:scaffold_258:193943:198176:-1 gene:Ccrd_009229 transcript:KVI12345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MSNNVEEITTSLPVEESKRGHLLFTLKEGVHYRLKLSFTVLHNIVSGLTCINTVWKGGIQVDRIKGMLGTFAPQKDAYVETLDEETTPSGVLARGIYKAKLKFEDDDKRCYLELDYSFEIKKKS >KVI12350 pep supercontig:CcrdV1:scaffold_258:254615:257834:-1 gene:Ccrd_009223 transcript:KVI12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 domain 2 MFHSTSFLPVAILSVDLLAVAGSLYRFPSCYRFVGDLLKTPPSQIPSRGRSPFKRYVEIGRIALVNYGKDYGKLVVIVDVIDQNRKAGVVRQELAKLKKETTA >KVI12344 pep supercontig:CcrdV1:scaffold_258:308956:313646:-1 gene:Ccrd_009219 transcript:KVI12344 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEVESSSIKVNGMQFGYSGENPIFVDFNLKISPRSRCLLVGANGSGKTTLLKILAGKHMVGGRNVVRVLDFSSFHDTHLVCSGDLSYLGGSWTKTIGSAGEVPLQGDFSAEHMIFGVEGIDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICLGLLHPFQVLLLDEVTVDLDVVARMDLLEFFKEECEQRGATIVYATHIFDGLESWATDLAYIQDGELKRYEKLADLPEMKNSSNLLSVVESWLRAETKNPKKKPVNPSPLIPKTSPFDSSPFRSSRHMAYYR >KVH87715 pep supercontig:CcrdV1:scaffold_2580:17424:42125:-1 gene:Ccrd_024998 transcript:KVH87715 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTPAPAAQNPAPLAYPVGSGSDALRGFPSFCFETIPDSLPTPENLNATQDVSSLCKAMDEKFVVPFKSLLNKLSASNSPVTYIVADVIMSFTLEAAMELDIPEILFWTSGADIPPFIRITNPGDEYMVEFFTRQIERAKTASTIVLNTYHELEPNILDALSSIFPPCYGIGPLNLLEKEIVDKSLASIKSNLWKEETECLKWLXSKAPLSVIYVNFGSITVMTPQQQVEFCWGLAKSNYSFLWILRPDLVIGDSTVLPSDLMSEIKSRGWLSSWCPQEQVLKHPSIGGYLTHSGWNSTIESISSGVPMICWPFFGDQQTNCWMCCNKWGVAMEIDNNVKRDEVAKLVIELMNGEKGKEMRKNGIEWKKKAEEACAFPYGSSMANMEKLIRPALGMDDMGRRPGHHQPKGHHLRPNPTILIVRSSHRLLGPYSKSNLPNWQSPDRQPICSRSGTWSLVFLFPNRRSLSVPGFRVQSSGFMAREQEKKSHAVCIPGPIQGHINPMLKLAKILHSKGFLITFVNTEFNHQRLLRSRGSNALGSLPSFRFETIPDGLPCPENLDATQELSSLAKSLDETCFHPFKSVVKKVSDSYSPVTCIVSDFLMCFTLDVAKELGIPEILLWTSGADPTCLVNGYLDTVLDCIPAMSGIRLKDIPPFIRMIYPQDEYMVQFFCSQIERAKTASAIIFNTFHELEPDILGTLSSLFPPCYTIGPFDLLENKIADKSVASIKSNLWKEDTECLKWLDSKPAVSVIYVNFGSIVVMPPQQLIEFCWGLAKSNYPFLWIIRPDLVIGDSAVLPSEFLTEISDRGLLASWCPQEQVLNHPSIAGFLTHSGWNSTIDSISNGVPMICWPFFADQQTNCWLSCNKWGIALEMDNDVKRDEVSKLVIELMDGEKGKEMRKNAIEWKKAANEAYSGSSMTNLEKLIQHLHVSSE >KVH87717 pep supercontig:CcrdV1:scaffold_2580:29778:42451:1 gene:Ccrd_024999 transcript:KVH87717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHREWSQSGTKVDHIRHQSLEIEGGIDECTTTRHHPSRLIQPGEACIDVASLHRAIGVGLGGDFYIEKWSKRLPGTERERRLGKRKTKDQVPEREQIGCRSGDCQLGRLDLEYGPRRRCEERTINVCSIRISFSILAMDEPYGKAHASSAFFFHSMPFFLISFPFSPFINSITSFATSSLFTLLSISIATPHLLQHIQQFVCWSPKNGQHIIGTPLEILSIVEFHPLCIQRANSVARRKYRRKGVENVGFEFMIRVENDGGSRFRSLYLSSKEFNHVFITRALEGCQEWSRSRRKGSHVGRRSPEIGGGIRRDKLRVQDFEPPAPASLVGLEQVDETGAGMLSKMFNFSTGGPTTELLENQINYQHHRNQRPNSATGDWYGNNTAQAITQISFLTIFFSPSSPPEFFNFFFNTSHVVAKRCPIFHFHPSSSTDVK >KVH87716 pep supercontig:CcrdV1:scaffold_2580:6273:18861:1 gene:Ccrd_024997 transcript:KVH87716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MFLMGWRGALISFSLTLTESSSRSIPMWSTSLSAEHSFLYSWTIFSASFCVLIALVLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYALESLLSLLDSDAAFNYESVRDCYEAFALYCFERYLIACLGGEDNTIKFMESKSLISSSIPLIEESYAYGIVEHPFPLNCLLREWYLGPEFYQAVKIGIVQYMILKLICALLAMLFQFLGVYGEGKFEWGYAYPYLALVLNFSQSWALYCLVQFYSVIKDKLAPINPLAKFLTFKSIVFLTWWQGIAVAFLFSMGALKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPATPYKRGERCVRNVAVMTDYASLGTAPAPDEVRDCERTTKNRFGQNELEKRMKFHQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINRTFHKISENVKRHEERIRSSKDDSYVIPLNSWTKEFSEVNDNIEGSFSDSGISEGRRQRRQPQVFISCSNL >KVH89314 pep supercontig:CcrdV1:scaffold_2581:62460:71090:1 gene:Ccrd_008699 transcript:KVH89314 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 AAACLSLVLTHSSPPYPHSTQSHPSLSSSFSLFSPSISILTLKPIFVLIVRCLFEFKFHVYEDHYMYASIAENMSCLLPQFKCLPDSFSIQFKTLNHHSPQLPKCREPTFFPTRCILSTTAPPPSTDSTVLNLEKLQLSSLEVHSNSGGAERQWSSSASSTTAGVLDIENFQLPSIESHTSSVVGSRPWVYPGSVGRSIEGDIGATSPSEFATSEYAAAAAEAIALAKAAVKVAKSAAAMMLNHHNTKALDIKSTPNISESDNSVNVTGSQTSEETEPTSEELEILEAQLSSIITVRSKRQVERKARRMRAAEKAEAGIVSVNSAAMSRVRAGASAASARPSAGRRRSGKDVLAFLGGMTSAKLLTAAEEVELSKGIQDLMKLQEIHKDLEKRFGVPPTFAEWAAAAGTDQISLGRRVHHGEECRDKMIRSNIRLVISVARSFYGTKVHLQDIIQEGIQGLVKSAEKFDASKGFKFSTYAHWWIRQAVQRSLQSKVNEAKHRLDRELGRKPTREEIAIETGISIKRIQAAELAPKPAQSLDINVGLDGSLKLTDLIHDPDQETPEEILFKQHMSDDLQKVLNTLSEKEQLVIRWRFGLEDGKTRTLQEIGDVMGVSRERVRQIELCGFRKLRNTKRTEALRQYLPE >KVH89315 pep supercontig:CcrdV1:scaffold_2581:36327:61953:-1 gene:Ccrd_008698 transcript:KVH89315 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase PFLFFSISIFSSQIQEHSGKYPCNTVRCDSLHSFFLPFVMAQAILSIPVLSAVITTTTVKLSKSLLNSSLLHGYGRGICCCSSAVTVSQQQQRQPQVRSPQLVALEYADLSIPHIVSEELGATRVRQHVNPLSSTLSIPVEVPNWNDVFEHPKLPLVVDIGSGSGRFLMWLAKRNPGSKNYMGLDIRKKLVTRAEKWAKELALSNMKQATAADMGQLNSVLGLKLDPLGQEVRGRSMCYSSMRKRSQMTMWNFQKRNQQKRKTATKPPATSLFEHPVATVHRRRCRWSRGRKETVNEGIEFVFDDARTFSLRKCLNFLQGAHFNIPWAFDVGFNIGSCIPCPDPHFKKRHHKRRIVQKPLVEAIVSGLMPGGQVFIQSDVFEVAVDMRKYFDAESEKLVHIDSVDSNLVCDSEGWLVSNPMGIRTEREIHAEYEGAKIYRRMYQKPS >KVH87713 pep supercontig:CcrdV1:scaffold_2582:19969:20891:1 gene:Ccrd_025001 transcript:KVH87713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MKKIKKRKKEKMGVQDNVVEDVEIKEEGDAPKQTDVTLETNPSEKSDSIVLRKLLHGPRYLDRPYSNWGNCYNCGEGGHTAVNCTSAKHKKPCFVCGSLEHNVKQRNKVWTCK >KVH87714 pep supercontig:CcrdV1:scaffold_2582:19672:54424:-1 gene:Ccrd_025000 transcript:KVH87714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLGFWKASSGGLLQMESMVLKLCILNFELRWRRAIMDRPTIGADFVTKELQIDDEIVTVQVLVLTILGFCFWGYNHKSSNNNIEDVKGKPKPPELREINSHEDERRTITLNPKEMKVEGLENCYQGSRRRSKALLSFV >KVI06531 pep supercontig:CcrdV1:scaffold_2583:27440:40348:1 gene:Ccrd_015120 transcript:KVI06531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer alpha subunit MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHESPWIVSSSDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALRKKSVSPADDILRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDSKAWEVDTLRGHMNNVSSVLFHSRQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILGCHPEINLLAAGHDSGMIVFKLERERPAFSVSGDSLYYVKDRFLRFYEYSSQKEAQIIPIRRPGSASFNQGPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSFSRGDTVQEAKRGIGGSAVFVARNRFAVLEKSTNQVLVKNLKNEIVKKSALPVVTDAIFYAGTGNLLCRAEDRVVIFDLQQRMVLGDLQTSLIRYVVWSNDMENVALLSKHSIIIADKRLVHRCTLHETIRVKSGSWDENGVFIYTTLTHIKYCLPNGDCGIIRTLDVPVYITKIIGNTICCLDRDGKNRAVVVDSTEYLFKLSLLKKRYDHVMSMIRNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNTGIVEYAYQRTKNFERLSFLYLITGNLDKLSKMMKIAEVKDDVMGQFHNALYLGDVKERIKILENAGHLPLALATAKTHGLNDIAEGLAAKLEGDVPSLPSSRSTSLLIPPTPVLCGGDWPLLRVMKGIFEGGLDNVGRGAQEEYEDAADADWGDDLDIVDVENIQNGDINMVLEDEEAHEENEEGGWDLEDLELPPDTETLKIATTARTSEFVAPTPGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLNRQLGIKNFAPLKSLFIDLHMGSHTYLRAFSSAPLISLAIERGWSESASPNVRSPPALVFNFSQLEDKLKAGYKATTVGKFTEALRLFMGILHTIPLIVVESWREVDEVKELVIIVKEYVLGLQMELKRREFKDNPVRQQELAAYFTHCNLQLPHLRLALVNAMTVCYKAGNLITASSFARRLLETNPAAENQAQKARSVLQAAERNMKDSTQLNYDFRNPFVTCGATYVPIYRGQKDVLCPYCSSHFVLSQEGQLCAVCNLAVPTLESAIIGLIYEEIILGVPACPTTPTDGWVWIEKLTSRAEYPSYPVAIQVGC >KVI06530 pep supercontig:CcrdV1:scaffold_2583:73209:74254:1 gene:Ccrd_015121 transcript:KVI06530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral Root Primordium type 1, C-terminal MRPADYVIGGGSGSGSGGGSGGVINCQDCGNQAKKDCQHMRCRTCCRSRGLPCKTHVKSTWVPAAKRRERQQQLDLSTQPQNVSNQPLSLMMRSGVSGLDQNPKRPRDDHQQIAGDGGVVIPTIHHHNITPSGFHFPAELNSPVVFRCVRVSGTDETEEQLAYQTAVSVGGHVFNGILYDHGPDDQGQYNNPIGESSSVAGAQHEHVNLVTRATTTTTRINPAVTILDPSSMYTTPLSTYLAGGTQFFPPPRS >KVH94060 pep supercontig:CcrdV1:scaffold_2587:14269:16912:-1 gene:Ccrd_003881 transcript:KVH94060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MALPPCFLIIVLLVSSALCYPTVLAANYNSTSVFVDADCLKVDGSDFVDSVKSTIQTVRDVISIVSKFSGAFGGDFRLSNAIADCLDLLDFSADELTWTLSASQNPTAGKYNSTGNKGADMRTWLGGALGNQDTCMDGFDGTNSFVKTLVAGSLEQVTSLVSDILGMVRQLPTAIAHEVPSGRRKLRSIDATPGWLKSKDRKLLQANIAADVVVAADGSGNFTTVMDAVSAVPDYNSRRYVIYVKKGIYREYVEISKKKTNIMMIGDGMDLTIISGNHSFVDGWTTYRSATFGFIARDMRFENTAGPEKHQAVAFRSDSDLSVVFRCGITGYQDTLYAHSMRQFYRECLITGTVDFIFGDGAVVFQNCQILARKGLPNQKNTITAQGRKEPTQPSGFSIQFSNISVEPDLINSSISTYLGRPWKLYSRTIFMQSYMSGLIRPEGWLEWNGDFALDTLYYGEYMNYGPGAGLGGRITWPGLHAINDSTQANNFTVAEFLLGNSWLPSTGVKYTAGLGV >KVH94061 pep supercontig:CcrdV1:scaffold_2587:47506:76165:-1 gene:Ccrd_003882 transcript:KVH94061 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOR/SDH bifunctional enzyme, conserved domain-containing protein EHIKIYRSKEEAIYGSVPWQLADIEDGWKNISVISANGNLLLLIFRELSKDVSNFGSNWKRYTMLVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQCTDSMSYSELVVGADNSVVLDKIVDTLTSIANPKEDHGVPKGKTNSFALKVGKVKDSCVKLGYDSKKKNVVLVIGAGRVCQPAVELLASIGSNSSPEWIKSCRIAEFEEQNYVQVIVASLFLKDVEEVHFNFTMLPHFLLTLAFVKHFFIYDFFSQLFFIIEGIPNATALQLDVMDHDSLYKCISQLKKHLVTASYIDDTMSKLHEATKDCSITILGEMGLDPGIDHMMAMKMINQAHARGGKIKSFVSNCGGIPSPSAANNPLAYKFSWNPAGAIRSGRNPATYKQAGGIVHVDGDNIYASATKFRIHDFPAFALEVLPNRNSLIYADIYGIINEASTIFRGTLRYEGFGEIMGSLARIGFFDSEVRLILRNKDRPTYRTFLYELLKIQSRTSDEPVKAEKLITDRLLALGICKEDGAATRTAKAILFLGFNEPTEVPSSCQSAFDVTCLRMEERLAYTSTEQDMVLLHHEVVVDFPNGRPTENHQSTLLEFGRTRNGKAISGMALTVGIPVAIGALLLLAKKITRTGVLRPIDPEVYEPALEILHAYGFNIVEREKRKDHFGGFLLVPHRSKSEIQ >KVH96937 pep supercontig:CcrdV1:scaffold_2589:49396:53589:1 gene:Ccrd_000970 transcript:KVH96937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MTIDVADTVGYCLPREFGQLVADIKANTPGLENVGISSGATQVDVTINGIEERAGNASLEEVSIILQFL >KVI10544 pep supercontig:CcrdV1:scaffold_259:86977:89039:1 gene:Ccrd_011057 transcript:KVI10544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein ISLAVGTWSSPENVEYLCLTANYIDEHWKLQKKLLNFLTLDSSQTEDALSELVIKCLMDWDVDRKLFSMTLDDCFTYDDIASRTKEWVLQNRHLLKNGDLYDMRCASHLVKSLLQDAMEALKGVTEKIRESIRHAKSSLTTQGKLDEFAQQVGINTEKRLFVDNPLRWNSTYLMLEAALGYRGAFYLLQEHDSTYTTVLSEEEWQWASSVTSYMKLLVEIRVARASPLYPLPLN >KVI10540 pep supercontig:CcrdV1:scaffold_259:173501:175895:1 gene:Ccrd_011053 transcript:KVI10540 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MAMVSPQTFEVLKQAAGVAGNIFAFGLFVSPIPTFRRIIRNQSTEQFSGMPYIYALLNCLICAWYGCPFISSDNILVTTVNSVGAVFQLSYIIIYITCAEKRKKFKMSGLLLAVFGLFAVIVIGSMLVSDLEVRHLVIGFLSCATLISMFASPLSVMNLVIQTRSVEFMPFYLSLSTFLMSTSFLLYGIFNFDPFIYVPNGIGTILGIAQLALYFYYNKKSNEESREPLI >KVI10541 pep supercontig:CcrdV1:scaffold_259:176183:183961:-1 gene:Ccrd_011052 transcript:KVI10541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMNMEPVEMVLMDTFYWFLDEEFLRQMIVALNPISIKFDASMHFVHQSRTGGLWHRDLCRPNYQQTPTTDLQKRLFPSFSHATHTLISMEALWNLEDKWKLSTHKALIFFACIVFLVIVVLGLCAATALRKRATKTVQDRGGVVEPGVAKGSTGQWLRAKAALTGSVRWSKASKWDGSLSASRKEQPTPLLARGGGEVGWPSHNAVSPVWQRPILMGEKCELPRFSGLILYDERGKPLDQSHSADIQEQPTVRATLRDLL >KVI10556 pep supercontig:CcrdV1:scaffold_259:308044:313306:1 gene:Ccrd_011040 transcript:KVI10556 gene_biotype:protein_coding transcript_biotype:protein_coding description:CWC16 protein MAERKVLNKYYPPDFDPAKIPRRRMPKNQQIKVRMMLPMSIRCSTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEITMKTDPQNSDYVVESGAARNFEPWRAEDESRHASVSVDAMLEALQRSAPVQEDKLEEEDEALIKSIFQGSKEIIHRIDDDELEDDDAQVSSKKQKVTEDRPTDYLTKTSTIKREDEKGGGRIGLKSSTVKFSVVKKASSDGGNGKTEANEQEKPKITTSSGLQSLCQQYDTTDDEEE >KVI10551 pep supercontig:CcrdV1:scaffold_259:232386:238227:1 gene:Ccrd_011047 transcript:KVI10551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MQQDRRRKSSKDVEFFTEYGESNRYKILEIIGKGSYGVVCAAVDTQTGDKVAIKKITNIFEHSSDAIRILREIKLLRLLRHPDIVEIKRIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTHEHHRFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKICDFGLARAAFTDTPTTIFWTDYVATRWYRAPELCGSFYSKYTPAVDIWGIGCIFAEVLTGKPLFPGKSVVHQLELITDLLGTPSSDTISRVRNDKARKYLTDMRRKKPVTFSEKFLDVDPLALRLLQRLLAFDPKDRPTAEQALADPYFKGLSKVEREPSCQSFSKLEFEFERRRVTKEDIRELIFREVLEYHPQLLKDYMAGNDHSNFLYPRCTVSSLSMIGGPPKLQQCLAAFENRPMVENVSCNASGNIFNAAAARPPPRMPKGRVVGPVLPFEGSREMKDPRVMVRNGGGYAYRSNSGKIEADDRDSYSLIKQQTTATATAKGLINSNPYYNQGHGHGQQANERIAMDPKFMQVAQPQYGVAGAAAVAVAAHREVGVVQIS >KVI10553 pep supercontig:CcrdV1:scaffold_259:242567:244480:-1 gene:Ccrd_011045 transcript:KVI10553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MVGGGGIGIGATPRSVSLLPHNRFRPPNSNPIPRNRTHFLERNRIPPTKLSVHKFSPIRIPSFSIKATASSVGNTEYVEEPATNVKFQSSLSLPGCPSSLSLIGTEKSLQIVLVRDVDGKTFWDALDEAISPRIKSPQVDETALSTFRGIFQNRPLKKGTSIFLTWLHPSKMLVHLSAEGIPSAADATIQSENVTISLFDVFFGRGPVSPSLKASVSNCLASILR >KVI10547 pep supercontig:CcrdV1:scaffold_259:57800:62305:-1 gene:Ccrd_011058 transcript:KVI10547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNVCEMAEATVLCCADEAALCSTCDEKVHAANKLASKHQRVHLSTYNSQMPMCDICQETVGYFFCLEDRALLCRKCDVAIHTANTLVSSHQRFLLTGVKVGLEAAELGASTSSMKLHYTKDISDAPKSPSPSIRSVSLSSTDKYSKPEPVQAAGAGDFTPPSLPFAGGSSEDGIQQWEFDEFLGLTDLNQNYNYIDNISSKADSGKLGDSDCEGFGQVPDASWAVPDICSPPTASGLYFHDHYHHHHRLETPAFVPDVCYSAFPDLYPSQQSTSSQKKRRQF >KVI10545 pep supercontig:CcrdV1:scaffold_259:101864:103139:1 gene:Ccrd_011056 transcript:KVI10545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MPGNSYNGSSSVPTSRIERLLRERELRKNSKSSYSNEANGNEAVDLESRLREGDNFVEQYLEGASAAREGWEKPDGGLFTQRLLVVANRLPVSAVRRGEESWSLEVSGGGLVSALLGVKEVEAKWIGWAGVNVPDEPGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKDHNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAVSLS >KVI10558 pep supercontig:CcrdV1:scaffold_259:211311:215294:1 gene:Ccrd_011048 transcript:KVI10558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MSGSMASISPSTPWPQRSHSNINHHHSTLNLKSTTNFIVPSGRKNSKPFQSLIVNSAAESLNRTTGKATPTPPPLVVVGSANADIYVEIDRLPKEGETISAKTGQTLAGGKGANQAVCGGMLSYPTYFVGQVGKDAHGKLIMEALEGGGVLIDQLNTVAEVPTGHAVVMLQSDGQNSIIIVGGANMCGWPQNLANDDLEIVKNAGIVLLQREIPDSINIQVAKAARSAGVPVILDAGGMDSPIPDELLKHVDILSPNESELARLTGMQTESFKQISLAVAKCHKLGVKEVLVKLGARGSVLFTEGNEPIRQSIIEAEKVLDTTGAGDTFTAAYAVALVEGKSKVECLRFAAAAASLCVQVKGAIPNSELDKFSALKLNDKSFMEDGSSRRLELHEVHSGPNPISNSFTEEVPDINIQTAP >KVI10554 pep supercontig:CcrdV1:scaffold_259:283444:289439:1 gene:Ccrd_011042 transcript:KVI10554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MPRHDDRHGTTRLRVGHLASRTRSHDLEDVFSRYGRIHDVDMKRGIAFVEFSDPQDADDARHRLNGCDVDGSRIVVELAKGGTLTCEEEVVVVPQVALVNLWAEILLQRQVHQKVLTDNTITIDFSRLA >KVI10557 pep supercontig:CcrdV1:scaffold_259:297693:299874:1 gene:Ccrd_011041 transcript:KVI10557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MVALSKPPIEQFFVKPSKPNTNPLIIPSIPLIDLAKPDSKHQLVKACQDFGFFKVVNHGVPKRFINKLESEAVKFFSSPLSVKEKAGPPDPFGYGNKSIGRNGDVGWVEYLLLNAKLESDYQRYLSVFEENPEIFRGVVNDYVTAVKKMACEILELLADEMKLQPRNVFSKLLMDEESDSVFRVNHYPPCPEFQENERNGRKLVGFGEHTDPQIISVLRSNNTSGLEISLRDGSWMSVPADSDSFFINVGDSLQVMTNGRFKSVKHRVVANSRKSRVSMIYFGGPPLSEKIAPLTSLMQGEEDSLYKEFTWFEYKKSAFNSRLSDNRLGLFEKIVAS >KVI10555 pep supercontig:CcrdV1:scaffold_259:253142:255986:-1 gene:Ccrd_011043 transcript:KVI10555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MDLGPCYTSYAIAEGRPVRERRLPCCGIGIGWLLFIIGFFLAAIPWYVGAFILICARYDHREKPGYIACLIAAIIGTIAIIFGVTNDDWDWDLDW >KVI10552 pep supercontig:CcrdV1:scaffold_259:248364:249808:1 gene:Ccrd_011044 transcript:KVI10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MPWLKGNYLLLFSSSSSSSPFQKTWCVAKPSSSQATLLENINFSCSQVDCAILQKGGACYTPDNVINHASIAMNLYYQSKGRNNWNCQFKNSGLITVSDPSYGGCPYL >KVI10548 pep supercontig:CcrdV1:scaffold_259:30861:34385:1 gene:Ccrd_011060 transcript:KVI10548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSKQVSCLQDNVCATNSSSLYINCGGKQFEDGKKVYEADVERGGASHFSSTGSRWGFSNTGHFLGNGRDDYILPNPSRVLMNNSGLYESARTSALSLTYYGFCMRNGSYNVSLHFAEILLTDDGTYSSLGRRVFDIYIQGKLVEKDFDISDKAGGVGKAYVSTHSVNVTNTLEIRLYWAGKGTTNIPFQGVYGPLISAISVDPNYPVPPEDGLGNGHGVSRGVVAGIVVGSVCCIVVILGVLWWWGYLRHRDTIDLELTGVTGSFTLRQIKAATNNFDVANKIGEGGFGSVYKGVLPDGTLIAVKQLSSKSRQGNREFLNELGMISALQHPHLVKLHGCCIEGNQLLLAGYMAPEYALRGYLTDKADVYSYGIVLLEIVSGAIALKNGGNLMELVDPRLGSDYDVQEATVVINLALLCTTISPTDRPAMSAVVSMLEGRTVARAFDVEQSVATTEVDREKMMKQLECMNESRIEEMSIARTDS >KVI10546 pep supercontig:CcrdV1:scaffold_259:40899:49503:1 gene:Ccrd_011059 transcript:KVI10546 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MRLRENSSFGVLLVIISILSPLMEFGAIQIDAAKNGCDLFQGNWVSDQSYPLYNESTCPFLEKEFNCVNNGRPDRLYLKYRWQPHGCRLSRFDGRNFLEKLRGKTIMFVGDSLSRNQWLSMLCMIHSSVPTANYAVNISVIMDMTTYTFTDYGVKVIYHHNLYLVDIVKKTFGRVLTLDTLTAGKLWLNVDYLVFNTWHWWNRRGASQPFDYIQEGRRIYKDMDRVVAFGKAVTTWGRWVDANTVPNKTQVGSDWGEPKAKSCSGQKIPLLSSSYPGVSPPALSVLKNSLKTIKKPVTLLDITTLSLLRKDGHPSAYGLGPVDCSHWCLAGVPDTWNVLLYNLMA >KVI10549 pep supercontig:CcrdV1:scaffold_259:196:27171:1 gene:Ccrd_011061 transcript:KVI10549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup SLLGNRLTGSIPKELGYITTLITLTVEDNQLSGRIPEELGSLSRIERLFLNSNNFTGELPISFANLITMKEFRIGGNSLSGKIPDFIGEWTQLTNFDLSYNKFTLDSSQNLRCQMRRTGEQVSCLRDFVCARNSTSWYVNCGGVEQLEDGKVYEADVEPGGATFFSMADRPWRFSNTGHFLDNGGDDTYVLENTSRVVNNSKLYKSARTSALSLTYYGSCMHNGSYTVSLHFAEIRFTDNGTFSSLGRRVFDIYIQGVLVEKDFDISGKAGGVGIAIVKNYTVYVTTSLEIRLYWAGKGTLNIPNRGVYGPLISAISVNPNFSVQLEDGNGVSGGIVVGIVVGAVCIILLILGVLWWWGYLRHRDTMDLELTGVTGSFTLRQIKAATNNFDVANKIGEGGFGSVYKGVLPDGTLIAVKQLSSKSRQGNREFLNELGMISALQHPHLVKLHGCCIEGNQLLLAYEYMENNSLARALFGTEKWQLELDWPTRYRICIGIARGMFFLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYALRGYLTDKADVYSYGIVLLEIVSGMANTVDRTKENHFVLLDRAVALKNEGNLMELVDPRLGTDYDVQEMMVVINLALLCTTISPTDRPAMSAVVSMLEERTVTQEFVVEQSVSMTEMNCEKKMKQLVDMKESEIEEMSILCTDSTTSATDLYPADGFLCIALLPLGFVSIGTACLPSDEVNALREIGRKLGKNWDFQEDPCSRRANWVSATSNETFEDNRLIPQLSQWYHPSRMGFYGSSCKHFSPRESFIWINPKGTWKYYHTHYFFIVMLTFITSFCCFVVSFVLIRNVEGNLLSGRIPKELGNLSGIERLFLNSNNFTGELPPSFANLTAMKEFQISDNDLSGKIPNYIGGWTHLRKLKIQASGLEGPIPSSITLLETLSDL >KVI10542 pep supercontig:CcrdV1:scaffold_259:115220:121363:1 gene:Ccrd_011055 transcript:KVI10542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 FPIGIDSDRFIHALEAPQVQEHIRELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQYWHDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTTVPIHHLDRSLDFQALCALYAVTDIALVTSLRDGMNLVSYEFVACQDAKRGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMSAEEREKRHLHNFLHVTTHTAQEWAETFVSKGLDKFHHRFRLKKLLSAICSQVTDCLYWQGFNVTLTEPVDTPDRRGGDQIREMDLKLHPELKEPLTRLCNDPKTTIVVLSGSDRAVLDEHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKDEDIYTFFEPELPSDGIGIPRPKLSDATKPSGDRRYGKSGSKSSQKQAQQRHPQNAENKRNCNNNNNSNGVCGRRSSPSPSPDKITWNVLDLKGDNYFSCAVGRTRTNARYLLPTSDDVVSFLRELARAS >KVI10539 pep supercontig:CcrdV1:scaffold_259:204248:205525:1 gene:Ccrd_011050 transcript:KVI10539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNFHGLPPHKRFRLMHQEKPAIDNLDFNFPFPSCLPAKKRKESRYSPPFPTNLDAVAAGATYCLPAKKRITALHPNFLSEKPLSSFDLNLEYDPKSDEDNTSNPESVSKKGDEIDEIKEEEEEEEDGIIDPEGREGIDCSMVPSRRWGKRCYVCKKSNGCAIDCSEEKCCLSFHVTCGLKEDLCIEYKEGRNKGTIVAGFCKSHSDLWMKQQATGKYKIVARNEDK >KVI10538 pep supercontig:CcrdV1:scaffold_259:187684:200909:1 gene:Ccrd_011051 transcript:KVI10538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MYEIRETQSTSSLLFRAQMSGRNRGPPLPMKGAPHAGVPPIYEPPFVRNLGPMPHHALLEEMREAQFGMGPRQLPPHPAIIEERFAAQHQDIQGLLVDNQRLAATHVALKQELEAAQHELQQTDRFARNFLMEKDMQMRELYEKSAKMEHDLHGVESMRAELMQFHADIKELTATRQKLTAQVQAMTQDLARANADLQQVPALKSEIEGLRQELQHARAAIEHEKKGHAENYEHGQVMEKNLLSMARELEKLRAEMANAEKRARAAAAVGNPNPGYNTNYGNAEANYAGNSYPASYGMSSMNQMNPVQPGAEGYPQYGPGPGSWGSYSILAVAKQDSPGLASTKGTLKGTSTGVKSLEEEWNILPKRVYDVILWSVWVPQFELEC >KVI10543 pep supercontig:CcrdV1:scaffold_259:122666:153246:-1 gene:Ccrd_011054 transcript:KVI10543 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MSLLDELLPISVEMAKRNLKRIWNFTNVGVVSHNEVLEMYKQYISPQFKWTNFTLEEQARVIVTAQSNIEMDTSKLKKEFPKLLLVKESLINYVFKPNKEAKVAVAAVRRCTTVIVAGNGTTVPGVARRCLLPSGPSHGTTREECRRSLKKKSAAQSNNEMNTSKLKKEFPELLSVKESLINYVFEPNKEAKVDDCFGMTTFTPKNILITGAAGFIASHVANRLIRSYPDYKIVVLDKLDYCSNLKNLNPFRSSPNFKFVKGDIGSAETLKSILITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTRQIRRFIHVSTDAVYGETEEDVVVGNHEASQLLPTNPYSATKAGAEMLVMAYCRSYGLPVITTRGSNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYIYSEDIAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICNLFKMDPEASIKFVDNRPFNDQRYFLDDEKLRSLGWFERTTWEEGLKKTIKWYTSNPDWWGDVSRALLPHPRMLMMPGGVERDIDVPKNFQSDSPHVAVNSSQIQKMVPASKSSTNSPVLYFSIIILVESLLSRPQIHIHSDTYPDPDPDQLNVNCCGMTTYTPKNILITGAAGFIASHVANRLIRSYPDYKIVVLDKLDYCSNLKNLNPSRSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTRQIRRFIHVSTDEVYGETEEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDMCNLFKMDPEASIKFVENRPFNDQRYFLDDEKLKSLGWSERTTWQEGLKKTMEWYTSNPDWWGDVSGALLPHPRMLMMPGGVERVIDVPENVQSDSPHAAVNSSQIRKMVPASKSSTNSPNKPSFKFLIYGRTGWIGGLLGKLCEKQGIQYEYGKGRLEDRSXILADIQNVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVSGTLTLADVCREHGILMMNFATGCIFEYDIAHPEEYDNVCTLRVRMPISSDLNNPRNFVTKIARYDKVVNIPNSMSILDELLPISIEMAKRNLKGIWNFTNPGVVSHNEVLELYKQYINPEFKWANFTLEEQARVIVAPRSNNELDTSKLKKEFPELLSIKESLIKYVFEPNKKA >KVI10550 pep supercontig:CcrdV1:scaffold_259:238667:242346:1 gene:Ccrd_011046 transcript:KVI10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGNARFLGLYITISVLAFVISKITIAILMYRRWQRKHLVMQQSTISGGKLVLFRSPNIKSIKSDIFLKKTMKLTSKDRIGSGGYGTVYRLTINDSTSFAVKKLSKETTEQDRGFERELEAMGDIKHRNIVTLHGYYTAPNYNLLIYELMPNGGLDTLLHGRTMEKVKTVVQDKKEDYVLDNRLEDYPKDEIDQ >KVI10559 pep supercontig:CcrdV1:scaffold_259:206918:208821:1 gene:Ccrd_011049 transcript:KVI10559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MASHSFTPSNLSLRLHYSTKPTFNSGLISSNRFLLTIPTTRFSPSIRSMGSSSSSSQKPDATAQDSMFDQFAEAGKMDYKSVSDQEWKKKLTGDQFYVTRQKGTERAFTGEYWNTKTKGTYHCICCDTPLFESSTKFDSGTGWPSYYEPIGSNVKSKLDLSIIFMPRQEVVCAVCDAHLGHVFDDGPPPTGKRYCINSVSLKMKAN >KVI06750 pep supercontig:CcrdV1:scaffold_2590:53841:55166:1 gene:Ccrd_014895 transcript:KVI06750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MDSTILAFFFIAFVSTTTKAFDPCPSLKPKSDGSDLSIIHIYGKCSPFDSPKPTSWATTVLNMAAKDPQRLTYLSNMVATKPVSSVPIAPGQVINVGNYVARVKIGTPGQLMFMVLDTSADTAWVPCTGCTGCSSTMFSQNMSTTYGSLDCATSECVQARGLSCPTAGPTNGNCLFNQSYGGDSSFSATLSRDSLQLANDIIPSYVFGCIGGVSGNSIPPQGLLGLGRGSMSLLSQSGSLYSGVFSYCLPSFRSYYFSGSLKLGPNGQPKTIKFTPLLKNPHRPSLYYVNLTGISVGRVNVPIAPELLDFNPNTGAGTIIDSGTVITRFVPAAYNAIKEAFRNQTQGPFSSLGAFDTCFSVTHENVAPKMTFHFTGLDIVLPMENTLIHSSAGTLACLAMASAPDNVNSVLNVIANLQQQNLRILFDVANSRVGIAREICN >KVH97035 pep supercontig:CcrdV1:scaffold_2591:11432:24599:1 gene:Ccrd_000871 transcript:KVH97035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEPKQHVRFTLGKQSSMAPNTGSCSDSDDGLEAIDPRVRLMFFSSEGDLEGIKKLLDSGTDVNFKDIDNRTALHVAACQGFSDVAELLLEGGAEVDPQDRWGSTMQSIISTMAWSNFWRNMVPNIWQMAPMHVKNAREVPEYEIDAKELDFTDSGTFAMASWRGTQVAVKKLGDELFTDEDKVSAFRDELELLQKIRHPNVVQFLGAVTQSSPMMIVIEYLPKGDLGAYLKRKGALKPKTTLKYAMDIARGMSYLHENKPEPIIHRDLEPSNILRDDSGHLKVADFGVSKLLKMIEGCQPFHTLNERDVPKAYAAKRRPPFNAPSRSYPHGLKQSNHGGVLGSECIRRKRKTCTY >KVH97036 pep supercontig:CcrdV1:scaffold_2591:2465:8684:-1 gene:Ccrd_000870 transcript:KVH97036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPRSRSVETISSSKQRERTAALLCYLYVFFLHRQQTARMNSSLTKRHSHHLLLEEPIRMASILEPSKPRFFPGMTKIVGTLDPRSRSVETISSSKQQERTAALLCYLCVFFLHRQQTARTDSSLA >KVH87712 pep supercontig:CcrdV1:scaffold_2592:31698:76584:1 gene:Ccrd_025002 transcript:KVH87712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mammalian uncoordinated homology 13, domain 2 MEEENEVELLQRYRRDRRILLNYLLSGSLIKKVVMPPGAVSLDDVDLDQVSVDFVLNSVKKGDMLELSDAIRDYHDSTGFPHINAGSGGEFFLATNPESSGSPPRRAPPVVPFVAPSPIMPDTSLPFEATEEDEPAMISKSQSNLGQVQDLTVDDIEDFEDDDDLEEINSLRYSRRTMSDTSDLVVGLPSFATGITDDDLRETAYEILLAAAGASGGLIVPSKEKKKEKKSRLLKKLGRSKSEQVTTQSQQSTGLTGLLEIMRIQMEISEAMDIRTRQGLLNGMTGKVGKRMDALLIPLELLSCVSRTEFSDKKAYIRWQKRQVSSFSLLALLPISVSFAYLTILGQLNMLEEGLVNHPVVGFGESGRKASEMRILLARIEESESFAPSVGELQRIECLRSLREIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSIFDVLDEGKLTEEIEEILELLKSTWRILGITETIHHTCYAWVLFRQAIGMVQGFDLHKKHIPISIAIILAVVLQFVMTREHALLRHAIEQLNKVPLKEERGPQERMHLKSLFCKVENEEGSQELTFLQSFLLPIQKWADKQLADYHLHFSEALAMMENVVSISMSARRLLLEEHVSAMQHAPVTDRDKIESYVSSSIKSAFVRTLQDVETMSNATDEHPMALLGEETKKLLKKDATIFMPVLSQWHPQAVVVSASLLHKLYGSKLVSSSTGLWMVSPMLIIASELLNMEIYNFIYWLNLQKPFLNSVEQLTEDVVLVFPAADSFEQYVMDLITSSCGEGEAEVSMKKLALYKIETISGTLVLRWVNSQLSRIMGWVERAIQQERWEPVSMQQRHGSSIVEVYRIVEETIDQFFALRIPMRSGEMNSLFRGIDNAFQVYAKHVVDNLASKEDIIPPVPILTRYRRESGIKAFVKKELTDPRLPDMRKSRDINVLRTPTLCVQLNTLYYGISHLNKLEDSIWERWTRKMKHGKYNKRSTDENLKKESFDGSRKDINAAIDRICEFTGTKIIFWDLREPFIENLYRSNVSESRLETLIEPLDVDGLLRVLLDGGPSRLFVSSDVKYLEEDLEVLKEFFISGGDGLPRGVVENQVARVRQVIKLQGYETRELIDDLKSASASDRSKLGADTKTLLRILCHRSDSEASQFLKKQYRIPKSAA >KVH99658 pep supercontig:CcrdV1:scaffold_2593:63593:65290:-1 gene:Ccrd_022107 transcript:KVH99658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1005 MDPCPFVRLTVGNLALRIPVASKAARSVIHPSSSPCFCKIKLKNFPVYTAVVPFFPPESHNLELQTLAATFHLSKSHLEKLVAKSLFAGKLCLKISIFTGRRGSSCGLSSGKLLGKVIVPLDLAGTESKACVYHNGWITVGKDADKSSSSAQFHLNVKAEPDPRFVFQFDGEPVCSPQVFQIQGNIRQPVFTCKFSLRSTTAGDQNQKHVLIHCNVLCFKCGLIHQTVEVDSISCSNRKINPPFSIVCFRSLQSEPSGSRGWLSSFGSERERPGKERKGWSVTVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGCWLILRPGDGTWKPWGRLEAWRERGAHDGLGYRFELIPDSAAAGVVLAESTLSSSKGGKFVIDIGAGNGGGSGRKSNVTSPVCSPRGSGDFGYGLWPYCAYKGFVMAATVEGEGRRKAAAMMVEVSVQHVNCTEDAAAFVALSAAIDLSIDACRLFSQRLRKELCQPEDLV >KVH99656 pep supercontig:CcrdV1:scaffold_2593:47462:47750:-1 gene:Ccrd_022105 transcript:KVH99656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVRPKISSKERGGSSPLQGIILRIILQNFGDLIYLMNGDSSLKSSTLHSPPSICFNKNHRRVDDKPLIK >KVH99659 pep supercontig:CcrdV1:scaffold_2593:58606:58953:-1 gene:Ccrd_022106 transcript:KVH99659 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 6 [Source:Projected from Arabidopsis thaliana (AT5G17870) TAIR;Acc:AT5G17870] MSVSAIFGVKIVAFSPNPILRQPSHGFSGGTTSVAPPAVGYGHGNSLGLVIECSSRPQKKATAHHRKTRPRKTQAWDRNRGPAVYPPLPSLPPEWTLVTDTVVEDSSSSSPAVSE >KVH99657 pep supercontig:CcrdV1:scaffold_2593:544:9034:-1 gene:Ccrd_022104 transcript:KVH99657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDADLQKNTIYNSASALEVVDDGFRKNIDDDGREKRTGSGVLSLAWAIAQLGWVAGPAVLMAFSFITYFTSTLLADSYRTPDPVSGKRNYTYMDVVRANLAVKKSNCFHEKGHDAQCKPSNYPYMILFALIQVVLSQIQNFHKLSWLSILAAVMSFAYSSIGLGLSIAKVAEGGHVRTSLTGVEVGVDVTGSEKIWRTFQSIGDIAFAYAYSTVLIEIQDTLKSYPPENKVMKRASLAGVSTTTLFYVLCGCLGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAIHLIGAYQVFCQPLFGFVEMKCRQQWPDSKFITTEHIVNLPFFGEYYVNMFRLVWRTAYVTLTAIVAMIFPFFNSFLGLIGAGSFYPLTVYFPIEMYIARAKIPKYSFTWVWLKILSWVCLVVSLVAAIGSVQGLLMDVKKYKPFQTE >KVH94917 pep supercontig:CcrdV1:scaffold_2595:40832:43577:-1 gene:Ccrd_003015 transcript:KVH94917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLTVLKGKKKKSNPSIHVKRINPQDPTPTTLPEPLTQARGLQSAPPSFRTRVRPVQPSQTTNTSRMRAWSAPSTLDTAEQDALSAAEFEEHEESKTRGGGLPPVPQPLPLPAPHSANLKPMGSFKVGSGSGPLLPLNASGPLPLPPSGPLLPPTIPSSLPASGTLKNFSFEEIAAACRNFSPDRCVSEGLSSVMYRASFGEDTSSVKNHQGTVTSLHPSSQGLKEFVSEVNTLASLQHPYLCKLIGFHAREGSDRRMLVYERLFHGSLDRLLYGRTDGPPIDWNARMKVALCAAQGLTFLHEEGPFQAMFHEFSTANIQIDKDFSAKLSGYGCISHIPETDISNSSVAMANLSVETLERGLLTPKSNVWSFGIVLLELLTGRKNLDCRHPKEERNLIKWSRPFLADDSRLSLIMDPQLKGRFPARAARTMADIAQKCLQTDPSERPTMRTIVEHLKIIQEMKFSCRFPLQEPCTINRKHMAKSLSLNGIIYPAPRLSYSPSPPPRLPVLPSSLPPRNCASNLTMEGVVERQESRRSSSSARRSSVEGF >KVH94915 pep supercontig:CcrdV1:scaffold_2595:12205:29488:1 gene:Ccrd_003016 transcript:KVH94915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPTAGNLHFRRYTFSKDQRICMNELCGASLAAAGDYKKGWALRSGDFAKLCNKCGIAYEKLAFCELFHLVDTGWRECTSCGKRLHCGCIASSAFIELLEDGGVSCASCSNSLRREPVRSSYIYSYLYLEETCHSLNQDQPKKFNFLSTSEDKPEECGVSVENSVGEMRSSSIKMLKQCGDGTGDDGQKYFLPSDNDDASTSQMKQEESLPPKGEMQTMSPSISTFNGTSESAHGTKVIKYKESTGVKDAYGSLVQTDLTIGLASSRNPVFLPSMAVEERELNKSYSSFQHGPWSRHVLPKVPKSILAQGYDGNASMNSQIRVARPPVEGRIKNQLLPRYWPRITEQELQQISGEYPCSTISNATVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWLFQFRFWPNNNSRMYVLEGVTPCIQSMQLKAGDTVTFSRMEPEGKLLMGFRKAPISASMQDSRIAAIHSGVFPSEPSLSGVNTIGNLSHMSDYSGLLQSLKRNTDSHLNALSTNTNSPIGDASRHANEKLGSKSSVDSFQPDKKRSRNINSKSKRLLIESQDALELKYTWEELQDMLSPPTALKPSTITIEDHEFEEYEEPPVFGKVSIFTARLSGEQEQWAQCNNCSKWRRLPVNFLLPAKWTCQENVWDQSRSVCLAPDELSPRELEYLLGLAKEFQRLRRPDQAQGVTGHNLTEPSIAATTKHPRHRPGCSCIVCSQPPSGKGKHKPNCTCNVCMTVKRRFKTLMLRKQQRQSEREAEIAEKNQLKLETEMETGFAELRSSGKGQLDLNIHPRRLQDNHAKNHVSMMSLLQAANQPLETYMKQKGLTSLISEPQASSVTQPLPQDTPTVQADAQCNEEERQGLDENQNSS >KVH94916 pep supercontig:CcrdV1:scaffold_2595:19274:29324:-1 gene:Ccrd_003017 transcript:KVH94916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLLLEEDSSCLHGFLRDYLVNGEDGCLGLIVPCPMISTLQNRSNQGGALGVWWWRQWMARLDCVRLLPALGLASATSEILTNKITRRLVGMPRELRKTSLNMDTLQISAATLVLIEVTGKLWPMKHLYLNCTATSPQGANQQVVAYEAPILELDHHHCTGSELGAAGYALYEHPSTESEKESILAEVMCPQCGFGA >KVH91445 pep supercontig:CcrdV1:scaffold_2596:38238:40471:-1 gene:Ccrd_006532 transcript:KVH91445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein IVTEGASHGLSVDAVSFSKPDTLGPKANPVILRELRCMGKFYLRKLINGCLSSINRFYSTATRTIQYPSSKPPPERFLRLCAEGRINEAFRTFTSDVYLDPSLYSYLLKSCIQIHSLSLARQLHSLIITSGCSSTRFVTNHLLNTYLKLGQSQIAVSLFDVMPKKNFMSCNILIGGFVQSGDLDKALKVFDEMPNRNIATWNAMVTGLTEFEYNEEALRLLSEMHVLGFYPDEFTLGSVLRGCAGLKSVSAGRQVHAYAVKSGVEINVVVGSSLAHMYMKSGSLAEGEEVIKAMPVHSVAVCNTLISGRAQSGCSEEALNQYYIMKMAGFRPDKITFVTVLSLCSELATLGQGQQIHAEAIKSGACASVSVLSSLVSMYSRCGCLQDSLKAFSESLIPDVVLWSSVIAAYGLHGMGKEAVAFFNWMESEGLKANNVTFLSLLYACSHSGLKDQGIKIFDLMTDKYGLEPQLPHYTCLVDLLGRSGRLEEAEAIIRSMPVKADSIIWKTLLSACSIYKNADMAKRIAEEVIRVDPQDSASYVLLANIQASAKQWHNVSDLRKAMRDRSVKKEPGVSWFERKNHVHQFCMNDKSHPDSKKINLYLKKLTAEMKLLGYKPDTDSILHDMNLEEKEDDLVQHSEKLAIAFALMNTPDCVPIRVMKNLRVCNDCHVAIKYISVIRNREIVVRDASRFHHFKQGQCSCGDYW >KVH99517 pep supercontig:CcrdV1:scaffold_2598:71378:72242:1 gene:Ccrd_022249 transcript:KVH99517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLNFLSLNVNGLGGGVKRKWLKELKQDNEVNFLCIQESRISSIPKENVDMASVDPYDKSGGLVSLWDSTLFIXLNSFMDRNYLIVTGSLCPSGVKLGICSIYAPQDSSXKARLWSALTELLESIDVDAWICCGDFNVVRCQDERVGSEFDVGSALDLLNFIYENGFLDLKMGGRKFTRKSADGFKWSKLDXFLVSQSFLSFWSKACVXAMPFLYSDNCPILLDGCDEDFGPIPFKLFNSWLSDSDFVQMVKEGWIKNHNGYSNSSNIRLFFSN >KVH99516 pep supercontig:CcrdV1:scaffold_2598:56573:68322:1 gene:Ccrd_022248 transcript:KVH99516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hpc2-related domain-containing protein MEEGSGGGVAGAASSSSSTLLSGGGRQRFEVELRPGETTIVSWKKLIKDANKAANNKNDIKAPPVSVPPVPLPVAANPALDSRIAPISGNNAEDEANDAPPGSRFSAVIEKIERLYMGKNSSDEEDLNDVPDDDEYDTEDSFIDDTELDEYFQVDNSAIKHDGFFVNRGKLERTSVSLQILLLFASSIIALTSFLNEPTVLPTEQPKKRRRKDSTKGYSGSNDGNVPNKHMKVNKKEGRKVVASVDKDSFTPSRSITLPTANCEDVKYQSPIIALGTVVKKPSDPKTLMDPCPTRMLNGEARXMAKNIDKQKTGILQSKKHGTKLKDGSLSPALASQRANDKGADVQLKAQXQLLXNSEELNQSVLPREKNVIHEQADIKVSEIGQQPKNTHMVRKEGLSAKPKSTSLMLEKAIRDLEKIVAETRPPSMEVPEADNSSQAVKRRMPPEIKQKLAKVARLAHTIHGKLTKELLNRLMNILGHLIQLRSLKRNLQNMVVMGLSAKEEKDTRLQQLKKEVDEMVKIRAPLMKPEAIEQQAGSSDDFQETGTKEKVKSRFTTDDALEDKICDVYDLFVDGLDEDAGPQVRKLYAELAELWPKGLMDNHGIKRAICRAKERRKALHRRKEQENLKRKKLLAPKTETLAVDGGSVQHAPDKMVTDSSAAVRSISNTIAAAAAAAARSPNTPSADKPKQEKLKNTQNETMAPEVLVKKKVKRKAEGESDDGQLRHEKSTSTQGEERRKSHKQTAIAGPVKPAAQSSIVTPLPAAAQPTQPTVNSPGDMA >KVH99519 pep supercontig:CcrdV1:scaffold_2598:3023:5265:1 gene:Ccrd_022246 transcript:KVH99519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IINSPTTDGRQYNLPSAIEVAGLIVGDLSENNFERDVIVEHRTTGLQRITDLHPSFMSMTYPLIHPYGEDGFRENILLQNMDGSSSKRQLVTMRQYYCFHLQQRSNEGHTFLRAALSEDMATLQRKKYKAHDMQQTDDQIEAHTLFKIEAIMLKMGKSLIYGMSLPNTELLRKFRNRLVNEELDYCTQDLKVAHEIAFSSLNTC >KVH99518 pep supercontig:CcrdV1:scaffold_2598:25967:26284:1 gene:Ccrd_022247 transcript:KVH99518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFHFVDLQFHLYFIDLRSRGFIRRSRFKLQDLTIEAKKPVEKELLSISYLETEEITDPLKYGIRLSKEILSDLLWEAIYPRLSTRG >KVH94314 pep supercontig:CcrdV1:scaffold_26:708992:715998:-1 gene:Ccrd_003632 transcript:KVH94314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MENLVSSWSKTVQISPENYVFPAGERPGSIEVPLCQNIPLIDLGAAEDQTQTIQEILSACQEFGFFQEKAKIYSIDPNKSCRLYTSSYNYANEEIHFWRDHLRHPCHPLDKWVHLWPENPTRYRDVVGKYTDEVRKLSLRMLELIREGLGLESGYFGEELIKDQLLAINHYPTCPDPNLTLGLPKHADPSVITVLLQENVYGLQVFKNGQWLGVKPVPYAFVVNIGLQLQIISNGKLRGAEHRAVTNSREARTSIVSFINPSHDSIIEPAKSLIDTDIPLYRPFKFEDFLKFYEKKKGDTTALMESYKGQMAISSSIKVAYIVEIVWSNGIQSVPKDYVMPPERRPGDFVSVCNEIPVIDLQENPNVDRSQIVQQILKASQEFGLFQVINHGVSAKMMEDIRVLYDEFFNMSIDDKLGVYSETFGTGCTLYTSGLDYAKEDVHYWKDTLKHRSYPLEEHTPSWPEKPVRKVAFKILDLIGEGLGLKEGHFIKVSQEQAMAINYYPPCPDPSLAMGIGGHTDPNLITFLQQDQYGLQIHKDGKWMGIDPIPNAFVVNLGYQLEIISNGKLKGVEHRGVTSSTAARTSIVTFFGPDPILPVVVEPAKELVTSNTPQMFKSYQYNNFIADYLAYLVKPLPRTGTPLDPYRL >KVH94269 pep supercontig:CcrdV1:scaffold_26:769093:769966:1 gene:Ccrd_003625 transcript:KVH94269 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MTGSGSPCGACKFLRRKCVRGCVFSPYFCHEQGAAHFAAIHRVFGASNVSKLLAHLPVNGRCEAAITIAYEAQARIQDPIYGCVSHIFALQQQVVSLQSQLASLREQAAQSLINGSSCSNYVANDHRPNGRLPSYTPPQDIQSWLQESESSCRNVPQYDQNSSTNNNVGNMNEIMNFNSIKENNQNSLLKEEDGSFSSFQEGSSYSIESLDMQMSYNKEWAFQENSEDLHSIAFGYIHH >KVH94280 pep supercontig:CcrdV1:scaffold_26:206188:212233:1 gene:Ccrd_003671 transcript:KVH94280 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MTDEQRDAALFLVSQQYLLESLGRGDDSLALSLLRKQISKLQVGREKVHKLAFSLFSPGELGLNEVHEDGDVFQKLRRNLINELEKLLPPPITVPDRRLEHLVEKVVCSQIDSCIYHNSLDPVSIYGDHRCGRDQIPTETVQILSDHKNEVWFVQFSNNGNYLASSSSDCTAIIWKVLEDCKLVLQHTLSSHQNPVSFVAWSPDDTMLLTCGSSEVLRLWDVETGTCKHTFGDSGFLVSSCAWFPSSKQLVCGSSDPKKGICMWDCEGNETKAWRGVRMPKVSDIAVTPNGENLISIFSDKDIRILNVVTNVERVISEEHPITSLSVSGDSKYLIVNLNSQKIHMWDIEGSWEKPLTYKGHKQHNSDPIEVLSGHLMTVNSVSWNPKRHQMLASASDDQTIRIWGPNRSEKMKLV >KVH94284 pep supercontig:CcrdV1:scaffold_26:171204:180809:-1 gene:Ccrd_003674 transcript:KVH94284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MQSGSLSRSITDEIGYNRQTQHRSVHHAPPNQGVHMAAIFSTSAMLHSFIFASSRKSSTPLTTTTSRFRAKMSSSAVTVTSLESTPIVLGFGGVAVDLLATVAAFPNPDDKIRSTSLKVQGGGNAGNALTCAARLGLNARLISKVANDAQGRGILEELEADSVSEEGNSPFTYVIVDNQTKTRTCIHTPGSPPMIPNDISNSTLLAALAGVKLVYFDVRLPQTALVVAHEANRRRIPILVDAERPREGLDDLLNLSDYVTWTGAPSVPGALISMLLRLPKVKFVIVTLGADGCIMLERSIGGRQKIAGKGDWGCVWEAIVWHS >KVH94313 pep supercontig:CcrdV1:scaffold_26:643543:644742:1 gene:Ccrd_003637 transcript:KVH94313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETEEWSLKKENQIQRKFKNTKEEAQVSKRKALPAFAQTWCQSQIPNLTSAPSQVNLLLTTSSHETKAKKMENHPPLPTSLLLLLMFLAPMVTQSAAGPGFAYTRNRGRCTPQYWSSRREAWPRMIPQGSAVSKVFGSRAYERYRYDLTLLEATSRNDDGENVFARLVKESTAALINSYTRKAYPYSAWEVKTAVIQGLVSDEAAAVQARRFYDANRACN >KVH94305 pep supercontig:CcrdV1:scaffold_26:426090:447415:1 gene:Ccrd_003651 transcript:KVH94305 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase/succinyl-CoA ligase MVGGLFNKLASKSLKVAGKWQQQQLRRLNIHEYQGADLMSKFGINVPKGVAVASVEEVRKAIQTTFPNEKELVVKSQILAGGRGLGKFTSGLQGKMLGQTLVTKQTGPQGKVVSKLIIACREGGTSIEDLAEKFPDMIIKVPIDVFKGITDKDAAKVVDGLAPKVADRNASIEQVKKLYNLFRESDCTQLEINPIAETSDNKLVAADAKLNFDDNAAFRQKEIFALRDPTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQAKIEQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKHVSLHRFRYWYPLSYPF >KVH94283 pep supercontig:CcrdV1:scaffold_26:167210:170236:1 gene:Ccrd_003675 transcript:KVH94283 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSKDKLVVEVLGAHNLMPKDGEGSSSAFVEVEFEGQRQRTQVKYKDLNPVWNEKLVFHVNDVADLPYRTIEVNVFNEKRSNNSRNFLGKVRVSGTSIAREGQEEVIPQLHTLDKRSLFSHVRGEITFKLYLSVKEHVKGGGGGGAVVVPGGVSKKNKKFQQQQQQSASTVVQNHQQMVGVGGQENKKMTHPNQMTNKPEPNQGELKPVVITSIPGPPMNVAAPGGVGGGRMGLFSGGSNEYSLKETNPHLGGGLLNKDKTSSTYDLVEQMQYLYIKLVKGRDIPVVGGGEVVAEVKLGNYRGITKRVTLNNAEWDQVFAFSKDTIQSSMVEIFVKERDKDDFLGRIWFDLNEVPKRVPPDSQLAPQWYRMDDKRGERGKGGEVMVAIWFGTQADEAFSEAWHSKAANVHLDGLCSIQSKVYLSPKLWYLRVSVIEAQDVVLGEKGTSVMRYPELHVKVQVGNQVLKTRVAPAMANRSLSNPFWNEDLMFVVAEPFEDYVMLSVEDWIGPSREEVVGRVALPVSVVSRRLDSKEVASRWYNLDGHSNNPNDAKSVVRFASRIHIRATLDGGYHVLDEATMYSSDVRPTAKQLWKPHIGVLEMGILGASNLMPMKIKEGKGGSTDAYCVAKYGQKWVRTRTVVDSLSPKWNEQYTWEVFDPCTVITIGVFDNSRVDKNPAGGTRDSRVGKVRIRLSTLESDRVYTHAYPLLMLHPSGVKKMGELHLAVRFSCANMLNMLHMYTMPLLPKMHYVRPLSVNQLDSLRYQAMNVVASRLSRAEPSLGREVVEYMLDHDSHMWSMRKSKANFFRLTNVLAWLVFMNRVIDAMRNWNMPVYSSMFVLAFMIMVMMPELIIPSILLGFAVIGLWRYRSRPRHPPHMDTRLSYAEGVHPDELDEEFDSFPTSRSAEVVRIRYDRLRSVAGRIQTVVGDMATQGERFQALLSWRDPRASFLFVVVCFFAAFGFYLVPVRWVVAMWGLYFLRPPRFRNKLPSSAVSFFKRLPTMADSML >KVH94272 pep supercontig:CcrdV1:scaffold_26:742988:744816:-1 gene:Ccrd_003626 transcript:KVH94272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRNNLGLGNKSRRRPEGLMEISDHRSDDNVAGAGTGFFYVKKSSTRRVQTKLEFRKYDPHASTKKQCHTKSTSRPLCAIAIDLLGLSEVVDDAR >KVH94279 pep supercontig:CcrdV1:scaffold_26:216046:228706:1 gene:Ccrd_003670 transcript:KVH94279 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDIMKTTSYTDDLSSSPSPTYRKNVFLMLARREIAPRIRKGLQRRQGSGTSEHDHRSFVKPKSESARDSRRELLSWVEAESLRCLSAKYCPLMPSPRSTIAAAFSPDGKILASTHGDHTVKIIDCQTGKCLKVLSGHRRTPWVVRFHPLRPDILASGSLDHEVRLWNANTSECIGSPVLMAVQWQRRDLLTCYRIEDKAFSSCHRLSLAAELPLMPLPLYSPSILRVDSRPQPQNGSRPVGSNTRLLESSDQHIFHANSTEQYEHLMASSMPTSNTHNPVNDSLANQNRSSLQLSDGSHQDGPSNMDISGVEGVHESRPSSLAQASDEIRQDLSRDRVRWELPFMQGWLMGHNQVGTPSTFPLRGSPHRYSVGDRRPGLTSLTPESTNTSREVAEASLVMPYNMNRVNPERPGLWDFYPHATLTSASEYRQSAPTNEPNDGGDSFPIISRIESQLSASLAATAAAELPCTVKLRIWPHDLKNPSASLKVERCRLVIPHAVLCSEMGAHFSPCGRYLAACVACVLPQFEADSGMPTQLHQEARGAGTSPTRHPISARQVIYELRIYSLEEATFGSVLISWPIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSIDINGETSLSIYTVLEVYRVSDMKLVSVLPSAEDEVNVACFHPFSGGGIVYGTKELAPALLTDAASWNWFRGLLLGFVYISPIRIPLMDGRYWICLIQLLVLFQQ >KVH94311 pep supercontig:CcrdV1:scaffold_26:329087:335551:1 gene:Ccrd_003659 transcript:KVH94311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEAEPALSIQRSGGRQLSNHGGATAALSSSFPIHRTNLDEKLPKLLDSQQSSTNGTVGHIFSSSSGMSSHLHLSSVSPDETCSKKAPFVTTQSLGVLRSTAFRQYMKENNSSSWCTDSLSDFLDYPENIPIESSNLEHNDSGLMPPEDIGKPNDWQDWADQLITEDDAITPNWNEILVDANVDDPEPKMAFHVGRSSTNLLKVKNLVPASPGEACAPLTPSSCGSGSQSKPRMRWTPELHEAFVEAANKLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYKPEPSSEGSSEKKPTPMQDLSSFDLKTSSEITEALRLQVEVQKKLHEQLEIQRNLQMRIEEQGRYLQMIFEKQCKFGIDQLKASSCTLEKSEAEMTNEISSSPTNTETKPDPITVEPSIEPEPLESQPSKRAKLNESSIESS >KVH94264 pep supercontig:CcrdV1:scaffold_26:311518:320610:1 gene:Ccrd_003661 transcript:KVH94264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR1/2/4 MAPNPRVAKAFRAMRELGIPEEKTKPVLKRLLKTYDKNWGHIEEENYRVLADAIFDSDEAEAAEQKKKLEQAERMKVIEEETQIPEEPERPLKRLRLRHQDGQASPSCISSSPNSRETPLKVPKLEIDDLPYAIPKSQSRAQAKTSAGEPVTRNESQPVSPQAHGSNKGKTPVLANTLAPHHLGDAERTQPDVANESGSDSALRPRHLRDKGKEPLSPQTDPREKRSISDRPSHGVRFKEPKPKQFPKQSTLALKKPKDEPVTDDSSPRVVPLSVIRPESMNNGDSTELVRDGSQLLTSQSAGNKDLSTHALAASNETSDRELAMVPVESTAKLEIASSSSGEIKISLSCNSLGKTNLSVTDVDSLLKTMEDKCLKSYKVLDPNFSMKKLMKDMCECLLDDETGSTPPNGSLGTSCAVDDGRKDVPPNADGSVGNEGEDGGCLPPCKGVDDAFQPAIVQNGIQGVDNAGDDCENDMHIEQNGIQDSELQNLTMVSNNQLIADDIRSLHDANDIAKGQESVIISLVNEVNNECPPSFHYIPQNAVFQNAYVNFSLARIGDDNCCSTCFGDCLKSSTVCACALQSGGEFAYTIDGLVKEELLDECIKMNRDPQKHCLFYCKECPLERSKNEEIIEPCKGHSVRSFIKECWLKCGCNKQCGNRVVQRGIKRKLQVFMTPGGKGWGLRTLEDLPKGAFICEYVGEVLTNAELYDRVSRSSNKDEHAYPVLLDADWGAESELKDEEALCLDATYYGNVARFINHRCFDSNLVEIPVEVENPDHHYYHLAFFTTRKDYGIDFDDEEHPVKAFRCRCGS >KVH94302 pep supercontig:CcrdV1:scaffold_26:65361:69818:-1 gene:Ccrd_003681 transcript:KVH94302 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MSKIQNGKNRNPEKPIPGCLGRMVNLFDMNTVVGGNRLLTDKPHHDGSSFSRRQSDLSTTSLVDDQTDDKAMVSEIRKSPSNRKLNGTPIKTLIAQEMSKEDDCKQSPSNLVAKLMGLDALPNHMRESRSQSGSSELLQRDHGLMDNQLKRVITEYPEQREYKDVFEIWQRDHEPECARDDSSRKGRCKESKMDLVREKFMEAKRLSTDEKLRQSKQFQDALEVLSSNKDLFLEFLQEPNSLFSRHLYSLQSVPPPPDSRRITVLKPSKLVDSHKIIGSGKKNEKQVKERGQMGCPKPAWDKNSSDFLFSPECCKTDDNPTQPTRIVVLKPSSVKPHNIKVVASPPSASLGTSHDDIFDGDREDSEALESREMAESGLRRNETLLSSVFSNGYIGDDSSFGKSEIDYVAGNLSDSEAISPTSRHSWDYINRFSPYSTSSSRASYSPESSVCREAKKRLSERWAMMVSNKNVQEQRQIQRSSSTLGDMLALSDLKKSVNSEEICKSKEDADNGPRNLPRSKSVPASSSGLGVEVPGLLKGKTDDTEDLVKEKLVKSSSFKGRVSSLFFSKNKKSSKEKFHEPKAVPQSARFPVHSRRSGGNEGSECINGVIVEEESCTQLRRSLGKASGQGIEPMRYNLIDKSPPIGSIARTLSWDDSTLGSSTPYAGRPSSAPLGPEEEERECLFYVQSLLSVAGLNDNVRSNSFLARWHSPESPLDPSLRDKYMNLVSEKDPILIQTKRRHHTAITKLVFDLVNESLRNIAGRGPCSGAHSILEDMALVKDRVWAQMKEWMSVEERWDWEEGGGVAGEGVGGGIEIGR >KVH94307 pep supercontig:CcrdV1:scaffold_26:371900:374958:1 gene:Ccrd_003655 transcript:KVH94307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDSDEGKLFLGGIAWDTTEERLSDYFGKYGDVSQTVIMRDKITGRPRGFGFVVFSDPSVLDSVLQDRHTIDGRNVEAKRALSREEQQASRPGSNVGRSSGGAGNYRTKKIFVGGLPSTLTEEQFRQYFETYGDVTDVVIMFDQNTNRPRGFGFVSFDTEDAVDRVLQKTFHELNNKLVEVKRALPKDANPGGGGGRGGGYQGNNAGSFDSQMDGNRFMQPQNSGGGYPAYSGYGQPSYGFGAPNGNAGYGGYGSYGVGGYGGANAGYGGPAGAYGNPSAANSAYVGGSPSALKTQWSGQTPGYGGAGYGANAGYGANVLWNAAGGGGAVPAPVGQSPSGASSYGNQGYGYGNYSTNDGYNTNTVGYGAAGGGRSANSMAGSGISGGDQQGPGGGGGGGGSGYMGGTYNGQPGSSTVIGWCLVFLIGGIHGRAPIMLSH >KVH94271 pep supercontig:CcrdV1:scaffold_26:739528:742160:-1 gene:Ccrd_003627 transcript:KVH94271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MASNQDYHRAKADFDQTKAGVKGLLDSGVLKIPKMFVHPPENLLQTPSSETRNVNFQVPVIDLKGVESGKRAKTVAEIREASECWGIFQVVNHGIPGSVLEEMIEGVQRFHEQPKEVKMKWYSREHEQKVKYYSNGDLYVSKAVNWRDSISCHYADGTLDPDALPQVCRVAITNYMEKIIDLKDKLAELLSEALGLGTGYLANINCTRTEMLVCHYYPSCPEPDLTLGATRHSDPSYLTILLQDSIGGLQVLHRNQWVDVQPVKEALISVEHRVLAAPIGPRISAACFFYPSTANSCKPYGPIKELLSERGGPIYRETNHKEYMAHYKAKGLDGTSSLPFFML >KVH94298 pep supercontig:CcrdV1:scaffold_26:290772:299534:-1 gene:Ccrd_003663 transcript:KVH94298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MASQPTKPIFFLLVIFLFSPSSSFAGLTDFMYKGCANQKFQDPSGISSQNLKSLYTTLISQSSTTNFYKTTVGGNDSSSTTAITGLYQCRGDLSNTDCNTCVNKIPETIGKLCGGDTIAARVQLDGCYLRYEVVGFPPATATDVLFKQCGSNRASGSGFDDRLESALSQIEKGVVTGKGYYAGEYQSVYVLGQCEGDLGNGECVNCVKTAAENGRSSCGSSISGRIYLQQCYISYTYYPNGVPGSGNHHLRHNRMHHRHLFISLLNLKAIEIEFDKFCGFGVWTGTGGGGGGIATGATETGGGGRRHDTQKLVAIVIGGLAGLFLGIAFLMVLRSAFKKKKEKYSYGVYPKVPVEEQVLKDRDADTFPPFKSLGLRYLIRSEAENAAVNESNAKPRRICVSAMPATSMAKESNHIKNRQTKDVDRVIQRPRAVLSSPDNDYLIGKMNKMAFKRISTPKTPASERVDTDHIEVEIARIRVRGTSPTLDTRTRPRVKNYDRSSVLSDKICTRKLKP >KVH94282 pep supercontig:CcrdV1:scaffold_26:107394:113938:-1 gene:Ccrd_003677 transcript:KVH94282 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MFGLLEQNVSGRRCVWVNGTVVVGAGPSGLAVSACLXEQGIPFVVVERADCIASLWQKRTYDRLKLHLPKKFCQLPKLPFPDEYPEYPNRKQFITYLENYAEKFNIKPQFNENVESAKYDEKCGVWRVKTVSVLPGSIRSETEYICQMLVVATGENAEGIVPEIEGLQDFSGEVXHAKDYKSGEXYSGKKVLVVGCGNSGMEVSLDLSNHNAKPSMVVRSSVHVLPREIFGKSTFDLATTLLKWLPPWLVDKLLLILAFFILGNTQKYGIKRPSLGPLQLKNHHGKTPVLDIGALQKIRSGAIKVVGGIKRFHRGSVELINGEILDIDSVVLATGYRSNVPYWLQENELFGKDGFPKRGFPNGWKGKGGLYAGGFARRGLAGHRCVWVNGAVIVGAGPSGLAVSACLREQGIPFVVVERTDHIGSLWQKGTYDRLKLHLPKKFCQLPKLPFPDEYPKYPNRKQFITYLENYAEKFNIKPQFNESVESAKYEEKCGFWRVKTVSTLPGPIRSETEYISQMLVVATGENAQGIVPEIEGLQDFSGGFTRRGLAGASADAIKISQDIGNVWKQELDKMKRK >KVH94266 pep supercontig:CcrdV1:scaffold_26:813946:817011:1 gene:Ccrd_003620 transcript:KVH94266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Use1 MGLSKTEINLRRLLATAPRQQNKIKLVHYVATLREQLEELATEQTPEGFPRLDFFTFFVSSVSKAMVNDYSHKIEAIAAKLASSVSDTVPYHELRVKTSVKENIGKKEEESITLSPGLRRRLVPSSAEHRGQDTFESSESSPILDSTEKAVEHSLASTGRVNKQSTAIYNESFKTSCFTWLVMVVMMCIFVMVVLLIKAT >KVH94274 pep supercontig:CcrdV1:scaffold_26:735254:737519:1 gene:Ccrd_003628 transcript:KVH94274 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDT1 Geminin-binding domain-like protein MDSTGVSPSAYESFKSKKVLRSSVSKQHSSDEAVAAPDQSPWSSKTPEKPTHAPRRPITRRQALRSVNQVREAAKKLQKSDLKPSVSSDSLTSSTQPIETPIAKPNTSKSLPEKYEVLDKFFNSLESSIRLLGLKGSMSTFTNISRTVESLTDRRFAYSHLAQLKFLLPEGIEIKRILVRDDRTSCMKPDLHVTLNFSIIQNDEKLKSDSGNIQMRKLFRARLVSFCKSNPEGDEVPEEQLPEPFNQLSRVVSLNPTENPNMVSVQGTTNAIAEPQPAVASHMSQSFKRRFSKRAPSPEIEATEQKSQELTPEIQVLPALKQVSDHSSTKVACVSNLASPVKWSAKLPETPIKSSDLEKKEDLSFMGAASIDGTPSKLISTPFSATPAQAARPPVRCFMSPDEDSIMSPTKLAPRKLTRRSSGRRSITFDTPVKNRTPHVERASSDDDLLDILPVDLLASVSPFDSLDLWMLFGFYMMNYITTYNLSFQIKEKERKAIEENDPAISQAKWRKQMIAGLPRLFDILLFFFQSIKRSVITKEELVHRIISNHLEIVDRREVDEQLRLLLELAPEWIYKKMSST >KVH94257 pep supercontig:CcrdV1:scaffold_26:421672:422438:1 gene:Ccrd_003652 transcript:KVH94257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSRRQLPPVAPHKRKERDETFDFLKPSTPIIRSSSSKLAKPKPFAPGPRPMAPIKPFKAADTLPANNILLAGYLAHEFLTKGTLFGQSYDPAHAKPAPALSAASSAVVEENVNEHDMKFENCMCIEVLYGTCINAMTCVAVLVFVG >KVH94260 pep supercontig:CcrdV1:scaffold_26:508878:515908:-1 gene:Ccrd_003646 transcript:KVH94260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDSARSWLHKFQPRDRLRSNNRRRDSMGGGEDEISGEEASAITKQKVAAAKKYIENHYKEQMRNLQERRERRILLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVRVCREKTTGCVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDAEYLYLVMEYLPGGDMMTLLMRKDTLNEDEARFYVAETVLAIESIHKHNYVHRYAYNWLWYVLRTSFPLCILIFHYVFCNRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLEDTDFSSVDNASGTSTSNERAAAPRRTQQEQLQHWQKNRRTLAYSTVGTPDYIAPEVLMKKGYALECDWWSLGAIMFEMLVGYPPFYSDDPMSTCRKIVNWKMHLKFPEEAKLSLEAKDLISKLLCNVNQRLGSKGADEIKSEHQINSSARSGPWRRMLSSKDINFVGYTYKNFEIVNDYQVPGMGIPLFFSHQPK >KVH94286 pep supercontig:CcrdV1:scaffold_26:598054:598647:-1 gene:Ccrd_003642 transcript:KVH94286 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF640 MDPYPELETSDNSSPGITFAAASSSSSSPSATTTTTPSRYENQKRRDWNTFCQYLKNHRPPLALSRCSGANVLEFLRYLDQFGKTKVHTPVCPFYGHPNPPCPCPCPLRQAWGSLDALIGRLRAAYEESGGQPEMNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPPQEHHQQFPSSISSFQPFPLPPGDHT >KVH94288 pep supercontig:CcrdV1:scaffold_26:617191:621941:1 gene:Ccrd_003640 transcript:KVH94288 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MDKLDKLKLASSSFGERLMTGGVQMSRLVGTKMKEILQAPTPESKIVDEATLETMEEPNWGLNLRICGMINNEEFNGTEIVKAIKKKIGSSKNVISQRLSLDLLETCTSNCDKVFSEVASEKVLDDMVGMIQDDRTDERNRRKAVQLIRSWGPQGGNSSPLYETMESLVYQQPLSPRPLPDMDLMGIEDDISPYGYGGQSVEQKKELLVDELTVSMLEKCKESLPLVQRIAETTTDDDILLFEALNLHDELEQLISRCAEIKSTVGSDTLKIHDVKSTDTIQSPENGTINAGGSGPIEVKAGSGSVVNQKDENLEQKQSV >KVH94297 pep supercontig:CcrdV1:scaffold_26:323280:325893:1 gene:Ccrd_003660 transcript:KVH94297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVVFFLAGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KVH94261 pep supercontig:CcrdV1:scaffold_26:477137:479662:-1 gene:Ccrd_003648 transcript:KVH94261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 YYINPLPVVNPSFHHLHRYRHNFHRRSATRISEMPFKRYVEIGRIALVNYGKDYGKLVVIVDVIDQNRIPKPGWIFCSRLLWLFQFTDQSSIIITVKYVKNKWESSSWGRKLIVQKKRASLNDFDRFKVMLAKIKKAGVIRQELANLKKQTTA >KVH94292 pep supercontig:CcrdV1:scaffold_26:665128:673000:-1 gene:Ccrd_003635 transcript:KVH94292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSGNLQVLHALDGAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHKEGSLKPGSLPPQVSAAVNGVALVGTLAGQLFFGWLGDKLGRKKVYGITLMLMCLCSIASGLSFGSHPKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGGFIAAVFAMQGFGILGGGVFAIMIASIFNSRFNAPPYEVDPIKSTAPEADYVWRIVLMVGALPALLTYYWRMKMPETARFTALVAKNAEKAASDMSKILQVELESEQRKIDESTTRSGNSFGLFSKEFCKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIQEVARIARAQTLIALCSTVPGYWFTVFLIDKMGRFTIQLIGFTMMTIFMFVLAIPYNYWIHKENNIKFVIIYSLTFFFANFGPNATTFVVPAEIFPARLRATCHGISAASGKLGAIVGAFGFLYLAQSKDPAKADAGYPPGIGVKNSLIVLGVINLLGTLCTFLVPESKGKSLEEMSGENEGDGKSQAHKSQLLIVQKLAVFMYVENYKRSNENDKKAERLTMAGDQLQVLHALDLAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHIDGSPTPGVLPANVAAAVNGVAFCGTLTGQLFFGWLGDKLGRKKVYGMTLLIMVICSIASGLSFSDDPKAVMITLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIGAVFAMQGFGILVGGIFAIIVSASFRAKYNVPPYIKDEFRSTPHEADYVWRIILMFGAVPAALTYYWRMKMPETARYTALVAKNAEKAATDMARVMQVDIEADQEKLEQFTEKESNSFGLFTKEFLRRHGLHLLGTTTCWFLLDIAFYSQNLFQKDVFSAIGWIPKANTMSAIEEVFRIARAQTLIALCSTVPGYWFTVALIDRMGRFKIQLMGFTMMTIFMFALAIPYHHWTEKKNRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLSQDKHKAEPGYSRGIGVKNTLIILGVVNLLGAL >KVH94263 pep supercontig:CcrdV1:scaffold_26:278442:286409:-1 gene:Ccrd_003664 transcript:KVH94263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MASSYSSSVTASQVGSYFAQQYYQVLQQQPEFVHQFYTDSSTMVRVDGESTETASAIFQIHTLIQSLHFSGIDIKTINSLESWSEGIVVVVSGSVRSKYFSGWRKFVQTFFLAPQEKGYFVMNDIFHFVSEEVINHLPAPLVTGHKDEFQPINSTSPELLVAEDPLETEARKNLNSLHIEGEDQADYYASQEHQHQQQQEDDYSEEYEEEHQVEEPSAHVHSQVDYVQEPLHQNSVDYVQEPLHQDTVEYVQEPYVQEPYVQEPYVQKPYLQLKGKSVSSVPAQAPVVKSVAPAPEWQKPPEPVASFVPETTTHVAEEALANDDGESKSVYVRNLPTSVTSLEILQEFKNFGRIKQDGVFLKNRKDVGVCFAFVEFEDVASVQKAIEASPIQLAGKQIYIEERRANSSGSSRGGRGGRGGGRGRGSYNDSSRGRYAGGARGNGFRNV >KVH94306 pep supercontig:CcrdV1:scaffold_26:379981:390031:1 gene:Ccrd_003654 transcript:KVH94306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MEYEKGVGKDFTEDGTTDLKGKHVLRSTTGRWRACYFMLGTVKSSNNVTNWVGTVWMTPLLGAYIADTYLGRYWTFMIASVIYLVGMCLLTLVVSLSSLKPPSCGKNVSYLDCDKRASSFQVGIFYCALYIIAIGTGGTKPNISTMGADQFDDFEPKERKQKLTFFNWWMFSIFFGTLFSNTFLVYIQDTVGWSLGYGIPTLALLVAIIAFIFGTPIYRHKLKGESPFTRMAQVVVASLRKRNAIVPIDPKELYELTLDEYSNPGKYKVEHSSSLRFLDKAAVKIEEPASEWKLCPVTQVEQTKQMVKMVPILCAVFIPSTLFAQSQTLFIKQGTTLVRSIGPTFEIPPACLAVFLTLSMLISIAVYDRFFVPFVRKYTKNPRGITLLQRMAIGLVLHMITMITASLVERRRLSVARDHGIVGKKEIVPLSIFVLLPQFALMGISDCFLEVAKLEFFYDQAPEGMKSLGTAYFTTSFGVGYFLSSFILSTVANVTKNNGHGGWIQDNLNESHLDYYYAFYTILSFLNFIFFLIVAKNFDYNAEVNVTERELQEDAGKLIEQSHEKGVGKDFTQDGTTDLKGKPVLRSNTGRWRACYFMLGTVKSSNNVTNWVGTVWMTPLLGAYIADTYLGRYWTFMIASVIYLLGMCLLTLVVSLSSLKPPSCGKHVSYLDCDKRASSFQVGIFYCALYIIAIGTGGTKPNISTMGADQFDDFEPKERAQKLTFFNWWMFSIFFGTLFSNTFLVYIQDTVGWGLGSMGPHFEIPPASLSVFLTISMLISIAVYDRLFVPLVRKYTKNPRGITLLQRMAIGLILHIVTMIIASFVERRRLNVARDHGIVGEKQIVPLRIFILLPQFVLMGIADCFLEVAKLEFFYDQAPEGMKSLGTAYFTTSLGVGFFLSSFILSTVADVTKKNGYGGWIRNNLNKSRLDYYYAFFVVLSFANFLFFLVVAKNFDYNSEVDEPEQELKEGIGKFTEQGYVAG >KVH94273 pep supercontig:CcrdV1:scaffold_26:727198:732308:-1 gene:Ccrd_003629 transcript:KVH94273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 MFSGQKGATALHHLKQKLLGESSFSTGSINNVPPEIELSDYQRAPSNGSESPSGLLNGESLKVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLAFTICFSGFFLLFVDWNGLRSAKCGMDAVESGIKPCDLAKEALHQHPLTPLTPFKVVILGYLGITFIYWIFCFLSLGVTDNEIQTMPWASVLEKVVRIQDSQQLCVVKDLSAHDIVMRLMRKENYLIGMLNKGVLAFPISPWIPGAGPTVKKDPNGTRNFCIRKDFVRDHDTLKKRLMVVGIAMLLLSPFLVVFMLVYLFLRHAEQFYNHPSTASSRRCSVTHASDYLKQFPSPILSIIAKFVSFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGAITAISRAAVSDELLVLDPHGTMALVLQHSHYMPKRWRGKENTEFVRVEFETLFQYTGKMLLEEMASIFLTPFLLIFVVPKRVDDILQFIADFTVDVEGVGHTFKEQKLQEQGIRPGYISPNRMQQWAPSLRGQGDPNSYFSRENTSQNVSKSAYQLDCIWLIDPVHKNHPYILDWYYTSCHHHHDIESGTVEVDENMANVWDPPDLRPNQNQYDENWGSFFEDRAGSNLEASTSAPLLHRSVLQHHDSGSMGQTGRSHWWARGGDGGGSRAVGPQTSFLEPPNFNHDDYDGHHDNFSNRSFEGEEEEDQQFDWRASNRLSKTFFMDDVEGGGKFDLPFDDIYDRHSESPPRTHM >KVH94256 pep supercontig:CcrdV1:scaffold_26:452301:459553:1 gene:Ccrd_003650 transcript:KVH94256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein Ndc80 RDGRQKVGVRVLFGVYGSTYLVFFFGVKGWSCPNKFEDDLFIVLKYLNCPIKMNKSALKAPGTPHSFPSVLAVLLFAMYNEHLENSTQSQSISGDSIFTYTLNTYLHYIKGDDDAMEREDDNFMEKLQQEKSFMEENVKDLEAKLEAMKSGPSPGEAKEEEKTMLEKDIKKFNELIEQLHADEELKKKVEEKCWDLNALIGTKFKELEALQIECNQAIRRLKLGNDFQYELNAKGSTPAEVLGMDYKSTLKPALSSSSDEVESHLSVIKNETQDYTSRCAMEARQLVQNFEAESHHMDVVEKEALELVENSKARLQETKKRSEAKQSKEEVETCGEERSALISIK >KVH94270 pep supercontig:CcrdV1:scaffold_26:776949:777915:-1 gene:Ccrd_003624 transcript:KVH94270 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MATAGGTGSPCGACKFLRRKCATDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHVPVADRCEAVVTIAYEAQARIKDPVYGCVAHIFALQQQVACLQTQLMQTKAQIAQGIFDSNNQWAGSMAAYQNYPNFTTIMNGNISPQSSLESIDHNNEGMGVQEKQSRDDHYHDISFQHIYNNKKRPFPSDLGELQELAVRMMRN >KVH94308 pep supercontig:CcrdV1:scaffold_26:361699:365425:-1 gene:Ccrd_003656 transcript:KVH94308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLGCFGSSKDRKRRKLRYKVIPRDQVDFASVFLFNARDRSAFVVPVLNPVENLTQWKALKSKGTPPVKPFNFNQQKENSSLNSTPFAPQNRNQETAVDASLSNWLVSSEKNTPNNKRVVSNNPEYEPISSGKSLSRGSAIEDRPILGALTEEELKQFSASSCTPRKSPSRNPDEMAIIGSVGSYWSHTDSRRSLKVASQVKKKVATAMVKADE >KVH94293 pep supercontig:CcrdV1:scaffold_26:638266:640238:1 gene:Ccrd_003638 transcript:KVH94293 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, conserved site-containing protein MANHLLLSLSFAFLIGSCLAFQPFQQQQNECQIQRINALEPNERVEAEGGWTEFFDANDQQFRCAGVEVIRHHIKPQGLLLPSYINTPLMVYIKQGIVLPGCPETFQSSQQLQERSQQSFQDRHQKIRTFRQGDLIVIPTGAAHWMYNDGQEDIVAIRFFLAGNPKEASQQQQQGRSWGRPSQKWQSPEESSADIFRGFDLQILSDAFNVDHETAQKLQSPGDNRGHIVTVQKGLQVIKPPVSRQEQERGNANGFEETICSAKLTSNIDDPSRADFYNPQAGRCTQLNSYKFPILQWVVNNQGQSVFNEQVREGQLVVVPQNFAVAKQAGQEGCKWISFRTNDNAMINTLAGHNSAIRAMPVDVIANSYQMSKEQASNLKFNRKETVMFNPRSQSWGWSAAA >KVH94258 pep supercontig:CcrdV1:scaffold_26:398154:404759:-1 gene:Ccrd_003653 transcript:KVH94258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MRNRNQSRVQNSSRLNRKFGKLVQCLCSGEQSRSADEMIPSPDSLATKDYSASAYSSQATGENHRKPDTGSIEEAELSLRESGSLNYEEARALLGRYEYQKGNIEAALHVFEGIDIATVTPKIKNSLAKIGEPRKRRSHNYAIPPLSAHALSLLLEAIYLKSKSLQVLGRYKEAAQSCKVILDVVESSLPDGLPENFGADCKLQETLNKAVELLPELWQLADSPQEAILSFRRALLHHWNLDEETIAKIGKDFAVFLLYSGGKDAIPPNLRSQMDSSFVPRNNIEEAILLLMILLKKINLKKIEWDPSVIDHLSYALSISGGLGALGKQLEELLPAVIDRKERYFLLSLCYYGEGDGLSALNLLRNIYKHDDPNYVPALLMASKICGENPDFVEEGVNLAKRTIHLGNKCNAMVGVAYSLLGISLLEHSRSALTDSERVDQQSEAIQCLETAGRLTRMVDSRIVYCLSLENAEQRKLDTALGLAKRLIKLEGGSRLRSWLLLARILSGQKLFSDAETIVNAGLDQSGKWDQGELLRTKAKLQLAQGQVKNAIHTYTQLLAVLQVGGKHDKDLELETWHDLAMVYISLSQWLDAEACLSKSEAIDYYSSSRWHITGLLYEAKGLTREALKAYQNSLDIDPGHVQSLVSMALVLRRVGGKSGPAIRSFLTEALRLDRMNSSAWYNLGHFYKDEGPMFIKEAADCFEAATILEETEPVEPFR >KVH94296 pep supercontig:CcrdV1:scaffold_26:258957:260544:-1 gene:Ccrd_003666 transcript:KVH94296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSLAAAGGGGGGGDGGGVPSGGSTTGSVVGWPPVSKAHRMPSLANQTKSPGEELVSIAEQNKKNNRTNGGKGYCNERKGVSVKKYQSVKVNMDGTLIGRKVDLNAYNGTSEFILTYQDKDGDCMLVGDVPWQY >KVH94262 pep supercontig:CcrdV1:scaffold_26:269740:276189:-1 gene:Ccrd_003665 transcript:KVH94262 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome oxidase assembly protein 1 MIGRRFISFLRRNPLPSSGSSSAKVGVEEEAKPKAWGRRIVSGGLICVTGGVALSALDDLVIYHGCSSKAMEKASKNQAVIDAIGEPIARGPWYNASLAVTHKRHSVSCTFPVSGPQGTGIFQLRAVRSGEESWLSFFRPRDWEILIMEALLHVPENEEKNRTFRIRISDDISPPACQPCITGCTRSQEPDIPEKTHAQTS >KVH94310 pep supercontig:CcrdV1:scaffold_26:338583:345134:1 gene:Ccrd_003658 transcript:KVH94310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase SHGHHEPDDVSTHSKVCRVCGDDIGYNENGQLFVACKECRLRDDFAGCPRVVGDDEDSDDADDFEDEFQIKNHQETRDQTCVDNPSVIQFNVNNMKMVTIILQNSTIPMDLLSPQPEALSERILTVKETMNGRIESRNGKPGKKREVYMAEARQPLWRKIPISSSLINPYRIVIVLRLVILVFFFRFRIMTPAYDAYPLWLISVICEIWFALSWILDQFPKWFPINRETYLDRLSLRFEREGEPNGLLPVDFFVSSVDPLKEPPIITANTVLSILSVDYPVEKVSCYVSDDALKKPEEGWVMQDGTPWPGNDSRDHPGMIQVYLGSGGALDVEGKELPKLVYVSREKRPGYNHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQLGKKLCYVQFPQRSRKKSKAAVPKKKGLKALFGLGKLRGRKKYSKKSLAPAYDLEEIEEGLEGYDELDKSLLMSQKNFEKRFGQSPIGWIYGSVTEDILXGFKMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAWAGKLKXLERLAYINTIVYPFTSIPLLAYCTLPAVCXLTGKFIIXTLNNLASIWFLALFISIIVTGVLELRWSHVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTAKAADDAEFGELYLFKWXTLLIPPTTLIIMNMVGVVAGVSDAINNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQSGPILKQCGVEC >KVH94317 pep supercontig:CcrdV1:scaffold_26:720099:721043:1 gene:Ccrd_003631 transcript:KVH94317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDHHHHSFKNQQNSGFPILAIAALCIVATAFLLVTYYFFVTRSCFNWQQVNPLRRFSISRDRSTPDPLSSAYSTPPWRVRGLDEFIIREIPICQYTQTEGKKRSLYECVVCLNDFQELDTLRILPSCNHGFHLDCIDIWLQNNANCPLCRLSISGAPSYPVDQIFAPTSSPQDPQPSPSGSNQDFLIIELGEEGNGNANGSRLQEPRSHSPRRIGRKRLKTRKSHPFSIMGDESIDIRGKDERFSIQPIRRSFSMDSAIDRHIYLSVQEIIQNDGNLQETRNTEEGSISGRTRRHFFSFGHGRGSRSAVLPIEF >KVH94285 pep supercontig:CcrdV1:scaffold_26:582556:585718:1 gene:Ccrd_003643 transcript:KVH94285 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein TLPPGFRFYPSDEELVCHYLYKKIANEDVLKGTLVEIDLHTCEPWQLPETAKLNSSEWYFFSFRDRKYATGYRTNRATTSGYWKATGKDRTVVDPRSGVIIGMRKTLVFYKNRAPNGVKTGWIMHEFRLENPHIPPKEDWVLCRVFYKAKGDNSNEHSPQHMYHNTASHDGVTSPNLGTSPTTISTGCQPPPCIHHHHHPPFTTTNSSTTLSNQTPTPPIHHQNYFGISPQQYNHQNLLQLSQDATLKESTDQMFMSSNNKCDDQYGFFYDMVFEDYVPSHNLQDMELDDDDNDNGIVFYE >KVH94267 pep supercontig:CcrdV1:scaffold_26:782508:793121:-1 gene:Ccrd_003623 transcript:KVH94267 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MATPPKPWKAEYAKSGRSSCKACKKPIDKETLRLGKMIPATQFDGIETLRWEDQQKIRQYVEGGGGGGGSPSTPAPSATKCTIEASKTARATCKICNEKILTGEVRISSKPEGQGARGLAWHHAKCFMDASPTTQLEKVEEKETLKQSSKRKGSVGHDQKSKMAKIEGDPSTPETPKCGNNSGDRELEPSKLHSLLEAQAKELWALKDDLKKSVSTVELREMLEANNQDSSGSELDLRTYKCKGFLTEWSKCAYSTSEPERVKGKWNIPQETKNQYLMKWFKTQKVKKPVRIMPPPSSSTPGKSAVNGTTQSSHSEKLGDLKVCIMGVPSKTMDEWKTRIESVGGQVHVKIKKDTNCLVVSGAPENQNAEMRKARRMKIPIVREDYLVDCFKRQKKLRFDLYKIETSEEAKSMVTVKVKGRSAVHEASGLQDTGHILEHGKSIYNTTLSLSDLSTGIIEDDKGSNCHVFRKWGRVGNEKIGGIKLEEMSKADAIQEFKRLFLDKTGNTWEAWEQKKLQKQPGRFYPLDIDYGVNKDSHQKNKVKDAKSQLAPPLAELMKMLFNVETYRAAMMEFEINMAEMPLGKLSKSNIQKGFEVLTELQNLLNKAADNPAVKESMIVDASNRFFTVIPSVHPHVKMLEALQDIEIASRLVGFDVDNDDSLDDKYKKLQCEMVPLPHDSEDYRLVEKYLQTTHAPTHTDWALELEEVFTVERRGEFDKFAPYKDKLKNKMLLWHGIYFADLVSKSAQYCFTDKKNPVGLMLLSEVALGEMHELKKAKYMDKPPKGKDSTKGLGKKIPNESEHVKWKDDVVVPCGKPVSSNVKASELMYNEYIVYNANQVKLQFLLKVRFHHKR >KVH94299 pep supercontig:CcrdV1:scaffold_26:301731:302042:1 gene:Ccrd_003662 transcript:KVH94299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Machado-Joseph disease protein MJD MCVVEGNGNRVVWHDWHNRAYSIHLDESEDKLTGIVLNIHVKRNGGFWRSRHWVSLRRINGVWCNLDSDFESRYLFGSIEELKDFLDGAIDGGTEVLRVKDDD >KVH94254 pep supercontig:CcrdV1:scaffold_26:47957:55457:1 gene:Ccrd_003682 transcript:KVH94254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MRTPCCHTFVAFILKFLIFFQTFIGISIIVYSAYMLNQWEKHLPIPPAPPSPSPSPPSPSPPSPSPSPLPPPPPSDLAPAPSPDSSEFVFSVFNTGIVSGQVIRLNFGADTITGIHGGIKLDSNPIPAPWFIYAFMGLGIVLCCISCIGHIAAEAINGCCLCFYTILKSVLILLEVALVVFIALDHRWEKDLPQDPTGEIASMREFIEDNIDLCKWVGIAIIVIQAVCLLLAILLGMMVSSLRKDDMEEGLDSRGRAWEPLLNPHPNQASGSTGGDGKAFHSDIWSLRMREKYGLNGNQSNPSAPVDRKV >KVH94318 pep supercontig:CcrdV1:scaffold_26:470391:471785:1 gene:Ccrd_003649 transcript:KVH94318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISCSSSYSLAFFISLLITTGIAIANSQPVLNSLEQESVYRVLESLNSDIPWRSLFPDDLCSSAPHGVVCGYTTVPTATDDGAVNILELSFGYVSDHNPNPPCNPNSTIHDPFMFSSFPYLRKLFFYNCFTTRPVSLQTFSTLGSSLEELVFIENPSLFGSLTESISNMTSLKRLVITGTNVSGEIPDGFGGLLNLEEATLSRNRFTGIVPENVSNLKNLKILDLSQNGFDGKLPASIGELQNLIKLDLSLNLFSGEFPASMKDLKCLELLDLSYNRFTNSGIPIFLSEMSKLKGLYLSGNELGGVIPDIWENMRGINGIGFSGVGLFGNIPSSIGVFLGNLTYLGLDDNHLSGGVPLELERLAMVNELNLKNNNLSGRLPFSANFVSRVGRKLRVEGNPELCVDVGAIKSFSKVHGSLDELKVCNNRVANSRFTLLHVTSSSISVSRQIPYLVTVFLLVVIM >KVH94300 pep supercontig:CcrdV1:scaffold_26:10664:17617:-1 gene:Ccrd_003684 transcript:KVH94300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 ASSISLCLFSSESICSTTTTTTTTTAAAVFVMYRLAANLASKSRIARNNAQQISSNLSWRRNYAAKDIKFGVDARALMLRGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIYSEGCKSVAAGMNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITISDGKTLYNELEVVEGMKLDRGYISPYFITNPKNQKCELDDPLILIHEKKISSLNAIVKVLELALKNQRSLLIVAEDIESEALATLILNKLRAGIKVCAIKAPGFGENRKSNLQDLATLTGGEVITDELGMNLEKMAPEMLGTCKRVTISKDDTVILDGAGDKKSIEERCEQVVVLLFYMLPRSWKIFLPPILIRRLVFKLFKMLSSVSSLLTTTEAVIVELPKDEKETPSMGSGMGDY >KVH94278 pep supercontig:CcrdV1:scaffold_26:183647:189462:-1 gene:Ccrd_003673 transcript:KVH94278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKVRLLLQVSVYAVFPVVSELEIEIATGTYLTQSQVVITGASADSQDQERTAVDINLVPLGEKFDNMTALLTYDRFWRKKLSLNRTLFGDYEVVYITYPGLPSSPPYGDHPGSGPSGSPGNRQNPITATFVEKSQKMNPKTIFVITLSAVVLLVVFCAAISVFLKYRKIGKSNAVGPVFTIPTTKRRGIGARLSSDPSNSTLSVGSGMPTSILSVKTFTLAELDKATERFSSRKILGEGGFGCVYHGIMEDGAEVAVKLLNRDNNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVPNGSVESHLHDVDKENGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSSHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGEENLVTWARPLLMSREGLQQLVDPTLSGMYDFDDMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDKDEVCGDSTTQRDSSVVESDFKGDHDHAPSDSSWWNAGGGATPRLTYGQASSFITMDYSSGPLEDLENRPFSASSFDAGLPISHGNRSGPLRTVRSKPLFYRSKGSMSEHGGLLLKPFWSEASF >KVH94295 pep supercontig:CcrdV1:scaffold_26:250306:256719:1 gene:Ccrd_003667 transcript:KVH94295 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MGGLAIRDEGGIAKSLWKKSRNESIFAIYTPYILSLASGKLDSASFLHCISQDLRFLQASAEAFEMAEECSDDDDDKAVIRKVRKREWGFELPAGNISDRAMVKCTDFLLATASGKAVGERFPGKVATPFEKTKFSAYALAAMSPSMRIQSFLSKEIQAVLEPDENIHLYKKWIDMCLTGEELQFVENIYQKAMKLQVEFFSAQPIIQNTIVPLYRAHGSDEHNFVIFSGFDMTCSAVDSCALLADIAIIKSSKAIKLNGYESLDDGASSDDLRDIWSSLHGQYVEEYEQCIDSIMLSDRVTQFNFESLCNALGQLSELEKAANLRVANSGVLKGLHMDEIKWAGEHLVFQDGCLEFFREIEKSKDIAIDVHILSYCWSASGFPNVHSNELLFDESISTGEILKRVQNPTEKLQTFNEICKMSSNNGRNLSVYIGGSVEDLLCLLKADIGVVISPSANLTRLAGLFGFSFVPLFSGLVKKQRELIEGGCPCWSGLSGTLYTVSSWAEIHAFILGT >KVH94268 pep supercontig:CcrdV1:scaffold_26:803164:804583:1 gene:Ccrd_003622 transcript:KVH94268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDDHHQVLRLLLRSNAFNNTHRRIGVALYGLVFLQAISGLLRPERNHRFRYGNHQYIHRATSIPKENIKKYKILGRIFHSRNRMHRVLVFISREVGVHTKARCSSGE >KVH94276 pep supercontig:CcrdV1:scaffold_26:88669:92703:-1 gene:Ccrd_003679 transcript:KVH94276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3493 MSTVAQLAVVTGTCCNFHRTSKCLGFSFHNLTSVVATTRIRTATIRNRAKAPLSVTCSAAKPSSSTEISSTARIRSEVLTPFRSVRMFFYIAFVAQASLGGLIATTQLIGALANPSRADAVTDITKGLGIDIGAASLFAFLYYRENKIKNAQMARLSREENLSNLKLRVDEKKIITVSEFRGLARLVILAGPSSFITEAFRLSEMFTEQLIERGVLVVPFSTDGNAPTFEFDETEDMEELTNKRRRLWQLVPVLTPEWSEWLDDQKKLANVSPESPVTSTL >KVH94289 pep supercontig:CcrdV1:scaffold_26:523335:531981:1 gene:Ccrd_003645 transcript:KVH94289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MKEEEEDRALLSSLGVTSANPEEIEYDILEEARNHAEQVGGTADDEHLERRQSNEPSCTGRTDVLNKLRAINVEINAVASTVEDVKNFSKAEEHVHSSDDENGLGDLDGEQSILQASSNDLTLQHALATDRLESLKRTKAQLEKQFANLGNEGFPEGSKHAKVLQSIVKEEPNHKRKQKEIPKTNKKLKKRHKTVAFNDDGDFDAVLNAASAGFVETERDELVRKGILTPFHNLKGYERRIEEPGPSHTIEEGDKGNDLSSTSIARAVKSMSEAAQARPTTKLLDPDSLPRLDAPTRPFQRLKTPFKVPQSQESEGGKSKDSNRKKRRPLPGKRWRKVASREDNSLEEIEDAKGSSAEEDNQEGVEDVEGGEASFVTLEGGLKIPSSVFSLLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTIQVLSFLGALHFSKMYKPSIVICPVTLLRQWRREAKKWYPSFHVEILHDSAQDASYKKKQAKSDESDYETTSESDGGGSSLPRSNKKWDSLINRVLRNESGLLITTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNRLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLILPYLLRRMKADVNAQLPTKTEHVLFCSLTSDQRSLYRAFLASSEVEQIFNGDRNSLYGIDVMRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVAQVLKVWKEQGHRVLLFAQTQQMLDILENFLIADCYTYRRMDGQTPVKQRMTLMDEFNNSPDIFIFILTTKARERAWRIGQTKDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFTLNDDRENGSTETSNLFGQLSEDVNVVASPANNQDLQGLNGSAIVKDDNAATGTQKTSKKKEKGTTDDSKEGGVRLDEQASQVAHRAAEALRQSRMIRSRESISVPTWTGKSGAAGAPVSTVVRKFGSTVNSKLITKTKTEERSTNNQNNFVVGASGSKALSSSELLAKIRGTQEQAVSDALEHQLGATSSSVGRNRSSNNLGGAPPEVLIRQICSFIQQRGGSCDSASIVQHFRDRIPSKDLPIFKSLLKEIATLQRNPNGSSWILKPEYQ >KVH94304 pep supercontig:CcrdV1:scaffold_26:242616:244265:1 gene:Ccrd_003668 transcript:KVH94304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MEKGNYIFQQSRICVLGILCALIWFCLLFFHFGFLESRTFEVQINPIANHSRYPESTPVVHPITTSPPAPPIDVNREPENPQSSISRSENPKFFPFMKAMRTVDNKSDPCGGRYVFVHDLPPRFNEDMVKECKSINKWFDMCKFVTNYGLGPPLENSEGVFSDNGWYATNQFSVDVIFNNRMKQYECLTNDSSIAAAIFVPFYAGFDAARYLWGYNISMRDAASLDLVNWLQKRPEWRFMGGKDHFLVGGRITWDFRRLSEEETDWGNKFLFLPAAKNMSLLIDQCKNSEVGKLLECGFGESKCHSPSSVMKMFQNSVFCLQPQGDSYTRRSAFDSILAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPEDDIRKNASIEQILSRIPSETIKKMRDEVVNLIPRLIYADPRSKLETLKDAFDVSVESIIKKVKRVREDMINNRTNDDFIEELSWKYALLEEGEYIGVHEWDPFFSKPKPKGSKNS >KVH94290 pep supercontig:CcrdV1:scaffold_26:536722:543357:-1 gene:Ccrd_003644 transcript:KVH94290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 MHLSESKDGALGVAPKSNFGQDLHLLESNFCLRYAPFLLPQTKIPKSILVKKPVLAPLFLVWLIARGNSHAACGVFLSTKNHTHSTLQTHVSPTTLIEYNILSSFLDLRFFNPTLLQIQFSPSLCSFGDFDHFNLHKICINFLVEFGITLSIAAEQQQAMSFELEEEESFEHTLLVVREVSVFKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSGDLFAACFVYPGQRENAVESVLDSSRYFVLKIEDGTGKHAFIGLGFTERNEAFDFNVALSDHEKYVKRETEKDAGEPSDESHINIHPAVNHRLKEGETIRITVKNKPASGAGMLSAAGLSGGAAGTEKIKPLSLAPPPVASTKLRPAETEKLKPLSLAPPPNASGKIRSPIPPPPNDPAVVRMTSTSQNVAVKTHKETTRQSTDAFTDFSQLERNLPSTGGSGSTQSKTTAAGWAAF >KVH94281 pep supercontig:CcrdV1:scaffold_26:130178:151979:-1 gene:Ccrd_003676 transcript:KVH94281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dil domain-containing protein MNSDRFRTTCEGTHSEKNTTNVIIIDRLSTKLGISFLLDIRFKSMAALLLDLLILTAAALLPDLIQASLLKNGRVCLILVSMNGQGEVVMMSAASVSVGVGSLVWVEDPVEAWIDGKVVEATGADIKVVSTSGKTVVAKASNVYPKDAEAPPCGVDDMTKLAYLHEPGVLSNLRSRYDINEIYTYTGSILIAVNPFTRLPHLYDSHMMAQYKGAGFGELSPHPFAVADAAYRVMMNEGISQSILVSGESGAGKTESTKQLMRYLAYMGGRAASEGRSVEQKVLESNPVLEAFGNAKTDRQRFKLGDPRTFHYLNQSNCYQIDGLDEAKEYIATRNAMDVVGINSEEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSLFHLRTAAELFMCDVKALEDCLCKRVIVTRDETITKWLDPESAAVSRDALAKIAYSRLVDKINSSIGQDSRSKYIIGVLDIYGFESFKTNRCFTELYVAGLSMSHSELLLPAFPKCQASNCSRNLSPDGRLAACILIFYLIPCPKFGSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDILDLIEKKPGGIIALLDEAWSTHETFSQKLYQTFKNHKRFAKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLSASKCFFVSNLFPTSSEESSKSSKFSSIGTRFKQQLQQLLETLSSTEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVLEAIRISCAGYPTRKAFDDFVDRFGILAPEVLDGSTDEIAACKRLLEKIGLEGYQIGKTKVFLRAGQMAELDARRTEVLGRSASIIQRKVRSYMAQKSYMLLRRSVLQIQSVCRGQLARQVFESMRIEASCLRIQRELRMYLARKAYREVCFSAVAIQTGLRGMAARNELRVRRQTKAAIIIQIMNSSSPCPWLYVGFHRMVYDVCIPNQHFLRGWFTTDMRHCRKFLSHLQFAKLKKAAIVTQCAWRGKVARSELRKLKMVSCSSPFSCTTCLMSSLRFLCHCTFSLIMAHIFLESTIWLVLLSWTIKTIIKNVAARETGALQAAKNKLEKQVEELTWRLQLEKRIRADLEEAKTQENGKLQSALQEMEVQFKETKELLMKERDTAKKEIEKIPLIQEVPVVDHELMDKLTAENQKLKALIGSLEKKIGDTKKKYEESNKLSEERLKQALEAETKIIQLKTAMQSLQEKVSDMASENQILRQQEPQTPARNLVAESDGKPKRPPIDRQHENVDALIECVMKDTGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENQDNNEHMAYWLSNTSTLFLAAAAAALEVVRQVEAKYPALLFKQQLTAYAPRTSKGVLRSGRSFGKDSQSSHWQAISLELNKHCLNFYYCMKLNCNSSERYFEVKISHMHQFQVPPIIVQKIFTQIFSYINVQLFNSILLELSSFASLLLRRECCTFSNGEYVKSGLAELEVWCGQAKEEYAGSAWDELKHIRQAVGFLVIHQKYMISYDDITNDLCPILSVQQLYRICTLYWDDNYNTRSVSQDVSATCEISSLLEPPINQTVSVIWYVNYPDYMLSLTSIPFSVDDLSTSLKVNDFADVKPAAELVQNPAFLFLL >KVH94294 pep supercontig:CcrdV1:scaffold_26:628129:629316:1 gene:Ccrd_003639 transcript:KVH94294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTTTSRATIHSAAKRRRLSDDHHHSLLPGLPDHVAHLCLSLISPTAMFSVCRSWRRLIYSSYFPPFPSLYTLSLPTTTAAGRHNQTLKLSSFDPISSKWITIDSPPVPPSLHRHPSFISRNLPIQSLSVSGNLLLLAATSGHLLPAFSHPLIFNPLTDTWSSGPPLSTPRRWCAAGALQGTVVVASGIGSHYTQTVARSVEKWVFFKAESFDKKRKDSDWNNWEKMRSLRNVKLCREAIDAVGWKRKLCMVNVKGDYAKEGFVYAMDSDEWVEMPEGMLGGWRGPAAAMDEETLYVVDESKGVLKRYDENGDQWSEVMADERLKGAEYIAAGGGRVCVLCEKDVGILVVDVVATPPRLWVLETPAGDRILAVHILPRMCLPEFRSAVGSESK >KVH94315 pep supercontig:CcrdV1:scaffold_26:684005:685692:1 gene:Ccrd_003633 transcript:KVH94315 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNANQHAGAGEEKGKRENKFRGIRRRPWGKFAAEIRDPTKNGARTWLGTFGTAEEAARAYDRAAFALRGHQAILNFPNDGQYRSGATPELGSSSSVPLTSTSTTSSWISFPVENDDGGSEKEAAAAVIEFEYLDDKNDVRCE >KVH94309 pep supercontig:CcrdV1:scaffold_26:345918:354947:-1 gene:Ccrd_003657 transcript:KVH94309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGLHFIFLIILSSAITVPASRPEANALLQWKTSLRNPNSPLLSSWSLAPTSNNITTPCTWLGVRCDDRWNVKELDLSSSRLNGTLQNFTFLSFPSLTHLDLRENDISGAIPTEIKYLSKLVVLDLSGNRFSGAIPMEIGFLTNLETLSLNKNQFIGQIPHSFGNLSNLGYLRIDDNKLTGYIPPELGNLGNLETINISFNFQKGSIPSSLGNLTKLSNLYLYQNNLSGLIPPSLGNLSSLRIMYIYMNEFSGRIPKELGNLKSLEQFLVGHNHLVGYIPGSLGNLTKLQILDVHENILSGLVPPEIGKLESLIQLALSHNQFTGQIPDSLGNLSKLEQFYVNDNLFNGFIPKELGNLSLLVELLLVTNRFIGSIPDSIGNLRNLEQFVLHDNRLSGYLPETISKCEKLRYLTLSNNSLMGPIPPSVCSLRSLEILDLGNNRFSGPLHQCLGNFSHRLKVLDLRSNRFHGNVPETFLKWNNNLTSLNLYGNRLRGSIPRSLINCRNLEVLDLGNNMLTGKFPFWIDTLPELQVLVLRGNKLNGTLDGSTTQFPFPKLRIIDLSSNEFTGRLPSNYFKNFKAMMGIDRWASGPLYMGGDWQMYEHTLELVVKGTRLELERILVVYTTVDLSVNKFEGEIPDTIGKLSSLRFLNLSHNSLTGEIPTLLRNITFLESLDLSWNNIVGEIPSQLRSLTFLAVVNFSQNQLRGVIPQGGQFSTFLNASYQGNDGLCGFPLTNNCGDDEVPVFPTPEENDDSSFFNGFSWESVALGYGVGILIGSGIGWLMFYLGRPRWVIRIAEREESRHEIKSRTKRITVNFSFFCSTKYQSMGLHFIFLIIIILSSTITVPASTPEANALLQWKTSLRNPNNPLLSSWSLAPTSNNITTPCTWLGVRCDDRWNVKXLXLSSSRLNGTLQNFTFLSFPSLTHLDLHENDISGAIPTEIKYLSKLVVLDLSGNRFSGAIPXEIGFLTNLETLSLNKNQFIGQIPHSFGNLSNLGYLRIDDNKLSGYIPPELGNLGNLETINISFNFQKGPIPSSLGNLTKLSYLYLYQNNLSGLIPPSLGNLSSLRIMYIYMNEFSGRIPKELGNLKSLEQFLVGHNQLVGSIPDSLGNLTKLQILDVHVNLLSGLVPPEIGKLESLIQLSLSHNQFTGQIPDSLGNLSKLKQFYVNDNLFNGFIPKELGNLSSLVELXXVTNRLNGSIPDSLGNLRNLEXFVLHDNRLSGYLPETISKCEKLRYLMLSNNSLMGPIHPSFCSLRSLEILHLGNNRFSGPLLQCLGNFSHELKVLDLRSNRFHGNVPETFLKWNNNLASLNLNGNXLRGSIPRSLINCRNLEVLDLGNNMLTGKFPFWIDTLPELQVLVLRGNKLNGTLDGSTTRFPFPKLRIIDLSSNEFTGRLPSNYFKNFKAMIGIDRRASGPLYMGGDLQIYEHTLMLVVKGIRLELERILVVYTTVDLSVNKFEGEIPDTIGKLSSLRLLNLSHNGLTGEIPTLLRNLTSLESLDLSWNNIVGEIPLQLRSLTFLAVVNFSQNQLQGPIPQGGQFSTFLNASYQGNDGLCGFPLTNNCGDDEVPIFPTPEENDDSSFFNGFSWESVALGYGVGMLIGSGIGWLMFYSGRPRWVIRIAEREQSRYKRK >KVH94287 pep supercontig:CcrdV1:scaffold_26:604687:610930:-1 gene:Ccrd_003641 transcript:KVH94287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGVEKVLRCLSNSFSRFIHLVTFGVPKNLPCQKEYKDIANLLKLFKGIIDNIDDAHIHSDEILFKEFEELDLAVNEAREFIENWDCTEQTSYRQNSKLFDQDLSNCIQIIGHCMEEFESLKMEIASEKLDEALKCICEGKVPPLEHLIEIIELLHLSSSQELIRESIAVEKENDKVNEPDQINHIADLVFHIRETMVNLESLKAIDGVRIPSYFICPLSLQLMFDPVIVATGQTYERDSIQKWLNHGLIRCPVTRQTLSHTNLIPNYTVKALIANWCDENRIKVNRASDHPDKAEDSTSRDLIQMVGDFDPSIQSTHLTPRSSFEVENGFDRQSFQKSNHPSPEESCSHSRSGSTSTAVSSERLCELSSEMASDCQISSPSSKTSGFSPWMPGKQHHSSNSMGEIVTNGGNRNYPRTISLPSNSSPNELTTSSHVQQLVNDLSSPSNETQTKAASELRFLAKHNMENRIIIGESGAIQPLLSLLHSNSKMTQEHAVTALLNLSINGNIKSMIAEAGAIEPLIFVLETGNTCAKENAAAALFSLSLLEEYRVKIGQSGAIRPLVDLLGSGTLRGKKDAATALFNLSIFHENKARIIQAGAVKYLVELMDPATQMVDKSVALLSNLSTISEGCLAIAREGGIPLLVEVVETGSQRGKENAASILLQLCLNSPKYCRLVLQEGAVPPLVALSQSGTSRAKEKECFFIKLSKRFEDLISDEFDGGDGDVKWTLDQLRASCC >KVH94291 pep supercontig:CcrdV1:scaffold_26:675452:676087:-1 gene:Ccrd_003634 transcript:KVH94291 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MATSFILYDSPETSCSWDELLFRHNAHPFNQFDYHDAFYPVLLAEEGGIEFPVPNSTAVSAAKKEVTYEPKKVKSYRGVRKRPWGKFAAEIRDSTRNGTRVWLGTFDSAEAAAMAYDQAAFSTRGTLAVLNFPVERVKESLKEMKYGFEEGCSPVMTLKKRHSLRKKPAVRKNKPVAATVPEEKPTVVFQDLGVDYLESLLLLCESSTPQS >KVH94275 pep supercontig:CcrdV1:scaffold_26:95679:98928:-1 gene:Ccrd_003678 transcript:KVH94275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MAATSQLTRSFTSVSLSPVTLSHLPNSSASSSSFSFISNNFCSHKPSSRRILTIRKNGVFKIHAMTASFGSRLEETVKKTVTDNPVVVYSKTWCSYSSEVKSLFNRLGVQPHVIELDQMGAQGPQLQKVLERLTGQHTVPNVFIETVKLHRKGELEALLTDAKNT >KVH94303 pep supercontig:CcrdV1:scaffold_26:229757:234920:-1 gene:Ccrd_003669 transcript:KVH94303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MALLMEKGAEPQTEKELADLDAIAALKESAAIELKEEGNKYVKMGKKHYSEAINCYTRAINQNALSDTETSIIFSNRAHVNLLLGNYGRALSDSEEAMKLSPTNVKAFYRAAKASLSLNKLVEAKTFCENGLDQNPDNEELKKLLKQIDSQISEHQQHEAQVSKALTSAKGLVSAIEDRALNIGKAMFQELTGLKKPILDKDHILHWPVLLLYAEVMSSDFIEDFCETDISAPLPWDKENAYTRDAIELYYEAGSGVRLSKREIISTFLQGTVASHLESFGDDEIDGVKSSPKGISSIGEGRSKWVKVNERRTLHAVLKEPNLIIPGIPVFFVVSKRSSFYKEFKSGNWSLPF >KVH94316 pep supercontig:CcrdV1:scaffold_26:724042:726422:-1 gene:Ccrd_003630 transcript:KVH94316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKRASSRLVHLQFQKFHPKSSISLFSKHSITQFSSISSTDEQINHHPSKPHLKSHSTSQSSPFSRNPNRLLHNPSHNLSTLVNPRKPSSQLPSKQTKIKERSQIVDDFERAKTSEEMIKAFESMDVSFDHHELGLASLKIGLKLDEEGEYPEKVFDYANKSLKILDEIDNDSSLPLAMNLQLLGSACYNLNRFNESLGYLNRANRILGKLEETISDNDFNIKHVLHAVHLNLANTKNAMGRREDAIGNLKKCLEIKEVTLEKDSRELGNAYRDMAEAFVALLSFNEALPYCMKAIEIHKIQLGSNSVEVAHDRRLLGVIYTGLEEHGKALEQNQLSQKVLKNWGRTSDLLRAEIDAANMQIALGKFDEAINTLKGVVLQTDKESEERAMIFVSMAKALCNQEKFQETKRCLQMACGILDKKEKSSPLEVSEAYMEISMQYETMNEFETAISLLKRAQAMLEKIPEEQHSVGSASARIGWLLLLTGKVQESVPYLEDATERLKENFGSKHFGVGYVYNNLGAAYALAINFQEKAIDAWSGHGPSAHDELKEARRVLEELKVKACGGSEDLHSKKALPVQHRRRSSRSSGD >KVH94312 pep supercontig:CcrdV1:scaffold_26:663554:665417:1 gene:Ccrd_003636 transcript:KVH94312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MSFRFRGGGGANASSGMGVAEHCKCTFLELQRKKAHRYVIFRIDEKKNEVVVEKTGNPAESYDDFTSALPENDCRYALYDFDFVTSENCQKSKIFFIAWSPATSKIRAKMLYATSKDRLRHELDGVHYEIQATDPTEMELEVLKERVY >KVH94265 pep supercontig:CcrdV1:scaffold_26:807718:812888:-1 gene:Ccrd_003621 transcript:KVH94265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLSRSIWPNTLNSLQKLGFWQFDLSTLPPFFLKLQALSSSWKWRLMEIRSKSLLRQRNFFASDYWTKRNRFRSGSESSSLSGICEAAFALGQMQDADAIPALKLVLNDLSLHPIVRHEVDFSPALAFFPFAAEALGAIGLESNIPLLKDSLDSDPAQEVRETCELALSRIQELKNAGDNHQSSTTAASPFLSVDPAAPASCSSVHKLREVILNEEKGMYERYGALFGLRNHGGDEAVAAVIESLNAKSALLRHEVAYVLGQLQNKSASDALSRILKDVNEHPMVRHEAAEALGSIADDQCISLLEEFSKDPEPIVSQSCEVALSMLDFERSGKSFEYLFMQAPQLQEAT >KVH94277 pep supercontig:CcrdV1:scaffold_26:192998:197201:-1 gene:Ccrd_003672 transcript:KVH94277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSKAGACNNKTTPLHAQTTPFTLSSSPVSSPSSCSSNAVINSCSSLGFCIYNAFAADLRRSLWIYIAHDSNIQNPASISFLNIKLSPSLLSSQPLLVRKNGFEHLKVRLMSMSASVIPSITSHPREPFMQPSIVPAPSPTIQGPVASPTVGSSRRHRHHYRHGMI >KVH94255 pep supercontig:CcrdV1:scaffold_26:86945:88302:1 gene:Ccrd_003680 transcript:KVH94255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transport accessory protein-like domain-containing protein MASCSMASAATGFMVTPNLTSNTTTVRCTMLMFSSKNTRSSRLVVKAADEAAPPTAAAPLAAETAPKPPPIGPKRGTKVRILRKESYWFKGVGSVVTVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIQEV >KVH94259 pep supercontig:CcrdV1:scaffold_26:494839:503080:-1 gene:Ccrd_003647 transcript:KVH94259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDHVVGGKFKLGRKIGSGSFGELYLGVNIQSGEEVAIKLVLTSILDHSGIPNLKWFGVETEYNIMVIDLLGPSLEDLFNYCSRKFTLKTVLMLADQLINRVEYMHARGFLHRDIKPDNFLMGLGRKANQVYVIDFGLAKKYRDLQTHKHIPYSLPWQGLKAGNKKQKYDKISEKKMFTPIEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGVNAGTSAEKPGRTSAGQDIRDRVLGAVEVFSRRNSSKDVQPDSEKLRSSRNGDSSKRAAIAGGRPTSSGEATEGRLTRHVSSSAGRLSTTHRVQPGYDTKLSGFSRTAKGGGHDDALRSLEFLSIRK >KVH94301 pep supercontig:CcrdV1:scaffold_26:21435:41008:-1 gene:Ccrd_003683 transcript:KVH94301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEEALEMARAKDTKERMAGVERLLELLESSRKSLSSSDVTSLVDCCLDLLKDNNFRVSQGGLQALDSAAIVSGDHFKLHFNALVPATVERLGDAKQPVRDAARRLLLTLMQDTIASANLTRVPLLVVQVSSPTLIVERAGSNAWTHRSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLYDPNPGVREAAILCIEEMYAQIGFQFREELQRHQLPSSLVKDINARLERIEPKHHSSDVHVGTHAPADTKHSNRNPKRSSTKAKSSSREVSLFGGESDVNEKPVDSIKVYSEKELIREFEKIASTLVPEKDWSIRIGAMQRVEGLVIGGATDYSCFRGLLKQLVGPLSTQLADRRSSIVKQACHLLNFLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVTRILPRVADTAKHDRSSLLRARCCEYALLILEYWADAPEIHRSADLYEDLIKCCVGDAMSEVRATARACYRMFSKTWPERSRRLFSQFDPVIQRIINDEDGGMHRRHASPLLRDRTPQIKEKVSAIESMLKGFDFDRVKSSSLDLGVDPPSSRDPPFPLAVPASNKLSVFSSLDTTNSGISKGSNHDGGLALSDIITQIQASRDAAKHSNQGSVGNETLSSLSSYTARRAEKHQERGSFEDKNDVKGGRQSVNLHMDRQYFDTPHRDSHYRDSHNSHVPNFQRPLSRKNVSGRMSANRRRSFDDSQLISGEMSSYTDGPASLNDALSDGLSSSSDWHARVAAFSYLRSLLQQGSRGIQEVMQSFEKVMKMFFQHLDDPHHKVAQAALTTLADIIPACRKPFESYMERILPHVFSRLIDPKELVRQPCSATLEIVGKIYGVDSLLPALLRSLDEQRSPKAKLAVIEFAVTSFNKHATNSEGSGNSGILKLWLAKLTPLVHDKNTRLKEAAITCIISVYSHFDSTSVLNYILSLSVEEQNSFRRALKQLTPRIEVDLMNYLQQRKDRQRSKSSYDPYDVVGTSSEEGYIGASKKTPLFGSEDQLYQGLEIGSNNDVFTSNSKDTLNAADAVSDKVRSWTTRSEILEHNTNLGVSSIPHINGLVNSSEQQIAVGCNYDNVAPHELELGLSKLTASEINSSADTASSIPQILSLICNGNDDYSTASKCTALQQLVDASEVNDSSIWTKYFNQILTVGLEVLDNNDSSVQELALALIVEMLKNQKDGMEDSVEIVVEKLLHVTKVTNPKVASEAEHCLTIVLSQYDPFRSLSVIIPLLVAEDEKTLLVDRLSQDELMAQLPLFLPALFDAFGNQSADVRKLRLVTIYANRISQARTGTPIEG >KVI11985 pep supercontig:CcrdV1:scaffold_260:85399:86825:1 gene:Ccrd_009592 transcript:KVI11985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MPISSIAIGTPADASSPDALKAALAEFISMLIFVFAGEGAGMAFATPAGLISASIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHISLLRSVLYWIAQCLGSVVACLLLKIATGGEWNAVAFEIVMTFGLVYTVYATAVDPKKGDLGIIAPLAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWNSHWVYWLGPFAGAAIAALVYEVIFIGSSTHEPLPITDY >KVI11994 pep supercontig:CcrdV1:scaffold_260:249296:253420:1 gene:Ccrd_009604 transcript:KVI11994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAGAALDMSLDDLIKNNKKSGGGGDGRGRGRPSAPGPTRRFNNRGANRATPYGGPKAPDAAWKHDMFGVADQVMGNVGPGGRPSAIETGTKLYISNLDYGVSNEDIKELFAEVGDLKKYSIHYDRSGRSKGTAEIVFSRRQDALAAVKRYNNVQLDGKPMKIEIVGLNIVAPVGGLPLANNSIGNMNGFPRSGQGRNAGFGRSRGGGGGGGGRGSARGRGRGRGRGEKISADDLDADLEKYHSESMQTN >KVI11974 pep supercontig:CcrdV1:scaffold_260:13795:17244:1 gene:Ccrd_009585 transcript:KVI11974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin-like carbohydrate-binding domain-containing protein MVLVFWSVNCGATDFYTDENSISWAPDDSLVSNGLARVVQSSNSISPVLDSLRVFTSRKKNCYSTPVTKGEKLLVRASFNYGNYDRLSSPPKFDLHFDGNFWTTVETTASGAQVYEATYVTKGDAASVCVAQTNPNQFPFISSLEFRSVGLEVYNLADDNRALFLIERLSFGASDTLRYPNDLYDRIWVPLSGSGAVTSEAILFNTSISDNPPQGILESAIVGASTTTSLIFATVQPSNDLLYINMYFSEVLDTTETRIFRVYESSPSVSRPLTATISPAFGGVSERIFTNYTTNSAMNLSLMATAESDLPPLINAIEAFNISDVLTDGTDSNDVATLMLLQTTFDVLAQWSGDPCLPAPYSWDWLNCSNDATPRVTSLHLDSFDLSGSLPDISSMDALETMNLANNSFSGPIPTSVVTGNPSLCTSGKSCSSSSGGTTSKKKSSNMPIILGTTIPVFFLIWIVAGIFIILRKKRTNNVNVLAVAGGEENGKPNGLHTVGEQMMNGIGQNTVQQTFGSPSPLLDTSGQTSDLHDQTTAH >KVI11980 pep supercontig:CcrdV1:scaffold_260:198963:204784:-1 gene:Ccrd_009597 transcript:KVI11980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQ >KVI11992 pep supercontig:CcrdV1:scaffold_260:237414:242644:1 gene:Ccrd_009602 transcript:KVI11992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MANAASGMAVHDECKLKFMELKAKRTYRFIVFKIEEKQKQVIVEKVGEPSESHDDFAASLPASECRYAVFDYDFVTEENCQKSRIFFIAWSPDTARVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDVFKSRAN >KVI11969 pep supercontig:CcrdV1:scaffold_260:335810:337405:-1 gene:Ccrd_009614 transcript:KVI11969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MDGGSTSGTSEAPTAVVGDGAAGSPVSGRWNPTKEQIAMLENLYGQGLRTPTAEQIQEITSRLQTYGHIEGKNVFYWFQNHKARQRQKQKQDHLLLFRQYHHHQLRCPPPFLSLPPSPNVIYEPCYMPQNSLGFYGQCPQVVSPSTGRRRSPRSTKPRSPDDGGFLLTHQPESVVTLAGGHNTMVKDQEEDIINGRSSSDQETLDLFPLHPTGNLQEREDTTSNASCTIASTACTLSSSGGAIDLLVLSLFLVKGPKRVELVGWTCAVINLVVFVAPLCIMYMPFMLLSHSLYMPPWWFFYGLFVNDYFIADSKKQSGSDLVEKPNKTGTDKISRWSGGFERPSTLVWLWLL >KVI11972 pep supercontig:CcrdV1:scaffold_260:43282:53526:-1 gene:Ccrd_009588 transcript:KVI11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-containing protein MENGFKLLLSCPSGLSSSQVSVNFDESYDRVPHPDIDLEKSISEVHYILPFADDCKQCQHTSSPLGNGAIVETSDNKILVLQRSNNVGEFPGYLVFPGGHPEPEEVGISSHKCQKGLQNSELINSKVSQEMFDSIVREVVEEIGVPAATLSEPLFIGISRRVLNVRPAAFFFIKCNLESTEVQQVYSSAQDSYESTKLYTIEPSELEKMASKMPGCHRGGYALYKLMLGAGK >KVI11973 pep supercontig:CcrdV1:scaffold_260:33299:35264:-1 gene:Ccrd_009587 transcript:KVI11973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MKAPSERGLPPATPRATTYRKKMSKLVTGKRDDTPLHTASRAGNINAVMEILGECDEEEELISLLAKKNYAGETPLYVAAEYGYVDLVRLLIDQCDVATASIKANNGFDALHIAAKQGDLEILKVLMEAHPELSMTVDMSNTTALHTAAMQGNIEVMNYLLEIESSLASIARSNGKTALHSSARNGHVRVVKALLEKAPGISARIDKKGQTALHMAAKGKNHEVVEELIKADPALINIVDAKGNTALHIATRKGRVQIVKILLARGETNTQVVNRSNETALDTAQKMGHPEIGCILQEHGVPSAQALKPPSTPARELKQTVSDIKHEVHHQLEHTLQTRRRVQGICKHLNKMQAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYADDPNDIPKGRGRGSNVGGGNRKQSEEANDGDNQQANVASLRAYIGCVSGTRVHRGRGAREMASDLRDGYRNVDYGVDFGSNVLLGDHASDRNFEYEKFEKERE >KVI11976 pep supercontig:CcrdV1:scaffold_260:300700:307798:-1 gene:Ccrd_009609 transcript:KVI11976 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MGDSFQNHVPSRSPEAAGSMASRKDSKLTLVASVSKPLSHTSLKQIPLFNNGKIRKSPVNDLALFLLKVGALETVRRVSNARCPFIWSGLQALQVFCYPPLKWLQRWDPFRNLIKGAQILSTPLLVLSIATTFSNQSEQNNLDSDDIENSDRRIDCDAVPESHSELPPVSSTIDMRVNDEAQLHQSGRNWLLDLYRELDNQGVHLPERINEDELCKFHSAANGDFSCLLTLVKKAIHWRETYRILSEEELEMWSNMVFWHGFDVENRPCLVVRLVACVSLPPSERPRFAQAIVSQVEHGILHLVDAENPQITVLVDCEGLSLRFPMQLLRSCSATLQENYPTRLGCLFIIRLPPVGRVIAQTFIQVLKPATRQKLKIIGRMYKDSLSEYLQTFPSYLGGECACSRCAKLSNPHMQLPEFNQEFSSNRELDADIDNTERFSPNYQFPDVNEGCEKVLRSAVVGILMVWVLIALIAGLVDPESRPTLPH >KVI11989 pep supercontig:CcrdV1:scaffold_260:230613:231566:-1 gene:Ccrd_009601 transcript:KVI11989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core METTGKAKKGAGGRKGGGPRKKAVTRSIRAGLQFPVGRIGRYLKNGRYAKRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDDELGKLLAGVTIAHGGVLPNINPVLLPKKTAAKEPKSPSKATKSPKKAD >KVI11982 pep supercontig:CcrdV1:scaffold_260:69186:76685:1 gene:Ccrd_009591 transcript:KVI11982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGWTPPHGVGPLTPVSENSEVPDSPLPYLDTTTSRRNFPEKNDDIEEEDEVIPPSAVPFTALFACADGFDWILIAVGSLAAVVHGASLAVYLHLFGKIIHLLSFHSNADELFNHFSQLIVSFFHNFSRFLFVINMQHALYIVYIGLAVFAAGWIEVWCWILTAERQTAVIRTKYVQVILNQNMSFFDAYGHNGDIVSQVLTDVQVIHCVLGEKHIQSRERTLCYQVGNYVHNIATFLGGLVIAFVNCWQIALVALATGPIIVAAGALSDIYLHKFAESLQDAYVDAARVAEEVFVTLLLKLNALSNIRTLYAFTNEALAKYSYASSLHDTLKYGIIISLVQGLGLGFTYGLGMCSCALQLWVGGFLVTNGKSSGAEIVTAVFAMILSGLGMNQAWANLYSFEQGRIAAYRLYGVTRHSASAAASDGNTLVSVQGKIEFRNVYFSYPSCPVDPILSGFYLTVPAKKTVALVGRSGSGKSSLIPLLERHYDPTLGEVLLDGVNTKSLKLEWFRRQIGLVTQEPALTCLSITDNIAYGRPNITFKQIEEAAKIAHVHAFINSLENGYETQVGKVGLELTDEQKIKISVARAVLLNPSIILLDEVTSKLDLEAEKSVQEVLRIIMLGRSTIMIARKLSLVKYVDLIAVMEGGQCVEMGTHDELISAHGLYSEILRCEEVVKLPVKLPSGNHNVMELKAENDSGNKTALAMEKVDSLEMRSTADHKDPQLKDKYKSKHQTSPSLGRLVKLNLPEWLYAVLGSLGASIFGSFRPILAYVVGLIVTAYYRVDGSHNIKFDVNKWCLIISCMAIVILVATVLQHFYFGIMGEKMTERVRRMMFSAMLQRDVGWFDKDENGSDNLLMNLANDATYVCAAFSNRLSILVQDFCAAFAAIIIGFSLEWRLALVALATIPFLTVSAAAQFKIKRKYSILLVVKSANTLFKLSCRTHFQRTWLSGFSRGIEELHKQASLVLEDVVRNISTVMAYCAGNEASRLYSLYLKKVYRRAFLHGMSIGFVFGFSRFLLFACNAVLLWYTAVSVRNGYIDLPTALREYIVFSFATFSLVEPFGLAVKFHKKRKNLVRVFDIIDHVPKIGLDESSALKPVTACGTIEFKNVNFCYPTSPEIMVLRDFSVKVDGGHTVGVVGVSGSGKSTLLSLILRFYDPVSGQILLDGKDLKHFNLRWLRNQLGFVQQEPVIFSTTIRENIIYARRHASETEIRDAARIANAHHFISSLPQGYDTRVGPDGVDLTPGQKLRIAIARVVLKNAPILLLDEADSTIEHESRRVVQEALDTLLIGGKTTILVARRASMMRRVDKILVVNGGQIVEQGTHDSLAAIKDGVYAKLTQPHFSRGPEDRHGLLRTPVKAGP >KVI11971 pep supercontig:CcrdV1:scaffold_260:319069:323170:-1 gene:Ccrd_009611 transcript:KVI11971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase, NAD-specific MAAGFIDTECLIGDVVNNQVVINPTNIVSISKDTEFLSQKGIVIFLPSTKADWVGTSTTTGRIIHIGHTPSTGTTLIHPRNNRIADPFQRLHLVLELIYLCQLIPIQPLDRLLHCILHLLLVLCRQLRAYVIIFDGVPHAIRVVLQRILRLHFLLMFFVLCLVFLRLLHHFFYLLLREPTLVVCNCDFVLLPCRLVLRRHVQDPVSINVETNSDLWNSTRSRWDPRKLKLAQQISLIFHPHKPGSKPQVDCRSKLKTPALSSWEWWCFLVSTPNLAAVVALWEFGLTHQQEPHRERCSCPSSHLSNTSPLAPYTF >KVI11981 pep supercontig:CcrdV1:scaffold_260:190726:191175:1 gene:Ccrd_009596 transcript:KVI11981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MKRNWENDREIENLAMANCLTLLNRVGQSGSGRVFHCKTCNKQFSTFQALGGHRTSHKRPKSTDETPAKAKTHECSICGLEFELGQALGGHMRRHRDALAEKSVRTKVAVTVVEEVDGGRRGLCLDLNLTPFQNKVKIWRRSGTAAIAI >KVI11979 pep supercontig:CcrdV1:scaffold_260:101717:105072:-1 gene:Ccrd_009594 transcript:KVI11979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMIETKERSFYDTGGVEMFTIMKGKNNSNNNSFKTPTTRFSESTDGQEGHYSMDEIWKDIDLLDDSIKPVFDPYSELTASLTWDSCLSTSWTMDGQEEFGKSMFSLTSKEFSSRLTD >KVI11995 pep supercontig:CcrdV1:scaffold_260:277581:279833:1 gene:Ccrd_009607 transcript:KVI11995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PNASCICQFIQYNPHVSIQASTQATAEENHRKQRAYRMRKFDPWPVFFKREFNRNWPFLVGFAITGTIITKFSLSLTDSDLLIVWIFFSGRGRQEKLRLCPKTQELKRLHSG >KVI11977 pep supercontig:CcrdV1:scaffold_260:278716:286320:-1 gene:Ccrd_009608 transcript:KVI11977 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MKVDENSRSSKLLFIHRSRKPPLQSYAISNFPLQLHHPTIVFLYNHLHNIGFLTMASNASNGEHKATKPPPTPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKQIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPDQTARWASPDGSRFSSKARCCQEAAVINERGTSDVMVQVAKKLKLH >KVI11984 pep supercontig:CcrdV1:scaffold_260:87287:89973:-1 gene:Ccrd_009593 transcript:KVI11984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA SPSSTEYTVPGGGVAATSITSDGASLKSLSLLLQLRSSFRMVRVAVALFRISIDFLSTKSINGAYASAGELICLLQTLGFIEVIHGAVGIVPSGFLFPLMQWGGRTHFLLAIIRRIDEVHELPVVFITFVAWCCIEVNSLSLCLFCSSAHRISFLKKYTVFIVIYPIGVVGEMWLMYQALPVIMEKNLYGDYFSAMPFSYHAFVKVSTICAFRFFPFTCSIEVLPEARRFSSATHFYGCNCISICSSNAVQNYVHAIRRKRESEDSYYLVR >KVI11987 pep supercontig:CcrdV1:scaffold_260:208511:211407:-1 gene:Ccrd_009598 transcript:KVI11987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MRVPISPTPPSYRSTDRNAAAIFASRMVRVSTLNDALKSMYNAEKRGKRQYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KVI11970 pep supercontig:CcrdV1:scaffold_260:327577:330593:-1 gene:Ccrd_009613 transcript:KVI11970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MSGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPTNTRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKAASVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSNVHDVVLVGGSTRIPKVQQLLQDFFNGKDLCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQLSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMRNTIKDDKISSKLPADEKKKVEDAVEAAIQWLDGNQLAEVDEFEDKMKELEGVCNPIIAKMYQGGAGGPEMDEGGPAAGGSGGAGPKIEEQLFFTSQPSLVAAPASSIIPQSPLLSSSVINSLLPRSLFSPSASTVAVCFSSIHNH >KVI11968 pep supercontig:CcrdV1:scaffold_260:323172:324669:-1 gene:Ccrd_009612 transcript:KVI11968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIETNLFEKMEGLGLGEISSKSIEMGEHGVLDYGHEISSKLVEIGENEFLDYNFLLYIKSLNSICLWWVIGKMLGKREQPAIGIDRGTTYSCIGVWQHDRLTSSRSRQ >KVI11993 pep supercontig:CcrdV1:scaffold_260:255772:262058:-1 gene:Ccrd_009605 transcript:KVI11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPYTQRTSSYFSALTQAIDKKLQRALASPTQRRDLLQQLFADVALEVDDRARDIILGREDAASMAEVDIKLPLCFYDVLADHFACEPERGKPILDMIVQLWSQPFASHIFALLFHKWLFEVQLDSSDLLLRYSSALVQGATNVFWIDIQTNTTHFQSLFRYLLEDVALVPERLKKIPFQAQRDLFLLLSRSIFLYNLVAKLESFFQHFPEFPNAFLVGGPADIFVIELTDQLRMTTSTRLKTCLYSFTSPGGPMYPTRAVRHAAWDALDFLFPVSWSIPAAYYQLLFPVAVSMVLAIVLLELYLVMHTGNIVQDLKANVS >KVI11983 pep supercontig:CcrdV1:scaffold_260:58035:61175:-1 gene:Ccrd_009590 transcript:KVI11983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIASSELRFTKLIVMSVLRKSHFNLTFLRHYHKQRPHKPTKALLRKPIPFVTDLKDIQNPDEALTLFRDYCETGGFKHDYPSYSSLIYKLARKRNFEAVEILLQDLHHYNVRCKEALFIGLIEHYGKSGLPDKAIELFRRMPSFDCYRSLQSLNAILNVLLQSGRFDDAEEMFKCCSKMGFRPNAVSFNIMMKGWLQRGEWDEARKVFDEMLEREIEPTVVTYNCQIGFWSKKGKFAEAKSLFDEMIRKGQKPNAISYAILMEGLCSQDKFKEAKKMMFDMEYQGCKPQLTNYGILMNDLAKRGNFDEAKSLLLEMKKRRIKPDVVMYNILINYLCKEQRVGESYKILVEMQVNGFDPNAATYRMIVDGFCRNGEFEEGLKVLNAMLISRHCARLETFCCLIIGLVNNGKMDDAYFVMEEMVRRRMSPNLESWEAMVTSTCGRDGNGSTTFSVVNSARTLNEEPEPAVPVVAPEPDTPLPNVTPLGAIPPNPASSAGVVPVEEGSDHTLEFFMHDILGGSNPSAKAVTGAVTNPAVNGQVPFAKPNGANLPVNNGVPQDDGNNGIINNNNLPFLTGLGGTTSNVFQNNGNNNNGNNNNNNNNAFAAINGGQLPNGNALQQFMFGTLTVIDDELTEGHELGSGLIGKAQGFYVSSSIDGKSQTMAFTVMFVHGSYIDSLSFMGVHRSAVAESQLAVMGGTGKYVNAKGHAIVKTFQGTNQQNNDGTETLLHFTVFLAY >KVI11996 pep supercontig:CcrdV1:scaffold_260:268568:273210:-1 gene:Ccrd_009606 transcript:KVI11996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAKYFRQRDVGQADSRGDSGCWSFQAAGAVPDIGMPSDDAVVIQKGKKQGDPFVITWIINLHYGICLFVLDFTTDGSWCYIVLWVFPHSTAPTVRWSNVKERLLAVCPSFSVSAYLNEAPPHPVASPVYLFTFCSTDRKGLLHDVTRVLCELELMIQRVKVTTTPDGRVMDLFFITDNLELLHTKKRRDDACEKLHAVLGESCTNVDIRSAGYKHDGVLAISSLSLTAAGELFKTELSKKEDHSHALSADVKKLKRANVLIDNSLSPAHSLLQIHCVDHKGFLYDIMRIAYGRFSPVNEGHRELDLFIRQRDGLKIVNIEKQEKLISRLKLEMLHPWRVVISNRGPDMELLVANPVELSGRGRPQVFYDATLALKVLGICVFSAEIGRYSTENGEWEVYRFLLEENCKYKLGSMVVRTQIVDK >KVI11990 pep supercontig:CcrdV1:scaffold_260:223630:230423:1 gene:Ccrd_009600 transcript:KVI11990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAPKAEKKPAEKKPAEEKKTTTVEKAPAEKKPKAGKKLPKEVGTAAGDKKKKRTKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAISLNPIETLKVLFSRGTSPTSNDGDFSPSLDDTEASEASTTSILYCLREHSRKDLVGFRFHNGKETAWFPAF >KVI11975 pep supercontig:CcrdV1:scaffold_260:21808:31314:1 gene:Ccrd_009586 transcript:KVI11975 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2/NOT3/NOT5-like protein MNPLETEVVWEAKMDFIFGFTTISDDESDPFPSLTSKANKAQRKASKSEFSEEESFSWARISSLNGSASNLQDNTGRPFSASFSTQSGAPSPVFHQSGSVQGLHNLHGSFNVPNMPGSLGSRNSTTGNVPSSGVQQSSGNLSGGRFASNNIPVALSQMAHGNSHGHSGLTSRGGMSVVGSPGFSSGTNAIGGSIPGILPTSAAISNRSSVPGVGVSPILGNPGSRITSSAGNIGGGSSIGRSISSGGGLSMPGLASRLNLNTNSGSGNLGVQGSNRLMGGMLQQASPQVMSMFGNSYHSGGPLSQNHVQAGNSLNSMGMLNDVNNNDGSPFDINDFPQLSSRPSSSGGAQGQIGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKDQLHDNNVSMMQSPHFSMGRSSGFNLGGTFSSHRPQQQQHVPSISSSGVSFSSVNNQDLLHLHGSDMFQGSHSSYHSQAGGGPGVGLRPLNSPNTVSGVGSYDQLIQQYQQQQNQSQFRLQQIFAASQSYRDQGMKSMQAAQAAPDRFGLLGLLSVIRMSNPDLTSLALGIDLTTLGLNLNSAENLHKTFGSPWLDEPTKGAPEFNVPQCYYAKQAPVLNQGYLSKFQLNTLFYIFYSMPKDEAQLYAANELYNRGWFYHREHHLWFMRAANMEPLVKTNSYERGSYICFDPNTWETIRKDNFVVYYEMLEKRPSLPPQH >KVI11978 pep supercontig:CcrdV1:scaffold_260:169072:174620:1 gene:Ccrd_009595 transcript:KVI11978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIRGNKKKRKIELKIDNENVLASGSSEEGSIDWWDEFSKRIAGSVSPSKGLDQFESVFKVSRKTFNYICSLISEPMMAKTTNFVFLNGKSMSLNDQVALALRRLSSGDSLIGVANFFGTNHSTVSQVTWRFVETLEERGLHHLQWPSTEHEMTQIKSKFENIRGLPNCCGAIDTTHIMMLLSTSERTIDVWLDRKDNHSMILQGSTFFDLAEKGERLQGKKLKLSEGTEVQEYIVGDSGFPLLPWLITPYQGRDLPETKSEFNKRHFATRLVAQRALARLKDVWRMIQGVMWRPDKHRLPRVILACCILHNIVIDMEDDVLDELTFTHQHDLGYRPEVCETADKNASISRDKLSLYLSGRLPA >KVI11988 pep supercontig:CcrdV1:scaffold_260:318642:320060:1 gene:Ccrd_009610 transcript:KVI11988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MRIINEPTAAAIAYGLDKKAASVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSNVHDVVLVGGSTRIPKVQQLLQDFFNGKDLCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERSRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYAYSMRNTIKDDNISSKLAAEDKKKVEDAVEEAIQWLDGNQLAEVDEFEDKMKALEGICNPIIARMYQGGAGGRGMADMDDSAGGGAGPKIEEVD >KVI11991 pep supercontig:CcrdV1:scaffold_260:245731:247250:1 gene:Ccrd_009603 transcript:KVI11991 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MLAFLNAHILAIVFGLLGNIISFLVFLAPLPTFYKIYRKKSSEGYQAIPYIVALFSAALLLYYAFLKTNAYMIVCINGIGCLIEIAYLSVYLFYAPKRTKISTIKFISVFNIGGLGTVLVLSLVLVKGPKRVELVGWTCAVINLAVFAAPLSIMRKVIRTKSVEYMPFMLSFSLTLCAISWFFYGFFVNDYYIAVPNVAGFLFGITQMVLYCVYKDSKKQSGSDSVEKPNKTGTDRENLEVEVVVSDGHRH >KVI11986 pep supercontig:CcrdV1:scaffold_260:217799:220963:-1 gene:Ccrd_009599 transcript:KVI11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] (complex I), alpha subcomplex, subunit 2 MAWRGQLSKNLKELRVLFCQTSEASSSTRAFVEKNYKELKKANPKLPILIRECSGTEPQLWARYDMGVERGIRLEGMTETQISKALEDLVKVGASLKS >KVH87709 pep supercontig:CcrdV1:scaffold_2605:69126:70894:1 gene:Ccrd_025005 transcript:KVH87709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancyauxin associated MSLLDQFWDDTVAGPRPDKGLGKLRKHSTFSFGSSDSGKAELPPVTSTAVEDPTMRVTRSIMIVKPERTLTDTPPASPAGSTTPVSPFPGGRSEAFRFRRKSASDAFEKASGIGTRSPRPPYDL >KVH87711 pep supercontig:CcrdV1:scaffold_2605:29569:32628:1 gene:Ccrd_025003 transcript:KVH87711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MMKIEGMMEKGVLDDIIRRLLEGKGGKQVQLSEAEIRQLCINSRQIFLSQPNLLHLRAPIRICGDIHGQYQDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAFKIRYPDKVFLLRGNHEDPKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPIAAIVDEKILCMHGGLSPDLEKLSQIEELERPTEIPDNGLLCDLLWSDPTHVADGWSDSDRGVSCTFGADIPPKMGAA >KVH87710 pep supercontig:CcrdV1:scaffold_2605:43768:50897:1 gene:Ccrd_025004 transcript:KVH87710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MAIGNGLKQIMVIPPPVKAQRITNYLKPYLLKMHFSNKYVSAQVIHAPTATVASAASSQEKALREAWNKAQQSTRDVAAAAKIGKLLGERLLLKDIPAVSVVYKREQRYHGKVRAVLDSLREAGVKLL >KVH91078 pep supercontig:CcrdV1:scaffold_2606:65476:66801:-1 gene:Ccrd_006907 transcript:KVH91078 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSQGHTIPLLHLSGILFHRCISITIITTPANYSAVRATVTDGSISVIDIPFPGAPPGVEVSGKLPSMSSLIDFVKSTEKLQPGFEEVVRSLPPVTCIISDGXFSWXQDSADKLGIPRLVFYGINIFSMTMDHIMTQFKPHAAVNSDDERFQVPDFPRLKLTVNDFQPPFNEVDPKGPLHDFHVKQQAANAKSHGMVVNSFYELEPEFIDYWNQRVGPKAWCVGPFCIAKPKQKLVENQKPTWVQWLDEKLQPELYVSFGTMAETSPEQXREVAVGLEXSNVNFMWILXWKQLEXIGGAGFEERVKGRGKVVTEWXDXVZVLNHQSVGGFLSHCGWNSILESLSAGVAVLAMPLMADQHLXARMVVEEIGMGLRLWAREKVARGLVGAEEVEKMVVELMEGDGGRRVRERXAKVKEGAHGAMKEGGSSWRTLSSLIDHVYVP >KVH91077 pep supercontig:CcrdV1:scaffold_2606:78334:79912:-1 gene:Ccrd_006908 transcript:KVH91077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVHLPNLKVLNLSNSEKLIRTPDFDGLPCLERLLLFRCNQLTDVHPSIGYHERLIYVDMRCCTHLKKFPPIRGMKKLETLLLSWCLQLCMFPEIQTNMDNMVELSLDRTGIKVIPSSFGKYCINLLSLSLGYCGSLESIEGNFHHLKHLKKFSIARCKKLKIPPEGLFDVTCCLEVLNLFGTSFKNLHPGIVSMKFLGFPPSLRMLGLRSCNWINGDISSVLCELSNLHVLDLQKNDFSRLCCNLLQLCSLKVLNLSYCYDLVELPDIPSSIAVLKAYGCKKLKLVDLPTDLKWLWIISLPMNCILGDMERKVQSMFQGNSIYDNSISLSFHGDNIQLEDFARRRLMLELPRNWYNEFSGFLICVNRIFDNMSHVITIEDVMGRENEDVLEVSDGTPKDIWGEFVEAYLMVEVNTHYHFIFHRK >KVH91080 pep supercontig:CcrdV1:scaffold_2606:5816:44032:-1 gene:Ccrd_006905 transcript:KVH91080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSTPTQNSALYERISYVNLVSSSYKQSKRNQRLACIPLDFLMNRKLSAVNALTKFKDVHPSIGYHERLIYVDMSYCARLKTFPPIRGMKKLETLILSYCRQLCMFPEIQTNMDNMVELSLDRTGIKVVPSSFEKYCTNLLSLDLGDCKSLESIEGNFHHLKHLKKISIARCKKLKIPPEGLFDVTCLEVLNLFGTSFKNLHPSIVSMKFLSFPPFLRRLRLGSCDWINGDISSVFCVLSNLQVLNLQQNDFSRLRCSLLQLCSLKVLNLSYCYYLVELPDLPSSIAVLRAYRCKNLKLLDLPTDLKWLWRITLPMNCILGDVERKVQSMLQGNSIYDNSISLSFHGDNIRLEDFARRRLMLELPRNWYNEFGGFLICFKVEGMFGNIYNVITIEDVMGRENEDVLEVSDGTPKETGDEVYRTPDFHGLPRLERIILNTCHKLTDVHPSLGYHERIISVDMSYCTSLKMFPPIRGMKKLETLVLSWCSQLCMFPEIQMNMDNMVELSLVGTRIKVVPSSIGKYCTNLLSFDLLCCESLKNIEGNFHSLKHLKVFHIQGCRELKIPAEGLFDLKGCLEVLNLYNTSFKNLQPGIASMKFLGFPPSLRRLGLGSCNLISGDISAILCELSNLLVLDLQENNLSRLRCSSLLQLRGLKFLDLSFCSNLVELPDLPSSIAVLRAYGCNKLKIVDLPINLKWLWRISFXMKSISGDVHRKVQSMLQGKDNFISLFFDAENIPTRDFARRRLMLELPRNWNNEFSGFLICIKVAGIFAGKSDVITIEDVMGWENEDVFDGTPKETYDDYAYAMTPDFDGLPCLERIKLDHYVNLTDVHPSIGYHERLIFVDMGSCTRLKKFPPIIGMKKLETLILFWCPQLCMFPEIQTNMDNMVELSLNKTGIKVVPSSFGKYCTNLLSLDLGDCKSLESIEGNFHHLKHLKKFSIAGCKELKIPAEGLFDVTCLEVLDLSGTSFKNLQPGIRSMKFLGFPPSLRRLGLGSCDWINGDILSVFCELSNLQVLDLRGNDFSRFHCSLLQLRSLKVLNLSYCYNLVELPDLPSSIAILLAYKCEKLKLVDLPTDLKWLWRISLPMNCRLDDVERKVQSMLQGNSIKDNSIRLFFHGNNIRLEDFARRRLMLELPRNWYNEFSGFLICVKVDAIFDTLNNVITIEDVMGRENEDVLEVSDGTREEIWDELRKFPKIQMNMDNMKELSLDRTGIKVVPSSIVKYCTNLRSLDLRYCESLESIEGNFGCLKHLKEFHIAGCKELKIPAKGLFDQKELPDLPSSIAVLQAYQCDKLKIDDLPTDLKWLWRISLPLKSILGDVDRKVQSMLQGNAIKDNSIILCFDVDNIPTRGFARRRLMLELPRNWYNEFSGFLICINVGGIFSSKYDEITIEDVMGRENEDVLEVSDGTPKEAWDNRCMKFPLKWGGAAANHLPNLKVLDLTGCSNLIRTPDFHELPCLERIILHSCLKLTDVHPSIGYHERLISVEMPKCRSLKMFPPIRGMKKLETLILSWCSELCMFPEIQMNLDNIVEISLMGTGIKVVPSSIGKYCTSLLSLDLRSCGSLESIEGNLHCLKHLKEFHIDNCKELKIPAEGLFDVKGCLEVFYLYNTSFKNPHRGIASMKFLGFPPPLRRLGLGWCNLSNQDISSVVSELSNLQVLDLQGNDFSRLRCSLLELRSLKFLDLSFCCNLVELPDLPSNIAVVRAYGCSKLKIVDLPTNLKWLWRISFPMKSILGDVERKVQSMLQGKHNSISLSFHADNIPTRDFARRRLMLELPRNWYHEFSGFLICIVVGRIYNKYDVIAIEDVMGMENEDVLEVSDGTPTETCNIYISFSSLRHTSWWKWKSRHSTISFSIQRHNYLKVELVPRRNKGDSIEGVKHTTNSSDFWDTEPIEITHDSKSCIKINWSQNDMVPLFGNYNF >KVH91081 pep supercontig:CcrdV1:scaffold_2606:2847:3254:1 gene:Ccrd_006904 transcript:KVH91081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MTQSAIVFLVLLPVLVPLFISCIDAQSSNTDATMTINSFEKGGSGGGPSECDGKYHSDDTPIVALSSRWYDHGRRCFKFININYNDKSVQAMVVDECDSSRGCHDDIVDASKAVWTALAVPGSQWGETKVTWSDA >KVH91079 pep supercontig:CcrdV1:scaffold_2606:56529:58193:-1 gene:Ccrd_006906 transcript:KVH91079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLPNLKVLNLSRSEHLIRTPDFDGLPCLERIRLGGCVNLTDVHPSIGYHERLIYVDMNYCINLTKFPPIKGMKKLETLILSRCFQLCMFPEIQTNMDNMVELSLDRTGIKVVPSSFEKYCTNLLSLDLGLCISLESIEGNFHHLKHLKKFSIARCKKLKIPPEGLFDVTCCLEVLDLSLTSFKNLHPGIVSMKFLGFPPCLRRLCLVSCNWINGDISSVRCELSNLQVLELQRNDFSRLRCSLLQLYNRKFLNLSYCCDLVELPDLPSSIAVLLAFGCKKLKLVDLPTDLKWLWRISLPMNCISGDVDGRVQSMFQGNAIKDNSIILCFYVDNIPTRDFARRRLMLELPRNWYNEFSGFLICINVGGFFSRKYDEITIEDVMGRENEDVLEVSDGTPKVIWREVYRCYIYISCSSLRHTSWWKSTHTTISFSIGSGTCIKVELVPRSKGDPIERVKHTTNSSEFWDREPIKITHDSKSCIKIKWHRNDSWTC >KVI11362 pep supercontig:CcrdV1:scaffold_2609:58189:67567:1 gene:Ccrd_010230 transcript:KVI11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGSRIRLWMLIVCSQVMVIAGLTNPNDVAVLNAIKSSWQNIPPNWSGPDPCGSNWEGINCTDSHVTSLNLAGIGVSTNNIGDIPSLSKLQYLDLSNNKGITAVLPESIQDLKNLTTLALNNNSFIGFIPPSIGNLTNLSWLDLSDNQLHGSIPVSNRTAPLGLDKLVKARHFIMNNNELSGTIPSSIGLVVTLEAVRLDSNSLSGIVPRNLTNLRSVGELYLSNNKLNGSVPNLTGMNSLFYVLMDKTQLQGEIPSNVFQRQLERLVLSNNALNGTLDVGNSYSSDLIVDLTNNSIANFTQNSVYNMSLNLVNNPVCEEGRPKGRYCGAGKPNIPNGYPSNSCTPVRCSSNKLLSPNCNCSHPYTGTIHFFSHSFSDLDNTTYYTILHDVLISAFRSNQLPVDSISISNAIVDEFSYLQYRLNIFPSDQDYFTRSEVSSIGTVLNRQTFILPLFGPLFFLDENYCCFPGEKKSSNTGIIIGATVGGFLLLLLLVMAVIYAIYQKRLATRAKQNSPFASWGLDNGSDVGGVPQLKGARWCSFEELKKSTDNFSEGNIIGSGGYGKVYKGTLNTGHVVAIKRAQQGSLQGAHEFKTEIELLSRIHHKNVVALVGFCYDQGEQMLVYEYISNGTLKDNLSGKSRIRLNWMKRLRLALDSAKGLTYLHELANPPIIHRDIKSTNILLDDHLNAKVADFGLSKLLGDESKGYVSTQVKGTLAINRSGDPSGLSEILDPSLGSSQTLGGLTKFVNLAMSCVQDAGVDRPKMGEVVREIESIIDLAALSLDPDSSSTFSTQNTGNVVDLYHPYGDSISDESSLYVPFETDLR >KVI11361 pep supercontig:CcrdV1:scaffold_2609:17263:23820:1 gene:Ccrd_010229 transcript:KVI11361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MGSKIGQLLVIVFIQIWFAAAQNDDSVYLRALKDVWENTPPSWDDSSDPCSGWDGIRCTNGRVTSIQLASMGLTGPLPGDIGQLSELQILDLSYNKGLVGSLTTAIGNLKKLTNLILVDCGFSGLLPTTLGDLENLVYMSLNSNGFTGPIPPSIGNIRNLYWLDLADNMLTGSIPVSSGRTTAGNRLEGDIPARLFSSNMSLIHLLFENNQLTGPIPPTLGLVKSLEVVRLDGNLLTGNVPPNINNLTGVFEMFLSNNQLTGPVPNLTGLNVLNYLNMYSTNLVGELPAALFSIPQLQQVSGLVSSPNCACASPYTGNIIFRAPSFSDLENGTIYEVLRNSMITSFRTSQLPVDSVSLKNPTKNLDDYLVIRVEIFPSGEERFNRSGIIGLAFSLSNQTFKPGREYGTYFFTGENYDFVGAAVGGCVLVVLLVLAGMYAFRQKGRAERANQESSPFALWDATNGSGAMIAIKRATQGSTQGGLEFKTEIELLSRVHHKNLVSLVGFCFDQGEQMLVYEYIVNGTVKDSLSGRSGIRLDWMRRLRIALGAAKGLQYLHDLADPPIIHRDVKTNNILLDERLVAKVADFGLSKSLGDANRTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGIVLLELITARNPIEKGKYIVREVKQAMDKSKELYNLQEVLDPTIGLSSQLKGLERFVDVALRCVQETGDQRPTMSDVVKEIENIMELAGLNPNAESASNSASYTDGSKAGYEHPYTNDSLFAYSGDHFPTKLDPK >KVH96467 pep supercontig:CcrdV1:scaffold_261:169898:170407:-1 gene:Ccrd_001447 transcript:KVH96467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MTNNTQIGNIMSCCQKGMILPPTMDPSKSKPAFQIQVYKMPLNLNQFELVPSQALLVPYANRTALATAWANLQHRTVPSPLPCADNCKISINWHLLTDFRGGWSSQLTLFNWDDAAFADWSVAVQMDNSTPGFEKAYSFNQSQVKREEQHNTDAGFTGTKLSGGESRWN >KVH96464 pep supercontig:CcrdV1:scaffold_261:326716:328449:1 gene:Ccrd_001451 transcript:KVH96464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MVDLSDKGSDCEDMMNSKSSPERCGSSSSDGGSHLIKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKKRRALLGLTKDDKKQKKTTTMAAAATSTSGGDSQTSGLTTNKSSRQMGDFCLKRKLMALGTEVVLQRPRSTITKQRRKLGEVEQAALLLMALSCGSVYA >KVH96471 pep supercontig:CcrdV1:scaffold_261:40842:67726:-1 gene:Ccrd_001443 transcript:KVH96471 gene_biotype:protein_coding transcript_biotype:protein_coding description:START-like domain-containing protein MERRKEADHHLWYVIPTDGGSIFKQTVVYNCKGSDKPSEEVLKAEKEIYEKTYKAIEAYGAAHPESY >KVH96470 pep supercontig:CcrdV1:scaffold_261:78229:84351:1 gene:Ccrd_001444 transcript:KVH96470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF789 MSNSGRSRVPKDRFYSPPAMRRHHQQQQQQQQQEQQIEPTQLEEKQEQSESLMSSSRSRNIAMTNLDRFMKHTTPIVTAQHFPKTSMKGWKKHEDDYHPYFILGDLWESFKEWSAYGVGVPLVLNERDCVVQYYAPSLSAIQLYVDPSAPTMSTRRPGEDSDYESSRATSSDGSYEAGAAIIHGPRATKNIDYVAKSFNKLMLRDDFVGCDSDEGEIRNPPGLLIYEYFERTSPFQRPPLANTANLETKFPELKTYRSCDLTQSSWLSVAWYPIYRIPVGPSLQNVDTSFLTFHSLSTPLRSTDGDWVHPHGSTAREVHEARMPCRLSLPIFGLAVYKFKNSDWTKDGVHGNEKISSLIHSADNWLRRMDVHHPDYVFFKNH >KVH96469 pep supercontig:CcrdV1:scaffold_261:85984:90067:-1 gene:Ccrd_001445 transcript:KVH96469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought induced 19/ RING finger protein 114 MDSDSWTRLSTSNSSSRRYQSRSEAFHLVEEFESDEEARPEFLCPFCAEDFDIVGLCCHIDEEHTVEAKNGICPVCVKRVGMDLISHITMQHASLLKISFIMYSSHFIIIASRISFVFNQQIDHVQQRKRRFHRGGGAISILKKELREQSLVGGSSSLVQPSNNVEPEPWLSSFISNTPSIALDQPPTTQPPAVANSKSFVDSTDKDLSQRSNQKQKLSDKDQEEKVRRSEFVQGL >KVH96468 pep supercontig:CcrdV1:scaffold_261:165814:169799:1 gene:Ccrd_001446 transcript:KVH96468 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MNLGSQVLVSKQSMMPSSSGASWNSVGAGDGDGNSSDQFPAGLRVLVVDDDPTCLMILEKMLRTCKYEATKCNRAEVALSLLRENKNGFDVVISDVHMPDMDGFRLLVHIGLEMDLPVIMMSADDSKSVVMKGVTHGACDYLIKPVRMEALRNIWQHVVRKRKHEWKDIEPSVSLDEGNQQQKKQPEDPDCTSSANEGHNCKTAKRRKDDEDEAEEKEHSSSSSKKPRVAWSMELHQQFVAAVNQLGFDKAVPKKILDLMNVPGITRENVASHLQKYRIYLRKLGGPQQSGTINPSFMGNLDAGYGSLNELDLQALAASGQLNQLPAQSLASLQAVALGRSANPRSISVPLIDQRNVFSFENPKLRYGQVQNRHGYNSNISKQMNLLHGVPTTMEPKQLAGFRHSFNGMNSQGLIPMTGQSQTHDHLSRRPVLSNGMVGNTRDTATAVYNSAASQVASLVQSTSLASSNSFPLRGSSGIPSIMSTSTLQRDGNIENVQNRPQNWGLQGVDLTPNPSQHTNNMQGGMNVLHHNYISDQNIGGSKVIISSGEGSWTHITDDPSPVNQPFGTEEYSQEDLLTPFLTQQEGVGQAENDFGFDWYSSSDNLPV >KVH96465 pep supercontig:CcrdV1:scaffold_261:218669:219181:1 gene:Ccrd_001449 transcript:KVH96465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSQKVNTSFFILLLVSHLSLVTPTNKWVGSKYQIECTMCAACDNPCNQPSPSPPPPASPICPPPPPPPTSSGGGGGGGASYYSPPPPTSGTGGGYYYSPPPPSQGVYYYYPPPPYKNYPNPGPPNPITNYYPYYYYSPPLPYSASVSLSGATSMLLINIIFICFFSL >KVH96463 pep supercontig:CcrdV1:scaffold_261:316002:316910:-1 gene:Ccrd_001450 transcript:KVH96463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand IRELRSWVYFWFPRCVRKKTTSRGLIPDEVILISGTFDLASVWRFLGLLRLWTSASKSSPTKVFQDVFELYNLNKNGLISAKELHQILSQLGERCTIEDCTDMIKSVDSDGDGYVNNSPYETHIICV >KVH96466 pep supercontig:CcrdV1:scaffold_261:171033:171227:-1 gene:Ccrd_001448 transcript:KVH96466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCCMEDSNAIANVIIDDEFLPRQNGGLTIMYDVIRTYESNYYASFTIENHKLLARLNNWNLT >KVH87708 pep supercontig:CcrdV1:scaffold_2610:6534:15497:-1 gene:Ccrd_025006 transcript:KVH87708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxynucleoside kinase MQKLLRRNPCSGGPILCSSADILTPRISLIPTGFNPSFRYLGFKKKKPSSFTPLMPFAATGFHPRTSGMATAMGCRSPSFSFGNRGTCSSSRPVAPSSNYKCRHCRCSIESATTIPARAWAVFKENRSGSRVAWFHTSFSDKGVSVSAMNSENNRDNHGGCSEEKAMKFNRRQRGSLGGGSNAPPLLPGNPGLLTIPGVGPRNLKKLVENGIGGVAELKKIYKDKFFGKSNQKMVEFLRKSVGIIHRNHAESITTFIKESVDEELKDDNANSRPAQKKRLTFCVEGNISVGKTTFLQRIANETLELQDLVEIVPEPIDKWQDIGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQEKESSSGLKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDAFIYLRASPATCHKRMMLRKRAEEGGVSLEYLRDLHEKHESWLFPFQSGNHGVLSVSNPPPLHMDNSLHPDIKDRVFYLEGNHMHSSIQKVPALVLDCEPTIDFSKDIEAKQQYARQVAEFFEYVKKVKEVPAKDVEASRGSQSQVMLPHGGLWVPSQGKNFPESLKSLDFRRAMSFMPGPGPGPGPG >KVH87707 pep supercontig:CcrdV1:scaffold_2610:38632:71200:1 gene:Ccrd_025007 transcript:KVH87707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-(1, 3)-fucosyltransferase/alpha-(1, 4)-fucosyltransferase, plant MLLNLKPFNSFAITMMIGLSLLILFCYIFLDFPSATTSTIPISRSQSLSQLQLHPRSDPDPFQHLLGAFRRWDSQVGCFNFREKHVSLVEKGSNSSSLQVGNGREYQCSDLKMNHVGILIKGWTWIPDNLDNLYTCGCGLTCLWTKSSVLLDKPDALFFETTTPPSRRRSGEPLRVYMDLEAGRKRSGFEDIFISYHAKDDVQSTYAGGLYHNNRNYYLSPFKNNDTLVYWSSSRCLPQRNQLAKSILGLLSHHSFGKCLNNVGGLDMALSLYPQCAKDPNASPHWWDHLHCAMSHYKFVLAIENTYTDSYITEKLFYALDSGAVPIYFGAPNVRDFVPPHSIIDGAKFKSMEELATYVKALADDPIAYAEYHAWRRCGLLGNYGNTRAASLDTLPCRLCEAVSRRGGRDAQAF >KVI04190 pep supercontig:CcrdV1:scaffold_2612:27522:42502:-1 gene:Ccrd_017502 transcript:KVI04190 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGDGGVACVPSLRFSIPETFCGGNDGGKFNIKVTEDKVNMKLARRDKFPLKTGELCDDNSEELSVEEREDKVVGNGHKEEVEEGELGEWENGEFVPEKPRRFEIKSEIEKGEFVADRWRKGEVEKGEFVPGKWRKGGSQSGDYSNRRREFENSDPGLSKGAKDEVEKGEFIPDRWQRDDNSYLKMRRHDSAKDKGWKSERSRTPSSGKYSGEKECNRNGSQYTKKSARWENNNRERTPRISSKIVDEEGLLKDDFSNGKSHARDFPLGNRLKRHTVHGDSSDRKHHGEFNDYAPYKSRRISDDGSRSAYNPDHSYKNSLSTRNVPSDRYSSRNCESPLSRPAYDRHNNSPRHPERSPRDRVRQHDHRSPARRDRSPYGRNRHYDHRNRSPSYSERSPREQTRGHDRRERTPNLTEASPHNRGRASNYREINRKTGSSEKRKSHYEIRSQEEKVNSREPIGREVQVLAKESEIRTTLDACDGSAEKNTRSTFHKEDLKQAQEVNGAAEELLSMEEDMDICDTPPHVPEAETAVSTTGKWFYLDHLGVEQGPSRLCDLKILVEEGFLVSDHLVKHLDSQRWVTVENAVSPVVTANFPSSFLDSVTELVSPPEAPGNLLVDVGELASTSTQVGEEVLAVSAETSNASDSQEGLRIDERVEALFDGFPSIPGKELETVAGVLFDVFTGFTFHQCEFADHDSLKTGEDVSSHTEFPSKEVADIRPAGPAPSDKDCSFEFGDTCDWFSGRWSCKGGDWKRVDEAAQDRFWRKKFVLNDGYSLCQMPKSGHEDPRWHQKDELYHSSHGRRLDLPSWAFNPSDESTEYRSSQTKNFGVRGVKGMMLPVIRINTCVVKDHGSFVSEPRMKARGKERYSSRPSHRYGVTNDSKRSSEESVSRRKNTHEQGHNSSWKSIMSLSMPKDHLSTRDDLQLNLGDWYYLDGAGHERGPLPYSEIQILADQGIIQKHSSVFRKFDKLWVPVTSAAEVARKIDTENSSDTSGASALETADAIQSGTHAISSSFHNLHPQFIGYTRGKLHELIMKSYKSREFAAAINEVLDPWINLRQPRKEIEKSIYNPAITNKFARSDQANKRARFVGDGFDGDYELQDGVSTLEKDEFPFEDLCGDVTFCREKSGESVGENESWGLLDGQVLARVFHFLRTDIKSLVYANLTCKHWRSVVKFYKDISRQADLSSVSNCTDSMIHNIMNGYNKEKITSLVLRGCTKITPGMLEEILGLLPSLSSVDIRGCSQFEDLACKFPNIIWVKSRTSQSTMGSIKHLSERTSSVFRTYDSRDSHVEDSSGLRDYFESLDKRDASNQLFRRSLYKRSKVFDARKSSSMLSRDAQLRRLAMKKSENGYKRMKEFLALGLKDIMKENTFEFFIPKVAEIEGKIRNGYYAGRGLSSVKDDIRRMCREAIKEKSKGDVKDMNRIITLFIRLATSLEDRSKFSIEKDAMMKNWKDGSPPGFCSASKSKKLNRERKYTGRSSGFINGSTEHGYYASDREIRRRLSKLNRKSLDSGSDTSDDRSRSSEETETDSESTSSDTNSDLDMRSESGAVESRGDGYVSADDGFDSLTDDREWGARMTKASLVPPVTRKYEVIDHYVIVTDHESVQRKMQVTLPEDYSEKLIAQKNGTEESDMDFPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEESDWHLLDKHVFIEDVLLRTLNKQVRAFTGTGNTPMKYSLKPGLGVVCNKESGFGEEDFVVEFLGEVYPAWRWFEKQDGIRGLQKDSKEPAPEFYNIYLERPKGDGDGYDLVIVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYSVRPIVYGEEVTFDYNSVTESKEEYEASVCLCGSQVCRGSFLNLTGEGAFQKVLKECHGILNRHQLMLEACEVNSVSEEDYIELSKAGLGSCLLSGLPDWLVAYTARVVRFIHFERTKLPKVILTHNLEEKRKYLIDICMDTERNEAEIQAEGVFNQRIQNLALTLDKVRYVMRTVFGDPKKAPPLLEKLTPKEVVSFIWKGEGSFIEELIQCIAPHLEDGHLNDLRASIRAHDPSSDDVQGGLRKSLLWLRDEVRNLPCSYKCRHDAAADLIHIYAHTKYFFRVREYETVVSPPVYISPLDLGPKSAEKLGPGFHEYRKTYAKNYCLGQLIFWHNQAYAEPDSNLIRASRGCLSLPEIGSFYAKEKQPQKPWPKDKIWSFKSNPKVFGSPMLDVLLSKAPLDKDLVHWLKHRPPIYQAMWDR >KVI04187 pep supercontig:CcrdV1:scaffold_2612:14450:19395:1 gene:Ccrd_017501 transcript:KVI04187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MKRSIKKPMGELGFHFVGIFLAILCLFLTGFSEGNRRISEEASSGNGGRWTHQETDENTAEQVWVYCKKELGEIAKTMNGFNLYSDNNPKHDVASSRKTLVHNPVTDLPPQLKRSLLLSLKSKRSQSRVSGSDGCEECLSKLYGHNIHPRKTIKRRHYQQRRRSRHRSLGSAQKEPSPAPTNSPETSHKRLSQKLEIEHKLRPKKKPPDHVLLIGIIVSTSVATLSLFVLLLICCVGGNESRVAMSAQEDEKPVINKCPGNKDSINSCNTNNLSANAACQTPEGGDLPLPPGKTAPPPEPPPPAAPKAPPPPPPIGARPPDPPKLGNLKRPPGPPPPVGLHNHGRSSSGDEKDRSRSSNSLKTKLKPFFWDKMNASPNQSMVWHGIKEGSFQFDEEMMESLFGYANSQSKGDRGKSTSNLNPRSKFIQIIDPRKAQNLAILLKALNVTTEKVCTALKEGNELPVVLISTLIKMAPTQEEELKLRLYNGDLDLLGPAERFLKVVVEIPFVFKRFEALLFMSSLQEESSSLRDAFATLEVQCLLLSIASRLFLKLLEAVLKTGNRMNVGTHRGGAQAIKLDSLLKLSDVKGTDGQTTLLSFVVQEIIRSEGIKAARARAPSGSSSTVNTNDLQKEPTERSPEYYRKLGLEVVSRLSDELEDVKKAAVIDGDNITSTVLKLGTMFKKTKDLINNELSSEATEFCNALHGFMEHAESEIIWMLEEEKRIMTLVKSTGDYFHGNSGKDEGLRLFTIVRDFLKLLDGVCINIKKTAETNSKKKDDTPAGKTKPSVEENDPRSAQNIHEKLFPAIKDRQMDYSSSDDEDMSP >KVI04189 pep supercontig:CcrdV1:scaffold_2612:66442:67443:-1 gene:Ccrd_017503 transcript:KVI04189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSFPEISYCFGGTPAVETIAAEVPSHRRRMTQGNSTKHWRPALAAIAEDGVAREVRRKRAESTASFRSEKKPLIKSKLAGKTRSGSYGSDYRESSHPMALPAFSPAPFLV >KVI04188 pep supercontig:CcrdV1:scaffold_2612:2761:7985:1 gene:Ccrd_017500 transcript:KVI04188 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIR synthase-related protein, C-terminal domain-containing protein RIHRGVLRLPSSSLARIADSPSPSLKSPSLCNQKIYSSNMASSLEITAAEFLQGSSHHKFFLPRALPKSRSSHFLFRSSQRKRNDSLRISNQGVRRNASKKVKAVVSVDAQELGNVDKSAAEQVIHFYRTPLIQESASEELLKSVQTKISDQIVGLKTEQCFNIGVNGNLSNQKLSVLKWLLRETYEPDNLGGESFIAKEKKEGFNTVVVEVGPRLSFTTAWSANAVSICQACGLSEVNRFERSRRYLLFVKAESVPLLDSQIDEFAAMVHDRMTECVYPKKLVSFKTSIVPEEVYYVPVMEKGRKALEEINQELGLAFDEQDLQYYTKLFKNDIQRDPTNVELFDIAQSNSEHSRHWFFTGKIVIDGQPMNRTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFLVKQLRPVXPGCTSPLSLSNRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSYVVASTAGYCVGNLNIEGSYAPWEDASFEYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRAFGMRLPSGERREWLKPIMFSAGIGQIDHTHITKGEPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGKDNPIISIHDQGAGGNCNVVKEIIHPKGATIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVNAKSRELLESICKRERVSMAVIGTISGEGFVRLIDSHAIEKPPAVDLELEKVLGDMPQKTFEFHRVKDAREPLDIAPGITLMDSLKRVLRLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDYTGGACAIGEQPNKGLLDPKAMARLAVGEALTNLVWAKITSLSDVKASGNWMYAAKLDGEGAAMYDAAIALSEAMVELGIAIDGGKDSLSMAARAGGEVVKAPGNLVISAYATCSDITKTVTPDLKLKDEGILLHIDLAKGKRRLVFEVVQDLLTDELISSGHDISDGGLIVSVLEMAFAGNCGAQISLNSQGKSVFETLFAEELGLILEISKANLDTVATILGNRGVSAEIIGQVTTEPIIGLNIDGITHLDEKMTDLRDIWEETSFHLEKFQRLASSVTAEKEGLKNRREPSWQLAFTPTFTQKKYMNAITKPKVAVIREEGSNGDREMSAALFASGFEPWDVSMSDLLTGSISLSDFHGIVFVGGFSYADVLDSAKGWAASIRFNQPLLNQFHKFYNRENTFSLGVCNGCQLMALLGWIPGPQIGGAMANSGDTSQPRFVHNQSGRFECRFTGVTIKESPAIMLKGMEGSTLGVWAAHGEGKAYFPDNRVLDGILSSDLAPVRYCDDDGKPTETYPFNPNGSPLGVAAICSADGRHLAMMPHPERCFXMWQFPWYPKHWDVEKKGPSPWLKMFQNAREWCS >KVH94800 pep supercontig:CcrdV1:scaffold_2614:66167:69593:-1 gene:Ccrd_003133 transcript:KVH94800 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein NRFLSSRCDKTGTSEPACPVKVFLILSVTLTRLFLLSFFHFTDHTDRFYLLALDMKGAKGKGAAKRDSLKPVDDKKVGKRKAPAKAVSKSKAKAGKAVKDPNKPKRPPSAFFVFLEEFRKTFKKENPTIKAVSAVGKAGGEKWKSLSAAEKAPYEAKAAKRKTDYEKLMNAYNKKQESMADDDEESEKSKSEVDEESGQDGGDDEEDDDEDDDEDDE >KVH94799 pep supercontig:CcrdV1:scaffold_2614:18443:29084:1 gene:Ccrd_003136 transcript:KVH94799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M24, methionine aminopeptidase MSDDERGEEKELDLSSSEVVTKYKSAAEIVNRYNLIILAEALQLVLSECKPNAKIVDICEKGDSYIREQTGNMYKNVKKKIERGVAFPTCLSVNNTVCHYSPLASDETVLKEGDILKIDMGCHIDGFIAVVGHTHVLQQGPVTGRAADVIAAANTAAEVALRLVRPGKKNQDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVTNPDTRVDDAEFEENEVYAIDIVASTGDGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEINQKYPIMPFSARALEEKRARLGLVECVNHELLQPYPVLHEKPGDFVAHIKFTVLLMPNGSDRITSHFLQELQPTKTVDDPEIKAWLALAVKSKKKGGGKKKKGKKGEKGEGDEAVAMDETTTNGGVQGYGESFPIFGEALDSSFCLPIGKAKAAGIFEKEEGINPEEMEGMND >KVH94797 pep supercontig:CcrdV1:scaffold_2614:48364:52037:1 gene:Ccrd_003135 transcript:KVH94797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate enzyme, N-terminal TPP-binding domain-containing protein MDTKIGALDVVPVSNGNVCCPPKTAVASCVQSSPVSFNSSEATLGRHLARRLVQIGVSDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPVVCIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTALKESKPVYISIGCNLSAIPHPTFSREPVPFSLPPKLSNRMGLEAAVEAAAEFLNKAVKPVTAQDVSTMLRCGQNNIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTTKVFYEEELIEAIETAMGAKKDCLCFIEVMAHKDDTSKELLEWGSRVSAANSRPPNPQ >KVH94798 pep supercontig:CcrdV1:scaffold_2614:59102:61437:-1 gene:Ccrd_003134 transcript:KVH94798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MASTTIAAAAAASSFIGTRLPELHSNSGRVSARFGFGKKKAAPKKAPKTVTSDRPLWYPGAKAPEYLDGSLVGDYGFDPFGLGKPAEYLQFDLDSLDQNLAKNLAGDVIGTRFEAADVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVESVTGVTWQDAGKVELIDGSSYLGQPLPFSITTLIWIEVLIIGYIEFQRNAELDSEKRLYPGGPFDPLNLASDPEKRATLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWVTHLSDPLHTTILDTFGFFS >KVI00773 pep supercontig:CcrdV1:scaffold_2615:3497:18241:-1 gene:Ccrd_020974 transcript:KVI00773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDDYNNTSAILRTRHERLLKERELRKSFKSQNEDVLGNGGTDSIIGNGQILTTETAVIRQRLLVVANRLPVSATRKGEASWQLEMSVGGLVSALLGITDFDTKWIGWAGVNVPDEIGQKSLTEALAEKRCIPVFLDEDLVNLYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNEHYTERDVIWCHDYHLMFLPKCLKEHNNKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLVADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDQGKLTRVAAVMLGVDRLDMIKGIPQKILAFEKFLEENPDWHDKVVLLQIAVPTRTDVPEYQKLTCQVHEIVGRINGRFGSLTTVPIHHLDRSLDFHGLCALYAITDVALITSLRDGMNLVSYEFVACQASKKGVLILTQSLGAGAILVNPWNITEVASSIAYALNMPAEEREKRHHNNFMHVTTHTSQEWAETFVSELNDTIVEAQLRIRQIPPLLQAEKALERYLHSNNPVLNIIIQAHYNLTSQGFNATLTEPVDSGRRVDQFKEMEPKLHPDVKESLRKLCDDPKTTVVVLSGSHHSILDKNLGEFNIWLAAEHGVFLRTPNKKWIRNLPEIHMDWVDSVKCFISLDQPNVYIIMTLRTLDVEFGKLQAKDMLQHLWTGPISNASVEVVQGGRSVEVRAVGVTKPEPQTPVRSSLSSPVNGSSTDHSVGRNGFSGPRGKKSHPFSASDGNDACNENGNGKDTTPSILDLNSNNYFSCAVKRKRSSARYLLSSSADVVSLLEKLADVSSER >KVH90297 pep supercontig:CcrdV1:scaffold_2616:72439:77114:-1 gene:Ccrd_007706 transcript:KVH90297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 LVGKITAPKTLAGWKNCTSKEYWIYLSSVKGLTIVGPGQFDGQGSLWWGNDEALHFHDCNGLRLKGTTHINSPKLHISINGCKDVDIRGVRILAPKDSPNTDGIDISDSTHVNIHHSNIQTGDDCVAINGGVYDVNVTRVFCGPGHGISIGSLGEHGSHDTVEKVRVENCNISGTQNGLRIKTVPYGTGYARGIVFRKIHLVNVENPIIIDQHYCANTENAICPSPPSAPAVQVSDVRYENIYGSSATTQAITFSCSGKYKCTGIQTNGVRITGHNDFAFCKNAQGRFIDTTPHLLGNISAPKTLAGWKDCISSVFWIHFSMVKGLTIHGPGQIDGQGSLWWGKAKALHFNACNGLRLRGTSHVNSPRLHISIHGCQDVDIGNVLILAPGNSPNTDGIDIGHSSHSYIGFDTQLNSVAVNGGVYDANVTRVFCGPGHGIGISIGSLGENRGRDIVEKVRVEDCNITGTTNGLRIKTVPYGRGYARGIIFRNINLINVKRPIIIDQHYCSNSNSEYAACRSPPSAPAIQVSDVRYENIYGSSATQRAIIFSCSKKYKCIGIQTNRVVITGNNVFVVCKNVEGNFVDTTTPDTC >KVH90296 pep supercontig:CcrdV1:scaffold_2616:26937:28646:1 gene:Ccrd_007701 transcript:KVH90296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSRPGDWNCRSCQHLNFQRRDSCQRCGEPKHGGFGGRGGITPSAFGFTAGPDVRPGDWYCNVGNCGAHNFASRSSCFKCGAFKDDLASTGGGGAFDGDMSRGRGFGFGGGSGGGVGGAGGGSSRSGWKSGDWICSRSHQYHPDIMKMRSRTKKNDLNRSLAAMSTTLLAEWSALDAMHHGNPVASLPIKSSRRVSSIVNLLSTEEEERDNERLIRQNQEQKSIQLIGYSKLTPFCPYHMQPYL >KVH90299 pep supercontig:CcrdV1:scaffold_2616:70989:72066:1 gene:Ccrd_007705 transcript:KVH90299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a/b binding protein domain-containing protein MNGGEVCCNQEWIRPQTLYPSKASETKLKQATMAAISSSCFLQPLNQRYSGVHLIKPNQISRKRVVSFKIQAAKLPAGVEIPKAEPKFQAPFLGFTRTAEIWNSRACMIGLIGTFIVELILNKGILEMIGVEIGKGLDIPL >KVH90298 pep supercontig:CcrdV1:scaffold_2616:55788:62778:-1 gene:Ccrd_007704 transcript:KVH90298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MMDVGGEYPILNKLIAAVKKPSWAFPLLLFTLVVILSLQITTNESGFPERPVSDTGVGFSLSGSDTCDGSLPVRELKMSIVEFGGVGDGVTSNTAAFTEAMRKMKSFEGKGGAQLNVPRGRWVTGSFNLTSNFTLFLEEGAVILGSQDPNEWPIIEPLPSYGRGRERLGGRHISLIHGNGLTNVVITGQNGTIDGRGKMWWDLWWNRTLEHTRGHLVEIMNSRNVLISNLTFCNSPFWTIHPVYCSGDDLVAVKSGWDQYGISMARPSSNIIIRRVSGTTPTCSGVGIGSEMSGGISNIRVENLHVRNSAAGVRIKTDKGRGGYIVNITITNITMEGVKVPLRFSRGADDHPDDRWDPNALPRINGIFISNVVSFGSRKAPMLQGIKGAPFEGICMRNVSLLGLPPTVRWNCEHISGSVNVK >KVH90294 pep supercontig:CcrdV1:scaffold_2616:43773:54702:-1 gene:Ccrd_007703 transcript:KVH90294 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AFG1-like protein MDKLDGPTVGHVNSLRVGGTLHPSLCLYKSSMAIALPIPMIQEQNPISLNNDNDDYESPHFFLWFATRSRTSCFRINALRRYCDHRQFSANKHPGPVTQYKKLVKEGQLQFDPYQEKVALELDNLLTRLKQYEKEMEEYHEKLAKWEENRENERRRLLLKEAESKQYRSESIEKTHNRFLGRWLDRKKPVNVESGVGKWVSYLNRERKLDSVVGRRPSVPPAPKGLYIYGNVGSGKTMLMDMFYSATKGVVTHRRRFHFHEASAMLEINEHMHKVWKNQVHEKSMQSSLANWIMNLPFDTKVKEWVAAEESYKEEMQLKNILPAVADKFLVDQRSDRRGASILCFDEIQTVDVFAIVALSGIISRLLSTGTVLVATSNRAPSDLNQDGMQWEIFLKLLAKLEDHCGTVLIGSETDYRRLISQQSINRVTYFYPLNDIAIRNFENMWDDIIGNFGGLVKSETISVMFGRTLEVPESCNGVARLTFEYLCGRPVGAADYIAVARNYHTVFISDIPMMSMQIRDKFETEAEGSKLRRDVLAEGNIGSGGAPAGITSMLSGKEEMFAFRRAVSRLIEMQTPLYQEGVRLYHPLFQADRNGLEGHNITNILPA >KVH90295 pep supercontig:CcrdV1:scaffold_2616:12528:14913:-1 gene:Ccrd_007700 transcript:KVH90295 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRC domain-containing protein MNTNNEVDDRKRCKCKKSECLKFYCDCFAARFYCDKHCSCQGCYNIPDYEATVNMTREQIELRNPLAFTPKIHYLAKVGCSGGCRCEGCRNVYXNKGEYNVRSIHDPNXNIQESEFLRLGLPEFHNHPNLTPRAPPACLGEGTSTVGPFNPPPGYBMMLGTXRGNVPLDQHPNDEFVDQFTPPQDTNVVSMMNLPSVNVMEWPNSSRAGGSSLRVVVTPVRLFGGNRNPIDDIDTLNADSISDAGSSTTPP >KVH90293 pep supercontig:CcrdV1:scaffold_2616:39139:42597:1 gene:Ccrd_007702 transcript:KVH90293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MQEQAATSSVAASLPSSSERSSSSALQVEVKEGRRVPDMGGEAAGASGGSVAHPDRVQGTTEGTRRKGKSPADKENKRLLRNRVSAQQARERKKAYLLELEVRVKELEKKNSEVEERLSTLQNENQMLRHILKNTTAGMQERK >KVH90300 pep supercontig:CcrdV1:scaffold_2616:4485:9610:1 gene:Ccrd_007699 transcript:KVH90300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MSYNNSNLGSGSRNARRNVSEFGRTFVVMPKGTHQATIVWLHGIGEKGSRCLHPVLTSTPLIKWICPSAPTRQVTLFGGYPCTAWFNVESMSEDACDDLEGLDASATHVANLLSNEPDDVKLGIAGFSIGAAVALYSATCRAVGQYGNGNRYPINLSATVALSGWLPTSRNLRNRVGASQEAARRAASLPTLLCHGQVDDVVDCKIGEKSAQTMYSAGFQNLTFRTYNRLGHYTIIEEINDVCSWLIACLGA >KVH87706 pep supercontig:CcrdV1:scaffold_2617:9191:16891:1 gene:Ccrd_025008 transcript:KVH87706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEMSTSDDRSVEIIDGVNGLQKVVLHQHHGSSVEVYLYGGHVTSWKNEHGEELLFMSSKAAFKPPKPIRGGIPICFPQFSNVGSLDLHGFARIRFWSVDNEPPPIPANAINRVFVDLIYKSTEEDLTTWPHSFEYKLRVSLGPGGDLMLTSRVRNTSTDGKPFAFTTAYHTYFSVSDISEIRVEGLETLDYLDNLQKRERFTEQGDALTFESEVDKVYLSTPTKIAILDHEKKRTFVIRKDGLPDVVVWNPWDKKAKSIPDFGDEDYKHMLCVEAAAVEKPITLKPGEEWKGRQELLAVPSSYCSGKLYPREVSESS >KVI07921 pep supercontig:CcrdV1:scaffold_262:9156:18235:1 gene:Ccrd_013700 transcript:KVI07921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl-diphosphate delta-isomerase, type 1 MLKFPTFKTTATTLPLHYSSSSQFSKSSPPFLRISSSFSSITISRRSSIAAMGDDSGMDAVQRRLMFDDECILVDENDNVVGHDTKYNCHLMEKIEKENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRETELIKEDSLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHEHEVADIKYVNQEELKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDHVQKGTLVEAIDMNTIHKLI >KVI07919 pep supercontig:CcrdV1:scaffold_262:32416:35058:-1 gene:Ccrd_013702 transcript:KVI07919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, active site-containing protein MIFLTIMNQGEAKQLDDGNGGGDPAAGLTYHPPINFAAVEDRIYRSGFPQPRDFPYLDTLQLRSIIYLCTEPYPTENLEFLNARNIRLFQFGIDGTKDAKISKGTITDALKVLIGNGSRTRMFYYTLSSYRIIGSFADVRNHPVLIHCKRGKHRTGCLVGCLRKLQNWCLSSVLEEYKFYAGEKSRDMDLKFLETYDVSYLRQCLHSIIYQYHGYGSKKRRLLYKDDVQHKSRISSA >KVI07918 pep supercontig:CcrdV1:scaffold_262:42955:44360:-1 gene:Ccrd_013703 transcript:KVI07918 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MMKDRMERLVVFPFATGCVSASSIAVCVQHGRRPKEELNSSRMVRRSFDIPRDPKDEELGAATASENLAKGSFRFLALSKPNVSVGLHRLTRSIKNLSQSLVSKEEIEEAQMELEIGLPTDVKHVAHVGFDGSVTSDVNRHGNHTTSEFLGFCPISFAQLEERLAMCMSIDPSHDNTKYATEPSMA >KVI07916 pep supercontig:CcrdV1:scaffold_262:55829:64689:1 gene:Ccrd_013705 transcript:KVI07916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MQNQEEISVEEEESTTFNTAYEADDWSNYKDSDVMQQHSAILEQDAAKFPFVGDKEPLSSLANEYKSGSPILLEKIKVLSKQYAAIRRTRGDGNCFYRSFMFSYLEHIIESQDQAEVERIKTNVEKCRKTLQSLGYADFTFEDFFSLFIEQLESVLQGNEDSISHDELIVRSRDQSVSDYVVMFFRFVTSGEIKKRSEFFEPFILGLTNTTVEQMGEESDHVHITALSDAMGVPIRVVYLDRSSCDTGNVSVNHHDFVPVSGDAPTDMTSGSGSETNPFIVLLYRPGHYDILYRK >KVI07917 pep supercontig:CcrdV1:scaffold_262:50316:52169:-1 gene:Ccrd_013704 transcript:KVI07917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPSWPYYLMFYRNPHFMCPRNTIIRTTLLQFHSNSHLFDVTQLIPPKPTNEFSVRIDHATVIKNGSIQQLHVSNYLLNLYVKSTNLMFAHRMFDEMPERDVRSWTILISGFSRNGSYKLALDLFSRMQKESITPNQFTFSAVFKCCASANELNMGKTILGWILRNGVCFDTTLKNSVLDFYVKCEAFDYAGKFFELMSVKDTVSWNIMISAYLKNGDMEKAEEFFRRLPIKNAASWNTIIDGYLQNGRPQIALQLLYEMVTKGPSFTHITFSISLILASSLHHLELGKQIHGQFLRVGIHDPFIKNSLLDMYCKCGEIDKASIVFKTDQSHGESLATSVSLSSMISGYIQNGKIEDGLKVFSFMVNNQREIDKFTLAAVLSACADSGLLELGQLIHTYIFKTGHQPDVFISSSMIKMYAKCGRLQCSWSIFKESKTRNIVSYTAAICNFASHGEGKETIRLFELMRNEGIKPNEVTFIGVLTACSHAGLIKEGCNYFMLMKDVYGIKPTVEHYTCMVDLLGRAGRLNEIKSFIGENNIWNSSAVWKAFLFSCYLHKNVEMGRWVSEKLFELEPLAAGPYVLMSKACASDSRWEEAAMLKAVMQERGIKKQPGQSWIN >KVI07912 pep supercontig:CcrdV1:scaffold_262:119814:130989:-1 gene:Ccrd_013709 transcript:KVI07912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MSRSTVSAEVCTLTTEIVIKEEMDPGPYPVREGEPDCSYYIRTGLCRFGATCRFNHPPNRKLYYLKTGTCKFGATCKFHHPRDKAGIAGRVSLNVLGYPLRTNEAECAYYLRTGQCKFGSTCKFHHPQPSNMMVSYRGSPVYPTVQSPTSPGQQSYPGGITNWSLSRASFISPRWQAPSNYAPVILPQGVVSVPGWGAYSGPLGSLSSSESQQQTAGNSQIYGTSRQSEAANEAVQGTYSSYRTGYYALPSENVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERMIPVPDCVLSPMGLPLRPGEPLCIFYSRYGICKFGPSCKFDHPMGVFAYNFSASTSADSPAVRRLLGSSSANGALNMSSEGLVEGDSVNPRQISLPDRRHIPTNDDSIDTEG >KVI07932 pep supercontig:CcrdV1:scaffold_262:181845:186187:-1 gene:Ccrd_013711 transcript:KVI07932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWLSSYRDDDLVSQGNDSAWPNSLLCNPSEFITVYQFDINSSIHHSPGEKMQKDLHILVRVQKCASVSHRLSISVPGSKILCWFKEQQHGCNVALRLLPKLHTQVMGFAICGVFDGEWKYGDPRIIFRIVNDEKVIPKLEVDIASSADDDCNLWVTYIPFXFFQQMYHDFQPQDWSNIEGNLVMTVTRTDGSKAVNCGANIVYKEDVELIQQLNTCISDFGNLMQIDGDDYHGERV >KVI07928 pep supercontig:CcrdV1:scaffold_262:220241:231474:1 gene:Ccrd_013714 transcript:KVI07928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDGDDYHGELDYKGKVSANTYVYEEKSDQDSEMSLRTRALQKRDRCAAVGHRLSIGVPGSKIPSWFEEQQHGCTVALRLPPMLHTQVIGFAICGVFHGNWTYDYPRIIFRIVNDKKVVPKLEVDHIESAATENCNIWVTYIPFGFFQQMYHDLQPQDWSHIQGSLVMTLAKTNGYDVKVPANTYVYEEKTDEKDSNLRRLGSRTSERRELYNRAVISTQCSGTRGMASISLILQRREMTIQSPKPMIQSPIKHVFEKVDISINKLGPTHYCVPHPSSLLCTNSTSIPQSITFQTPIDLLNVAIDVLRFLIVPNVAIDLLNMICQLAANDMGQDNDSGPTKHVGLLAHEGLIVGLFTIDEPEGKAHASSDLFFQSTAFVLIVFPLLPFINSITSFLISSLFTSLSISIATPHLLQLNQLFGCWSAKNGQQSIGTPLEMLSIAEFHPLCVKNPPIDGCFRTCSWGHQLAKNPLSFVSTKKSGGSTALSPITRSGRIIHKNG >KVI07927 pep supercontig:CcrdV1:scaffold_262:275052:286328:-1 gene:Ccrd_013719 transcript:KVI07927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKSLALFFCLRKHVLVVLAIDIVADYSHIFLWDEDLGVENFDPDRSQLAGHLTPGLQQLAVKCKTKDTVFVNVVALIQYRAVVDKPIDVIRASVPKLNLDDAFLQTNDIARLMKNFIRQYQHMGSRLYLSIVKEEGLEISQPALDVEKSEVHHAITARWSNSTVHRRAKPSSNSKGCNSESQYPPCTGFDDGHSDRVGRVDGSSLLQNCLEMYVDNADPSEPNPRTEVSETAFTSRIQDIQKEMANSCQGG >KVI07915 pep supercontig:CcrdV1:scaffold_262:66753:70025:-1 gene:Ccrd_013706 transcript:KVI07915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERFFSGNSPSLPDDSMMLLSGPPSSGKTSLLFQFAFNTVVNSDDKSVVFICSRRKLDTKPPFLSRGVDPSSHVFNRIQMKYVEDEEGINKFFAAFHMHDVFPALVIIDDLGEFCDER >KVI07914 pep supercontig:CcrdV1:scaffold_262:72213:78155:-1 gene:Ccrd_013707 transcript:KVI07914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MQNQDEIPLEEEIESTTFDTAYEADDWSNYKDCDVLKQQSAIWEEDAAKFPFVGDKEPLSSLEAEYKSGSPILLEKIKVLHEQYAAIRRTRGDGNCFFRSFMFSYLEHIVESQDEAEVERIKTNVEKCRRTLQSLGYADFTFEDFFSLFVEQLESVLQGNEDSISHDELIMRSRDQSVSDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNTTFCKTSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDTGSVSVNHHDFIPGLGDTSKASTSSSGPEKDPFIVLLYRPGHYDILYRK >KVI07929 pep supercontig:CcrdV1:scaffold_262:230821:236530:-1 gene:Ccrd_013715 transcript:KVI07929 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MFAFGHIKDQDQGSMGSQPEQPHALCIPAPAQGHINPMLKLAKILHSKGFMITFVNTEFNHQRLLRSRGPDALCGLPSFRFETIPDGLSLPQNLDATQEVSSLTKSIDETCLGPFKSLINKLNKSCSPVTCIVADLVMVFTLDAARELNIPAILFWTSGAGSLVCYDEYPNLLETGLMPLKDSSFLTNGYLDTIIDCIPSMSGMRLKDIPPFIRILYPGDEYLVQFLCSVTKRAKSAAAIVFNTFHELEHDILGTLTSKFPPCYGIGPLNLLEKKFPNESLASIKSNLWKEELECVKWLDSKSPSSVIYVNFGSITVITPQQMVEFCWGLAKSNYPFLWIIRPDLVIGDKAVLPPDFLVDTKDRGFLANWCPQEQVLKHPSIGGFLTHSGWNSAIESISNGVPMLCWPFFADQQPNSWLSCSKWGVAMEIDSDVKSDEIRKLVIELMNGKRGNTIRTNAVDWKNKSEEACAFPSGSSMVNLEKIIQLLQTSLP >KVI07924 pep supercontig:CcrdV1:scaffold_262:315612:320034:-1 gene:Ccrd_013723 transcript:KVI07924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup CILNFTSLPYQPNGDCIDHKHLLKIKAWGTLPTTLCCQSPLTLISKSLARLSSNSSPSSSIFLDSNQWSSCSRSSIFQPQQELVSFGNCNFNNFFKEAGSCSALTLASLKNNQEFKNASSICAKFGQSGSSFDETCRDCSRAVMQARGSVVKNLQANENETESQVCGVAVVTALVSENWNESSSAVVDDIYGCLRILDVHDVFGKSTLTRTFRERFQGVPRILTTITYFVPGSIFQTLLGIVLASMGLMLVIVLVKYVTRKKHQENKSAQFHTQELPITWSGLYRFSKAEIEQAMNFSDEKKWLGRGSAGEVYKGILPSGQAVAIKQISNQNNADSFTREVEGLSRIRHPNLVCLFGCCIEDGEQYLVYEYCPAGNLAHHLLRKDSVLIWERRVKILRDCALAIRFLHHYIDGCIVHRDIKNLEPKLSDFGLAKVLGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDIYSFGIVALQILSGQKAKDVSMGRRPLTDIEDPKLNGNLNTVDFESILQIAVLCVAKSSKGRPTIDVSQNNQILRIRYGVAATRGVRKGRSNGGGRERNERSGGRERNEGASDEVVRRRVMVVRRGGATVVEGRRGVTG >KVI07925 pep supercontig:CcrdV1:scaffold_262:308439:314255:1 gene:Ccrd_013722 transcript:KVI07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H MSLTQFSMVEELAFLIKDNLPCKHLVLSMENMLVDYLKDDTSLGEVLELEPMNPYNRLLLHRLADIFGFSHQSIGEGDDRHLVLKRCEDTSIPSILVADMLWDHDEYQSPVETVFARASLEDREAAYLEARKRIFSTEAEMEGLTKERPRNNPIVARRMIAHALGKRIKQSNQGICAKDATEYQEPTDEVQNQKCQNGSSGSSLQTRPKSNGQHRFTGSDQKVPQNLDVKPSTNVAVSGARKCDTNFPKHSLKDEHIGAAKRMFAHALRMHPSKETILSKSSQTKQADKS >KVI07920 pep supercontig:CcrdV1:scaffold_262:25725:26045:1 gene:Ccrd_013701 transcript:KVI07920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 706 MTGKAKPKKHTAKEIAAKVDAAMTNRGGGKAGVADRTGQEKGGHAKYECPHCKITAPDVKTMQIHHESKHPKIPYDESKITNLHAVLAPVADTSKPRPGIRGSLKK >KVI07923 pep supercontig:CcrdV1:scaffold_262:289670:296137:-1 gene:Ccrd_013720 transcript:KVI07923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFELALKYEVSMFVLLVFALGSAGRFVLSKNIKKLTFEELDNRLRYKSYDHASWLKNSRSTGSYYSFFLPATFLLCLALLVGTIVIGRDQTEDENLGVGVHMSVPVQKSLKRGIEAIRGSRYPKVIVENLQPSPSPQPSPQLQPQMQQEPSSQPQLQPQTQLQPSPLSQLELQTQPQIQHEPSPQPQPQPHIQPHLSPQHQSELQTQPQPSPQTQPQPSPQLQQQTQMQQEDQNYLSQSQCRPPGSEPLPKGLVANTADLQMQPLWGRRKIPTSEPLCMSHFSNPIEISTFLSSPDFTSVANLDHPGIGNLCSADHYVHQIKTNFQLTFPADDQAILRGLDSRLQSKHETGKKNGHKFTT >KVI07926 pep supercontig:CcrdV1:scaffold_262:266222:267047:-1 gene:Ccrd_013718 transcript:KVI07926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAPAPAASRILLQSLFLLFFFLLLNPIPFLHANEPVAEESMLPLIEPGKGEMMRLVLNDTRRKLGGFQICSLCTCCNAGGGGGGGGGGGGKYCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHL >KVI07922 pep supercontig:CcrdV1:scaffold_262:297666:299480:1 gene:Ccrd_013721 transcript:KVI07922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNILIRRFTSVPTALSDSNLIRKVKHLILQGLHFEALQVYRNQPDLHVDAFISILPSLIKACSLSQTHHGLGLQLHSQSLKMGIHSESVVSNSTISFYAKFPDIKSARKVFDEMPQKDFISWNSMINCFAQNGNCVESLEMLKEMYECGLVAKPELLASILSLCVQCGYTRVGKMIHALSIVDERFEKMVFLSTALLDLYWRSGDSVMAFHVFDATEDKNEVFWTSMIMGYVGNCDYLLAFDCFRQMQVEGIKPNRVTLITILPACVALGTIGLGKDIHGYAFRHGFNSDIRLLSALIHLYSKWVDSLSLAKLIFEWSTQKDIVLWSSIISGCSQHKESAENSILLFNQMQKEGVEPNSVTLLAVLTACTNIPSIRLGSEIHGYVLKSGLDSDLSITNSHINMYSKCGSLKDSHQVFREMATPDCVSWSALINAYGVHGYGAEALQLFNDMKENGMQHDSITLLAVLSACNHSGLVEEGHKLFSEAVKDDKLSVNLEHYACYIDLLGRAGKFDCAREVLRTMPMKPSPKIMSSLVSSCKLHGRLDVAETLLSWFIESEPGNTANHTLLSLIYAEFGKWLNVEGIQRNMKSRGLKKSCGYSRLEY >KVI07913 pep supercontig:CcrdV1:scaffold_262:103921:106433:1 gene:Ccrd_013708 transcript:KVI07913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVLLVAILMVMLLVSTLAVGTIHQNTTLFNLPHLERLDLAFNDFTNSHLPSEIGRFSNSLTHLNISKCGFVGQVPTDITFLRKLVSLDLSSNSFGVGLEPRVFNNLLQNSTHLRVLSLAYVNLSLVLPTYLNISSTLKSLDLRSTHLQGKLPQNIFNLQYMENLQLSENYITGQLPKVNASANIPLKHLHLSSNDLSGDIPFSIGHLESLETLIISYCGFMGPLPKSMVNLRHLTTIDLSSNMLNGTLPSWLFTLPSLEIIDLSNNKFHGSLPLEMFNHQSLKKLSLGNNQMVGKIDVLDHVPTLQTFQKLTSLTVLDLSLNNFTGHWELETLLLNLRNLISFDLSYSGLYVMTYNANGYINPDFRYLALRSCKLTVFPESLRAMKDLWYLDLSSNAIRGHIPDWVGEIGGNELGYLNLSHNFIEGLPQLRWYGLRQLHLQSNKIQGPFPPSICNMSILTTLVMSNNRFGGVIPHCVGNISSNLNMMDLGNNHFHGTIPNAYEHCGELAGLILNQNQLEGEVSSSLLKCQYLEVLNLENNLLNGTFPGWLGDLPFLKILDLNLNNFHGPIETSSTRKVPFPCLQVLDLSHNGFVSHLPQKYFQSFNAMKNVVKNREKPSYLSINGLHYSMNVDMKGVEQSFPQIFVHCTILDLSNNRFEGEIPDIICSLKALIVLDLSHNSLIGRIPHALGNLLEIESLDLSCNQLAGEIPKSLADLRFLEFLNLSQNHLAGRIPIGKQFNTFEENSFGGNPRLCGLPLPEKCEHPYKPPVKVDGDPESEFTWIVFMLGYGCGTLVGLVMGYLMLSTGRPMWLSAIVDATENMIKTRYMYIGK >KVI07930 pep supercontig:CcrdV1:scaffold_262:236082:251054:1 gene:Ccrd_013716 transcript:KVI07930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSLAASRVNTITRSATMQVTGEQDLFSLLIRLLNGPKQVSSIDLVRDETSWVASRFCGNERPSGMVSKRKDGRPQRASGPRDRRSRWWLNSVLTKVIMKPLEWRILASLSIGLIWPWAGAGKEENGYPCQQSHCNASGRKHRMVNQVVQGSSYVAVLNRIADGGINSPIVLDIDGG >KVI07933 pep supercontig:CcrdV1:scaffold_262:186816:191586:-1 gene:Ccrd_013712 transcript:KVI07933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLRFLVVDHLRWQCISREPTFLPHKLRWLCWHHYPFSSLPVEHMRKLVGLEMFDGMIKHLWKGPKVLPNMKFIDLGYMGHLTSFPDVSAAPNIERLILSDCHNLVEVHPSLGFHRRLVYLDMSRCTKLKCLPFTIGMESLETLIFSGCFSLERFPEVTPSMVKLSELYLNNCIRVEELPSSIRYLSGLRVLNLIG >KVI07931 pep supercontig:CcrdV1:scaffold_262:245492:248400:-1 gene:Ccrd_013717 transcript:KVI07931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLRLLDIDAKFPSHRPTFLPDALQWLCWHGYPFSSLPVASMHKLVGLEMVDSSIQHLWEGRKDQENDGYIEKMLQQSFLKKCAAVDHQLSIGIPRSNMQSWFEKRHGYNMALELSPKFHTEIMGFAVCVYKDDVKLIQQLQTRISDYGNSVQIDDGYHEELDYEKMGSANTYVHEESPMMRTQTYRLGELERRKDCTTAVSIADLTS >KVI07934 pep supercontig:CcrdV1:scaffold_262:200283:205210:-1 gene:Ccrd_013713 transcript:KVI07934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MFLPNLKNQNLLHLKILMLLMSPLLSEKGFEPTYTHCQVISYTKLEVIEAIVLPDNNAEYLREKLGFTNVLMPGNNVEYDCEMLGFSDEVFRRMKNLRLLDVDRTCISCEPTFLPDKLRWLCWHHYPFSSLPVEHMXKLVGLEMFGGLIKDLWKGQKILPNLKFVELSNVLNLTKFPDVSGAPNIERLTLSYCQRLVEVHESLGCHRRLVYLDMSGCQKLKCLPSRIEMESLETLILSSCLSLERFPEVTPSMVKLSELYLDNCIRIEELPSSIRXLSGLSFLNLISCQNLKNIPNSICELKNLKILHLQNCYELQQLPEKLGMEKGCSSLEKIGDISQEYKWLYKAWFIDCHKLLEDQESERYIDKMLQQSFLKKCASVXHRLSIAVPGSKIPCWFKEQQHGCNVALRLLPKLHXQVMGFAICGVFDGEWKYGDPRIIFXIVNDEKVIPKLEVDIASSAXDDCNLWVTYIPFXFFQQMYHDFQPQDWSXIEGNLVMTVXRTDGXKAVNCGANIVYKEDVELIQQLNTCISDFGNLMQIDGDDYHGELDYKGKVSANTYVYEEKSDQDSEMSLRTRALQKRDVYNRPVIYTQMM >KVI07633 pep supercontig:CcrdV1:scaffold_2621:9875:17811:-1 gene:Ccrd_014005 transcript:KVI07633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLWRWLVTQTRDSKPFFFAFATVCAVVPGAIGYCVMQFTNSSNEQLESQLRRNARPDTLLLEMKGKATANKYGLKEDGEGNVMKGEGHVVEAMPRHEVHNWGLEGSGILLEKINIGYALNYIRKQRLLYSLLMALLEITGAHGSRNEMEIHGKKKARHCHTTFSLHQAKAKVDLMMGKVNKERLGEYLGELQRKEDTNDRYIAALKGETLTRNPYMRIQPVISPSGSEVDNGR >KVI07632 pep supercontig:CcrdV1:scaffold_2621:47757:49404:1 gene:Ccrd_014007 transcript:KVI07632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MQTKTNSIAWNPMEPMNFTAANENCNCYSFDARKLEEAKCVHKDHVSAVLDIDYSPTGREFVTGSYDRTFSCDASYVISGSDDTNLRLWKAKASEQLGVILPRERKKHEYMEAVKNRYKHLPEVKRILRHRHLPKPIYKAGLLRRTMADAEKRKEDRRRAHSAPGSLMPRKSVRKNRIIQEIE >KVI07631 pep supercontig:CcrdV1:scaffold_2621:68954:71550:1 gene:Ccrd_014008 transcript:KVI07631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLDLVREWSVVAEEVDAGLGFAGEGDGIGDFRVIKVVDDDEDGRGEEPTQSNLDWEDEFLGEIHPMSKRKQKEKSELLQETDSTDWCVRARKFALMSIQTRGLTSAMEDLVTVNLKKKNKKKSHNVKKKPVVNKEKPTKESLDFDSDGEDDELNIEDLLDDKDQLKTSVSIMAGGMFKERKEKTMETFVERQSHFFGPHDRRKEINLNREIVEAQTADQVLEGNAEMIMAVAKGLSPSPLSLLNLATTIHRIAKNMEKVSMTRSHRLAFAWRREMSMLVGLAMMALPECSAQGVSNITWALSKIGGELLYLSEMDRVAEVGLNKVGEFNSQNVANIAGAFASMQHAAPELVLEISKRASDIIHTFQSHELAQLLWAFASLVEPADSIFASLDDKITSSFQKEVGRLLVSTGLDWTREYVVDGYTLDAALIDLKVALEIDGPTHFSRNSGGLSLWSNGPSLFLLDFLFIFYVVRWEELEGSHEQLDYLREILQYHTVDEAGR >KVI07634 pep supercontig:CcrdV1:scaffold_2621:30035:35080:1 gene:Ccrd_014006 transcript:KVI07634 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MRVKVMSRSADEFTRERSNDLQRVSRNYDPNLRTQEKAVEYVRALNASKLDKVFARPFIGAMDGHIDAISCMAKNPSHLKGLFSGSMDGDIRLWDIASRKTVCQYPGHQGAVRGLTVSTDGRILVSCGSDCTVRLWRVPLASGIESNNSSDSSDKLLASYVWKNAFWAVDHQWEGDLFATAGAQVDIWDHNRSVPVNSFEWGNDTVISVRFNPGEPNILATSGSDRSITLYDLRTSSPARKLIMR >KVH91447 pep supercontig:CcrdV1:scaffold_2622:33879:49963:-1 gene:Ccrd_006530 transcript:KVH91447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 acetyltransferase, NuA4 complex, Eaf6 MESQGQKTSNNPKAMLSSILSKRQKLQEELRTIEKQVYELETSYLQESSNFGNVWKGYEGFLSSSKNTANLKRSRKFQHEDRLFSLSSVTSPAAEELGAGREEGRSDMGPGRPKGGGLTINGQGKPKKGRSGPRDGRKIRLSNDLDLDDDDDHDMGGMR >KVH87705 pep supercontig:CcrdV1:scaffold_2623:858:52519:1 gene:Ccrd_025009 transcript:KVH87705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MAVIYPHVETLLSFLFFLLTSSNASAVRTSSAVSTRSESVDIDWETLGFGLVHTDYMYITKCSQGGSFSEGELVPFGYIEISPSAGVLNYGQGLFEGLKAYRKVDGSILLFRPEENAARMMMGAERMCMPSPSVSQFVEAVKATVLANERWIPPPGKGSLYIRPLLMGSGSVLGLAPAPEYTFLIYVSPVGNYFKEGLSPINLIVETAMHRASPGGTGSVKTIGNYAAVLKAQSAAKARGYSDVLYLDSVHKKYLEEVSSCNVFVVKGNNISTPAIKGTILPGITRKSIIDVARSQGFQVEEKAVTVDELLDADEVFCTGTAVVVSPVGSITYLGKSVSYGGSGVGLVSQQLYTALTRLQMGLSEDKMGWVIEIK >KVI04733 pep supercontig:CcrdV1:scaffold_2624:34356:34709:1 gene:Ccrd_016943 transcript:KVI04733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MGGLKVVTGGLLLAAVVLWVASKTTAAVDCVTVTTLVSTCSAYVTYGTPDPYPGSPCCDAIASINNLGDSEENRRSLCMCLMGVITTYNPNATAIATLPGFCGVSLGFTIDPNTDCN >KVI04732 pep supercontig:CcrdV1:scaffold_2624:32206:33903:1 gene:Ccrd_016942 transcript:KVI04732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24e, conserved site-containing protein MLRIDTTGVTRAFPSPSTGVAAAANRHGPQLCRFSGQKIYPGRGIRFIRSDSQVFLFANSKCKRYFHNKLKPSKLTWTAMFRKQHKKDIAQEAVKKRRRATKKPYSRAIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVVSKQKTQAKGGNVPKGKGPKLGGGGGKR >KVI04734 pep supercontig:CcrdV1:scaffold_2624:64863:65519:1 gene:Ccrd_016946 transcript:KVI04734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCQIFNMIPQDLVPFKHHASRLKRVDN >KVI04736 pep supercontig:CcrdV1:scaffold_2624:35493:37152:-1 gene:Ccrd_016944 transcript:KVI04736 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKATRWIRSLFRPKRNSVGSDSLREFKPNPSESSYAHESLDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTASGHGNRSYHEGDRRRVTAAVKIQSAFRAYLARRALRALKGLVKLQALVRGRIVRNQSADMLRRMQAMARVQARACATRASLSASPHSSTRSSNSHNHPKSSSIQGSYIQERLKGLYWLENWMDESSWSSKNCRPDDERSDKILEVDTWKPRLDPSPSNKSAYDSHSTPPKPWNRHGSREVLPLGSMILREAEKAVTTADNTPRVHSPASRPGSSHKRSPFAEHSRSVFGDYPSYPNYMSNTESSRAKLRSHSAPRQRIQFEKSGSTRVIWDYSDTISERGFQS >KVI04735 pep supercontig:CcrdV1:scaffold_2624:68257:70289:-1 gene:Ccrd_016947 transcript:KVI04735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITAFFILATLIFILLFIIKQTKLKNLKNLPPGPPKLPVIGNLHQVGDKPHVSLAQFAKQHGPLISLRLGTQLLVVASSPEAAMGILKTQDRLLSSRTVPTAFQQESLLPHSILWSDCNDTWKTLRTLCQTEMFSAKALQTHSRLREEKLDVLLDFLRIKQGQAINVEKVIFATLFNSLSCVVFDKELLDLKSEHEVTGGLKDSLFQILAYGGHVKDFGSFFPIFQRFDLQGIRKGSMKQMQKAFSFWEDIIEDRRARVNSSTWSSDQAKSFLDRLLEKRFSNDQINEYIAELFVAGSNTTTTALVWAMTELVRNKEVVTKIREEMKREIGSEKVSESHLSKLPYLQACIKETLRLHAPVPFLLPHKAKESCEVMNYTIPKNARIFVNVWAMGRDPEVWDDPLSFKPERFLGSNLDYKGQNFELLPFGSGRRMCPGLPLGSKNMEFILATLIHEFDWALPNSEDPMKLNMDDKFVITLKREKPLELVFKPKQASLIV >KVI04737 pep supercontig:CcrdV1:scaffold_2624:50816:52752:-1 gene:Ccrd_016945 transcript:KVI04737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILLLLLPPLLALIIVVGVKTKARTRRQNLPPGPYSWPIIGNLPHMLKGPHIYLTQLARSYGPLCSVKLGTHLFVIGSSPMAATEIMRAHDRLPTYRWVPKAGQDGLQEYSLIWATECSQHWKLLRCLCRTELFSAKGLESQSSLREKNVDSMVGFLKRKQGNLVNVREMVFAVTVNILGTICFSKDFIELELSDDEKGTRSRGLKGALYRLMKLGTTPNVADFYPKFEGLDPQGLKKKTSEAMDEAFNVWADIIKERRDIRENQKGLIEQDFLDTMIKCGFSDLQINQLTVELFSGGTHSTASTIEWALAELLKNKQAMFAMREELVNKLGSTTCIKESQVSQLPYLHACVKETLRLHPPAPLLHPQAILQSCEIMNYTVPKNSQLIINVWAMGRDPNLWDDPLAFKPERFLNSNVDFKGQDFKFLPFGVGRRMCPGYPYAIKQIHLMLASLVQNFDWFLPSNIEDLSKLDMSENFGIISQRKRPLMVIPKCVC >KVH87704 pep supercontig:CcrdV1:scaffold_2625:37395:39116:-1 gene:Ccrd_025010 transcript:KVH87704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEASSNLDFIAHHITRNSGSSVDSQADSISLVKKYSVEELLKVLCEGNANRYKLTKEDLTTCPHNFE >KVH96292 pep supercontig:CcrdV1:scaffold_2626:48816:50153:-1 gene:Ccrd_001623 transcript:KVH96292 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MILVTLLSFFISLSYETIIFCSFSITITIFSLSFISKYPSTYLVDYTCCKPPNTLRSPSHSFLKHSRLNLSSNLESVDFQMKVLARSGLGEETYLPPAICELPPTPSYEASRKEAELVIFSSLDSLFNKTGLNPLDVDILVVNCSVFSPAPSLTSMILRKYNMRENVKSFNLSGMGCSAGLISVNLAHDLLKTHANSNAIIVTTEIITLFYYTGKDRSMLLPNCLFRMGGASVFLSNKKSFRKQSKYLLLHVVRTHIGSCDEAYNCIHEREDSEGKIGISLSKKLTSIAGQALTANIHAFALQILPTTELLFFLKTLIVKKVFKQKNTKPYIPDFKRAFEHFCLHAGGRGVINEMQKELGLSWEDMEPSRMTLHRFGNTSSSSLWYELSYLEAKGRMKKGDRVWQIALGSGFKCNSAVWKCNMDIKTSSDGPWFDCIDRYPIHVS >KVH96294 pep supercontig:CcrdV1:scaffold_2626:2639:6613:-1 gene:Ccrd_001621 transcript:KVH96294 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MVSSDKKLANVVGGKTARACDNCIRKRARWYCAADDAFLCQSCDASVHSANPLARRHERVRLKVASLKLSSLEKPAPPPWHHGFTKKPRTPRGGSHHKHASRQTLKSEESSMSFNPLDLVPEIGSDETTAVTSEEQLLYQVPVFDPFAAELCLSGNSTDVARPVKQSEATTPVDGDRHNGSKMTFDLNNLNGLILPSDMELAEFAADVESLLGKGLDEESFAMEGLGLLDCREKDSDECLERVKVEEDHEMQCELVESEMEMMREPFQLSFDYDSPLWEEKDEKVAVEGGVGGGGGGGGDHTGLMKDEGYHMDINIEKYDDETKKNRRILKLDYEGIIAAWDEQRSPWTTGDRPELDPDDCWPECMGGCGIMHHHHHPYGDMGMMAGNPAMLDGGRQARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASSSGFPILGK >KVH96293 pep supercontig:CcrdV1:scaffold_2626:37849:44434:1 gene:Ccrd_001622 transcript:KVH96293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLVSYRTSCRVSLGEFSIPPSNPSSFFKVSQIIRMSGRNRMPRGPRDGPMPVVHRGPGPLPPHPAALEEELELQHRDIQRIVAENGHVVEENVILQRELTAIKDEIHRLAQIIPKLHADKEARARDLIDRGMKLEAELRETEPLRVDVGQLRAEAQKLNSVRQELSNQVQNLTKDTSRLKAENEQVVAVKADIDGMRKDLGEMRRDYEMMKKANEEHMIQKEAMEKNLISMAREIEKLRGEQMRTRGLGGGGYGMLNGSPDMRYPGSGYGDVYGGGGGGWGSYDHHRGPPRH >KVH96291 pep supercontig:CcrdV1:scaffold_2626:66349:70598:1 gene:Ccrd_001624 transcript:KVH96291 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MPEYCVTGGTGFIAAYLIKSLLQQGHTVRTTVRDPENVEKVGYLWELKGAHDQLKLMKADLMVEGSFDQAVDGVDGVFHTASPETLIDPCIKGTMNVLSSCKKAKSVKRVVLTSSCSSIRYRYDVQQVSPLNESHWSETEYCKKYNLWYAYAKTIAEKDAWSVAKESGIDLVVVNPSFVVGPLLAPQPTSTLQMILAYVTGEYSNTTVGFVHIDDVVAAHILAMEEKKAAGRLICSSTVAHWSEIIKMLKSKYPSYPYVDKCSSRKGDDSPHSMDNSKILQLGLPPLKTLEQMFDDCIISFQKKGFLRVSS >KVI11296 pep supercontig:CcrdV1:scaffold_2627:68797:73855:-1 gene:Ccrd_010295 transcript:KVI11296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MSTEKEYGLIGPFKSIPKEQIYCTHIHDKNPFGVFINGESPHESSFSLLLLELSLVLVVTHVIRHLLKPLKQPRVISEILGGIVVGPSCLGRSKKFMNWMFPGQSAFIFRNLGSFAFMYFMFLSGVKMDLLTIKRATKKQWHIAIFGMVIPVGATLAVALLVRNSLEGEMKKISSIWGVGASLAITSFPVLHSIIRELNLLSSDIGRMALATAVIGDVVGINGVVAFEAAKQGEGRPLAPIGYILSMIIMSITIFGGTRMLMFWIIKVTPEGKPVEQFYIVSILTGVLVSAFLSDMLGVALVNGPFWLGLAVPDGPPLGATLVQKCESFISEILMPFAYANVGLMTDVFAMTAHWSKLQPLFLVALTGYLTKLVSTLFITRFFEIPLRDGITLSLIMGLRGEVELLLFIHWLDFQMIGIPQFTMFVLLTMVITGVATPLISIAYNPNRPYMINKRRNIQHTPPNTELRILVCFLEEESVPGLTYLLDAFNPTVHSPFMVYALHLVELVGRAAPVFINHSEEDNDHHEKSDMDDTGTTHKAFHGIQETRSDVIKIHSFTSVAPNRSMYQDVCELALDKKSSLIILPFNTSPLRGLAGTDMIQNNVRSLNYTVLDHAPCSVAVLVDKGDFRASVGNLRVSVTNLQYHFAMLFLGGSDAREALACADRMAGNPNASLTVIRFLAYNGEGDDEMEKKLDDGLVTAFWVKYEGNEQVAYREVVVRNGEETVAAIRAMNSEYYDLWIVGRKLGINPVLIEGLSSWSESQELGVIGDYVASVDLGSSASVLVVQQQVLRDKESKSGGLRGRISRYFSDCVWF >KVI11474 pep supercontig:CcrdV1:scaffold_2629:56099:60817:-1 gene:Ccrd_010114 transcript:KVI11474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MVEKPRDDACDGAACGWEDDVKDPPLSSMISAGNANIFLKGKGCGNCFECPGYCNKVPFHFDLSGFAFGMLGFGRVPCSYGSTKIAFKVSQKSNPNWFAMAIEYANGDGGFGHVEIAAGGTQNYSAMDNIWGAVWKKDIAPSYKPPFSFRLTSADGKTLVATNVIPLNFAPGQKYSST >KVI11473 pep supercontig:CcrdV1:scaffold_2629:38954:41276:1 gene:Ccrd_010115 transcript:KVI11473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MQRKDRKRPKCNCASEVQVCNKQQSAEKQAVELRRTEDGLTGTGSYRGWPPPPPNAATSVCNAASACSAASAFVAPCLRLHWSPSSSSHKLHSAVGYGLMDNSLSLSKPFAKSLIYSPTDVPPLEKVTRMSLGVAEDDSRIEIHLPADIDWEMLDKSKFFFLGAGLFSGVSGMLYPAVVLKTRQQVLLKHMPCFKMAVSILRHEGFRALYRGFGTSLMGTIPARALYMGALEVTKSNLSCTTVKMGFSEVKAAAIANAAAGLTAAMAAQLVWTPIDVVSQRLMVQGGKGGAAIYNGGIDAFKRIIQRDGVRGLYKGFGISILTYAPSNAVWWASYSMAYRSIWGGIRSHSFKKEENVGGGGFKADSMAVVAVQGVSATIASGLSALVTMPLDTIKTRLQVLEGSDGGDKSVGRTVRNLVKEGGLSACYRGLGPRWASMSLSATTTITTYEYLKRMSTN >KVI03202 pep supercontig:CcrdV1:scaffold_263:101473:102374:1 gene:Ccrd_018496 transcript:KVI03202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHMGSCSKHASSILFLLMLILFINYKHSDGAGGDELEKSSSKIGELVPIGVGRSEFRLEMDYKQRRKLGPFQVCLGCKCCVVATDPTTCSNMPCCFGIDCQLPNKPFGVCAFVPKTCNCTTCS >KVI03209 pep supercontig:CcrdV1:scaffold_263:268371:281095:-1 gene:Ccrd_018504 transcript:KVI03209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MELIFVLLVFGNLLLVAPDAQGDALYDLRASLKASPDQLTDWNQNQVNPCTWSKVSCDANNNVFSVSMSFMGFTGSLSPRIRVLSKLTILSLQGNQITGGIPEEFGNLTSLTMLDLESNRLTGQIPSSLGNLKNLQFLILSQNNLEGPIPDSLSSLPNLTSILLGFNNFSGQIPDRLFQVSKFNFTGNRLNCGFNNTHPCTSSSGDASKKSMAGIIIGVIGGVLGLLLLGCLMLVLWRGRHKGYKREVFVDVAGVKSLFSLFIWTTCHTRPSTIHAYLVWPSPLPTRLPQPYIQRVYFHFLVNMETRLLELRGSRKREVDRRIAFGQLKRFAWRELQLATDNFNERNVLGQGGFGKVYKGVLADNTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMQNLSVAYRLRELKPGEAVLDWETRKRVALGTARGLEYLHEHCSPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDIFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLERERRLDGIVDHNLNKKYNMQEVEMMIKVALLCTQGSPEERPAMSEVVRMLEGEGLAERWEEWQNVEVTRRQEYDRLQRRFDWGEDSIYNQDAIELSGGR >KVI03210 pep supercontig:CcrdV1:scaffold_263:326778:342484:-1 gene:Ccrd_018505 transcript:KVI03210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MPDTHALVNDFLNQLKKRKIEGSKATAKLTAELLRSVISQQRIPHTNQAGALIQAIKAIGEQLIAANPVEVAVGNIVRRVLHIIREEDLSLTTASIGGLSLHPMSDDEDNVDPDDLSAAAVAAAARSTLRAPSLQTLLEGVPHSAPLHQSSSSAATDKNSRSRKLKHHVIETVNELIRDITTCHELIAEQAVEHIHHNEVILTLGSSGTVIEFLCAAKEKKRSFRVFVAEGAPRYQGHTLAKELVARGLQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGIHKLCPLYPHNPQVLLNELKSPSELLDFGEFSDCMDFGIASASPLLHVVNPAFDYVPPKLVSLFITDTSSPCAKMQPKARYALDCKD >KVI03206 pep supercontig:CcrdV1:scaffold_263:210682:215005:-1 gene:Ccrd_018501 transcript:KVI03206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEKKSSPVSDVGAWAMNVISSVGIIMTNKQLMSSTGYAFTFGQVMGFDKGWKVWSSWGMGESGCLAFNIAIWSSVRICLSLTGFSVIFCFSPATTLTGFHFAVTALVGFVSNSTGYTASKHVPLWELLWFSIVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKHYSKEVKISVLVVVIGVGVCTVTDVKVNGKGFICACVAVVATSLQQISIGSLQNKYSVGSFELLSKTAPIQALSLLVFGPFIDYYLSGNFVLSYMRTISSGAILFILLSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELSLKNILGMLVAVAGMLIYSWAVELEKAAASLSLTQEELNLLKNQPPIKDYELGHESK >KVI03207 pep supercontig:CcrdV1:scaffold_263:247387:249645:1 gene:Ccrd_018502 transcript:KVI03207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLTHHINRFLSIRSPKTLQSLIEYHAYIITTGHHSTNVFISSKLISLYAYLHKPASSKQVFDSFNGYRDVFLWNSIIKAYFSNGMYPQCLDSYASMRVLTTLMPNQFTVPMVVSACAEVGDLVHGMIVHGLVFKVGMFEGSSAIGSSFVYMYSKCGHVDSARQVFDEMYLRDVVAWTSLVIGYVQNGESAKGLRCVCKMYRICGEDARPNFRTLEGAFQACGNLEAVYAGRCLHGVVAKSGLGCYTVVQSSILSMYSKCGTLEEAYMSFCEVPVKDIKSWTSIIGVYGKWGCITRSLGGFMEMLVAGIDPDPMVVSCLIYGLSNSICISAGKTFHGFMIRRHYQMVHNALVSMYCKFGLISYAENVFNGVCILEEEVWNAMVCGYGKVKCGVKCIDLFTEMLHLGINPDPYSLVSVISSCSQMGEMHLGRSLHTYAVKSLVKEHTSVLNSLIDMYSNLGEVAIARKLFCRTNKDIITWNTMISAYTHCGNYAEAFSLFNKMVLEGTKPTTTTIISMLSACAHMASFEKGEQIHKYIDQEMLSSNLTLATALVDMYAKCGQLEKSKNIFNKMTKRDIISWNVMISGYGMHGDAQSAIDTFQQMEQSNAKPNELTFLAVLSACSHAGLVDEGKSLFGRMGDYSLKPTLKHYACMVNLLGRSGYLLEAENLVLSMPIVPDGGLWGALLSACKTHNNPEMGIRIAKRAIECDPENDGYYVIVSNLYDSIGMWEEAEWMRNLMKERGVEKAVGWSAV >KVI03204 pep supercontig:CcrdV1:scaffold_263:62326:62550:1 gene:Ccrd_018495 transcript:KVI03204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIRIICHDLDLTDSSDDDEPTGKPYERKAIVQEIKIPVVGADCVADEKTLETEDSCQDSNHGEKNLVKRKWF >KVI03199 pep supercontig:CcrdV1:scaffold_263:117096:122817:1 gene:Ccrd_018497 transcript:KVI03199 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MASKGGLLPITRAFLSSYYDKHPFPTISDHVPRLSHHLYNLSNHLCTTTTSLPQGERLLLKEVEMLPPHKIDENMWKNREHIEEIIFLLESSHWPKPVQYSCFFGYSYLILSSISSSICLLFCEQLQQQSTPEDVELAHLLTRLTEKCQGTLKLLESIQSKSAENVFNTVMTYMPQDFRGALIRKQRERSERNKKAEVDAIVNSGGSIRDRYALLWKQQMERRRQLAQLGSAGGVYKTVVKYLVGVPQVLLDFVRQINDDQGPMEEQRHRYGPPVYALAKLVLNIHLFLSLSWWRFEDIKWQKHQISILEEAVDVYTSEMERFLKFIGEVFANSPFFVTAEEAGASKNDEYRESSVPAGKSYEVSLEVESVNSYIAWDFSVAQGKISMDIGFSIEYTNSLGQKTLMLPYRRYEYDQGNLCTLMAGKYKLIWDNSFSTFFRKALRYKVDCIPPVVEAAASDQG >KVI03201 pep supercontig:CcrdV1:scaffold_263:133079:135772:-1 gene:Ccrd_018499 transcript:KVI03201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MVRKRAASDMELQTSAADHHYHHRLLRRLPPPASSTNPAPIDIHHLQETPDNDNHHLLSGVPATPAALNVNTNDLPSNYSTMTLPSSSSSTNTSHVIMSSLSTTNYMMDSHSPPPPLCVFSGLPLFPPTDHRNFNHHLSNTTTATGALPNHQLVTNNTAGAGMPFLTSEDTVSATAWIDSIIKDLIHSSTEVSIPNLIQNVREIIHPCNPNLATLLEYRLRSLTDPPPNLVVDQQSGHDNNNNNSNSNNNFNNRLLMRGKDQAQASSSMPPRNNPSTISGLEGMFLPDSQQHLNNHGHLEWGGVVTNTRKDDQFLNDDYNPSTGAPPAALISSSNNQEQLQESPPSQPPSATDHNTAAASAQAAAMLLREKKREEIRQQKRDEQGLHLLALLLQCAEAVSADNFEEANKMLLEISELSTPYGTSAQRVAAYFSEAMSARLMSSCLGIYTTLPPNAAVPQGLKKMTSAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPFVRLTGLGNSLDTLEATGKRLSDFADKLGLPFEFSAVADKVGNLNPERLKVSKREAVAVHWLQHSLYDVTGSDTNTLWFLKRLGPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGSSYGEESEERHVVEQQLLSKEIRNVLAIGGPSRSGEMKFNNWREKLEQCGFKGISLAGNAAAQATLLLGMFPSNGYTLVEDKGTLKLGWKDLCLLTASAWRP >KVI03205 pep supercontig:CcrdV1:scaffold_263:190874:207798:1 gene:Ccrd_018500 transcript:KVI03205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MSNAVVNGLAGAGGGIIAQIITYPLQSVNTRQQTERIAKKSRSQGSSGGTLVQILQVIKSEGLGGLYSGLKPSLLGTATSQGIYYYFYQVFKNKAESIAAANKRKGHGDGTVGMFSWLVVAALAGSLNVLLTNPIWVLVTRMQTHTQAEQKIMEAKKEALLKESSESSLIGSSLHDKLRELDSMKPNPYGTLNAAYEVYNEAGILGFWKGIIPTMIMVCNPSIQFMIYESSIKHLKTKRADKKQNSTKVTALEVFLVGAIAKLGATVTTYPLLVVKSRLQAKQEISRNNSLRYSGTMDAIVKMIHYEGLSSFYKGMSTKIVQSVFAASVLFMIKEELVNLFSVLLLTMSKSNPHPIK >KVI03203 pep supercontig:CcrdV1:scaffold_263:22054:31294:1 gene:Ccrd_018494 transcript:KVI03203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGNCGTREESAVVSDAHHQVKQLQVVSGKHSGLEKKHSRSISDLSDPSTPRNNVNVIEDLRNNSLLYTHVIAFTLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTSAPLSWSTRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGKKSVDKTRPSKEQSLVDWARPKLNDKRKLLQIVDPRLESQYSVRGAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQSSSSSSGNEVSLSSSIMGVGVGRPAYQMHHKFVQSVGAGAGCRSANPNCSPGTPTACRVR >KVI03200 pep supercontig:CcrdV1:scaffold_263:123755:128506:-1 gene:Ccrd_018498 transcript:KVI03200 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MALKNKVQSILIPWWRKNTLLSSTSRTLNTFATGSDNRIIKSPSLVSLDLPDEWTSSSTNCNAPSTNKLASEETGRVIDGKQIAEEIISGVACEVERMKKTIGEVPGVAVILVGERRDSQTYVRNKIKACEEAGIKFSLTEFSEKCSEDEVCDAIMGFNMDPSFHGILVQLPLPQRLNEEKVLDVMRLEKDVDGFHPMNMGNLAMRGREPLFIPCTPKGCVELLIRSGVEIMGKKAVVIGRSNIVGLPASLLLQVSDSFFLSFFHAISDATELRHHATVTVVDALTSNPEEITREADILVSAVGVPNLVRGSWLKPGAVVVDVGTYPVEDDSCEQGYRLIGDVCYEEASRVASWLTPVPGGVGPMTVAMLLYNTLESARRIYKFV >KVI03208 pep supercontig:CcrdV1:scaffold_263:258666:260941:1 gene:Ccrd_018503 transcript:KVI03208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IERSCESLWSAASRRKYSTSTFVFWLQSYTSMTRTTSSPFILYLQQFSKHLEIRNQELICTFNIFKHIVGAGHYEAFQTGIEIFRR >KVI07977 pep supercontig:CcrdV1:scaffold_2630:13074:41316:-1 gene:Ccrd_013657 transcript:KVI07977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSLQPPLSPCILPVAHTTNQAPLRPFISHLFSTTIPGPNTAENQSPPRSSLIDYDSAHCWRKLTNMEEANKDDRSNNEASCNDNSEDDWEAVADRAPDELLTSQCLPDVSKLEIEDKKDQTPIRRGRGTFSYQKHGLYSDQQSGVLVEDDSIDQAVSLGSEGHGQTRDWQANHIRIIQMQVKYGTRHALVLEDFQPSMTTTGLENMLEKYNYHDVVIRWVDDTTALAVFRTPSIALEACNSIKWPFTVRVLDEKDVLLSTLPKRDLEPPRQRPKTSVRTARRLIAHGMGMKLPSTSFGQKELKEQEAARKQRIVSRQSLKDDAWGD >KVH99483 pep supercontig:CcrdV1:scaffold_2632:4346:6059:1 gene:Ccrd_022283 transcript:KVH99483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MFCSKLSKPDPRYVRHLKPYYPYTHPLHLAEIGEKRGVTEKARVVTINFLLRRLGKKYSDTVGVVGLGGGSVQVFISILNKELTQ >KVH92030 pep supercontig:CcrdV1:scaffold_2633:16557:70198:1 gene:Ccrd_005940 transcript:KVH92030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGISYQNIKLVRISQLKQQPNRRFQEFVGLLLLVADFDSQLKEAIIQASKWEPAGKENVLADYIAHAKSCDACQRKIPISSQSSQVRRWFLLGAICSEEYEVLSETSLSAASCYDGFQQLVCHYTVTQRNHVQISKEKICSGNKNNDIIQSQKGSLDKFVIKDGPSSLDNEHIDIDLDDACVDVNENPNMVNLVGTLNDSHEDLATNVDTNNASNDVPNVISNNASNDVLMERTTDGFLPYFEYTIHMKLWLIISLVNPLLIVIWYQSYRSGVLDLYAELDENDDKQYH >KVH92031 pep supercontig:CcrdV1:scaffold_2633:22442:27862:-1 gene:Ccrd_005941 transcript:KVH92031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTLIQSSNSYVTQFTQSVAAFSQFREPYESTSSPGSVEVSSDVVIKFNGTGPLTLAEVTEEIGGSPNYEIDYALERIREQLCEYGTAQQYQQQSEGEFSITSQLTSIWDDVLIYNGNAVGDGSQKNFVCPSDRNGVLLPQLRRDLVEEQEKYNYGYTSANND >KVH92029 pep supercontig:CcrdV1:scaffold_2633:69143:70508:-1 gene:Ccrd_005942 transcript:KVH92029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCPELSKDPRLVKVSNLFMPPRGVPISNVTWEEKKVWEVTIELMDVTLGSDGNQRRLEKWRRKISGCGLPSWRKKNMLSCDWRMTRRVMEIEQKE >KVI06807 pep supercontig:CcrdV1:scaffold_2634:64498:66475:1 gene:Ccrd_014838 transcript:KVI06807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein IIILFNAPQTHIKSYFFFPLITKNPLFSIGLFQNMVPEVSCITSLNLSLTGDHSYPINHLLDLHDHDLDQQHHHQQLEEKSSIMYDHLLPSLTLGLGRDQDPSKLIHQATSNSIVSTVSSFSNSTSAKRVERDGGGEEVEKTLSSKTINDIDQDEFEGGSRKKLRLTKEQSFVLEETFKQHSTLNPKRKQSLAESLNLRPRQVEVWFQNRRARTKLKQNEVDCALLKKCCEALASDNKRLKTEIQELKAGKTTTISPQFYMQFPAATQTMCPSCERIVSGSDTTMPFKTPFSRAPKSLAHRFTQLC >KVI10906 pep supercontig:CcrdV1:scaffold_2635:52796:54202:1 gene:Ccrd_010691 transcript:KVI10906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MLCISSPALRCPSFGKTKTQHHHILPRAIVTGLQVTTNHHHAIIHSMPSEKVEIIKSLEPWVAQSILPFLKPTDESWQPSDFLPNSSQPFDQFITEVQTLRDWSAMLPDDYLVVLIGNMITEEALPSYETQLNTYDGIRDETGADTSPWATWTRAWTAEENRHGDLLRTYLYLSGRVDMLMIERTIQNLIASGMDPEAENNPYLGFVYTSFQESATFVSHGNTARIAKEKGDLVLARICGIIASDEKRHEKAYIKIVEKLLEVDTTTAMLAIADMMRKRITMPAYLMNDGHDASLFSHYSAVSHRLGVYTAGDYANILEFLVQRWRLEKLEALTSEGRRAQEYVCGLAPRIRKLQERSHERAQKMKQHGVKFSWIFNKKVML >KVI10905 pep supercontig:CcrdV1:scaffold_2635:5579:14910:1 gene:Ccrd_010690 transcript:KVI10905 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein MWVFYLISPPLTMGMVIFTLRYFAGPDVPRHILFTVGYTWFCSLSFIILVPADIWTAMIDHDNGGISFFWSWSYWSTFLLTWAVVPILQGYEDAGDFTVTERLKTSIQVNLVFYLIVGAVAFCGLVLLILLHKNWSGGIIGFAMACANTFGLVTGAFLLGFGLSEIPKGIWINADYSTRQKVLSHKVARMAVKLDDAHQDLSNAIVVAQATSKQMSKRDPLRPYMNIIDKMLMQMLTEDPSFKPQGGRLGENDMDYDTDEKSMATLRRQLRIARGDEYMNFVTEALELEDTIKNYEQRSATGWKYISSFRPQRPGKLGSSLDSIELVWRCILRKQLKKLSAIILGCLTAAILLAEATILPSGVDFSLFSILINAIETNEVLVQVVGFIPLMYMCVCTYYSLFKIGMLTFYSLTPSQTNSVSLLMICSQVARYAPPISYNFLNLIHLPRDATTLFERRMGKIDDAVPFFGEGFNKIYPLIMVVYTILIASNFFDRVMKRSSLEKGHKVGELVIPLARHFNGASVDVESVRDSTIAIDSRQTTSLIDKGNRGYSELSKTDGRDNVSKDIITRKYEVSRTDQSTNSTTSNFSSLDIDKNQGMESGQSSKIVSTWVSMKSNFQNFRSNMEARRFIPLRQVQDPQVPQDSSSESLDDIFERLKRTTQDRQSDFQGRP >KVH96897 pep supercontig:CcrdV1:scaffold_2636:47904:55404:-1 gene:Ccrd_001012 transcript:KVH96897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MQKLALGKDGKEHGCIFLMSQGWCYGVRLLDEPNATAWLCQCNCLSDVDQANGTKLLAKDQNKYKSMLVRAYSTIWMIGGFIFVVYMGHLYIWAMIVIIQIFMAKELFNLLRKAHEDKQLPGFRLLNWHIFFTAMLFVYGRILSQRLVNTVTTDKFWYKLVGNLIKYHMVTCYFLYIVGFVWFILSLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYIFGFFFGKTPLIQLSPKKTWEGFIGASVTTIISAFVVGTIFSELDLSTGWLQCEPDPLFKPESYALPGELPEWFPWTEIQILPVQWHALGLGLFASIIAPFGGFFASGFKRAFNIKMVMAVFAYIYHQSFIVAQSVSLGMILDQIVMNLSYEEQRELYWKLGQIIQDRQFGES >KVH96896 pep supercontig:CcrdV1:scaffold_2636:36943:43767:1 gene:Ccrd_001011 transcript:KVH96896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase MEAVKYGEIEFVEDGGGEVTSAAGSNRNDGISSFWGPVTSSHEWCEKNYVYSSYVAEFYNTISNVPCILLALVGLLSSLLQRFEKRFSVLHLSNMALAIGSIMYHATLQHVQQQSDETPMVWEMLLYIYILYSPDWHYRSTMPTFLFLYGVSFAVLHSVIRFDIGFKVHYVILCLLCIPRMYKYYIQTQDMSAKRLAKLYFATLFLGSLCWLGDRFCCSQISGWPINPQGHALWHVFMGFNSYFANTFLMFCRAQQREWSPQVVYFMGILPYVRIEKPKIRRFQHYGNVYHQCWL >KVI00913 pep supercontig:CcrdV1:scaffold_2638:22218:26579:-1 gene:Ccrd_020827 transcript:KVI00913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Casp domain-containing protein TSLSRTGGYNLPPCHIVNLCGFHILFDCPLDLSALTIFSPISTSLYEKLYEETSAGPSFTSLDTPLVGPMQETKKLLDRTNLIPAEPYYKTVESLHLWNVSLIDVVLISSPMGMLGLPFLTRTEGFSAKIYATEATARLSQFMMEDLIAMHMEFKQFYGPEETSFLQLFKWEELEALPPALKEIVLGKDGTELGSWMPLYSAADVRDCLRKVQTLKYAEEACYNGTLIIKAFSSGLEIGSCNWTLKGPKRNISYISSSVFSSGVAMDFNFHALRGSDVLVYSDFASWNGVHGVGDENNCSSMVTDDCSTKSGNEYTWELSADSLLNFDESSEEMDKLNFICSCSMDSVKAGGSVLIPIGRLAIILQLLELFALHIDSSDVKVPIFIISTVAEELLAYTSILPEWLCKHRQEKVIHILTRMFKLKVLYSGKPVFAHEELIKEKKIHIFPTVHSHELLMMWQEPCIVVCPHWSLRLGPVVHLLQRWHGDPNSLLVLEEGVDTDLALLPFKPVAMKVLECSFLSGLKLEKVPPLLKLLQPKLILLPDHTKPCFAPLTESLPCLFYPENETLRLPNSHNLAELHIATDLASQLTWSKMKNDELTISRLTGELFVDKGKHYLLAKKPANPLEIRPLVHWGKLDLESLLLALEKSGVKGSIEKVSGDDGYGSNVLNVFEPGKALIQVKETSTVISTGDKSLASLISDAVHSLLDGI >KVI00911 pep supercontig:CcrdV1:scaffold_2638:50507:50867:-1 gene:Ccrd_020825 transcript:KVI00911 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization MAKDILAIPVSTVASEFAFRTSGRAVDELRSRLGAKTVETLVCAQDWLRASTICIDIEQLLDDVEIYEEEIRRNDLDEAFGGTN >KVI00912 pep supercontig:CcrdV1:scaffold_2638:38411:40944:-1 gene:Ccrd_020826 transcript:KVI00912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MATLIAFEDVSPAADAELLRKACHGWGTDEKSVISILGHRNAIQRKLIREAYQELYQEDLVKRLEHELSVYRWNLEPADRDAVLANVALRKENRDHRVIIELACTLSPEELFSVKRAYQCRYKRSLEEDIASHTSSDLRKASSSLLVGLVSIHRYQGDEVNLKLANSESSILRNAIEEKTFNHEEILRIHLKDDSADEYTTTLRTTIRCMSDPIKYFEKVIRNAIKRNGTNEDALTRVIVTHAEKDLKEIMEQYYKRNSVPLDQAVAKETSGHYKRFLLALLGKEN >KVI00910 pep supercontig:CcrdV1:scaffold_2638:4011:5387:1 gene:Ccrd_020829 transcript:KVI00910 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAVDGVVVVMVPFVAHGHLNQLLHLSALVSAYNISVHIVCTTAHLRRSRYRLHGCSAAASNRIHFHEFPTPPFTSPPPNPSIPFPSHLQSSFDSTLHLRRPVADLILSLSHTATRVAVVHDVLMSYVIQDVQSIPNIETFTFRPLSAFYTFWDSWEKSGRPFPVDRKLLDRLPSQNGITTPEFKEFAKLQQPHMNLDVGELYDSSRVIEGEFIEYLERKEISRGKRIWAIGPVNPVHITSHVTVTENHHKCLQWLDKQPANSVIYVSFGTTTTFSDDQIREIAIGLERSEQRFVWVVRAADVGDVSGFEDKTVELPDGFEERVRERGLVERRWAPQLQILGHSATGGFLSHCGWNSCMESISIGVPMATWPMHSDQPRNAVLITEVLGIGVVVKDWECRNELVTAAVVEEAVRRLMGSKEGEEMRSRATVLSDDVKKSVTEGGVGRLEMDSFISYINR >KVI00909 pep supercontig:CcrdV1:scaffold_2638:6602:17075:-1 gene:Ccrd_020828 transcript:KVI00909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKGKKLQKKPSRKEQSKEFLSVKSKKKNDILSESDMEDEEMEEQQSLDGDGGNSGSDLSSDGDDTFAGDVLQGSDDEEKGSGSDSDLDSDDSDVEKKSRAIDDEKEREEKEALDELQLNIKEQPDEFRLPTQEELEEEARGPPDLTGLKQRIKEVVRVLSNFSSLRQEGATRKQYIEQLKMDLGSYYGYNEFLISSLVEMFPPVELMELIEAFEKPRPITLRTNTLKTRRRDLAGVLLNRGINLDPLSKWSKVGLVVYDHQVPYGATPEYMAGHYMVQSASSFLPVMALAPQEKERVVDMAASPGGKTTYIAALMKNTGIIYANEMKEQRLTKLTSNLQRLGVTNTVVCSYDGRELPKVLGHNTADRVLLDAPCSGTGVISKDESVKTSKNAVDVQNCSRLQKELILAAIDMVDANSKSGGYVVYSTCSMMVIENEDVIDYALKKRDVKLVPCGLDFGRPGFVRFRERRFHPSLEKTRRFYPHVHNMDGFFVAKLKKMSNSKGVPAAASEVEEVVEEGSEIEPSTTENPEAEIPKPQRKEMLKKGNKSSTTNNGSLKRKNEEKPAPKEKRKKFKPLPREEISKAREDKRQALREAKKKATTKSASKKARPGV >KVH93347 pep supercontig:CcrdV1:scaffold_264:143071:154143:-1 gene:Ccrd_004597 transcript:KVH93347 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein FSSHHKLSHKFAPPTPDDLSVARHLEDLRCCFALLQSTKNQMKLRLRSFETKETLKIEIRNPCSLLHLRELVAQKFPSPSHSSAISFSLNRKDELTTSSAEESIQSIGIASGDLIYFTTNPNGFSTGTQIVPPVAPSAPKSEQSENPPTLNHNSVKQDEILNTKSSETLDFSSGKGETIGFSNLSSEESAEAMETDDDTNSVIDAGKSFSVPGFLRKVFSEELGDDDGLNHKLLGIAVRAVLLESGFVEIDSASKLLKGPNFDVPGSWHLASFYYTLPDIINRGNIESVKIKFQNLGKYCKVYGSLVNGNGVHSVLLDEDRLVPFLNVVWANCGQVVEIMEENNQVSSVLPEKEVFEFWRKVKDGIALPLLIDLCEKTDLELPPCFMRLPTELKLKILESVSGVDVANVSCVCSELRYLASSEDLWKQKYMEHFGNVEGSEGGRSFKERFARGWESRKRRKVGGRSFVTVMRRRPYGPFGGGQFPRMIGGDYDLLPRLGLPGGFTRLRNVVPHCNLGGLDY >KVH93339 pep supercontig:CcrdV1:scaffold_264:301430:301705:-1 gene:Ccrd_004610 transcript:KVH93339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFLMHAMKKSHRLHHTYRSFSTGSTASYHLLDAAQPSAAEGSSHRRTRSDFQPPTAEFLHHRSAFDSNTTCSTPNTYNHAAKLKGN >KVH93345 pep supercontig:CcrdV1:scaffold_264:82721:85169:1 gene:Ccrd_004591 transcript:KVH93345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQTPLLCLRYQTIRFYSQNQDSSLHLSFSPIEQDLRTLMANIASSRSPSPLSTRNCRNSEVNSTTRRSFNGNPFARPTALTNPRSLNPPTPANSPATIDHVKRHSIGRKSVGNSMFQDGKENHKDTIRSPAKGGSKNFMAPTISAASKFTPSPRKKVLGEKNDVIRTSIQFLDKDLSLKSEETPLDHTTKIEEDSLDSLTLEQKEVVLETPPVLQVNSSSINDATEMLSEVTENSDFINVVDSCPKTRPFCCSPQTSPIIASLDHPSLPPYDPKKNFLSPRPQFLRYKPNPRIEILLNKSDGNDDYGEDDITKLEDSFNLSENSSDAEEEQEVEKEVELGDSVLGSSEDLSEMVSEEKQSDFMVEKASKPRVVKRSKTICLLSLMFLIACFGFSFTDSPPMDLPIYNDFSFQEIYQESLKFAATAKDSLDDLVENVKQWSINFSSYLSQLKSHFSSAHKITSIQFFNLTISPLQEEIMFNRHIGTDYIDAKISEEIQEFEEEIQEFDEEMEDEIEMVDEEVYEEMEVDDDIDVPEEVVIEEQNEIQFQPHEQIQHADADADDSVEKSLNLEDGSSEIASIPVTNSEAIPETKSEMVVNDLEIDSSLADSVADSETLTSFSTVSINTICLAGFSMVILAVSSIFYTIRTKSNATTTTTIRDADMRRESCSSETNSFQKGYKKMKTSSNKRESLASSSTDYSTSTGSPSYGSFTTFERIPIKNGDEVMVTPIRRSSRLMKNQATCS >KVH93360 pep supercontig:CcrdV1:scaffold_264:15665:61278:1 gene:Ccrd_004588 transcript:KVH93360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSHNMSLIVYAILIAYLHFSYVQNAPQDYVNAHNQARKEVGVGPVMWNAKLAKFAENQANQRKTDCAMQHSRSSQYGENLATGTGEFSGMDAVKLWIKGKANYDYKSNSCVQMRKCGGYTQVVWRKSTLIGCARVKCNKNNWFVTCNYDPSGNNIAYLHFSYAQNAPQDFVNAHNQARKEVGVGPVTWDAKLAKFAENYANQRKTDCAPQHSHSSQYGENLATGTGEFSGMDAVKLWITEKANYDYKSNSCAQMRRCGSYTQVVWRKSTLIGCARVKCNTNGWFVTCNYDPSGNNACLHFSYAQNAPQDFVNAHNQARKEVGVGPVAWDAKLAKFAENHANKRKTDCDPQHSNSSQYGENLATGTGEFSGMDAVKLWIIEKANYDYKSNSCTQMRSMLQLEHNRQLARAKANSSTAREANVVADHHQPNRSGRGPPSSRPLSSRQNQGRSNPRGSNRFNQHGQPSNRSHGQHSNRSPDTSYGSQTAPPWWAMMPPPCPYPTQQGWTYPWYPQPPSILHSHAQNAPQDFVDAHNAARKEVGLGPMKWDATVAQFAQNYANRRKVDCALRHSNTNKYGENIAWGTGELSGVDAVRMWVDEKANYDYKSNTCAIFKMCGHYTQVVWKTSVRLGCARVRLETYSKMGHWQSILLVLALSMAIVHLSHAHSEPDDFVHAHSCIRRLFELPQLCWDAELAKAAEAWANQRRDCKMIPSGRCGENMASGPNINGSFAVQMWVDEKPNYDYKENKCTTNVCGHYTQVVWRNTERVGCARVKCDPPDNPCYMVVCNYDPPGNVAGEHPF >KVH93359 pep supercontig:CcrdV1:scaffold_264:66690:67514:1 gene:Ccrd_004589 transcript:KVH93359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSYDLLNNTTFKKQFFKLVWNIHISPDEFESRWMVVRNLKDSTVFCSCNHIGRHGYLCRHVFKVLLNAGVDTIPEEYILRRWR >KVH93344 pep supercontig:CcrdV1:scaffold_264:69985:83352:-1 gene:Ccrd_004590 transcript:KVH93344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLMTSFFSPKTFFLGDGVNLEAAEIVGAMKFFEPPFAGVGGFKLLGFVSAVGLAKGLPLKLLLVVEFTSEFLQFLVESGEGDLLDAIFAIKQQKCKQKLSRLFLRSKLTEKPCTPLEEETVVPAGGKTSKRKRHNDKNTEKEGDTGRKEKKGEKICAKEAKRVKEKKAQSEKDKRAYSHTHDVEVDNTIRIRSSSKNVKETTAKGKKLKSTTVGGSQRKEKIEKKVVVKSTRKKKSGTMQTIRVRTTPRPLCVAIQSLNDYQKRAVEDMGFGGILKMRTYYIPSKLAFYLVDKLDTCTMELRLGQGTIKLNTKIVHDMLGTPIGGVDIYLTDPAPRKKNIKKSWRSQFAVKRVRISDVMKKVEESDNADFEFQINFLMLFLSTMAECNSCGHCNPAVLNYLTEDIDISSIDWSKYLLYADLTECKKFEVERRWPAITVWNMDYLRRREYLELKDGGFGTWPLIVDDGTEKDKSPDDFVERTTAKKDSLKNKLKEYKDCIRSKMDSILAQKQSIEMLIENAISDFPLDRDLRIMSRELQSMFGQEDISTGDVVNNETQIQLFQTPKRTAKGSKKRMDKESRDMEKSPDSTAGMQIVPGASLVDSPIWNSP >KVH93338 pep supercontig:CcrdV1:scaffold_264:308907:315454:-1 gene:Ccrd_004611 transcript:KVH93338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MESVTAMLGEWNSFSGLQFTEEAYFMAQLLGSFPLPSDSPNVSPFEVPSTSWPQQELTMTIDEVDETSVYLSDDTRSNPHCLLTDGGSILSRTSIDCLKDREKSILSSIPLLSDNTLMKGKERDEILVPQSGKDNKLSASSRKRSCSMADVHDNREKIKCRKSRKLISKGNGTEADHDAVFGQIMKIYGSDDDSNWSQESSTSSRPKETANLNSNGKTKANRGSATDPQSVYARKRRERINERLRILQSLVPNGTKVDISTMLEDAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMEIGLESTIPSPR >KVH93348 pep supercontig:CcrdV1:scaffold_264:99068:99680:-1 gene:Ccrd_004594 transcript:KVH93348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MEKGFNGHFDDDGRIRRTGTLMSASAHIITTVVGSGVLSLSWCFAQLGWITGIVLLVAFAIITWFTCLLLADCYRSPDPVTGTRNYNYMQAVKANLGGVSYRFCGLSQYGTQVGGTIGYTITSAISMA >KVH93355 pep supercontig:CcrdV1:scaffold_264:206073:210645:1 gene:Ccrd_004603 transcript:KVH93355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MRVTHPPVAVAFAATPEEDVFDSLRTAATHLTVGVAGLRKKATGVRPWLLLDSTGEAQVIEAGKHAIMRRTGLPARDLRILDPILSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDELQRRILRHHHATSQEGMIDAHTDWTHLYDLGEPRSREASPPRVDASIPEGGQEVKADGKHSFDKREGPKLLPFEFIALEACLEAACSSLDNEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLNINEGDIEQMDNQQQAEQLFRANTLGRDSRGTRTSTTRSGNSKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGVFGMNINIELFLGDTEPEKEVGMRKFLWTVGGGTTGSIFLYVIAIAWCKKQRLLE >KVH93357 pep supercontig:CcrdV1:scaffold_264:288061:289924:1 gene:Ccrd_004608 transcript:KVH93357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAWECLHKSDKEIWHVGDPPSMLWKLLLRHHIQVGEKPFLLPKISNHKPVSHGKPVIKPAELIKSAR >KVH93354 pep supercontig:CcrdV1:scaffold_264:203758:204124:1 gene:Ccrd_004602 transcript:KVH93354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MVVPYIDTLNWIESLNLSVESDWTPWFVNKQVAGYTMKFSKDEYSLTYATVKLLLTHDDDDDDGGVFLCCEREEVTQLQSTSLKSV >KVH93353 pep supercontig:CcrdV1:scaffold_264:236597:241576:-1 gene:Ccrd_004605 transcript:KVH93353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MTVPKDNIFVLHPVTDQFPGVEYCVNSNPPWAEAVVLAFQHYLVMLGTIIIISSIIVPPMGGSNVEKAYLIQTMLFVAGINTLLQTWFGTRLPVVIGGSFRFIVPMLYIALSQRYSFYFEPHIRFRQTMRGMQGALMISSILPILFGFLGIWRIVARFLSPLSAVPMVTLLGLGLYAQGFPLLAECVEIGLPELIMLVLFSQYVPYWWKSKWLDRFAVIISIATVWAYASLLTAAGAYKTRPPNTQFSCRVDRSGLVSGASWIKFPYPLQWGRPTIHAGDAFVMLAAAFVSLIESTGTFIAAARYGSATHVPSSVLSRGAAWLGIGILMDGLWGTGTGSTASVENVGLLAVTKVGSRRVVQMSAGFMFFFSILGKFGAIVASIPLPIIGALYCVLFAYMSSAGLGLLQYCNLNSYRTKFILGFSLFMGLSVPQYFNGYVVTTGQGPVRSRSTWVHTNIITAFI >KVH93349 pep supercontig:CcrdV1:scaffold_264:118005:124587:1 gene:Ccrd_004595 transcript:KVH93349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MHKQEPFFLEKGSEANQEFDDDGRPRRTGTWMSASAHIITAVVGSGVLSLTWCLGQLGWILGTLLLLVFAVISWFTCILLTNCYRSPDATRNYNYMQAVKANLAIEIILSQIPNFHKLSFLSIVATVMSFAYSTIGVGLSIAKIAGHTEIESSGEESHENGNHHWDFSFDIVLHAMWATRIRSIRQRRTWELLDWLRFLRAFLARRH >KVH93358 pep supercontig:CcrdV1:scaffold_264:297098:301791:1 gene:Ccrd_004609 transcript:KVH93358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRETRDSDSRHHRSRFDREPSFAICWCNSFKWSLVHVLLLFPASPKRVRRDGKTATERPPSNLNLDSAEHIDRDQKHHRRVQDSLPLEAPPVSDPKIETVPLSKESDKKSNGYREGTKNSSDKIEAPRSRSLFQHDERAGRSFRHRETAERDWWKDSKDRQSGRTTNRSSNNDDTKPRDEKTRGQGVDHRAWRYDGSYKTEPDLKQPSKKRPSFRETKIPIDAGTDDKSAPEVAKPSAVGSERKEERGKPPEKPPVDRRLSGERDPQQRMKFQSRDRYGGGGGGFGGSNRGRDRFNGRQGQSGGRVEKWKHDLYDEANKSPTSKNEEDQIAKVEALLAS >KVH93350 pep supercontig:CcrdV1:scaffold_264:254299:267111:1 gene:Ccrd_004606 transcript:KVH93350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat-binding factor 1 [Source:Projected from Arabidopsis thaliana (AT1G49950) UniProtKB/Swiss-Prot;Acc:Q8VWK4] MGAPKQKWTSEEEAALKAGVLKHGAGKWRTILKDPEFSSVLYLRSNVDLKDKWRNMSVMANGWGSREKARLALKRVQHVPKDDNPLALATVDQSDEDSGDARPLPSSSGSPQIGGSKRSMIRLDNLIMEAINNLKEPGGSNKTTIGTYIEEQYWAPPNFKRLLSMKLKFLTANGKLVKTKRKYRIASANALSDKKKIPTMLRLEGRQKVGSPKVDKDEISVPTKAQIDLELQKMRSMTPQEAAAAAAQAVAEAEAAIAEAEEAAREAEAAEADAEAAQAFAEAAMKTLKGRTTQRLMIRT >KVH93343 pep supercontig:CcrdV1:scaffold_264:97033:97660:-1 gene:Ccrd_004593 transcript:KVH93343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MLCGALGYAAFGNDASGNYLTGFGFYEPFWLVDIGNLCVVVHLLGAYQVIIQPFFAFVESWSHSKWPESRFITKEYNFIGIYRINLFRLSWRTVYVILATLVAMIFPFFNSFLGLIGAGTYWPMTVYFPIEMHISKAKIERNSFTWIWLKILSFTCLIVAVLAAAGSIRGLVVSVSTFKLFHSVS >KVH93356 pep supercontig:CcrdV1:scaffold_264:174401:191756:-1 gene:Ccrd_004600 transcript:KVH93356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate decarboxylase-like domain-containing protein MAQTTTEGASEIVEGVRFSFMTDEEVRKLSVLKITNPILLDSVGRPMPGGLYDPLLGPMDEKAPCKSCRQQSFDCPGHCGHIDLVSPVYNPLLFDMLFNLLRRTCFFCHHFRVGKEEVDICTSKLKKIAKGDVAGAKNFVSNSDSKDIDLEDSDGSHVSSSTLFSGSQSDSSEQTQQEFWTSIQDIEAKSVLKEFLANDSKSCKYCEAKNPKISKPTFGSFRMDMTVADAEKNYIRGYQLGGIRYEEELQGGEEDKTSEVINVNEQSEDDRADTAGTNSFSATSSNRRNVKKKSSKVSTDFKELKNNYSGSLLPSRVINIIKELWENEADLCSYICDIQQERLTRSSKSTSYSMFFLEALLVPPTKFRPAAVAGDSVMENPQTVLLGKVVQSNIALGNAHINKLEGSKIADRWRDLQQSVNVLFDSKTATSQNLKNANTGICQLLEKKEGIFRQKMMGKRVNFACRSVISPDPYLAVNEIGVPPYFALRLTYPERVTPWNVAKLREAIINGSDVHPGATHFADKVSTVRLLRDKYKRISVSRKLPSSRGVAIQSKNGCNYEFEGKVVYRHLQDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSSYNADFDGDEMNVHLPQDEISRAEAYNIVNANNQYIVPTRGDTVRGLIQDHIVSSVLLTMKDTFLSREMFHQLLYASGVFAGGSSRRGKISIADKGCFVEHVLPAVWKPKPLWTGKQVVITAILNHLTRGYTPCIVDNKVKIPEQYFLGKEAKQDKSKTNKRRKKEHAESEREAQKVDLKEVDETRLLIWKNELVCGVIDKAQFGKYGLVHTVQELYGSDVAGLLLGAFSRLFTNFLQFHGFTCGLDDLMVSPDCDKEMKLELEGEDVGEKVHRKFVNLENQRTGTRELQLETEKIIRSNGVTATASLDNLMQTELRDKGSMISKKWLPKGLLKPFPQNCVSLMTISGAKGSSVNFQQISFLLGQQELEGKRVPRMVSGKTLPSFSPWDFTSRAGGYIIDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLESLKVSYDYTVRDADGSIVQFCYGEDGVDVHQTSFLNNVEVLRANREIVCXKLSEPLEFNWYIRELPKGLEDKVQSSRQKVKKENRDDLLMLLRQKYLSSLAQPGEPVGVIAGQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTAAANIKTPIITCPLLEGRSMEDAERLVEKVKKLTVAELMQSIEVSKLPLVIHNNRPCRIYKLMVKLKQPKHVSLKNCQQTLRNVFLRALEDAIERHEAPSELESEADQANAGTGIGTDDETDGERPAEEQEKEEEKDADKANPKSEEFVERKFDRAIFVEVKNLNLEVHFRFTNEPEILLAEVAQKAAKKVYVKGSGKLDQCQPVKYSVNVKQVCWNQNHPELNKLKEKMKNSENKKKEDEGCLPCALQASGVELPAFWDMEEDLDVDHVYSNNIYTMLNTYGVEAARTSIILEMKNVFGSYGVEIDYRHLSLIADHMTHSGGYRPMSRFGSISESISPFLKMSFETASKFIVEAATHGLKDNLETPTARICLGLPVKVGTGSMEVMQKLDM >KVH93341 pep supercontig:CcrdV1:scaffold_264:172611:173114:1 gene:Ccrd_004599 transcript:KVH93341 gene_biotype:protein_coding transcript_biotype:protein_coding description:EVE domain-containing protein MVNLQKLKMGKSKRDEKQYWLLKTEPGEWSWEDQASNGGLSKWDGVKNHQALKNLKSARMHDSCFFYHSGTKSRRVVGVVTVVREWYEDDVTGGGGAVDVKAVGEMRRPVDLKEMKGELKNNKEFGLFRQPRLSVVRVPADVWHKICELGNGYEGDGVCVSEDDEQV >KVH93340 pep supercontig:CcrdV1:scaffold_264:164451:164971:1 gene:Ccrd_004598 transcript:KVH93340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine MIAILLLSMLSCTVARPIPTFNDVTPIETHHTEEEVAKAKAQEKCSGPGEEECLMRRTLAAHLDYIYTQNTKP >KVH93352 pep supercontig:CcrdV1:scaffold_264:229182:230502:-1 gene:Ccrd_004604 transcript:KVH93352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein IFLSAAVNPPIQDVIIDSLIAAEPLRSPLPDYLLRSLHLIHRQDSINWILNAHAHYHFQPITAILSVNYFDRFLSSAAAFFPESNGWASQLLSVACLSLAAKMEEPEVPLLLDLQVSEPRFVFEPKTVQRMELCVMAKLNWRLRSITPFDFLHYFIFKLPPSSHTNQLQFHSKCSDLIINTIRGKPKTRPDPMVIDFLKFRPSVIAAAAVISAAGEGVDVPENYYEKVNKEMVRSCHQLMEEYLVDTCPSADHKVRKQWRSEQPRLSPDGVLDAATCVSCDTHSDNPPPSSGDHVSQPKRLRSNVQEDQP >KVH93346 pep supercontig:CcrdV1:scaffold_264:128726:140415:-1 gene:Ccrd_004596 transcript:KVH93346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MGSSETVLLDFWPSMFGMRVRIALAEKGVEYEYKEQDLANKSSLLLEMNPIHKKIPVLIHNGKPVLESNIIVQYIDETWKDKSPLLPSDPYLRAQARFWADYVDKKGEEQERGKKEFIEILKVLEGQIGDKPYFMGESFGYADIALVPFYCWFYTFETLGNFSIEKECPKLIAWAKRVRIALAEKGVEYEYIQEDIPNKSPLLLKHNPIHKLIPVLIHNGKSICESKIILQYIDETWRDKAPLLPSDPYLKSQATFWADYIDKKIYEGAKRIWSSKGEEMQKGGEELVGYLKVLEGELGEKPYFMGESFGYVDIVLISYYHHFYAYEILGKFSVKKECPKLIDWATKCMERESVSKTLADPNKIYEATMGYRKNLGLEP >KVH93351 pep supercontig:CcrdV1:scaffold_264:270106:271185:-1 gene:Ccrd_004607 transcript:KVH93351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MVGFGGTNEKCAVCEKTVYLVDRLVADHRTYHKACFRCHHCNRTLKLSNYNSFDGVVYCRPHFDQLFKRTGSLEKSFEGTPKFKVEKPVNAELYCKHHHIQLFRKKGNYSQLEDDEAPESSSAS >KVH93342 pep supercontig:CcrdV1:scaffold_264:90630:93614:1 gene:Ccrd_004592 transcript:KVH93342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MAADLPLISPWLDSKTSAFGFGFGFGVPVWPMKLAFNEKKLNGLVARAVLQVGSVVNGEHKGLGFDRVKETELMENGFLGMRKTKMVCTVGPSSCSYEELEKLALGGMNVARLNMCHNTKDWHQDVIRKIKKLNQEKGFCVSVMIDTEGRQIHVVDHGASSSVKAEDGSIWFFTTEKLEGSRSFTVQTSYEGFNEGFLTNLASVSFLLLIEFQCRITEGDELVVDGGMATFQVIERIGNDLRCSCTDPGLLLPRAKLSLWRNGKLVDKHHELPTLSAKDWSDIEFGTSEGVDFIAVSFVNDAAAIKHLKDHLLAKNKGDLGVEIPLEQVPTVQEEIIDLCRRLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQCADALMLSGESAMGSYGLKAISVLRMASTRMELWSREVNQVQKFLPPLGVSLPDRIAEQICNSNKLEVDAIFVYTKHGEMASLLSRNRPNPPIFAFTNENSTRMALNLQRGVVPIAFDLSDDMDANISRTTDLMKAKGMVNAGDAILVVSDVIPACVTPAAYQSLKVIVIE >KVH91596 pep supercontig:CcrdV1:scaffold_2640:4631:17156:-1 gene:Ccrd_006381 transcript:KVH91596 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC-kinase, C-terminal MDFAKRWCSKLLPKDKPKSSQKREVKSNGKEGLRVTNEEAPSNATKQKVEAAKQYIEKHYKEQMKNLNERRERRNVLEKKLADSEVSEEEQNNLLKHLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKTTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKFGHMKLSDFGLCKPLDCTNLQEKDFSGANNLSGALQSDGRPAVPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWFVSLALWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEARLSPEAKDLICKLLCNVEKRLGTKGAHEIKAHSWFKGIEWEKLYQIEAAFIPEVNDELDTQNFEKFEEGDNQIPSSAKSGPWRKMLSSKDVNFMGYTYKNFEIVNEHEVPGIAELKKKSSKPKRPTVKSLFKEETDSTSSQQTQGSFLNLLPRQLEVSKKDEST >KVI01137 pep supercontig:CcrdV1:scaffold_2641:17747:21043:-1 gene:Ccrd_020596 transcript:KVI01137 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MGLASQEAINQFKALMDQVDEPLKKTFKLTKHCLLSLQNVHQGYIVETLERFLKAREGNVAKAHKMLVDSLQWRLQNGIDDILAKPIIPANFYRGVRDSQLIGVSGYTREGLPVFAIGAGLSTFDKASIHYYVQSHIQINEYRDRVILPAATKKNGRYIGKCVKVLDMSGLKLSALNQIKLLTTISTIDDLNYPEKTITYYIVNVPYVFSACWKVVKPLLQERTKLKVQVLQGGGRDELLKIMDYPSLPHFCRREGSGSGSGSGSSRHSDGGCYSLDHPFHQELYSYMKQQSGCREPIEPAKQGSVHVDVALGKPEEAELCKTLESELKKLRNQKSLSGSLKNMKISD >KVI01134 pep supercontig:CcrdV1:scaffold_2641:34728:38464:-1 gene:Ccrd_020598 transcript:KVI01134 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase, eukaryotic MGDQKLTPPCHHLSPTNVRDLFDSLDAFLFDCDGVIWKGDTLIDGVPQTLEMLRSKGKKLVFVTNNSTKSRRQYAQKFQSLGISVTEEEIFSSSFAAAMYLKVNKFPPEKKVYVIGGEGILEELKLAGFTGIGGPEDAKKIVQLKANTLFEHDKSVGAVVVGLDQYINYYKLQYGTLCIRENPGCIFIATNRDATGNMTDLQEWPGAGCMVAAICGSTEKEPIVVGKPSTFLMDFLQKKYNIPTSKMCMVGDRLDTDILFGQNAGCTTLLVLSGVTSQSTLQDPSNQIQPDYYTNQISDILDLMKS >KVI01136 pep supercontig:CcrdV1:scaffold_2641:2718:17467:1 gene:Ccrd_020595 transcript:KVI01136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical FEKSPPSFFSQAFYGDLKTKVTTQQHNSQQQTMADKIQNQLKEVGSKLENPPANKDALIKLLKQAAASLSELEQSPSKSVLESMQPFINAIVKPELLKHHDKEVKLLVATCTCEITRVTAPEAPYDDDVLKDIFHLIVSTFSGLRDTKGPSFGRRVTILDTVAKYRSCIVMLDLECDDLVNEMFRTFFAVASDEHSETVITSMKTIMVVLLEESEDINEDLLLIILSVLGRDKKGITTAARRLAMNVIGQCAGKLEPCIKQFIVQSMSGESSPLISQIDYHEVIYDVYRCAPQALAGIVPYLIGELLTDKIDLRLKAVKLVGDLFSIPGSSIPETFQPIFFEFLKRLTDRVVEVRMLVLEHVKLCMLSNPSRSEAPQLIAALCDRLLDYDESIRQKVVAVVSDIACHELSSISAETIKLVAERLRDRSLPVKKYTMERLSDIYRTCCLKHMASLPLNDDYDWIPGRLLRCFYDKDFRYVYVFLVVGQIEVAYSLILQLSDTVEHILCTSLFPAEFSVRDKVRNWVRLFSKFDKVEVKALEKILEQKQRLQLELQKYLSLKQMYKDTDPSELQKKVALCFRFMSHCFTDPTKAEADFQLLHHLKDANVWKILTLLLDPNTSSLQTWRSRDELLKIVGQKHPLYGFLSTLSMKCSYILFNKDHVKDILLEADLQKSSRNRLLTQSCMNILVKAIYSMLGSYASKFLFATLSTHMCILQFEGRAYYILKAILMFFHCKCYTVLSFPSGSYNLHINMIYFQILASFSPLLLCGTEKELVYLLEDDNEVIKEGVLHVLAKAGGTIREQLGESSSTLDLILERICVEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEKKTHLPSVLQSLGCIAQTAMPVFETQESKIEGFIRKDILGCSEIFGIKTLINSYLPIKDAHLRLGIDKLIKDLQHILSFGEISKDIISSSVDKAHLKLASAKAILRLSKHWDKNIPVDVFYLTLRTSEVGFPEVKKLFLNKVHQYIKDRSLDPKYACAFLLGLGSQQSVQEEKSEDHHNLSDIIQMCQQGKARQLSVQSDGNSLVVHPEYILPYLIHALAHHPSCPNIDECKDVKAYESIYRKLYLFLSMLALGEEDGKPGNSLKKEEVISVVSIIQSIRSSEDAVDTNMSKNSYAICDLCFSITKRLLQKQEDLKEAVVHVPLPEVLYKPHKKKEEKKEEEKVEKKEEEEKVEEKEERKAEEEKVEEEEERKAEEEKVEKEEKKAEEEKVEKEEKKAEEERVEEKEENKAEKEKAEEEKEEKENEEGITDVSSLSLHTTDIRSSMMELIIQAYQSLDASKVTEGRTWLADASAFAHFESLNMDANDNVPSKIIEDDMLKDSETDGNEVPLGKMLKRLKAKGAKERKAVKNESTPAAAENDSNVDILGLVREMDLDNSGVLTKFDSINGHEKAKVDEKRKRKRLPKEPTNVSVPKRQRSSSAKSHKRSSFPRNGSKGLSAFDNIKMNDEPHSDSENKLFLGEHIEPVPADLEGGSADRGHIQTEEHGNTDGSNLEKPKKYMDTDGNQKSGSVKKRKRRSIAGLAKGPNTLQVMIYLVFCITLTVSTICHMRFYEGLVKSYDHQKRRHVVLYNDGDVEVLRLDKERWELVGETSKPTKRNRLSKSPRPKGGSSKKNTKSLDNAKEKYEEFTDIPPSSMVRGKRTPRKNLKQRRKGVFQRTLEYLEAERKEDPDMTEPEPESEPEHEHATFSKVDNLDTEEEASDREGGNSAGEQESDEEKQNKHAKHSFSDSDSSKEIEPDSGQKETENVERSGSDDEEEVKESPSATRENSTEEANRSSQATDHDRKSSEDSDEAEFSDDMPLGVWKTQVRKADESK >KVI01135 pep supercontig:CcrdV1:scaffold_2641:61328:73859:1 gene:Ccrd_020599 transcript:KVI01135 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MKLLVRVIEAKNIPAMDPNGSSDPYVKLKVGHQRCKTKVVKKCLNPSWCEEFSFKVEDLKEQLVVSVLNDDKYFNDDFIGSVKIPISRVFDTPDKSLGTVWYPLQPKKKSKIKDCGEVLITICFSQNKPQSDLQPQVENGTISPARSSTSSRMPSPMRSEEAAPIKEEKSNKEKISSLLSQIFNRNSDSVQPAASNKITDLPELPETDDSEVSEDKVEEQSSSANFEELMKSMEEKDQSSEMPGNLPGGIMLDQMYVIAPSELNSLLFSSDSNHLRQVAEIQGSTDLQVGPWKFDPESGSLKRVVTYVKAASRLIKAIKAIEDQTYLKADGKCYAVLASVNTPEAPYASNYRVEVLMCISPGPELPSGEQSSHLVVSWRMNFLHNTMMKGMIEGGARQGVKESFEQVATLLAEKLKVLDMKDVGSEKEQALASLQVEKQSDWRLAVQYFANFTVISTILMGLYVLVHLCLAMPSTIQGLEFGGLDLPDSIGEVVVCGVLVLQGERVMHLISRFMQARVQKGKSCLLIIYCCGDHGIKAQGDGWLLTVALVEGSNLPSIDLNGLSDPYVVFTCNGKTRTSSIKFQKSDPRWNEIFEFDAMDDPPSTLDVEVYDFDGPFDEAVSLGRTRINFVKTNISDLGDVWVPLQGKLAQACQSKLHLRIFLNNTRGSNIIKEYLTKMEKEVGKKIRLRSPQTNSAFQKLFKLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHGDLFGHKTNFFFLWEDIEDIQVVPPTLSSMGSPIVVITLHPGRGLDAKHGAKTEDAEGRLKFHFQSFVSFSVAHRTIMALWRARALSPEQKAQIADEESDDKSLQMLEEESTSIKSDDDSENKSLQSEESGSFLGLEDVSLSIVYSSALSVPLNFVMELFSGSELERRAMERAHCVNYSTSPWEFEKADVYQRQTYYKFDISVSRYGGEVTTSQQKSRLTDRNGWLVEEVTTLHGVPLGDYFTLHTKYQIEDQASRSMGCKVVVYFGIAWLKSTKHKKKITKNIHMNLQDRMMIMFSTIEKEFVSGKKQMMQSEAT >KVI01138 pep supercontig:CcrdV1:scaffold_2641:30098:31745:-1 gene:Ccrd_020597 transcript:KVI01138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MASKTHVAKDNLGAQVASELLITKRESAVDQEKVTSLSVKNSSVSGKMSDGNSSITKTSASASANVDFVESGKSSSSSSDASDESSCTSFHSSRMNKPHNKSNDIRWEAIQSKDGSLGLTHFRLLKRLGCGDIGSVYLADLTGTKAYFAIKVMDKTSLAGRKKLIRAQTERQILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGVSPLPELMAEPTSARSMSFVGTHEYLAPEIIKGDGHGSAVDWWTFGIFIYELLFGRTPFKGSSNRATLCNVVSQPLSFPESPAVSFSAKDLMKGLLVKEPGQRLAYKRGATEIKQHPFFQGINWALIRCATPPHVPNPAEFQRHAVLAVTSTSEMTNLPPKEAPNPNPNPNPNQRSCNKNYLDFDFF >KVH96713 pep supercontig:CcrdV1:scaffold_2642:55261:55539:-1 gene:Ccrd_001196 transcript:KVH96713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MTVGNWIEIATPDDQTVIEVGQFAVDEHNKDTNSSLTFERVVMGDMQIVGGMNWRLTIEVEDVGSLKNCDIFVYEESSQNVRKLISFEILGQ >KVH96712 pep supercontig:CcrdV1:scaffold_2642:65057:74028:-1 gene:Ccrd_001197 transcript:KVH96712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MMLGIKSTRCTRATGVCFSDYGQKTVSNWLEIASAYDPTVIEVGQFAVDEHNKDTNSSLTFERVIMGYMEIVGGMNWRLTIEVEDVGSLKNCDIFVYEQSGQNVRKLISFEIL >KVH87703 pep supercontig:CcrdV1:scaffold_2645:10613:12288:1 gene:Ccrd_025011 transcript:KVH87703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KVI06739 pep supercontig:CcrdV1:scaffold_2647:38737:49337:-1 gene:Ccrd_014907 transcript:KVI06739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MGKAVNFMLKTQLEDGCWGESYRSCIEKKYVPLEGGQSNLVQTEWAMMGLIHTQQRMGVEDEQLDRRRQTGKDLPLSRNISNWAQELRNLKKAAPGCFEIHQQPGSRRASLPCEMISIVDFNTPSIAEAPTSIEDVVIVFTRSPKLHKPSPPPMPPTSAKEVKFKS >KVI06738 pep supercontig:CcrdV1:scaffold_2647:24123:53606:1 gene:Ccrd_014906 transcript:KVI06738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3594 MQEKNWLSLVAVHSDVWLLSVAFYFGATFGFDKADRGWWHWWWRWFVELWRSGEDYNDYMGISTRNIPSRYSGSREPFSLGVTVFDRGRSFSDRXSVEIDYGYHLTRREPCSLGVTVFDRGRSFSDRWSVEIDNGYHLTRYINHFSMCLYVEYKNSGIDVQCQSRILKRRLNERIVKLSGGVAVIQVEAQTETARGSCTDIYWSEVGSCCLEDPSQVKRQLGPIGDDAVVRRLWKATRDGDVRRPREAATRGGDERRRQAVATTDLLEEGDVRPAGRRRPTIVGLGERFEDRRL >KVH89358 pep supercontig:CcrdV1:scaffold_2648:2194:5085:1 gene:Ccrd_008651 transcript:KVH89358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSLWCSARPAQPIKLGFHQNPSCSIGSSSLNHYTCRFKNAAKLLTGRRLSLVHASNKSSEEPVEAISGGSTSTAQGPPFLTILAGIVVFALVLWLFGSLISWLIGLFGLIFSK >KVH89359 pep supercontig:CcrdV1:scaffold_2648:5140:21122:-1 gene:Ccrd_008652 transcript:KVH89359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIAWNGSGELSSIFNGIVFKKVLSIFITAAILKLAQALSAAAWVVVLPITYSYSWNNLSGVGETIKNWFGNGPSSPSLYILAVVIYLSPNILSALLFLLPFIRRNLEKSDYKIVRFMMWWSQLSLYVGRGMHEDPVSLVKYDFRFMDVHYILGSPHNGKVSFQLLLRVYAVHISQHTSLLQCLAYILQIKPLVGPTKAIIRLHIRRYEWHEFFPQAKSNIGIVITLWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPSAFNDCLIPAENSDRSKKGLKATLSRRSAKVPTNKEKEAARFAQMWNKIITSFREEDLISNREMDLLLMPYWANLDLDLIQWPPFLLASKLPIALDMAKDSNGKDRERELQKRLNADSYMLCAVQECYASCKNILNYLVLGNREKDVMTEIFNKVDHHILEGDLISVLNMNALPSLTDHFIQLIEFLIKNEASDKDDVVIVLLNMLEVVTRDLIDDPITSLLEPSDGGSYEGIIQQDKHYQKSFAALNFPVTEETEAWREKIRRLHLLLTEKESAMDVPSNLEARRRISFFSNSLFMDMPVAPKVRNMISFSVLTPYYNEDVLFSVDTLEKPNEDGVSILFYLQKIYPDEWNNFLERVGHSNEDELKGSPESIEQLRLWASYRGQTLTKTVRGMMYYRQALELQAFLDMAKDDELMKGYKAAESNTEEYLRNERSLLAQCQAVADMKFTYVVSCQQFGIQKRAGDAFAQDILRLMRTYPSLRVAHIDEVEETSDDKSKTQVEVSYYSVLVKVVPKSTDASDPFQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLIKHGVRTPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFERLFHLTRGGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTMSRDVYRLGHRFDFFRMLSCYFTTVGFYFNTLLTVLIVYVFLYGRLYLVLSGLERELSTNREVAKNKPLQVALASQSFVQIGFLMALPMMMEIGLERGFRRAFTDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLVVYEIFGESYRSSVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWNDWSKWISNRGGIGVSADKSWESWWEKEQEHLVSAGLRGTIMEILLALRFFIYQFGLVYHLNITNSKSFLVYAISWLVIFAGLLVMKVMSYCRKSLSNDYQLAFRLIKGLIFVTFLSILITLIALPQMTPKDIIVCVLALMPTGWGMLLIAQALKPFLRRTGLWAFVRTLAQYYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGGQKKERSSRNKD >KVH89360 pep supercontig:CcrdV1:scaffold_2648:23754:43897:-1 gene:Ccrd_008654 transcript:KVH89360 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MAYNRRGSDQHQPPPGRLLRTQTAGSLGMDSMMDSEVVPSSLAQIAPILRVANEIEPINPRVAYLCRFYAFEKAHKLDPTSSGRGVRQFKTLLLQRLEKEDKATFAGRTKSDAREMQSFYRHYFGKYIHAWQKADKADRTRLTKAYQTAAILFEVLKAVNLTESVEVADEILEAHTKVAEKTEMYVPYNILPLDPDSSNQAIMRYPEIQASVSALRNTRGLPWPKGYKKKEDGDILDWLQAMFGFQKDNVSNQREHLILLLANVHIRQFPKPDQQPKLDDCAVTEVMKKLFKNYKKWCKFLGRKITTQFLKPNLLTNKLPNIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHFKVSQRTIFSTLFLPNLTYHLWVYYLSISFININLPGSMAFDNIDFFAKNLTQTFAVLYMFDHFIFMFMCVFP >KVH87702 pep supercontig:CcrdV1:scaffold_2649:41143:68257:1 gene:Ccrd_025014 transcript:KVH87702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKLGKFILEGVFQQLEIDYVIGESHKELIPTSAGSAAIIRIFGVTKEGNSVCCNVHGFEPYFYISCPPGMGPDDISRFHQILEGRMREVNRNNKVPKFILRIELVQKKSIMYYQQHSSQPFLKIIVALPTMVSSCRGILDKGIQIDGLGMKSFMTYESNVLFVLRFMIDCKIVGGNWIEVPAHKYKKTARNLSYSQLEFDCLHVPEGEFSKMAPFRILSFDIECAGRKGLFPEPTHDPVIQIANLVTLQGEEQPFVRNVMTLKSCSPIVGVDVMSFDTEREVLLAWRDFIREIDPDIIIGYNICKFDLPYLIQRAETLGITEFPLLGRIRNSRVRVKDTTFSSRQYGTRESKEVTLEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLNEQKEDVHHSIISDLQNGNPETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNVKQAGSEQGTYEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNLPPECINKTPSGETFVKTNLQKGILPEILEELLAARKRAKADLKDDVYAQAVIETEAKDPLVKAVLDGRQLALKVSANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKRLVEDKFTTLAGYEHNAELAAIAAKLESIDPLKADIAAQREEIAALKVIYGDTDSVMVQFGVPTVDEAMNLGREAADFISGTFIKPIKLEFEKVYFPYLLISKKRYAGLYWTNPKSFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQFVKNTISDLLMNRMDLSLLVITKGLTKTGEDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASRELLHGSHTRAISISTPSNSGIMKFAKKQLSCVGCKALISNKDHTLCSHCKGREAELYCKTVANVSELEKLFGKLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKVQLERWNF >KVH87700 pep supercontig:CcrdV1:scaffold_2649:28555:35348:1 gene:Ccrd_025012 transcript:KVH87700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDIAEVGKLNCEREDGKTGGRKIRAVLQEGGVKGCHTLERDVNIAWKLHLENLEDNHILRRDLKYNRRSQSVQLRKLDHSVAQAMRVASSPQEWRERGKKLYSENNFVMATMCFERAGDRMWEKLAKALGLRTFAEQMRGMNFEAASSYLREPTTMFESIEKFEPAAS >KVH87701 pep supercontig:CcrdV1:scaffold_2649:36153:36572:1 gene:Ccrd_025013 transcript:KVH87701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITLFSPPIFTLNFEPATPHSLVSTTMNKGNGRKRPAQQPPVPPQPPKHQATEVAIEEEDMDEDVFLEENLVDEDELILRDMEDREALATRLEKWKRPVLSTGYQSQSEKIGNFTTVAVASHKFLGFCDSLGFKQIV >KVI04971 pep supercontig:CcrdV1:scaffold_265:28170:34581:1 gene:Ccrd_016716 transcript:KVI04971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 MNKDADEENGEEKKKRKRKHVEADEAKAETPSKKKKKKTKTVVAEMEAQFFRFLKIVGVGFKARAESEGRLLFLKLGYSHEVELSVPPAVRVFCFKPNIVCCTGIDKQRVHQFAAAVRSCKPPEVYKGKGIMYVDEVIKKKQGKKSK >KVI04966 pep supercontig:CcrdV1:scaffold_265:71830:74453:1 gene:Ccrd_016713 transcript:KVI04966 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MMATEQNKPSVIAKLMGLDEVPSKQQPVYRHIRVFSENYLQKSASIVSRQKRSSKSRQKSRQILKKANATINDSSKDVSLRYVEQKRDSFDGKQYLKSTIVDHQNNAQNGKLGKGIEERNGSPANVPRSNIGNVKRGSKPSFLLDSDTTFAWEFKKQLLERSKRTKICQEIWSSRRVNNLVEGPSLPDFESKQRSKLGTQVVICKTDGWKDKSMTKLPLLKRFNFSSIPNSKLKKMSKGDFSSSSGRHNHNNLSTNSEDVEFSSSDAMIKQISEQIACNDSAKEGCFPCSLDVSFEQDSSFEDGFTTFNCIDIDDGLPKSTGEAYQPSPNSVLEPDNSSISDGDAVSTDLHGLWMKLQILKSESEENQSKPEMVTLSDEDATERSSLGVGQNTKPIRSFGPKGSREFSYLVDVLNDSGFLGSKMEVNYVRSECMMSTLLFETLEKNYGKQESWSKADRQLLFDRINSGLSEIFRPQLDLMICSKPLRRKMVTILSRDIVEEELWNLLLGQEKEVNGNLSEKAVGKEPWLELVDEVGSIVGEIEAFLFDKLATELVVI >KVI04981 pep supercontig:CcrdV1:scaffold_265:322539:325079:-1 gene:Ccrd_016691 transcript:KVI04981 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPRIVAENVVDSMGSFYERGQVAASRSLGELLKYVGDIRKEANSEETPAHRVLEMSETSSEPRSLPFVLKFDNLTYSVKLKRKMAVPALFGQRERLGGSSMDPVGRENMFSRSKVLLNDISGQARDGELMAVLGASGSGKSTLIDALANRIAKGSLKGTVTLNGEQLESRLLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRTFSKSKKKLRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSVHQPSYRLLGLLDRLLFLSRGQTVYNGSPSDLPLFFSDFGHPIPDKENRTEFALDLIRELEGTPGGTRSLVEFNKTWQNLKRSHNTTTTGNETPTHGLTLKEAISASISRGKLVSGAGANNGNNPTSMVPTFANPMWMEMAVLSKRSFTNSRRMPELFGIRLAAVTVTGFILATVFWNLDSSPRGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHSLVAIPSLIFLSLAFAAITFWAVGLAGGVSGFLFYFFVILASFWAGSSFVTFLSGIVPHVMLGYTIVVAILAYFLLFSGFFITRDRIPGYWIWFHYMSLVKYPYEAVLQNEFDDPVKCFVRGTQIFDNSPLAAVDNAVKDPAAKIVEENMLESIESGLKWLHTGTG >KVI04972 pep supercontig:CcrdV1:scaffold_265:53454:54846:1 gene:Ccrd_016715 transcript:KVI04972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP01589, plant MADSSSSYIHMVQHLIEKCLIFGMSKEECMEALCKHANIKSVITSTDTSLRCLQNDPIALSSL >KVI04957 pep supercontig:CcrdV1:scaffold_265:229636:238571:1 gene:Ccrd_016700 transcript:KVI04957 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MSEYGFKKAVSLDEYASYFNSIDPLSPHKTWTTKQPCDEHIKFSESVPRFDVTSERVKAAFVVSDPVDWGRDIQVLCDILRSGGLPGERSQHQPHMYFAADDLEYQAAFPSERLGMGAFRIALESIFNRIHHRPLEYTSFGKPNTFVFENAESILKQLQSPASDDDLNEDYKDMKSHHFETLYMIGDNPLVDVKGARQAGHPWFSILTRTGVFRGKENHTDYPADHVVDTVEEAMEYILQREGYA >KVI04978 pep supercontig:CcrdV1:scaffold_265:286490:290872:-1 gene:Ccrd_016695 transcript:KVI04978 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MDRPASFLDEALSLDDGDSNNLQPWIGRVVLVEDCVETNGAFVLHHLIKRFLSPNLSSSDSIVIFVAFAQPFSHYDRILLPLGDRQGEGVPSKEAKRDIGDMDWRDLVTGLELAWVGGLGFAELGCNLVVQRENKRLIFFDMLMLECPDDGVEDGIIALYGNIQKAVEAVSSLNKNITIMIDDISLLEVAANGSTKDVLDFMHYCHTLTTQFACTIITVIHEDIYSSADHFTLPLQMEHLADIKIKAEPLVTGLAADVHGQLTILNKGSSDGLGRWKSKIRNFHYRVKENSVEYFYPGGRT >KVI04967 pep supercontig:CcrdV1:scaffold_265:78244:79458:-1 gene:Ccrd_016712 transcript:KVI04967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAISTSAIFKTTICILFLTRSVQSSYGTRILIDTTNDLPPALDDPLPTNQPGTTGSIGEIDTPHEDPTASLPSGQIPATVAPAPVVSPAVPLPATPIIKTPATNVAPVAGATQAGGTGAIGATPAGGAGAIGATPAGGAGAAGATKAGGSGAGVGAVATGGGAVAAGAGHPTLSFFMHDVLGGSQSTSRVVTGIVASSSANVVPFSTPNSQVFPITGGVPLNDINGIVNNNNLPYLAGFNGNNPNNPNANTVLQNTGNNNVGNGGNNLPFVAAGQLPAGITLQQLMFGSITVIDNEITEGHELGTGVLGRGQGFYLSSSLDGSSHTFALTTLFHGSDHEVEDTISFFGVHRTASEVSHIAVIGGTGKYEEAKGYATIESLPQVDEHTTDGVETIVHVNIYLTES >KVI04980 pep supercontig:CcrdV1:scaffold_265:300919:304375:-1 gene:Ccrd_016693 transcript:KVI04980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RDM1 MKRTMPFALDISSDDDDEPSPSNGTKNLAGGKRPKSETAIINPAKKVETEEYMQQIPIPAQRGTVIPFATWKDLATSMKQLYQQPLHYLTNIRMKEWDEMASDEGAAALDTIIHPCKAETNVWLMEEVHRLTSSYQFLAKLWLADPKYHASIDKVFPQL >KVI04964 pep supercontig:CcrdV1:scaffold_265:293254:299125:1 gene:Ccrd_016694 transcript:KVI04964 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-alcohol phosphatidyltransferase MPFNLLKLTSISSSLHLHHRRQYWWLGYAIATGRSRTPPAMCSSWWRTTTCATPVYDNNSNDNNSNNRLGFRGQNNLRYSSKDKGGKPFNGGGRVSMGSVNNDNRDDKWTTENDRGAAVMSTLSSDNHRNLKSPASKQNTSTKFLTLPTILTIGRVAAIPVLVSTFYMNSRLGTTATTSIFIAAAITDWLDGYLARKMNLGTAFGAFLDPVADKLMVATTLVLLCTKPPEAAMIGQLPWLLTVPSIAVIGREITMSAVREWAASQGNKLSEAVAVNNLGKWKTATQMTSLTILLAVRDNSFTEVGLLGASGVALLYVSAGLAVWSLVVYMKKISKVMLM >KVI04979 pep supercontig:CcrdV1:scaffold_265:309607:316121:-1 gene:Ccrd_016692 transcript:KVI04979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MDSGGVRNFKQTTPTRCFYAAALFSRFALSQAPTPPLKINRQLSVSTLTLLGSHTHSVTLSISHSGPPCRKFLTPSRQVKPHANSVEAFFLWVLIPSSFSDRDIEEIMERQRDKNGDRSDDEDHKYRRRDGDKFRSERDSQDRRINKSDEEDINGRRGRDRNRDRRIDDEVVIDRRDRNRKRSDDEDANDRRRDRHGDKRNAAGYADDRRRNMNRDDTRSRDRNRDGRSDDENANDKWRKDRNKDKEIDAEDDNARRRGRSRDLRGDEEDGNDRRNKQMDEDRRKRGKNKDIRSDEEDGNDRSNRKKSEDRKRSDEHDQSGEEVGEIRKAGKKHEERSDEEDGEIRKRGRKGKHEGRSDNEDGEIRKRGRKGKHEDMSDDEDGEIRKRGRKGKHEGRSDEEDGEIRKRGRKGRDRRSDDEDDSKDRRRRDRNKDAKVDEGPRFQKLAEMQESMTNLGKSGGVYIPPFKLARMMNEVQDKSSMEYQRMTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGDLLLRRIILQLQRAYKRNDKVHIIFFLLSWFYLSSFPQLLAAVKFIAHLVNQQIVHELIALELLTTLLENPTDDSVEVAVGFVTECGSILQDLSPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFALRKAKFQGHPAVRPELDLVELEDQLTHEVSLLDKIDPEISLDIFKLDPSFIENEKRYEDLKKTILGDESEEEEEGGEDSDDEEDESSEEEDEEQMRIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIGLLNERLSEPTMQADFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQKPASESDDSDSSSSGSGSSSSDESSDSDSDSESDHKRSKRRKRR >KVI04977 pep supercontig:CcrdV1:scaffold_265:253723:258558:1 gene:Ccrd_016697 transcript:KVI04977 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif-containing protein MDDYTREMMDLKTLVTRTLERKGVLAKIRVDVKRSCGDLQAELRSSVFEAIEEEDKAIEKDEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLSHTLKVYLPECNMNGYDINRNGDSGPLLLDVLEGFLKFENQSQVRTGGRRIMTSEQDSLSNFDSRNRRPSSSSVIGGLPPLGRQIPASQASDRRGGSSNLGFNRRYEGDDNPEDIMRASAALENLQLDRKARNLTSSWRHGGDGSSEEDGRGDHM >KVI04975 pep supercontig:CcrdV1:scaffold_265:237962:241178:-1 gene:Ccrd_016699 transcript:KVI04975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSGDWATAKIILDKRHELVRFAISEDYCTALHVAASVDETKRTETFVEHLVGRMERKDLELENSNSNTALFLAAAAGNVKVVKIMMKKNRGLAAIAGSQGMLPLHIGAIYGRHNVVEYLYYASLTMIDVGLTSRTRGWLLLKCVECDLFVVIHTKLRSAEKMDTDALKLLRFIWRHIIKRMAKDQVTDMLNGPPTYLDDGTKRYRSRMLFVAAEMGNTSFVVELLRAYPDLMWKVNDDNRTIFHIAIMHRHQGIYNLLLEIGSMKDLILQMEDADGNNMLHLVGMTTIKMRHKTSEASLLMQRELLWFSEVEKTMPSTYRERKNKGGQTPYELFSENNQDVISEGLKWMKDCMVVATLIVTVAFAVAFTVPGGYDQGDGIPVFIHERTFIVFVIADAILCSLPQLHSWCFYLSSHLVTTNVTSCIRYPKRLKWVPIVIAIFAALPVIMFASLQLPLLVDMFRSMHDSRYLFNPRRRNDRVLK >KVI04970 pep supercontig:CcrdV1:scaffold_265:8781:25524:1 gene:Ccrd_016717 transcript:KVI04970 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MVSGITVGIMLVPQSMSYAKLAGLQPIYGLYTGLVPIFVYAIFGSSRQLAVGPVALVSLLVSNVLADVDSSGELYTELAILLPLMVGILECTMGLLRLGWLTRFISHSVISGFTTASAIVIALSQAKYFLGYSVVRSSEIIPLVKSIISGADKREQFSLFVLLLFWIHQIDFHYCILRMKVWIFLLPVSGYLVGNIPQGLPSFSIPKEFGLAKSLISTTFLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPATVANIFGSFFSAYPATGSFSRSAVNNESGAKTGLSGIIMGIIICSTLLFMTPLFEYIPQCALAAIVISAVIGLVDYEEAMFLWRVDKKDFFLWTVTSATTLFFGVEIGVLVGLCWGGFLAPLFIETSNSTQKHIHTMGLLREYEISLDQSGSKRGPEVERIRFVILEMAPVTYADSSAVQALKELYQEYKSRNIQTSTSSSLKAPQVTLHNSSSYLDILKERRKADLTADEMESSERERLTSKDADPVMEPLLSGKSHK >KVI04961 pep supercontig:CcrdV1:scaffold_265:159714:160181:-1 gene:Ccrd_016704 transcript:KVI04961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAPIGVLVVGFLSMVSMVQGYNGGWVDAHATFYGGGDAPGTMGGACGYGNLYSQGTKEFVFRARTCSESIQLKPKSMDLKEELNKNLYEPLEPYATGFFP >KVI04958 pep supercontig:CcrdV1:scaffold_265:218950:228009:-1 gene:Ccrd_016701 transcript:KVI04958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MPPKQSKAEVAKKQKVVEDKTFGLKNKNKSKTVQKYVHALQLNVQPKPDASKLAAKKKKDEEKAREKELNDLFKIAVVQPKVPAGVDPKSILCEFFKAGQCAKGFKCKFSHDLNVQRKGEKIDIFSDKRDDEGTMEDWDLEMLEKVVESKGKEYNQNKPTDIVCKHFLDAVEKKQYGWFWVCPNGNKECHYRHALPPGYILKSQMKALLEEEANKLAIEDEIEDQVIILFTKVVVLLYVIGIIYTPSVRFFFVSWNKLAVILFPQRAKVKTTTPMTTDLFMDWKQKKVDEKEAGLAAQRADRAKNDRMSGRELFLADASLFVDDAEAYEKYHREEEPDNSTQKAQESSSTSGPSTSTSIVAVSEEGNSEMDDDDDDDLDLDELNELEASLSRTSIQIREPGK >KVI04974 pep supercontig:CcrdV1:scaffold_265:244380:248467:-1 gene:Ccrd_016698 transcript:KVI04974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold HYILKEGLLQLTLANQIPKIERFSPLSALPSSICTTTSNPRASTMAPIDPHSFTDSTHPLTNHVALSLYFDFSTSTIISSALLTLCAPHTGDLLLDTRSLTITSVIDPTNNTQLPFCLSSDVDPIKGQPLTVSLTNNSKILITFVTSPSSSALQWLTPPQTFNKKFPFVYTQCQSIHARSIFPCQDTPTSRVRYSAKLNIPHQLSAVMSARHVERRAPLAGGDADLACDESLWCAEGRVVEEFTMEQPIPPYLFAFAVGEIGNREVGPRTKVFAESVPAVLDAAAKEFAGTEDMIRVGEKLFGNYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKTNDHFWLNEGFTTYAERRIVEAVQGEDIAALNIGIGWKGLVDEMERFKDNMEFTKLLTNQEGVDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDEFLKKYIAAFKFQSIDTCMFLDFLETNVPGIEKEIDLKTWTEGTGIPPDAMEPVSNIYTKIVSLANEFKQGRMPSEEEVANWHGQEWELYLENLPKSVEASQVRSFPPLDCRYRLSESKDYEVKVAFLQLAIASRCSEYYNVVEKTLKEVGRMKYLRPLYTALVQGNASKEEEKIFAQRVFSEARETYHPIAQSVVESILSKHL >KVI04976 pep supercontig:CcrdV1:scaffold_265:278945:285607:1 gene:Ccrd_016696 transcript:KVI04976 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MRSVGLKTLVPATKGLAELDHHDRDELKGARNGSPFDGQGLSEEDESRINEDVNSGRRIELVQQPHNDLHRPHQQPQGPLVQWERFLPLRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANGLEAWKVLIDPDKQIDLVLTEVMMPYLSGIGLLSKITNHMTRKNLPVIMMSSDDSMGIVFNCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSQSSGSGSESGIQTRKSTKSRSNQESDENSDNSDDDISIDLTAKDGSDNGSGTQSSWSKRVVEVDSSQAKSSWDKLPNPRDSICIPAARPETSKNHWQGTAARKEHATEDEKLEIVEMGKDLEIGVPIEDADKKGKEKFCEFNPKKADDELDTSMQLGCTNSAPRTEALGATKISNAHMEAVAKANQLLKNINIEDKSNYYSKESPALELSLKRPRDMEDAADATPTGIVGSCSPLDISSEAAKPDKKQSNSNGTPNQRSNGSDDMGSTTNNAFTKPDALADDKAAPNGSTIAVHHNHASTLQPLIPGAAEGETAKNATGQPKGVQQQVHVRHHHHHYHHHHHHVHKMQQQKLMNPDDGSLKNMVSNILTASDEGNAANYGSASGSNNKSNGENGSSGQKGTSSAPVVEGGAIASDNGDARKGNAEDGSGSGSGRGSGVDQDRVTHREAVLNKFRQKRKERCFEKKVRYQSRKKLAEQRPRVRGQFVRHGANGMNNEDADS >KVI04963 pep supercontig:CcrdV1:scaffold_265:119157:128269:-1 gene:Ccrd_016707 transcript:KVI04963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF155 MWRHHRLFRNRTKITSATMLFIPFLSSSRNLTLGSLRTLVTLTSNPIILFTPSQPSQQHVRVEPPRSSLTLSLRRFSHSSTPPTAATARELFGSSSNSVVARCFSSFSSSSSSSPSVSVADTMEWDEPAVCAEVEDSGDDVVSDEDVKPSIPVRAYFFSTSVDLRSLLEQNRPNFIQPTSRMTNYIVLRFGSTKPELIGLGASITGCDCSYMVVFQYGSIVLFNVRDHEIDGYLKIVEKHASGLLPEMRKDEYEVREKPTLGTWMQGGLDYIMLQYLNIDGIRTIGSVLGQSIALDYYVRQVDGMVAEFTDINRGMEKTGTFTMKRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILIGAEILISVYDIAHKSVTSL >KVI04959 pep supercontig:CcrdV1:scaffold_265:215739:216615:1 gene:Ccrd_016702 transcript:KVI04959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKGKSTKKHKTMIPPRRGKIKKRIFKKILNMTLCLTRIQIARKKQRESIMTSWWRKLNDDLIIED >KVI04965 pep supercontig:CcrdV1:scaffold_265:2080:2388:1 gene:Ccrd_016718 transcript:KVI04965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 MEAQFFRFLKIVGVGFKARAESEGRLLFLKLGYSHEVELSVPPAVRVFCFKPNIVCCTGIDKQRVHQFAAAVRSCKPPEVYKGKGIMYVDEVIKKKQGKKSK >KVI04969 pep supercontig:CcrdV1:scaffold_265:87831:94314:1 gene:Ccrd_016710 transcript:KVI04969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage/polyadenylation specificity factor, A subunit, C-terminal MYLYNLTLQQATGIVCAINGSFSGGNKSQEIVVARGKVLELLRPDENGKIQTILSVDVFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKIHQETFGKSGSRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTITYSIAGVDCGFDNPIFAAIELDYSEADQDSTGQAANEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSATMHKQKSMFFFLLQTEYGDVFKVTLDHDNERVTELKIKYFDTIPVSSSMCVMKLGFLFAASEFGNHALYQFQAIGADPDVESSSATLMETDEGFQPVFFKPRGLKNLVRIDQVESLMPIMDMKVVNLFEEETPQIFTLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPSGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGEIIYFEVDMTGQLMEVEKNEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQVLSLTSVSSPPESLLFLEVQASVGGEDGADHPASLFLNAGLQSGVLFRTVVDMVTGQLSDARSRFLGLRAPKLFSVLVRGRRAMLCLSSRPWLGYVHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRKFVFHPKKKLLVTIESDQGAFPAELRESAKKECFEAAGQGENGKMEIENGGDDEDKDDPLSDEQYGYPKAEADKWVSCIRVLDPKSTETTCLLELQDNEAAFSICTVNFHDKEYGTLLAVGTAKGLQFWPKRSLTAGYIHIYRFVKDGRSLELLHKTQVDGIPLALCQFQGRLLAGIGSVLRLYDLGKRRLLRKCENKLFPNTINSIHTYRDRIYVGDIQESFHYCKYRRDENQLYVFADDSVPRWLTAAYHVDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGVECVMYGTVMGSLGALLAFNSRDDVDFFSHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPTLPLDIQRKIADELDRTPGEILKKLEEVRNKIV >KVI04973 pep supercontig:CcrdV1:scaffold_265:57407:59301:-1 gene:Ccrd_016714 transcript:KVI04973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MLKRLFFHQSGPNFKQIGYAVSVVFNQAKDGHRYAEFFVVHPIGYVQRAIANQGSKIFPKFVAFLKSKDANDGTEQALVEELKALDEHLKNHGPYVNGEKITAVDLSLGPKLYHLEVALGHFKKWTIPESLTHVHNYTKLLFSGESFKKTNAAKEHVVAGWGSKVSA >KVI04960 pep supercontig:CcrdV1:scaffold_265:191218:192030:-1 gene:Ccrd_016703 transcript:KVI04960 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MANYFSYFLLLLFTTVALTTTNLRRLSATGSLSPAPSPSTRPQLPVSALPISPPSPPPPPPEYIQQEQLKNIIDALIGAGDFAAWANILFNPNTNSSIPTTATAALIPTTATMFVPGNDALTHLSATATGAYNFDPFIIPYHILPQRLTFSELQLFKTQTRLPTLLPSKTVIITNNTAANFTIDDSLIEQPDIYLTAAVCVHGIATILDYTVYGDADSTPPSNSLPPPPLPTPDTTLTPPPELVRSPCGFVSGADFFVLLLLIFACISNR >KVI04956 pep supercontig:CcrdV1:scaffold_265:96767:99010:1 gene:Ccrd_016709 transcript:KVI04956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MARIKVHELRDKSKADLFAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRTSIAQVLTVISQTQKAKLREAYKNKKYLPLDLRPKKTRAIRRRLTKHQVSITVSFTRMNGDHTKRLVSKMCLNVYHENEFFSLVENSNMNPYNAMQVSLKTEREKKKEKYFPLRKYAIKV >KVI04962 pep supercontig:CcrdV1:scaffold_265:137212:144124:1 gene:Ccrd_016706 transcript:KVI04962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKIVVGGSFDLAFPVTLPMQVCVWCWHHIMDMAEKDATEGRCPACRTPYDKDRIVGLEANFQRSHYGPAKPKPNEGRKDLSNVRVIQRRMAYIIGLPLDLADEELLQRKDYFGQYGKVTKVSLSRTAGGAVQQFINDTCSVLDYHFKFNFCRASFGTAKYCHAWLRNMPCNNPACLYLHTVGAEEDSFGKDEVAAVHTRNRVQEIVGATQYLHRRSGSMLPPPVVEQLNNHSATAEEPLCNNGLKDVAYAPVASGDHLSCSKEKDGSIRSCKQMATFVDIVGRSCNSGSNKDVNSDEEERILNLFSDSDRVETECSDSMLLKSPAPSQFDNAASRSKLYGEPFREASKLLSVERSNSSLDETCITKEQFGLPMDSGRQVLHSPFNTTTEDSLTFDDQRSKNSFRSSHKVSLLPSYPAETTKNCSDNSWWHTDYHNQISAEPVHSYADQEDRIPSPYISSVLLNDGYNEKKFQSSAKSDRIYRCSNSFSNEEIVEHLRRLDHETLVNNDENSAAVESSIISDILAMDLDGSDNSAFPQTVAGLFEARDGRHGSLRNFQNNDQSRFSFANKHGFSGQQNDLGSPSSNIGQELNFPVLQDSCENKDHLYKSQHHASRAPNSMPPGFSMPSKPPPGFSAACLRTEQAIAASSGRYVRTGYHYRSPSLGNLSNSSDDLVDPATTVGGGKSSTNGLTNSWTSSFSSRCSSSFDEDTKHWSFMQQQSAAATAIHHEPQFSQASMQQQQQHHTPQQQFPSHDDFGSGLTSGLMDLHHQSYDPSLFTQQPKFGNGYHQHNQLQLQLQLQLQQEGQQHAPRSEVERGFGFESLLPGYGDYMFQMPTSGDVYTRVFGM >KVI04968 pep supercontig:CcrdV1:scaffold_265:83469:84227:-1 gene:Ccrd_016711 transcript:KVI04968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPKLPPTIISLACFFLLLFTTINQSLSARTIVGSTPPPQPPPRTRHRRHHKTMTFYMPIVQSSNLQHPPTTPPKTKANSQPIPFSKPLGLFPPVGGIPVSDSNSIPNQSFGLSGDGSGISFSDAAILQEELEFGMVNVIDVDLLGSTDLYGLITLGKAKGMYVASLENGSSQMMAMTTSFLENEFRDELRFFGVHRADVNTESHVAIIGGTGKYDGANGYAIIKVVTLNTKIVKEDGESDIFLLVDVYLG >KVI04955 pep supercontig:CcrdV1:scaffold_265:107021:111125:1 gene:Ccrd_016708 transcript:KVI04955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGSAIDEGDLEKGLMTPLKQNQNSNPIGEPSPSLSPSSMSTPALVLTNSGKRIDQVGKKKYVKQVTGRHNDTELHLAAQRGDLVAVKQILDDINSQMVGTLSGADFDAEVAEIRASVVNEVNELGETALYTAAERGHLEVVKELLKYSDKETITRRSRLEFDPLHIAASQGHHAIVQLLLDHDVSLCQTRSQGNATPLITAASKGHTAVVHELLLKDPSLLDISRSNGKNALHLAARSGHVETLKALLDKDPQLAKKTDKKGQTALHMAVKGISTEVVKLLLEAYAAIVMLPDKSGFTALHVATRKKRAEIVNELLSLPDTNVNALTRDHKTALDIAEGLPLSEESADIRACLVRRGAVRANELNQPRDELIRNTVTQIKNDVHIQLLQTKRTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDNDDGMAVVVSRTSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERKVVEVINKLMWLASVCTSVAFMASSYIVVGRKYEWAAILITVVGGVIMVGVLGTMTYYVVKSKKTRSMRRRKKIATSGTNSWMASEFSNSEVERIYAI >KVI11222 pep supercontig:CcrdV1:scaffold_2650:62304:66905:-1 gene:Ccrd_010370 transcript:KVI11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP/25A-associated MHQDASLCNRYGSGITLLAIAAATLCHHLPPLHCRLLTARVKTYRILMFLCYKSITFKIKDEQEVFAYGSVPLKTYLPDGDIDLSAIGASTDELVRDIASLLESEGNNSSAEFVVKDVQLIGAEVKLVKCMVQNLVVDISVNQIGGLCTLCFLEQVLYKFLEYFSAFDWDKYGISIMGPIRLSELPRIVVERPPNSNNLLLKVGFLRHCSKTLSVPMRSGDVFSKKHLNIVDPLNDHNNLGRSVSQGNFFRIRSAFGYGARKLAEILMTPEDNLTKELLSFFSNTLNMHGSGQRPDLPDDVPRSFLNVSISGAEKTFTTRVSGKPRSSNDENSYEGSGFPGNRFSSEGKDVSALMSSSTGEAANPVSIPFYAPHLLFDYSKLVNKEENGDIDDAKQSEVHETGVNLAPSEPLDLTGDLMSHLVCLEHVRWWNSYVLGPPMMGPPPPPPPILLSMIASEHGGVVRHPQFHPSDQMFMPRSPFVPNDPNPRGTMTYFTDMNRSRHGYHTKTSNATNKGTSNPTDFITPPEGVAGFGLVGRPTPPHREPLLHRRHQNHNRACQQDGTQRPRSVSGMDRFNVQSSYHLKDEDDFPPLSA >KVH87699 pep supercontig:CcrdV1:scaffold_2651:54180:61358:1 gene:Ccrd_025016 transcript:KVH87699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase MEKKDITRKSVTRKGLRIDEFGADLIAFETVPNKFEAQAFAELLEEGINIPAWFSFNSKDGVNVVSGDSLTECAKIVDSCQKVVAIGINCTPPRFISGLIQTIKKGTAKPILVLLLVGDFTVD >KVH87698 pep supercontig:CcrdV1:scaffold_2651:33282:37095:-1 gene:Ccrd_025015 transcript:KVH87698 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MSKELTGILKSWEVKVKKSQAAAKKRAVSIFTTMSVAHVDEEASDTEVSHVEKIFSNGDIYIGQWAAENCPHGDGKYLWADGCMYFGEWYKGKSNGKGKFSWPSGATYEGQFKNCYMHGEGTFTGSLNDTYKGCWVMNKKHGKGSTSYANGDHYEGDWRKGLQDGQGTYQWNSGHQYVGQWKKGKMNGNGTLIWANEQRYDGTWEAGLPKGNGTFRWEDGSFYVGVWGKDQKEQNVKFYPSTPPVSHDDWEPRQLFSVEMNQCVISEAESITEFPSDKVFSWLNGEGKHHKRQIKKNPKNDNRGGRQSVDGRVSNGDGLGSDGVGSGHIHGLGIRPHLAERQGITICKGHKNYELMLNLQLGIRHSVGRPAPTKSLDLKPTAFDTEEKLWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFNVDPADYMLSICGNEALRELSSPGKSGSFFYMTNDDKYMIKTMKKAEVKVLKRMLPAYFEHVKNFENTLVTKFFGLHCVKMGGPSLRKVRFVIMGNLFCTEVPISRRFDLKGSSHGRITDKPESQIDANTTLKDLDLNFIFRLQKDWFQEFCRQVERDCNFLEQERIMDYSLLVGISFQECNQELSADEGCLSESCTPRGNVEPDSRGTTPRGSSADTDEPLNPTRLGINMPARVESTVRNHETQLVGEPTGEFHNVVLFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPIQYSKRFHDFIFSVFKEDS >KVH87697 pep supercontig:CcrdV1:scaffold_2653:15289:35726:-1 gene:Ccrd_025017 transcript:KVH87697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVGRDFSYATQRDAVSPVSADVIFASSRFPNHKTRTNNNTSEVKEDAKLVSMKEVVRNETSQLLDQRNRLSVRDLANKFEKGLAAAAKLSDETKLRDVASLEKHVLLENLRDALESLRGRAVGKNKDDVEEAIAMVGFVDALAVQLTEREGELVQEKAEVKKLASFLKQASEDAKKLVDEERAFARTEIEKARAAVQRVEEALQEQERMSRATGKQDVEELMKEVQQARRIKMLHQPSKVMDMEHELQVLRTQLAEKSKCSIKLQKEVNCYFNCFVFMLMILHYLEYFRVVYTLKTNIQKITGKELQEFQLAMSRRGEKNTPCFEFDGTEALGSYLQIQPCSDKAPELLECSIQWYRLTSEGGKKELISGATKPIYAPEPSDVGRILQADIISDVLSISLTTSGPMDPAAGLGNYVEALVRRHDTEFNVVIVQMNGADHPSESIHVLHVGKMRMKLCKETTTVAKEYYSPFMQLCGVRGGGNAAAQASFWQPKIGLSFVLAFESERERNAAIMLARRFAFDCNVSFISLSLSLCLSIAAAAAAAAAATAEET >KVH87696 pep supercontig:CcrdV1:scaffold_2655:40434:51668:-1 gene:Ccrd_025018 transcript:KVH87696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVAWAQLEVQQENYRTARQLFEPKKMGLESNPLRTRRDHMKNTQLTDSIYFEHSFVDSLDADVGIDAFTVTVKVEIEL >KVH97049 pep supercontig:CcrdV1:scaffold_2656:26779:29329:-1 gene:Ccrd_000857 transcript:KVH97049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFILPMCLFILPKSDFQCDLVAFQDVDWSFGYFDFTPESNLMNQIDIWFCFLLSFSIPSPHHRSPHFQDPPLHLHHLIQGKKFHNTGQTIASLCSIQGSKSIRAAMAVFPGARLMEEGLAEAIDEGRIGPRDDSKNHIGRENPNWKQVFFATTTRHDVGGGAGGGGVLATTLLDHQSINHTLFNNFPVGNCSSNQRKRGREYGDELIHEEELRRKLAEKKQQHYFVLIRAVKE >KVH97050 pep supercontig:CcrdV1:scaffold_2656:5479:7439:-1 gene:Ccrd_000856 transcript:KVH97050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MKNLLFKGICLSYKGSLISSKHLTLFAYPYTSMANILQVAPRLAMKVALVTGGAQGIGEVAAKLFVIHGAKVMIADIQDDLGQVVCKDIGLDKASFVHCDVTIESDVENAINMTLAKYGKLDIMVNNAAILDDGKPNILDNDQSTFERVMSVNVIGVFLGTKHAARAMIPARSGSIIMMGSVSGNIGGIISHAYSSSKHALVGLTKNVAAELGQYGIRVNCLSPYFIPSPLTTHYTNDHPEKYSQVYSNLKDVTLSMDDVAQAALFLASDEAKYMSGHNLILDGGFSVINPAFGLFARASPLE >KVH92247 pep supercontig:CcrdV1:scaffold_2657:73136:74056:1 gene:Ccrd_005718 transcript:KVH92247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MGVSSKPTSRKHFRSITLPCRSHPSTDRIQKVLNKVKTWESISSLSNPSAEIVCSGLSQLTELYECLDDLFKTSLSQNLSISSNHTTKFMDELLDASVKFLDICSITTDVMSQTKEHLRDLGCDLRRKGTGSSIESTTAKYIVFRNKLRKDIKGSVASLKQMDNMICCCRFKEDDSENHHLTSVIQAFRDVKAFTIVIFRLLLVFLATPLLKRRPGSRWTAVSRFLSSGKVVPEEKADDSNANELQRLDAALFRYCTSDKQEFIQIVKKKIEVLEATLEVINSHLDLISKRLIATRVSLLNMVSFY >KVH92245 pep supercontig:CcrdV1:scaffold_2657:35254:37773:-1 gene:Ccrd_005721 transcript:KVH92245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MRFMERYEVVKHIGSGNFGVAKLVKDQWSGELYAVKYIERGNKIDEHVEREILNHRSLKHPNIIRFKEVLLTETHLAIVMEYASGGELFDRISSAGRFSEDEARFFFQQLISGVRYCHSMQICHRDLKLENVLLDGSSTPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDDDDPRNFRKTLTRILSVQYAVPDYVRVSLECKQLLSRIFIAHPEKRITIPEIQKHPWFLKNFPVELKDGDESISDIDKANNALQGIEEVTAMMQEARRGSIIGTKAEGGNMELDDDTDIDSEMEDDSETSGDFVCAL >KVH92243 pep supercontig:CcrdV1:scaffold_2657:8838:11675:-1 gene:Ccrd_005723 transcript:KVH92243 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MDVYADMEEKVHNALSIIQKDGDSFAKRAEMYYRHRPDLICFVEETFRAYRALAERYDKLSKSLQKANTTIASIFPDQISYDDFDDDDIGPPKRTRNMPLQNQGPNAAKIPKVPELPTKNLKGLISNASKKIRITNAFKEDKPIHIVPKSGLSEEEALEEIDKIQKDILAMQTTKEFIKSAYENGLSKYWEIENKINTMQQRVCRLQDEFKVARVIEDGDARTVMAQAALKSCKNTLENLEEKRENSSQAARSEHQRIVDAKQKIEALKRKFLPDEVDGSENDEEGKSQISNQDDNDDKEGVAKIRESLEEISKKPLTVSKLADTIDKLANKVISLESTVSSQTANIDNLRAQTSALQTQIQDLEADKASLADGTQNLHKKLKDLEKKLHRVEDLENDVEKQNSSLKLYFTEARFNIEHLSEKLHDVQPDDEIEAGSPDIKEGSVKSDLEDEVFEECSPSAEDQGNWESEIVVEMSIKEGELKSEEVVKEREIPSTNNDDGINNANTTKKMSEMNDIDEVKNAKTTKEKGETNNDDGVKNGDANEEKGDDGVKNVDIKKGKPKKEERNTRRNEDMVKNSDSRKENIKESANDESPKKPLKVYTTTLKNYKETKRKLSAEEKRRLETLFELTVLVRELKSGMMKKDSEIQILKQKLKQLQDELGKQNEENWHEVKQEDVQTEVKEEDEDIINISIDEPKPVSEVEERLRMEIDAILDENLDFWLRFSSQFHQVQKFKTEVEDLKEEIVKVKAKGVENKIGASAEGKTSTSMFTTDLRSEIRPIYKHLKEIQGELTVWIDQAESLKEELHRRCASLTNIQEAITMALKEGMQEDEIKFSTHQAAKFQGEILNMEQENNRVNEELEAGLHHARSLHLEIKKTLRKLEEEFGLCDDQNPYQQNFVRTSSRPGIPLRSFLFGVKARKQRPSIFGTRNHQKKVPTPRSGRP >KVH92246 pep supercontig:CcrdV1:scaffold_2657:64632:65555:1 gene:Ccrd_005719 transcript:KVH92246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MGVSSKPTSRKHFRSITLPCRSHPSTDRIQKVLNKVKTWESISSLSNPSAEIVCSGLSQLTELXECLDDLFKTSLSQNLSISSNXTTKXXDELLDASVKFLDICSXTTDVMSQTKEHVRDLGCDLRRKGXGSSIESTTAKYIVFRNKLRKDIKGSVASLKQMDNMICXCRFKEDDSENHHLKSVIXAFRDVKAFXIVIFRLLLVFLATPLLKRRPGSRWTAVSRFLSSGKVVPDQENADDSNANELQRLDAALFRYRTSDKQEFIQIVKKKIEVLEATLEGVNSHLDLVSKRLIATRASLLNMISFY >KVH92242 pep supercontig:CcrdV1:scaffold_2657:21289:29622:-1 gene:Ccrd_005722 transcript:KVH92242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAIPLLDLTSILNHSNQDERKKTVDQFGKACLEFGFLHIINHGLPDELVNRSRELTQRFFDCPIHEKLECTPVSTILPAGYGRMDGRFGSNEWLMVCQPCLGFNIFPLSVPQVRETLEEIYHYFQKLGAMMEGMMNEYLGLPQDFLKQFNDDRSKDVLMCWQYPPAVADNPKMQLGREEHQDTNCFTFLLQDDAGGLEYEKDGSWMPVAPMEGCLVVNVGSIIQALTNKKLVAARHRVWKPKGRTRHSFAFFYNIGGEKWIEPLPQFTEEIGKAPKYKGFFYKELLQARLKKETNPSTLREEVLDLDHFAIPS >KVH92244 pep supercontig:CcrdV1:scaffold_2657:60223:60597:-1 gene:Ccrd_005720 transcript:KVH92244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALLTYPSTKVPLGRSAAGPPGPGAGAGPAEGPGERALGASDEGAGEGPEMGDSDGVGVGAAGAGAGARTGVGEGVGGATTGVGLAVGETVGVAVGACAMHEVAKRANIMKNWQIVAEPILVN >KVH87695 pep supercontig:CcrdV1:scaffold_2658:27558:33018:-1 gene:Ccrd_025024 transcript:KVH87695 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MSNIDENHHKEENQEGEQVKDLTFEELGLDPRLIRALTKKNIENPTPIQQIGIPLILEGKDVVARAKTGSGKTFAYLLPLIQKLLSDNNNNNNNGSSSKALAPTAMILVPSRELCQQVYSEVLSLIELCRVQLKVVQLTGEPTCVQRCLSSGVLKAQSIQDSLSILVLDEADLLFTYGYEKNLKDLKTHIPIKCQCLLMSATSSDDVESLKKLYLHNPYILTLPEVGDAKDEIVPKNIQQFWIQCSDRDKLLHILALLKLDLVQKKVLIFTNTIDTSFRLKLFLEQFGIKSAVLNAELPVNSRLHILEGLNAGLFDYLIATDDSDSKEKEQTDGNNQAEKKKSKKHKKRKLDSEFGVVRGIDFKNVQTVSPDEMEIFEEIKLFLGENEDNDVTHIAPFPLLEKNAVEFLRYRAEDVARSVTKIAVKEARAQDLRNEILNSEKLKAHFQENPRDLELLKHDKLLSKKAPAPHLRNVPEYLLDPTTQQASKFVKLTRAAMGRNNNNGNRGSKGRFKKAGDPLKTFSAEAKGMKRKAKGGKEFGPKQKKKQDV >KVH87689 pep supercontig:CcrdV1:scaffold_2658:21363:24663:1 gene:Ccrd_025022 transcript:KVH87689 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF21 MKYLSCCSLDFFFHVAVIAMIVLFAGMMSGLTLGLMSMSLVELEIIPQSICTRYGLVIGAAVTPLIRVLVWFWFPVAYPISKVLDCILGKGHVSLFRRAELKTLVDFHGNKVKNLMTINPADCVPIKNITLRLIPSYGGLRSRRTFNKFKSISGSGNVSRAESSKSRRWSDKFLPEVLNVIENPVSSFRQQGEVIGIITMEDVMEELLNDEIFDETDHHNE >KVH87690 pep supercontig:CcrdV1:scaffold_2658:21147:24987:-1 gene:Ccrd_025021 transcript:KVH87690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNAAEKSMKRHTFKSSSITSSIVMMPMTSPCCLKEETGFSITLRTSGKNLSDHLLLLEDSARDTFPLPEMDLNLKPKPNKNTNEWSHGCTDHQTVACADRLRYYLLRVYSIRIKRCSEGGIRYRKVANKAMRDSEHIPMEMTLFFIKDDD >KVH87687 pep supercontig:CcrdV1:scaffold_2658:4084:5699:-1 gene:Ccrd_025019 transcript:KVH87687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MLSAKLTSNAHGQDSSYFLGWEEYEKNPYHQIDNPNGIIQMGLAENQLSFDLLESWIKNNPDATGFKKDDQSIFKELALFQDYHGLPAFKNALVRFMSETRGNTVTFDPKNLVLTAGATSANETLMFCVANPGDAFLIPTPYYPGFDRDLKWRTGAEIVPIQCSSSNGFRITRSALEDAYQQAQKQDLKVKGVLITNPSNPLGTSLSLHELDLLVNFISTKNIHLISDEVYSGTVFGSPNFVSIMEVLKNRNLVNNEVSKRVHIVYSLSKDLGLPGFRIGAIYSGNEDIVAAATKMSSFGLISSQTQYLLSEMLSDRKFTKSYLSENRRRLKKRQETLVKGLQKSGIRCLKSNAGLFCWVDMRDLMKSKSFEGEMELWEKMVYEVRLNISPGSSCHCSEPGWFRVCFANMSDETLAQAMQRVKSFVDSKKNNHNRRHQILNNARRTRSLPKWVFSLTSTQLEAVGQR >KVH87688 pep supercontig:CcrdV1:scaffold_2658:25249:29408:1 gene:Ccrd_025023 transcript:KVH87688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNSELIKVNCLLAKLTKSQQYTKTLQLFHQIQSSQYLKPDQYTLSTTLTATANLRDVAAGNQVHAHVIRTGFKIYPHVANTLLFLYAKSMDLVSVKRVFDEIKIPDVYSWTTLLSACTNLGEVEYACHLLDQIPQRNEAVWNAVITGCADNEHSNIALDMFQRMHLLGIRHDNYSFASVLSLCSSELINFGREVHSLVIKTGFLVRSSVKNALITMYFNFGSANQAYDVFEETEELGHDQITYNAMIAGLVSLGRDEDAFMMFKNMQKVGHWPTERTFVSVMSSCSCGITAIQLHANAIKTGFEDFNAVSNTTITMYSACGDLQAARMVFEKLEAKDRVSWNTMITSYAQENLSRDDAIVIYLQMQHQGIGPDEFTIGSLLATLDSMVCVEMILAIVIKYDLISKTEVSNALISTLSRLGALEDAYTIFTEMNSKNLISWNSMISGFHSNGCSVIGLELFSEMLISDLTPDVYTLNIVLSICATILAFRNGKEIHGYVLKFHYLHEPSLGNALIALYAKCGILDWSIKVFKSMIYKDVVSWNSMISAYGNHGRGEDAVLCFEEMQKSEGVKVEPDHTTFTTALTACSHAGLVDHGVEIFNSMVNKYGLEPEIDHFSCIVDLLGRAGFLDAAENLMKSGRFNVHSSIWWNLFSSCAAHGDFRLGRIVAGFLLELEEDKSGVYVVLSNILANAGYWEEAADMREMMKSYGLIKNQEIKAKNKIWIQSIEVDQQRDKGSSN >KVH87694 pep supercontig:CcrdV1:scaffold_2658:39318:40154:-1 gene:Ccrd_025025 transcript:KVH87694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MRTGHPDMRISKLLLFFMLTCTFLPPSSPDPNDESCLTHLFQSFDDPNKNLHNWTKSTFSNPCSDFTSTLAGATCNNGRVYKLSLQNLDLRGSISPFISNCTNLQSLDLSNNSLAGPIPVELQYLLNLAVLNLSSNRLSSAIPPSLAMCAYLNVIDLHDNTLTGTIPPQLGSLVRLSVFDVSNNKLSGPIPASLGNRTGNLPAFNASSYAGNKDLYGYPLGPMKSKGLSVVAIVGIGLGSGLLSLVLSFTVVCIWLRSKEQKRAAEQEGKIPQLMPDY >KVH87692 pep supercontig:CcrdV1:scaffold_2658:65999:69720:-1 gene:Ccrd_025027 transcript:KVH87692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MAITVSPPTITSSFSQLSSLSPFQSPPSLSYPSFSSSPTITRPLNLYPNRNNRTVVVPKASSTTTFSPEIADVLGEVNIFTASGDSVMFNELWDQSEGIAVVALLRHFGCPCCWELASTLKEYKARFESAGVKLIAIGIGEPKKARILAERLPFPLDILYADPERKLLSHCMSLKLLGIHVSQAYDVLGLYYGIGRTFFNPASALQKAVKNYTIEATPDDRGSVLQQGGMFVFKGKELLYAWKDEGTGDHAPLDDIFNICCSTKVEIA >KVH87693 pep supercontig:CcrdV1:scaffold_2658:49745:52523:-1 gene:Ccrd_025026 transcript:KVH87693 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MTTNNNNDNNGNNKNSENWLGFSLSPQSSHPSSSNPTTFFSLPSHFNYQNLYCGGAEGVHGGGIYSAFPIMPLKSDGSLCLMDAITRSHSQGMVSSTPPPKLENFFGGVTMGAPDLDSSSTMYYHQNLDHHHHHHHQNYQIQPPNYLDYNGLYQSIQQDQETKDSQQVAADGIYLPTVTVGEDDITTGIKNWISTTYNHSGGGGLQSLSLSMSPGCSQSSSCVTGSQQQITTVVMDSKKRGSEKVDQQQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYEQELEEMKNMSRQEYVAHLRRRSSGFSRGASVYRGVTRHHHHGRWQARIGRTQEDAAEAYDVAAIKFRGVNAVTNFDISRYNVEKIMASNTLLAGELARRTKDPDPCTDQVLSTQTHNGQETKTISNETTTNGSMLDWKMELYDQTIGGGGAAALEEDESSTTRNHLLITSGTGGGYQEDSPKNDNFPMVLGEVAASKSMNTWIPTATATATSQVRSHIPMFAAWTDA >KVH87691 pep supercontig:CcrdV1:scaffold_2658:12234:16148:-1 gene:Ccrd_025020 transcript:KVH87691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPGNPARRFVGSGNNPLGGIFHPKSRPSPLLSIVLVLLGTVLVIGYVYKGTGESGSYKAFSKIQDNFGCTFDVHTAIPILKKTYGDSMHRVLHVGPDACSVVSRLTKEANTEAWGVEPYDIEDADISCRSLVHKGLVRVADIKFPLPYRAKSFSLIIVSDSVDYLSPKYLNKTLPDLARAKMRSASWWARFFVQTSLEENEAAAKKFEQAASKSSFKPKCQIFHLNSYH >KVI05132 pep supercontig:CcrdV1:scaffold_2659:1666:3977:1 gene:Ccrd_016535 transcript:KVI05132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLQNFNCISDQLDLGSIVSSGSFISPFKTRSHEPWTKVSSSQIYSHSLQCRATCLDMVTDIGVDSWRKNRDEVGFPQNFQKKHPKTESSDSISLSSGRIFVHNDEQTNNDLLQYSCKRWEFLKASRLVAVMSRRNQIPHFGSCIKLIRGLVKIDYIDRAIEVLNSMVMSGGVPDILTYNIIISDLCNKQRVYTALDILEGMSVSGCPPDAFTYNSIVRLMLENGHIHQAVSFWKAQLAKGCPPYISTSIIIIELVCKYRGVIRAIEVLEDLAVHGCDPDLVTYNAMINVTSKRGNFGDMVLIVHDLLSHGMEPNTAMYTTILHSFLNHGFVDEVNEILSFMDKTSNPPSIITYNILIKGFCKYGLLDRAIGFFDEMLSCDCCPDIITYNTLLSSLCEIGMIDESLQILRCLENSDTAPNLITYNIMINWLAKKGYMEKAVGLYQQIMKEKKVFPDDITHRCLISGFCQANMIDEAMEILKVMKKKSHWASHSSYNYIIHRLCENRKLDGAIQVLKMLVSSPYRVQRETFYSEMLRGLATAGMDDEAEKLHQKLIEWKAV >KVI05131 pep supercontig:CcrdV1:scaffold_2659:24244:24994:-1 gene:Ccrd_016538 transcript:KVI05131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKYLFNNAKAGVPLNVSGSVSSPKASSSNSAPSMFPAGSAPPLSPRSSSGSPRITKQRAXPSVIGSPLKVLSEPVKELIPHHAQCLQFYFQNGHPPPNELKERCLFRKFKPLTNEICKLPSFFSTALFNNIDVDGTSILTRDPFTQVVS >KVI05130 pep supercontig:CcrdV1:scaffold_2659:29930:48223:1 gene:Ccrd_016539 transcript:KVI05130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDMDYNGDVGFLDPELLQLNEVSPLAIKSNPYVAEKLFEQWLSLPESTLLVKSLFNNAKAGVPLNVSGSVSSPKASSSNSAPSMFPAGSAPPLSPRSSSGSPQFKPLTKEICKLPSFFSTALFKKIDVDGTGIVTREAFVDYWVNGNMLIKDTATQIYTILKQPDLRYLTQEDFKPILRELLTTHPGLEFLQSTPEFQERYAETVIYRIFYYVNRAGDAHLTLRELKRGNLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSNVEGKMGYEDFVYFILSEEDKSSEPSLEFKCIDLDGNGVITKNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIGPKDEGYFTLRDMKGSKLAGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDAEDASNGSADEKLKNS >KVI05134 pep supercontig:CcrdV1:scaffold_2659:64064:70635:-1 gene:Ccrd_016541 transcript:KVI05134 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Gar1, eukaryote MRPPRGGGFSSRGRGGGGFRGGRDGGGRFGGGRGGRGGGRFGGDRFNDGPPEQVIFKFGCIANIAEVSSFVHACEGDAVTKLTNEKIPYFNAPIYLENKTQIGKVDEIFGPINESFFSVKMMEGIVATSYGAGDKFYIDPMKLLPLARFLPQPKGASTGGRGGGRGGGRGGGRGGSFRGRGAPRGGRGGGFRGGGGRGEVSSFVHACEGDAVTKLSNEKIPYFNAPIYLQNKTQIGKVDEIFGPINESFFSVKMMDGIVATSYAAGDKFYIDPMKLLPLARFLPQPKGASTGGRGGGRGGGRGGGRGGSFRGRGAPRGGRGGGFRGGGGGRGGGFSRGRGRY >KVI05129 pep supercontig:CcrdV1:scaffold_2659:10481:22014:-1 gene:Ccrd_016537 transcript:KVI05129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenyltransferase, alpha subunit MSEADPSGYAEPSSLLYRLEFILESDPLIDEVGFVHPSQFAALSDMSGSPSLSSMSMEPQSDKSKLKASQVNPTDMAFWSRDHKLGISTQAIHPLYNAAKHAFCSSLEQYKLLIHLNPKKVGLDSDNVSNVSSLATLECEVMKHSRALLLLSCDFGTAWNCRKEVVSKKQNMMLYLDELLVSTLVLSYSPKSERAWSHRRWVVKMIAGKCKNLQEILKNESELAQRIAEKSKMNYRAWNYRCWLVSYMPENQVINELIKYRDWAGLHVADNSCFHYRTRLLTRTLADSFYKQDLKASHVHTTAEVCQLWKEELSWSEILIKRYIGREALWLYRRFLALCWIKHFAARMGGTHVESFVDNELQLFRSCTTVPDNQMDEPQSVETGEKVGEELEKVLNKVCPEKAFLWDLVRKKNGGLI >KVI05135 pep supercontig:CcrdV1:scaffold_2659:4298:8279:-1 gene:Ccrd_016536 transcript:KVI05135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MWTLNFHQCITLGLATLQLETSSSLYAFHHSDLPHLGAANPPPLDLESSYAASSLESEILIFHLFLPLPSETCLNSNLNPMISTEFSPKCFPFNSHPNPTPNSHHHYSLITCRNPNPNPIPPNRSSSNLKLTTTSKPTHLQSYSYKETHFMKLLNRSCKTGKYDESLYFLELMVAKGYKPDVILCTKLIKGFFNTKRIEKAVKVIQILESHGEPDVFAYNAVISGFCKLNQIESANRVLDRMRNHGFSPDVVTYNILIGGLCREGMMEEAFEFVRSLPSKGCKPDVISYNILLRALLNQGKWGDAERLMKEMFSRNCDPNSVTYSILINSLCRDGKVDEAMNLLKIMVESGLAPDAFTFDPLISAFCKEGRLDVAIGFLDYMISSGCLPDIVNYNTVLSALCKNGNADEALQIFERLGETGCPPDVSTYNTMISALWNNKEREKAFSMVSEMIMKGIDPDEITYNALISCLCRDGMVDEAFGLLESMESNGFIPTVITYNIMLLGLCKAHRMDDAIRVLEEMVERGCQPNQTTYVLLVEGVGFAGWRAEAIDLGNSLFRRRVISGESIKRLKKTFPLMDSEYK >KVI05133 pep supercontig:CcrdV1:scaffold_2659:58284:62913:1 gene:Ccrd_016540 transcript:KVI05133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEDWSKYSHSPAHLAVVRGDHLALKNIISALPRLAKAGDVTTEAESLAAELDADAVSAVIDRRDVPWRETPLHLAVRLKDPVSADILMAAGADWSLQNEHGWSALQEAVCNREENIAMIIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFMFLGDGYLSGDGNTSLPPGSLIVLSHKEKEITNALEGAGAQPSEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEVVGPWKSKVYDMLHVMVSVKSRRVPGAMTDEELFSTNDERGVGQGGENDEYDDVLTPEEKMQLDSALRMGNSDGICEDEERHENGNAGSSANSESNGLNKDKKGWFGWNKKGSKTGGDDPEDSKILKKFSKLNDSQKSSSETHGDDNGESKKGKDKSGKKKKKKGSATEAKHESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPHGMFPVKIAIPIVPTIRVLVTFTKFEELQSSSEEFSTPLSSPAHFQDAKSKEPEGSSSWISWMKGSNGGQSNDPEVSSFGDEADPFSIPSDYTWVDANEKKRRMKAKKAKIKKHKKQSAARNPENGGRRGIEIEQEGKMLVVPLVINNVYLPLQIAIPIVPTIRVLVTFTKFEELQSSSEEFSTPLSSPAHFQDAKSKEPEGSSSWISWMKGSNGGQSNDPEVSSFGDEADPFSIPSDYTWVDANEKKRRMKAKKAKIKKHKKQSAARNPENGGRRVGEDLE >KVI01178 pep supercontig:CcrdV1:scaffold_266:126773:131926:1 gene:Ccrd_020573 transcript:KVI01178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAYNPRTVEEVFRDYKGRRSGMIKALTTDVEDFFQQCDPVICLYLSEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQENDWLALVAVHSDAWLLSVAYYFGARFGFDKADRKRLFNMINDLPTIFEVVSGNAKKQTKEKSLVRNHGSNKSKSNTKGRGSESQGKYGGKGDEEGLEEEDEEHGDTLCGACGENYASDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARP >KVI01153 pep supercontig:CcrdV1:scaffold_266:171147:176151:1 gene:Ccrd_020568 transcript:KVI01153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIISLSQKLREAVDSLYRQTHVNPQAYARLVLDCVRLNDAHQAKRLQSHMEQHLYEPTTTFIHNRLLHVYANSCKLLEAQNLFDKLPQRDIYTYNAMLKAYSKTGSVDDLHGLLKNMPCRDSVSYNTVITGLASRGCTREALEVFVKMQKEGFEVTGHTYVSVLNACSQVSNLGCGKQVHGKIVASGLVFNGFICNALTDLYAKCGEIDVARWLFDCMSNKSVVSWNLMIAGYMKNGLPEKCVELFCEMKLSGLVPDKFTVSKVLGAFFQTGRMDEAKKLFAEVEEKDAISWTTMIVGHVQNGKEEDALVLFGEMLMEHGMADKFTISSVVSSCARLASLFYGQAIHGRAVHMGVDNDTLVSSALVDMYSKCGEPSEAWKIFTTIHAKTVVSWNCMILGYAQNGKDLEALRLYEEMLNNNIKPDSITFVGVLSACIHERLTDRGEHYFCSMTEEYGIIPTLDHYACMINLVGHSGDTEKAVEIIKGMPYKPNSLIWSTLLSVCKLNGNIEHGEMAAKHLFEVEPYNAEPYITLSNLYAVNGRWKDMAAIRSLMNTKNIKKFAAFSWVEIDGKVHKFVSEDRTHPESKAIYRGLNRLIRKLLKSGFTPNKNLVLHDVGDDEKFQSICYHSEKLALVYGLMRKPEGRQPVRIIKNIRVCGFCMVSASLMPSPGYPNTNGYLRSKVSSVPFSSNLLKSLSSFKKTLYAKFWIFHLTQPFFIKDSERMAWHIRSSADDSGLNPSSTNNNSRGTRLIRAIQAFQIKLNARFKDLRKNLPLKLLFFLTGFYCSTAFATVIGQTGDWDILSATLAVAVAEGIGSLMYTSFPLFNKLRNLVTMFNYWKAGLSLGFRLFGIPNEESQTTGDALDLHLKKVQIHAK >KVI01152 pep supercontig:CcrdV1:scaffold_266:160950:162576:-1 gene:Ccrd_020569 transcript:KVI01152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr MSCFQGLFLCPEAFCLLVHNFCIYHISPPGHELGAAAMSYDDPVLSVDDLADQVAEVFDYFGLKIEDYGLKGESESGEMKVNIWMIRVERAMNRHLGTGLDKASALEADTPDSHRIPSSLLFLWGAKLPESSSWAKGVCRDVV >KVI01164 pep supercontig:CcrdV1:scaffold_266:225524:229846:1 gene:Ccrd_020558 transcript:KVI01164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol O-acyltransferase MGIIASGFSCSLSLPIFSLAAFIVEKFAWRKRISESVSCSYSSSYNNYKYHSVSSFHDSKDAKLDMQTHKGKVTSYYERHKPFLCIFLFDSAVLSGVTLMLFACVNWLKLVSFVHTNYDMRLIVNSIGKEEKKSCFSSTEYFYDVNFNSLAYFMVAPTLCYQISYPRTTCIRKGWVLRQTIKFSIYTGVMGFIIEQYINPIVRNSQHPLKGDFLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAEVLRFGDREFYKDWWNAKTVDEPVHRWVVRHIYFPCIRSGIPKLCIAVPCHIFKFWAFLGIMLQVGNMMFWCFFSILGQPMSVLLYYHDLMNRKVNTTNQDPHQ >KVI01151 pep supercontig:CcrdV1:scaffold_266:181496:183834:1 gene:Ccrd_020566 transcript:KVI01151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage/polyadenylation specificity factor subunit 5 MEIMNGRLNNGGNLNLVFDIYPLSNYYFSSKPGVSSKEETLGDRARRLKSNYDVHGMRTYVEAVILVELFKHPHLLLLQAKNCIYKLPGGRLRPGESDVDGLKRKLSTKLSADETQCQWEVVGECIGTWWKSDFETLPFPYLPSSGNNPKECTKLYLVKLPSSRDFVVPKNLKLLAVPMCQLHESRKTYGPIISGIPQLLSRFAINMVGS >KVI01172 pep supercontig:CcrdV1:scaffold_266:262483:264721:-1 gene:Ccrd_020553 transcript:KVI01172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MKWLKPIDSDRACNFMIQMNNDRLPLQTVIFMGCKNGEIEIGMSNGTSQIDFEIKLKRLFPGDFPQEMLPQPLDQGRVSSSSSSLRSLSIENGSEYSPFLFNMLQTTSYIPETIFAPKEAFLDQQAPNQTPASSRIRSQDPLQQVLDQIRSSQLMPLRENEEAAMTRAILTAISSSPSPCSSSSQQLQTPHQDGSAFKRYRSCLGPTKQRNQISRQNLSRRSLTFFRNLSEARAQQDRMFQTTRPTTSQLHHMISERKRREKLNESFQALRSLLPSGSKKDKASVLSNTKEYIASLKSQVEELNKRNKILESGEHSGKLAAVLDQDSGERVTVRIRNVSESSSESRVVELEVHARGNLVLTDLVIRMLEFIKQVEHATVVSVDAGTPSLENGVQANRVVLRLRIQGSEWDESSFQEAVRRILDDVA >KVI01175 pep supercontig:CcrdV1:scaffold_266:117458:124070:1 gene:Ccrd_020574 transcript:KVI01175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYMSLLIYGVGGIAVAGLALLVAFQEKLVYVPVLPGLTKSYPITPARLRLIFEDVWLTSSDGVRLHSWFIKFSPNSTGPTILFFQENAGSILLADIAHRLEMVRIMLQKLHCNVFMLSYRGYGASDGYPSQQGITRDAQAALDHLSQRTDIDTSQIVVFGRSLGGAVGAVVTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGSISSGPKILNFIVRSPWSTIDVVGQIKQPILFLSGLRDEMVPPFQMQMLYAKAAAHNKRCTFVDFPTGMHMDTWLAGGDHYWKSVRTFIQQNVDQKKLFVIVSQDLSLPCVSVADGSSFRSVIAQMLLICYCYAV >KVI01165 pep supercontig:CcrdV1:scaffold_266:223187:225522:1 gene:Ccrd_020559 transcript:KVI01165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPRRPIMNEGLNHHSNGNNSKEQIEVGHRFTDEPRKGNVDRGRLLNGSRQTTVVQYAVRPCTPSHNTIKESPLSSDAIFRQSRTSGKCSTSFSVDGVQCPICSRKAAKLDDLSHAGLFNLCIVVLVAVNSRLIIENLMKFKIIERLATSYVLVMLLLPGTFFSGLSYKFSTIKNSYSGSLCGIASAITD >KVI01176 pep supercontig:CcrdV1:scaffold_266:113005:116690:1 gene:Ccrd_020575 transcript:KVI01176 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor, conserved site-containing protein MVPAPHLPADTNDPTQQTCNQDSSTCHSTDQSHKDDDIATQGVHPQDQCISSSSDESYKKLEEAQMKPILFMANPGISMNDLQVEKDIPMAQHAYADHYLNGLYTPYGPQPIIQPHIVQIAPARVPLPLDLSEDGPIYVNAKQYHGILRRRQIRAKLEAQNKLVKNRRPYLHESRHKHALNRIRGSGGRFLPTKKPQQSRAMRFLNSHCASGYEEPHVSATDHERCHIASRDMMMDHGLSWGIRGNEEHHHRGSMGR >KVI01154 pep supercontig:CcrdV1:scaffold_266:35296:43419:1 gene:Ccrd_020589 transcript:KVI01154 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MEFYLGIHERMNKYIAQRRMHNSTPEDNWNRGEAATEISMEKGNIKKKSIEDIFASCDFSSLGLHPALCDQLRERMGFEAPTLIQAQAIPVILSGRHVCLQFFVHVSSFMNVLCLTCNYRLVNAATGTGKTVTYLAPVIHQLQKCDPRIQRSDGTFTLVLVPTHELCMQVYETLQKLLHRFHWIVPGYIMGGENRLKEKARLRKGISILVATPGRLLDHLKHTSSFVHKNLRSIIFDEADRILELGFGREIEEIIDILGSKQNMSESKENAVSGATGVVRQNLLLSATLNEKVNHLANISLDNPVMIGLDDKKMQSSNKQVTSLDSNEVKTSERSVEVLIASNGEYKLPAQLNQRYVKVPCGSRLVVLLSILKNLFTGEASQKIVVFFSTCDAVDFHHALLSRFPGLSNLQSETGTRELFVGCNILKLHGNMKHEDRVKTFNAFKTEKSALLLSTDVSARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGERGDSLLFLQPIEADYLRELKKHGVLLTEYPLLKLLDGFPLYGQKYHVKKFVSIEMHPWVLCLQKSLESFILTEAMMKKLAQNAFCSWVRAYSAHRGDLKSIFMVKKLHLGHVAKSFALKEQPSLVGRSVQKKHTAKRKGEQQRHREGGGLKKKRKT >KVI01147 pep supercontig:CcrdV1:scaffold_266:206743:208122:-1 gene:Ccrd_020562 transcript:KVI01147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MENNSTPFLTQEDYEYIYTYIQDLETDTQTSLNKKPKIDEQEINQVLGTPTSYFENSASEMADWDEGRVRKSTTPPPAASYSGGTAVEDASHGGRGGQRRLWVKERSKGWWKYHNSDGCPDEEFRKAFRMSKATFNMICNELESAVTKKDTMLRMAIPVRQRVAVCIYRLATGDPLRKVSALFGLGISTCHKLVLEVCAAIKAVLMHKFIQWPDQERLKEIKTKFGSISGIPDVGGAIYTTHISIIAPKTNPEAYFNRKHTDRIQKPSYSTTVQGVVDERGVFTDVCIGYPGSMADDKILERSALCKRSEMGVLQNTWIVGNSGYPLSDWMLVPYTHPNLTWGQHSFNESVGKVEKMAKEAFMRLKGRWGCLQKRTEMKLQELPMVLGACCVLHNICEMNGEEMDGELRFELYDDEMVVSESESEGGWGDKSLSADAVQNRDSIAHNLLHGIHGVSVFN >KVI01156 pep supercontig:CcrdV1:scaffold_266:69846:70496:-1 gene:Ccrd_020583 transcript:KVI01156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPHISGVAALLKAAHPEWSPSAIKSALMTTAYTVDNLNSTLHDAAGGGLSTPWAHGAGHVEPHKAMSPGLVYDISTKEYIGLVCSLGYTMKQVAAVANVTSCTKRYRDPGQLNYPSFSIVFGKSSNSRVVRYTRKLTNVGAAKSVYKVAVDVPQGVEVSVKPRRLVFNKVGQRLRYTATFVSKNKNTRHGNSFGWISWKKGKKEVRSPIAFTYV >KVI01159 pep supercontig:CcrdV1:scaffold_266:89538:91649:1 gene:Ccrd_020580 transcript:KVI01159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MTTIGIHKPAFLMPACYGATNHQNYPRISTPKMITMSVSAISDFLCISKSSLANNKLLQINRIMSSGSSQGIKKEEISVQLQKNSGYQLQPTSQTHLQLDCLQQVDQIFVQDKKHEFGQFVAREAMLDEEYWASTAAWLRAETHWEDRKDDRFADSYKRKFTEQEFNALKRQCKTKPGQKSACIVTVKKEHGSERHTVLKSIVGTLDVSIRPFLHGETFPGEKVKAPIFYSTKRKEPKNQYGYIANLCVAKSARRQGIARNMLHFAIESAISDGAEQVYVYVNRNNIAAQELYQKIGFKVVDRASPQLSRDKTYLLCYRA >KVI01169 pep supercontig:CcrdV1:scaffold_266:233005:233334:1 gene:Ccrd_020557 transcript:KVI01169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3511 MDDMRSKSYNGNGMQIEAYNNPNLQDLRCYSTSHASSSSQSQMENPRFKKAISRNGSSNWSFNDPELQRKKRVATYKAYTVEGKVKGSIKKSFRWIKDKYSQMVYGLRS >KVI01177 pep supercontig:CcrdV1:scaffold_266:132446:136631:-1 gene:Ccrd_020572 transcript:KVI01177 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MSRYEIKKTSGQDSRHGAFLNDISDLSKAMYEDKTHSRGVNSTASSRSKSIVRPQLPETKSKAKANEDRFLKDKKSIWSWNTLKSFTHVRNKRFNCCFSLQVHSIEGLPPSFDDLTLCVKWKRRDGELSTRPAPVLHGVAEFEQLLTNTCSVYGSRSGPHHSAKYEAKHFLLYASVFGDPELDLGKHRVDLTRLLPLTLEELEDEKSSGKWTTTYRLSGKAKGASINVSFGYSVVGNSPSEPTRHNSIGIVKSPGKASGQSQIHRRESLPLISSSLRHSVEDIKDLHEVLPISKPELSESVTILYKKFEEEKSIISVDYGTQRDVQVVPFEPSNADSESPGPDRSNIVSEFSVLDQGIEFPMEEELKAEQDKRDREEGIFNGFDCSDRPNLHPESLLEKKSESQKEECVVTESNTTEQHFDDKESLLKELESALNSVADMVKEDSDSQEETEYLKEHGGGKEIDSVVCSREAGFGYEESENLDQETYMELKIGYKEKGKSLKLDYATEAVADDFLNMLGIEHSPFSMSSESESNSPRERLLRKFEKDSLANGSSLFNFNVDDDEAGFGDDSPSASLWGPIPEEFNTSSMLHASEDMLKSGIQEAQNKTKASVLEDLETEALMREWGLNEKVFQRSPSNTAGGLGSPVGLSPEEPLELPPLGEGLGPFVQTSNGGFLRSMDPEIFRNAKSGGNLIMQVSSPVVLPAEMGSGIMDVLQCLASVGIEKLSMQANKLMPLEDISGKTMQQIAWEATPTLEAPERQASLQQEAAVGQVAPDHPNIQSSGRRSRKYEMGVADPEYASLEDLAPLAMNKIEALSIEGLRIQSGMSDKDAPSNISPQSIGEISALEGKRINFNGSLGLEGTGGLQLMNIKNSIDGGGDDDIDGIMGLSVTLDEWMRLDSGEMEDGDEMSERTSRILAAHHASDLMRARRGKGSKKGGLLGNNFTVALMVQLRDPLRNFEPVGTPMLALVQVERVFVPPKQKIPSSIYALWKKPEEEEKDEVQEEMKVKVEEEKIPVEESVSVPQFKITEVHVAGVKTEPSKKQIWGSNTKQQQAGSRWLVANGMGKKNAKHPLMKSKAAGASDKSSSGSLWSISSKGKKGSMKVDPPVRNPNVILPNETVKLLSGVGFV >KVI01166 pep supercontig:CcrdV1:scaffold_266:242522:246258:-1 gene:Ccrd_020554 transcript:KVI01166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIPPTEKSVMGTLENVSLPQTNPSTGSRRRGPLRSRTVDTLLRILSVCHAYSSNVNLDSVCQEEISGDETRRILSSQEGGLYSKHVESTGCYDNTSGERKDLSCNVDTNPSNSFSIEDQDNYDSVFEDQDSFPMIPISLADMMVSSPHLPIDKDVEEGEISGEFMDFMLDDDMASMDKTGLEPQDVIGIEPGHLIDNNLISSATDTVDDVGKDTVSVVSKSRRNLVDYSEIAINGIQAVNPERLHGRLETAEETAGIESGCTPLCPEHVPPLQSSLQNSASKNQDCVSSVKDNRAQNNRKRQGSCTGEERTNKKGKKSIDATTCSENQTMLGEHPEDASLEVTDASANK >KVI01179 pep supercontig:CcrdV1:scaffold_266:154926:157605:1 gene:Ccrd_020570 transcript:KVI01179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf20 MVSASLMPSPGYPNTNGYLRSKVSSVPFSSNLLKSSSSFKKTLYAKFWIFHLTQPFFIKDSKRMAWHIRSSADGSGLNPSSTNNNSRGTRLIRAIQAFQIKLNARFKDLRKNLPLKLLFFLTGFYCSTAFATVIGQTGDWDILSAALAVAVVEGIGALMYTSSFPLFNKLRNLVTMFNYWKAGLSLGLFLDSFKY >KVI01162 pep supercontig:CcrdV1:scaffold_266:58749:61623:-1 gene:Ccrd_020585 transcript:KVI01162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSLSTSVNILQDYPSVSHVFAPNFVTVKLSGRDKYGVWKTQMLCLFESHGMLGFINNADNFPAADDKKLWRRSDALVKGWILGSLSEQVLALCVPDFPSPDFTAKHVWEKLAATYDPQPHAAGDRTGDEERRNQETEIKDEKLANIHQQLYLAVEHKRLKEIESLLKTKQVKLADRISINGNTALHLAVACHTVKNRFLDRMLDLEPESSTRLMDVRNSDGSTLLHLAASLGNTDAARILVDRSQGLLFAKDNEGCTPLDILPWGPKNTDTYLYLLTMHAHPEQGTGSVHMISDERPLVNAISCRDFELACKLTKRYNVLKGDDDVLMAIAHHFPCDFGLIELINYELWKTPILRFVTRAALGYEVSSILDLNFMMLAIVVLGVVFYYFKRRGESREAARKLLVMVCGLIKDSSNCDHNYSKPIFEAVKRDAWDVVQHIVSCFPNTIRSVDEDGHNIAQAALKNRSLKVMAVITHGDQWAKHTNISQINVEGDDPFGNNLLHFAARLAPADKLNHISGAALQIRFELQWFKIAKRWLPQCIKEKNKVGETPEMVFTREHKELEMKGEKWLKDTANSGLVTATLLTTITFAAAITVPGGNDDKTGNPQFAGRTIFTLFAVSNALSMLTSATSLLLFLSIFTSPFGEKDFALHLHLRLLSAFATLFMSATFMIAAFGATLALMFGQTNSLFYWIIPLTWLPISCFGLYANSLVELPPKWAIRLLQRLRLVDRLFI >KVI01167 pep supercontig:CcrdV1:scaffold_266:237822:240596:-1 gene:Ccrd_020555 transcript:KVI01167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type LQPNFHHHHVFQRKDRIKRAEKNRKLGVKRLKLQPVIKEKKVTYCRHYLKGRCHEGEKCKFSHDTIPLTKSKPCCHFARHSCMKGDDCPFDHELSKYPCNNYISKGFCSRGSDSALNASNEPKTERKPSSLLDNSGSKKQNNIDVSSREKIEKKSCSIPISVTTNTERNLVESTPKLSIQPPKGISFLSQEKPPLESRTSLKVVADVVQDSKEITKTPLVVPRGINFLSFGKKPGLDHSDGGFSFKMVKGIGKSPLSIMEGESSKSDSTVKVNDGVKVDAKIGNNHNRQTNSQRPTLMLPFMSNSSQRALHSALDFASDFVPDLNAVSESENVQIWQLSL >KVI01181 pep supercontig:CcrdV1:scaffold_266:97687:100646:1 gene:Ccrd_020578 transcript:KVI01181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med20 MYIRVLHWQPNAGHTVSSQILAEVSQCVESINGVKEGKWKATLSFYRPVLKEQANAAEFPRDFLGISLPEQPSKYYFVLRGQRLVLEADSTIQTIMEKLQSYKTRVALNFEGFQYQLGDFQLRVGKVVSIQSESLRGIVMEMEYRPISSWEKSHKIMGEFFDIWQEALSKRSLPGHFVHMEPNFGEFGLSGQYTLQHTAVQYATIMLQMIATAQSVRN >KVI01170 pep supercontig:CcrdV1:scaffold_266:316145:320830:-1 gene:Ccrd_020551 transcript:KVI01170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 1, FAD/cytokinin binding domain-containing protein MIKVVDLASKLCTDANCIKTASSDFGKLVQETPSAVFYPSTNSDIARLVKSSYDSSSPFKIAARGNGHCVGGQAMAKDGVVVEMSSLNGNGRIRVSWSDSLGFYADVGGEQLWIDVLRTTMEQGLAPVSWTDYLYLTVGGKGDIMTCSKSLHSELFYGDQEHLISINNGPNYVEGSLIMKKNLANNWRSSFFSTLGESKVNSLASKHGIVYSLEVVKYYDEFNIQTVNEKDVSFEDFLNRVRIEELKLQSKGLWDVPHPWLNLFVPKSRILDFNQHVFIDIVQKQNKSSGPFLVYPMNRKKCI >KVI01158 pep supercontig:CcrdV1:scaffold_266:76708:87675:1 gene:Ccrd_020581 transcript:KVI01158 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MSKKSFNIYSKKEQKGGTSYAALSVGAPWIFRFKQHLISQWLCTSDVVLLAVTGVFQQYMVYQVKKIRLQGYYIFSQKLKHVIRLPFATITYGTAAILLVMVWEPHISILPIVMLLRIIMVAEVIFAGSFMSVYIGNVHQYNSLESHPDVLKSLYSPLQPSSSLEGLSYYDGGRLSDQQMALLQYQQENLNFLSEEIMRLQECLSKYERSDDGMTPQVDLAHLLAARDQELRTLSAEMAAEEPKSWDELYSIDLMPSELFLKFREQIQGFRVGLNLEFYNAPTNECQAKLVLKPLSHDRRWKFIYEPLHHDVRLVSKKIPLTKFLNLQVGIGHSFQLHTTGWKWKLTTCLGGDGVSRIRNKTCLAHPGITTKLNAFVVHQLLLGSKERPRC >KVI01145 pep supercontig:CcrdV1:scaffold_266:219398:222193:1 gene:Ccrd_020560 transcript:KVI01145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MAEEAGAPVTAVVETVKDDSSAAPQEMDLETVEPANGGKREREEEVTTESSENGNNAKKPKVDEEEKSVEEEKLEKEAAKTGPVSVGYKSFETSVQIFDYFFKFLHFWPPNLNVNKYEHAMLLDLLKKGHLEAEKKIGAGIHAFQVRYHPQWKSRCFFLVREDESADDFSFRKCVDHILPLPENMTVKSDVNKVLGGGSGGRGGRGGGCGNWRGRGRGGKPRN >KVI01182 pep supercontig:CcrdV1:scaffold_266:91958:96484:-1 gene:Ccrd_020579 transcript:KVI01182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MALWREFHQSSSKTLTVFHLSTLFSHHFHLQLKTSTDMTLLRSREVVSVTQKDLSPTSGVEIQPLTPVKEVEPTNKSSFQTTPSLVPASHNPGSVATTASGSISNQGLRRSARLSSKSPSYNVGFTTKRKLVDLQTGQHPSGINASGLFRVDGNGKVSGASRSRVRNVGVSRELLDTADDCEANRMLLSDLGTEQYDEEEVQSVRKLKSPRLPSVDVQVMDSESVQEDDAGKGCLKLRSGKELLKPAVKHVADDKKLSAPEDNRETREIESDAVVNRVEQGSLTIEPKRGSRGNINGSEKAWKRSSREDKKKGALVDGDGHEESGLEKKIKMISGEESETRSKATIGEEMDIDLVNGVDDTVKRDISRLRMKEKGKGKVVEIDSSSNCSEMVDSHVKSKEASLMDSTVAETVSMEMAAIERAATSTSARRGNMERFKNVAKRNASRFAYFSAQEEEDDPIVDEVELELPQMEANDEVEDWPGPFSTAMKIIKDRAANMPAQQKILTLDKSHSVPLIWVPKEKQQNDRPKKVAPSLQELCMTIIAENVDAIISLENVPDVLRHKLTHLLCDCRKMNTHFFDLLASGSPSEIRIKDCSWLNEEQFTKTFERSDTSNLKVLQLDQCGRCLPDYVLFTTLAHLPSKFSALTNISLKGACRLSDAGLSALVASAPALRSINLGCCSLLTSDGIIDLADKLGPILKELYIDECFGIDATRILPALLKLEHLEVLSISRFEMVNDSFIRQFVAVRGEKMKELVLADCTKLTDKSLKAIAESCPGLCAIDLTNLSKLTDISIEHLANGCQTIQTMKFCRNAFSDEAVAAYVEACGEPLKELSLNHVDEVGHHTALSLAKHARNLLTLDLSWCRNMTNEALGLIVDSCISLKMVKLFGCTQITNVFIDGHSNEEVRVTGLRGSQILKNTQVHDHSFPLRYSSV >KVI01174 pep supercontig:CcrdV1:scaffold_266:108035:110811:1 gene:Ccrd_020577 transcript:KVI01174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MARRRRGGGGDSRKPTTSNHHHQQQSPPQKDKKINDATTDHDNIHGDDLKKLNKPITNKKNWSCIDSCCWMIGSICSIWWFLLLLYNAMPASFPQYVTNAITGKIPDPPGVKLQKQGFKAKHPVVFVPGIVTGGLEMWEGHPCMDGLFRKRLWGGTFGELYKRPLCWVEHMSLDNETGLDPPGIRIRPVSGLVAADYFAAGYFVWAVLIANLANIGYEEKNMYMAAYDWRLSFQNTEVRDQTLSRMKSNIELMVSTSGEKVVVIPHSMGTLYFLHFMKWAEAPAPIGGGGGSDWCAKHIKVVMNIGGPLLGLPKIVAGLFSAEAKDIAVARTVAPGVDIFGFQTLQHAMRMTRTWDSTMSLIPKGGDTIWGTLDWAPDASHDCVAMKAKKNDTQVAGQNGKGGFDGSKSVNYGRIISFGKDVAELHSSKIHRVDFRGVVKGHNFANTTCRDVWNEYHDMGIGGVKAVVDYKVFSVDSVLDLLEYVAPRMMKRGSAHYSYGIAENLDDEKYEHYRYWSNPLESKLPDAPEMEILCMYGVGLATERAYIYKFSPPIADCYIPFQIDTSADGGSEQSCLRSGVYSVDGDETVPTLSAGFMCAKGWRGKTRYNPWGIKTYVREYDHAPPATLLEGRGTQSGAHVDIMGNFALIEDVMRVAAGATGKDLGGDQVYSSIFKWSDRINLQL >KVI01143 pep supercontig:CcrdV1:scaffold_266:866:1717:1 gene:Ccrd_020594 transcript:KVI01143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix MYSQEFTFCEKVKDRLRMYSQEFTFCEKVKNRLRNHDDYQAFLKCLHIYSTSIITRKEL >KVI01141 pep supercontig:CcrdV1:scaffold_266:10664:20574:1 gene:Ccrd_020592 transcript:KVI01141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLQHIGGMEHDDQSNSDFCNSILARYSNSTDPQHHHLCSIIGDISQGLKDLNHPLTPLAYFGATCTSLDKLLSSDPNPPSHHIDALVTIISMVLPCITSAVLRKESEYVSGILTRVILSHAVDDSVVTSGLKCISHLLVVGHRTSWSDVSHLFGILLGFIADSRLKVRRQAHVCLRDTMQGFRETSVLSPASEAIASTFERFLLLAGGSNTNTSEGSRAQEVLYVLDSLKDSLPLMSVKFSTKILNYFKSLLALHQSAATRRITDALYLLCLQPTVEVSPEALIDLLCSLAISVSSNEMSGDSLTFTARLLDVGMKKVFSLNRQTCVIKLPVVFSAFKDILASEHEEPLLVAMEAFKSLIHVCIDENLIKQGVDQIMTSGDLRKSGPTIIEKLCASVESLLDYSYAAVWDMSFQVVAAMFDKLGEFSSYFLKGTLKSLEDIQKLPDEDFAYRKQLHDCMGIALVALGPETFLSYLPLNVEAEDLSDANVWLFPILKQNIVGARLSFFNESLLNTVRVLKLRSVKHEQEGRIHSARNIDGLVYSLWSLLPSFCNYPLDTAESFKDLEKSLCRSLREESDFRGVICSSLQILVRQNKRIVEGKNEPTGKDASIREQRAISRYTSEVAASNLDVLRSSAREILSTLSGIFIKSTKDDGGSLQRTIGEFASIADKGVVSRFFKSTMQKLLKVTEEAGKVQNTKGSSSMEIDNSSNETSLSLTSYRARLYDLAVSLLPGLGTKEVDLLFVAIEPALKHADGFITRKLEELLKLMFEVMHSCHFSAKRHRLDCLYFLIVHVSKDESEQMKCEIIASFLTEIVLGLKEANKKTRNSAYEIIVQIGHACGDEDKGGNKENLRNFFNMVAGGLAGETPHMISAAVKGIARLTYEFSDLVSTAFNVLPSSFLLLQRKNREIIKANLGLLKVLVAKSQAEGLQAHMRGMVEALLSWQGSNKNHFKAKVKLLLEMLIKKCGIDAVKEVMPEEHMKLLTNIRKTKERNERKHAANTEETKSRLSKATTSRLSRWNHTKIFSDFGDEETDDGNSEYMDTKTVSGRHSLLNSKKSSFRSKRAGKSLPEDTSDQLDDEPLDLLDRQKTRSSLRSSEHLKRKLQSDDEPEMDDDGRLIIREDGKTLKREMPTGLDTEMRSLAGSNTSVNSRKAEKRRKTTESGWAYTGSEYSSKKAGGDLKRKGKLEPYAYWPLDRKMVSRRPEHRAAARKGMSSVVKLSKRLEGKSVSSALSINLKRGQKKKSHKKKHG >KVI01160 pep supercontig:CcrdV1:scaffold_266:50467:55961:1 gene:Ccrd_020587 transcript:KVI01160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MKAPPNAYQPNYGQGVRKVMNSELWHACAGPMVSLPPVGSLVVYFPQGHSEQVAASMQKDTENIPNYPNLPSKLICMLHNVTLHADAETDEVYAQMTLQPVNKYDQEALLISDMGLKQNKQPSEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDQSWTFRHIYRGQPKRHLLTTGWSIFVSSKRLFAGDAVLFVRDEKSQLMLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPAEFVIPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDGVRWKNSQWRTAGERPTRVSVWEVEPVVTPFYMCPPPFFRQKFPQQPGMPDGDTEIENAYRRGMPWLEELGMKDASSSVFPGLSLVQWMSMQQNHRFPSMQPGVFPSIVSSNSINGSLSFDDPSKLLSFQSPNLSVPSPQVHPGITDGDAPSCSTSPSTNNCHITPSNLLIKNQQGPSVVGDDSMVDPCSKFVHDLQSQSKSDARIKHELKVPEQPKYKGIATERSEPTTSVTSYCLDAGGLQQNFSIPNLCLEGDVVQSQTRSDVSFGANMDSLPPDALLSRGFDSQNLMSNYCGPPRDIEAELSAAEISPQSFGMPDISFKPGCSNDIAGNDGGVLGSGLWGNQTQRMRTYTKVQKRGSVGRTIDVTRYKGYEELRHDLALMFGIEGQLEDAQRTEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSYCEVQQMSLDGDLGNVPVPNQASSGTESGNPWRGQYDHENSAASFNR >KVI01150 pep supercontig:CcrdV1:scaffold_266:177427:181040:-1 gene:Ccrd_020567 transcript:KVI01150 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MKLAWLRSLETSHHDCVWACTWLPATDKSSGLLLTGSLDETVKLWNPDDLSLVRTNTGHCLGVVSVAAHPSGKIAASASMDSFVRVFDVESNNTIATLEAPPSEVWQMQFDPRGTTLAVAGGSSASVKLWDTAEWKLIAALSIPRPEGSKPSDKGNNKKFVLSVAWSPSGRQLACGSMDGSISVFDVQRAKFLHHLEGHTMPVRSLAYSPLDPRVLVSGSDDERIHMYDAEGKTLCSSMSGHSSWVLSVDVSPDGAAIASGSSDRTVKLWDPKMRAAVQTMTNHTDQVWGVKFEGGARGSLLASVSDDKSISLYNYS >KVI01146 pep supercontig:CcrdV1:scaffold_266:202910:205801:1 gene:Ccrd_020563 transcript:KVI01146 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MAVGKLPIIEIIIRYYYQRKLSSDRHDFFTSYNEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFIKGLDVIQQAQSGTGKTATFCSGILQQLEYNVVECQALVLAPTRELAQQIEKVMRALRDYVGVKVHACVGGTSVHEDQHILSAGVHVIVGTPGSSLRSDYIKMFVLDEADEMLSRGFKDQVGVFSATMPPEALEITRNHTRDIIMREFRSGSSRVLITTDLLARGIDVQEVSLVINYDLPTQAENYLHRIGRSGRFGRKGVAINFVILDDSRMLADIQKFYNVVVEELPSNVADFIYIKLLGGTSF >KVI01155 pep supercontig:CcrdV1:scaffold_266:50248:55100:-1 gene:Ccrd_020588 transcript:KVI01155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTPGCIDGNRWFCCMLIHWTKLKPGKTELEASFIPNSSSQGIPLLMSSKFKNISKQQNEGSKRRWVSPEIETREKGEGEGEGDDERNSDYNQTYHAL >KVI01148 pep supercontig:CcrdV1:scaffold_266:187754:189343:1 gene:Ccrd_020565 transcript:KVI01148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MASFLHSRFLELLLLLSFTPFLSANIQHTFPQCLSTIQIPNSFFTPTSPNFTLILNSTAQNLRCITPSTPKPLAIFTPFNQTHIQTAVICAKKLGIHLRFRSGGHDYEGISYTSAINLPFIVIDLSKLRAINVHLDDNSVWVEAGATVGELYYRVAEKSKTHGIAAGLCTSLGVGGHITGGAYGSMMRKYGLGVDNALDAKIINANGEILDRKSMGEDVFWAISGGGGGSYGVIVSWKLKLVPVPATVTVFNVPRTLEQGATKILLKWQQVAPKFDDDLFIRVFIQPSNLNGTTKRTISTTYNALFLGGVDRLMEMMTQSFPELGLQKTDCFEMGWLESVMFIAGFPETVPTTFLLTGKPAFLNHFKAKSDFVKTPIPETGLKGMWKRLLKEEMPLMIWNPYGGMMGRIQESSTPFPHRNGVLFKVQYVNSWMVAEKKAVKKHYKWIRKLYRYMGQYVSKNPREAYVNYRDLDLGMNDKNGENTSFVKASSWGRRYFKDNFIKLVKIKSEFDPDNFFRHEQSIPILPWK >KVI01149 pep supercontig:CcrdV1:scaffold_266:190036:198043:-1 gene:Ccrd_020564 transcript:KVI01149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGKFDLSSSSPSRPLYTSGKRGSYTAASMDRSASFRENMDNPILSSLPSMSRSTINVSQGDVTNFFQCLRFDLKSMAAEYKCNRHGDFKRLANAALCAPDDSSSGSLKGKLPSSSPEDLKRFKVGLRESTIKARERVKIFSEVLSVINKCFPSIPSRKRSRPDAFSGDRSNALLADRTAVGKMGTQSHASTGAFDYEQQKIEERGKNTIPNKRTRTSLVDQRADVRPNTPARSSGSVDRDREVLRLPNSTALQVEDRVLPIVADGWEKAKMKKKRSGIKADAAPSTSLLSAKPIDGCREPRQGMHPRSLPDAKSRLNDSHGYRPGAANGGIGAGKADSAQPASMGIRSSIPRPEQENTSFLHDKRDPTINLELERTNVRALNKANVREDFISGTPTTKLHAAARGPRSGSGSGSGSGSGVIPKLCHVQRATVSNGLESPHGTSKSPGGIGSTNRKRTLSTRSSSPPVAQWAERRPQKMSRTARRTNLVPVVSSNDEVSVLGNSDVTVSEKGRGFARRFPVNSPKQFKSKGDHLQSSPLSESEESGANEIRSRDNGSKSEEVEQKAGLNVQKMSTSVLPIRKNKLMSENDLAHGVCRQGRTGRGLASSRSVVPVQKLGIVGTAKQLRTGRVGFDKPESKLGRPPTRKLSGRKAYMRQKHSAITAATDFLVASDDGHEELLAAVNAVINPSCALSNPFWRQMDPLFGFVSDADVTFIKQEGNIRSTANTANPIDNCDSHPNGNMLVEPPQSGTGSSSECSEHLTSGTCPGGISLCQRLLAALISEEDNNEYTWCGNDDLKYDVYGPAFELEPDVESNAINLRSLQNFELGGSFASSQRVDSTLRSCNELGHSQSDNHVMSMADSAIATGFDHYYDGQLSDPAMMPSITCSHYQYASMSMDERLLVEIHSLGLYPARVVSRKKNLLNKLLKSTEEAKELQEKEFEQLSLDKLTGIAYQKYMSCWGSNAPGGKGASGKMAKQTALNCVKRTLDRCHEFEATGKSCFADPLFREMYLSELSRVNDAEVDAVVNGESEKVPMEKLSGTQLMPLLNNHDIYPSVNHSSEQTIGKDDIWSSRPKKRELYLDDVAGTSSGLPSAVGRAIMNSAKGKRSDRDREGKGNGREVLSRNGGPRIGRPASNVKGERKTKAKLKQKTTQLSASVNGPLGKISGPKSSEAKSSTVKEKDEFVLLEEPLDLSHLQLPGMEELGVGVGVGDGFGGQGEDIGSWLNIDEDVLQDEDFMGLEIPMDDLTDLNMMV >KVI01161 pep supercontig:CcrdV1:scaffold_266:57140:57730:1 gene:Ccrd_020586 transcript:KVI01161 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVKSPPPTTLERVDEAKYRGVRKRKWGKWVSEIRLPNSRERIWLGSYDSPEKAARAFDAALFCLRGNTANFNFPHQPPNIPGATDLHPSQIQAVAATYANESSNSPSPDVVINNHNYHLQTPAAASTSNNVNVDEQDSFLMDHVHTNDAVPDFGIFPGFDDYFMPMHPPLLTAHDYYGSEEQVFDSQDSSFQLWNF >KVI01140 pep supercontig:CcrdV1:scaffold_266:20884:29586:-1 gene:Ccrd_020591 transcript:KVI01140 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase 2 MAASSLVCSWIVAACMSVSCQNQQHSSLLSSKPPGSTNIRWARKRRLLSKCNNATTSNTIEAFMSSCLSPCDRYYTSHPLSLSSSDFFGAASLFRSTNVPLARRRRRPTPSGEAMAVAIQPSMETVTKRKPPTKQRRVVVTGMGVETPIGNNPNEFYNNLLEGVSGISEIEAFDCSNYPTRIAGEIKNFSTNGWVAPKLSKRMDRFMLYMLTAGKKALADGGITEDQMDELDKSRCGVLIGSAMGGMKVFNDAIEALRVSYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAVIIPIGLGGFVACRALSERNNDPVKASRPWDSGRDGFVMGEGAGVLLLEELEHAKKRGAKIYAEFLGGSFTCDAYHMTEPHPQGTGVILCIEKALSQAGVAREDVNYINAHATSTPAGDLKEYHALLHCFGQNQELRVNSTKSMIGHLLGAAGAVEAVATVQAIRTGWIHPNINLENPDEGVDTKVLVGPKKERLDVKVALSNSFGFGGVCFIAMLMKTMNGGKEKQWKMKENELM >KVI01173 pep supercontig:CcrdV1:scaffold_266:106629:110818:-1 gene:Ccrd_020576 transcript:KVI01173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbX MIPIIATHQSDPPPPPIGAGASAHFIKCKKYKVPIECGITTTFSPLVETMSSILLFMRLRSSPKVPPQSLFLNNPSIQGWPSHISSPPVTMPDLQELEKQQQQSYPMATSACAVSMPLTHKTALPNSTFFNPLTLSSSSNRVKTKRMVLVQSSFKEKAVTALTAAALTASMVVPDVAQAASGVTPSLNNFLLSIGAGGAVLVAILGAIIGVSNFDPVKRG >KVI01180 pep supercontig:CcrdV1:scaffold_266:138170:151737:-1 gene:Ccrd_020571 transcript:KVI01180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dil domain-containing protein MAAPVNIIVGSHVWVEDPSLAWIDGEVTRINGQELHVHATNGKKIVTNVSKVFPKDTEAPPGGVDDMTRLSYLHEPGVLQNLAARYELNEIYVRCHFIFHRRAGFGELSPHVFAVADVAYRAMMNEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEDREKFKLESPQSYHYLNQSKSYELEGVSDAHEYLATRRAMDIVGISEEEQRSVQDAIFRVVAAILHLGNVEFAKGEEIDSSVLKDEKSRHHLNVTAELLMCDAKSLEDALIKRVMVTPEEIITRTLDPEAALGSRDALAKTVYSRLFDCFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAINWSYIEFVDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYQTFPKNKRFIKPKLSRTSFTIAHYAGEVNYLADQFLDKNKDYVVAEHQDLLTASKCSFVVGLFPPLPVESSKSSKFSSIGSRFKGVLEAIRISCAGYPTRRTFDEFLLRFGVLYPDVLDGNYDEKVACQMLLDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLGNAAKIIQRQMRTYIARKEYILIRRAAIQLQACWRGLSACKQFEQLRREAAAVKIQKYFRCFVASKSYLTLRMSAITLQTGLRAMTARDEFRHRKQTKAAISIQAHYRCYREYSYYKSLQKAAIVTQCGWRRRVARKELRELKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTELEETKSQETAKLQDALRLMQIQIDEANAKVIKEREAAQKAIEEAPPVVKETPVIIQDTEKVDTLTAEVESLKAMLQNVKQEAEEARKSLIEADARNADLMKKFEEAEKRADQLQESSQRLEEKLSNMESENQVLRQQALTMSPTGKSISARPRTMIIQRTPENGNVQNGESRHTPETTHALSNSREPESEEKPQKSLNEKQQENQDLLIKCITQDLGFSSGKPVAACLIYKSLLHWRSFEVERTTVFDRIIQTIASAIEVQDSNDVLGYWLCNTSTLLTLLQHTLKASGAASMTPQRRRSSSASLFGRMSQGLRASPQSAGLSFLNGRVLGRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQYAAYLMQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVRSLDNYLKTMKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKSGLAELEQWCVYATEEYAGSAWDELKHIRQAVGFLVSFAFLASYAFHKLFILIIFSLCCTRSAYMYYVQVLSIQQLYRISTMYWDDKYGTHSVSSDVISNMRVMMTEDSNNSVSSSFLLDDDSSIPFSVDDISKSMPQIEIGEIEPPPLIRENSGFVFLHQRTE >KVI01139 pep supercontig:CcrdV1:scaffold_266:32004:35919:-1 gene:Ccrd_020590 transcript:KVI01139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNEGGVSEIDRLEKGLLSDTREGNDCEDEDEEPILFSASFEEAEDDFIKLKTTQWILYSLLLILAWGFGFIMFLYIPVRRCILRRMMRSRKLYVTPNAIVYKQVTKPVPFPCFGVLKKEKHVLLPSVADVVIEQGYLQSHYGVYSIRIENVGVRRPPSDDVQIEGIANPQAFRKVVMMRLSKMRNQAYSRQASIPEDFGSPRNVQSYNAPMSPSKSLAHDTLSHVGEVAILQKLEEVGNSLKRNKVVVPARPGVVYDGGEQV >KVI01171 pep supercontig:CcrdV1:scaffold_266:277333:278901:-1 gene:Ccrd_020552 transcript:KVI01171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MLSSRFLFFFLLSLIPFSSSNGIQDAFHGCISSILAPHTFFTPNDTAFIPLLHSRAINLRFTTPSTPKPDLIFTPLNETHIQTAVICAKKLGIHLRFRSGGHDYEGISYTSVMDPPFVVIDLSKMRAVNVDVAGNSVWAEVGATIGEVYYWVAEKSKTLGVPAGICTSLGVGGLVTGGGYGAMMRKYGIAADNALDAKIINANGEILDRKSMGEDVFWAIRGGGGGSFGAIVSWKLKLVPVPATVTVFDVTRTLEQNATKTLYKWQQVGNEIDQDLFARVIIKATDGTTNRTITTTYNALFLGGANQLLEITNRKFPELGLAKVDCIEMSWIESVLFIAGYPRNTPPSVLLTGKPTFLNSFKAKSDFVKTPISETGLEGIWKILFEKESPFMIWNPYGGKMSEIPESSIPFPHRKGILFKIQYVTTWTDSGSETESDHVGVIQKLYKYMGQYVSMNPREAYVNYRDLDLGMNDKNGRNTSFEKASLWGRRYFKDNFKRLVTIKTEFDPNNFFSHEQSIPILG >KVI01144 pep supercontig:CcrdV1:scaffold_266:211799:216968:-1 gene:Ccrd_020561 transcript:KVI01144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene cyclase, beta/epsilon MECFGARNMTATMAVFSCPRFINYNITHGFSLLQHRRFTSLSLSSSLRQIKCSAKTDGCVVVKEGISEEDEEDYVKAGGSELLFVQMQQNKAMDSQSSLSDKLPQIPIGDCILDLVVIGCGPAGLALAAESAKLGLNVGLIGPDLPFTNNYGVWEDEFIGLGLEGCIEHVWRDTLVYLDDNDPILIGRAYGRVNRDLLHEELLKRCVESGVSYLSSKVERITEAPNGHSLIECEGNVTIPCRLATVASGAASGKLLQYELGGPRVCVQTAYDYTKNTAQSLEAEYPTFLYAMPMSPTKIFFEETCLASRDAMPFNLLKTKLMSRLKTLGIRIIKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATAWETLWPLERKRQRAFFLFGLALIVQLDIEGTRTFFRTFFRLPTWMWWGFLGSSLSSTDLVLFAFYMFIIAPQSLRMGLVRHLLSDPTGATMVRAYLTI >KVI01163 pep supercontig:CcrdV1:scaffold_266:63441:68516:-1 gene:Ccrd_020584 transcript:KVI01163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGGYFYERRTKQLSLFFIGVVLTTIVVWYWEKTPLLTTLLPSHGQVLQLFPAGEVKVKQVDDLTEADGVVNLVSPPSSRNKPPLDIRGNGVVDSVSPLSSINTPSSDIRGDKYSMTAQEKHHENTTSRVGKPVSGEVSERHNDSKPPKQQLVEPMTPKKLDSASGREADGVPMTNVEKQENTTNFVENSVSERYSDSKPPQQQLVEPMAPKTQDSAVERKGVGVPVSNVEKQACNFGKGRWVTDENRPLYSGFGCKQWLSGMWACRLTQRTDFAYEKLKWQPKDCEMDDFTGVKFLKRMQDKTVAFVGDSLGRQQFQSLMCMLTGGEERHDVEDVGKKYGLVKARGSVRPDGWAYRFQKTNTTILYYWSASLCDLEPLDPTNHTTYYAMHLDRPPAFLKRFLSRFHVLVLNTGHHWNRGKINGNRWVMYVGGKRNTNRRIAEIGGAKNFTIYSIVNWVNGELKKQGGLKAFYRSISPRHFFNGDWNSGGTCDSTTPGSVEVEQDESSDSIASGAVKGTDVKLLDITGLSEVREEGHISRYSIRGTQGMQDCLHWCLPGIPDTWNELLFAQI >KVI01168 pep supercontig:CcrdV1:scaffold_266:233992:235258:-1 gene:Ccrd_020556 transcript:KVI01168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRIWLMMMMMMMSCASASASARARHMGLLEQGQLMQSPFLTPQPQEGIGLSSRVGSRPPRCEHKCKGCSPCSPIEVPTAHLGPQYANYEPEGWKCECGSVFFNP >KVI01142 pep supercontig:CcrdV1:scaffold_266:1851:4163:1 gene:Ccrd_020593 transcript:KVI01142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix MYSVSNLLGKHPNLMEGFSAFLERCENIDGFLAGVMEKSICSYVEKSLLYDGNVSKSTKTEDKEREHRREIDVAKEEDRYKEKYWVKSIQELDLYNCERCTP >KVI01157 pep supercontig:CcrdV1:scaffold_266:70579:72216:-1 gene:Ccrd_020582 transcript:KVI01157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MVSLLWLCLLACILHPSSSITTSKQTYIVQINHHQKPTSFLSHHHWYSHHLQSLTSAPPHALLYSYTTAYNGYAAYLDPQQAQTLRGSDSILGVYPDTLYHLHTTRTPHFLGIDNQLPFLSAQTSQQQLNRPISDVIIGVLDTGVWPELKSFDDSGMPAVPTRWRGECEEGEDFKGTLCNKKLIGARTFYKGFSMATKLREDTTEKFSPRDHDGHGTHTSTTAAGSQVGNASLFGYASGTARGIAVHARVATYKVCWKLGCLGSDILAAMDKAISDGVDVLSMSIGGGSKPYYEDSIAIGAFKAMQMGVFVSCSAGNSGPAKASVANAAPWIMTVGAGTLDRDFPAYVDLGNGKRVTGVSLYSGNGMGDGPVELVYQSGEWNNNNTRNLCLTDSLQPDLVRGKVVLCDRGVNPRTEKGMVVKQAGGIGMILANTAESGEELVGDSHLLPAVAVGKKGGDEIREYLKREAKPRVVLRFGGTVLGVKPSPVVAAFSSRGPNKMTPEILKPDVIGPGLNILAGWPDAIGPTNLEMDTRKTRFNIVSGT >KVI04340 pep supercontig:CcrdV1:scaffold_2660:37744:60057:1 gene:Ccrd_017349 transcript:KVI04340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNEVKQKASMELEIEAVSPMQTMAQDGIDFDANLSMDQPMQWEEVSSLGHKGITLGPKSTLGINFESRMDQGPVQKEMCGLDWELVKKQIAKNDYDAIVNAKGACSPKPMHCEHLPSLPWAMISRMYACKLINNRKSYGSGLGTEDDFSILNIGPHANKEESHGSQDNFHKAKPAISEFMGVDMDCPTSFPGVTVELILVLEIEKIGDLKKILGKTNEVPARETGSSVRVVAPLLPPHDRIMLSLKQYSPRMEATSNIQDFLKKKHLQLAAWHQWTSLQNLTFFSLK >KVH87678 pep supercontig:CcrdV1:scaffold_2661:4554:5171:1 gene:Ccrd_025028 transcript:KVH87678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGFLITLFFLISESVKKQNPSQIGAVLRVLFMSLPTLILQTVVVFFNPLKAQLPWMMIRSSFLSVDESGNKSMRCTYPLLSSIVFGAFGVVYSVGLLICCWRVVSLVINKKMKLRIEVLGWTVMMSVLVESLLLGVVGIWKPESIWYGGVLLGMFVSVAICGGVAEIVLVIKPIVEALVAAGDYCQWNPVVRYMQPVEDRGNI >KVH87679 pep supercontig:CcrdV1:scaffold_2661:23458:28075:1 gene:Ccrd_025030 transcript:KVH87679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATVAVAPRGISMPMPSSRKERRVVSDHPLQNPGNEVQQGRESLDLDFFSVTVAGGSDHELRLDDERFDSQIKEHIAANLKLQEQLHERENSIQDLHRTLEDKDKELHANRLDHEAAWAKEDLLGEQNKELATFRRECDNSEAEEKDQQLIEMQEQHRVAQETIIYKDEQIREAQAWITRAQEMDVLQSTTNHSLQAELREHMELYNLVFAEMQQLQHEFSEARGRSDTYSDDERATQKNLKDAFHFWHIKGSEQESSGGSSPADFSKGSSKSECRNHFIICFNWECIYTGQLTAASICYASTGSTPKCECTSCSFSCWPVPFHTYRFFSRKLAKSTGTESHSVVPSPNAGGPITKSHQFLQVHESIDNTYTGEPQSQQILQHISSQFRGSINLNHSDHDNEHRRSSIVGKIAETVLDEESLLACVVRTIPPTPLEE >KVH87685 pep supercontig:CcrdV1:scaffold_2661:65690:68362:1 gene:Ccrd_025036 transcript:KVH87685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSQIHAKSPQHCAKKKLVNINNLHKKLLYTFTTFFSSILLLILLVWFILHPAKPHFSLKEADIYQLVVSGTHLLNSSIQLTLLSTNPNQKVGIYYEEIQVYASYKAQQITLHSYLPPFYQDHKDTNLLSAALVANSLPVAPSFNYELGRDQTAGRLVLNLKANGLLRWKVGTWVSGRYRFYVNCVAVMVFGPSAPAGNGSPHQSTLRSIVHNTLIMQPSQKWVGLLALSSSSTFILTIPATPKQFIGNSNGYKHQLTAEGNHIR >KVH87680 pep supercontig:CcrdV1:scaffold_2661:31060:32644:1 gene:Ccrd_025031 transcript:KVH87680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MAATLGFPFTAAQWKELERQAMIYKYMVASVPVPYQLLLPIIQNLPPAAAAAASASRSPLVSQLRYSTKQDLEPGRCKRTDGKKWRCSRDVAPNQKYCDRHMHRGRPRSRKHVELSKNPSPSSSLLPNKTPSLHSNPCKVAGSTILPSVTQPSLFLDDMQSNKRGSDWSTEQQWRQIMQTSRSIFNDDFGEEQPSFTDFGTSHDYLLSETPRRDFIDAWSTNKSTVIKDSSGDLSPSALDLSMAMALGNNGFGELGVCKVSGLVSPVSCGGGPLAEVLRPSLVGVCSIPTSPAGSSPSGVLQRTMPSVSDSSVCNSAIVAASSTPTDIVAFQWFT >KVH87686 pep supercontig:CcrdV1:scaffold_2661:68841:69650:-1 gene:Ccrd_025037 transcript:KVH87686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPQPPPPSLHKTLPKSFSDFIFSLFTLYASSPKLTSTYNHHRRFSRFPVIPLTKTSIHHFATPQSLSDWLRPRLPSDSFAAWGTRPGTKNIHNLWLELYEGETSLADSTPPLRTVQVVVVRVRDDKNRILIESHQELSNGDVRNRSRPLSEKMKPGETVEEAVARAVKEELGSIITASCLHDDILKIIPDSYSSKVEEKVSVSYPGLPACYVLHTVDAMVDGLPDSGFCTIEDEKKQLLDDKEEAEGAVSCTKHYWKWVDSNTVSF >KVH87684 pep supercontig:CcrdV1:scaffold_2661:60914:62809:1 gene:Ccrd_025035 transcript:KVH87684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MAPLITSSMNKPSSFLCCVDFINPPTPPFFSWPWPSSSLIRGQGVVTLHGSSRLKFSPTLQNSHLTLLGLPLSKRRGLFQCNVLQATHDSDSGISLFSLFLEMGISKKVTEALLDNHPALKLSPFESIRAQIHGLQNLGINGHVLFRLVKKRPDVLIAKEIDSLVCFLSRDLKGMIEPAQLARLLIATDTIFLSGFEGKVCLLLDFGIPKERLAHVLNNVNLTKALCLKSSEEIERMVAFLNRFGGVELILGRPAILNFDLDSQLIPRIEFLLNLSGGDEVATTTVLRKLPFILAYTVDHYTNHVEFLRSYAGLTNEEIFKIILVYPSLFSASQKRKLLPRIYFLKQCGFSSNDIFKLLIKAPLFLSLSFEENLAYKLALLIKIGYEHRTKELALAMGSVCRTSCKNMQEVISLFLNYGLTYEEILAMGKKHPQVLQYNHKSMKQKLDYLTEEMGCEVREMLAFPAFLGYGFDGRIKHRFEAKGKFLGEGLSINRLFSMSTARFSKKHEKKLSVTKSR >KVH87681 pep supercontig:CcrdV1:scaffold_2661:33114:35123:-1 gene:Ccrd_025032 transcript:KVH87681 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MIKKRSKRRWIFKKSGLDETTTIILHHESIINPIPQTDPISHSHYSTSEDQKHNAAALVIQTLFRGYLARRALEALKGVVKLQALVRGHNVRKRVNIRVQARLSHHRRNQISDHNSIHTRKRSAERWMEEIQAMLQKTQLAAHHHQEFDQDWEWDMSRRCQRRSLSPSCKTSQNQPHASSSSPSPSSRISGKRHSLPSYMSATESAMARITSPRQSKWSTDVKKSTSCARKRLCFNNVSHKKYMNSDGSEVEEKLMSERRLSICSCKERNESESESGRPSMSEESRWFR >KVH87682 pep supercontig:CcrdV1:scaffold_2661:40440:40868:1 gene:Ccrd_025033 transcript:KVH87682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAAASTTFGPPSSPLLLNTIRISPPPLTLTPTPPCSAFFPSSTRLPVVRAIGKPRSIGNPSKSKPSESSEQKWVAKGLITESLPNGMFWVRLENGDVVLGYVSGKIRRNSIRMLPGDKVKIEVSRYDSTRGRIVYRIGSKDV >KVH87683 pep supercontig:CcrdV1:scaffold_2661:47539:52493:1 gene:Ccrd_025034 transcript:KVH87683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAIGEISAVHLRSPSIINTLGAGVSAIRLGAERSLFLRLLLLLQMESAWTNMSYGTIHRDVNGVWEESVSSQAVEHLGAYEHRSLWSTAHHEDCSIGLLHSFVLVNFVIVFHLEAVKNFIQDQKMSGRFSRTIYVGNLPLDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFESSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSSDRRGDYGGGRSSGGGGAGRFGISRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVSRDSDGTFGLVDYTNYEDMKYAIRKLDDTEFRNPWTKTYIRVRRYDRSPSRSPSRSRSRSRSLGRSRSRSNSLERSVSKSPSKSRSASPVKPSRARSRSRSESPRPRQVRSP >KVH87677 pep supercontig:CcrdV1:scaffold_2661:15698:16117:1 gene:Ccrd_025029 transcript:KVH87677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDKHFLSFHLHLHHHHHHHHHQQQEDQETKKDQHNMSNSIPKGCMAVMVGHEGEEQKRFVIPVIYIYHPLFMELLKEAEEEYGFDHRGPINIPCDVQQFSNVQGLIKKDDFFYNHQHHHHHHHHHHHHHHIWCFKA >KVI02332 pep supercontig:CcrdV1:scaffold_2662:36:3666:-1 gene:Ccrd_019375 transcript:KVI02332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRRRRASEVPPKIRSFINGVVAAPLESIEEPLKSFFWDFDKGDFRHWVDLFNHFDTFFEKYIKPRKDLQVEDGFLELDPPFPKEAVLQILRVHHLSTLLASTDADVVESCLQTLSYFLRKSIGKHILRDTTLSSKLFAFAQGWGGKDEGLGLVACAVENVSVPVAQELGSTLHFEFYAVNESLKKNSAAEQSTEGLQIIHLPKISTNEKSDLELLHKLVEEYKVPRGLRFSLLTRLRFARAFSSFAARQQYTCIRLHAFVVLVQACGDTDDLVSFFNTEPEFINELVTLLSYEDAVPEKIRILSLFSLVALCQDRSRQPTVLSAVTSGGHRGILSSLMQKAIDDVVSKSSKGSVLFAEALLSLATVLVSSSSGCSAMREAGFIPTLLPLLKNTDPQHLHLVSTCVHVLEAFMDYSNPAATLFRDLGGLDATVFRLKVEVSNVENGSKQEGTSIEMDSSECSNGQVFAGTSSELDSMQPLYSEALVSYHRRSLMKALLRAISLGTYAPGTTARVYGSEGSLLPHCLYVIFKKAKDFGGGMFSLAAIVMSDLIHKDPTCYSILEEAGLPSAFLDAIMDNVLCSAEAITCIPQCLDALCLSNSGLQAVKDRNAL >KVI02333 pep supercontig:CcrdV1:scaffold_2662:9358:11424:-1 gene:Ccrd_019376 transcript:KVI02333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MNPRKHILFLLIFSSSLFFHPSMAYPRNVAFEFPFLPLQNFTLLGDSYLRNGVAGLTLESDVPASSAGTLIYTTPIRFSDNRTNSTASFTTRFSFSIVSLNPLSSVGDGLSFFISPENHTLGSPGGYLALVNSSKLTQNKFIAIEFDTRLDPYFNDPNENHIGLDINSLNSIKTSDCMSAGIDLRTGISFTTWIDYDNDRKNLQVFLTRSRSKPDYPILAMEIDLSSYFQEYMYLGFSGSTEGTTETHLIENWSFKSSGLKHFNPRINDPHNVTDNTVVKRPPVSDSGDFRKKIQFGLEVSGPVFLFAVLVFFGYISVKKWKSIRIEMNIKAEVTRRPREFSYRELKIATHGFHSSLIIGNGSFGTVYKAFLLTSGTTVAVKRSLRSHEAKTEFFSELSVIARLRHKNLVQLLGWCVEKGELLLVYELMPYGSIDKVLHQDPDHCGFLKWGNRYNIAIGLASALAYLHQECDKLVIHRDIKASEVLDAVDKRLNGEFDEVEGKRLLMVGLSCANPDSEMRPSMRRVLQILENEAEEMVVPPVKPMANFSTSLPMSLEDLVSSSSSDDEEAVEGNGSRETSDSTFEICIDASGK >KVI02336 pep supercontig:CcrdV1:scaffold_2662:44078:51131:-1 gene:Ccrd_019380 transcript:KVI02336 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK, C-terminal MGEEDSTTEVAEAVAANGTVSSDKAAKDVSENKEGEKEQVEEMDEDRKDDENGKKTKEVEVDKEDAEVSKDDKEGEEKEVNDDKEGGEEKETEEPKSEAMEVDNKASADEETEKENAEVADMKEEEENQEEIEEEEENQEEIEEEKENQEEIKEKGSKKSARKKDGVDSSNNKKKASVEKKRKESKKEPTTPAPPTIERPVRERKSVERLVAVIDKDTIKEFHIGKGRGTALKDIPNVAYKLSKRKATDDTLKLLHTILFGRRGKALQIKSNILRFSGFVWHDNEEKQKLKVKEKLDKSNKEKLLEFCDLLDMPVSRATAKKEDIVIKLIDFMLVPHATTSELLAEKEQSSKGKKRKRPSKKGSPTSGATPSKSSSKKQKSKSPSEEDNKKSPPETEDSEEEHEEEDDEEGEPQNVNGAPEKSDDTASEEQVSEPDPESEEDSKKRKRGSKKSSSKKEPAVKPKPKKVVTPKKTSSSPQKKTPPAKSSTSGSKDKDQTEPVPKTFSRKKKKDAVDEKPVTPKKPASKEKTGKKTVKEKEKPKSEKQKLSDDDLRAAICEILKEVDFNTATFTDILKQLAKRFSTDLTSRKASIKFMIQDELTKLADEADEEDEEEPGKAAASGKGVEA >KVI02331 pep supercontig:CcrdV1:scaffold_2662:37733:43030:1 gene:Ccrd_019379 transcript:KVI02331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDQNESHGGGDANEQFHQNEAISAVVDEGFLGEDDDDYEDLYNDVNVGEGFLQSLRKNEDLGFKNEEEVEKNKSEPVPQPVQHPQAVDDGAVGGGGESKFESNDGVSSRVEANSNVGLGVNEMGGMGSGGPVGGGLRVELGQSLAKVGEVESRTVSNNGMPNIGMGQQQPHHVGTVGSTGNMGSLGNAGGDSLVGQGSGNVNGSGGNVFGNGGGGGGMSMGGGGGGGVGGGGAGGGTILFVGDLHWWTTDAELELELCKYGHVKEVKFFDEKASGKSKGYCQVEFYDPSAATSCKEGMNGHVFNGRPCVVAYASPYSVKRMGEAQVNRNQQMAQSSVSQAKRGPGDAPAKPAGNNMSAGGNFQGSGGNYQGGGDNRGFGRGNWGRGNAQGMGGRGPGRGGGMGGRGGMGGRGMMGNGGNAFGQGMGGPPPMLHPQSMMGQGFDPSFGGPMGRMGSYGGFPGGPTPPFSGMLPSFPPVGNVGLPGLAPHVNPAFFGRGMPMNGMGMMPTGGVDGPNMGMWSDPNMPGWAGDEHGGRVGESSYGEEAVSDQQYGEGSHDRGAWPNAAKEKDRGVERDWSGTSDRRIRDDREPGYERDVPREKDAVHEEEWPERRHRDEREGGRDRERDRDRDRDRERDRERSRDRERERSRDRERDRDRERGRDRHRDERDRYADHHKYREVEPEYDDEWDRGRSSRTHGKSRLSQEDEQRSTRSRDAEYGKRRRVTAE >KVI02338 pep supercontig:CcrdV1:scaffold_2662:63561:67569:1 gene:Ccrd_019382 transcript:KVI02338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MAESGQSFVEWKEEFVSKERGKRVVHYFLKEKSGESVLAVVGTERSVRHMFYVVAEEFLRVNELNRSVNAAYRWRSRREVVNWLTSMLSKQYRQDSPKGDHVSGFNTVYQNRPARNLKLRTPDIVWSGATWTCGKQLKHFPAFCRNGITIAVQSFVFVMAEEGKRHLAFLEDMYEDRKGQKKVKVRWFHHNLEVKGVIILRNTHHKEVFVTPYTQVISVECVDGPAIVLTREHYEKCVSNLPEDLLTRVFLCFRQFKNNRVKPFKLSKLRGYFDQPIFSFLDRGYIEDDEDCLGDNAELGLKRYSRVISYEILRKKLIPKYAKNRQWDIPCFKANEKVELLCQDSGIRGCWFRCTVLRVARRRIKVRYDDLIDEDGSSNLEEWVSAFRLAIPDELGLRHSGRPTMRPAVTQDETDVGFEVGSAVDAWWSDGWWEGVVTEINASENADIQVYIPGETLFLKVHVRDVRFSRDWVGDRWVNVKGNPNARLLISDVALKEGRRNEIYADDVRMEVV >KVI02334 pep supercontig:CcrdV1:scaffold_2662:16275:23974:-1 gene:Ccrd_019377 transcript:KVI02334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLEKLFLQIFERKDGIIQQVQQQADSYTQQLASRLLIDGITPPPWLLNPNCNSRSLNPNDLEKEEIISRLLLQPSRDSVPYSIGGFSRYYRPVVAGGNGQLSTEICMETHAHNQGHNVSHEPMTMLECRNNDTRHSLNCVTEQDDSVNSPQNETDARISSIYAAPDTSLARIQRSKSRQKARELRTNAKTAGKSCLCRENRTHISFSGDSVSKSEIHEITQDKCPSELDKCNHISGANSVAAEGKGDKGNVGTSYSSRPARSGSSYEKPSCLNDHRKTSLSPEKEELDGSILVPPTGKSLEQSGLVSGAMEGTGLPDVSLGSCAAKKSITGKAQDIQRQSKLCSGRITRSRSSIQQTSGINKSPKLGKSSSCNPKEGRDALPISVGDFTHGLDASNELLDAVETSQVLSVRIGETQAINSNEGVLKPVISSNIAALRVTRSRSGSIKEHLLGANHQENIEMVVGNAPMDEPVVMQPVNYGIKLDPVTLCSESGGLASRQSPECCMIVKPKQLNFDETDECDLNGICSPLSKKRKLSGLSGQECYPSKESASSTDHKYNSTSFEQQSPMGMVISSKSKAARTRSQSNIDECATDEIVNIRLDRNENSMVDDVEHYSTFPLHHDVDVSCEVDLGHKGEESAVYIPESENPKSLFISSLTKQVNKESEDCFDNEERIPEQDLGSIKSKEFEVGMNMKLSNLNSAKVGTWPWELQREVEDQPICFSDSQGCRVHVQRDAIHCDSEVPEKNLRSIGETASVLSSEKIHVSHSSGIQSCDKEVQHGMMCDLPEGTESLHKLQAPEATITEVDDDTSEIPYTLEQSGPTHVLNLIEDAADESTYSLAVDVGAANLASNDEVAVADNINTERSLPERVSCLGRDRLFSYGSFQCLRNDDKYAVDSDEIMSVYEGFIIDEQVENAGMENDEGEIDFDSMEIPSTTFARASILEQICKSASTQTPLSQFSSTFKQHQIPDLCGFMADGFLDHMDLGNTMSLEEDSKKHLRASDSHITEVDSAFSKQQNFDLLPFSSTPFCWQSKNHYSSPVGKFWERSASSSGSSEKRLSSNPELTCFPIEEDPSSNEESENAEESDELQESIISGVANDVEGGPPIEPVEVCFQHPITVSTEMKIARRENDEEVAVEIEEGFVSRIKDDLAERKAPLKSTEVCSQHVNPMSTNMKYSDRCSSYSANKEVSLKQKPANHSGIKVSRYEENRTSSIATRASSRGNVSLQTEYSKIKSMRKGIPRVSQKEAKGGNIVSSIRSFIPIVQQKQAAAVCPGKRDIKVKALEAAEAAKRREQEKENERKMKKEALKLERARMEKENAREMELNKKKQQEEKKKKEADIAARKRQREEDERKQMAKKRKHVAEARKEQKLQYEKSRAGK >KVI02330 pep supercontig:CcrdV1:scaffold_2662:27494:35735:1 gene:Ccrd_019378 transcript:KVI02330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MDDALPLDKLLLESKNENTNAGAETFIFVQGLIPAEHRIAMCQLACKSSELIMVDTWEAKQSSFQRSLTVLSRIRSFFCDNGLIPSASLKVMLVCGSDLLESFGIPGAWIPEQVRSICRDYGIVCIRREGQDIKKIISRDDILTEYKSNIEVVDELVPNRISSTLIRDCISRGLSVKYLTSDDVIDYIKRHQLYTNPTN >KVI02335 pep supercontig:CcrdV1:scaffold_2662:57083:59931:-1 gene:Ccrd_019381 transcript:KVI02335 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand 1, calcium-binding site-containing protein KSLDLPLNPISALFNFATLIKEEFFFRGSFLQNFLDLSSHHHRVFTPPCSKNPIIFSSYSSKFLAMTSEAKKQDSPKRPRLKDQTASLKRRFRRIKSAPRANSSISNGKHAAPAESLPKPKSVFDHLYPNYWKVAVIFFVYLAAGTLCFHLARHQIKGKKTNSVLDGLYFTIVTMTSVGYGDLSPDSNLTILLACLFVVLGTLIVGLVLSKAADLLVEKQERLLVKALSLNQTLGEREILKKIRTKKVRNKCIILVALLLVFMAAGTGFLLSVEDLDFVHAFYCVIGTLTGLGYIDKCFSTTGGRVFSLFWILLGTIYVAQLLFSFALLHTERRQRAAEFVIYKLKEMGKINEEDITPIMEQFEILDFDKTGTLSTSDLLLSQSELLALDTNTIATRLSI >KVI02337 pep supercontig:CcrdV1:scaffold_2662:68017:70788:1 gene:Ccrd_019383 transcript:KVI02337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10 MAFSSSLAATLFPLCNSSSISSTPKLSGLPFLSNASSTSRVQFQSPRLSTTRVFSAPETLEQPLEIGDSESSTVIVGSDSDKIMDAARTTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILHPTAQTIDSLMQLDLPAGVDVEVKL >KVH87676 pep supercontig:CcrdV1:scaffold_2663:76220:78487:-1 gene:Ccrd_025039 transcript:KVH87676 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREPP plasma membrane polypeptide MGYWKSTVVPKFKKLFEKTNLKKTGAAEACKSFDDAKEDYSKEFEEKKSELQAKVIEIYEASAAEIKELVKERKDGALKKISTEFPGSKPAHEACCKYGPPLVEGPIFFVFEKVSTFIVVEEKKEEETPVPIPEPEVAAVVEETSSKEKEIVVEEDKKKEVEKTPETTAAKSEEVIVAPTEPPKAC >KVH87675 pep supercontig:CcrdV1:scaffold_2663:39787:44353:-1 gene:Ccrd_025038 transcript:KVH87675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLPSLLPLPLYTLCSIPSSKSVHNLSIPYPHHHNHYLRQRTRSRSSLVVVVGANKKDIEIELESNTNSASAIATTVDDDGDDFEEDPDPQDLEYVSQIKRVLELLKKNRDMLFSEVKLTVMIEDPREVERKRLLGIDDADAPTRDDLAAVLEEVNEGKIPRDRAALQMLAEEMVQWPNLEVEATKKGPRKSLYAKATDTGIDPVVAAKRLNIDWDSAAEIEEDDTDDQNEVPSAVGYGALYLVTAFPVIIGVSVVLILFYNSLQ >KVH87673 pep supercontig:CcrdV1:scaffold_2664:2569:6529:-1 gene:Ccrd_025040 transcript:KVH87673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MNYTNTNLAPATSLNPLNHHQQQNQIMVPIPPLPPLPPNLPCADLIEGSREDYIKIGVPLYEASIKGHWKAAKAILDQKPELIRFAITENYETPLHIAASAESTKCVEEFVENLVNLMDKNDLELQNKTCNTALSLAAAAGNVKTAKIMVKKNRAVLDIPGSNRMMPLYMAALFAKSDMVKYLYENSKKMSGDYWTPENRGWVLQKCVEADIFDVALNIVSDLPELTAKKRLLMEILSVLAQKTRAFKRIKPNFMSRIIKSSHVEKESDALQLLRVIWQKIAIMPKNEIDDIIRGPPGEVRVPGGRIKGYPSRVLFLAAKMGNTRFILELIRSYPDIIWKVDDKGQTIFHIAVKRRHAKIYNLLYEIGSMKDLITPLKDKKDNNMLHLVAKSAKPKRFQNVSGVALQMQRELLWFKEVEQMIPPNYRQRKNKDGEIPHDLFTKKHERLVAQGEEWMKNTASQCMVVATLISTIVFAAAFTLPGGYNQNTGVPFFRQKPALIVFVISDAISLIFSSTSVLMFLSILTSRYAERDFLESLPKKLMFGLATLFLSIFTMMVAFSASFFVLYTKNLKWVPIIVAGLAAMPVILFAILQFRLLGDVFYSTYRSRYLFKPKKRVLYF >KVH87672 pep supercontig:CcrdV1:scaffold_2664:58283:71965:1 gene:Ccrd_025041 transcript:KVH87672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MALHKNLPPNEPPTGSLKSLSFSSKVKERAQLVDANRRSNEAEADIDLREVYFLIMHFLSAGPCHRTYGQFWNELLEHQLLPRRYHAWYSRSGATSGDENDDGTSFPLSYNKLVERYPHIEKDHLVKLLKQLLSGAASSSQGLIGQTPLNAAAVPVCLLADDVKKEDNQVRRPPGFMRWPHMQADQVRGLGLREIGGGFSRHHRAPSIRAASYAIVKPSTMVQKMENLKKLRGHRNAVYCAIFDRLGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSFNNALVASASNDCIIRVWRLADGLPISVLRGHTGAVTAIAFSPRLGSVYQLLSSSDDGSCRIWDARHSQFSPRIYIPKPPEPQAGKSNGPSSSSFVPQSHQIFCCAFNASGTVFVTGSSDTLARGRVGRWTRAYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHSESLFHLAAAFTTLKLLLPSDHCVFLLKSSRPRSSPFWSTLAPLLLNLAPSLSAQPRSFPCAESYRSENPFVTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGIPIRIFEIGRFKLVDGKFSPDGTSIILSDEVGQLYILSTGQGEAQNDAKYDQFFLGDYRPLVQDAHGNVLDQETQLAPYRRNMQDLLCDSGMIPYPEPYQSMYQQRRLGALGFEWRPSSVRFAVGTDISLDQEYQVPPIADLDILMDPLPEFLDVMDWEPEIEVQSDENDSEYNVTEEYHSGGEQGSLSSNASASLECSGGDSEDQSSHDDSIRRSKKKKLKAEVEFMTSSGRRVKRRNLDGEESSLRNNRSRKSRFGQKASKKKSSQSKSLRPRRAAARNALSFLSRISGRASDGEEDIIEGDSSESYSSQQDTDVGSEETEVSFENEQNGHLKGKEIASEDVGTHRDSPKSQIPSGSRRLVLKLPNRDSRKRLELENRTSDVVGPSSSTPHDMDESTKNFSSIHGNGEILERNENGLTTKNMNHLSLLEGYKDGDIRWGRAKSRSSKRSRITEPMPSGVKSGNGSCPDGSFKIENVVNEEPAFEKEEFTVPSSPIDVQILVPSSPSDVQIQKGKMVHVEEDQENKQDKETPIPLQTKLRIKSRVPRDQEFHPKVKIRSSVQESRSGEGTALSDSSTSDVPDTKMPSSDDRICRGTSVDTTQDDNTISSDIQEPQKPHSRDKMFKEVYKRSKSTRTRTNVGINGGGMETSTSNAGNSNQDERTEARVHGTRRNVNLRAVRDVSEDTSSKEKSSTDGGDELPQDEGRLSSRLHVGLRSTRSRRPIDYNRRNRSPERKKPHQSTRSSWLLLSNHEEGSRYIPQLGDEVGHQDYINGNNSRETGPWRSLKGIIRDVEFCKVKELEYSTRPGSGEGCCKMTLQFEDLSSNVVGKTFKLTLPEVTGFPDFLVERSRYDAAMERDWRYRDKCQVWWKNEGEENGNWWEGRIVAVKPKSVDFPDSPWERFSVQYKNDPNVLQHSPWELYDASMVWEEPHIDDDIRTKLIRALAKLEQSGNKAEDHYGVRKLKQASQTSTFINRFPVPLTLEVIQCRLENNYYRRFAAMKHDVEVLLQNAESYYGRNKEYTIKMRRLSDWFTRTLSSL >KVH87674 pep supercontig:CcrdV1:scaffold_2664:74576:78940:-1 gene:Ccrd_025042 transcript:KVH87674 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, M/15kDa subunit MSTMKFCRECNNILYPKEDKDQKILLYACRNCDHQEVADNNCVYRNEIHHAVGERTQVLQDVAADPTLPRTKAVRCAQCGHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >KVH93597 pep supercontig:CcrdV1:scaffold_2666:55700:59898:1 gene:Ccrd_004351 transcript:KVH93597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix leucine zipper transcription factor MPLSEFYRSVNGKNESPQQKASDISYIPNDEFVELIWEKGQIMMQGQSSKTRKTPVSSNFQFHTPKFQEKEGLLDVALPGTCVEMGLNQDDDMVPWLNYPLDDYCSDLLPEISGVTVSEPPPPVNIGFNVIDKRGCCNKDSHVNTHNISLRHGVGLDQSNASRISSSSRTSHLFSWSLDQVHIPDPCVRSGVSDIGSSKCRNKPHNVIHRDPVQIQGSSGRPEKLVQKQDSLPPSSTSGLLNFSHFSRPAAMARANLLQNSGLLADSASCGLDIMKNNEHHASLDHGPQKEIGVPSQPSLDSAKVASNPFASKPLEEPHCVEKSCPDHKEDATTGNEKSPNVVLDSNPPKGGPETEKSIEPVGASSSVCSGNSAERASNDLTKNSKRKSIDTEDYECQSQDIEEESLGTKTAATSRGGSGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGTGLCMPSMMFPTGMQHMHPAHFSPMGIGMGMGMGMGYGMGMAEMNGGPPHMFPFPPTTLGSRHPVPSPPVSGLGSCQGIPRSSFQVYGQGMPMLISQPPMPGFPMNPAVRQTRAQVEIPHLASTSKDKNPQTSSQTSEDKKDPVQEAGCSTAVYTIKDPNPSTPPSQFSFAVGNSDKDG >KVI08722 pep supercontig:CcrdV1:scaffold_2667:35300:37536:-1 gene:Ccrd_012904 transcript:KVI08722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MLQETKLCEEFSAKYYGICALGGMVSAGATHVAITPLDVLKVNMQVNPVKYNSISTSFTTLLREDGVSAFRRGWTGKFFGYGVQGACRFGLYEYFKKLYSNILKDQNKTTIFFFSSASAEVIANVALCPFEAIKVRVQAQPQFAKGLADGFPKLYASEGLHGLYKGLIPLWGRNLPFSMIMFSTFEHSVDFLYRKAIQRKKEDCSITQQLGVTCLAGYAAGSVGSIISNPADNIVASLNNKKAGSLKLVVKKIGLRNLFTRSLPIRIMLVGPVVTLQWLFYDTIKVLSGLPTSGHICSEVEDTGDKIQVNT >KVI08723 pep supercontig:CcrdV1:scaffold_2667:39025:44298:1 gene:Ccrd_012905 transcript:KVI08723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/gamma-adaptin-binding protein p34 MDESAVVDRDSLAKRPGILLIGSSGVGKRTILSRLLSVDFEDDVDSSSDVLAYGWTIDTKYYTADVSMWMAHLFNEFSITGVPMFDRVAALVMVFDLNNLSSFSELKKWVSCNDIRNFDILLCIGNKVDLVPGHSAHVEYRRRLLNLGQSQDDHGCDSSEYGISETEGSILLGDEEPSSEIKKSCMEWCLEHNIEYIEACASNARFDKCLSVDGDSQGVERLHGALSAHMWPGMILKSGRTISQPSLPEQEDSSDEEPNYELEYEILSAGSVDPWDDTDPSWMSATNDTTPLDSSEPVVKNERQVGENMQPSTSKSQSQDNEEVIPEAHEPDETKDEGGVHYELEDMEQLMSEIGSMRDSLRLMPDFQRREMAAKLAMKMASMFGDSSGDEGEIEL >KVI08721 pep supercontig:CcrdV1:scaffold_2667:71897:73856:1 gene:Ccrd_012907 transcript:KVI08721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MVYMLITLYRWFMPINIGITFLVGGTLGWIAAKLIKPKPHLEGLLIAMCSTGNLGNILLIIVPAICTEGGSPFGEHSVCKSKGLSYSSFSMALGSLCIWTYTFQLIKSSSLRYTAFIKQAEESPQEPNKDPDSNENTRLLNNGERTQEYIDVLVPSSYSISDVQPSVHHESVRKEEKKDASLSSKLVDIFHKVLKELLAPPTLGSIVGLIFGATPWLKKLVIGDDAPLRVIQDSATLLGDGTIPCITLILGGNIERERERCYISRDGTIPCITLILGGNLIQVLTMWSYLAAAFALTGWSTVFMWILA >KVI08718 pep supercontig:CcrdV1:scaffold_2667:16397:18730:1 gene:Ccrd_012902 transcript:KVI08718 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MSYEEASTMNNHNIRFADGTADYTRLVLPTSSPSPSTTPAYNNPNGTGGDTGEYTNYAFPVTAGMNVSGSDVVKRKRGRPRKYAPDGPVPLAAPMTSTTLHGPSAPESGDFSSPLPSSGKKPRGRPPGSANKQHPAASGSPRAGFMPHILDVKSGEDVLAKLVWFSQNSARTLCILSASGAISNVTLQQTATSGGTVTYEGRFEILSLSGSFMVCESGGERSRTGGLSVALSGPDGRVLGGNVAGLLTAASPVQVIVGSFIPASQKQSKAKGSNEAEIVSGAANPVSGALAGGSGSLSESSGGGFGSQSNNSNAQGMAAMPWR >KVI08719 pep supercontig:CcrdV1:scaffold_2667:30764:33981:1 gene:Ccrd_012903 transcript:KVI08719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVEEMESAAKSVDEVLEEIMRIHRSLPPRPGIDDVEGASILIRNLENEEQARVEALSKQKKRKDVPEQLFAVLVEMQKSLVRFQSEEQKREAIKLLDLENCHRLFDNMIQRASSCCGPSSKDNTTQSIASTSTTPLSSFSTSNFSYASPKPISSLYNDIEPVKTSELFTRDDSYVSKSKSIFNGDGIRAGHRSSDVLRPQIVDSTLKPATTSGQADAQLNLMKLASLIEVSSKKGTKDLNLRNKLMDRIEWLPDSIGKLSSLITLDLSENRLVALPSSISGLSSLTKIDLHSNKIVELPESFGDLLSLVHLDLRGNQLTSLPDTISRLICLQELDLSSNNLSVLPESVGSLVNLQKLNIETNNIEEIPHIIGRCLSLKELLADYNKLKALPEAVGKLESLETLSVRYNNIGRLPTTMSSLTSLKELDVSFNELEAVPESLCFATTIVKINISNNFADLRSLPRSIGNLENLEDLDMSNNQIRVLPDSFRMLSKLRVLKTEGNPIEVPPQDVFHKGAQAVVLYMDELHQNKDVKVQPVKQKKSWTQIFFSMSHKRKRITLTT >KVI08720 pep supercontig:CcrdV1:scaffold_2667:54740:58059:-1 gene:Ccrd_012906 transcript:KVI08720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPSPYRFLSAVDKAVGVAEPPEAVAVEADFVVILAALLCALICVVGLIAVARCAWFRRGSVASDSRTPGQPSANRGIKKKIIEVLPKFTYDSSKEGKSCDDCGKLSSSDCAICLAEYADGDEIRVLPQCGHGFHIGCIDTWLSSHSSCPSCRQILITTRFLSSIANSSSSFDQTVAQPPEAVAVESDFVVILAALLCALICVLGLIAVARCAWLRRGSSTAEDRRNPGQTTANKGIKKKIVEALPKFVYDLSKEEGSDGGNCEKLSSSECAICLAEYTDGDEIRILPPCGHGFHVGCIDMWLSSHSSCPSCRQMLVMTRCRKCGEFPTVSSGQISTEVEHKGGQHDSSSCSSDYLP >KVI08717 pep supercontig:CcrdV1:scaffold_2667:74213:75059:-1 gene:Ccrd_012908 transcript:KVI08717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEKGGGEWVVDEQQQKIKKIDGSIAYGVLQTVKIHMEVVEPQPNRPKLQLTLI >KVH93291 pep supercontig:CcrdV1:scaffold_2668:16488:17200:-1 gene:Ccrd_004657 transcript:KVH93291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIKFLEQTLQNLQKQKVERLQGHVPNDATAVVPTVPSQNPVINNNGDEPLLVNQQQQQQQGSLNVFETWTSPNVTISMCGTDAHISICSLKKPGLFTTICFILKNHNHDIVSAQISSDDAKTMYMIHVRMYKKAVEQIVLWINFEKLEN >KVH93292 pep supercontig:CcrdV1:scaffold_2668:74592:77315:1 gene:Ccrd_004659 transcript:KVH93292 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MAINGETKTEPNSEEQVSRHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTAKHPWNIMTTSADEGQFLNMLLKLVNAKNTMEIGVFTGYSLLATALALPDDGKILAMDINRDNYELGRPIIEKAGVAHKIDFKEGPAMPVLDEMIADGKYHGTYDFIFVDADKDNYINYHKRLIDLVKVGGLIGYDNTLWNGSVVAPPDAPMRKYVRYYRDYVLELNKALAADPRIEICMLPVGDGITLCRRIN >KVH93293 pep supercontig:CcrdV1:scaffold_2668:27885:31801:-1 gene:Ccrd_004658 transcript:KVH93293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type NPILSAQNGANYGSGIQVTNCGDLADLLIVILCLRSCLLFKERQIDPSNGQLCDSFGASQLANESMSVQGSGSNHIPMDVDLNLGYGGNNSNDGGHRMGFMNLYKSGSSEMEASSSSNGIGTSSECGTEASFGNWGLSCKRKALEGTSGEFFGSGSSSYFPETENIVWHTRPNGNSGSSSLSASSSMLNSQEHINSITGVGVRDSSSFTISQAGNPGRFSNGGSSHQSSRRFLHNEFLDQTSKRPISMSANPNHPLNLQPPRNVLPFPWGDNVNSRNGSSSSSELPPESGSRNNEQHRNLVQDSANWSLASGRASTSGANAASGSHPSNAVWMPHFNSTTQGQQRLPEFSPWTLFPSSEAESVGHRGRFSPFPMGSSSNSEENVLSSGVNSQGRHHRPFLRPAPLMEVPNDEWQAFTADIEGRHRLVSEELLALEERIGDVKTGLKEEVILKSMKQRKHVSTSNLEPCCICREEYERGDDIGSLECGHDFHTICIKEWLANKNVCPICKTTALSI >KVI08532 pep supercontig:CcrdV1:scaffold_2669:17917:20167:1 gene:Ccrd_013097 transcript:KVI08532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup METNPTAPPTSRTHIKDDNRHLLHHHHDAFPATTILVVVIPVVIIILLIVISLIVALLRRVKSSKPNANSQDNTNCMFVAHSIITFNANPDGKRGCLYGGNQCSLPSATFKGVQVFTYKELESATDGFTQANLVGKGGFGSVYRGMLRDGTLSAIKMLHREGKQAERAFRSEVDLLSRLQCPYLVDLLGYCADNQHKLLVFEYMSNGTLHNHLHPSDDRSRVLNWGIRLRIALDCARALEFLHEHTTPSVIHRDFKSTNILLDENFRGKVSDFGLAKIGSDKQNGLISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPIDTKRPPGEHVLVSWVSTFVRFLFTSTYA >KVI08531 pep supercontig:CcrdV1:scaffold_2669:66486:67715:1 gene:Ccrd_013093 transcript:KVI08531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MRDQTGKYSHVITTSTRLLVLPMVLTDSGLSMALAARPIVCRLTPNKPVVQRDEPAALPVPSLPVQKVRLTDAAAVTTEYDGKILLQPRVCTLRSYGSDPFGVMRIRTADGDDNEVSQFFEKLSEYIESSKKSQDFEIISGRLAMIVFAGTVTMEVVTGNSLFRKMDLQGIAEAAGVCLGAVACAAAFAWFSSARNRVGQGFSIGCNTFIDSLIDQVVDGLFYETDLSDWTDEI >KVI08533 pep supercontig:CcrdV1:scaffold_2669:21157:26614:-1 gene:Ccrd_013096 transcript:KVI08533 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein rad10 MEEGDDQNPNKNSSSSSFVIKIPSFEEVIQGSQSKTPIQSLFNPSQSFSQAFNSIKNTEFYAPPPPPSSTPRGVQPSVADATPSSLPFAAVNHTGASPSSAQNRNAILVSHRQKGNPLLKHIRNVRWAFSDIVCDYMLGQHSCALYLSLRYHLLHPDYLYYRIRELGKNFKLRVVLCHVDVEDVVKPLLEVTRTALLHDCTLLCGWSLEECGRYLETIKVYENKPADIIQGQMDTDYLSRLSHTLTTVRHVNKTDVVTLGSTFGVKRLYDTFHEPFKRSIPKHPSAVQSSAQPFTPSKAKEDEKDSVHSNKRDKKEPNVTVKSALSAAFAKYKEKVGLETSHQEEQENQKQQ >KVI08535 pep supercontig:CcrdV1:scaffold_2669:48165:60083:-1 gene:Ccrd_013094 transcript:KVI08535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MAEEAVLGFLEKNELITDSGEFAVEQGISHDEIVNVIKSLNGFRFVDAQDIKKERWQLTAEGKTYAANGSPEFQLFMAIPQEGISRVELQKKLGDSVFKIGCQQAIKNKWVEMGKSQVSRKVAHVDDNVKAMLVRINDGEAVSQEEIDALKRRKLISPQIWKGYSVRKGPNYAPKRRKPATDLTRENLQRGDWKDLQFKEYNFSAKGLPAEGGTATNADDFPSDGIRGDAHKQLCGKQNLLPQRCFRKIMLRGYQYDWKRDEANKNLLRTHTTAVSSRMLYALAQKPFEAKKYYSVDRVFRNEAVDRTHLAEFHQIEGLICDRGLNLGDLQGVLYDFFSRLGMSKLRFKPAYNPYTEPSMEIFGYHEGFKKWVEVGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRDLFGHKVDLSLIKSNPICRIGLQ >KVI08530 pep supercontig:CcrdV1:scaffold_2669:260:1204:-1 gene:Ccrd_013098 transcript:KVI08530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyltranspeptidase MLKWNIVISLITCVAAVRREIITKQNGVVATDDGVCSRIGRDVLLEGGNAIDASIAASFCLGVVSPASSGIGGEKGALSIAVPGLIAGIYKAWEQYGKLPWKRLVRPAERLARKGFKVSPYLRKQMVVTEADVMADDGLAAIFTSEGRLLKTGETCYNEKLSQTLRHISKYGIKPFYN >KVI08534 pep supercontig:CcrdV1:scaffold_2669:27342:42249:-1 gene:Ccrd_013095 transcript:KVI08534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAGGGSTAKSNSTTSVRIVVAGDHGTGKSSLIITAAAEAFPANVPPVLPPTRLPEDMFPDRVPVTVIDTSSSLENRGKLADELKRADAVVLTYACDQPSTLERLSTFWLPELRRLEVKVPVIVAGCKLDLRDEQQAVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTAPLFDQEAQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLPEGVHENGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLGDDQLLSPIKRTPDQSVELTSEAVEFLRGVFSLFDIDGDGALNAHELEDVFSTAPESPWSEAPYVNAAEKNALGGLSLDGFLAEWALMTLLDPVLSVENLIYIGYAGDPSSAVRVTRRRRVDRKKQHSDRNVFQCFVFGPKEAGKSSLLHSFVGRQFSEVYTPTTEERYTDALAMCDIAVFVHDSSNESSWLRATELLVQVASQGESTGYEVSQDMGIEAPIPISAKLGDFNNIFRRIVRAAEHPHLSIPETEAGKTRKQYHRLLNRSLMVVSVRVKDVLLALFVTVVLMRYPCFYQYYRLDKLGVEGNTE >KVH95484 pep supercontig:CcrdV1:scaffold_267:120422:123335:1 gene:Ccrd_002452 transcript:KVH95484 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MEGREGMNNSGVTVVRSDAPSDYHIAPRTEAPTTGSTVVTTQVVLSPAPPSTAVPPPLGVMPLSMKKKRGRPRKYGPDGSVTQALSPKPISSAGSSPVIDFSSGKRGKIRPPSAGKHHQHSSKIGLESLDRSIVTEFSVVWSGDWFSCSVGANFIPHIINVNAGEDVTMKVISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLAGSFMASESGGIRNRSGGMSVSLASPDGRVVGGSVAGLLVAASPVQHEQKTAKKPKAEAGPPPAVAIPTSGGGGGSGDTEEEEEEAAAYGVKMKVSSAGAAVSSFRGGDNWYNNEKKASEMNMSGAVNK >KVH95489 pep supercontig:CcrdV1:scaffold_267:150943:151218:1 gene:Ccrd_002446 transcript:KVH95489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MREGSEAVPKFGDWDLKNPSSATEFSFIFDKVRQARKTGYECYGNNLQLKANEIDSLKIKHQRRHHHNCHKQPSFVKSNPFLRCFFPSYKS >KVH95486 pep supercontig:CcrdV1:scaffold_267:60763:65169:-1 gene:Ccrd_002454 transcript:KVH95486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp, N-terminal MAAHTLFSFATISTRCSHHDSIKPDPFSQNLQIRTHKLQSQWLAITKLPLQSSNLQPCLPKTRPIAATVTFSLPTANPESANSIENAPKWSLKGIKSFAMGELEARKLKFSTTGTEAILMGILVEGTNRASKLLRADGFTLSKVREEAIKLIGEPDYFYFSPEHPPLTESAQKALDWAVDEKLKSGKSLHFCQLFRLLHLKVLSLYALRDDGEITTSHLLLGVWLEEEAAGHKIMATLGFNDEKAEELRSVISKPGFVEE >KVH95482 pep supercontig:CcrdV1:scaffold_267:128538:131894:-1 gene:Ccrd_002450 transcript:KVH95482 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MAVSLNSITCSSYLHPSQPSSLSSGDKVFVGLRLQNPNSFGISRPNLSVELHKKIHKSIEPRTGIKPARGRIVMMPIGTPRVPYRVPGEGTWQWVDLWNALYRERVIFVGQDIDEEFSNQVLATMLYLDSVDNSKRMYMYINGPGGDLTPSMAIYDTMQSLQSPIGTHCVGYAYNLAGFLLAAGEKGHRFTMPLSRIALESPAGTARGQVYKDLSRIKRFNAQEALEYGLIDRIVRPPRIKADAPRKEAGTGLG >KVH95475 pep supercontig:CcrdV1:scaffold_267:299047:300228:-1 gene:Ccrd_002435 transcript:KVH95475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKLFLFGFLGFLICTINIVGGRKLSEEEKTLIHGGGIGGGVGFGGGAGGGSGGGFGGGIGGGGGSGGGIGGGGGVGKGGGGGGGLGGGSGGGGGGGGVGGGIGKGGGIGGGSGAGGGGIGKGGGVGGGVGGGGGGGIGKGGGGGVGGGAGSGGGLGGGTGGGVGGGSGSGGGGGIGKGGGVGGGGGVGGGTGGGVGGGTGGGVGGGSGIGGGGGISKGGGAGGGGGLGGGSGGGGGLGGGVGGGVGGGSGIGGGGGIGKGGGAGGGGGLGGGAGGGVGGGSGIGGGGGIGKGGGAGGGGGVGGGSGSGGGLGGGAGGGSGSGEGGGAGGGIGKGGGGGFGGGGGGGIGKGGGFGKGGGGGFGGGGGYGGGIGKGGGGGGGGGDGGSEGGSH >KVH95479 pep supercontig:CcrdV1:scaffold_267:184036:209453:-1 gene:Ccrd_002443 transcript:KVH95479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MSMSDSDTSSQGGSDYKNFRQITRDRLLYEMLRSAKRPDSKSNWKVLIMDKVTVRIMSCSCKMADITDEGVSLVEDINKRRQPLPTMDAVYYVQPTKENVVMLLSDMAGRTPLYRDAYVFFSSSVPKELVNYIKKEQSLKSRLRAMKEMNLEYFAIDSQVPNAAKCFITDNGMALEELYGNEEMTRKGDQCLNMMANRIATVFASLLEFPFVRYRAAKSLDPTTMTTIRDLIPTKLAAAVWNCLMKYKALNNFNFPQTETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMDGNKYVHEVASKTGGLPEKKEVLLEDHDPVWLELRHSHIADASERLHDKMTNFVSKNKAAQMHQGSRDGGELSTRDLQKMVQALPQYSEQIDKLSLHVDIAAKINKIIRESGLKEVGQLEQDLVFGDAGTKEVINFLRLKPDATRENKLRLLMIYAATHPEKFETRLPSDDMNAVYNMRFLEGSSDAKKNSIGAFSLKFDVHKKKHGLRKDRTGEESTWQLSRFYPMIEELIEKLSKNELPKIDYPCMNDPSPTFHGRSQSESAKTTEPPAAHSMRSRRTATWARPRDSEDGYSSDSILRHASSDFKKMGRRIFVFIVGGATRSELRVCHKLTTKLKREIVLGSSSLDDPPQFITKLKLLTSNALSLDDLEI >KVH95471 pep supercontig:CcrdV1:scaffold_267:257743:258953:-1 gene:Ccrd_002439 transcript:KVH95471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1442 MKLVWSPEIAAKAFMDTVKSCELFQGSSVAELISAMAAGWNAKLIVETWTRGGVTTTSIGLAVASSHTSGRHVCIVPDEETRSEYTAAMEKAGMSPEVIVGEPEEAVKGMLIDFLVVDSRKNNFARIIKEAKFGHRGAVLVCKNASLKVASDFRLRSLFDGGSRRIVRSVFLPVGKGLDIAHVAAGENGSGSDKVKKSRWIRRVDRQSGEEYVIRQ >KVH95478 pep supercontig:CcrdV1:scaffold_267:135603:138426:1 gene:Ccrd_002449 transcript:KVH95478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAALKSRSDIPACLAIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDVKIYLD >KVH95472 pep supercontig:CcrdV1:scaffold_267:270316:273536:1 gene:Ccrd_002438 transcript:KVH95472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein, C-terminal barrel NKFLSTRINTKSPQTPSSCLLPQFWLSQFKKNMKFFKPSIRSLRPIFKTNRFSSQKPHLDDVGPMASLLKTRSVVRFRGPDTIKFLQGLLTNEIRRFGEPVGDEKSSLITPNVPASSARSVYAAMLTPQGRFLYDMFLYEPPRTNEKXXXXXXXRRSDQDEVVLLADVDSSVLDELVETLKKYRLRSKVDIENVGEEFSCWQRYGVDLHKRAPSSVEDSEAASVGWGGTIDPTGSSSSQGNSVGWQWHKDPRLDCLGFRGIFPSNATREAIPLEYNLTGLNAISFDKGCYVGQELIARSHHRGVIRKRLLPLKFLNESGKEVIASKSGKKAGTVTTALGSRGLGLLRLEEAFKGPGNLVIKGQEDVKVEPIRPEWWPTEWFLEHEPHQAAG >KVH95476 pep supercontig:CcrdV1:scaffold_267:307358:325555:-1 gene:Ccrd_002434 transcript:KVH95476 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVSESMWLRWSEVTSNKYDLTLVILIFSAIILVVSWYKSAGKATFPSPPGPRGLPVVGYLPFLGINLHHEFTEMAQRYGPIFKLKLGSKTHVVISSSELAEVVAREKDDIFANRDPPAAALEISHGGQNVVWSNNNSHWRNMRKLFVYQVISSKNLEASRTFRRGEVRKTVKRVYETIGTEVDFGRIMFLSSLNVVTSLLWGKSLVDGEKSDDLGVELRQVLSKIVELLGTXNVSDXFPVVARLDLQGVVXEMKRQRMVVEGIFDRXINERMXSKVEETAEQKGRRDLLQILLELKEEKTASSFNITQIKALFMDIILGSTETTSIMVEWIMAELLQHPKIMKQVQDELEEVVGRNNIVEESHIPKLYHLDAVIKETFRLHPPLPFLVLRSPTQSCKVGGYIVPKGSNVYLNVWAIHRNPEYWDNPLEFTPERFINPDGTTKFDYDGHNLKYFPFGSGRRKCPGIRLGEKMLVYLLASLLHSFDWTSPNDKELELSDKFGIVLKKRNPLIAIPSQRLPDKNLYILVLIHKMVSESMWLRWSEVIPATIITLAVLLYKSAGKATFPLPPGPRGLPVVGYLPFLGVNLHHEFTEMAQRYGPIFKLKLGSKTHIVVSSSELAEVVAREKDDIFANRDPPAAAIDLSYGGQNIVWSNNNSYWRNMRKVLVHEVLSKKNLEASQIFRRDEVRKTVKRVYETMGTEVDFGRIMFLSSLNVVTSLLWGKSLVAGEKSNDLGVELRDVLSKIVELLGTANVSDFFPVVARLDLQGVVREMKRQKMVVEGIFDRIINERMAKWTMAELLRHPNVMKKIQDELEEVIGLNNIVEESHIPKLPYLDAVIKETFRLHPPLPFLILRSPSQSCEVGGYTVPKGSNVYLNVWAIHRNPEYWENPLKFTPERFINPNGTTKFDFNGHNFKYFPFGYGRRGCPAMRLRDKLLAYPLASLLHSFDWTLSKDEELELEDKFGIVLKKRKPLMVIPSQKNQTREIESDVILETDVVLETEIGAKKLADAEVLGEKPLTGDRDDNLFHGGCKLADAEVLEKIGLE >KVH95494 pep supercontig:CcrdV1:scaffold_267:240687:243800:1 gene:Ccrd_002440 transcript:KVH95494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor class I/class II MAAIRTTATVLVKQICCPSPSLPSIRTYCKLLSNVPSISRPISYAPIRCARAIDSDGSSGGNRKASARLSQVQQQLHEAMERASGGDEPIPKITLDHVSVSFARSGGPGGQNVNKVNTKVDMRFNVNAAHWLSDRVREKILQMEKNRINKDGELVISSTRTRTQKGNIEDALEKLQAIIDAASYVPPPPSEEQVKKITKIAAVSEQKRLQNKKVISQKKAFRRSRDSYD >KVH95473 pep supercontig:CcrdV1:scaffold_267:273812:276262:-1 gene:Ccrd_002437 transcript:KVH95473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MHSICPSLQSLSLHTVLLLLLFLPNSTTPLCKNMLSFIFFLLILPISSHGNAELAVLMDIKASLDPENKHLSSWTETADPCGGSFIGVACNQYLKVANISLQGKGLTGKVPPAISGLKCLSGLYLHYNFLTGEIPKEISTLTELSELYLNVNNLTGIIPQEIGNMANLEVIELCCNQLNGSIPSELGTLKKLHVLALQHNRLTGQIPRTLGGLVMLKRLDLSYNQLDGPIPITMVKVSGLEFLDVQNNTLSGFVPTGLKRLNNGFSFKNNRDLCGAAAFGSLRPCTELDVAMINQLEPFTPTPNTTISENIPQSANILPRCQQPHCTNSSNLPRVGIIAGIVAVIAALTITAFITVFIHRRRKQKIWSKTETPEHRFSMQESAKKSGDSACAWDPTQKSPKKCGFCIESRSIESLSLQSFNLEEIESATRYFSDANLLGRSKFSAVYKGVLRDRSVVAIKSVSVTSCKADEAEFTKGLSMLTSLKHENLARLRGFCCSKGRGECFLVYDFASKGNLSEYLDIEDGRSSHVLDWPKRILLDQQYNPLITDAGLLKLLADDIVFSALKVSAALGYMAPEYITTGKFTEKSDVYAFGVVVLQILSGKSNITGSIRAAAADSRFGDFIDENLEGNFSESEADKLAKIGAICVDEVPENRLTMEAVILELTNSI >KVH95485 pep supercontig:CcrdV1:scaffold_267:58219:59661:1 gene:Ccrd_002455 transcript:KVH95485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEEEIPHRRPEKLLGAAFSELKTQQAIAFPLVAMNLTWFAKIAITTAFLGRLGELELASGTLGFMFANVTGFSVLNGLCGAMEPICGQAYGAKNFKLLHKTLAMMVSLLLLTSLCICFLWLNVDTILVYFGQQEDICIEAKRYLFYLLPDLMITSFLCPLKSYLSSQSITVPIMLTSALAVVLHVPVNIFLTKTRGFEGVSMAIWITDLVVVVLLVIYVMVTEFRKGGKWKEGGWTSQGIEDWIQLLKLCGPCCLTTCLEWWCYEILVLLTGKLPNAKQAVGVIAIVLNFDYLLYSVMLSLATCASIRVSNELGANQAGPAYRSAYTSLALSSVAGLAGGLVMVLARGKWGALFSHDKGIVKGAKNAMLWMSLVEVVNFPLAVCGGIVRGTARPWLAMYANLGGFYLLALPLGVNLAFKVHMGLKGLLIGFLVGMVGCLVLLSMFVSRINWAEEAEKAQRLVVEDAGGKISATAENMATE >KVH95474 pep supercontig:CcrdV1:scaffold_267:289735:291057:-1 gene:Ccrd_002436 transcript:KVH95474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKPILSHIDNIPSTPGKFKPEKSSMYFLHHRFRLHTTVPRLTLWSLVFLGLIMLFFLLSPPSPAAPRRGLRTTAAYGGSGWENRVKASAKVRSRNGHTVLVTGAVGFVGAHASLALKRRGDGVVGLDNFNSYYDITLKKSRKSQLLDRAGVFVVDGDINDAVLLRKLFGLVHFTHVLHLAAQAGVRYAMVNPNSYIKSNVDGFVNLLEVSKSTNPQPAIIWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILKRRPISVFEGPDHATVARDFTYIDDIVKGCLSALDTAKKSTGSGGKKKGEAQFRIINLGNTSPVSVNKLVSLLEKLLKVKAKKKVVLMPRNGDVPFTHANISLAHKELGYRPTTDLETGLKKFVKWYLDYYGAKKKNAW >KVH95488 pep supercontig:CcrdV1:scaffold_267:36660:40878:-1 gene:Ccrd_002456 transcript:KVH95488 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MDQITASSHGHSLPPPFHTRNFNLHQFQQQNSEDEQSGTSGLNMGGHKREREDKSNDEMLNNSGGGGSSEGKDGEMGRRPRGRPSGSKNKPKPPIIITRDSANALRTHVMEVSDGCDVMDSISTFARRRQRGVCIISGTGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGALLAAGPVVIMAASFSNAAYERLPLEDEESTLPLQGGSLGSPGDITPPQQQQQQQLLTDPSLFQGMSQNLLNSIQLPNDAYWGGGSGGGGGAGGGRPQF >KVH95477 pep supercontig:CcrdV1:scaffold_267:139168:141578:-1 gene:Ccrd_002448 transcript:KVH95477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon MATDENVILVVSLLQNRIQALFLLASSQSLTSNHRPPEEDNKIHLVFLQKRDTEEEQEMWEVIAWLLFFFVIISLLVVVVYQLMCFADLEFDYSNPYDCASRINCVILPEFITQAILCSLYLLTGHWIFNQLNREKKQRLFKLGYLIMLLFITLFWMIFNALEDDEI >KVH95493 pep supercontig:CcrdV1:scaffold_267:217224:219335:-1 gene:Ccrd_002442 transcript:KVH95493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFCSSSSSSSITASLSPRPHPPFTPIQECEREGKEEDESCEQNSVRATPSDCSETNKESYYVHQPTPLHHNNPKTTVKSTKKRSESYDAGKNSDDRGVSCNKCRPSAREKFSVVPLDTTTVGRHSTASPNGLFKSIFSSLVKRSPRSASSSSEGLMAVPGKEEQWKGAVAELSHKLIQATKKRDEAILEASRLKFSMAELEKKLNKLETYCHTLKSGLDDCTNKSTTMKHDPIKIGDHDRVIEHFLVSVSESRSSMRHLSRSLTVQLPQIAGGKLYDRIQLLLQPYDIKISVSTNPRIMLLYLEALLNRAFFEDFESPGFQKSGSNHTLNPIHRCERNFKSFIHLKELSWEEVLNKGTKQFSEEFSKFCDRKMSEVVSMLGWTRAWPEPLLQAFFGASKSVWLVHLLANSVHPGLPIFRVDKGVRFDSVYMEDMGGDKAKKFISPTVRVMVEPGFYIYGNVVKCKVICRYHSNNGFINVSPNSNP >KVH95495 pep supercontig:CcrdV1:scaffold_267:236409:239868:1 gene:Ccrd_002441 transcript:KVH95495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFSFATILEFRFTRFPETPKLSPTTSQLPSTLYFSPKNHWILVFNSLRRYVDNLKKMQGGRGRGNPFFGFGDPFAGFGGMPSLFGGRDPFDDPFFTQPLGGMLQPSPFGHAGNSFMGVSPFGNAGNPIMGVNSFGSSLYGSNGSPFMDAHAPRIHEHRQSLSDSSRGLIIEELNSDNEKEEPEAGHGKKENPHKHGRSARQHYVEQPDDGTKERELKQTQYGNQFSIMHDTHSRPQAHSFTFESSTVTYGGSNGEYYTSSSTRRAGSDGLRFEEHKKADSLTGQAAHRISRGIYDKGRAGVVGHCRQARTHVQVGEEQVQLNHRQGLGDSNKLKIRCISADI >KVH95492 pep supercontig:CcrdV1:scaffold_267:154602:159165:1 gene:Ccrd_002445 transcript:KVH95492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MLPYSSLQEAETAIGRSLTGAETLWFNYTANKSDYVLYCHNILFLFLIFTLVPLFYVLIELIFRDYVAAYKIQPKVKFSLYDNFRCYFDVMRMFILVVGPLQLVSYPSIRMIGIRTSLPLPSLMEIISQLAVYFLIEDYTNYWIHRLLHCKWGYEKIHKVHHEYTAPIGFAAPYAHWVEVLILGIPSFLGPAIVPGHMITFWLWIALRQIEAIETHSGYDLPWTFTKYIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYQKRLLQQLRDGLGKENGGLFASSPDIKLE >KVH95481 pep supercontig:CcrdV1:scaffold_267:124908:131646:1 gene:Ccrd_002451 transcript:KVH95481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kunitz inhibitor ST1-like protein MPGPGAWQMKTSISSRWKKILFQKLNGESGGLFPANNSCPLGVAPTVDFHPQSTPKKGIIRLSTDVSIKFSSYNACNGSNVWKLVYDEDMKQFVVKVGGVEGNPGQKIVDNWFKMEKSGGEDGYKFVFCKKEKMTTYKGIPQINPLPCSFTGNPIGNSWSPYRHHYNASPCWLNASPELSVDVRADLSEEG >KVH95483 pep supercontig:CcrdV1:scaffold_267:97272:100423:1 gene:Ccrd_002453 transcript:KVH95483 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MMQMDRRPCLDEFEKLLVRMNTPRVRIDNAVCVNATLVMIDSARKDGILLEAVQVLTDLNLLIKKGYVSSDGRWNMDVFHVTNLDGNKLEHGIIDCIEHRLQSLGTVHSTRFKSIDGITAIELTGTDRVGLLSEVFAVLSDLKCDVVESKVWTHNGRIASLIYLKDCDSGCPIGDSQKMESIESRLRNVLKGDNDIRSAKTSVSMAITHTERRLHQMMFADRDYERSPIIKTSGKYSPTVSVQNCLEKGYSVLNVQCKDRPKLLFDVVCNLTDMQYVIFHATINTTEDGAYLEFFIRHADGSPISSEAEKHRVTLCLRAAIERRASEGVRLELCKADKPGLLAEVTRTFRENALNVTRAEITTRKGTTENIFYVTDALGYCVDSKIIESVRERIGSDYLRVKELPCRNHEKGEKEEQQTGGIGGAVLLSLGSLVRRNLYNLGLIKSYS >KVH95480 pep supercontig:CcrdV1:scaffold_267:10025:10701:1 gene:Ccrd_002458 transcript:KVH95480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, A20-type MGSDANKMNDATSFQPSEPALCANGCGFFGTAATMNFCSKCFNDLRIKDEQAASAKAAMDKLVNKVVSFPPQSAVPSSSSSSSPMSGTETVSQPAVAEEAKAKVKVSNRCLSCNKKVSVLGFKCKCGETFCGSHRYPETHNCGFDFKITGREALAKANPVIKADKHHKEDGDGDGDGDRDGFHNKSGK >KVH95487 pep supercontig:CcrdV1:scaffold_267:20730:27412:1 gene:Ccrd_002457 transcript:KVH95487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MANNHSYKNGGLKTKSISSSSSSSSYSGYKSKQSVTSGVRRNSTGSSGNSAGGHQKDTPGVSGRVRVAVRLRPRNSEEQSTDADFADCVELQPELKRLKLRRNNWDSDTFEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGDEDTSARGIMVRAMEDIFAEISPETDLISVSYLQLYMETIQDLLDPTNDNLSITEDPKSGDISLPGASLIEVRDQPSFVELLRLGEAHRFAANTKLNTESSRSHAILMVHVKKSVKERDVGASSGEHGSKSHMSRTMKPPVVRKGKLIVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSSHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETSSTIMFGQRAMKVENMLKIKEEFDYKSLARKLDVQVESLIAEHERQQKAYQDEVEKIGLEAKKQISEAEKLYADTLEVTSCVNTFPPLLCIYEYNIYLFFLLVCIMLRLQKERLKYQRDCTESIKKLEAQLAVNQEKQGDGRVKVGFKDESFHKKSNGEAQGASASAELIEVKKLLQNEILLRKAADEEIGNLRNQVMQWKRSEAAGSAENLKLRKMLEDEIHQREKLEEEISIIDMTGEMGSLISPVRQQQMKYSGEQEKGSVAKLFEQVGLQKILSLLEAEDPDVRIHAVKVIANLAAEETNQELIMAQGGISLLAMTAENADDPQTLRMVAGAVANLCGNDKLQVMLRAEGGIRALLGMVRCRHPDVLAQVARGIANFAKCESRASTQGIKSGKSHLIEDGALPWIVKNANNEASPIRRHIELALCHMAQHEVNAKEMISGGALWELVRISRDCSRDDIRILARRTLSSSPTFQVELKRLRLN >KVH95490 pep supercontig:CcrdV1:scaffold_267:143932:147060:-1 gene:Ccrd_002447 transcript:KVH95490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKPLRGRIPVNRRIKPPHPSSSTHRLHRKVVVIRRSSKKHSKFIKRWNSEPTLLTTGISIAGADVTEEHRYMTPPGDSCAMFLNRISTDVFSSSPELLPDSPEKRERYNRDAKVVVKVTVEGSPGPIRALVKLGSSVDETIRLVTNKYNSEGRSPQLDQDAITSFELHNSNFSLQCESLQVSSSYSSLDKSNMIGEIGCRSFYMRKSANDMRDSCSNTFIDSEIIACKANNSPSPSSNISFPSFIFQGLKKIIRTSKIWRLLGCFDG >KVH95491 pep supercontig:CcrdV1:scaffold_267:168620:171322:1 gene:Ccrd_002444 transcript:KVH95491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MAAVTNTATTQQPQSQPANTAIAQLVPVPSSELTTDEMAVKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPVLVPNPDSGLPKAVKLRCSLCEALIEVFESNCAYYHLVLTALFSASNPSRTASEHLKRGTCPNFNSECSPKPISSISPAGMVNLSSPTSSSSPQPLQNHRKRSSSGNRSGRDRGGGGGGFKIHASTGSETTYSVAPLTMIEPPRFSVDVSYPARPDSVPAFSAATVVTVSSGGLYSPHHHHHHHQQPVVLSGGKEDLGHLAKLEDSVKKLKSPKSSPGQTLTRAQIDSSLELLANWVYENCGSVSFSSLEHPKFKNFLNQLGLPAITRRELAGERLDAKYEEAKTESEARIRDAMFFQISSDGWKSKNHNNSNHHHSGEFENLVNLSVNLPNGTSVFRRAVFTGGYVFSKQAEDVLWETIVDICGNNYQQCVGIVSDKFKTKALRDLENQHQWMINLSCQFQGVHSLIKDLSKELPLFHNVTDNCLKVANFVNTKSQVRHSFLKYQLQEYGRAALLRVPFCRGGGRIDFEPVFDMVEDVLSSARALQLVFLDDSYKMVSMEDQIGKEVEEMMRSQFWNELEAVHSLVRLIKGMAQEIEKEKPRIGNCLPLWEELRVKIKEWCGKFHINENHVDKVFDKRFKRNYHPAWAAAFILDPFYLIRDTSGKYLPPFKCLTSEQEKDVHKLITRLVSREEAHIALMELMKWRTEGLDPVYAQAVQLKQRDPITGKMKIANPQSSRLVWETYLTDFKSLRKVAVRLIFLHATSCGFQCNTSLCRWAQSRTGIEKAQKLIFIAAHSKLERRDFSNDEEKDAEFFSLTNREDDVLNEDLFDASSL >KVI07193 pep supercontig:CcrdV1:scaffold_2673:4116:7513:1 gene:Ccrd_014446 transcript:KVI07193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MTQTGPRRIQNSGVLEDESREQAQSSTTGMRLIVPLQGIVQGRGGLILGSVIPCAMFYFLQFYLKRRRSPPNPPQEHTSNPPSPTASSAELSRSASRSNLLSRGSIVRPHVSARVHSIAKANDSPYYIGLDKASADPYDGLNNPNGVIQLGLAENRLTLDLIENWITEKLSDSILGGGDSMGGSSVSSIATYQPFDGMMELKVAMAGFMSQVVGRTVSFDPSQIVLTSGATPAIEILCFSLADQGNAFLIPAPYYPGFDRDMKWRTGVELIPVHCRSSDNFTLSIPALDQAFNQARKRGQKVRGILLSNPSNPVGNLMTRETLFSLLDFAREKNIHIISDELFAASTFGDEEFVSMAEIIDSQDADKDRVHIVYGLSKDLALPGFRVGVIYSYNESVLAVSRKMTRFSPISAPTQRLVTSMLSDTTFIKEHTERNRERLRAMYDLFVEGLKQLGIDCTKSSGGLYCWVDMSGLIRPYNEKGELDLWDKLLNVGKINATPGSACHCIEPGWFRCCFTTLDEKDIPVVIERIRGVIETCKSPS >KVI07191 pep supercontig:CcrdV1:scaffold_2673:44596:48728:1 gene:Ccrd_014448 transcript:KVI07191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MSIPTNDPLLQVETTCGTLLYELQIIWNEVGETDVERDKMLLELERECLEVYRRKVDLANKCRAQLRQAIADSEAELAAICSAMGERPVHIRQSDQNPGSLKAELRTILPELEEMKKRKSDRRNQFLEVLEQIQKLQKEIYTTSSNTVLDETDLSLRKLEELHTKLQTLQKEKSDRLKQVLDHLSTLNSLCLVLGMDFKQTIHEVHPSLSETEGAKSINNDTILRLANAIQRLREVKIQRMQRIQDLATSLIELWNLMDTPVEEQQMFQSVTCNIAASEQEITEANLLSEDFINYSNMGVALKVEEEVSRLENLKASRMKELVFKKRSELEEICRKTHLIPESDRSLEIALEAIESGAIDPASLLEQIEILVGKVKEEAFSRKEILEKVEKWMAACEEECWLEEYNRDDNRYNAGRGAHLTLKRAEKARALVNKLPGIVEALVMKTVGWENERGVEFTYDGVRLLSMLEEYKILRQEKEEERKRQRDQKKLQGQLIAEQEALFGSKPSPMKQQSAKKGARLSCGGSGATTNRRLSLGGAAHATPKPDLHSIRATPNTTRPAKKLDRQLSNRDDGFGTLCNGRRGLVSELEQPSQPMFRKPFSPISTKELSKANTTEVGRKHEMPQKTFQNNTTPFTTPSKTATFVSEDENRTPKTNMIPILPSTPFTAMQTAMTPAPPLSIPYSDQIKEVMKPEEIVEYSFEERRAGFVLPRSING >KVI07192 pep supercontig:CcrdV1:scaffold_2673:24436:25869:-1 gene:Ccrd_014447 transcript:KVI07192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MALETWLIKVKKTISHSFDSARVTPPTKTCSVIKRSRIGVLAFEIAGIMPKLTHMWRFLSDQTMARLHNESICLEGVRKIVSNEDAFLLGLACAEMVENLRVLAKSVSRLSKRCQDSSLRCFGTAFDGFANMGHDPYGWILSLKEMEGKTKKMERYVAATATLYREMDELTVIQNNLKKSLLCSNSKKDHQHQDVSSQKILHLQQKLLWQQQEVKYVKEKSLWNTSFDSVTSLLATSIFTILSRIKLVFSITHGYPTSLPHSLSASATVYPSDQTSNSWDIVPGPLIKSSTHQHNNNISHGFFETNSKMLKPSSGTLGTAALALHYANLIIVMEKMIRSPQLVGLDARDDLYSMLPNSIRSSLRGRLKGIGFTASDPVLAGEWREALGKILGWLSPLAHNMIKWQNERSFEHQNLVPKTNVLLLQTLFFANQEKTEAAITELLVGLNYIWRFEREMNAKALFNCTNFNSIKNPQHTR >KVI07189 pep supercontig:CcrdV1:scaffold_2673:62721:67795:-1 gene:Ccrd_014451 transcript:KVI07189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoplasmin core domain-containing protein MAFWGIEIKPGKPYLHQYDDERGRLHLSQATLGSGSSKEKAVVVCNVGDKKPIYLCSLLPRKMETCSLNIEFEEYDEVTFSVEGPHSIHLSGFFYGEKPDSDGDDYESDSDEVVRIEEIVDDETPANKNAVPEQSKKKNKRTSNNDDSSQKQIVPKSSSSVPVLESEDEDGFPISSSAKDKASVPNDKQNSEVSTGKGENGQAKRKKGMNDSEHTAKRKREDSVMGDDLASQPANVEASTPEVDVKKAKKKKNKKVKDVQKGGNDSNNVVQNEEETNQEQKTPKVASEVNTDSKNKNAKKDKKSKQQENTPGEAKPSQVRTFPNGLVIEEIQMGKPAGKRADPGKKVSVRYIGKLKKNEKIFDSNIGKAPFKFRLGVGQVIAGWDVGVKGMVLKVLVRKYRQTHGWFSMLN >KVI07194 pep supercontig:CcrdV1:scaffold_2673:57788:61825:1 gene:Ccrd_014450 transcript:KVI07194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MATIKAVKARQIFDSRGNPTVEVDVTLSDGTLARAAVPSGASTGIYEALELRDGGSSYLGKGVSKAVDNVNSIIGPALIGKNPTEQTKIDNFMVQELDGTVNEWGRVAFHCFLTGSCIKNTHLPVGQLGANAILAVSLAVCKAGAYVKKIPLYTHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVVIGMDVAASEFYDNKDKTYDLNFKEENNDGSEKISGDSLKNVYKSFVSDYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSAAIYAGANFRAPVEPY >KVI07190 pep supercontig:CcrdV1:scaffold_2673:49821:53326:1 gene:Ccrd_014449 transcript:KVI07190 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MEGKEENHDPDTVSLPPPAVVVGGVEILSGSAVAPPPPPAEGLVGALTHGSVGFKKKRGRPRKYGPDGKPTVTLSPMPISASIPLAGDFPGWKQSQGKPLTSIKRKQKLEFFTPGEQVAHTVGANFTAHVIIVSSGEDVNMKIISFAQQGARAICILAANGAISNVTLGQPNSSGGTLTYEGRFDILSLSGAFTPNENGGAKGCSGGMSVSLAGPDGRVLGGGLAGMLVILGSFLPSHQQEHQKPPKKSRLEPVQAILPPPIAATSVFKQATERRYGDEPNISFTLPNPTHIARSLDPENNGSLRASEPKVLNASQFEVSC >KVH89054 pep supercontig:CcrdV1:scaffold_2674:35411:38750:1 gene:Ccrd_008962 transcript:KVH89054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIIASEVCLVRCPKCENLLPEITDYSVYQCGGCGAVLRARSKPIESMPALDEEKPGASNENPEGFRDADFSNRKPKSSSFGFDEDDVKSNGSMKIDNRTVEQMGSLKMNQELENPETRINGSRRSIWSSIRTQRNDHLREVDEGPSNYHFRSGNVYVEPEVEDDRVELLRKLDELKDQIVRSRPLGDQSKEKASFFNYPIRSQIVRRAPFDQNAYHGYFPGRYFNKDSGDFRKFHHPSCSCLVCYTNCHQAGPPPPPLPPVYYRHDHKQRFSWWSGDLPRPRRGILAAGGRRCRPVAGGSPFVACCNCFELLQMPEKSIGNTRKKIRCAACSEVILLSVVNKKLVTNCEKGVSNGNEKNRRRNTKWSIIESSSDDFDVSVDFDFRSLDRLPLGTRSLTSFKSTRSIRSSSSYTSEADADLAPIKDPKSESTKPSSPPSGSRLQDHFDYSTNSLQNSMKDAAVATEIEISASEYCVNTGTSQESVDQRNDTGGGRESFFVGMIKKSFKLSKLNQNFDNGKVNGTVAITVNGHSLPERLIKKAEKLSGPIQPGDYW >KVH89055 pep supercontig:CcrdV1:scaffold_2674:29248:29628:1 gene:Ccrd_008961 transcript:KVH89055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERQDLDGEEKEEHQQKEGLALKIWDCGSPLYDSYELVSIADVIDRHLMTFPYIISRSIRSATRPSSCSASVPLSLSSTMSHPSRKVKESSFMPCLKLWKMKMNKGTKVKIGILKICRGIVSWRK >KVH89052 pep supercontig:CcrdV1:scaffold_2674:57948:75113:-1 gene:Ccrd_008964 transcript:KVH89052 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MESKSRCKVCVTGGAGYIGSALIHSLLQIGYTVHATLRNLEERLHLFEADIYKPQEFEEAIQGCVYVFHVATPIYHTSGYKYNDKIEATISAIKKIADVCIQSRTVRRLIYTASVVAASPLKDDGSGYKTTMDESCWTPLYISVPYSNDFVKEYTEAKTRAEQEILKIGEDKANELEVVTLSCGLVGGGGHLPYTTSSVMLLISQVINNETQYQMLRYLEELMGKIPIVHIKDVCRAHIFCIEMPMVNGRFLCSSSYITGTWKDQRGISNGAQESLKMKGFLTNTMSRRSWMIVSNMQRDQAISNKNLSLCFVSCFRYTEGPKREIKWGSTKLRDKGFSYKHDTKTTLDDCIECAMRSDNI >KVH89056 pep supercontig:CcrdV1:scaffold_2674:10769:15109:1 gene:Ccrd_008960 transcript:KVH89056 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MLQPPRISSLLTTPSFLPSQKDKQNLGRNPSQQVMLEKIEKAFDPEAVIEEFEGLTMDAKRVQIETLKNILKENGEAEYLKKWGLDGRTDPESYSSCVPVVTHKDLEPLIQQIADVLGPLKGRGSSAFPIGNGKALSFIYGSKQFKTKGGLLAGTATTNVYRSDQFKKTMKSMQTPCCSPDEVIFGPDFHQSLYCHLLCGLVFRDEIQVISSTFAHSIVHSFRSFELVWEELCSDIKTGTLSTRITVPTIRTAMAKILMKPNPELADKIHEKCLSFSNWYGLIEELFPNVKYIYGIMTGSMEPYLKKLRHYAGGIPLLSADYGSSEGWIGANVNPTRPPEMATFAVLPNIGYFEFIPLRENDVANQDQSGLESALIPVEPRPVGLTDVEIGEEYEVVVTNFAGLYRYRLGDVVKVVGFHNATPELQFVCRRNIMPTINIDKNTEKDLQFSVEAAAKLLADEKLEVVDFTSQVDLSSDPGHYVIFWEVNGEASEEVLKECCNCLDSAFVDAGYVSSRKVQAIGPLELRVLKTGTFQKILDHYVGMGSTLNQFKTPRCVGPVNQIVLQILCNNVVKSYFSTAFS >KVH89053 pep supercontig:CcrdV1:scaffold_2674:50039:51445:1 gene:Ccrd_008963 transcript:KVH89053 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MERTPHIAIVPSPGMGHLIPLVEFAKRLLINHNISATFIIPNDGPLSISQNDFLESLPNGLNHLVLPPVSFDDLPHDARMETRISLMVTRSLPSLREAFKPLVAEKKMVALFVDLFGTDAFDVAIEFGVSPYIFYPSTAMALSLFLHLPKLDQMVSGEYRELAEPVQIPGCIPIRGQDLFDPMQDRKNDAYKWALHNAKRYRMAEGIAVNSFKELEGGALEALLTEEPGKPPVYPVGPVIQTGSSSEVNGSECLRWLDGQPCGSVLYISFGSGGTLSSNQLNELAMGLELSEQRFLWVVRSPNDQPNATYFDSHGHKDPLGFLPKGFLERTKGSGLVVPSWAPQAQILGHSSTGGFLTHCGWNSILETVVHGVPVIAWPLYAEQKMNAVSLTEGMKLALRPKTGENGIVGRVEIARVVKGLLEGEEGKEIRSRVRDMKDAAAKVLSKDGCSTRNLDQLASKLKNKISN >KVH87671 pep supercontig:CcrdV1:scaffold_2677:65825:77837:1 gene:Ccrd_025043 transcript:KVH87671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELNDWEVLPNSEDSTSLQNTSYLEGIERDSEGIIRSDYFSLDSRNKYAGTQVEDVTDGISVESDNPSWIDPACDTRYSTTKEMGEFWSTDGSSDDGKYVESEANNKELDIGETGTRQVAYDGSASVEMDKSWSDSGEIESKTRNYEKMDVDTYDDDMKIHEPSGEQKIIQARDQGENEDVLIETRKADSEERNRVAVWWKLPFDLLKYCLYRASPVWTLSVAAAMMGVVILGRRLYKMKRKSRTLQLKVTVDDKKVSQFMSRAARLNEAFSVVKRGPIIRPSLPAAGIAPWPMMALR >KVI00688 pep supercontig:CcrdV1:scaffold_2678:42316:43440:1 gene:Ccrd_021061 transcript:KVI00688 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MSASPLTPVAPPHAATATTTAVAPLRTTNRNWWLRMAAHTLCVLFGQTVATLLGRLYYNKGGKSVWLTSLVETVGFPILIPFYLHFKPLTYRQSTENDRIRTNPPSVFILLAIYVSLGALQSLTGILYSVGLFYLPLSTFSLISTMQLAFNAFFAFFLNAQKLTPLIVNSLVLLTISTVLLVLQSDSEGNQVGVSRKRHLKLGFVSTVIASALYSLGLSLTQLSFQKVLKGETFNMVLNMIIYQSLVASIVTILALFISGQWNDLGKEMASFELGKIMYLIIMICIAVAWQIATIGGVGLIFEVSSLFSNVISTLALPIIPILAIIIFHEKMNGMKVVATVLAIWGFASYVYQHYLDESQPTIRSEVADEVSET >KVH97218 pep supercontig:CcrdV1:scaffold_268:315679:326320:-1 gene:Ccrd_000688 transcript:KVH97218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protohem IX farnesyltransferase MWRRRNSLSHFTQLATSSSSKFNCHNNLQPTSLRLLQIPDVDQGYGLLTRSSSTAVGPSSNSGKLGISGLDRVRAFGSTADIVGATTLGAREVVDMARHYGRCYWELSKAKLSMLVVATSGAGYVLGSSSTVDLAGLCYTCVGTMMVAASANTLNQVFEVKNDALMNRTMRRPLPSGRLTIPHAVTWASSVGLAGTALLASKANLLAAGLGASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGTGSSHAPASHPIFRWAAASGQVSLNGWLLPAALYFWQIPHFMALAYLCRDDYAAGGFKMFSLADPSGRRTALVALRNCIYLIPLGYLAYDWGITSGWFCLESTLLALAISGTAVSFLKNRTKASARRMFHASLLYLPVFMSGLMFHRIYDDDHQSLETENTKDHMVISHTTTAYEQDSRNPDRVKQNHSRPPVAYASVAPFPFLPAPIYSSS >KVH97225 pep supercontig:CcrdV1:scaffold_268:99484:100437:-1 gene:Ccrd_000676 transcript:KVH97225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHATDLSTVVGVCASCLRERLLRLIAAQEQAEAQLLANKHGNSDTNPAFPRSVSPYITRRKSDNSVAPDAAVPRPYNKPRLHHSLSDQRFYNSPQIALSTGGCIGNTSSNRKKPSLIRFSSFSNLFRSNNGNGDADSSSREPCGAAGQPTSVTSSPAWFSNVLPGGGSNQKKKSSDVDESSTIAATGVVRKQRCYRNRGMSPVRSFDDGGEDEFSDGSSEYESVESCKQTPRKTPSHPTIRRGSGHRSVSEMIFCLSPLVRASPNRLWNHKGKPPVDGGDGRAPVVPHLSNAKSFCANRSRKLADFGRSDPNR >KVH97216 pep supercontig:CcrdV1:scaffold_268:216645:222032:1 gene:Ccrd_000684 transcript:KVH97216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein YIILYPVTPSSIILNINLLAFLVPNNVSFSFLKYNIYVPQTLKNPTSSVTNMLIGSLRMDDGIKTKLLRHEEEEEGNLKERIWVESKKIWRVALPGVISRVCAFGTIVVTQSFIGHISDIDLAGYALVQTLSVRFVNGILLGMSSATETLCGQAFGAGQNHMIGIYLQRSWIVDLITTTVFIPIFIFGTQLFKLLGEEEAIASSGGYISLWFIPFVYNFVFSLTIQMFLQAQLKNMIIAWLSAFQFAIHIPLSLLLVYKFNMGVAGAMIALSLSSWFLVIGEFIYIFGGWCPHSWKGFKVAAFKDLLPVVKLSISSGVMSNFGLTILFMCSLELWYYAVLVLLAGYMANAEVAISAFSIWYQTRPQHKCMGVHDQPWFLSVRVANELGRGNAKAVKFSIKVLLGTSIAIGMFFFVLCLVFGKKLAYVFTDDDRVADTVSDLSLLLSFSVLLNSIYPVLSGVAVGAGMQGVVAIVNLVCFYVIGVPLGALLGYLTPLEVKVRKNYCSTRVESSFMVEILCNKFGIWIGMICDFWNFWPELQQSCTSCLLKDQTKTTDFGSNYGLASSVSNFCTA >KVH97228 pep supercontig:CcrdV1:scaffold_268:58384:61241:-1 gene:Ccrd_000673 transcript:KVH97228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MASALRAAILGHVRVPVVANGSKLLTPLWIHRTTSVRSMSSHDDHLEKSQVIDRILDIVKSFPKVDPSKVTPEVHFQKDLGLDSLDNVELIMAIEEEFKLEIPDKEADKIDSCALAIEYVYNHPMAS >KVH97214 pep supercontig:CcrdV1:scaffold_268:260345:269484:-1 gene:Ccrd_000686 transcript:KVH97214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MATTPGYLTDWPWKPLGTFKYVVLAPWVIKCTYDFMTADANKRDYGVMSIFPFLLVRMLNNQFWISLSRYKTAKGTNRIVDKTIEFEQVDRERDWDDQILFNGILYYLGYYSLDGAKQLPLWRLDGVIIVTLLHHLAYFLLFSIPLLTMTLTKTGSIVAFAGYVTYIDVMNNMGHCNFELIPKIAFDIFPPLKYLMYTPTYHSLHHTQFRTNYSLFMPFYDYIYGTLDKSTDTLYERSLKQKEESPNVVHLTHLTTPESIYHMRLGFASVASKPYASKWYLRLLWPVTIWSMLVTWIYGKTFVVERNIFKNLKIQTWAIPKYKIQNEELNRSGELFIRRNPKLKVKVVDGSSLAVAVVLNTIPKGTTQVVFRGYFNKVACSLALALCHKGIQVVTSREDDYQRLKSKLESANDQERLVLSRTYSQKVWLVGDGLSKEEELKATKGTIIIPYSHFPPTKVNKGCFYYSTPAMVTPKHLENVDSCENWLPRRVMSAWRIAGILHGLEGWNVNESGKEMFNVDKIWRASLEHGFTPLIKSFN >KVH97221 pep supercontig:CcrdV1:scaffold_268:10278:16552:-1 gene:Ccrd_000669 transcript:KVH97221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAGDKVKLLGFWGSPFALRVEWALRLKGVEYEYVEEDLSNKSPMLLQYNPLYKKFVPLIIKMSSSTREQKDKVAEEAREVLKTLESSLNQNKPFYGGKILGFMDIAVAWIGIWAPMLEKIIDIKLLDEEYMPLLNTWFRDVLEVLVIKECIPPLDKLLAHYKDYYDRFMATKSN >KVH97212 pep supercontig:CcrdV1:scaffold_268:158238:164645:1 gene:Ccrd_000680 transcript:KVH97212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MGTAVEAANKEATGSALTQKKPKVIFVLGGPGSGKGTQCANIVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLEKAISENENDKFLIDGFPRNEENRAAFESVTGIVPEFVLFFDCPEEEMEKRLLGRNQIDAAKPVGEVFEAVKALFTPVNDKAAT >KVH97229 pep supercontig:CcrdV1:scaffold_268:44340:47863:1 gene:Ccrd_000671 transcript:KVH97229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain X MNRRLTFFCHSILINTSKPSRKSIVTNPRTNGFSLFRAFIYTSTRFNNNSNFRRVNVNTDPNDPSTLMKEDGVSVCSELWIESFRNPNQTVTNLTNYLRRFELWVLAYQKVCADEMGAYMPRSSVQRPALEDLLALRNAVLDNRFRWGARLEFFIKSPKDKTEYESLSKRKIRAILTTTQPSPFQDRIVQEVLFMILEPVYEARFSDKSYAFRPGRTAHTALRVIRRSFAGYLWYVKGDLSTILDGLKVGMVIGALMRDVRDKMVIDLIKSAMTTPVITSPPVEKKRTKRKYQKKRVLAEDEPKPDPYWLESFFGFAPEEAEKVPTWGHCGILSPLLANICLDELDRWMEGKIKEFYRPSKSDVIWNSPEGEVDQGNTSWPEFVPTSGPDKTRKIDYIRFGGHFLIGVRGPRADAAILRKQLIEFCDQKYNLKLDNECLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLGFLKGDRDPDPQPCFRMFHATQAHTNAQMNKLLTTMVEWYRFADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYRIGDRTLRRPLKEKKGQSPEYNNLLRMGLAESIEGLMYTRMSLVPETDYSPFPVGWRPDHEKALLEYIRLDDPKTLEQNRTNLLEEGLISPQDYISMLVWNYKRSASINGLNNSEEGKTLLLGSNVQTDEQRTEDEEEHEEHLCFLPANFVSIGSWIGVGTLRHLKTEGCESGMALVPVTIAFVIEVEKELCLLGKASWLSLPLLTLEIVKSRCFMNE >KVH97226 pep supercontig:CcrdV1:scaffold_268:64736:71366:-1 gene:Ccrd_000675 transcript:KVH97226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MGNVFVKKPKITEVDRAILSLKTQRRKLAQYQQQLEKVIDAEKQAAKDLLREKKKERALLALKKKKVQEDLLKQVDAWLVNVEQQLADIELASKQKAVFESLKAGNNAMRAIQGEINLDDVQKLMDDTAEAKAYQDEITAILGEKLSAEDEEDILAEFENLESQVCFDSLHLQMTIEDLPEAPVSKKDEEELDLPDVPTKPPVVSTDDEIVAHKSQSAHTKVMEEPLPA >KVH97224 pep supercontig:CcrdV1:scaffold_268:126148:132250:1 gene:Ccrd_000677 transcript:KVH97224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MATMESLIGLVNRIQRACTALGDYGGGDTTFSSLWDALPSVAVVGGQSSGKSSXLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGRQEEYAEFGHMPRRRFTDFALVRKEIQDETDRITGKSKQISPIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPETIVEDIEKMVRTYVEKPNSIILAISPANQDIATSDAMKLAREVDPSGERTFGVLTKLDLMDKGTDALDVLEGRAYRLQHPWVGIVNRSQADINKNTDMIYARRREQEYFATSPDYGHLASKMGSAYLAKLLSQHLESVIKAKIPGITSLIDKGVDEMEAELDHLGRPIAVDAGLSPIHLNAIFQAQMYTILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQSVRKIVSEADGYQPHLIAPEQGYRRLIDGSLNYFRGPAEASVDAVHFVLKELVRRSIGETEELRRFPTLQSALAAAAGEALEKFRDESKKTVVRLVDMESSYLTVDFFRRLPQEGEKMGPTPSPGNHRTSSADRRNPPSERGIPAADRGNPSDPLGDRYAEAHFRRIGSNVSSYIGMVSDTLRNTIPKAVVYCQVKEAKQNLLNYFYTQIGRKEGKQLAELLDEDPSLMSKRHEIAKRLELYKAARNEIDAVAWVR >KVH97219 pep supercontig:CcrdV1:scaffold_268:333012:335455:1 gene:Ccrd_000689 transcript:KVH97219 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSTSSSNGGGGGGGGGGGGGPCGACKFLRRKCVAECIFAPYFVSDQGVSHFAAVHKVFGASNVTKLLLHIPVNKRRDAVITICYEAQARLRDPVYGCVAQIVALQQQFVIE >KVH97223 pep supercontig:CcrdV1:scaffold_268:127767:137197:-1 gene:Ccrd_000678 transcript:KVH97223 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MKFMVDKEALFRRLAAEQLEPISGLDKLCKWVEDRGLKRAAVTNAPRSNAELLISMLKLEDFFEVIILAEECARAKPFPDPYVKALNALQISPNRTFVFEDSVSGIKAANGAGMPAVGMASRNPEQMLLDAGATFVIKDYNDPKLWGNLEEIVQQKLPL >KVH97210 pep supercontig:CcrdV1:scaffold_268:179047:184584:1 gene:Ccrd_000682 transcript:KVH97210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLILANKSNISIIAVYVIIFCRILKSIHHNHQFPIFTAKRNLTAVTKLLYCAVCLHDVDREQNYRRLPQCHHCFHVSCIDTWLQSRSTCLYVEIRSLFIFFLRSRKSSRGINNHLTSKAVVYVNVIQTFYSIFPTFIIKIINYLQFPIINTLLVEVKSSSASSSSSKHQLAMDAQQIDLLVAKLSGIVVLILTNKSNIFIVVVVVLILRCILKSIYHRHQFPIFTVKRNVTAATKPLYCAVCLHDIDGGQRYRRLPQCHHCFHVNCIDTWLQSQSTCPLCRNQVPLHLLPRKQKKTSYFYLFIYFSMKAIRKRIGTNFNKMML >KVH97213 pep supercontig:CcrdV1:scaffold_268:172811:173268:1 gene:Ccrd_000681 transcript:KVH97213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLRQNNLVLGKYQLVMEGEEIIAKLSGINIFVTTVVVLIFCRIIKSIHHRHQFPIFTAKRNATVDVKPLYCAVCLHDVDGGQRYRRLPQCRHCFHVNCIDTWLQLRSTCPLCRNQVPLHLLPQKQKEPGYSKEDGIEF >KVH97227 pep supercontig:CcrdV1:scaffold_268:62265:64248:1 gene:Ccrd_000674 transcript:KVH97227 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MPDDEDPKEAVPPAKDGVRSILPPITELKHWQRWRSRLFLERDNEGPKVGNDGVPSSLPPINKLKRWQWWCLVFLNIGSLLIGQGSAVLLLRFYYDQGGHSKWMATLAQNAGFPILFIPFILFPSIKEPSSESPKIVWLVSFGLGALIASDNLLYSVGLAYLSHSTYSLICATQLAFSAVFSFFMNSQRFTILIFNSIVVLSLSASLAAVSDNSTKRPGINRTKYSLGVVSTLAASVFYALLLSLTQLSFQKVIKKETFSVVFELQICTSIIASCLSIVGLFVSGEWRIMRVEIFSFHDGSLAYVMTLIWAAVAWQVCSVGVVGLIFVVSSLFANAISTFSLSLMPLAIAIAYDRTVSSTKTIAILMGLWGFWTYVYQYILDGPQVKNKPHHGKNSSSFPV >KVH97215 pep supercontig:CcrdV1:scaffold_268:293761:310187:-1 gene:Ccrd_000687 transcript:KVH97215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase domain-containing protein MASLAFSSGNLATASKFRSAITIPARNALISCRVNLAENGKPGVPTLTRNQETFPKYFTPYEFERDPKKKDETKLRVFSGTANPRLSQEVACYMGLDLGDIKIKRFADGEVYVQLLESVRGCDVFLVQPTCPPANENLMELLVMIDACRRASAKTVTAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSIGYFDIPVDHVHGQPVMVDYLASKTLSAEDLVVVSPDVGGVARARAFANKLSDAPLAIVDKRRHGHNMAEVMNLIGDVRGKVAVMVDDMIDTAGTISKGAALLHHEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTLPVSEQNCFPQLTILSVANLLGEAIWHLAGDLDYFTTTDLVGDLYDAPQYDLVEDFDDALSPYLVGDLDGAPQYDLVEDFNETLNPRSRRRP >KVH97220 pep supercontig:CcrdV1:scaffold_268:49737:53465:1 gene:Ccrd_000672 transcript:KVH97220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn10 MASSTFFTPTKPFLSFATDLPSPSISTKPTTLGLRRNSFKVNAIAAKYEPTKVKPQADRVLIRLEELPQKSAGGVLLPKSAVKFERYLMGEILAVGSEAGELEAGKKVLFSDINAYEVDLGAEGRHCFCKAADLLAVVE >KVH97217 pep supercontig:CcrdV1:scaffold_268:233317:259389:1 gene:Ccrd_000685 transcript:KVH97217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDDEIKTKLLLQEEQEDGDLKQRIWVESKKIWRVALPTVISRVCAFGTLVVTQSFIGHISETDLAGYALVQTLSVRFVNGILLKNMIIAWLSAFQFAIHIPLSLLLVYKLSMGLAGAMIALSLSSWFLVIGEFIYIFGGWCPHSWKGFTLAAFKDLLPVVKLSISSGVMLCLELWYYAILVLLAGYMPDAKIAISAFAICLNMNSWEXMISLGFLGSACVRVANELGRGNAKAXKFSIKVLLGTSLAIGVFLFVLCLAFGNKLAYLFTDDERVADTISDLSLLLSFSILFNSIYPVLSGVAIGAGLQGVVAIVNLVCFYVIGIPLGALLGYLTTLQVKGIWIGMIFGVLTQTITIGYITWRTDWDDQVKKASERLERFYLHTNENPEQISNGSMDDEIKTKLLLQEEQEDGDLKQRIWVESKKIWRVALPTIISRVCAFGTLVVTQSFIGHISETDLAGYALVQTLSVRFVNGILLGMSSATETLCGQAFGAGQNNMMGIYLQRSWIVDLITLTVLLPIFIFGTQIFKLVGEEEQIANSGGYXSLWFIPXXYNYXFSLTIQMFLQAQLKNMIIAWLSAFQFAIHIPLSLLLVYNLNMGLTGAMIALSLSSWFLVIGEFIYIFGGWCPHSWKGFTLAAFNDLLPVVKLSISSGVMLCLELWYYAILVLLAGYMPDAKIAISAFAICLNMNSWEXMISLGFLGSACVRVANELGRGNAKAXKFSIKVLLGTSLAIGVFLFVLCLAFGNKLAYLFTDDERVADTISDLSLLLSFSILFNSIYPVLSGVAIGAGLQGVVAIVNLVCFYVIGIPLGALLGYLTTLQVKGIWIGMIFGVLTQTITIGYITWRTDWDDQSFIGHISEVDLAGYALVQTLTVRFINGILLGMSSATETLCGQAFGAGQNHMMGIYLQRSWIIDLVTLTVLLPIFIFGTQIFKLVGEEESIAKSGGYISLWFIPFVYSYVFGLAIQMYLQAQLKNMIIAWLSAFQFAIHIPLSWFFVYKLNMGVAGAMIALSSSSWFLVISEFVYIFGGWCPHSWKGFTVAAFKDLLPVVKLSISSGVMLCLELWYYAILVLLAGYMADAKIAISAFSICLNIYAWEFMISLGFLVAACVRVANELGRGNAKAVKLSIKVLLGTSMTIGVFFFVLCLVLGKKLAYLFTDDERVADTISDLSLFLSFSVLLNGIYPVLSGMRSRRLPNIDVYSNYDLIVKMDEEEQNEADLKRRIWIESKKIWKVAFPATISKFFAFGTLVVTQSFIGHISGIDLAGYALVQSLIVRFINGILLGMSSATNTLCGQAFGAGQNHMMGIYLQRSWIIELITLTILLPIFIFGTRIYKLVGQEESVANSGGYISLWFIPFVYSYVFSLTIQVFLQAQLKNMIIAWLSAFHFAIHIPVSLLFVYTLNTGVAGAMIALSLSSWFLVISEFVYVFGGWCPHSWKGFKVSAFKDLMPAAKLSISSGVMLCLELWYYAILVLLAGYMEDAKTKISAISICLNINAWEFMISIGFLVAACVRVANELGSGNVKAIKFSIKVLLGTSMAIGVFFFVLCLAFGEKLAYAFTDDDRVADTVSDLSLLLAFTIFLNGVAIGAGIQGVVSIINLVCFYVIGIPLGGLLGYLTTLKVKVHKYYMCLTREIGYITWRTDWDGQVKKASERLERFYLHSEENPEQISNES >KVH97211 pep supercontig:CcrdV1:scaffold_268:188352:188788:1 gene:Ccrd_000683 transcript:KVH97211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEDKQIKFITSKLTGIAVLILTSKFNIFVTVVVLLIFCRILKSIHHLHQFPVFTAKRNTTAATKLLYCAVCLHDIDEGQRYRRLPQCCHCFHVNCIDTWFQSRSICPLCRNQAPPHLLPRKQKEPGSHSREGCI >KVH97222 pep supercontig:CcrdV1:scaffold_268:138836:155578:1 gene:Ccrd_000679 transcript:KVH97222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLSVQKPRTLPKSKLKKRTQNPLPKFTISNERGRESRMSGSMNLLQLWQLPYSTLLFSRAKLCKGLYPLPRMKIYPQCLCKQMQTTTYEVVNGSYLETSHAKQENKTKDTAPEILDTVGAFQKLPMVMPSVDILSSALRKARRISPTKGIANAAKREKNKGAKQLDALMKELTVPLRTYKESFPNKRHLHPYERSLIELTLGDGNYEEVLGKVDSLRKKLVSVGKEHASLCAKSTTKREAEERLVEGTRKLEEIFHSDGKAVDELMQIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRILSTGKPEICNYPFTTRGILMGHIALSFQNFQVTDTPGILRRCDEDRNNLEKLTLAVLSHLPTAILFVHDLTGECGTSPSDQLKSRVHELLVSQSARLNNTQKAEVPNNISPARKIFI >KVH97230 pep supercontig:CcrdV1:scaffold_268:23403:29904:-1 gene:Ccrd_000670 transcript:KVH97230 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MLHSIVFSLTRSCWGCGSKFEGLLPDYVQKGTKAYIEEFKANYRGSKSERTDLIDLYKKYKGHMNSRMPKKKFLQLQNVISILGDEEKQALYDQTGCVDDALVRNPKSYYTKTNFSSSNQGGVIDETNQASPIERDNEVGSIEGHEQSSPQELDHNEGGIGLHETTSNMHNTMQSEASQ >KVH98644 pep supercontig:CcrdV1:scaffold_2681:17739:42004:-1 gene:Ccrd_023131 transcript:KVH98644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAAAVVVAAAATWQPQEGGFKEICGLLEQQISPSSDKSQIWQQLQHYSQFPDFNNYLAFILAHAEGKPVEVRQAAGLLLKNNLKTAFKSMPPANQEYIKAELLPCLGAADRQIRSTSGTIISVLVQLGGVLGWPELLHSLVKCLESNDLTHMEGAMDALSKVDSLTHRNYHKIQICEDIPQVLDAENPGSSERPIDIFLPRLLQLFQSPHASLRKLALGSVNQYIMLMPPVLYMSMDNYLQGLFVLANDPSSEVRKLPHLRNVIEYMLQVNKDPDEEVSLEACEFWSAYCEAPLPPENLRAFLPRLIPVLLSNMAYAEDDESLLDAEVNSFSSLEDGSLPDRDQDLKPRFHSSRFHGSEDAEDDDDDIVNIWNLRKCSAAALDIISNVFGDEILPTLMPFVQSKLSTSDDASWKEREAAVLALGAIAEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVQGIAHREGHEQFEKVLTGLLRRILDNNKRVQEAACSAFATLEEEAAEELEPRLEIILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNQPKHLEILMPPLIAKWQQLSNTDKDLFPLLECFTSIAQALGSGFSQFSQPVFQRCLDIIQSQQLAKVDPVSAGAQFDKEFVVCSLDLLSGLAEGLGSGIESLVSQSNLRDLLLQCCMDDGTDIRQSAFALLGDLARVCPIHLRPRLPEFLDIAAKQLNTPKLKETISVANNACWAIGELAIKVNQEISPVVMTVISCLVPILQHAEGLNKSLIENSAITLGRLASVCPELVSPHMEHFMQSWCIALAMIRDDIEKEDAFRGLCAMVKANPSGALSSLVFLCRAIASWHEIRSEELHNEVSQVLLGYKQMLKNGAWEQCMSALEPPVKERLSKYKV >KVI01401 pep supercontig:CcrdV1:scaffold_2682:23060:23524:1 gene:Ccrd_020327 transcript:KVI01401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MAEDNNSRWQLLSRLKTVVNKITFLINSDVNRWKFVSSFNGGSNSRRRLSFGQGLGLTAIVSSGEEDDDEDDDDNNNVVGFSDHSSGSSQMLQKTKSFSLQRSTSFPEEDDVDKRAQMFIDNFYRQLRLERQVSLQLRYKRNMSIGSSSLSNSP >KVI01402 pep supercontig:CcrdV1:scaffold_2682:13537:18668:-1 gene:Ccrd_020326 transcript:KVI01402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAERDTVPLNQSSQSDIDEIENLMNYSIQSGPATVLPARPPSPTRASIPVSSSPFIPSNLPQKPASVPAAPPPPSNLNRNANANAGTNGQQQSGMTGFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLVLSWSASVKKSEVFAVAFALLATGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGALICMLKDNVVLKIVVVCVSLAWSSWAAYPFMSTAVNPRRKALALYPVLLMYVSVGFLIIAID >KVI01400 pep supercontig:CcrdV1:scaffold_2682:34520:34972:-1 gene:Ccrd_020328 transcript:KVI01400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSFLNLHQPENELDIEIFRDPNLPSSSLLSSLHNNDQRVFSCSYCRRKFYSPQAFGGHQNAHKLERTLAKKSREMSLSAARYHSEWNQMPSRFGSNGSSHVEPVQPPTVVGIEGLQLFGSGETRREMGYGYKGDQRVDDGFNQLDLSLRL >KVI01403 pep supercontig:CcrdV1:scaffold_2682:69723:70025:-1 gene:Ccrd_020329 transcript:KVI01403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MEAIGVPFPKSQPMRIYSSLWNADEWATRGGLVKTDWSQAPFTASYRNFNVRPGVLTQLDSSRDEKMKRVQKNHMIYNYCTDTKRFPQGFPRECAITTST >KVH87670 pep supercontig:CcrdV1:scaffold_2684:35082:39785:1 gene:Ccrd_025046 transcript:KVH87670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein YTSNCSCWSLRKLIDSCLTYRHEVEFIKLVVKDISSKLPVVSTDGNLIGMRTRINGVVSSLNAFPDELSMIGIVGMGGGGKTTLVRAVFDRICDEFEGLKKMEKLRFLHVIDCAIDLDFDEDGGYLPDSLQYLKFQHCRLHVLPKTFQGSNLVALEIWGMKQLWEGGERKVLPKLRFLTLRWSSLTTFDLGLTPNLDILDLEYSRDLVEFHMPFPFPKLKSLNLNGSMLSTLDLRLVPNIETLNLMKCLDLVELHIPCECPQLKFINVSSPKLRSLDLKLVSNIETLNLNXCCDLVELVMPCKCPQLTSLNLSGSKLRSLDLRLVPNIETLNLHGCKDLVELCIHRECPHLKSLDLSCPPSLRSLNLGPTSDFEILKLEECDYLVEHDMFLECQQLKSLVLDIPTLRTLDIGSIPNLETLKLQGCYNLVELRMXXERCKLRTLSLGCPQLRTFDLGMTRNLEALTLDGHDDLVQLLDSVRYQQLEDLEVINSKLSTLELTRNLKKLILTSCDLVELHIPVGDVMLKSLDIKGCSKLKTLDLGGTPNLQSLHIEECSSLVKLLAPIGGLKNLTNLKAKGFLST >KVH87666 pep supercontig:CcrdV1:scaffold_2684:70793:74191:-1 gene:Ccrd_025049 transcript:KVH87666 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MCLKLIDDYSRPKLHVAGVLDWLGLRLDMLSSFMFAFTLVFLVSVPQGTIDPSNKDNFVIFINDSPPRNKFEGTAGLAVTYGLYLNKIQGWAIQKLCNVEIRFISVERIFQYSSILSEPPLVIDSNRPRLTCTFHGGTKTGIVGRTGSGKSTLIQTLFRIVEPASGEILIDGINISSIGLHDLRSRLSIIPQDPTITRVSKIATVTENGENWSTGQQQLVCLGRVLLKKSKILVLDEATASVDTATDNMIQKTLREHFSDSTVITIAHRITSVVNGDMVLVLNNEEKSSSFSKLVGEYNRRSNSSHI >KVH87667 pep supercontig:CcrdV1:scaffold_2684:53301:67952:1 gene:Ccrd_025048 transcript:KVH87667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 5 MQVGKVNKIPITKGLRKMEKLRFLYVSNCPSEVDEDEQYLPDSLQYLKSDFFPHLRVLPKAFQGSNLVALETNLMEQLWKGGERKVLPKLRFLTLRRSFFTTFDLGLTPNLEILNLEHSRYLVEFHMPLPCPKLKSLNLNGSKLSTLDLRLVTNIETLNLMECSDLVELHIPFECPQLKFLNVSSPKLRSLDVMLVPNIETLNLNGCCDLVEIVMPCKCPQLTSLNLSGSKLRSLDLRLVPNIETLNLHGCKDLVELHINRECPHLKSLDLSCPPSLRSLNLGPTSDFEILKLEECDYLVEHDIYQQLEDLEVINSKLSTLELTRNLKKLILTSCDLVELHIPIGDVMLKSLEIKGCLKLKTLDLGGTPNLESLHIEECSSLVKLLAPIGGLKKLTNLKAKGFLRFTNLYIEESYGSLPSLDLHGKLIDTCPLHPDDNFPKFQFDCTYREDLPSLIGNVEKLISVGSSCACTDLVSFFGSNCGLQHLGYLTLQGDIPEAPKDLGSLQCLERFTFRSTSIKHLPDSILFLKHLKSLLLLDCELLEKLPEDLGRLECLERLILKRCLVLRDIPNSICRLKSLKYLSLEDSIRVEKLPEELGRLECLEELDIQGTSIKNLPPSISLLGGLKIVRSEEGTTRTTTTGGCCMVLYRIFSSWHEWILLHCNNNRIYHSRMDSASAGYVNMKKFDVAEYYSKANLPWQDGEYSLSNTVEFCHEQVRENFILGYDRNSILSLYICVLGIFKHNQAKTSPTLCELTFSVPGSPSYISVNMQVIKQVNGMLFKFQKNVFTEMMLLSSSVNCSSPPIVSGAVLLLICCVLDVELHLPHECPQLKTLNLEGCRALVELGMPDECPQLITLDLNGCEDLLELHMPLECPQLETLNLEGCRAFRKLDMPGECPRLITLDLNGCEDLLELQMPRECPQLKTLNLDGCMGFRKLGMPGKCPRLITLNLNGCEDLLELHMPRECPQLETLNLEGCRAFRKLDMPSEFPRLITLNLNGCEDLLELHMPLICPQLETFNLEGCRGLVKLDMPGECPRLITLDLNGCEDLLELHMPRECPQLKTLNLEGCRGLVKLDMPSESPQLKTLNLKGCRGTRFEELWSFELVLELGHELMLSVDACMCVKSVSGTSVSLKRNVFRVEFTFILSYNLAGSCQRLRWNVVHVECPALSMLKMECSPGLRTGCCYSQGKGGMKKVR >KVH87665 pep supercontig:CcrdV1:scaffold_2684:3949:9795:1 gene:Ccrd_025044 transcript:KVH87665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRFLDIFYSTRDLGYDEDVPYLPDSLQYLNSRSLLFRVLPKTFQGSNLVALEVRGMKQLWEGGERKVLPKLRFLKLSPSYLRTFDLGLTPNLEILNLERSRDLLKFHMPLPCPKLKSLNLSGSKLSTLDLRLVPNIETLNLLECSDLVELHIPCECPQLKFINLSSPKLRSLDLMLVPYIETLNLNECCDLVELFMPCKCPQLTFLNLSSSKLRSLDLRLVXNIETLNLHGCKDLVELCIHRECPHLKSLDLSCPPSLRSLNLGPTSDFEILKLEECDYLVKHDMFLECQQLKSLVLDISTLRTLDIGSIPNLETLELQGCYNLVEFRLHDERCKLRTLSLGCPQLRTFDLGMTRNLEALTLDGHDDLVQLLDSVRYQQLKDLEVINSKLSTLELTRNLKKLILTSCDLVELHIPVGDVMLKSLDIKGCSKLKTLDLGGTPNLQSSHIEECSSLVKLLAPIGGLKKLTNLKAKDKCPLHPDDNFPKFQFDCTYEEDLPSSIGNVEKLISVSSSCACTDLESFFGSICGLQHLGYLTLKGDIPEVPKDLDNLQCLVQLSLWSPSIKHLPDSILSLKHLKFLNLFDCKLLEKLPEELGRLECLEELYLSSKKIKHLPYSICMLKHLKFLSLYDCELLEKLPEDLGRLECLERLILKRCLVLRDIPNSICRLKSLKYLSLHDSIRVEKLPEELGRLECLEKLDIRGTSINNLPPSISPLGGLEIIRSEDGTTTTTTTVGGLKIVRSEIGTTTTTTMVGGLEIVRSEDGTTTTTTTGGYCTGCXXYEAIIQEWTQQVQDMGIVIFKKRSFMNGLSNCQANLPWRDGEYSLSNTVEFCCIPKL >KVH87669 pep supercontig:CcrdV1:scaffold_2684:40047:41386:1 gene:Ccrd_025047 transcript:KVH87669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHLKSLSLYDCELLEKLPEDLGRLECLERLILERCLVLRDIPNNICRLKSLKYLSLHDSIRVEKLPEELGCLECLEKLDIRGTSINNLPPSISSLGGLENVQSEDGTTTTTTTTTTTGGCCTVLDVMFMKLSYKNGLSGVGYGNMKKLAVVEYYSKVIWNYHDEAAAQKQQSRLAVFWDRLEKLSRGRRGREPEDRNRRAEPETEKESRNQSIEREQDEKLSRRREQDRKPIGRGIDQKSTSRESKQKLLIERD >KVH87668 pep supercontig:CcrdV1:scaffold_2684:28266:32269:1 gene:Ccrd_025045 transcript:KVH87668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIIKEMLPPDVRVARDTRDLLIGFCVGIMVNGVFDGKKMMNFFLPRRKVLVDSIENLEITRKLSEAARFMANQPGMGLQLGQDASAAAASK >KVH87664 pep supercontig:CcrdV1:scaffold_2685:58344:69640:-1 gene:Ccrd_025051 transcript:KVH87664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MSGANCKEVDPRKEEEEEEEEEEMVTGMGSYKGKVRMVRGDPSDEMMLLWGLQQPTFSKPNAFVSQSSLNLTLDACGRRLSISQSPSSLGAPGVTGSVMWDSGIVLGKFLENAVETGLLGLQGKKVVELGAGCGLVGCIAALLGAQVILTDMPDRLRLLKKNIEDNMYGDVRGCATANELTWGDRPEPELVEPLPDFGTVYLPDSSLVKAPLSNDLAEVYKEVVIGSDVIYSEEAVTDLLDTLVELSGINTTIILAGELRNGNSLRCYIRLLLKSVTPLVRVDVIFEAVGCLADAILEYFLEAAMEEFMIGRVDQAGWHPDYRSPRVAMYVLVMK >KVH87663 pep supercontig:CcrdV1:scaffold_2685:2459:43890:1 gene:Ccrd_025050 transcript:KVH87663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MYCGGRNTNVTHTRLLFTILSLRLRVVLDYKSQIRPKMQMLCRSLAPSAMAALPSSEARRMVCVWPGIRQLCLRKNLMYGFVQLFSFPFKTLRGVSRSLGVSHLCSISNMSSSLQIELVPCLKDNYAYLLHDVDTGTVGVVDPSESLPIVDALSRNNRNLTYILNTHHHYDHTGGNVDLKARYGAKVIASSIDRDRIPGIDISLNDGDTWMFAGHEVHVIATPGHTKGHISFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMHESLGKLILLPEDTNIYCGHEYTLSNSKFALSVEPGNEELQSYAANIAHLCKKNLPTIPTTLKKEKLCNPFLRTSSREIRRTLNIPMDASDAEALGVIRQAKDDF >KVI11404 pep supercontig:CcrdV1:scaffold_2686:24990:25601:-1 gene:Ccrd_010186 transcript:KVI11404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MLSNPQNPSSFLLERIATTTTGGCNKQISRPCATNRVPDSVARYHTHAVGQNQCCSAVIQQINSPVSTVWSVVRRFDNPQAYKHFVKSCHVVDGNGDVGTLREVHVISGLPAAKSTERLEILDDERHVLSFSVVGGDHRLANYRSVTTLHPTPTGNGTVVVESYVVDIPPGNTKEETFGFVDTIVKCNLQSLAKIAENKFRPK >KVH98859 pep supercontig:CcrdV1:scaffold_2687:63706:68227:1 gene:Ccrd_022912 transcript:KVH98859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease KEQILPIVPHLNFRYLNLQPFLQISHLSYSFGDMVSSVDRSEIAFFDLETTVPTRPGQGFAILEFGSILVCPRKLVELESYETLVRPHNLSLISTLSVRANGITADAVVSAPTFSEIADRVYDILHGRIWAGHNIIRFDCVRLREAFAQINRPPPEPKGTIDSLALLTQRFGRRAGDMKMASLAAYFGLGKQTHRSLDDVRMNLEVLKYCATVLFLESSLPDIFTENSWVSPNATTRNRRNAKAALNGTDLTTNPPSSSRNVKNWGSPPSNRAEEAIHPIFSLAASGVGEQVPNPVESGSPQPDPFDLGPLVDKIENEILQSNEAMEEESSTATLSNAVSESTDFLEPDEVSWTSVTVASVPFFRGPQKMQILHGDMPLQMHSNSLRVRFGFSTKYVDLAGRPRLSIVVDAPPNLCGILDACDELAKKRFVESDSDSEWRPITECCRRG >KVH93567 pep supercontig:CcrdV1:scaffold_2688:36816:38817:-1 gene:Ccrd_004381 transcript:KVH93567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MKTLVLIWVLLQMFSLCITFDANLTSETYTLSNSSNLAKPNCSNRCGDLIVPYPFGIGNDTNCSISHEFDIYCDNSVSPPRASITIADYSFIKHISDSRVRISNNVASKCYFTNGTVSTMFHVQENYTNFPYTFSDLNKFTVIGCDDFAWMISETRSKKVGTGCMAFCSTPEDARSDQCSGRGCCQSSIPQDLNYYTTNVRTMNDYQNMSNIRSFNPCTYAFIGEENVFTFKGATDLKDHSLWRKIEDNVPIVLDWAIGNLSCIDAKAMDAFACQSNSMCVNSTRETGGYRCICNEGYEGNPYLSPGCQGTI >KVI01908 pep supercontig:CcrdV1:scaffold_269:126518:129206:-1 gene:Ccrd_019799 transcript:KVI01908 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKFMKLGSKPDSFQTDSNNIWYVNSELATDVIVNVGDSKFYLHKFPLLSKSGRLQKLVGSITEGDGNEVDMHEIPGGSSAFEICAKFCYGMTVTLNAYNVVSTYCAADYLEMYETIDKGNLVYKISVFLNSSIFRSWKDSIIVLQTTKNMTALSQEPKLVNLCINSIASKASVDVSKVDWSYTYNRKKLPEETANEQTLNGGIRSRMVPKDWWVEDLAELEIGLYKQVLVSIKNKGLVCNEVIGEALKAFALRRLPGFNKGIVHSGDILKARSVVDIIAWLLPSEKGNVSCGFLLKLLKMAILVDSGETAKRELVKRIGQQLEEASVQDLLIQAKEGEPGCMIYDVNMVREIVKEFVVQGENGEFEDEEHEIQETGRTTGGGILSEASKLMVAKLVDAYLAEISKDPNLPLGMFVDLAEMVSGVSRPAHDGIYRAIDVYLKAHPGISKSERKRICRLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQARAAASSGSSTPDLPKAMKALNVSNGKPATNPEDDWDGVATAEELKALKEELAALRTRHHGPKSTVSEKASVPRVKGLLSTKKIFSKIWSTKSGIRENSGSDSSESLGSANPDEAKSTPSRKGRHSVS >KVI01925 pep supercontig:CcrdV1:scaffold_269:192954:193394:1 gene:Ccrd_019804 transcript:KVI01925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MSKMIKTLFMIVITVAITITLTFTSINNNIHKPTLSDQSFALKPFPKRLSRFLAETKNPRSADHCNKDNEICYILEGINSTCCNNKCMDLSQDKHNCGACKNKCKFTSSCCRGECVTLAYDKRNCGSCDNKCMHGGYCIYGLCNYA >KVI01919 pep supercontig:CcrdV1:scaffold_269:170627:176957:1 gene:Ccrd_019802 transcript:KVI01919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRLRRITRNIITLKHTISRQRISSTIHHHSSQISCFQMHNHSHSMQWWSASVERQQNYTASCTRSLFVENAYVSRSTKFAWYVVGTYSEWVIDGEYDWPTKCCQCQAVLEESTDPQTTRLDIVHTSCLVSHIKSFPPNTAPAGYICPACSTPIWPPKSVKNSGSRLHSQLKEAIMQVEEADLPYVLLTFQTSGIEKNLFGNHPVSSPPKSSHGPPPAFASDPLRPKGASGNGSAKPLRSGATTRKGTPQGERQTSELSYYADDEDANRRKYTRRGSFRHKFLRSLLPFWSSALPTLPVTAPPKKDANADESHTRHHRPSRMDPRKILLVIAIIIAQRGFGEEEADNRQQ >KVI01926 pep supercontig:CcrdV1:scaffold_269:199317:199607:-1 gene:Ccrd_019805 transcript:KVI01926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPLLMHAMKKQRPHNNYRSLSVGSTRSYHVLVGADAKVEESSHRRTRSALQSPAADFLEQRSGFGCMPQTKSYKRSSTVSTPNSYQGSKSRK >KVI01929 pep supercontig:CcrdV1:scaffold_269:18885:20890:-1 gene:Ccrd_019788 transcript:KVI01929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNAIFLTAFICIVFSCVGGQSPSSSPTATPAPPTTTTPPPTASPPQVTSTPPPVSSPPPVATPPPVSSPPPATPPPVASPPPATPPPVASPPPATPPPVASPPPATPPPVASPPPAPLASPPASVPVSSPTVTPTAAPSPLTLSPPAPPTGAPSPSLATDLSPAPSATDVSGVEKMGSMVGSMVFGLALVYSLF >KVI01916 pep supercontig:CcrdV1:scaffold_269:87485:92141:1 gene:Ccrd_019795 transcript:KVI01916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr MSDNPLQAICSTIGRISVSLHTNISKLISITQKPHTGTNVQFSVSVPAPPTATSSMLAADSSLFQPTEKQVGPVTREQLGRATWTFIHTLGAQYPEKPTRQQKKDVKELMAIISRIYPCKECADHFKEVLRSNPIQAGSQAEFSQWLCRVHNVVNRSLGKPTFPCERVDARWGKLDCEHRVCDLQGSMTLFGSQTD >KVI01912 pep supercontig:CcrdV1:scaffold_269:54013:56327:-1 gene:Ccrd_019791 transcript:KVI01912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of ribonuclease activity A MALVTTAEVCDANPQLIVSGELRALQPVFQIYGRRQVFSGPVVTLKVFEDNVLIRGFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCVRDVDEINSCDIGVRALASHPVKANKKGVGEKHVPVAFAGTRIWDGEYLYADTDGILISKTELSV >KVI01922 pep supercontig:CcrdV1:scaffold_269:158988:165025:-1 gene:Ccrd_019801 transcript:KVI01922 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MAAVPPVRGRELSNPPSDGISNLRFSHHSDHLLVSSWDKSVRLYDASENILRGEFIHGGAVLDCCFHDDNSGFSASADNTVRRLVFNYEREDVLGRHDAPVRCIEYSYATGQVITGSWDKTLKCWDPRGASAQERALVGTYAQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGIAPELLHQNHFCSPGYALSSVEGRVAMEFFDLSEAGQSKNYGTFATGGCDGFVNVWDGNNKKRLYQYTKYPTSIAALSFSRDGRLLAVASSYTFEEGDKPHEPDAIFVRSVNEVEVKPKPKVYPNPAT >KVI01920 pep supercontig:CcrdV1:scaffold_269:179007:186579:-1 gene:Ccrd_019803 transcript:KVI01920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complement Clr-like EGF domain-containing protein MAIRKGPPSHEHTQILQLGVRRESMMAKLLLGFLLLSCLYHQSAMGRFVVEKNSLTVSSPESIKGNHDSAIGNFGIPQYGGSMAGTVAYPKENRKGCRKFDEFDISFKAKPGTLPTFVLLDRGDCFFALKVWNAQNAGASAVLVADDIEEALITMDTPEEDGASAKYIENITIPSALISKSFGEKLKKAISDGDMVNVNLDWRESVPHPDDRVEYELWTNSNDECGVKCDMLMDFVKNFKGAAQILEKGGYTLFTPHYITWYCPQAFTISKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVIENLRQLCVFKVANETKKPWVWWDYVTDFQIRCPMKEKKYNKDCAEGVISSLGLDLKKIEKCMGDPNADSDNPLLKEEQNAQIGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICAGFEETTEPAACLSDDVETNECLENNGGCWHDKIANVTACKDTFRGRVCECPLVDGVRFKGDGYTNCEANGPGRCKINNGGCWHETRDGHFYSACSDSGNGKCACPSGFKGDGVKSCEDIDECKDKKACQCPECSCKNTWGSYDCTCGGDLLYMRDHDTCISKRAAEAKSAWTAVWIILLCLVLAASGAYLIYKYRLRTYMDSEIRAIMAQYMPLDNQSEVPNHVNEDRA >KVI01928 pep supercontig:CcrdV1:scaffold_269:36658:41281:1 gene:Ccrd_019789 transcript:KVI01928 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB domain-containing protein MASASKSIRSATVMQRFSRIKTQWGLSALLIAVANTTFGGGYRRPLSIHLHNHKFHHHRLPTTSLSIIITSFRIARTFVTYATVSKPATEPKKRVNGFTKTYRVSPELKDFLGGVPEVSRSLALKEIWAYIKEKELQEPTNKKVIVCDEKLKTIFGGKNRVKFLEISGLISPHLLK >KVI01921 pep supercontig:CcrdV1:scaffold_269:149594:150370:-1 gene:Ccrd_019800 transcript:KVI01921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MALEALNSPATTTTAAAPPPSFHYNFPTTTVIQDSWNKGKRSKRPRTTTSADSASDVTHPTESPHPTEEEYLAFCLMLLSRGTTAATTVQSPPLPPLHQSVSHKCSVCNKGFSSYQALGGHKASHRKNVPDDHPSTSATTTASASASSALKPSGRSHECSICHRSFPTGQALGGHKRRHYDGNNPGSGVTTSEKTTSSTHSQPRNFDLNLPAFPEFQLGLNVDCVKKSQLSFNEQEVESPHPAKKQRAAIIAGDVPNY >KVI01930 pep supercontig:CcrdV1:scaffold_269:293799:293999:-1 gene:Ccrd_019811 transcript:KVI01930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQFENLIESIKSKVKALKRKKSKKVYVKMDKTNSVRVEIRSRHARKLIDKTLQAADHPGKRPVT >KVI01913 pep supercontig:CcrdV1:scaffold_269:96332:98206:-1 gene:Ccrd_019796 transcript:KVI01913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MGDKEKTVCDSSSSSRDQSKVEEEEEEALMALYGSESGWVEARIHCDHLDTLSSDLIHIPTPDTPCNRCHHPAENWLCLSCKDVLCSRFINKHMLDHYQQQNHSVALSFSDLSVWCFSCNSYLDAQVMLPLRPVYETAYILKFGEAPPVRTVEFLQVDDSTSGN >KVI01917 pep supercontig:CcrdV1:scaffold_269:232041:241186:-1 gene:Ccrd_019808 transcript:KVI01917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MDEELEDRAALLSRIELLERERDELRNDIEQMCMQHAGPSYAGVATRMHFQRTAGLEQEIADLKKKLTACLRENVNLQEELSESYRIKNQLADLHAAELSKSMEAEKQIKFFQGCVATAFSERDNAMMEAEKAKEKEELASQKFDIMQERIEELRLVVLEEKKLSAMLQTDLEKLRKENEIFKEVITKFYDIRQHSLNEYYDIGWEDRCSSLLNDSEDMWTFAGQGESPTTDYITSLEEEVEALRISIINLRSKLQMGMEIEKHLKKMVRDLKNKNICLEEKMKKDISGLHSFHSQHRLDIVNLLEEESSQFKSVVNDINEKMRQLCMNEELNHLLPKRDVQLPDVDCRDVHISPDANSDGITKENVSGLPSTSISGAGNGSESFAQMLKEKENVSVLQETCSSGTENASEPLAQALQEKVAALLLLSQEEERYLLESNVNAALQTKLEELQKNLIQVTNEKVVALMELAQLKQDYYLLQEKLNDNVKQGKVLIDSEEKSTIHDKDGRLKSLLKKTYFSQWINHPLSSHGSESGAHQEYAHNISKKSASNTMDFARMKIEYAALKESLESMGHLTNSIHRLRLSLVKVKESDAVGGEPEIIENVVTEAKLLKTALGSSLPVSWSAETEDVEKVDFVSVAGFEMVELLILASQLLRNSMP >KVI01910 pep supercontig:CcrdV1:scaffold_269:74152:77437:-1 gene:Ccrd_019793 transcript:KVI01910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQQYTRVDTLELKALLYQKIGQQRAEKYFNLLNKLFSLQLTKSNFDKFCIRTIGRENLPLHNRLICSIVKNASLAKVPPPTVKKLASSLNVKVARCSSQRNSLQSLYGDSFPLSPRKSRSPRDRKFKDRPSPLGPNGKSDYVGEAGSKVQQELHQSPTELNSLGSRPPIEVLSVEDGEEVVSGSPGIQSRSPVTAPLGISLKMNKARKNLYHTSSIYGSRPQTCQNSYELPESRYLRGRLKQKLESERLSISEDCANLLNVGLDAYLKRLMEPCIGLARSRISQTAPGFSGSLNRQRPRIPSGVSLLDFNVSVECDPHRLGADWSSQLEKLRFSSLVE >KVI01918 pep supercontig:CcrdV1:scaffold_269:249412:251105:-1 gene:Ccrd_019809 transcript:KVI01918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQGQQPPVGAPPPQGYPPEGYPKDAYPPPGYPPQQGYPPPQGYPQQGYPPQYGAPPPQQQKQSAGFMEGCLAALCCCCLLDACF >KVI01914 pep supercontig:CcrdV1:scaffold_269:97460:104302:1 gene:Ccrd_019797 transcript:KVI01914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 MGHSNVWNSHPKTYGPGSRTCRVCGNSHGLIRKYGLMCCRQCFHSNAKEIGFIKEKHSAPPKLEKLLKVELKALIGCGKLIK >KVI01932 pep supercontig:CcrdV1:scaffold_269:314260:317500:-1 gene:Ccrd_019813 transcript:KVI01932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSILPLSHSVSSWSCKQLQNSRNPRIIPINSIFKPPSFHKSHTSFTLQNPKRLQISVKAEKETEESHVTVASVENEKGEIHMGSKLSEENGDEGEGKEEQQELDWKADDEFKKFMGNLSIEAAIKLEKKRTDRKLKELDRERSSDNPIMGVFNQLVRDNLSREKQRLEKAEEHFKALDLNKLKSCFGFTTFFATDVRRFGDGGIFIGNLRRPIEEVIPALEQKLSEAAGREVCLVQPRSEIDLQFELTKLSTPSGYVSAVALAVTTFGTIALMSGFFLKPDATFNDYLADVVPLFGGFLTILGVSEIATRVTAARYGVELSPSFLVPSTWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTYIRPQFFYNNPLLSFIQFVVGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRMAQAMFGRSKAALLSFATSFLLVIGGLNGSVLCLAWGLFATFSRGGEEIPAKDEITPLGDDRYAWGVVLGLICLLTLFPNGGGTFSSSFLNGPYFRGDM >KVI01915 pep supercontig:CcrdV1:scaffold_269:79336:82081:-1 gene:Ccrd_019794 transcript:KVI01915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGQEGNQDTIMSDDHNNGVDKKSNPTLTLLPLIALIFYEVSGGPFGVEDSVKAGGGALLSLLGFTIFPIFWSIPEALVTAELATSFPKNGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSLPIFDELYARIPALLAITILLTYLNYRGLHIVGTAAILLAGFSLLPFVVMGMLSIPKIRAKRWVSVDFKKVEWRGYFNSMFWNLNYWDKASTLAGEVQDPSRTFPKALYGAVILVVCSYLIPLLAGTGSLDSDSSKWSDGYFAEVGMLIGGSWLKWWIQAAAALSNLGLFEAEMSSDAFQLLGMSEIGMLPSVFASRSKFGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRLKKPDLHRPYKVPLKTFGAMMLCVPPSVLLVLVMCLASARTFLVTGAVVVLGFILYPVIIHAKNKKWAHFLSSDDVNSCDRDVEDEDQDNGMVHIADEAEVSLLSDTSSSKTEKIYEILPEGVSKSE >KVI01924 pep supercontig:CcrdV1:scaffold_269:226356:228766:1 gene:Ccrd_019807 transcript:KVI01924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding, CenC-like protein FVVNAYAVPYDYAYTAECLKNPNKPQYDGGIVVNPELNDGDKGWTSFGNAKLQIRRSETGNGFAVAYRRNQSFDSISQEFFLDHQKLYTFSAWVQISHGDATVVATFKTPTGYYNAAATKAVSGCWSMLKGGLTVNESGTAHLYFQSENPSIDIWIDSVSLQPFTQEEWKSHHYQSIEKVRKSKVRIQAVDGKGKPLANRTLILQQKFAKFPFGCAINKNILNNQAYQNWFTSRFKYTTFENEMKWYANEPIQNXEDYTAADAMLQFTKSHGVSVRGHNVFWDDPKYQPSWVPNLQPSQLAAAANKRINSVMRRYSGQVISWDVVNENLHFNFFESKLGKTASSKFYTQARALDRNAALFLNDYNTIESPGDTKSSPDSYLSKIRETRSGGYRGTLSIGLEGHFSGQVNIPYMRSAIDKVASSRLPIWITEVDVGAGSNQAAILDQVLREAHAHPAVNGIIVWSAWSPNGCYRMCLTDSSFRNLPTGDVVDKIVREFFGAVVTATTDANGFYDTSLLHGDYQLSFAEFDRYQPHEKDSNSTRISHNFKVEASDDMLHIKILA >KVI01927 pep supercontig:CcrdV1:scaffold_269:9440:11751:1 gene:Ccrd_019787 transcript:KVI01927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical METGPREEEFDWLFISQNPTDFAPSSSSVNQQQPPSNTTIMGSSSARQVNGNGSFLGDNTGVFSFQTKQQQQLPAVGNHFVANPVRSIFDHRDRYPADFSLEFNPYDYCCNSCNPDQLYCFGATDFHPAGLASFDHRSSLNGFPETPRERNLEIDFNRLNISSSNHHLLPFLASSPPLGGSTIANNSLIDSSFNVGNIYPQGRVPAPVTPVNRNVAFHDPCCNLHSRRDSFELNPRGLCNLLENPIRSRDWISAYPNPISNSLPQSRQQPKRTKFPASLKDIRGLICLVAKGQEGCQFLQTKCEEGKPEDIEMIFSEIKDHIREFMVDASMNYLAQKLFKVCNERQMTHIVVSVITDDANLTSICLNSHGTRAMQKLIELLSTAEQRSLIVSALRRITVTLTKNTNGHHVIQHCLKSFHVDEVQPILNVVADNCLDIATDKSGCCVLQQCVLHANGVSKERLLTEIIANALHLAEHPYGLIKIRLHVLIFLTINFRNYVVQHILGMQIPGVTADILQRLSGNFVTLAMNKYASNVVEKCLKDAPDDQSIPIIREIINSQNFLSVIQHPYGNYVAQSALQTAKFKRAYQSVTYIGLRRMQGSLKEMMINKVQKEYAFLHSHPHGKRVLALARNSKPR >KVI01909 pep supercontig:CcrdV1:scaffold_269:71007:73807:1 gene:Ccrd_019792 transcript:KVI01909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MLFPLPQTIRSRLLLPPNFWKPSFTNQHFSIKMNASVLRIGNKAHYHIWMRHSLSDSLGVQQHLQHSNAFYSRFPGRRATEMGFSVPVRALTTKTNGRTMSSSITNSGFVGWYLGMIKTRPILTKSITSALIYTAADLTSQTMSRLSSSSEGGYDVIRICRMAGYGLIILGPTLHLWFNFVSRVLPKQDLMATFKKMLMGQTIFGPIMTAVFFSVNAALQGESGEEIVARLNRDMVPTMINNVLYWPICDFITFRFVPVHLQPLVSNSFSYVWTIYITYMANLSKAAAANS >KVI01923 pep supercontig:CcrdV1:scaffold_269:206096:215827:-1 gene:Ccrd_019806 transcript:KVI01923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding, CenC-like protein MKIEAWCYSLFNFFMIVSGFVVNAYAVPYDYGYTAECLKNPNKPQYDGGIVVNPELNDGDKGWTSFGNAKLQIRRSETGNGFAVAYRRNQSFDSISQEFFLDHQKLYTFSAWVQISHGDATVVATFKTPTGYYNAAATKAISGCWSMLKGGLTVNDSGTAHLYFQSENPSIDIWIDSVSLQPFTQEEWKSHHSQSIEKVRKSKVRIQAVDGKGKPLANRTLTIQQKFAKFPFGCAINKNILNNQAYQNWFTSRFKYTTFENEMKWYANEPIQNCEDYTAADAMLQFTKSHGVSVRGHNVFWDDPKYQPSWVPNLQPSQLAAAANKRINSVMRRYSGQVISWDVVNENLHFNFFESKLGKTASSKFYTQARALDRNAALFLNDYNTIESPGDTKSSPDSYLSKIRETRSGGYRGTLSIGLEAFAAAKPVLCFIYFTNIPYMRSAIDKVASSKLPIWITEVDVGAGSNQAAILDQVLREAHAHPAVNGIILWSAWSPNGCYRMCLTDSSFRNLPTGDVVDKIIREFFGAVVTATTDANGFYDTSLLHGDYQLSFAEFDRYQPHEKDSNSTWISHNFKVEASDDMLHIKILA >KVI01933 pep supercontig:CcrdV1:scaffold_269:302201:307816:-1 gene:Ccrd_019812 transcript:KVI01933 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUA-like domain-containing protein MQNAFSKHQMQVVGWSPRFALVVNRSCRNSSTLRAFSSDSSNQSRGGLPRFFSDGSAVRVQGDEFWHMTKVLRLNTDDRIELFNGKGGLVEGCIERIDRTGADIVALENPKLVSANTTQWHVFAAFGTLKGGRSDWLIEKCTELGASSVTPLLTERQRLHKMTLKPPLKVGGLLPIVSQSKLSFIAAAEAMPILNALALSQRESVGSIIIGPEGDFTEVEVKSIQEAGATAVGLGPHRLRVETATVALLATAMLWSDSTTV >KVI01907 pep supercontig:CcrdV1:scaffold_269:121377:126404:1 gene:Ccrd_019798 transcript:KVI01907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MMVAAISETTNQTRPPLLPSEKDNGINKPTRPKSRQVSSRYLSPSPSPSTSSNSSSLPTPTPSRRFPSPLVSRNSTTPAAKRSVSADRRRSTRPDLNLKSNNAGGGEVSAATKLLVTSTRSLSVSFQGEAFSLPISKTKATPPSPNSYSVRKGTPERKRTGTPLRGKVEGGGGDHAENTKPSDQYRWPGRTRQSNQTILSKSLSLDCGVEKNNIIGSGNVIRALHQSMNLEGRRASVDGRLSLDLGNSAELLKPIQHNPHGNSINDSSMVPSDLTASDTDSVSSGSTSGVQETFGLTRGSGVGGRGMVVSAKFWQETNSRMRRLQDPGPPFLTSPGSKPPTKLALAKKFSSDSPLSSPRTMASPNRMRPASPSKFMTSPSRGIISPRRNSISGTISTNFSETPSVLSFAVDVRRGKVGENRIVDAHMLRLSYNRQLQWRFVNARTEDTLLKQRHSSEKNLWNAWITISDLRDSVTKKRHRLQLLRQKLKLASILKEQMAFLEHWASLDKDHSVSLLGAIEALKASTLRLPVGGAMADLQSMKDVISSALDVMQSMCLSICSLCVKVEEVNSMVAELANVCAKEQALLRICKGLLSMLAALKVKDCSLRTHMLQTKCVKKPHV >KVI01931 pep supercontig:CcrdV1:scaffold_269:279181:282747:-1 gene:Ccrd_019810 transcript:KVI01931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MRVRRRHHPLSKTSTVATIPEEDSFDPSRTAPAHVNLGGGGLRKKAAGVRQWLLLDSTGQAQVVEVDKHTVMRRTGLPARDLRILDPILSYPSTVLGRERAIVINLEHIKAIITAHEVLLLNSKDPNVAPFVEELQRRILRQHHANASQEGTARSDDTDWANLYDLEPQSKEVSPAIVSTTIRERGDGVKADGIHFDSRDGLKLLEFEFVALEACLEAACSSLDKEARTLEKEAHPALDKLTRKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDDGDMAEIVPVDGPMEGNENIHEGDIQWMNSQQEHFFGPNALGKDSRGTRTSMARSGINKRLDVQELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLSTANLVVSAFVVVAGVFGMNISIELFNGDTPAQKEIGMRRFLWTVGGGTTGSIFLYVIAIAWCKNQRLLE >KVI01911 pep supercontig:CcrdV1:scaffold_269:44722:48191:-1 gene:Ccrd_019790 transcript:KVI01911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MLRKCVKPFDRCLPQRSGDELLWHTDLKPHASGDFSIAVVQANSSLEDQSQVFTSPSATYIGVYDGHGGPEASRFVNRHLFPFIDKFATEEGGISADVIKKAFNATEEGFLRLVKLSMPIRPQIASVGSCCLVGLITNDELYVANLGDSRVVLGQKFLDKGKATVVAERLSRDHNVSFMEVRKEVEAQHPGDSHIVVYCHGVWRIKGIIQVSRSIGDFYLKKPEFNRDPYFMQFGNPVALKRPVLTAEPSIISRKLRPQDLFLIFASDGLWEQLSDQEAVDIVHKYPRPGIAKRLVGAALEKATKKRELRYKDIKKIGKGIRRRFHDDITVIVIYLDQQKGLSANSTKLGCTIAPVDIFSCKKDKQPLLVQHT >KVH94925 pep supercontig:CcrdV1:scaffold_2692:17854:25887:1 gene:Ccrd_003007 transcript:KVH94925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIALWLLSQFLPPAFRFEITSPRLACVFVLLITLFWYEILMPQLSAWRVQRNARLRERKRSEAIEMQKLRKTATRRCRNCLTPYRDQNPGGGRFMCSYCGHISKRPVLDLPLPPGLGGLSNSGILKDLVGKGGKILNGKVWSDNGWICGQDWLENSGNWVGGSFSGKPSFRGKNGAGFSSGSDDHCFAEKSYSRLVVFLCKGLAAIFLGIMWLWRKVFRVSSADEDTSADAEIRGLAKKGENGVNCNESRGEKARRKAEEKRQARLEKEQLEEEERKQREEVARLVEERRKLRDEKTEAEKDRGKVSPREKNNKREAERKRQERKKEKDRGSSKSNSDVDELEKRAVKEIERSRKCETDRREHHRVGTESLKLNGTDAAHFKVVGTHNNNIGSVGTRYLDRMKGSFLSSSRAFTGGGFFGKGTIANTSIAREHKSTATLDHVQNTANKRDLSQPEHAPGKSNASTDDKNINRSVQVESQPHTAPKKSWQQLFTRTSTVPSSPGTNVISRPKGKSQKEVQSPMSSGYPATQGFNNPITFGLPYTLPNFVYGDSNTGLQLSSNSIFPRVGEAPDKFLPEESDVFEDPCYVPDPVSLIGPVSESLDNFQLDLGFVPDVGFQKPHPIKQMSDSSEVSRPSPIESPMSRLRILDERHANSSFFPSTPRPQDKNNLTMEDQGNANEKGWQMWDSSPLYQDSLGLAGDPSSWLLPPDMNGLNKEGVMQLQPQKTMASLFKKDDQVPSNSQSPQELCQNGRTYSRYVHVTDDDPWLSRTSYGSMSGRDNHLSLNLQEHTTRNELVYGSPNGSATNNPFQLSQGNFWAKKERAVPVSLVEGKGSAASTTRPVGGLYSTPDVQSLWSYE >KVH94924 pep supercontig:CcrdV1:scaffold_2692:28810:33795:-1 gene:Ccrd_003008 transcript:KVH94924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saposin B MGGRIGLIFVFLLVAGLACDARELTTTLRNKVSAVSVLRSKAEKRFGVLGNVKNDNLCSLCEEYASEALFYLQQNKTQEEIIFILHESCSKLRSLEGQCTTLVDYYAPLFFLELSTIKPSDFCGKVNLCNEVVAYAQEFSQNSCDVCHLAVSEAVTLLKNPDNQLEILELLLQQCKTVEKYIPKCKTLVFEYAPLILANAEQFLEKEDICGKLHACDSYASIEEASKISDN >KVI03170 pep supercontig:CcrdV1:scaffold_2696:27489:27917:-1 gene:Ccrd_018534 transcript:KVI03170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MLQAMKLTKDLMGTCIRSLCNSNLAGGFKIGKDTTLPATYIRSAEDPVKDLGGNPPSQRPILAFFFAGGMHGSLRLILIQHWHDKDPDMKIFGPMASDIVSKASYRTYMKSNKYFICARGYEVFSPQIVESIYFQCVLVIMN >KVI03169 pep supercontig:CcrdV1:scaffold_2696:51291:52379:-1 gene:Ccrd_018535 transcript:KVI03169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSFLSIKDNSLYLQNIFTNLPKNSTKCPRRTCLPLRIHASSSPPQIILQQLLPESNLVRFAPLKAFNFNTYMTEKAKIVNKALEEAVPLQEPLKIHEAMRYSLLAGGKRVRPVLCLASCELVGGMEVCAMAMASAVEMVHTMSLIHDDLPCMDNDDLRRGKPTNHKVYGEETAVLAGDALLSLAFEHLATRTVGVGPGRVVQAIGELGSAVGSLGLVAGQIVDLCSEGKKDVDLSQLEYIHIHKTAKLLEAAVVGGAVLGGGNSGEVERVRKYARCIGLLFQVVDDILDVTKSSEELGKTAGKDLLRDKATYPKLMGLERAKEFAGELLGNAVDELAYFDAGKAAPLYHLAHYIAYRQN >KVI03171 pep supercontig:CcrdV1:scaffold_2696:62177:67195:-1 gene:Ccrd_018536 transcript:KVI03171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASDLVPLFRKKLRLRDLLIVHQSVFKASRSESFFKSGGHDAAFASYAQIIERYKARDETYLNLKDQQLQRQAEEKNNSEMGVGVSGDEYSLQVDEAKVDEKSVHTTAGGSTSTVRNLRIQEDASKYLLNLDVDSAQIRSGCEDHLQDMDPNEKFN >KVH92039 pep supercontig:CcrdV1:scaffold_2697:21610:30106:-1 gene:Ccrd_005932 transcript:KVH92039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MNIPNGNGHHQINIVNASHSQPSQLSPSNIPRRDLLDGGENYKAICVPLYKAITTNDFEAAKFILDKRPELVQFSITESGETIIHVAVLGKSCLFVKYLMSLMTKKDLELLNGNGETTLCLVARTGNVEIAKTLVEKNEGLIDIPNSQGKMPFQVAALYGRHDMVEYLYSSSQKMNGQFWTHQNRSSVFENCVEANLFDVAFQIVSDLPELAINRSVLRSLARKTHACLPIKRYPLYEVIYF >KVH99420 pep supercontig:CcrdV1:scaffold_2698:23101:24234:1 gene:Ccrd_022346 transcript:KVH99420 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MELAVVRSNHKISPATYTPEMDPKIWRKLPIELLERILSFLPLRTFLYLRSTCKYFRSLIFSPVFLSRHTNSLSSSPLSSFLLLSHPQSTHYLMYDTDLNTWRNLKLPLSRSLSCTPLLSTASCLLCFSAVPDSSNSAFLIYNLLARSSRIVKFPEFPFAFELPTLITNSSGYKLFCLSSRSSSHVYAYVYDSRVRQWIRYDGFHRSLGDSYHQQGVFCNGSLYFGTREPFSVVGFDLNTGKWERLEVSLPEDLTFARLAAGENRLFMFGGIGRNGISKTMKVWELDEQERIWMEVESVPDMMCRKFGSVCYHNYEHIYCFWHQGMICVCCYTWPEVLYYMVSRRTWHWLPKCPSLPHKSSCGFKWFSFAPQLYAAV >KVH99419 pep supercontig:CcrdV1:scaffold_2698:29605:31923:1 gene:Ccrd_022347 transcript:KVH99419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin head, motor domain-containing protein MDDFRLQIHGSQDDCACNQGFGVLFFNNDFPEVPTWTLGYSFKIFASNMHSQPEKPVAGSLNKSGGVDSQKLSVMSKFKGQLFQLIKCLTNTRLHFIRCIKPNNTHCPGIYN >KVI04441 pep supercontig:CcrdV1:scaffold_2699:5771:7609:-1 gene:Ccrd_017243 transcript:KVI04441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLFFQLYKIGLLKEMVWQQNIGWARSSNSSKAHRINDKMSEAHARMHLRQHSFKKYMTFKKDFNAILLHLLNQLVKEALHFEEIVSGSRTDVTLIGVKALDYGITDLKAFFSSVEFGPGNFELDEEWSVIRHHLVR >KVI04440 pep supercontig:CcrdV1:scaffold_2699:7948:13810:-1 gene:Ccrd_017242 transcript:KVI04440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENETNKIEIAGDDKTDEISQVIGTNKIEIVGDDETITISNRHDRFGVIRSGSLGVYFVHKKVNKCDFSERRRNKETD >KVI04442 pep supercontig:CcrdV1:scaffold_2699:15484:15747:-1 gene:Ccrd_017241 transcript:KVI04442 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, CDC48 MDGMSAKKTVFIIDATNKPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKSALRKSPVSKDVNLRALAKYIQAFQSLYNTAFTWTS >KVI11887 pep supercontig:CcrdV1:scaffold_27:590617:596507:-1 gene:Ccrd_009693 transcript:KVI11887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDSTAAGAEIDLAAQHVLLGEGYYVERTSKQTIEILKRRGKDLESQIETLNAVIKDLKFEASFFDDTAIEAAGNALMGSGPTLLIAVIREEKQGEWKDEVQEQYMPGANVMHEIDDKSFYSRRMASSKKGIMSFAIHRAAATIPQHQQTHLLSPTLTLWIIAFPSHTTTIKDVDVGSGSSCS >KVI11902 pep supercontig:CcrdV1:scaffold_27:4913:8146:1 gene:Ccrd_009650 transcript:KVI11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MPIATITTSNLNSSTGPIFEAFSNSGGKMEHQDHQVPISGAEHHLLELEMPKSSGGTNVASKLPCDHCCSFSKQEQSVMDIEQRSKSTAKLCGLVIFYLIVMAVEIVGGLKANSLAVLADAAHLLTDIGGFSISLFTIWASGWNATPHQSFGFGRVEVLGAFLSLQLVWMISGYLIFEAIERLLHKHSQVNGGLMFAIAAFGFVINFIMVIWLGHGHSHSHSHSHEHGHGHSHDTCHEKPHHDDINEEEGRSLVVITSKAKSRTMNINIQGAYLHVMADMIQSVGVMIAGLVIWVKPEWLMVDLVCTLIFSVLALATTLQMLRNIFSIVMESTPSEIDVVCLKTDLNSIKGVHDVHDLHVWAITQGKIVLACHIIIEPSVDSNEILHKVKNLCKGSYGIHHITVQIEQD >KVI11890 pep supercontig:CcrdV1:scaffold_27:705023:706425:-1 gene:Ccrd_009698 transcript:KVI11890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPNPNSFISQITSTSFAHIHLPTFQTPKTLISSCHLNSSQYDKKIVEKPTMSDILESSKAQNLDLRLQTVGPFFRITATSLETNKVLGKAEGMIRVWWKKGKILHLDSIKLTRETLGMDRSIFGIGLFIGAVMIRYGYDCGCKTAELLAINDSDLYHAKLVKFYRRIGFEPVREVSGSSMGDLGHMLVWGGVGTRMDADVERLLVKWCTRFTIRTRSNS >KVI11922 pep supercontig:CcrdV1:scaffold_27:181134:183940:-1 gene:Ccrd_009662 transcript:KVI11922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen, PCNA MLELRLVQGSLLKKVMESIKDLVNDANFDCSATGFSLQAMDSSHVALVSLLLRSEGFEHYRCDRNLSMGMNLGNMTKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPEAEYHAIVRMPSSEFARICKDLSSIGDTVVISVTKEGVKFSTRGDIGTANVVCRQNTTVDKPEEATIIEMNEPVSLTFALRYMNSFTKATPLSSTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEDNKS >KVI11927 pep supercontig:CcrdV1:scaffold_27:226381:226884:-1 gene:Ccrd_009665 transcript:KVI11927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWNRKNGKRYRVGFLGRDKLVKKEAYPRPDCQLLALFISPKLPPGFSSSCMRQKLVPRKVRRPSPTPAVRVRLRLTNTKKIQFTQRLPLGSELHMGKERCCLQGLDYLHGPTSHSICGNFLIYKPSLTNDRLMFEDDESLRVDLYGLEPRRLMPQAHGLSFFRHAK >KVI11897 pep supercontig:CcrdV1:scaffold_27:316448:317587:-1 gene:Ccrd_009673 transcript:KVI11897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding-like protein MTGYDSWSSNNSEVLKVACSPDGDNSPFDFGIFKQALSSDIVLSTKFVSKYCYYWAAKFEGLETNTYPGETIFSIALAISGLILFALLIGKMQWLHHRLPPDDLQERFRRYDQCKWLETHGMDEENLVQTLPKDLRRDIKRHSFLSCVFMFYVFVWYLGSMFNLDVVQCIYLSCLHLSSKSIIPKRIDSSTKLDCIVQLGY >KVI11892 pep supercontig:CcrdV1:scaffold_27:385566:388693:-1 gene:Ccrd_009678 transcript:KVI11892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEGGGHAGFQGGTQGDGFQNFQNLQYSLDSASWYTPTPVMASPHGFMPGQTYENYQGTFLPSNAFDEFSSFNSGIGAGFYEEGGAPLLPSLSMEQFSGVDSIPVMEQFSGVDSIPVMEQFSGADNIPAMEQFSGADNIPVMEQFSGADSIMDQFSGVDSIPIMEQISGLDSINPVDEGQCSTWAQLTQYPDHTNEDFNNNVTRPSNEILQGGTSASVNFNKRQWTKEEDSKLYALVMEFGSKNWVQIANRMDGRARKQCRKRWYNHVHPDIKKDDWSESEERILVEAHQKMGNRWAEIARMIPGRTENAIKNHWNATKRKKTLRRRKSKKNESNNGEPRSTVLLEYIRGTGSTSASNTTNTTTCTTNVSLPTITGNVTPGNSTSILPDDLSIELENLFHNPPNSYSIGSPSLDMIIPTHDDESTFMQSLFGDSTTVAQPKITTSSETLVQPLEPISWPNMNTLEFNGNSEYGSSSSSVPID >KVI11880 pep supercontig:CcrdV1:scaffold_27:541017:544097:1 gene:Ccrd_009689 transcript:KVI11880 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MEQDYDVTMSYYKAPYLVDIEAVGGRRVLKLQDISANAQAWRNVDVLSFNTGHWWSHTGSTQGWDMMESGGSLYQDMDRMVAMETAVRTWARWVDSNIDTTKTRIFFQSFSPTHYDPSEWSGGAATTTKNCYGETAPVSASAFSGVYPNEKKVVDAVLREMQSPVYVLDITTLSAMRKDAHPSIYSGDTSTGQKANPNHTPDCTHWCLPGLPDTWNQLFYTALFF >KVI11885 pep supercontig:CcrdV1:scaffold_27:642539:647752:1 gene:Ccrd_009695 transcript:KVI11885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGLTLMESLRRKIQAFRMMGFLLFLLVSSSFFSFVYSDDGGILLEVKKSFRDVNNVLYDWTDSPSSDYCMWRGVSCDNVTFNVVALDLRGNRLSGQIPDEIGDCSTLKNLDLSFNMLTGDIPFSISKLKQLEILILKNNQLIGPIPSTLSQVPNLKILDLAQNRLSGEIPRLIYWNEVLQYLDVRNNSLSGTIPSDIGNCTGFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNELSGKIPSVIGLMQALAVLDLSCNNLSGQIPPILGNLTYTEKLYLHNNKLTGSIPPELGNMSKLHYLELNDNQLTGHIPPELGRLTDLFDLNVAGNRLEGYIPDNLSSCTNLNSLNVHGNQLKGTIPAQFQRLESMTYLNLSSNNLTGPIPIELSRIGNLDTLDLSNNRISGPIPSPLGDLEHLLKIDLSYNHLSGVLPQELGQLQNLFMLNVSYNNLAGDIPTGNNFSKFSPDSFLANPGLCSSSLSSSCHASRSTERVAISKAAILGIALGALVLLLMIFVAVCRPHNSKPFIEGSYDKPVHYSSPKLVILHMNMALHVYDDIMRMTENLSEKYKIGYGASSTVYKCVLKNCRPVAIKKLCTHYPQYLKEFETELETVGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDLLHGQ >KVI11915 pep supercontig:CcrdV1:scaffold_27:67846:82887:1 gene:Ccrd_009655 transcript:KVI11915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase, class I, C-terminal-like protein MGSQGFNSQKNGQRLGITEPISLGGPSEYDVIKTNELEKFLADAGLYESHEEAISREEVLGRLDQIVKVWVKKVSRARGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHAARDEDFFGELKGMLSEMPDVTELHPVPDAHVPVMKFKLNGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQSVRSLNGCRVTDRILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLQIWDPRRNHKDKYHLMPIITPAYPSMNSSYNVSSSTLRIMTEEFKRGHDICEAMELNNGSWLELFEPYRFFEAYRNYLQIDIAAENDDDMRNWKGWVESRLRYLILKIERDTFKMLQCHPHPRDFSDNSDPFHCSYFLGLQRKEGVPVNEAKKFDIRLTVDEFKANVGSYSSWKHGMEINVTHIRRRDIPAFVFPGGIRPSRPARVSGEGRRVPETSATSSVDAGSSVDGNVVDTSDDVRKRKREEDEMHAKVTAAKLVINEGPSNKEFSVAGPSVGTVTVTSLLGNSKDTNMSGDVWRQMIQSHVTDQVGTSGVQTELLYQNNADINGPLNSREAEKLAIEKLMSGPYESHQTSPQELEELEELDNNSQNKILGGTAIGNDMESSATKEVASSMTISNTTGASSSLQLNGNLEELEAPELAAPFPMATHATAAAQKKPVISYTMLMIM >KVI11911 pep supercontig:CcrdV1:scaffold_27:6687:10841:-1 gene:Ccrd_009651 transcript:KVI11911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MATKVDHDYGYLLKIVLIGDFNVGKTNILSRFTRNEFFLESKPPLALNLLQEVSRLKFGTLQGRRDTDAYYRGAVGALLVYGITKRPTFDHVQIWVLQLRYHADYNIVVMMTGNKSDLSHLRVVVEEDASLWLKKKGFRFLKHQHSNHITSRKHFKLFIISLARKHWQQKNHGKETTITVDNTSRILASPAPGDGALLQKSELGDLDVPSASVNYGGYYNLDMEHKKMVTVVRPLN >KVI11930 pep supercontig:CcrdV1:scaffold_27:719487:728890:-1 gene:Ccrd_009700 transcript:KVI11930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MAESCSSMILLALFAGFWVCVNAHEKLNYQEALTKSIIFLEAQRSGKLPPTNRVPWRGDSALEDGKLVGVDLVGGYYDAGDNVKFGLPMAFTVTTMAWGAYFYHFELEATGEMNNLLDAIRWGTDYLLKASSQRNRLFVENMKTPRTVLEINDKTPGTEIAGETAAALAASSLAFRKSDQPYADKLLETAIQVFQFADEYRGTFDGACPFYCSYSGFNYMKYILEQSTTATVFEFSWDLKYAGAQVLLSQENNSHVNAIAGGYLNLRDGANSQYVTSTALLFSIYSDLLARHNMQVTCGHNPVKRSMMVGFGNNPPVQAHHRGASVPANAVKEDINCGMSFVYWYNVDTPNPNELTGAILGGPDRQDQFVDKRVNSSYTEPCTYVNACAIGVLAKLAKHHG >KVI11908 pep supercontig:CcrdV1:scaffold_27:517850:520566:-1 gene:Ccrd_009686 transcript:KVI11908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAMFFYSGHGSFSDSPTLNHIVGHLLHSAILVPYHGWYLPKLHFYPSFLIVLGTMYKRISHRTHHQNHGHVEKDESWVPIPEKTYKSLDSSTKFFRFKIPFPMFAYPLYLVRTTRSGALLTCITFKRSPGKKGSHFNPYSDLFSPNERHYIVTSTICWGMMVALLFYLIFIAWLDIVTYLHHHGYEQKLPWYRGKVLDFTTNPLILYDLLXYYPFTTLFVHGVGGEWNLVFYFTNGHAGSYIYPYIGIDRLTITPEIFDSDGIHSYGHVHLPIFEQEWSYLRGGLTTVDRDYGLFNNIHHDIGTHTKAAKPVLGKYYREPKKSGLIPIHLIDNLLRSIQQDHFVSDVGDIVYYQTDDELIGKKKK >KVI11925 pep supercontig:CcrdV1:scaffold_27:228026:229473:1 gene:Ccrd_009667 transcript:KVI11925 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase subunit I MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGEPDMAFPRLNNISFWLLPPSLLLLLSPALVKWVVAPSGRETGRELPPEWDMPALVRXLIEEEGPITPTGMRDAYYDAMLEGHGSIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVPMVAAAESAIGLTIFVNYFPSPRDYCCKIY >KVI11882 pep supercontig:CcrdV1:scaffold_27:648817:649152:1 gene:Ccrd_009696 transcript:KVI11882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVDPEISATCKELGEVKKVFQLALLCTKRQPSERPTMHEVVRVLGSILPPPKQNPTGGPATLVPCSKVSSYMDEYANLKTPHLVNCSSMSTSDAQLFLKFGEVISQNSD >KVI11891 pep supercontig:CcrdV1:scaffold_27:711754:714880:-1 gene:Ccrd_009699 transcript:KVI11891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEQINNREGSNILNCEGGYNCFVGFLKILSWIHQMKTIWEKGEDDGSSGGCWRTLPETNTRVIGGGESGSMLEVDGGTKSMRIIQEEECNSSSSSSIGEDSDEEREGDAESRYRYDQHNNNGSFDDAIQALEEALPIRKGISTFYNGKSKSFTSLADVWPSTTSSIQDIAKPENAYTRKRRNLGAFKLSNINTGRISKKPKTTTLLFTSDREKPNFNQHAKQSQIKRPLPLRSFSMVNLHHYNSSKIGNC >KVI11905 pep supercontig:CcrdV1:scaffold_27:496303:506681:1 gene:Ccrd_009685 transcript:KVI11905 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGNYRVCCCFTRKFKVTQPEPPSDVKEAFNRYSNDGVHMTADHLRRFLEEYQGGDGHAVSISDAERIVEQILHKRHHLAKFIHRKALSLEDFHHFLFSTELNPAIRSQVHQDMTAPLSHYFIYTGHNSYLTGNQLSSNCSEVPIIKALKRGVRVIELDLWPSSSKANVHVLHGRTLTTPVELMRCLKSIKEYAFTTSPYPVIITLEDHLTPDLQAKVAQIVTETFGSMLFFPESEKLEELPTPESLKYKILISTKPPKEYLETEDDKHCSLQKGKDSDDDDDTWSEEPSRDVSYEDKSFKSGSDRSDHDAEDLEQGKKSPSSPAYKRLIAIHAGKPKGGLKDALKVEKDKVRRLSLSEQALEKAAENHEEDVVRFTQKNILRIYPKGTRITSSNYKPLVGWLHGSQMVAFNMQGYGRSLWLMHGMFRANGGCGYVKKPDFLMRRGPKNEVFNPKAKLPAKKTLKVKIYMGDGWHLDFKKTHFDTYSPPDFYTRVGIAGAPVDKKMRKTKIKEDDWTPVWNEEFTFPLTVPELALLRIEVREYNMSEKNDFAGQTCLPVSELRPGIHAIPLCNRKGDQYTSARVGFGMRFSFEVFGCFLRHFTPRSNELPRDIRKVVEKYSEKGKMSVNNLQDFLTHVQGEADADAQAIFDKAKHHNLLHHTGLHLLQFLYSDLNHPLCAVLDDMKSPLSHYYIYTSHNTYLTGNQFTSDSSVRPIIRALQKGVRGIELDLWPNSTNTDINVCHGRTLTSSVKLRKCLKVIKDHAFHASEYPVVITFEDHLNKKLRKKVALMVKRIFEDMLIQPEKDYKPTKLPSPAELKGKIVISTKPPNESLESETMDDTRIVNNILFPTIFDCLKSKDGSDEDIKNQEEDMQGEAPEYRHLIGIHAVKHEGDMRDSLHVDPNNVKRLSLSEQELVEACEEYGQDIVRYMGSYKRNILRVYPKGTRLDSSNYNPFHGWKHGAQMVAFNMQGYGENLWLMQGMFRANNGRGYVKKPKFLCEGDLAIRPTDVQKILKVD >KVI11895 pep supercontig:CcrdV1:scaffold_27:298719:302080:-1 gene:Ccrd_009671 transcript:KVI11895 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MPAQVMAVSGGAAQDLPARLTGQVIICTIIAAFGGLMFGYDIGISGGVTSMDVFLKKFFPGVYVKKHHAKEDNYCKFDDQYLQLFTSSLYLAAVVSSFFASKCCTKFGRRITIQLASLNFLTGVVLNACAMNISMLIIGRLFLGFGIGFGNQKYNYLYVEYNVQAVPLFISEIAPVKYRGGLNVCFQMLVTVGILCANVINYFTASHPWGWRISLGGAAVPALFLALGSLIIVETPTSLIERGQAEKGYATLQKIRGVRDVQKEYDEIAYATELSKQIKHPFKNLLKRSSRPQVVCSMILQVFQQFTGINVIMFYAPVLFQTMGFGSDASLLSAVVTGSVNSLATLVAVFGVDKLGRRLLLIEAAIQMLIAQKYAYVVLFLICFFVSGFAWSWGPLGWLIPSEIFPLEIRTSGFFCAVSMNMIFTFIIAQAFLTMLCHMRAGIFFFFSVWIVIMGIYAYSFLPETKGIPIDEMNEKAWKKHWFWKRYFSQERDQIPDKA >KVI11888 pep supercontig:CcrdV1:scaffold_27:544339:549362:-1 gene:Ccrd_009690 transcript:KVI11888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRARVLQLSRRQPLKHRGPDWSGFYQHDDCYISHQRLAIVDPASGDQPLYNEDKSIVVTYEEYGENFVDMLDGMFSFVLLDTHDNSFISARDAIGITSLYIGWGLDGSVWISSELKGLNDECEHFEVFPPGHLNSSKLGGFKRWYNPPWFSETIPLTPYDSIALRRALEDAVIKRLMTDVPFGVLLSGGLDSSLVASIMARHLSGTVICIPIVTPYVYYMQVMVAENLYSYLITTPKDGIDAIEDVIYHIETYDVTTVRASTPMFLMARKIKSLGVKMVISGEGADEIFGGANKSTSAWGLELRVPFLDKEFINVVMSIDPEMKMIDMEKNRIEKWILRRAFDDEDRPYLPKHILYRQKEQFSDGVGYSWIDGLKDHAELQVTDKMMLNATHIFPHNTPMTKEAYYYRMIFERFFPQARTSCTYYLYVALCFKYLVDRSDPSLCSFQNSAILTVPGDASVACSTAEAIKWDAAWSNHLDPSGRAALGVHQSDYEQRLVPVKSTTKVVDNGQKSTIDKTVTELTMRS >KVI11889 pep supercontig:CcrdV1:scaffold_27:559589:566365:-1 gene:Ccrd_009691 transcript:KVI11889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNMRKLLLAASRDVTTCYYTFLILSLLAVNVAGRYLHDNTRELDVDGISGHTQPEQSSFLRLKGMDYSEEYYCSEQMYGFLPCSSTLVGHFFLIVVYEYLLFQGESLVISGGSRLFEILGPGVFGASAFPVLDPLPESLIVLVSGLVASKEEAQEYVKTGVGLLTGSTIFLLTLLWGTCVIVGSQKFHSNPIQSRQSSLPWSGSGVITDVETSETARIMLVSLVPFIIILIPKIFDVSNSSGEVEHELLVFDMLQRMQEHISKPLVTEGGAPNEVAIRSLFKKMDHNGDDHLCYLELKELLEEIKLSKLTWDWEKVARQLLEQKRGDEEMINHLTSELLKHSHSSLLENFPANEESLRKNKFMAFNLADMKLDEGDAAEKIMNHFDKNKNGELDVEEFIAGIKQWHNLPINLAPTSRKHPNEGRQMMMNEVDKSLSARAIATMLLVLGITILVLLVEPLIQSVQKFSASAGIPSFYVAFILVPLATNARTTISAIRAVSQKKQMPFKKSHNEQTTISLTFSEIYHGVLMNNILGMLVLSSIIYFRGLTWNFSFEVLIVLVVCATMGLLASFRSKFPIWTSFVAYMLYILSLTLVYILNGTLHSS >KVI11903 pep supercontig:CcrdV1:scaffold_27:447967:455047:1 gene:Ccrd_009681 transcript:KVI11903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin/nitric oxide synthase MACAINLLWIRRNATMATTKIYIIYYSLHKHVEYMAREVQRGANSVEGIEATLWQVPETLPSLVLQKMKAPPKPEDVPVIKPEQLTEADGFLFGFPSRFGVMAAQCKAFFDATNELWKTQALAGKPAGVFWSTGFHGGGQELTALTAITQFAHHGMLFVPLGYTFGSGMFEIDEVKGGSCYGAGTYAGDGTRKPTEIELQQAFYQGKYVSEITKKLTRN >KVI11884 pep supercontig:CcrdV1:scaffold_27:609860:624272:1 gene:Ccrd_009694 transcript:KVI11884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIWCCLCFGEEEEEEEYKKTEMMREREGIFGNLDCDEAKSEEIGNDEELGSGNPMDEDDGAKFRLQLGEGTSKTSAEGSTVEVNLNLSLGGEPSSSSAVAVLERDGLDRDTHSKRPKVHSLALDCDSFLLSAAFQESDPFSLIGKEYERMHNTSAPFLCSVSDDDGNPFTSNSWRMEEDEDVVSDMDDREIRMDLTDDLLHMVFSFLDHINLCRAAKVCRQWRIASAHEDFWRFLNFENRNISPQQCKMVEDMCHRYPNATQVNINGSPAIHTLVMQAISSLRNLEFLTLGKGQLGETFFQALTDCTMLKSLIVTDAILGNGIQEIPIYHDSLRHIQIVKCRVVRISVRCPQLQTLSLKRSSMAHAVLNCPLLRDLDIASCHKLLDAAIRSAVTSCPLLESLDMSNCSCVSDETLREISLTCGNLHILNASYCPNISLESVRLPMLTVLKLHSCEGITSASMAAISHSYMLEILELDNCSLLTSVFLDLPRLQNIRLVHCRKFVDLSLRSVVLSSIKVSNCPSLQRINIVSNSLQKLILQKQESLTSLELQCQCLQEVDLTDCESLTNSICEVFSDGSGCPMLKSLILDNCESLTAVEFSSNSLTSLSLAGCRAITSLELTCPYLEQVSLDGCDHLERAMFSPVGLRSLNLGICPKLNALKIEAPAMVLLELKGCGVLSEALINCPLLTSLDASFCSQLKDDCLSATTASCPLIESLILMSCPSVGSDGLLSLRWLPNLTSLDLSYTFLTNLQPVFDSCLQLKVLKLQACKYLTDSSLEPLYKDGALPTLRELDLSYGSLCQASIEELLACCTHLTHVSLNGCVNMHDLNWSFGDDRVHVSSMPSEGEPPLHAEQPQRLLQNLNCVGCPNIKKVLIPSVARFSHLSSLNISLSANLKEVDVACYNLCFLNLSNCSSLEILKLDCPRLTSLFLQSCNISEEAVESAISQCNMLETLDVRFCQKISPASMGRLRAACPSLKRIFSSLVPI >KVI11920 pep supercontig:CcrdV1:scaffold_27:258651:268619:-1 gene:Ccrd_009668 transcript:KVI11920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch/alpha-glucan phosphorylase METTTKANGAAKSKVPDKIPVAARPLAEDPTDIASNIKYHAQYSPHFSPVKFEPEQAYYAAAESVRDRLIQQWNETYLHYHKVDPKQTYYLSMEFLQGRALTNAIGNLDIQDAYASALNKLGHELEEITEQEKDMALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQSISKAGQEEMAEDWLEKFSPWEVVRHDVVFPVRFFGHVEVLPTGSRKWVDGEVLQALAYDVPIPGYKTKNTNSLRLWEAKASAEDFNLFQFNDGQYGSAAELHSRAQQDIISRFKERKEGTDVRPWSEFPSKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDVTSRTIAYTNHTVLPEALEKWSQIVMAKLLPRHMEIIQEIDKRFIAMIESTRPELKSKISDMRILDHNPNKPVVRMANLCVVSGHTVNGVAQLHSDILKAELFADYVSVWPTKFQNKTNGITPRRWLRFCSPELSEIITKWLKTDKWVTNLDLLVGLRQFADNPELQAEWDLAKMANKKRLAQYVLRVTGESIDPNTLFDIQVKRIHEYKRQLLNILGAVYRYKKLKEMSPEERRSTTPRTIMIGGKAFATYTNAKRIVKLVNDVGAVVNTDPEVNEYLKVVFVPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEVGKENFFLFGATADEVPQLRKDRENGLFKPDPRYEEAKEFIRSKAFGSYDYNPLLDSLEGNSGYGRGDYFLVGHDFPTYIDTQAQVDEAYKDRKRWIKMSILSTAGSGKFSSDRTISQYAKEIWKIEECPVP >KVI11899 pep supercontig:CcrdV1:scaffold_27:342507:347867:1 gene:Ccrd_009675 transcript:KVI11899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKSLTFIQRAHSQTLAVEAIEDGGSSVDFPMESPPSNQFRIPVYLYPKGASSSPPLESRYLMIFHFMSPYLSARGFLRYIASMSTEFKLNVTINPDVKESDFNSSIEMSPLGGKVNVVRRIIGGLLPQGNQPGSGMLSPAERRATNERSRGNRAMSNENDELHVDTSEKRAHELKVRSGPLLSGSAYCLSSCSMILLNKVVLSSYAFDAGISLMFYQNLISSIIVIILGLSGAVSLEKLNWKLIKVWVPVNLIFVGMLVSGMYSLKYINIAMVTILKNVTNILTAVGELYIFRKRQNQKVWTAMFLMIISAVTGGITDLSFDATGYTWQLVNCILTASYSLTLRRVMDTAKAVTKSGSLNEVSMVLLNNLLSLPFGAFLIILFGEWTYVINADVTKIPMFWVVATASGILGLAISFTSILVGSLNKIPISIAGLLLFNVPVSLPNLFSILFGLFAGIFFAKAK >KVI11932 pep supercontig:CcrdV1:scaffold_27:739976:740458:-1 gene:Ccrd_009702 transcript:KVI11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MRSLISLLQPPQVDGRKINATTMHMTFYWGRNVTLLIDSWNTHSWFTYFLTLIVCFLFSAFYQFMEDRRLRFKLLSSSITAENAPFLYNKLFSGGSRARFVGSVIFGINAVMNYLLMLAVMSFNGGVFMVIVVGLAVGYLLFRSTDDEQIMVIDDPCACG >KVI11909 pep supercontig:CcrdV1:scaffold_27:26988:31808:1 gene:Ccrd_009652 transcript:KVI11909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESSISSSMAFDIKENEALIPSNQTHQRHHMDSGSESAFIGSWGSSSSSSFLTTSFGSELDSNDADLDDGGEEDEFIAQLTRQMADYMLEENDDSGGDENPPSKPSVVQKRNFQEPNYNQNHEQTRRSYADTVKKSIVGFQSDQNFTVDKQKESPIQLYQVENQPRVGGQSGGGWGRKGKMTESTQQMKSHQMHNNRGLLNGGKAYSGGVHGSSGMRAIFLGGSGSRSVMSGTGVFLPRSATDATDHSRKKPGTSLWGCFFTTLKNDGKQAQESVPVDHPKSKLPQEWIY >KVI11910 pep supercontig:CcrdV1:scaffold_27:36226:55011:-1 gene:Ccrd_009653 transcript:KVI11910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MLPLFLHQGLRLRLHYLYSSICRQKMSIIPSFFGGRRNSIFDPFAMDIWDPFKDFPFPTSSGSDSWRETSALVNTRVDWKETPEAHVFKADLPGIKKEEVKVEVEDDRILQISGERNVEKEDKNDTWHRVERSSGKFTRRFRLPENAKLEQVKASMENGVLTITVPKEEVKKPDVKSIQISGVASQLASKGNARKGLGKWQETYGLKQNLFLQPPSSMPSDTEGEMVVIKWIRYLLDQIAINEAAKDWGLQCLRCETRDISPPCGVKEGMGMQAEAEQKKRAQILESEEERQPSVNIADGKKSSMMLEVQDLKQQIKELLWFLKLFKKMEELSMLNLSDMHAIINNCGPAALARLKVYEGVPPPYNRKKRMVIPDVLKLPENAKLEQVKASMENGVLTITVPKEEVKKPESSHSISYKEPNLRSGIGFLSYLDFQKGVGKPINRSIIQNPIALVFSCEKMSIIPSFFGGRRSSIFDPFAMDIWDPFKDFPFPTPSVSTNSWRETSALVNTRVDWKETPEAHVFKADLPGIKKEEVKVEVEDDRILQISGERNVEKEDKNDXWHRVERSSGKFTRRFRLPENAKLEQVKASMENGVLTITVPKEEVKEPDVKSIQISG >KVI11918 pep supercontig:CcrdV1:scaffold_27:766319:789053:1 gene:Ccrd_009704 transcript:KVI11918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ LLLPLTAASTTVVPLTPTPPPSLPTQPPLWLVGEQSWVVQYTMMNTTEDWKSLWPISSVHSPPLLLSPATTTAASRQIGPVIFKPQTHNHLFTSSSVSPHIPPFPNLSLSRFLYTSSSLLPSTTTSIASQLFSSSFNPDRLLAHNSLQLLRCPGTNSTLAFFPTGSNSDQVGFVILSVENSQLTVRGAYGENEFLTSKNALTHRIVKISASPLADCDCTSGDLCDSTIGYLLVSTSYSLHYYSVRIRKEGSGRITPGLELLGNKLFKSSAIVHACWSPHLPEESLVLLESGDLFLFDLDSCSEPSISSLRLTGKKVKVLWDQSLFNEKGGWLSCDFSWHPRVLIVVHSSVVFLVDLRSEKYNVIPLLKLGSEHVEDDRFVAFSIAAPDRFYFTLASKHMLFLCDLRKPMVPLLRWAHNVANPSYIVVSSLSELRSLSEDVTFSWASEAGYGIILGSFWNSEFSLFCYGPDVRESVSSEISSCGKSFYAWGLPSDLSLVTHECGCGSCIVKEEFSKDRFPHWINWQQKKEFVLGFGILAKEISSQLFEPDRFGGFTLITMTSLGNFESHRYSASWDYSQTSQKGHTDQALDLEDSLLYDTSEEGYKFRKVFGYLKLEWLNGYLKSDLGQILSRELIKTPDNESANKAYFGEDFHENICQKLQMFSSGGSHWSLEILDVFKEIGLPTSAHEIALRSLWANLPKKVLRFGFSTYSDLLVVPKNLKQAPFEFLEIPCHQPHLPPFFFRFPSFRSSKWSGKHKPSDSLVGPLLPIPFLMTFHKAHMLRADNKCADMEIDLKCEEVMRVANEVTALQSERCNDHAVSLADDNEDMFHSSQNLQSFASYKPVAFSSKLSMEDFVFEDEKHTNLLFRVGQKDEKEIFDSDCPLKFKFDKQATSFGPKEMKAYKLLKRQYSNFKGGFSSYQDYMTKSNLHKYQLDVGEIQIAHLDTGAGKTMIGVMIINKVAVSIKKKPSEKKSMVFLAPIRNLVEQLDRAEECAKKLKLDVLQFFLTTNRTNLEIQIPVFHRSLRNEMQSNDLFPPISTPLPSFPNDAMGENEGCRGGDGGCKGIRWRLQDGTKMEMTDNLCLLRQRKAFWVVSQFIGNMQSSETSSNPTNPLKRRFETMMNDSSNSQESRQYQLDVFKVAMQRNTIAHLDTGAGKTMIAVMIIKEVVHSLRKQPSEKKLVIFLAPTRNLVEQHNEGNHLLLSLCCIYVIVMKKYVAEVLTFFVKEFYLKSMNKPKVFGMTASPVTKKGVSSAKDCESQITALESVLDSLVYTLRNRTELENAIPSASHIYCFYQPFKVSHSELKAELEFSRLKFEAQLMKMQVSLQSSCKDTDEKHELLRKRLSNDHAKIIYCIDELGLLCAYEAVKICIAKAPKAVKEHYSFQQSQSKCFSFLEEALSIIGKSLPNGHENILDAGCDYENMVTAGHISPKLYQLFQLFRSFGEATKVLCLIFVERIITAKVIDIIVKRVGDLSHLEVSYLTGSKTSVDAMSAKLQKETLESFRSGKVNLLFATDVVEEGIHVPNCSTVIRFDIPKTVRSNVQSRGRARQSGSQFILMLERYYAPKPKFQFLLVEGSHQCNLTLPPNAALQTITGPLCKTSNLSKQLVCLEACKRLHQMGALTDHLVLHNEDPSANKSTKSMKEPSSGAGTTKRKELHGTTPIRALSGTWGDKHDDSADFYAYKISFTCSIVNVKYSSFVLLTGSKLDDDVGNIEMELYLVSKSVKCKVSSSVELHLDAEQVAKAKCFQALFFNGIFGKLYIGTKQSGLAREFLLQTDQTLWNPSYIYLLLPLELLEPLTINWKEVDSCASVVDFVKTNSQFRAKQQSRDGGITTLENIDSVMTDFDSIDMVHFANESIHKDEVKNVVVLAIHSGKIYSVLELLQDATAESPFDGDTGSNPPRFSSFSNYYEKKYGIVLAYPKQRLLLLKQSHRAHNLLVDFNGEGILHGKKIRAESCKVNTDRLRNHVRIPPELLVVVNARLDVVKSFYLLPSLMHRLESLMLASQLRKEVTGHSTDFRISSSLILEAITTLRCNESFSMERLELLGDSVLKYAVSCDLYLKHSTKHEGQLSSQRSWQVCNSTLYELGINRQLQGYIRDSAFDPTRWTAPGQLPLRLQSCKHGVDTIEVPIHSKYHSKDAQNLIGKCCDMGHRWMGSKTISDCVEALIGAYYVAGGLTGAICCMKWLSVSCELDESRIDEAVRIASLHKFAPKLNVIKNLESKLGYEFRVKGLLLEAITHASDQEQGVGYCYQRLEFLGDSVLDLLITWYLYEKHKDIDPGELTDLRSASVNNENFAYAAVRRNLHPHLQYRSGYLQSQIAEYEKFVATSSTDTNSLQTKKSPKALGDLVESIAGAILLDTKLNLDEVWRIFEPLLSPIVTPDKLELPPLRELMELCDSMGYFTKDTCRINGDTVIAELRLQLKDALLTGEGSGATRKIARGQAALQLLKELEKRGISRKNQGQENRDGEVDDKNNEKGDTTPSKPDLVRNMKIMLLDPPPPVDASLANECAMEEQSNSKVEIPVVALKTKHDFCRSLIEIGEGVEKRTAFNSFESHISLTIPDYGVIELTGDPRADKKSSFDSAALLMLYELQRLQKLKIG >KVI11886 pep supercontig:CcrdV1:scaffold_27:579039:586409:-1 gene:Ccrd_009692 transcript:KVI11886 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWNN domain-containing protein MSIRFKFRSSVNFDTIEIDDGKPYISVRELRNKILCQKKLNGICHKDFDLVFFDDLTGQDYNDDEFKITSGSSVIIKRVPAEPVPSAMLRHRKVEASELSEDIPKVMDSHKQEEIVLEKKLTLEDTKHKKLEKVANTKGFDLQKVDLPSELRCPICNTHFKEAVMIPCCQHSFCEKCEPLSILEVLTLMARCPTCSSTKYRVEHLLPNLSLRLAIEHFLESQLLATAPENDLQKYVPDGESGIQGKDVSVVTKRKLDLLYSTSATEKGSNQNMAESTGFYVGKSTALGLFNSTPLPKINNNNGGRDAHEYLAPYADSQGENQPLMPQVCVPDEADSTSKKWGKWGNSGGGDQSYAIGSRNKKAGRTCYMCGSPGHLIRDCPIGSTEHPMFHTGDRMFQGGMPGYAMPYWNAAAFLPVNPYMNMYGNPGMVPFNATMVPVIPYGVPSTYGCLPVPSGITRIGGLAPAGTRAERPWRHSENLELLNNDNGIKHCHERRQESFDYEDDGIRKHHDCHERERSSDFKSHRDKGKALSNSEESHGRKLQKDRHGDKHPDQKIKSGHGRFEKHSHSTNNGRDRGSYHTDRSASGIEDVHSGNYRYDEVRHKKYHQRSRRHHNSREQSDSDCSCSHHHQIKKERDVKRESYVSNDFRGSRDRMKPIADYGGRWKIVNDFDDDSIDRYHHNKRKRVH >KVI11900 pep supercontig:CcrdV1:scaffold_27:357421:360260:-1 gene:Ccrd_009676 transcript:KVI11900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDMGGGGGGDQDGGGYPNFTDLQTYITPSWYATAPNHFVSGQNHQHYENYNQGAFIPSNALGGSSSFNGGIGSSDDALPIDQSSDAGSMFPDGGQFLYTWNQMSSYPNIDLKNDQVISSPPEISRGSCKNFIKGQWTQEEDSKLFDLVMELGPKNWAAIAESMEGRAGKQCRERWYNHVRPDIKTDDWSESEERILIEAHEKMGNKWAEIARMIPGRTENAIKNHWNAAKRKKTLRRRRSKKNESNNGGPKSTVLRDYIRGTGSTSSSNTTNSSTTCTPVVLLPTSTSFNVTPGNFNAISTDDLSIEFESLFHEVPHSYSSDSPSLDLIQSYDDEFSFMQNLFGNSTSTVSQPKITTSNEPS >KVI11921 pep supercontig:CcrdV1:scaffold_27:186817:188187:-1 gene:Ccrd_009663 transcript:KVI11921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFSHLIHRVTASCLPHPLASAANFTDDASGEEDIDVYNEEEEEEEEEYSVRTTREMEMVMLMGEVFATVSSMKAAYVSLQQAHYPWDADNTRLSDVAVVAELRKLGVLREKFRRSVERVGGGNRRVTAPMMKEVVAPYEVALEKLKMEVKNKEAEVDNLREKLKTATTVNGGGGRKSRSRSHQSKRRVSCSSQFQGPCVSPAAMAVPELFEICMNSVKDELKSFASLLLSLMKAAHWDITATVKSITASSAGTNAPVRDSIVGRNHAKYSLESYVNRKIFQGFDHETFYMEGSLSSLLNPNQFRSECFTQYSDMKSMDPMELLAILPTCQFGKFCSKKYLSIVHPKMEESLFGDLEQRRQVLAGNHPRSRFYGELLKVAKAVWLLHLVAFSLDPLPSIFEGSRGADFHPEYMESAVRFPGAGHVVGFPVSPGFKLGNGYIVKARVYLVPKTEL >KVI11919 pep supercontig:CcrdV1:scaffold_27:271242:284032:-1 gene:Ccrd_009669 transcript:KVI11919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MGRKSQTGDYREVDTESSTCNLLESKEEDGIYTEDGTVDYLNNPANKEKTGTWKACGFILGNECCERLAFYGMSSNLVRYFKYHLNEHSATASRNLSTWTGTCYLTPLIGAFVADTYIGRYWTIASFSIIYAIGMAMLTLSASVPGLKPTCYENDVCSATEAQIALCFTSLYLVSLGTGGIKPCVSSYGADQFDDHDEVEEKQKSSFFNWFYFVINIGALVAHSVLVWIQDNVGWGWGFLVPTVAMAIAVVSFLDKAAVVLSSDHRNGSIDPWKLCSGSQVEELKAVLRLLPIWATGIIFCTVYAQMSNLFVLQGSTLDPRVGTSSFEIPPASLGIFDTISVIFWVPVYDCVIVHVARRLTGQQNGLTQLQRIGTGLFISIFSMVCAGILEVVRLEIVSRNNYYELDRIPMSIFWQVPQYFLMGCAEVFTFIGQLEFFYEQAPDSMRSLCAALQLTITALGNYLSAFLVTIVTVISTQGGSPGWITDNLNYGHLDYFFWLLAGLSVVNLGVFITLAKRHTYKIPAGAGEDCVYTKDGTVDYHNSPAKKFKTGCWKACFFIVATASFERLAYFGMSSNLLLYFKYELNQHSATASRNLSNWTGACYIAPLFGAFVADGYIGKYRTIAISSILYAIGMTLLTLSAAVPALVPSCLKTDVWDATGSRTVVCFTALYLVALASGGIKACVSAYGADQFDDNDTAEKKLKSSFFNWYYQMMNIGSLLAHSLIVWVQDNVGWDWGFGIPTLAMAMGVVSFFSGTWFYRNQKPGGSPLTRFFQVAVASLRKKRINVPADASLLYEIDDVNSYRAMGSVNPWRLCTVTQVEELKTVLRLLPIWITGIIFSSVRGQMDNLFVLQGSFMDTQVGNSSFNIPPASLGTFGTLSVIFWVPVYDQIIVPLARKLTGHPNGITQLQRIGAGHFISIFSMLSAGILEVIRLNIVKRHNYYEIKPVPISIFWQIPQFFIIGCAEVFTLVGQMEFFYEQVPDSMRSLGSALRLTTIALGNYTSSLLVSIVIKWTTEDGGPGWIPDNLNYGQLQNFFWLLGVLSVVNLAVFVVAARWHTSKRLVGVIM >KVI11904 pep supercontig:CcrdV1:scaffold_27:439801:441204:-1 gene:Ccrd_009680 transcript:KVI11904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MAVKLSKMQKKTNYDARLSKLLDHYTQILIVGADNVGSNQLQNIRQGLRGDSIVLMGKNTMMKRTIRLYAERTGNTNMLNLIPLIVVSYLLITISFITYNSMDVFLYFLKVWLMVCLGNQGNVGLIFTKGDLKEVSDVVAKYKIAAPARVGLIAPIDVIVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEILTPVELIKKGDKVGSSEAALLSKLKIKPFSYGLIVQSVFENGSVFSPEVLNITEDDLAEKFAVGLSEIAAFSLAIHYPTLAAAPHFLINGYKNLLSLAVATEYSFRQAEKVKEFLKDPSKFEAAMAPASSVAADSSAPAAAEKEQKKEEPEESSEEETGLMGLFD >KVI11883 pep supercontig:CcrdV1:scaffold_27:667074:704215:1 gene:Ccrd_009697 transcript:KVI11883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNSNTSMSLRKGSKVWVEDRDTAWVAGEVTGFTAKQVQVITESGKQVLTSVEKLLLRDADVDYGGVDDMTKLAYLNEPGVLDNLKKRYALNEIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHAFAVADASYRAMMGDAKNQSILVSGESGAGKTETTKLIMQYLTYVGGRAASDDRTVEQQSNPLLEAFGNARTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNYHCFYQFLNAHFIVVEASCFQDVDRYKLGHPSEFHYLNQSKIYELEGVSNAEEYVKTRRAMDIVGISHDEQEAIFRTLAAILHLGNIEFSPGKEHDSSVVKDEKSNFHLQMAATLFMCDVKHLLATLCTRSIQTREGIIIKALDCDAAVASRDALAKTVYARLLVEKINRSVGQDLNSRLQIGVLDIYGFECFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRKEEIAWSYIEFIDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFANKLFQNLRANPRLGKAKFSETDFTIAHYAGKANSFLDKNRDYVVIDHCNLLSSSKCCFIAGLFPVLPEESSRSSYKFSSVASRFKQQLQALMDTLSSTEPHYVRCVKPNSVNRPQMFENQSVLHQLRCGGVLEAVRISLAGYPTRKTYHEFVDRFGLIAMEVMGGSYDGRAMAEKILQKLKLENYQLGKTKVFLRAGQIGVLDSQRAGVLDSAAKCIQLRLRTFIARRDFTSKRAAAVSFQAYCRGHLARIIYAAKQEAAAAILIQKYIRGWLLRNAYTQQRLSAVLLQASIRGFITRQRFLHICEHRAATVIQVMSLQHLSKQVFVWKYGYFYPASHCFLIPQARWRMFKVRSAFLHRQHDITAIQCLWRRKLAKRELRKLKQEANETGALRLAKSKLEKQLEDLTWRLQLEKKLRGSNDESKSVEIAKLQKTVQSLVLELDAAKLATVNECNKNAVLQNQLELSAKEKSSMEREIVGMADLRNENLYLKSSLSTLEEKNSALQSQLIEAKEDASSNHKKLREVERTCSQLQKKLKSFEEKLLSLEKENHVLRQNTLSVSPKGSWPSHTKPFLEKYSGALALPFSERKSTYVRSVCLIFLSNILFSTFSWHIETPTPTKSANPVSQGLTDSRRSKMTTERHQENSDILARCIKENLGFKDGKPVAASVIYKCLXQWHAFESERTXVFDFIIENINNALKVADEGLILPYWLSNASALLCLLQRNFRSNGFLTPISQRSGASSLPNGRLAQGYTPHKYIGFDDGISPLEARYPAILFKQQLTACVEKIFGLIRDNLKKEIAPLLNSCIQAPKNQRVHGAKSSRSPGGVPQQATGSQWEMIIKFLDSLMDRLRGNHVPSFFIRKLTTQSFIAARMLHVFQWGIRQIWSSRTGEMDSQCKGRGTSWHELNYIRQAVGFLVVAEMREMLNKDSQNLTSNSFLLDDDLSIPFSTEDIYMAIPAIDPSDIEPPAFLSEYPSAQFLLQNPNIHGF >KVI11881 pep supercontig:CcrdV1:scaffold_27:540360:540911:1 gene:Ccrd_009688 transcript:KVI11881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIALITDAQSSKPINPNVPSSWVHGSTTIHTRSTSPLLALLSNRISIAKCTADPTPITSSTAGNPSTVSSPGLYDCGFDQVQRARVSGEDERENSDVRGGLAWEEPMGVVDLSDVVIGATCRHSDDQRISALYI >KVI11898 pep supercontig:CcrdV1:scaffold_27:331915:333471:1 gene:Ccrd_009674 transcript:KVI11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEAELMIMFMVVTATSAYLLWFLHLSRRLSGPKVFPFFGSLPVLFLNRSRIHDWIAGNLHSSGVGGTYQTTTIAIPFFARKQGFYTVTCNPKNIEHILRTRFDNYPKGPTWQTAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWVSRTIKTRLWRILEKASQEHFPVDLQDLLLRLTFDNICGLTFGKDPETLSTELSENPFATAFDSATEATLQRLLYPGFLWRLKKLFGIGAEIRLRKSLQIVENYMTEALTARKLNPSDDLLSRFIKKRDVDGNIFPNHVLKRIALNFVLAGRDTSSVALSWFFWLTMNNPIIEAKIINELTTVLKDTRGDDPNVWISDPLTFDEADRLVYLKAALAETLRLYPSVPEDFKYVINDDVLPDGTMVPAGSTVTYSIYSVGRMKSVWGDDCLEFKPERWLSETGDRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVVSAVLLRYRLSLVTGHRVEQKMSLTLFMKNGLKVYLHPRDLTSVSAAA >KVI11928 pep supercontig:CcrdV1:scaffold_27:209884:212345:1 gene:Ccrd_009664 transcript:KVI11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSNRPSRSDAHLSKEEEAKIEGETRDYFDGLAPRRHTKPQRSEYAAQYIDALPSDGVSHEYAEFQQLEQNSQKLVYAGNETGTGFINVDNTKGSAFNLSPESESAHHASCKGNPATNEWTPAPADTASSVSNKPHRSEN >KVI11913 pep supercontig:CcrdV1:scaffold_27:124033:129907:1 gene:Ccrd_009657 transcript:KVI11913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGACCSCSCSSFSLCGWRHLKSKKGPRSADLENRGGENDVKWPCFKEFKLDELRAATGGFSVENVVSEHGEKAPNVVYKGKLADGDRLIAVKRFNKSAWPDTRESQPLKWAMRLRVALYLAQALEYCSSKGRSLYHDLNAYRVLFDQDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMKTGRVVAESTIYSYGTILLDLLSGKHIPPSHALDLIREKNFQMLMDSCLEGHFSNDDGSELVRIASRCLQYEPQTDLLSPFGEACSRMDLTAIHEMLEKVGYKDDEGVPNEFIENGAMVSPTVYARRCLCYLMNNKAQEALGDAMQAQVTSPDWATALYLQAAALFGLGMENDAREMLKDGSTLEAKTKRN >KVI11926 pep supercontig:CcrdV1:scaffold_27:226915:245901:-1 gene:Ccrd_009666 transcript:KVI11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHCICHVTIIKEIDVPNPLLLEWWVINSDFSEWERKNSLDNEGSYYRDEAIMLHQSGLTQSREYALNEQMIEELTLDNSFGVILRENLVPVSHPGNLLTSFPHVNQGGTDNQGLESIVEAFVRSRNASNEILATKPIPSLSSAYHLMAEDERQRAISHNKRPTTEAAAFKAFTPGRRDGIAHRNHDQNPQHEVDHVDETNEALSPIGSPSIEYHTQTKRMESKTWILNKDDESIVATVVIVNKVETFRKKLRIGRAWKAGKHSTLQQDLRRKENKVQHHILHLRKVQPNYEVHWPAETGTSVNQPESGRVPPANAPRGDEVGPSNQPPQGGHGRSGVRAAWSDDDYFTY >KVI11906 pep supercontig:CcrdV1:scaffold_27:482040:487492:1 gene:Ccrd_009684 transcript:KVI11906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MALFSRKIARIPSPVLRFFGVLFIFTLAFLLLLEVDNLASQTKTIIGHNLEPTPWHAFPAKTFENETKIGRASMIVRCSYLSCRTKSKDGQTMLTDESEKCPVFYGWIHHDLEPWSKTRISHTLLMEAKKFASLHIVIIGGKLYVEYYYDCVQSRALFTVWGLLQLLRRYPGMVPDVELMFDCMDRPIIHRDSHSAMPLPIFRYCTTPDHFDIPFPDWSFWGWAEVHLGPWQEEFQSIKQGSQVISWEKKFPYAYWKGNPDVNSRVREELLFCNDTNKWGAQILRQNWTQEILDGFKNSKLSSQCNHRYKIYAEGYAWSVSLKYILSCGCVPLIIKPKYEDFFSRGLFPMQNYWPISSENICRSIKTAVDWGNSHPSEAKAIGKAVQDFMERMNIERIYDYMFHLITEYAKLQDFKPVRPSTALEECISSLLCYADENQRGYLERSATSPSPSPPCKLPPPNAEMIKKWLEAKNEILNKTQLII >KVI11912 pep supercontig:CcrdV1:scaffold_27:100863:103924:1 gene:Ccrd_009656 transcript:KVI11912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MADPISNHATLRNKFELEKWHRSYADFRSKVVKSLPEMEKASPSNCSEKQKALHMEEIVKHMKHLPSYLERGKPIQDQALSFGVMDWGRLEKWQNLHHKQGIVRSNKCSPSSSNSSSLFSTDGSSPLSGRGQSCSPVRQRVHRVTLQSHFKASPKEDFTPKVKLSSGNFDKFQDFKNSRSQCKLLLDVGSCHTPPPSSASKGKLKIRDESVNELRNGQDPSCRTCNDDHPEKHENVLFLARNVPGSNQTNGNTFQSEFIHSKNSQMELDSVRNHSSPSRKKTPERKRSTGSSKSSDLKTSNTAVSKPRSTSPLRRFSFSLSTSSKSAAPKSATERVGSPVSQHSTKDSNRGHSSPLRRLLDPIFPPKARQFAETCDEDSRTKAKKKLDFRNSKEIRADDLSSSKKQALFQTAFKNGRLLFTFAVENNKDVLAATMTSLSSSGKDDNKSWLYTFFTVNEVKKKNVNWLSQGSKSKDHGYVSNVTAQMKVSNPFSSHCDMREFVLFSVNPNVQPQEELEAIVVKFPRKVNREDNQESFSTTVILPGGNHGVPSKGEPSPLIDRWRSGGACDCGGWDMGCRLNTLSNQVQSSGSRSNKVHTTAGQFELFFQGEVGNKRSIFSLSPLKEGIFSVEYNSSLSPLQAFSICISVAECRKTSQHTELRTHVREEVPVPYTPTPCKW >KVI11896 pep supercontig:CcrdV1:scaffold_27:304724:314730:-1 gene:Ccrd_009672 transcript:KVI11896 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAAVETLRPIIHGQIHANYSNYHPKYSYRYTSFRDRFRVLHENSNLLVIKSSLSVRPLTNLYRESRVSNSLNSWGGGLGFLKVNQSCRGRNKVGVNNSSCEHDTESSGEKSESESNKVSKKRDKGEKKGKGGWGWGKGGGKWRWQPIIQAQEIGVLLLQLGIVMFVMRLLRPGLPLPGSEPRVPTMFVSVPYSDFLNKVGTNQVQKVEVDGVHIMFKLKQEQGTPESEINSGSSSTISKMQDSELLLRTVAPTKRIVYTTTRPNDIKTPYEKMLENDVEFGSPDKRSGGFLNSALVSSSVELILCSYAHLFTGSHYHIALFYVAVLAGLLHRFPVNFSQHTAGQLRNRKSGGSGGSKVSEQGEAITFSDVAGVDEAKEELEEIVVSSRPLVINITALCGFSSVPSNSLVFCMAINSIQEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRVGRNAILNVHVSKKELPLGEDVDLANIASMTTGFTGADLANLVNEAALLAGRQNKVVVEKMDFIQAVERSIAGIEKKTAKLQGTEKAVVARHEAGHAVVGTAVSKLLSGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEIIYSGRVSTGALDDIRRATDMAYKAIAEYGLNRTIGPISLATLSNGGMDDSGGSMGFGRDQGHLVDLVQREVKLMLQSALDVALSVMQKEKVEGEELQEWLKLVVAPEELADFVRGTTAETFLPLQSASPELKQLL >KVI11931 pep supercontig:CcrdV1:scaffold_27:731379:739297:1 gene:Ccrd_009701 transcript:KVI11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCR1-cAMP receptor MATVQAVLGNLSVEERHVLTAVNTGASTLSLLGSGFIVLCYLCFKELRKFSFKLVFFLALSDMLCSFFSIVGDPSKGFFCYAQGYSTHFFCVASFLWTTTIAFTLHRTVVRHKADVEDFEPMFHLYVWGTSLVMTVLRSIGNEHGHVGHIGRVAAWCWTETGRTGKATPAVSNSCEAFALAHNQAVHFFTFYTPLWGAILFNGITYFQVIRMLNNATRMAVGMSDRGSQSDTRADMKVLMFCFITSISALNRWGYYPLILIGSWSFGTVNRIHDFIEPDHKIFWLSVFDVGMAALMGLFNSIAYGLNSSVRRAIYERLDLLPESIRRWFPKKLKSRSQQQQHESELVSLRIEDQRQ >KVI11929 pep supercontig:CcrdV1:scaffold_27:754240:758560:1 gene:Ccrd_009703 transcript:KVI11929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCEVALQVNRRWIHFIWFLQSSTYAKLNNLLPKRDLLKYAFLSSIFNYGALYLSEAIRMSLSKKAASAIDKKLSATGKTISLNPDAAEFVPFALRSPSATVRAPDVSSSFGNFGVTTPGKAVLDRSESSVSNNSDDEAHQYWRHQLPDDITPDFNVAGDEDSQAINMLPFSTLSLADVNETSRFTASAGSAFMLKEQQESSPHQTNGGDFSKKMGYHISSYGENSPSASFQQLPAKPWDMHGDQLLSSIRDGPSYNGDPGHGYLDDMLNEQQMEGFAAESLAEVYFANGGDLNLTIEMLTQLELQVDGGFNQNLNSKALSAPNLSALDFPALSPTEGQNGVPKFSGDDHQHHINPYHPLEKDNLLMFKSSSAAPSGGATDFASAVRKMASQDSSIWKYNRNPSQDATIGSSRSSHVLASAYNGGHGRTNYGDRLANRNSSRSAPVWLETGEAVGNVLDEI >KVI11923 pep supercontig:CcrdV1:scaffold_27:171184:177753:1 gene:Ccrd_009661 transcript:KVI11923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline nonlysosomal ceramidase MEFFRALIQRQRAIAWFWVLLLVFAHNGKKTISASNYLVGLGSYDITGPAADVNMMGYANSDQTASGIHFRLRARSFIVAEPQGNRVVFVNLDACMASQLVTIKVLERLKARYGNLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIMRAHENLRAGSVYVNKGEILDAGVNRSPSSYLNNPAAERSKYKYDVDKEITLLKFVDDQWGPVGAFNWFATHGTSMSRTNELISGDNKGTAARLMEDWFDQKSVGGIANEIPRRVSNIVPGTQGNHRPKFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFKKATELFNSASQKLNGKIDFRHTYLDFSQLEVTLPKQGGGSETVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDSGNAFWKLVRNVLKTPSKEQTDCQQPKPILLDTGEMKQPYDWAPSILPIQILKIGQLVILSVPGEFTTMAGRRLRDAVGAVFKEKVQVVIAGLTNTYSQYVTTLEEYKMQRYEGASTLYGPHTLSAYIQEFTKLATAIVSGKPVEAGPQPPDLLNKQISLLAPVVVDSTPLGVGFGDVSTNIPRNSTFKKGDTVSVVFWSACPRNDLMTEGTFALVELLQGQDTWVPAYDDDDICLRFKWSRPSKLSAQSHATIEWRVPQSAVPGVYRVSHFGASKSLFGSISHFTGSSNAFVVK >KVI11893 pep supercontig:CcrdV1:scaffold_27:420481:422657:-1 gene:Ccrd_009679 transcript:KVI11893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKMKMADRCLNWSQHSIPQSPSSSSSLQTLASAISSPSAKRRSLTHLALAYRYVHRSAIFGTELNRSVSSRKQKPIKSAVTGSLDAEFSDEEFSKKIQELALRLGSESEIQDPFAGLKMEPPEWPGDMIPASIERKANSVELPFSLRIIKRKKQWQEGLREAGGSAYCSVKKAFSSMVFIIRELQSYTLQMRELLYYEDLQEILVRVQKEMNASFVWLFQQVFSQTPTLMVYVMILLANYSVYSISNNVAIAGAPPPATVESMEHHSHTKFDSSSIKTFSAGKTTSIGGNNGGGGKFRAVASGTDGDGRFDGSVTSSSSIVNPTRTSEESVSGQESQKEEWESWNAIVDEADRMQGVIGDGGLDHETMKRFVSPVTVKLVEEDTEDHFRTELLYQTGLSQEPDNPLLLANYAQAEDYFKRATKVEPKDAEALSKYASFLWQIRKDLWAAEETYLEAISADPDNSFYAANYAHFLWSTGGEDTCYPLDSPETGFSDDV >KVI11894 pep supercontig:CcrdV1:scaffold_27:294588:296799:1 gene:Ccrd_009670 transcript:KVI11894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MAEIWWRAVAAALVLVACAFPATVECRIRNYKFNVRSFHKNDVVWMFSVVLLINNLVVMANATKLCESKPIVTVNGRFPGPTLVAREDDTVTVKVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPIQPGGTYVYKFTLTGQRGTLWWHAHVLWLRATVHGAIVILPKLGVPYPFPKPNKEVVVVLGTVYRSFGILMWCLFQFWLKKLINGEWWKSDTEAVINQAQKLGGAPNTYMLRIVNAALNEELFVRIANHKFTVVEVDAVYVKPFTTDTILIAPGQTTNAIITTTQKAGKYLVAVSPFMDAPIAVDNRTTTASLRYSGILTSSNTKLVAPPPQNSTPVANTFMNSLRSLNSATYPAKVPLTINHSLFFTIGLGINPCATCVNGSSVVADINNITFVMPTTALLQAHYFNISGVFTDDFPSKPSMPYNYTGPQPTNLATNKGTKLYRLPYNSTVQLVLQDTGMIAAESHPIHLHGFNFFVVGRGIGNFNPNKDPKSFNLVDPVERNTVGVPTGGWTAIRFKADNPGVWFMHCHLEVHTTWGLKMAFVVDNGEGLNESVIPPPADLPKC >KVI11916 pep supercontig:CcrdV1:scaffold_27:130630:133185:1 gene:Ccrd_009658 transcript:KVI11916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEKITTTDKHTSQQHTQRLSVSTIKCQHKYTIERRVYTLQAITVLSLLPNNIENRVDQLSAFGIVSFGPVITGTTLTKYEIIRPEDLSVGTRSETVHRTWLQIHEHRAWDKPSAAGLVVINIDPLELEFRVSGVLTSYIDTVLGAYDLPELGSDLVTALAALDVKDLTHFRV >KVI11907 pep supercontig:CcrdV1:scaffold_27:530089:536428:1 gene:Ccrd_009687 transcript:KVI11907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MADGIEAAGLISERSRKRKRLGSIPKLLTNQKVEVRSIEEGFEGSWHCGTVIDAKTQICVVKYDHILLDDGSDKFVDSIPVTSMVNGKFPSKLRNYRGRIRPLPPKFNCNGNCLHYGQCVDVFHQDAWWEGVIFDHDDMSDKRSVFFPDVGDELRVSIENLRFTRDWNAASDEWKLRGDWIFLEVLEELELEKWPLFISVKQIWYEARIKKRFLKEMKEWTCQMREIWKETVKEVIIDNFKLTMAEFFGRLQQGGHILDINKRFLDSMVDIEPSFFESLAAEPFDPNHVALEEELDDNDDDDAGPPGFSNLASTKSENEVLSSRSEDICYQWTQMSDLCVAERDLVLEPECCPDSIFQYNEYTKGPSKPPGSLIVKVRQHLLYLGWKIELKRDPFGSKKSESIRYRYTSPGRKHYFSLTVLCADLCNCSSEYTSLGSYKPPPRDLLEVEPEYCPQAVVDYYSVMLEGNSEFKKKDIGFRDMQSRARKHLLAVGWVMSFADELCKRFVYLSPSGKKCYSLRQACNHYILESFGHGSYNASEINVSEQSEGESRSEHVKKGKNQGVGKLIIKKKDGVLCIEAAPSLSSTKRAVKKNGSLLNSIDEENGNSSTITRVLRSSKRAREEISPMHQTPRTVLSWLMDNNVIVPRSKVQYRCRKDGHTMKEGRVTRDGIKCSCCQTVFSVSKFESHAGSTYRRPSANIFLEDGRSLLDCQLQIKGDQNARLCMAEPRRLKGSRRQIINDNDYICSVCHYGGELVLCDQCPSSFHTHCLGLKVPDGDWFCPSCCCRICNQNKYGSDCEQTTDSNVLSCEQCERRYHIGCLKGKEGFMKLESYPPVNWFCCLRCKEIFMGINKLLGKPIPVGRDDLTWTILKHKKPEGTNHDMEELTESYSKLNIAISVMHECFEPVKEPRTQRDIVEDVIFCRWSELNRLNFKGFYTVLLEKDDELVSAATIRFRYRRCGMCHALMNELEKMLVELGVERLVLPAVPSVLHTWTSSFGFSLMTESEKLNFLGYTFLDFQGTQMCQKRLVRALPSAESSISRGVLSVTSLFTMQGFISKLNRNNFAAINGSNAADLEGISAVTEVSQAERFEETVIEQQQQQQKVSDSTFGLTR >KVI11914 pep supercontig:CcrdV1:scaffold_27:44478:59987:1 gene:Ccrd_009654 transcript:KVI11914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDSCLHMQLKFISSKLGHAKSLHWMSSNTIAPDDTNHYFADKPITTLHYAYYVRYEAQDALIVSTPFSIEAFTCSNFAFSMLQDIIPHGDQKKDKASFLLEIPYLYCLDLLYFLKIDSIDGIWHDTYKIDEIDVQIFLLRTYSFDPIGGTTGFFTSSFGTVIVSTPFSIEAFTCSNFAFSGNLNLLVNFPLLRSTLCHVSFLSSFSTFLSPLICKILSSSTSTFTSSFLIPGRSALKTCASGVSFQSTRVFTKAEVSLQESDPDEVGKGKSLNGSQISMANGSKMLFRRPPKKLGMIDIFCLQMDEYKDVPERSVAFFRYPVKALSRKIFCILSGNHLSTFWKWKDLIFNHKHNNDEKIEHGFDVVNPQSILGVDY >KVI11924 pep supercontig:CcrdV1:scaffold_27:146708:149976:-1 gene:Ccrd_009660 transcript:KVI11924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNDCIINIHSVAPEYFCPVCRTLVYPNEALEAQCSHLYCKPCLVHIASGAQTCPYDGNSVTEAGAKPVTVINRTLADAIGKIAVRCLYHRSGCMWQGPLSECIIHRSGCAFGDSQVLCMKCQIQIAHRQAEEHAQICNVNQVMNPEVQDRPKNVWGAATSGAAVSSDQSKTAIQGEATSGHLQASQITPADNLNELAMANPQPQALPAMVMSTSQWYQPQYQQVYAQMYPYSLEATQPFNQAPLQVQGPAKSQAHAQLQPQSQVVVQQQVQSSMHMENQAHVLPLPQAQANLHPLKQAPSQVQARPHISFSGQHGPPLYPQAAMPGPNGTQAQLNPQQQIQQASLPHVQIQTHNQLLAHCHMPPQLSSQAPSLAVQGHAQQHMQVPQFQHSLSQMHHPRPYPWHPDSQSQSQPHTQGHPQSQSCPQLQHPHIQQVLQFPPQQHPHSLQVLQAPPQQHPHAQQVLQAPPQQHPHAQQVLQAPPQQHPHAQQVQVHPSTGFVPPVQVSSQFVRPIVYLRPLEPPQKLPSRGQSPGIPPVQQHTDPHSQPGLPVQQHPVTCQVQQPLPQQYVQLPQMFAGCTSGLLQGQLHHAGPFADQELGRTTKLQHYKPQSMKNSGMDANGPGANSNEKKHGAEKNDEDKPENRGGDDYRKDEAVIRDAVTELQEGQGVSCYPVATQRSEGGINNNLDHFPGGNLVQNKAVDVRDVPIYSVKQVEVNMNVQPPTHFKLAEHGHFSNPGQVSNPAAHFHPPAPNQPDSFYPQHQMSGSFAPCSAAMFPRGPTNFANHARSFKPQYEGPQRPFSHALPYGPPGFVSSSAPRLCYEQARAPIGEHPDAFPLESARHLDQGFPHQQQFFGDSSGTMSSHPCLNRPGSQSSYARQGFPNAGPYCADVSDSFDNLKKRKSRSMGWCRICNVDCESVKFLELHGQTREHQQMAMNMVKNIKQKSARIAITSSAHSGLGEARKSGSAQTHGCGSKP >KVI11901 pep supercontig:CcrdV1:scaffold_27:364213:364596:-1 gene:Ccrd_009677 transcript:KVI11901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHGVRRQLTEEVNELKTLIVSSTRKKVPTTSCQNVGSQLNECEERRKGTATLNQDVIKKETTLQRVYSTRRSKRLTAKKSTEPGLIERDKSELVKIDSFL >KVI11933 pep supercontig:CcrdV1:scaffold_27:789768:793727:-1 gene:Ccrd_009705 transcript:KVI11933 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MVGSGKVEMITSKGCSKVFSDVTCTLGTNSFRDLQPPRPSIATSASLLHSTSNSPFSGLVICVTGLSKEARKQVMDATERLGGQYSPHLNPHCTHLVLQISFSIFSLMFVLSSSVDFAHAVVFASIKTILRLNESLYVVKSFGENHMANNDLNRISFGNSCRPVAMLENAKQSNFIQRSRLHSSEDQKRRGFNYSGQTVYIDPDISAELQNKVVEVAREEGALLANQWLIGHNATHVVCEGSSVRKYLGHSNNLVTPLWVLKTTKELRSQRLVHLSADLARHVGMMLGGVPGGIDLKDGERVDGCRDEPESSLKEKQAIVILAKKGVRNRRVQAYQTPTKPLARNVLLDSINWSMSEPSTTASICMDSFSFDDSKTSEFVNANESTKEPEACFVNFLRPLSEREKSELVFGNHFITILFPVDRFLEMGPCSRTFFNDAGFTRSQLLDHIYTFYQENLSMSEIELAIHTDSRHADRLRSLYSSSEAAKCGFVELKRIELLGSRKSFEMLKRVPGDNNNNVYELLTRA >KVI11917 pep supercontig:CcrdV1:scaffold_27:130715:133270:-1 gene:Ccrd_009659 transcript:KVI11917 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-tubulin CRQPSVSIHIQNPIFIFPSLTLISLSLHSHSKMREILHIQGGQCGNQIGAKFWEVVCAEHGIDVTGKYTGDSELQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSLRSGAYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEEGEYEG >KVI11879 pep supercontig:CcrdV1:scaffold_27:457350:462853:-1 gene:Ccrd_009682 transcript:KVI11879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/proton exchanger CAX MGSTEKYDLENGEIAKSISVVKTRESLLRTRSETDVLSSVRFRLFRIFFENVRQVIFGTKLFVLFPAIPMAFVAQRYSYGRPWIFALSLIGLTPLAERLSFLTEQIAYFTGPTVGGLVNATCGNATELIIALFALHKRKIHVLKYSLLGSIISNLLLVLGSSLLCGGIANLNKEQNFDHKQADVNSLVLLLGLLCQTMPLLFNFRSMEASKSDGNSVLQLSRATSILMLLAYDEGEVDEVLDKETAAIGFWSAFIWLCLMTIIISVLSEYVVGTIEDASETWGISVNFISIIVLPIVGNAAEHAGSIIFAFKNKLDISLGVCMGSASQILMFVVPLCVIVAWIMGIPMNLDFGFLETGCLAFSILLTAFSLQDGSSHYLKGVVLALAYIAIGACFFVQRLPF >KVI11878 pep supercontig:CcrdV1:scaffold_27:474371:474766:1 gene:Ccrd_009683 transcript:KVI11878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1313 MDSSSAVEEANYQEKPKNSGDQTTVKRWLTGAEKDEGEEECDAEAWETLSKSFKEVQSVLDQNRLLIQQVNENHQSKIPDNMVKNVALIQEINGNISKVSSVYSNLSVNFANCVHQRRVKNKNDKLEHADS >KVI09857 pep supercontig:CcrdV1:scaffold_270:188459:192278:-1 gene:Ccrd_011740 transcript:KVI09857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MFKGYEHTVDNLSSIQINFRGIPGAITCDDPWLKMALELEEKNRVEIRVDAKEMKWVCDSSLDHKGRVPLRSSTGTWKAAFFIIAIEFSERLSYFAIATNLITYLTEVMHEDLTTAAKNVNRWAGVTTIMPLLGGFLADAYVGRFFMILLSSSIYLMGLGILTMSQFVPSLKPCGVRRCIHPRRVHELIFFIGIYLISLGTGGHKPSLESFGADQFDDDNLEERKGKMSFFNWWNAALCGGLVLGVTVVAYVQDNFNWGFANLILTVTMVITTIIFTLGKPFYRYHVPQGSPLTPILQVLVAAMMKRKLTYPSSPDLLHEAPSTRRRLLCHTNKLRFLDKACIIEDNDMSEGKKRSPWKLSTVTDVEEAKLIVNMVPIWLTSLQFGVCIAQSATFFLKQSSTMNRMMGKNFEIPPASIHALSAIGMLLCVICYEKIITPTLRRITGNERAIPILQRVGIGMIISILGMVIAAVVEKQRLIVAGKEKGGKARFLSMSVFWLAPQYLILGIGDGFTLVGLQEYFYDQVPDSMRSLGIALYLSVIGVGSFLSSFMISVVDHVTGNIGTSWFGKDLNSSRLDKFYWLLAALNGFNFGIYVLLANRHSYKNLQRNIVFQYAQLQFKRRNLQDLLILPGIQQEEED >KVI09861 pep supercontig:CcrdV1:scaffold_270:222240:223623:1 gene:Ccrd_011744 transcript:KVI09861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MDWGSFFLYNWNWGKVVIITQSQSDMKSLFGSPGNPTGLLLRMGQCLFAAASLALMASASGFSTATSFWLKKDLHNHIFLSLLVVGDWVTAILSLAAACSSAGVMVLFVKDTDICRSHNLLSCNTFQISIALAFVAWFLLAVSSYVMFWLLATI >KVI09864 pep supercontig:CcrdV1:scaffold_270:272256:279061:1 gene:Ccrd_011749 transcript:KVI09864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MKGSGLLKSSIVVKTAGIAFIALSFFYLGKHWSDDTYQQLIFFSSNSSPNAKPPSISVSPNFNKTFDIKSLINDTTEVETVADHTLAAIPPASLLSPPPPPPPPPPPTVQRLGVVDENGVMRDEFEVGEYDPDVGENRNNETKVVEGDKGVTFRVPKFDTCPVSMREYIPCLDNEEAIKGLKSTEKGEKFERHCPEKDKGLNCLVPAPKGYKAPIPWPRSRDEVTFSLVSDVHMVWYSNVPHAQLAEYKGGQNWITVDKDKFKFPGGGTQFIHGADQYLDQISQMIPDIAFGRHTRVALDVGCGVASFSAYLFSRNVLTMSVAPKDVHENQIQFALERGVPAMVAAFATRRLLYPSQAFELIHCSRCRVNWTRDDGILLLEVNRLLRAGGYFAWAAQPVYKHEPLLEEQWEEMINLTTRLCWNLVKKEGYIAIWQKPLNNSCYLSRELGTQPPLCDEEDDPDDVWYVGLKPCISRLPEDLSGANITTWPERLDNPPERLQSIKLDAYVSRKDLFRAESKYWKEIIDSYVRALRWKTYNLRNVLDMRAGFGSFAAALINNQVDCWVMNVVPVSGPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDLLHAAGLFSIEQKRCNISSIMVEMDRILRPGGRVYIRDSISVMNELEEIGKAIGWRVMLRDTAEGPHASYRVLTCDKNLR >KVI09873 pep supercontig:CcrdV1:scaffold_270:108378:110217:-1 gene:Ccrd_011729 transcript:KVI09873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTLADLASWLPSEFLTHDPMAIDTKPPLPFPSDFPYEFASSSSNESESDDDDISGLTRRFTRSFSLQERLKIPYPLQKKRVFSGSPEATLSWAVSGPTHVRSSPTTPFVHAEEDAWDLIYAAAGQVARMKMRMNNVNDDVFANRGLLVSPRPLAVGPPFSHHHPNCTIWRSGSQEFIKQQHFRYRVTGAANYGGGGRCGGGQPMGFRQSAWPPLPVENHRRQQPSTGFIAKPVLGGSGGGCGRETTVLKRECAGTGVFLPRRYSNDPPESKKKPACSPAHMPARVAQSLNKSMEPIIPQIHLNTHGRGYTQKCNGRAEERGRRKRRSGASSRMDILSPSLVMVMVMVMVKRVDRIGI >KVI09859 pep supercontig:CcrdV1:scaffold_270:203023:203919:1 gene:Ccrd_011742 transcript:KVI09859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYDHSDNPSHFDKNYLAYPLSHIGKHHLDSGNMIIMPPSALNHLASLPVSYPMHFRLQNTITGHHSHSGVLEFTAQEGFVLLPTSMMNNMQLESGDLVNIKNATLPKGTYIKIQPHATKFITLSDHKSLLEKAFRDFACLTTGDVVVINHGEEKYVVNILETKPSPAISLFDTDCEVEFAPPLDYKQPEKKPVADFKGKRTSDENKSTPGSRDDVDIMMKEYKPFSGIGRRLDGMQVPETDHQSVKKSKRNESSMPTDHDGKPMEKKPQSSTVEEKVNGEHEGFKAFTGKSFRLGG >KVI09870 pep supercontig:CcrdV1:scaffold_270:55258:69374:1 gene:Ccrd_011726 transcript:KVI09870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPGGCLNCSNRPPVSSVIADGIMCFTIDVAKEIGIPIYLFRTVSASCFWAFYCIPKLIESGDLPIKEDDEMDRLLIGVTGMEKYLRLRDLPSFCRASDLSDRAFQVVTSETQETHRANGLILNTFEDLEESALVQIRKHIPNLYAIGPLHAHLKSRLEARMTSPPRSSNSLWEEDRTCMTWLDKQSRKSVIYVSFGSMTMLSREELIEFWYGLVNSRKKFLWVVRPNSVAGDGQNFPTQLLEGTMERGYMVRWAPQEEVLAHPAVGGFLTHSGWNSTLESIVAAVPMICWPYYADQQVNSRLVGEVWKLGFDMKDICDRVVVEKIVKDLMGRLEIKAFMLKSGVSFLRFQNITLSCSNKSYTLLHCQTLSISMAPHVLIFPLPMQGPVNCMLKLAELLCLSGISVTVLNTDHIQRTLLRHTNVLSRFSRYPNFHFQTISDGLPHDHPRSSERFLEVCEGMRTVTEPAFREMMVSGCFSSKSASPVTVIIPDGSFSFALDVAEEIQIPLIYFETVSPCALWTYLCLPKLIEAGEVPFNGNDLDVLIRSVPGTETFLRRRDLPGFYRIDNLANHVMQIIMNEAQHVPRAYGVIINTFDELDASILVHMRNLCPNIYCIGPLHTLHKNRLAVASKTTTLKQQSDVSNSLWEENRTCLSWLDMQPAKSVVYVSIGSMARMTVDQFFEIWQGLVNSGKPFLWVQRPGSVLGEYDDSQVPQNLVDETKQRGFIATWVPQEEVLAHPAIGGFLTHGGWNSTMESIMEGVPMICWPFYVDQQVNSRFVSEVWKVGIDIKDTCDRVIIEKAVNDLIHVKRDEFIRSVKPLAESASTIELYYFRWNHEFRVWDVANEIHFPVVPAFTLSPSCLWVLSNPPSHIGAGEASNLIGGNDEHFFIKGVPGLEDEAFFPFLLLLSQTNNKPLGSSSSSLHSSSTSISCVKSQRVINNRMDQDTLPPHVLIFPLPLQGPVNSMFKLAELLCLSGLHVTFLVTDHIHARLLKYSNIQSRFDGYPGFRLETISDGLPDDHPRSGDVLMEMFDSLKTKNKILFNDLLTSGKLNSDLRRPVTCIIADGIMGYTCDVANDVGIPIIFVRTISACCLWVFFCLPKLIGSGELPFAGNDLDTPIKSIPGMEGFLRRRDLPLFCRSGNLSDPNLKLYLPEVTENPRAHGLILNTFDDLECPILSQIQTSCPNLYTIGPLHSHLKYKLAGESSSSLPSTNSLWKEDMSCLTWLDSQPPKSVIYVSFGSLVVMTKEQYMEFWHGLVNSGSRFLWVVRPDSVTSDSTAIPPELAQGTKERGYIIGWAPQEEVLAHSAVGGFLTHSGWNSTLESLIEGVPMICWPYFLDQQVNSRFVGEVWKLGLDMKDSCDRVIVGKMVRDLMEERRDEFRRSADQMANFAKQCLMEGGSSYSNFERLIKDIKSM >KVI09872 pep supercontig:CcrdV1:scaffold_270:46538:48136:-1 gene:Ccrd_011724 transcript:KVI09872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESDGNGDDRGRKRRRQTRPWEKVTETSTVTSRNTNRLHPDMLIDFTSGFFISTFGTVTVSTPFSIDAFTSSTFALSGSLNLRINFPLLLSTRCHVSPLSSLSLFLSPLIWSTRSSSISTFTSSFFSPGTSALNTCASAVSFQSILAFANNAVSDDRTRSERERRLLLKGMPSKGSHKS >KVI09862 pep supercontig:CcrdV1:scaffold_270:251874:257688:-1 gene:Ccrd_011747 transcript:KVI09862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MTINWPRLVIFVAVLLVHTGCAVATRCPDCGRTPVPYPLSTGPTCGNQLYKIQCDVDVLKFDTINNTYPVISISPENQRLVIGQSHFLPNTCVKADLATNGIQLNTSLPFTITADNTVFYFNCTDTMFSVALDCTPTSPCQTYQNGSAHMSTCRRAPRCCSYKKGSSTNQYFLRLMIERCRAYTSFVNLNISLPISRWPDPAVELMWVLPLEPPCVTQAQCDSTSTCRDAQDGGRRCFCNHKFRWDAIAGRCASDFKKVRSKRIMIVATTICCVGIIIMLAVFAMTICVRRQRVKAARQRLSREREEIVGASGGGRSSKIFTSKEIKKATNNFSSTGLLGVGGFGEVYKGVLDDGTTVAVKCAKLGNTKSVDQVLNEVRILCQVNHKNLVHLLGCCVELQQPFLVYEYIPNGSLFDHLHDRNKQPLTWSQRLTIAHDTAEGLAYLHFSASPPIYHRDVKASNILLDDKMKAKVADFGLSRLAHSDVTHVTTCAQGTLGYLDPDYYWNYQLTDKSDVYSFGVLLLEILTCQKAIDFNRPTNDVNLVTYVKRIVSEERLVDVIDPILKKRATLLDFDAMKAFGFLAMSCLEERRENRPSMEEVSEEIEYIMVFSNMAAKSTFDVMCTVALMLLFADFTTSATRCSDCGSTQVPYPLSTGPGCGDQSYRVRCDTGAGALFFDTPNTTYPIYSISPTIQRFRIRPPNFLNNNATCVTTDVSTEGLQLDPTLPFNITSGNTIMYLNCSESLLRSPLNCTSTSLCHSYINNSAEASVCGRSPICCTFRSGGSSTMYSIRVRDGGCQAYRSFVNLDYSLPVSRWPVPGVEIQWASPPEPLCGTQADCDASSTCVPSAAGGVRRCICNSGFHWDAIAGVCALDDTCDTRGDCDDSNRTALIAEERLLDAVDPNLKKGASKLELETMKALGFLAVGCLEERRQNRPSMKEVTEEIEYIISIATSSNMED >KVI09883 pep supercontig:CcrdV1:scaffold_270:140652:141986:-1 gene:Ccrd_011733 transcript:KVI09883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRKPQHGGSSFTENLFGPKDSPSTSTSTSSSSSGLFSSVFGPSSTGLGRDSSHSKNTGSSKKQEYGNGRHSAPDYKTQRGNGEKQGNPIYQNETVEPSYLSSSIYYGGQEVYSPNHQTSRPHHTFKKDGGDDDPNGSSASRGNWWQGSLYY >KVI09885 pep supercontig:CcrdV1:scaffold_270:163859:164567:1 gene:Ccrd_011735 transcript:KVI09885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAATDNDHGEKLVIDPGLGPSFPAEFETAIRADGTPPRAVPLARPNKLALFTARPATVDAVCVPWPSVSLADSSSSPTALSSVKLMESHEPFHLGGGGPNPSSLKLSDSGQTPVSSIPTMTWLSRGALLTS >KVI09868 pep supercontig:CcrdV1:scaffold_270:25132:27920:1 gene:Ccrd_011721 transcript:KVI09868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MATLQETHLDLEAGGGGGGGGGGDATHRQSIASSAGDGSSRSSDASEESTTATTEIVGVVSEEEEDKGRESCVSEFSVVDLENGGGGGGGVHCDEGSKVHLSKIERDCRICHLSLDLTNQESENGIPIELGCSCKDDLAAAHKHCAEAWFKIKGNKTCEICGSIAHNVAGVNEAELMEQWNEANDGISSTATAPGGNTASSDTRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >KVI09886 pep supercontig:CcrdV1:scaffold_270:168826:170512:1 gene:Ccrd_011736 transcript:KVI09886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALMVYDITKKQTFENVQRWLRELRDHADSNIVIMLVGNKSDLNHLRAIPESEAQAMAEKDGLTFLETSALEANNVEKAFQTILLDIYQIVRKKALAAQEAAASVPAKGTTIKVESSNDSKGTTCCSN >KVI09877 pep supercontig:CcrdV1:scaffold_270:326845:332884:-1 gene:Ccrd_011753 transcript:KVI09877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESLNGVVVKKRKKQKLAEEITNFNSGEADAFGNQCALNTEVLMLPIAEAIEPDSSLLVTQGEEGTASNKRGGGRRKKGCRVRKGFYLNPKFFYSTEGDIHLQIRSSVYDCVERLIPDTSIQDKIVKETTSYRGAAGDFGRKIAIRGRETLLPEREIQTDDIGRSDWMTVDPPLGNIMVLGPQVDDIEALGEGFDDHEIFDGLKDGEDENGENNVQQVVFT >KVI09887 pep supercontig:CcrdV1:scaffold_270:171130:176516:1 gene:Ccrd_011737 transcript:KVI09887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MQTEARVGVEGGGPAHKLVTRHQRPLQHHGSQIGAIHQLIAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVSTLRKASIWHEASRIIREEGFRAFWKGNLVTITHRLPYSSISFYAFEHYKNVGTNALSWKFLHLNIDFLCNLTVIRFDNSLCSLLMLQLLQQMSGVETHETNFGNDVFVRLAAGGLAGVTAASVTYPLDLIRTRLSAQVRIFGMHFICIFFFFSLLLIHLLVLQTNVNYYRGIWHALHTISREEGIFGLYKGLGACLLGVGPNLAISFSVYHTARSYWQLQRPDDSTVMVGLACGSLSGIASSTVSFPLDLVRRRMQLEGAAGWGQVYKTGVLGTFGHILRAEGLRGLYRGILPEYYKVVPSIGIVFMTYEKLKQVLSDIDAC >KVI09882 pep supercontig:CcrdV1:scaffold_270:138188:141742:1 gene:Ccrd_011732 transcript:KVI09882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MEASMKYICLLGFLVAVVIAGLSEVDGAGECGKANPDMEAFKLAPCASAAQDEHASVSSSCCAQVKKLGQNPKCLCAVMLSNTAKSSGIKPEIAMTIPKRCDIADRPVGYRCGDIMESDQLVRSLLDLAGLRRPMCDSMVRPRTRVRLLNHFVCEYDDELKTQIYRGGGTKNRAEKARGGRGGGGGGGRRILWAKQILGKRRTSVLRLAVIHYELIIEFQIV >KVI09858 pep supercontig:CcrdV1:scaffold_270:208232:221442:1 gene:Ccrd_011743 transcript:KVI09858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, C-terminal MAAIDPTRGFLKDVKRIVIKVGTAVVTRDDGRLALGRLGALCEQIQILNSQGFEVILVSSGAVGAGRQRLRYRKLVHSSFADLQKPQVELDGKACAAVGQNGLMALYDTLFSQLDVTSAQLLVTDNDFRSPEFRKQLTETVDSLLSYKVIPVFNENDAVSTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVDGLYSGPPSDPQSKLIYTYIKEKLENTITFGDKSRLGRGGMTAKVKAAVYASQAGIPVIITRYAFYWSKHIGTLFHQDAHTWVSNGELNAREMAVAARESSRRLQAMPAKERAKILLDIADALEANEKVIIHENEADVASAQDAGYETSLVSSLAKAIRVLANMEEPIGQVLKRTELSDGFILDKTSSPLGVLLVIFESRPEALVQIASLAIRTGNGLLLKGGKEARRSNAILHKIITTAIPENIGAGLIGLVTSREDIPELLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSADMEMAKNIVLDAKTDYPAACNAMETLLVHKELMENGGVNELLIELQTKGVSINGGPRASSMLNLPPAPSFHHEYSSMTCTIEIVDDKTVKLRMSFYVKLTESTAWCICSAAVFHNASTRFSDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIARGNGQVVDNDKGVVYTHRDLTLQA >KVI09875 pep supercontig:CcrdV1:scaffold_270:327942:330032:1 gene:Ccrd_011754 transcript:KVI09875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MTRTKRCASLISHGLVFLFSLLFFPTPSLSVSFIYNGFKGLNSDNITLNGVADIIHGGVLQLTNQTSRLIGQGFYPNHIRFKNPTTKTPLSFSTSFVFAIVPEYARLGGHGLAFTVSPTRDLTGAQPSQYLGLLNVTDNGNTSNHLLAIEFDTVQDFEFSDINDNHVGININSMASNYSTKAGFFVDGNSTKQDVNLKGRQKIQAWVDYDALKAQLNVTISLHLDKPTTPILSVPVDLSSVFHDFMYVGFSASTGLLASSHYIFGWSFNMGGKAQSLDLSNLPSIPGARKNHTGFIIGVSIAVFLALVIAIAGVVFVIKKSKEVDEIEEWELDLGPHRYSYKELKEATKGFRDEELLGFGGFGSVYKGILPNSKTLVAVKRISNESKQGMRAFVSEISTIGRLRHRNLVQLLGWCRKGGILLLVYEFMANGSLDKYIYDDPKFVLTWEERFKIIKGVALGLLYLHEEWQQTVLHRDIKAGNVLLDSELNGHLGDFGLAKLCEHGSHSNTTKVVGTLGYLAPELTRTGKPTTSSDVFAFGALLLEVVCGRRPIEQKALPEELILVDWVWDKWTKREVLEVVDSRLNGQFNEVEVLVVIKLGLMCSSNAPSARPALRQVIRYLEGEVPLPEGLPSPCEGGEKDGHMVEIEDYVHSYPSSSFLDKVSNWSAGVEEGYVDVEAIPASPLSISSKEENKCS >KVI09888 pep supercontig:CcrdV1:scaffold_270:176879:180239:-1 gene:Ccrd_011738 transcript:KVI09888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3633 MSSSGNVNHLSQPCIYERKSWFMRWLSKLFKGGSNRGQVGSTHRPPQFIGDESMVLRAPVRSLDNRARTDKEKEEIDRAIALSLAEGLKKPNGYGWRPNNEADLAKTVQDDHHPSYPPYVPREYPPVGYRLCGGCNRDIGYGNYLGCMGTFFHPECFCCRACRYPIKEHEIPTNGAGLIEYRCHPFWSQKYCPAHEHDNTARCCSCERLESVNARYVSLGDGRSLCLECMESAIMDTGDCQPLYHTIRDYYEGMNMRLDQQIPMLLVERQALNEAIILRRPKFGGHAMIGMRTQPQKLTRRCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEIMPGSTSAAPSTSSSSVSKKGGKSRVENKLGEFFMHQIAHDASPAYGGGFRAANAAVNTYGLRRTLDHIRLTGNFPL >KVI09889 pep supercontig:CcrdV1:scaffold_270:184235:189827:1 gene:Ccrd_011739 transcript:KVI09889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MDPIAIDKIIERLTEVRSTKSRKLVQLSEAEIKQLCSASREIFINQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPQANYLFLGDYVDRGKQSLETICLMLAYKIRYPENFFLLRGNHECASINRIYGFYDECKRRFNVKLWKVFTDCFNCLPVAALVDDKILCMHGGLSPDLTDLDQIRNLPRPTAIPDTGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGADKVSEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVNENLLCSFQILKPVEKKNKFMMSTKM >KVI09863 pep supercontig:CcrdV1:scaffold_270:243800:245017:-1 gene:Ccrd_011746 transcript:KVI09863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MWSPHPHPHLKFAILLLLLLLSFFTTLSHGAPSPPNPRLQNAYFALQAWKHSITSDPRGFTSNWYGPNVCNYTGVFCAPSLDDSHITTVAGIDLNHANISGSLPEELGYLLTDLSLFHINSNKFCGTVPKSFEKLGLLYELDISNNQFSGKFPLVVLSLPSLKFLDIRFNQFQGDVPSKLYDLKLDAIFINNNLFTSRLPENLGNSPVSVLVFANNDIQGCLPSSIMKMGETLNEIILTNVGLSGCLTPDIGSLKKLTVFDVSYNSLVGMLPESIGDMKSLEQLNVAHNKFSGKIPSSICSLPRLENFTYSDNYFYGEPKICLKLADKDDRKNCIPDRPAQRKVEECKAFYSRPVNCRGCTASPPPPPPPPPPPPPPPPPSPPPPTYKKWVSPHGHHPYTPPLKY >KVI09874 pep supercontig:CcrdV1:scaffold_270:72922:105922:-1 gene:Ccrd_011728 transcript:KVI09874 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MENQTESSGRRCRRILLFPLPFQGHINPMLQLGDLLYSKGFSITILHTNFNAPATSNHPHFTFKSVLDNDPQDPRFLELPSQGIAAAMSRLRFFNQFGADSLRLELELLLASSEKDGPISCLITDALCHFTQSVADSLHLPRLVLRTSSLFCLVIYASIPLLDDRGYFKLGDTHLEEQVEEFSLLKVKDILKIGIKNKEDSMVMLTAMLKQTKASSGIIWNSFKELEEPELKTVLRDFPIPSFLVPFPKHFPASSSSLLEQDRTFFPWLNNQPLNSVLYVSFGSMIQVDEKDFLEIANGLVDSKQSFLWAVRPGFVKGSSWLELLPDGFLGERGRVVKWAPQQEVLAHDATGAFWTHNGWNSTLESICEGVPMICSPIWGDQPLNARYVSEALRVGVHLEYGWQREKIANAIRRVMVDEDIRERARVLKEKNQEERSSCRRQRVVLFPLPFQGHINPMLQLANILYSKGFSITILHTNFNSFYTSNYPHFTFRPVLDTDPKDTNLSKLASQGVGDLLSGIMLLNQYGAVSFRQELDQMLIASKHQHEPIVCLITDALWHFTQSVADSLNLPRIVLRTSSLFCVLVYASIPVLDDQVWILSLVFDDESVDLDLKNIISPIDESNSKKAESRELVRDLEERVSDIPVLKVKDVLKMRIKGQDDPAAKLLANMLKQTKASAGIVWNSFKELEESELLKIHQDFSIPSFLIGPFHKYFPASSSSLLEPDRSSITWLDHQAPNSVLYISFGSAVQLEEQDLLEVAHGLATSNQPFLWVMRPGLVKGSEWLELLPNGFLDEVGERGHIVKWAPQQEVLAHQATGAFWTHNGWNSTLESICEGVPMICSPFWGDQPLDARFMSDVLKVAVYLENGWRREEITSAIRRVLVDEEREDIRERARCLKEKIHGRAPTLQWTVPTATRRRIALFSMPFQGHINPMLQLANILHSQGFKITMIHTEYNSPNHSNYPHFTFKSISDNFSEIINQLPKKDPSFYIKYLNSSCVDPFRNCLAGLLLEEPIACLIADAGFYFTQAVADELKIPRVVLRTSSLGCVVAYGVLPILSEKGYFNPPKEDYETSVPEHPLLKFKDIVKISINPQGMSEFVTNMHNQMKASSGIIWNTFKELEESALETIHQDFPIPNFTIGPFHKYFSASSSSLIEQDRTIISWLDTQAPKSVIYVSFGSVARITELEFQEVAHGLANTGLPFLWVVRPGVVSGSEWLELLPEKFLERVGDKGRVVKWSPQQEVLAHPATGCFWTHSGWNSTLESICEGVPMVCSPCFVDQPIIARYVCDVWKIGVLLKDDFESLTERSSEGQPAHIASGEDGTTGKDRLAKTPQARSVTHGEAPSLRWTVPTAPRRRIILFPLPFQGHINPMLQLANILHTQGFKITIIHTQYNSPNYSNYPHFTFSSISDNGFSEIIKQLSVNSDASYYLTHLNNSCVDPFRNCLAELLATYSDEDPVACLITDAVFYFTQAVADALNIPRLVLRTSSLGCVLAYGFLPISFEKGYFNLPKEDYETRVSEFPLMKVKDLVKITINPQGMVDLVTNMLNQMKASSGLIWNTFKELEESTLETLCKDYQIPSFTLGPFHKYFPASNSSLIEQDRTILSWLDNQAPKSVIYVSFGSVASITESEFQEAAHGLANTGLPFLWVVRPGVVYGSEWLESLPENFLERVGDKGRIVKWSPQQEVLAHPATGCFWTHNGWNSTLESICEGVPMVCSPCFVDQPINARYVCDIWKIGVLLEDGFERVGIETTINRVMMDEEGEEIRKRICCLREKGHITPMLQLANILHVQGFKITIIHTEYNSPNHSNHPHFTFKSISDRFSEITTADLDASYCFKYLNKTCVDPFRNCLAELLEDYSDEEPVSCIITDAGFYFTQAVADALKIPRLVLETSSLGCTVASDILLSLSENPSFTLPKEGDHETLAVEFPPLKVKDVRKGTRDPKGMGELVINMHTQMKASSGIIWNTFIELEEYALETIFQDYQIPSFTLGPFHKYFPASSSSLIEQDRTILSWLDTQAPKSVLYVSFGSVARITELEFQEVAHGLANTSLPFLWVVRPGIVSGSKWLELLPEKFLERVGDKGRVVKWSPQQEVLAHPATGCFWTHNGWNSTLESICEGVPMVCSPCFVDQPVIARYVCNVWKIGVFLEDGFERGGIETAIKRVMMDEEGQEISKRIGRLKEKMNISLEKDGFSISDKDSTLNLETGNYAVNFMNKSCIHPFRDCLARLLQEEPVACLISDSLWHFTQSVADGLKLPRIALRTSSMSCILVYAALPLVQEKGYLKTTNHELPPMTNKDMLKIYAGGFEEGKLELISIMIKETKAASGIIYNTFKELEEPAFLAISQDFRIPCFPIGPFHKYCPSSSSSLLEQDRSSISWLDHQPVNSVVYVSFGSIAQMDESEFANMAWGLASSKQRFLWVVRPGSVPGSEWLESLPKGFLDEVGERGCIVKWAPQQEVLAHEAVGGFWTHCGWNSVLESICEGVPMICSPCSYDQPINAVYVVDVWRIGVMLEKGMEAEEVGRAIKRVIVDKEGDEMRQRSESLQEKAHSDTYSLATGSGTLLRHRQQELFKVYFIYASAVLLFIIDELSICEEFMKYMNEESLKHMNDEEFKMEERSWWFKYKKSGPILT >KVI09880 pep supercontig:CcrdV1:scaffold_270:118612:126971:-1 gene:Ccrd_011730 transcript:KVI09880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylyl cyclase MAFLNIHRIIPENSGEKRERLTEEEKVNYRTPSNPFQIQNRLRPKKMWPIHILNKLLKSEGNNIQEEEDDTSLAEPYNFKPSPKNGNVNKTDLGHSHFVEVPHIKQSRSWDCGLACVLMVLRTLGLNHYDIQDLEDLCRTTRFSYFTVTFGANPDFSVETFYKEQLANDIGRVDMLFQRSIEEGIKIERRSIKGEEIIFLILSGKYIVIALVDQCILSKPWKEDVRIPQFYNGTAAYTGHYVVICGYDAVTDEYEIRDPASSRKRERISSKCLEEARKSYGTDEDILLVSLMNAEN >KVI09879 pep supercontig:CcrdV1:scaffold_270:310497:311117:-1 gene:Ccrd_011751 transcript:KVI09879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYSQYLMLAKSKNNKILMNPLSSYSWEEQAFAQDARGPLGGFVWPPRSYSCSFCRREFRSAQALGGHMNVHRRERAKLKQTVNGTSSAPISTTSTITQQNHNKQSLCNSSLESLDPDTPSLIPALGQESLDSNSDTKSCVYDEVLVVAQGNGTDVETNLFLGFDHLDSCSNTTSSVHKRQKMAVTPPVMAGSMKGLDLELRLAG >KVI09884 pep supercontig:CcrdV1:scaffold_270:154010:164974:-1 gene:Ccrd_011734 transcript:KVI09884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MGDLPKSDLSVASLHRNILEDVVGSRASKSLLRSYQRSFNGFVAKLSEDQKNKMARMEGVVSVFQSQTRKLHTTRSWDFMGFPQDVKRAPLESHVIVGMLDTGVWPESDSFKDDGFGPPPPKWKGSCDSINFTCNNKLIGAKYYKTEESAVGDEELSARDTDGHGTHTASTVAGRAVNNANLLGLANGTARGGVPSARIAVYKICWETCSDADILAAFDDAIADGVDIISISVGSSNPFDYFEDTIAIGAFHSMKNGILTSNSAGNDGPSPGSITNFSPWSLSVAASSIDRKFLTQIVLGNNITYEGPTINTFEGAIHPIVYGGSVPNTKKGFTSDESRYCEEDSLDPTSVQNKIVVCEDFDGPTNALESGASGVVVEGDFGYLDSAFAYPLPTTYLSSKDGSAVLGYINSTTTPSATILKSYEPVDKAAPTVVSFSSRGPNPITPDLLKPDLTAPGVDILAAWSMATTVTGEAGDTRVVPYNIISGTSMSCPHATGAAAYVKSFHPTWSPAAIKSALMTTAAPMSPTKNLEAEFAYGSGHIDPLKGVDPGLVYDAGESDFVSFLCGQGYNATTLKMVTGDSSSCSAANNATVWDLNYPSFGLSAQQPGNIVRTFNRTVTNVGAPDSTYHANVVAPSGLVVKVRPRSLAFKRVGEKQSFVVMVDARIGCKMVSGSLVWSDGVHNTYVVYMGDLPKSDFSVESLHNTMLQQVVGSRASKSLLRSYKRTFNGFVAKLTQDEKNQIAGMEGVVSVFPSQTKKLHTTRSWDFMGFPQDVKRATLESDVIVGMLDTGVWPGSDSFKDDGFGPPPAKWKGSCDSTNFTCNNKLIGAKYYLTDGKEEGEDEPSARDTEGHGTHTASTVAGRAVNNASMLGLANGTARGGVPSARIAVYKICWEGGCSDADILAAFDDAIADGVDIISLSVGGSFPLNYFEDTIAIGAFHSMKNGILTSNSAGNDGPSPGSITNFSPWSLSVAASSIDRKFLTQIVLGNNMTYEGPTINTFEGAVHPIVYGGSVPNTKKGFTPDESRYCEEDSLDPTSVQNKIVVCEDFEGPTYALESGASGVVVEGDFGYQDLAFAYSLPTTYLSSKDGSAVLGYINSTTTPSATILKSYEPVDKAAPTVVSFSSRGPNPITLDLLKPDLTAPGVDILAAWSMGTTATGEQGDTRVVPYNIISGTSMSCPHAAGAAAYVKSFHPTWSPAAIKSALMTTAAPMSPTKNLDAEFAYGSGHIDPLKAVDPGLVYDAGESDFVSFLCGQGYNTTTLKIVTGDASTCSAANNATVWDLNYPSFALSAPQPGNIVRTFNRTVTNVGAPDSTYQANVVAPSGLVVKVSPSSLAFKTVGEKQSFVVTVDATVGSSKALSASLVWSDGVHKVTSPIVAFVS >KVI09871 pep supercontig:CcrdV1:scaffold_270:49666:50139:-1 gene:Ccrd_011725 transcript:KVI09871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MSIIPSFFTGRKSNVFDPFSLDIWDPFQGISTALGNLPESSRETTAIANARIDWKETPEAHVFKADLPGLKKEEVKVEVEEGGVLQISGERSSEQEEKNDKWHRVERSSGKFLRRFRLPENAIMDQVTATMENGVLTVCVPKEEEKKPVVKAIDISG >KVI09856 pep supercontig:CcrdV1:scaffold_270:197495:198547:-1 gene:Ccrd_011741 transcript:KVI09856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MAAILLLLSLLTFTVHVLSDLPATTPRTSFYLSPNTLFSNYDKMLHSFKIFVYEPPSPFTGANVFTTTPESQFYTSLLRSPFVTDDPSQAHLFFIPFPSSLSTRNLARLVRNIRITFPFWNRTLGADHFYLSAAGVDSSSDRNVVELKKNSIQISCFPTSSGLFIPHKDITLPPIHPFQTMPSVNSTPAFLGYMKLSKQSPFSLIEEIKDDPQFKVEYAPGNRRGEFMKNSRFCLFMYGDDMTWMVEAMGAGCVPVVVTDRPIQDLPLMDVMKWSEIAVFVGSSGGAKALRGVLDGIEKSRYDEMMESGVVATQHLVWNTEPQTHDAFHMILYQLWLRRHTIRYARWAEQ >KVI09867 pep supercontig:CcrdV1:scaffold_270:44453:46103:1 gene:Ccrd_011722 transcript:KVI09867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF620 MRTLCPNLDNEDALETVLEVPIPEESFEDHTKINHNTITSNWQTMKSWIKPHSTDQTRQFSDYGGRNAQIQLLLGVIGAPLVPLPISSVHSTMINPSIQDHPIEASMAKYIVQQYIAAAGGERALNLVDSMYAVGKVKMVASEFISEDGVSINCNGLSGGGKAMKSKNVKNGGAEMGGFVLWQKRPDLWSLELVLSGYKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRSLQGLDPKSTANLFTNSICLGEKTINGEDCFVLKLEPHLSALQVRSSNNVEIMKHTIWGYFSQKTGLLHQLKDSHLVRIKTPGSDSVFWETTMESLLQDYRTIDGVNIAHGGRTTVSLFRFGEDSESHSQTKMEEVWTIEEVDFNIKGLSMDCFLPPSDLKKEDDQSIVHATSGDKFIGSARLTSKSRGNSSRFGVHKIAAIDSNGFGDL >KVI09878 pep supercontig:CcrdV1:scaffold_270:283952:285824:-1 gene:Ccrd_011750 transcript:KVI09878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MAGMCCGVVGETNTPSTVEHSGGRQGRRRRMEIQQLKFLAAAASDVVMPLPGSTGKRRKVESLDMIQTSRSGGDIAVTTPEGFENAVQACDMKLEEVGSSGTSSETKRLNRCGASLETLDRDVSDEENSRFGLTVVCGRRRDLEDAVAVKPSFCKTSSQNCNDLHFYGVYDGHGCSHVAMKCKDRMHEIVKEEVENGEKSMEWKQTMVKSFSRMDKDVTEWSNNASSSNCRCELQTPQCDAVGSTAVVAVVTPDKIVVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELERIEEAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVIPEPEVTVTERTAEDECLILASDGLWDVVSNDVACSVARMCLSSQDVPSPPRSPGSELNVARGESSDKACSDASILLTKLALARRSTDNVSVVVVDLRRTV >KVI09860 pep supercontig:CcrdV1:scaffold_270:225162:229013:-1 gene:Ccrd_011745 transcript:KVI09860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYICLQQGSVDNNHRRSFLLIKQRVKTPDAQSFGVANYPPPPLCHLHTTQKQTEIRVQFSLRNRVIARLQSFVLQQKLPNMKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFEAKLGIKISCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPLKAMIAFHKSHGGEASIMVTKVDEPSKYGVVVMEESTGQVERFVEKPKVFVGNKINAGIYLLSPSVLDRIELKPTSIEKETFPKIASEKLLYAMVLPGFWMDIGQPKDYITGLRLYLDSLRKNAPSKLASGPHIVGNVLVDESAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKASILKPEIVM >KVI09865 pep supercontig:CcrdV1:scaffold_270:258148:268435:-1 gene:Ccrd_011748 transcript:KVI09865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MNGEGDEDMKMEMKCEEKSVYMWGYLPGALPQRSPILSPVEVRLPQSIDAGRLWADVCGGGCGFAMAISDTGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPKEVSIVRAAAGWAHCACVTVAGEVYTWGWKECVPSGKVVGDPNTAQSQDKDVFERQNPFLTEQGNPISTLCQSLQELLQLLLTKIPKRTIVSPRSHGSRSTGGAASGVDGKAIGDENTKRRRVSSTKQVVESSSSGDEPLSALPCLVALNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCIATSSVGKDRSAALPRGSMGSEGQGYRVPGNYIKGIACGGRHSAVVTDAGALMTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRIEGVAAGLWHTICISADGDVYAFGGNQFGQLGTGTDQAETLPRLLDAPSLENEHARVVSCGARHSAVLGLGDVIDRNIPSQVALEGCVPRTVACGWWHILLLAEPLT >KVI09866 pep supercontig:CcrdV1:scaffold_270:46479:50112:1 gene:Ccrd_011723 transcript:KVI09866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MALVPGFFTGRRTNVFDPFSHDLWDPFEGIPFNNNLRSLSDRVRSSETALFANASIDWKETADAHVFKADVPGLKKEEVKVEIEDDRVLQISGERNKESEDKGDTWHRVERSSGKFMRRFRLPDNAKVDEVKASMENGVLTVTVPKVEMKKPEVKSINISGARNLAGDINGLHHRLLLLLLGHAYRQHAVFHGGCYLIHYRVLRQPEPSQKLPGAPLDPVPLIILLLLFTAPLSAYLQHPSFLNLHLHFFLLQPWQVRLEHMSFRRLLPVDSGVGDGCCFPEVAKGSRDPLKWIPDVEGEWVEYVALPA >KVI09876 pep supercontig:CcrdV1:scaffold_270:314343:316708:-1 gene:Ccrd_011752 transcript:KVI09876 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MAAAVSTFGVAVNRAPLSLNGSSGVAAVPSSTFLGSSLKKIVNSRFTNINSNKYSSFKILAAEKEIEETQQTDKDKWKGLAYDISDDQQDITRGKGMVDSLFQAPQDAGTHFAVMSSYEYISTGLKTYNLDNNMGGFYIAPAFMDKLVVHITKNFLTLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGADSDIVKLVDTFPGQSIDFFGALRARVYDDEVRNWIGGVGVEGIGKKLVNSREGPPTFEQPKMTIEKLLEYGNMLVQEQDNVKRVQLAETYLATAALGDANKDSIDRGSFFGKKGAE >KVI09869 pep supercontig:CcrdV1:scaffold_270:5522:12562:1 gene:Ccrd_011720 transcript:KVI09869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEETGHSLDPTISAAVSTGHDDGGGEVDAVMAEVGGGGGGGADKKSKVRGPWSPEEDVVLSELVSKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPAVERKPFTEAEDRIIIDAHAIHGNKWASIAKLLRGRTDNAIKNHWNSTLRRRCIQPNAYMCVSSTKMEIENNHFDGPKTTSEDTLSNGNMNQVKAPEYEDGSAMEAEDIPSADQNEHVPETLPHPTPHYGAFTVYTPPNGRIQNGQLRSVVPREGPLIQASKPESMGFKFLKGYCSECVTPSRCGHGCCSGPENNAASHSLLGPEFVEYEELSPLASQELAAIATDLNAIAWIKSGLENPGASQRQTPHISLPTFA >KVI09881 pep supercontig:CcrdV1:scaffold_270:134587:137362:1 gene:Ccrd_011731 transcript:KVI09881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MENSGDSSPKYDGFDSFESRTTSSNSSNSVTSSKECCSSPTPLGWPIRKAQVMSKCCNASDDKLKTKTHFDGESKTKKMATKVSEMEMMKEKFGKLLLGEDMSGSGKGVCTALAISNAITNLCATAFGQLWRLEPLPSEKKQMWQREMECLLCVGDHIVEFKPSWQTLPDGSKLEIMTCRPRSDIFVNLPALRKLDNMLLEILDSFTSTEFWYVDKGITTPEPDGLASFRKPLQRQAEKWWLPVPRVPAGGLREDTRKQLNHKRESANQILKAAMAINSVSLSEMEVPDSYLDTLPKNGRACLGDVIYQYIISEQFSSECLLDCLDLSSEHVALEIANRVEAAIYIWRKRPSRPLPTPSRSTAKTSWDMVKDLMADGHKRDLLAERAESLLLCLKHRFPSLTQTSLDTSKIQCNKDVGKSILEGYSRVLESLAFNIVARIDDLLYVDDLSKQSENRSSRVSTSSHKRVSVSTSSSPYKTAFGTPKFSPGPLISPARGDRTPFLTGNSNSNKPPSRGCGVRRALTNYLGGETRVRSSCQLLEGPGCLSTRNADMPPARNTLDGQPSQKENRTPVKSKRTDR >KVI00758 pep supercontig:CcrdV1:scaffold_2701:36360:41311:1 gene:Ccrd_020989 transcript:KVI00758 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MNYNAVEAYMVPNQQRDHLGILGHEDLSLKEKKGIKPKVDARSLKVDVDDAVELAVAASEALTIHEVVKDEPSSELLMASTVLEAAMRVKQARLEDLEETLSCCGGECNDIDFLSDLDESTMADAYENVGLTVSGHGHLSAYESVSRIKDSYASESYMSNVKQKGNEPGCLEVDSGSILPKQQHKQVNSASMLLKRGLESVKGDTCKEQVDYAAVSTENADLACDMDPMLSCSVRQAEFCTTEVGLQREGFPVGDVTSSQTILRSVQSGSSGYNEREDKMTNMVSDRFHSRWFGGWTWKNEASIPAVTNHKYERCIHEPFANETSSLSESADITPDKNSCIQKQDNDRKIVSQSSVAPEGTYEESSNNGNLFSEDVAVSPCVSPMDPLCSVVPCSFTLDNACHQNVASQNCQRQVNPEKQFSLAAQVNLDNLKTISPQGADFLHGDVKSISKINGESSTVGRQVALLKTYSMLSLRCNPYLEKGLQHAPSVLSSVTQNPILDLRDDNDGHNPSHTKENTNLPMAINNGNTSRCISPDIGGEENLDRTVVPDSNEDLLLTKVSRKVESNCKSYLVPRRKRVHFSETEITYPQVKKFQTPETRVKDSLVASRICRALRNSNLQPKSRAYEVKRPRVTENTLFQNLKFLLTGFSVKKHKQIKNLIQKNGGIVLDDIPSPSTARGKKSSKNKCQLLPLILCPRRLLTTKFLYGCAVNASILKVNWLFDSVDGGLILPPNKYTILKEHATKSCIIIGKPVLCTYFIFENLAIMLHGKHKFCSKMAKIIKHGGGLVFKTFHWLVKTLDSKKVSVGAIVVEDENAVSRHLKQCAMEQKIPLMPFKWIINSLYAGRLLPSPEHKHSLPSRLLNHPVDMELSEEI >KVI00759 pep supercontig:CcrdV1:scaffold_2701:31997:35079:1 gene:Ccrd_020988 transcript:KVI00759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLGFHPPHFSEEAAWLPAWLQPSTIREDSNQEFECPSGQGLEEMLCLKQSTSDRESGNLSDDGWCKSFRLFLSGEDNSPMHFTSCSSN >KVI00757 pep supercontig:CcrdV1:scaffold_2701:8995:12408:1 gene:Ccrd_020987 transcript:KVI00757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDIMEWYFGNEVEDLVVPKDYEQPEMISSPESWSQWGINAFGGSDFPKKNYNSNANANMTREELTFNGGKNFYTSIDMDDSNNGRQKSNNSSMNQGLYNNGGSLLWNDQADFQQFTEEEARINHMDDLFFSSLLEEDPTKDSTESHDNTMLDNNVNIFKGEMVSSQNVGSHGQNTGSSKYLKTHAFSPSNDWSNEVSTPYEMPEQYTNDENSMEESVLKDLERVTALFTDKTRICFRDAFYRLAESSKQSVNSCQDGEPMMTSNDDTLRSGETEVSESKTNVIDRAVASLMFNKFDYEDNDHQTDYEDDAEVPIGGMHELAQSTAH >KVI00760 pep supercontig:CcrdV1:scaffold_2701:51632:66439:-1 gene:Ccrd_020990 transcript:KVI00760 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor, conserved site-containing protein MVAIPTTNTPQRNPITAVDISLKSDHAVAMIPVHQICGSDSIMSSSAPEFSDNSESEVQQSQLDAQIHSSPPATGISHPSISTPNIPYPTQLGANALGQAAYPYPDPYYRSIYTPYESQPYPTQPYPVQPMVHLQLMGIQQAGVPLPSDTVEEPVFVNAKQYHGILRRRQCRAKAESENKAHKSRKPYLHESRHLHALRRSRGCGGRFEKKDKHQKEMGSDDNSESQVNINLNSDKT >KVH96814 pep supercontig:CcrdV1:scaffold_2703:38224:39241:1 gene:Ccrd_001094 transcript:KVH96814 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD family MIKLFDAHCHLQDPKIFNHAPKLIKTALENGIVHFAVNGVSEKDWHLVKQMSDNHPSIIPSFGLHPWFIMDRTPYWFSSLRKFLDSTPSAAVGEIGLDKGSHGKMISFTDQVETFRLQLQLAKELKRPASVHCVRAFGDLLDIMKSIGPFPQGVILHSYLGSAEMVPEFAKLGAYFSFSGFLMAMKESKAKKMLKAVPSDRILLETDAPDAVPKVNNSESLFLVDVQDSIANDGHPKQMLNHPANIQFVLSYVASLLDMSKEDVADLSYRNAGIMTLARLLSNSSSRIWYTSLAVGLYGYHRSTF >KVH96815 pep supercontig:CcrdV1:scaffold_2703:7435:9012:-1 gene:Ccrd_001093 transcript:KVH96815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYLLLLILLSLFILHSTSSNLHQTPFTFCLQAKIGPLASILYVPSDPSYIPILQEYIKNSRFNTTTTPKPKFIVAPHTTPHVQAIIVCAKANNLQIKIRSGGHDYEGVSYTSIEPNFIILDMINFRNISVDVGSRIAYAQAGAQIGELYYSIWKASKVLGFPGGVCPTLGIGGHISGGGYGAMLRKFGLSVDNVVDAELVDVDGRLLNRTSMGEDLFWAIRGGGGGSFGVILSYTVKLVDVPEIVTIFRIEKILEENATDLVNHWQYVDPIIDNRLFIRLLVQPIIRKPYGKTIRASFVALFLGNAQELLGVTNDEFPELGLKKTDIREMSWIESVLYWANFDNKTKPEILLNRHSDTVGFGKRKSDYFQTPVPKTGLDSIFKKMMSLGKVGFVWNPYGGVMSQIPASATPFPHRAGFLWKMQYSINWKDGGAESEKDYLNQIRSLYDFMAPYASNSPRSTFLNYRDLDIGINHNGANSYAEGKVYGEKYFLGNFDRLVKIKTVVDPQNFFRNEQSIPIFKSG >KVI01594 pep supercontig:CcrdV1:scaffold_2704:23360:27356:-1 gene:Ccrd_020131 transcript:KVI01594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLSHSATLATWQFVCLIFFLASKLLKTIPQVLSKCDYDGVVAHIFCLRSLPTLYKKDNCRFNIFFCFMMRK >KVI01593 pep supercontig:CcrdV1:scaffold_2704:30430:45795:-1 gene:Ccrd_020132 transcript:KVI01593 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MHIESNITHTNSFDSYKEINNHHSPLLFPSSSPFFCWISKMDNLYLYVGIIGNIISVLMFLSPVKTFWTIVKKGSTEEFKSLPYICTLLNSCLWTYYGITKPGSYLVATVNGFGILVEIVYISLFLIYAPPRTRAKTAKVAGIVDVMVFAGAVLVTQFGMEGDMRIDVIGFMGAALNIVMYASPLSSMRLVVTTKSVEYMPFLLSLFFFLNGAVWTFYAFLVRDWFLGVPNGTGFVLGVAQLALYSIYMQSKPSKVIFDDLDSGGQHQHLLPSSTSNPTEPL >KVH87662 pep supercontig:CcrdV1:scaffold_2705:44427:45648:-1 gene:Ccrd_025052 transcript:KVH87662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHTTWYHKHVTQLIINRWYNSSHYFSSTGKFLHKKKAPKSLMLLEQGIIEENYDKLKGLKRDMGEEVYKAVTTALKEINDYNPRDVFPDSTLSDHVMRFNNIPQVKSHAMLEYQCPQLYKKEWALV >KVI04621 pep supercontig:CcrdV1:scaffold_2706:72568:73096:1 gene:Ccrd_017061 transcript:KVI04621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSISTNRVTEDDYQSIYNQSPKSKGVAPVLRALSLEANENSEYESSNLSEVTPKKLKAQTQFSQKAVHIIPLILLFCAFFLWIFSNPDIDLPIKNDISKLQVKGKTMERDDRTNSRGTDVVDMGLHKHDSHKLASTLANL >KVI04620 pep supercontig:CcrdV1:scaffold_2706:64832:71905:1 gene:Ccrd_017060 transcript:KVI04620 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MMATTAVVGLRAGERLLGSSSYYSDVSEKLSCSSDLGFIFVPTKNVITAKKSSNYGHGFISNRLNQDSHYIRALKEHVDTASDPSSIDQWVQGFEHLEEEGDQDFSVEALLLLQKSFLEKQWNLSTERTLTTATPTEKNTKKMYVTGSGKSARRRRIDAQRKTPNRSFSPIQDGGKKQLRSIISPELLQNRTRGYFKGVISDTLLTHAEVVVLSKKVKIGQHLEERKSRLKKSLGSEPSEEQLAASLRISRAELQIKQIECNLAREKLAMSNVRLVMSIAQRYANMGVEIGDLIQGGLIGLLRGIEKYDSSRGFKISTYVYWWIRQGVSKALIENSRTLRLPIHMHERLGAIRNAKAKLEEKGIIPSIEKIAESLNMSTKKVLNATEAKCKVFSLDRPAFPSLNDRPGDTFHSYMADEHPGNNPWHGVDHGALKDEVNKLMTTTLREREREIIRLYYGLDNEFLTWEDISRRMGLSRERVRQVGLVALEKLKHAARKTNLEAMLVEH >KVH87660 pep supercontig:CcrdV1:scaffold_2707:60153:67922:-1 gene:Ccrd_025054 transcript:KVH87660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIATLAIVLPDAFLPLETFKDGSSPAAAIKETHRVRRNSKSKRNSRNQVCSEIPMDIYLMKIIASLKIQAVRAVVQPMITAIASSDKEECNSIRSCRSICDEGEGANSSRNFIRVAKERKDEESVSPMEMLLVSTIEGAILDEK >KVH87661 pep supercontig:CcrdV1:scaffold_2707:4611:8868:1 gene:Ccrd_025053 transcript:KVH87661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MSIGHNSNSGRLHHRHPIAPTPVVSISADPTTLLDMLLGRLLDLLLLGKKSHSYVRSQPQLCSSSRLLWVQWMVFMLIFSCLHLRVRFSYFVAAAVALMQRKLLIQPPLISSSSSSSLSSSAQWRRSSLPIEASAKIRRKLVGXSLIGGIKETQEMIDFAAKHNITAAIVLISIDYINTAMERLAKTDVRYRFVIDVANTLK >KVH87658 pep supercontig:CcrdV1:scaffold_2708:65120:69315:1 gene:Ccrd_025056 transcript:KVH87658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 beta-sandwich MGDMGGGSDRNLGIVSQDLTDPVRSHKDLDKDAALYFRKAVQFYEELSKQMVNHGQVLDLFASALDQVGVAEMKVIIERTGGLVVLAESFGHPVFRDSFKRVFENGEEALGLSYNGTLEMNCSKDIKIQGIIGPCTSLEKKGPAVASTPIGQGNTTAWKLCGLDKDTCLTVLFDISSTDRSDHSGTLNPQLYIQTLTR >KVH87659 pep supercontig:CcrdV1:scaffold_2708:54436:55971:1 gene:Ccrd_025055 transcript:KVH87659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24, trunk domain-containing protein MSTEMANTDPEGIDGVRMTWNAWPRTKVDASKCVIPIAASISPIRPHPHIPNNPYAPLRCKTCSAVLNPFCRVDFSALIWICPFCFQRNHFPHHYSGISETNVPAELYPQYTTIEYVIPTSDIHHALPQPVYVFVLDTCMIEEELRFAKLALQQALEFLPENALVGFVSFGTQVQVHELGYSDVSKVYVFQGSKEMTKDHVLNQLGLLGGVASGRRVGGGTGQGFQKGVAHGHGGGFPNSGITRFLLPASEGAYIIHSRVEDLGTDKWPVAPGHRSLRCTGLALSVASGLLGACLPGTGGRVVALVGGPCTEGHGSQNRRMPQFAVAVTHIIT >KVI08366 pep supercontig:CcrdV1:scaffold_2709:61124:64765:1 gene:Ccrd_013262 transcript:KVI08366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MDMGFKNIVGGAKEQAMAMSNRQFYRDCSITSTMDFIFGNSAIVLQNYKIMPRKPLPNRFLTITAQGKKDPNKKSEISIQKCDITPLNNLTTPTYLGRPWKGYSTTVIMQSRIGGFLHPLGWVEWDKGVEPPSSIFDNVNQLVVMSVQITINLWKMVKVSKKPWFYFGPGGGGSSESSVGSLGKKMMNEIASLNGSSFSGETKEETHGWSEKVDDVFSTAEDGGGGEEEKGSIVFQFKGLTHSWWVI >KVI08367 pep supercontig:CcrdV1:scaffold_2709:70200:71899:-1 gene:Ccrd_013263 transcript:KVI08367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase MRFSLSRLPILVSAVIILHYTFFLKSLRALEPTILLKPEVVGPLGRQLLETAKSPGFYNWVRDIRRKIHAYPELGFQEYKTSELIRAELDNLGIEYTWPVAGTGVVATIGSGEQPFFALRADMDALPLQELVDWDHRSKNAGKMHACGHDSHVAMLLGAAKLLQARRHELKGTVKLVFQPGEEGFAGAYHMLKHSALDNVKAAFGLHVLPSYPVGVVASRPGPVLAGSGRFTATIKGIGGHAATPHLSKDPILAASMAVVVLQQIVSRETDPLESKVNISTFKVESFLSAFEVLTIGHITGGKADNVIPESVKFGGSYRSLSSKGLVNTKERIKQVVELQAAVHHCTAEFDFMEETPLPYPVMVNDEGMYEHAKTVAEIILGKPNVHLMPVTMGGEDFSFFTQKMPAIMFVIGTKNKTHEPEHSLHSPYFVIDESALPIGSVFHAAVAISYLDSHGGGGATYRDEL >KVI08365 pep supercontig:CcrdV1:scaffold_2709:49622:52201:-1 gene:Ccrd_013261 transcript:KVI08365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQSNRVVDGLIPGYGKIRKRGCSSSPSSSSKLHNYRFKRAIMVGKTRVGGGSRSSTPAPSWRMTPSSKSKLGGVESPNYDGGGGRSRPVSARKLAATLWEMNEMPSPQNLETRRRRREKETTRAREKTNRPVHTPGSLPPHLSDPSHSPVSEIEIRSRAQTPSGSTVGVKPRLKDVSNALTTSKELLKIIHRMWAHDNLPSSSMSLISALHTELERARLQVNQVIKDQRVDHTEVNYLLKCFAEEKALWKTKERRAIEAAIESIAGELEVERKLRRRSESLNKKLGQELAETKASFTKALKELESEKRAREIMEQVCDELAVDIGEDRAEAEELKRESVKVHEEVEKEREMLQLADKLREERVQMKLAEAKHQFEEKNALVDKLRSQLEAFLGNKGGGKKKGRKDEDLMTYMNRTNIGGTRKNKEYDDGEVENGVDCEEEESGGESDLHSIELNMDNNNKSFKWNHAHNSHKDSHKDSVDDELKWRNLVNGKASRRSTSSIQRNISDGNEWGIQENFVELEKQTPRRSHGDELQRYKSVKGLRDRILTNLKPQMSRDFDSVNHGSGSKSRTGETRSETLNGRRSKK >KVH95833 pep supercontig:CcrdV1:scaffold_271:202901:212565:1 gene:Ccrd_002084 transcript:KVH95833 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEQNLSMIRVSGGVVAAAMVVVVVVYAWRFFNWVWLNPKKKERCLRDQGLKGNSYKLLYGDVKEIVKMMTEAYRKPINLTDDIIPRVLSFAHKSISIHGQETTANLLVWTMILLGQYQNWQEQARDEVLKVFGKRKPDINGLSQLKIINMIFLEVLRLYPPIVTLLRMVHKETILGNLILPAKTIIQLHTMLSHYDHEIWGDDAKEFNPERFSQGLLKATKGQTSYIPFGGGPRICIGQNFAMLEAKMALSMILLHFSFELSPSYSHAPRTIIMMWHQLANVVADVYRQRQIYEVPRRFGQNPTSTAMSRNDDLLGILLDSNYKEIEQHGNTKFGLSIDDVIEECKLFYFAGQETTANLLVWTMILLGQHQNWQEQARDEVLKVFGKRKPDIDGLSQLKIINMIFLEVLRLYPPVVALSRMIHKETKLGNLILPAKTIIQMHTMLSHYDHEIWGDDVKEFNPERFSQGLVKATKGQTSYIPFGGGPRICIGQNFAMLEAKMALAMILLHFSFELSSSYSHAPRTIVTLQPQFGAHLILCKI >KVH95860 pep supercontig:CcrdV1:scaffold_271:134889:136313:-1 gene:Ccrd_002075 transcript:KVH95860 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MLNTPINCLKLLISKYGKVFRTRLMGSPSVVVHGPAANKFFMSNEFKLVVSSWPTSSVEFMRDSHQWRNKEAPIVTFVEASPPPSAIPASRLRGEITEEEVVVNAVLLVFAAHDTTSYAITMTFKMLADHPDCEGLTFEENGVYMASSS >KVH95832 pep supercontig:CcrdV1:scaffold_271:244842:253790:-1 gene:Ccrd_002087 transcript:KVH95832 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MGVSSFVGIAFAIALISLIVALYPLSYGPPASPSPSPARLFTVQDLAIYNGTDNGLPILLGILGSVFDVTKGKSHYGQGGGYNHFAGRDASRAFISGNFTGDGLTDSLVGLSSTEVKSVVEWRDFYIRTYGSPTKYLKGVEAKAARGAQLMEKQKIEEAKIPGCNSKWSQDEGSEVWCDNGYPRLVQRPLEIALTGKMSKRCACYKETELDQPGLEVYEGCDYLSKACRL >KVH95849 pep supercontig:CcrdV1:scaffold_271:274369:287898:1 gene:Ccrd_002090 transcript:KVH95849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein APISNLRTFSRKCCGAAASSSSSSINETVIKIQGGRTMPNPSTTKRRKRGGGRGQTPQQQQRQQQRLQQKLLQKQQPPRVTEESRIHIRQTLAQFQASNDEMYKFDASLTNFERAEVHKLCVKMGLKSKSQGPKEPNKRCVTVYKCMEKLKKIKEKSDLTSFTFSEKGKEVLNDYFSVYPPGDQGEGEKIMAMSSKNTDKIRTKTNDILWKPLMKKADIAKKLESLAARMESDIKLKQITEGRSKLPIASFKDVITSTIESHQVVLISGETGCGKTTQVPQYMLDYMWSKGDACKVICTQPRRISAISVAERISFERGENIGESVGYKIRLETKGGKNSSIVFCTTGVLLRVLVKAGNGRSRREATTKMFKDAFPDITHIIVVLMSATLDAERISQYFGGCPIIRVPGFTYNVKRFYLEDVLLLVKSTKACHLDCTSKTSMDENSQLTEDFRLALEEAINLAWSSDEMESLLEFFANSEGLDVLNFQHSVTGITPLMVFARKGRVGDMCMLLSFGANCHLQDNEGKTALSWAAHENQKEAAEILRKHLDSTLVDPREEQLLLDKYLHNVNPELTDVILIEQLLRKICTESEEGAILVFLPGWDDINKARDKLSSSTLFKDSSKFLILALHSMVPSIEQKKVFKRPPQGCRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNKVSTLQSSWISKASAKQREGRAGRCQSGICYHLYSKLRAASLPEFQVPEIKRTPIEELCLQVKLLDPDSKIEDFLKKTLDPPVSEAIHNAITILQDIGALSPNEDLTELGEKLGSIPVHPLTSKMLLFAISMDCLDPALTLACANDYRDPFTLPMLPGEKKKATAAKCELASLYGGHGDQFAIIAAFECWKNAKNRGQEGRFCRQYFVSPGVMNMLFGMRKQLENELYSNGFIPGNSSQLSANCQDVGIIHAVLVAGLYPLVGKLHLPKRNVRSIVIENANNNMVRLHPQSVNSRLRFKKKDAIPLVIFDEITRGDGGLNIRSCSIIGPLPLLLLATEIAVAPLDDDSVDDNDTNFEDSDEEEGNDEETGTHSGYKDRLMSSPDNVVKIVADRWLSFESTALDVAQIYCLRERLSAAIMFKITHPGKDLPELLAASIHAIANVLSYDGLAGIIMPLETVDSLTSKVQETDIGQQGKVNGDSDNFLRSLLASNDSQPQFQNQRGWLKHHPSSSAQRNHHHNQNAAAISRGPRGESLKRHRGYRA >KVH95844 pep supercontig:CcrdV1:scaffold_271:90578:90926:-1 gene:Ccrd_002066 transcript:KVH95844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSAAVRSFIRSTSVRSAAARLSSGVKTSPIRLSATKPSSHRIFRCPVELSVCLETVQPFQAVTSSALMNSMLILSRRSYGWLPE >KVH95862 pep supercontig:CcrdV1:scaffold_271:158565:160290:1 gene:Ccrd_002079 transcript:KVH95862 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSAYIFSLLPPFFFILFLIHKTCNKNTTKLPSGQMGLPWIGETIAFYRAQRKNQLFDTFFQPRINKHGKIFKTRLMGSPMVVVNGATANKFFMSNEFKLVISSWPTSSVELMGKNSIMEKQGDSHSCLRGIIASALAPAGLHAMVPRICTSIYKHLQKNWQHAEEISLHRSTKMITFTIVLQCLFGIGIEPETMFGVFENVLEGVLAAPVNFPGTKFSRAKKARAEIEKVLVNEIQKKREAMEGGRDEEDGMLLSALVGALIRGEITEQEVVDNVVLLVFAAHDTTSYAITMTFKMLANHPDCYSLLLEEHEDIARNRKPGEVLTFDDVKKMEYTWQVARETMRLCPPIFGSFRKATSDIDFEGFTIPKGWKVLWTTYGTHYDEECFPDPMSFNPSRFADPVQAYSFIPFGGGPRLCAGYQLAKLIILVFVHYVVTQYNWSLVYPDESIVMDPLPFPSKGMPIKISPKSVISSSTAL >KVH95841 pep supercontig:CcrdV1:scaffold_271:63585:64775:-1 gene:Ccrd_002063 transcript:KVH95841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MSSGSCLEQHKFHSSHHQLYHNLANNNKSIRDIDIPPRKLLGRRASAASAETFLDNMYQQQQNESPKVLPEDTLFKRFLPYNSGVDSDDEDSDPYSGDHFRIYEFKVRKCTRSRSHDWTDCPFAHPGEKARRRCPRRYNYLGTVCADFRRGSCSRGDLCEFAHGVFECWLHPSRYRTEACKDGKNCQRKICFFAHTPRQLRVLPPAEAAVSGKKYPSETCHCCAHCRCHSGIHHTNSPTSTLNIDQLDNLSPPASPPFSPARSGAGFSPISRFADRMARAESFGMTQFGNSSSIQKDSMSMNEIMSNQSVLNDLMRSMEAMTVEENDQFPNVKFNGGSGCVDGGDQYPEFDHNHLSDSGHSDLSGSFSMSSNSKQNLYNENLISGPDFGWVNDLLT >KVH95835 pep supercontig:CcrdV1:scaffold_271:163373:170199:-1 gene:Ccrd_002080 transcript:KVH95835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, BED-type predicted MRFKFLCAIATSSLSLSGRNWVYSSGSVISTFANWENISKYLSRLASKHVDMAWQQSLDSMEISDEAVLVDCSRLKSAVWKDFDRVKRGDACVAVCKHCKKKLSGSSTSGTSHLRNHLIRCRRRSNHDVSQMLTSKGKKKEGSLVPVNFTFDHDQGKADIPNLVTDSKASSSSSTLAICYDA >KVH95838 pep supercontig:CcrdV1:scaffold_271:31311:34600:-1 gene:Ccrd_002060 transcript:KVH95838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTASSLHFEFLAHIDPSSSNSNPDFRFHFPNPKLMDFQTLNRRELQALCKLNKIPANMTNIAMADALKSLETVEGIEEILKPSRSESAGSSIESPEKIDITSPRVPRTSCRTSARQKVKKTEAESVPATASRTTGRGVRRQLAGEVNELKTPMVSSIRKKAPTTSCRNVGSQLNECEEVAKNECLVEHETKCIPNTPAAVATYSRRRGTTALNQDTVKKETTVQRVYSTRRSTRLTAKKSAEPGVIERERSEPVKIDKFLDEASEASEEDLGHPDQEKSVVSGSDSMEILSESNISSENTEDKVGEGSVENGDAGVSKAKVEDICNAFEKLDVLVVDESDQNLEIKEALHVDASLESGEKKVDCYGEIDNKIEVQSVEIFEQGVNLDSEETQNDGGKLVLNEVDNSEKKDGQADNLKPDAVLVPEEHSDSFLYDKAILLSEANNVDENAISLDIENLNGSSRMKLEDTSSGVSEMDNEILESNDDVVLVDFVEQEPNKAPAEESVIEKYVTDVMPTSTIDRDSEQVNQEAEQTMDYAEEIILESVGDDSLIKEENQVPMKATDAIDHPTSIRKMTSNNNENIGSVVVAVEENKNINDQAVDEKPKSLNDTSIRQLKKQLKALSIKNNTNSDKDDKVGEVRPALQAVCENQLVTEEMEDGENVGKV >KVH95831 pep supercontig:CcrdV1:scaffold_271:236103:240933:1 gene:Ccrd_002086 transcript:KVH95831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MGSSGDKSNPQLKQAPCYSNIKTSHSGSPSVIVIGGGFAGIAAARALYDASFRVILLESRERIGGRVCTDYSFGFPIDLGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLYDHDLESYALFDTDGNQVPQELVSQVGQTFESILEETNKVREELSEDSSIQHAISIVFERNPKLRLEGLPHKVLQWYLCRMEGWFAADADTISLKCWDKPVINTLAKGLDIRVGHRVTKINRRNNGVKVTVENGKTFFADAVIVAVPLGVLKSNTIKFEPRLPEWKEEAIADLGVGIENKIVLHFERVFWPNVEFLGVVAETSYGCSYFLNLYKATGHAVLVYMPAGKLAKDIEKMSDEAAASFAFTQLKKILPDASTPNQTLIERFSSFKQIQYLVSHWGSDVNSLGSYSYDTVGKPHDLYDKLRIPVDNLFFAGEATSSDYPGSVHGAYSTGLMAAEDCRMRVLERYGELDLFQPVMGEDTLASVPLLISRI >KVH95859 pep supercontig:CcrdV1:scaffold_271:138573:148377:1 gene:Ccrd_002076 transcript:KVH95859 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAALTFISVLASFIFLILFLRRNNRRQRPNKLKLPSGEMGLPWIGETIEFYNAQRTNQLFENFFRPRIAKYGKVFKTRLMGSPTVVVHGPAANKFFMSNEFKLVVSSWPTSSVELMGKNSIMEKQGDSHRCLRGIVTSTLSHSGLQAMVPRMCTSIQKHLQKNWQNKEEISLYRSTKMLTFTIVLECLFGIGIEPETMFGVFERILEGVLSPPVNFPGTKFSRAKKARAEIEKVLVGEVRRKREAMEGGRDEEDGMLLSKLVGALIKGEITEEEVVDNIVLLVFAAHDTTSYAITMTFKMLANHPDCYSLLLKEHEKIGGKKSPGEVLTFEDVKKMEYTWQVARETMRLCPPIFGSFRKAITDIEFEGCFIPKGWKVLWTTYGTHYDEECFPDPMSFNPSRFADPVAAYSFIPFGGGPRLCAGYQLAKLNILVLIHYVVTQYN >KVH95834 pep supercontig:CcrdV1:scaffold_271:215217:216007:-1 gene:Ccrd_002085 transcript:KVH95834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLRVVHRRILPTSIASQSPSSFPIGFAVRLRLTWMKRPMRHMCDEFCNFQGFFWAQIRR >KVH95846 pep supercontig:CcrdV1:scaffold_271:97172:99332:-1 gene:Ccrd_002068 transcript:KVH95846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDEKVVKAQIWDTAGQERYRAITSAYYRGAVGALIVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKSDLRHLRAVPTDDAKAFAEKENTYFMETSALESMNVENAFTEVLTQIYHVVSKKALDIGDDPAALPKGQTINVGGKDDVSALKKVGCCST >KVH95850 pep supercontig:CcrdV1:scaffold_271:310899:312091:1 gene:Ccrd_002093 transcript:KVH95850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MRKAGRERGLATSSSLSSRVHLSSITSEHLITLHMETLMASSSSTAAILCRQRVLPVPSSSSFFYTGCCSQGMTSFIRNPLFSNHGGGRKLNHSFKYSSSSSSSSSVKLEIKCGVTEINESQFAEVVLKSDRPVLVEFIATWCGPCRLVAPAVESIAQEYEEKLLVVKIDHDSNPKLIEEYKVYGLPALIVFKDGKEIPESRREGAITKAKLKEYIDGLLKSVSIA >KVH95864 pep supercontig:CcrdV1:scaffold_271:258902:264937:1 gene:Ccrd_002088 transcript:KVH95864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQATRFIANLSSKLTSGTSASSSSSNSIYQSRSFATAPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVADAKAETKANASVIYVPPPFAAAAIMEAMDAELDLVVCITEGIPQHDMPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVYQTTAVGLGQSTCVGIGGDPFNGTNFVDCMTKFIADPQTEGIILIGEIGGTAEEDAAALIKESGTDKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIRTLREAGVTVVESPAKIGAAMLEVFKQRGLV >KVH95830 pep supercontig:CcrdV1:scaffold_271:267012:271468:1 gene:Ccrd_002089 transcript:KVH95830 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MHSIIISPYTLTPSRSSTIVPLTSLKSKTSSSFWNWNKSCSDHASLVCKASGSTSSSITDFDLYDLLGVDSSSDHLTIKQAYRTLQKKCHPDIAGVPGHDMAIILNEAYAVLSDPLSRFSYDKEQAKVADFKGYTGKPIYSVWYGSESEQRAIFVDEVKCVGCLKCALFAEKTFAIESVYGRARVIAQWADPENKIQEAIGACPVDCISMVERSKLAALEFLMSKQPRGSVRIGAGNTVAIRSITNWLYWQPPIPGMPATQTRRKLLQLPQNSTQSKSPNIDKLRDAAAARKQAQKTAKPKPSNSTEYWTPSPFILPESTNNESDTKASHTPTSKKPKGGSYNRFVVSKKIRSSNPYETGVPVGMAIVAAVVVRLQLGDAAGGIETHIGGSLLLDVVNSSWLQVILAGVTWYLVGMAAVELVAALRIKSHEE >KVH95839 pep supercontig:CcrdV1:scaffold_271:41692:46595:1 gene:Ccrd_002061 transcript:KVH95839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 4 core regulatory subunit R2 MEIQTTEASEVISTETTETSEVVSTETTETLDQSTLPLVAQQQTTEISKQSPPPITHMPPAETSLPQVTNTQTTEISEQPTQPDVTNTHATEPSDQSTLPPVTNAQTAEAETLEQSALPLLTDTKTAETIEQTVMPSEVADSSHDNLVASPENHQGLEMRQETAEEEEEVRCVLKVIAATGKFWHDWEKLRSMLSLHLKQVISEYPQAKMTKEEQKFSLGETHAELVKRLDDALHSFVDGPPFTLQRLSEIILDAQILYPNLSKLALALEKNLSVTSTLAISTDPYPPSHITTPNGLNKVTEDPNPNQNPQLQSDTVMENGAQPVVADRDEIMTEVEADVGDVMTMDMETYEKSSVDSSPMTTGDS >KVH95853 pep supercontig:CcrdV1:scaffold_271:315802:319204:1 gene:Ccrd_002094 transcript:KVH95853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKPLAIKCSSLKPQFDYLISKCKSIRQFQQIHAQIITQESFLPHETSHPQLCKLASFCAVSPHGNLLSYAKTIFDQQPNPAVQLYNSLIRGFSVSHNQPQEAIVLFLDMLRKGVEPNNMTFPFVIKACTVSSRTEFGIMVHTHVLKCGLESDLYVQSSLIKFYANAKCLGSAKRLFDVYPERDIVCWNSMIDAYVKSGEMELARVVFDQMPCKDVISWNSIINGYGIIGNLGEAKRLFDQMPERNLVSWNSMLAGYVKCGNVEDALNMFRHMPRRDVVSWNAMLACYAQNGKANETLALFDEMKLLGIRANETTVVSVLSAIGQLGALDRGSHLHLYISEQGIKINSIVGTALIDMYVKCGNIAEASYIFNSMESKDVLAWNTMIIGMGMHGHAEEAQHLFNQMQHEGVAPNDITFVAILGAFRHAGMTEEGQKLLSSMEGVYGVEPKVEHYGCVIDLLSRAGRLDEALDLTRNMPMEPNAYTWGALLGGCRIHGNANVAGEVGKRLLDLEPQHSGRYGGLSYAKRWDDARTTRKLMQANGVAKMPGLSLIELQEDVHSFMAGDHSHPE >KVH95866 pep supercontig:CcrdV1:scaffold_271:185968:197693:-1 gene:Ccrd_002083 transcript:KVH95866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MPSMATEKSDVSLAEEIKLQANEAFKANKFSQAIDLYTQAIEKNGEIAVYWANRALAHTKLEEYGSAIQDASKAVEIDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDASKKVKECEKAVMKLKFEEAISVPSSERHSVAESIDFRTLGTGTSSSYHSLQVTAAAVAVALVAILMLVVGPIVATMVASAALATFLVAKKTGWWDGWTGGFLTKSQILNIGRYVQLSCAHLGASDVEPQYAGARIEGDVITLDFVKKMMDDFKNQKCLHKRYAFQIVLQTREILIGLPSLVDINVTNGKHFTVCGDVHGQFFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMSPSAIHLSRGNHESKSMNKIYGFEGEVRSKLSDKFVELFAEVFCYLPLAHVINEKIFVVHGGLFSTDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPNPGRGPSKRGVGLSFGGDVTKRFLKDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPTMEPKIVTFSAVPHPDVKPMAYASNFLRMFS >KVH95857 pep supercontig:CcrdV1:scaffold_271:106070:110213:1 gene:Ccrd_002070 transcript:KVH95857 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MAAPTMTSFATLAPTTSSSASIKLAPKTLGFNVGFLSSQPSSKSLRARAFPSNGGSGSALGARMVSVSQISKSPKFLDFETSVFNKEKINLAGYDEYIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIIVKIGLRKGSSSFNEARAAGFSEENGTLGDIYETISGSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVVAVCPKGMGPSVRRLMLMEEPLMLRLDGRLLLVHPLHLPPHWSRSTRVIYLGSEYHKFLSSWQLLGILLGAVHGIVESLFRRYTEGGMSEDLAYKNTVECITGIISKTISTQGMKAVYESLTEEGKKEFLTAYSASYYPCMDILYECYEDVASGSEIRSVEKEGLPAFPMGKIDQTRMWKVGERVRASRPAGDLGPLYPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYVLTQQALVAVDNGTPLNQDLISNFFEDPVHEAVKVCAELRPTVDISVPADADFVRPELRQASK >KVH95828 pep supercontig:CcrdV1:scaffold_271:5970:7145:-1 gene:Ccrd_002056 transcript:KVH95828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MASNLVTSSTTALFSFLVLYLAVSFSNIAAKHRHSTTTSVHHPHHRDLDASNPRLKQAYLALQAWKLVIYSDPYNTTSDWVGPSVCNYTGIYCAPFPNDTDILTVAGIDLNHADIAGFLPDELGLLSDLSLIHLNSNRFCGVLPLSLSNLTFLFELDLSNNRFVGPFPSVLMSLPSLKFLDLRYNEFEGPLPPELFNRDLDAIFINNNRLTSVIPSNLGSTTASVVVFANNNLGGCLPPSIANFANTMEELLLINTNLSGCLPPEVGFLYKLRVLDVSSNKLVGEIPYSIAGLAQLEQLNLGHNMMSGEVPLGVCELPNLANFTFSYNYFFEEEGLCGNLTLKGIVSDDRRNCLPNKPLQRSKKECDPVLEHPVDCYEHPCGDKNTEENHT >KVH95848 pep supercontig:CcrdV1:scaffold_271:289091:298159:-1 gene:Ccrd_002091 transcript:KVH95848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MLFRLGLLVFLLLLNSVPSRSAVSSIDLGSEWIKVAVVNLKPGQAPISIAINEMSKRKSPALVAFHGDDRLIGEEAAGLVARYPDKVYSHVRDLIGKPFAHAKKFLDSLYLPFNVVEDSRGAVGIRIDDGVTVYSAEELTAMILGYAMKLAEFHSKVPVKDAVITVPPYFGQAERKGLLQAAQLAGLNALSLVNEHSGAALQYGIDKDFSNGSRHVIFYDMGSSSTYAALVYFSAYNTKEYGKTVSANQFQVKDVRWDPELGGQNLELRLVEYFADEFNKQVGNGVDVRKSPKAMAKLKKQVKRTKEILSANTAAPISVESLLDDRDFRSTITRQKFEEMCEDLWERSIIPVKELLKHSGLKADEIYAFELIGGGTRVPKLQAKLQESLGRSDVDRHLDADEATVLGASLHAANLSDGIKLNRKLGMIDGSMYGFTMDLDGPELVKDESTTQLLVPRLKKLPIKMFRSITHNKDFEVSLSYENEDLLPPGVTSRTFAKYAVSGLTDASEKGMKVADDRVFEGTVVKSSSDIAVACLGLIEFERYMLRNLSSPVKASLHFSLSRSGIISLDRADAVIEISEWVEVPKKNLTVENASAPPNLTVEADSANATEASDVPNPDDLSTNLVNSTTNDQNTADLGTEKKLKKRTFRVTLKISEKTVGSGVPLSEESLAEGIDRLDALDAKDAERRRTAELKNDLEGYIYATREKLDYLDELQKVSSSEQRQSFVEKLDEVQDWLYTDGEDASATQFQERLDLLKAIGDPIFFRYKELTARPEALQSARQYFSELKEGGKECLEEEPVVVRCGNLFTISSDHGKNRKTVVSGWESKKPWLPKDKIDQVLREAENFKKWLNDKEAEQQKENNDATLHATVASTNRIPKPKPKIEKPSVKNETEEAKGSSSSNDESRKEETVEEDAKHDEL >KVH95865 pep supercontig:CcrdV1:scaffold_271:183338:183568:-1 gene:Ccrd_002082 transcript:KVH95865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MNKIYGFEGKVKYKVSDKFVEQFAEVFCYLPLAHVINEKIFVVHGRLFSSDGVKMFAIRAIDRLNDPPDKRKICCI >KVH95861 pep supercontig:CcrdV1:scaffold_271:133851:134883:-1 gene:Ccrd_002074 transcript:KVH95861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCPPIFGSFRKAITNIEFEGCFIPKGWKPLGNGILKRVQGISEQLTGGAKAASRIEETPASGDCRRPRTPRTSKATCEMHHGTSRMMSVFTAPGSTALE >KVH95829 pep supercontig:CcrdV1:scaffold_271:8795:25284:-1 gene:Ccrd_002059 transcript:KVH95829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSAGTGEGSGSCPPSKPPMAPSSSQPSSITANYDSPALHFGQISRRRSPRLLNCSKDGKDSSVDFNKCPKQKKLRTTSPSDSFPSSPNDAPFLIGDPVSDEEARRRWPWRYECKVLSVMEVLIFSRVPDRGDDNEWALLFFLEHEGYLRSDLFRPSVTQTKKEPFKDDDDKLIANVKCHYLQAKVETHVFDLGDCACVKGEEDGHNHVGRILEFFKTNDSKDFFRIQWFFRAEDTDEAASHHKKRLFYSTLRNDNALDCIVSKVDFRSIPACDYYYDMKYNIDYSTYCTIKDDDCCLFSSHNKKEMHSNGSKTNLNGTLTSFKPHKLELSLLDMYSGCGGMSTGLCFGAKLSGVDLSTIRNESAEDFLDLIKEWDKLCKKYMVKEEKTQGNDSTFAGSADDKPSKAKKIVPEDEYEVERLVDICYSDLDGTSKRGLKFKVRWAGYGPSDDTWEPIKELSNCQEKIREFVQKGIKTNKLPRPGDVDIICGGPPCQGISGFNRHRNFESPLEDEKNYQIVVFMDIINFLRPKYILMENVVDILRFANGRLARYAISCLVRDYYQVRLGIMAAGCYGLPQFRLRQLPPFPLPTHDVVFKYGGASGFERNVVAYDEGQFRNLEKPVLLKDAISDLPLVSNSEVRDKMMYRSAPETEFQKYIRATKSDMLGIASGSSSEVEKSVLHDHRPLQLNEDDYLRGANFRELPGIVVDDDNVVSRAPEAELMPSGKHWVPDYAINLHERKSTKPFARLWWDETVSTVICTPNFRCEAVLHPEQDRVLTIRENARLQGFPDFYALCGTVNERYRQVGNAVAVPVGRALGYTLGMAVQKLCGDEPLITLPPEFAHSTTLDLLQASSLATEP >KVH95842 pep supercontig:CcrdV1:scaffold_271:74003:83602:1 gene:Ccrd_002064 transcript:KVH95842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGTKEWKFRENRNLRTAATFSIKRVLDDVNRNLDRSDGRPIIPLSHGDPSSFSCFRTTQVAEDAVVDALRSAKFNGYAPKGGALQARRSIAEYLSRNLPYKLSPDDVYVTLGAKQAIEVVLSALSRPGANILLPRPGYPVYEAHAVLNHLEVRHFDLLQEKDWEVDLNGLETLVDDKTVAMVIINPGNPCGNVFTRDHLQKIAETARRMGILVIADEAYAHLTFGSNSYVSMGVFGNLAPVLTLGTLSKRWLVPGWRLGWIARSDPDGIFEEHGVVECIKRSLITNTEAATFIQAAVPQILENTTDDFFVQTINILQEDADMCYKWLKDIPCFTCLQKPQGSLFLMVKLDFSQLQGINDDMDFCSKLAKEESVLLLPGFVLGLKNWIRVTFAIEPSALEEGLARIKAFCMRHANKQ >KVH95856 pep supercontig:CcrdV1:scaffold_271:110475:115001:-1 gene:Ccrd_002071 transcript:KVH95856 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MATSEREIFVYTAKLAEQAERYDEMVEAMKKVAKIGVELTVEERNLLSVGYKNVIGSRRASWRILSSIEQKEEARGNDQNAKRIREYRQKVESELNTICNDIMNVIDDHLIPSCGGSGESNVFYYKMKGDYYRYLAEFKSGNDKKEVADLSMKAYEAATSTAEADLPPTHPVRLGLALNFSVFYYEIMNSAERACHLAKQAFDEAISELDSLNEESYKDSTLIMQLLRDNLTLWTSDIAEEGGKFYIIFILQKIFTNRMELLKLVVSWRMQSERELVLIP >KVH95852 pep supercontig:CcrdV1:scaffold_271:323200:333891:-1 gene:Ccrd_002095 transcript:KVH95852 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MGGLEDDEPPSKRVKASTRELKGLSNGESLKEPASCSFGASMARPLASQGDDEVIGSKGVKKVEFVRIIAEALYSLGYSKTGACLEEESGIPFHSSTVSVFIQQILDGNWDGSLASLHKIGIVDESIIKSASFIILQQKFFELLDHEKLMEALKTLRTEISPLSINSNKVRELSSFILSPTYSIVDGISGQGMVKSKPRSELLEDLQKLFPPTVMIPDRRLLQLIEQALDLQREACLFHNSSVGETSLFTDHHCGKDQIPSQTVQILQDHHDEVWYLQFSQNGKYLASSSSDNSAIIWEVDLNGKVSLKHRLIGHQKPVSCLSWSPDDDQILTCGEEEVVRRWDVSSGKCLQVYEKGLVGLISCSWSPDGKCVFSGLTDKSIIMWDLDGKEMECLKGQKTIRISDLQITSDGKLIITICKETMILLLDRESRAERCIEEDQMIVSFTLSRDNKYLLVSLVNEELHLWSIQGHVRLVAKYKGHRRSRFIVRACFGGLEQAFIASGSEDSQVYIWHRGSGELIETLGGHSGAVNCISWNPANPHMLASASDDRSIRIWGLKQLHSKRKGKEKSPSNNGIHYCNGATV >KVH95854 pep supercontig:CcrdV1:scaffold_271:129933:134408:1 gene:Ccrd_002073 transcript:KVH95854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MSSKDLMEALHFACTLSFWRMTFLWTYSLIISYIQLLTRSMTQKSTYNHCAVSPLPPITSSASGRRLPICIITGATSGLGAAAALALSREGFCVVMSNIRSQSEEVQLKAFQVDLSSLSSIMRFKESLDQWLLDSDMHPSIQLLINNAGILATTSRLTTEGHDQMMGTNYIGAFSLTEVLLPLLKNSPVPSRIINLTSFTHRNVSGFRAEKETVSGKRFSKLKHYPCAEIYELAVDPGAVKTDIMREVPWCISQVALLVLGVLGLLQSPEAGVSSILDAALAPPETSGLYFFGGNGRTVDSSALSYDLKLSRELWATSCHIFQDSLSAQPA >KVH95837 pep supercontig:CcrdV1:scaffold_271:1136:2025:-1 gene:Ccrd_002055 transcript:KVH95837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MTNNTKNVISNSAPTIYPTILHGTPYEADNKPRFAVFKYKKGSDSVLVVDEEAYHNCNKTNPKQTLDDGNSVFKFTRSGPFFFISGHDDKCENGEKLIIVVLAVRNRRNIVNCDAAPPTPPPTKTPAAVAPSTMAQGTDKPTTNAPAPSTSAVASVFGGSIELILGLGLVLSIGI >KVH95863 pep supercontig:CcrdV1:scaffold_271:157398:162476:-1 gene:Ccrd_002078 transcript:KVH95863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGCGKPFGMVKPSKSMSDVAFLKEPKMGGHNRMVSRATCQILGTIAWRPAGARAEAIIPRRQLWESPCFSMMEFFPINSTEEVGHELMTNLNSFDMKNLFAVAPFTTTMGDPISLCHRFISPTNQIGQRCAFMSPCQSFNQVLPISTPGN >KVH95836 pep supercontig:CcrdV1:scaffold_271:179920:181311:-1 gene:Ccrd_002081 transcript:KVH95836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLILLLFPFLFLLLTATPHHVSTTTTAVTINHLQQDTMDPSELQTLYKIMETLSSDHKWRLSFPNPCKPTTSWTGIECKLGVTDSHLHVTRLDFGTLPNPTCKTTATFPPQIFLLPYLQSIFFFNCFTKTKTTLSVPKDRLRPPSPLQQLSLRSNPGLVGSISSQLFSHLPSLQILTLSQSKLSGVIPPEISELKSLVHLDLSYNQLTGFIPIQLCNLRKLVGLDLSYNSLTGSVPNTIGQLGMLQKLDLSSNFLTGSVPTGIQKMSSLAFIALNNNRFNGKLPVGLANLKGLEYLIMDNNPMSIQLPLELGQLPKLQELRLANSGLSGEIPATFSQLSNLTTLSLQNNRLTGSIPVGLGNLSHIYHLNLSNNWLTGEIPFDSGFLKRLGKNLDVSGNRQLCLNPLQAYDSVTLEVDVCNRSNTRVGNINSRVKPLKTSEGRVVEISQSTLFFVFFGFHLYLY >KVH95855 pep supercontig:CcrdV1:scaffold_271:120349:121564:-1 gene:Ccrd_002072 transcript:KVH95855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERSRRSQTSCLLVCGLVAALLSQNFVFLAASSSFQDEKNFYFPPIDPNVGSPPVALSPPIVPSPPIGYGPYGTPPPTRGSGGSTPPVHHTPSHGGGGHHHHGGSPPVNCGNPTPHHSPPKHVDPSPVPPTPSTGHHNPSPAPPTGGYHYYPPPSNGGSVPSSPPIVLPPTTPGIPFPSSPPFDPHSPPVIGGTCEEGTASLLNSMVNKNFPFTTSHVRDSFVAAVGSNKAATAQARVFKLANEGHMKPRV >KVH95840 pep supercontig:CcrdV1:scaffold_271:51123:53426:1 gene:Ccrd_002062 transcript:KVH95840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein METEITKASCTQKVDMKKGLVSGHDHGHGDGLFGCGGGDNDSGGSFDGNVKKKKGSHGGGGGSSSGTRCCQAEKCTADLTEAKQYHRRHRVCELHAKAQSVIVAGSPQRFCQQCSRFHELSEFDDAKRSCRRRLAGHNERRRKSSIEFKGTGKELVCKGRFEGCHL >KVH95843 pep supercontig:CcrdV1:scaffold_271:84725:90924:1 gene:Ccrd_002065 transcript:KVH95843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MDSPPPYTTGHRSNPPSQTKSASRLARTTAQEPPPVLSLDLVSYSPNSPKPQNLHDLLLLSPSPAKRSKTRLDVAEEISDQQGSRRRCKNRFANVGSPRTTRRSRRRVEQEIREDKDKYLICVDDLVVANNKVKKRRHSGRSKKDKSISIPSPKGNNDDYGYDFDQIGLMVNDLIMWNDVAKSSLWFGFGSLCFLSSCFATGISFSMLSLISQLGLVCLGVSFFSNTIAQRNGIESKRELKLKEEDVLRAARVVLPAANFAISKARDLFSGEPSMTLKVAPLLLLGSEYGHIVTFKRLFALGKTIFDSIHNVLIDGESLPFVYASFRVLYRLYRPKTLLVVLDSDTQERKPTVAATRKNEHGIHQGRRTDNRMGEVLTPEESLAAALRTEVDRINDLTAAEPAAIDVY >KVH95847 pep supercontig:CcrdV1:scaffold_271:97410:99173:1 gene:Ccrd_002069 transcript:KVH95847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLTKNAIHLSGTAPDFLQCRYVILATNVNRLSLWQSSWIISDIECFFADNVIDLSKDFCEGIFNIHGFKCRCFHKVSVLFFSKRLCIVAVSQIWAFTTFSSTRMLRVANSTPMVDLDSRLNSFRVNLDNRFDFPTPESPMRTTLNK >KVH95851 pep supercontig:CcrdV1:scaffold_271:302383:303171:-1 gene:Ccrd_002092 transcript:KVH95851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MSTSEGAANALPNAGIIDTQRHQPPGNGVLSVKKPPAKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVAVRNSNANSSLSAALDQKSTSQHHQHLISPTPFILGKRLRSEEDGLDGGGKEDNMSSATVVSAAAGGGFWALPARTDFGQVWSFAAAPPEMVVSSPSAMASQQGRYIHNHNQQPMGEASAARVGNYLPITQGHLNLLASLSGPPPQSSGGKDDDTR >KVH95845 pep supercontig:CcrdV1:scaffold_271:91368:93661:-1 gene:Ccrd_002067 transcript:KVH95845 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MMNPKVIMVPYPAHGHVTPMLNLAFALTCHGLSPVVVMPDFIHRTMAHKTHITCISIPDGLDEETPRDFFSIEFAMENNMAVHLERLVREVDGGVAFMIVDLLASWALKVGDDCGVPVVGYWPVMFAAYQLIAAIPEMLSLGIISETGIPQNQGPIYLEYEQPPSSTQDLPWLIGNLASKKSRFRFWTRMLARTRSLSQILVNSFPKEYLVDLNSQNQSHKYSNITPIGPLTRHTIYRNHDFSKEDMHCLDWLDQQRERSVVYICFGTWVSPIGKTKVRNLAMALESSIHPFIWVLNPKWREGLPKGYIERVSNRGRVVSWAPQNEVLQHKAVGCYLTHCGWNSTIEAIQNKVKLLCYPIAGDQFVNCDYIVKIWQIGIKMDAFAEEDVHRSLVKVMEDIEMEKRLVKMNKRIFGMETSLMVTSNLTNFVNHHKKVNYDQTLRKES >KVH95858 pep supercontig:CcrdV1:scaffold_271:152734:157640:1 gene:Ccrd_002077 transcript:KVH95858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MGGEGLAWYCAMNASNCAVNAFRANSHLVWATGFMFLISWRGYWQDLIETLAWAHERTPLANLIRWRDKPVALSIVQARLKRSTFSGCSN >KVI03244 pep supercontig:CcrdV1:scaffold_2712:20144:22072:-1 gene:Ccrd_018460 transcript:KVI03244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTPTSIPLPVMSVASLASVVSASLTRSAQTLIATIIRIGKLKQITRNFLCIMIGGVQIDFVMRWKFIIMTNH >KVI03245 pep supercontig:CcrdV1:scaffold_2712:18892:19394:-1 gene:Ccrd_018459 transcript:KVI03245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MARMVMRALCVVVACIVVVASYAEAITCGQVTSSLLPCIGYLTKGGRVPPACCNGVVGLNKAAKTTADRKTTCGCIKGIFAANSGISPSNSASLPAKCGVNIPYKITPAIDCSKVH >KVI08364 pep supercontig:CcrdV1:scaffold_2713:63782:72632:-1 gene:Ccrd_013266 transcript:KVI08364 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory complex, non-ATPase subcomplex, Rpn2/Psmd1 subunit MAATMVSSAGGLLAMLNEPHPSLKLHALSNLNAFVDYFWPEISTSVPIIESLYEDEEFDQRQLAALLVSKVFYYLGELNDSLSYALGAGSLFDVSEDSDYVHTLLGKDSWMDARLTFVMITLKFNCVLYGIRVDYLSYQPRMVLVQYFLHNFVEYGLTNSKAIDEYATLRTKAAESNEAADLDPRLEAIVERMLDKCIMDGKYQQAIGMAIECRRLDKLKEAITNSDNVHATLSYCMNVSHAFVNRREYRCEVLRLLVKVYQDLASPDYLNICQCLMFLDEPQGVSTILEKLLRSKNIDDAMLAFQIAFDLVENEHQAFLLSVRNGLSSPKLPAPPVTTVTETDSAQSGNPSMSEDTQITAETQAPNATVPEIDPGELTYAEELTKLREILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLGRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQSGSGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRNTNVEVIQHGACLGLGLAALGTADEDVYDEIKSVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLVYAHETQHEKIIRGLALGIALTVYGREEQADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTCLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQTSEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSKTKHDKITAVIGLAVFSQFWYWYPLIYFISLAFSPTALIGLNYDLKLSGTDSAAASSSGKGKTSVEKDGDSMQVDSPTSEKEKKAEPEPAFEILTNPARVVPAQEKYIKFLEDSRYVPVKAAASGFILVKDLHPTEPELLSLTDAPSSTTGGAPATTQPGSTTGMAVDDEPQPPQPFEYST >KVI08363 pep supercontig:CcrdV1:scaffold_2713:57337:58834:-1 gene:Ccrd_013265 transcript:KVI08363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MLFYSEFQLLQGGFLEFNGDHEHLHQHHQHQQQFMVKNTYENNGNQMVDYLINNPIQHLPSGAGFSSPNSFDKLSFADVMQFADHGPKLALNQNKTSCHDHHDQEDQETGIDPIYFLKFPVLNERLQEDHHHHHQSLLPPLGDDEHVVTEGGERSREEEARVSEGNNMSVRLQFIGDQDVHKTLVSEGKNKRKRPRTIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRKLYGDNTPRVIGDSSSLPILQQGPAAAVFYPPPPDDEIKLVEYDGGLKEETAESKSCLADVEVRLLGFDAMIKILCRRRPGQLIKTIAALEDLQLNILHTNITTIEQTVLYSFNVKVTSEVRFSAEDIANSVQQIISFVHENTGT >KVI08362 pep supercontig:CcrdV1:scaffold_2713:28274:30769:1 gene:Ccrd_013264 transcript:KVI08362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MHLHHFCFVLPSDGDDIHPFDHPHSKSPPPPKRRSCGIHLHEVLCRTIHRFFDFKRDIGFCSFCVKPPPTIFHDTEGVQFSKEVTVGAHSPKTFTYSELYIATKGFSQDEILGSGGFGRVFRAVLPTDGTMVAVKCLAETGERFEKSFAAELVAVAHLRHRNLVPLRGWCVHNDQLLIVYDYMPNRSLDRVLFRRVEKNKTAVAPLLSWDRRVKIVKGLAAALFYLHEQLEAQIIHRDVKTSNVMLDSHFNARLGDFGLARWLEHELGYQTRTPSMNHHPCRLVDTTRIGGTIGYLPPESFQKKGVTTAKSDVFSFGIVLLEIASGRRSVDLTLPDDQIILVDRIRRLADEKMVLQAADSRLPDGSYHLHDMEHLIHIGLLCTLHDPHSRPNMRWIIEALSGDSNRLSEVDFGTAYYGVLDNHDIIVKRLGMKTCPALRVRFAKELSNLGRLRHRNLIQLRGWCTEQGEMLVVYDYSANRLLGQLLSHHSHRRSQVLQWNHRYNIVKSLACAILYLHDEWEEQVIHRNITSSAIYIDPDMNPRLGSFALAEFLTRNEHGHHIEVDKKVCVRGIFGYMAPEYMELGKATPMADVYSFGVVVLEVVSGRMAVDFRRPEVLLVKRVHVFEAQKGNYEELVDPRLDGEYNRKELVRLVKLAMACTRSNPDLRPTMRNVVSIVEGHDRCFTEEGQKEGIDEWKARNALSLSLIRRIQALGIQ >KVH93649 pep supercontig:CcrdV1:scaffold_2716:19316:21310:-1 gene:Ccrd_004299 transcript:KVH93649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MDIMRVEAFLRVCATLLFITTACLVRLDTQTSLIFTSFSRTATFRDMNALLSTLFTFETRYDDFIQASCLALFLIRSATSAALTASLLALTGEHDLFWMKLCNKFNRFCTQIGGAMLCGYTAFIFMVMVSSLSAFGLFRHYSPRSFLDLK >KVH93648 pep supercontig:CcrdV1:scaffold_2716:22448:22856:-1 gene:Ccrd_004300 transcript:KVH93648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILGPITETDIHKLQEAPRSRYWKAFNIWKKEQQRLDVETEERKKKIRKFKESTWKCVYYLSVEILVLVVTHDEPWFTNTMNFWTGLGSQQWPDQKAK >KVH93650 pep supercontig:CcrdV1:scaffold_2716:16955:17212:-1 gene:Ccrd_004298 transcript:KVH93650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIADLIRTCIRYVLEVVMEVISGIVGLVFDLVKEGVFGSVSATGAAAVGVVEKMKSWFDGLTEEIPAVVEGVVEMVTTVVSDLW >KVH97524 pep supercontig:CcrdV1:scaffold_2717:10487:11234:1 gene:Ccrd_000368 transcript:KVH97524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MNQSDVEIDRARPSYKTTFSTQRERTGKLTIKDLVGFFHIPIEVAAKKIQVCPTVIKKICLDAEVRGRAQSEIDTFRQEIANIYARFNG >KVH97522 pep supercontig:CcrdV1:scaffold_2717:71489:73106:1 gene:Ccrd_000371 transcript:KVH97522 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MYEEREFPSPFLDDISTTAILQNHHPHHLNTDGWKTATGSPTNKPPTSPSKTKRVRKKRSAGKKDRHSKIHTAQGLRDRRMRLSLHIARKFFGLQDMLGFDKASKTIEWLFCKSKKAIEEVTEGVKSQNTNQSVSRENIERCESPLSDCEVDSGMEFNAASSKGKQLKLQDEIKDSGNSRKPTESDLLARESRDKARARARERTRERMMINQLEKSKQVFGANPNDDFEQLQLGFSANPNNHYIEESSSSPLEYPGRHHFFEQTQLDNIGQKTEDYLGTTAASSSSYFSAYGYNKNFANPPAGWLNSSNTFLGFLGGWDSETFAENYGLLPPSTGDLHGNLSSVVIPPSNFLHFETQNQRD >KVH97523 pep supercontig:CcrdV1:scaffold_2717:15124:26679:1 gene:Ccrd_000369 transcript:KVH97523 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC-rich sequence DNA-binding factor MSSRVRNFRRRAEEDDNDDEEKEKMATPATTKKYQSKPATTTLKPKKPSLLSFADDESTDTTTPVPRNRPSNPNKQPSSSRLSKPSSLSSLSSHKLISAKDRNSAKERTSSASSLPSNVQPQAGVYTKEALLELQKNTKTLGSSTSRPRPPPSEPIIVLKGMVKPVIDDSSRNTKEEDEESGRDETMNRLGSIGLGVKVGKGGNLDGGVIPDQAMIDAIRAKRERLRQSRAAAPDYISLDGGSNHGEAEGLSDEEPEFQGRIALIGERSQGKKGVFEDVLVDVSKTTVRKESEVIASDDGVEDEEDEEDKMWEEEQFRKGLGKRMDEGVVVRGASSSNVPTTVQNVQQKVVYPTVPVSTYPSINGGPTIGGSLGWSLGSDTMSISQQDALSKKALDESVRRLKVCIYVSEAKLHLELHIIRLGGKFGATEDCGPSSSETYGRTLTSLTKTDENLSDSLMKVTALENALTAAGEKFIFMQKLRDYVSVICDFLQKLFLYIVLMSLLFSTDVWSISYNAKADMIFFFQIQDKAPFIEELEYQMQKLHKVRAEANLERRAADGDDELVEIEASVNAAMAVFNKGGSTSSMVEAASSAAQAALTALRESRNLPVKLDELGRDVNLQKRLDMKRRAESRQRRKAQSESKRMSSMETDSLHRTVEGESSTDESDGESTAYESNRDQLLQIAGQIFSDAAEEFSQLSAVKEKFEMWKKDYSSSYKDAYMSLSIPAIFSPYVRLELLKWDPLHEDSDFIDMQWHELLFNYGLPEDESQINSDDADVNLVPDLVEKVAIPILQHEIAHCWDMLSTKETKCAVAATNLVFRYVPHSSKSVPTWNTLVLKAVPNAARFAAYRFGMSVRLMRNICLWNNVLSTSILEKLALDELLSGKILPHLRSIQSNIHDAITRTERIVASMSGMWTGPGVTGERSLRLQPMVDYLLVLGRILEKRQSSSGTNGLARRLKKMLVELNEYDHARQVSRTFNLKEAL >KVH97521 pep supercontig:CcrdV1:scaffold_2717:38222:40741:-1 gene:Ccrd_000370 transcript:KVH97521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MCAKREEEIVIEEGDHHHHQNIQRFQEQQLILQEIQQQSMGINDYSYSNTRLMFPSSSSSSEISPISNHHQQSSSWSTLPQVFHQNSNFNPLLQYPTRDHDPFLFPPPPPPPPPMSCYGGLFNRRVPGGLQFAYEGGTSSSDHHLRLISEMVQPGSGPFGLQTEMGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSIIAEQSPVPTEIDELTVDNASDQDGKLVIRASLCCEDRSDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFITAEDHNLNGNDDQQMVNYSINTIQEALKQVMEKTNGDDSSSGSVKRQRTNNINILEHHRSL >KVI08183 pep supercontig:CcrdV1:scaffold_2718:45177:56735:-1 gene:Ccrd_013448 transcript:KVI08183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MDSSTDDIVKEIPGVIRVYKNGRVQKLLATNVVPAGLDPSSGVQSKDVLFSSDKTLSARLYIPKSTNAVTRKLPLLIYYHGGAFIVATAASRIYHNFLNLIAAESNVVIVSVDYRTAPEHPVPTCFQDSWEAIKWVEQHVNQNGPEQWLNKYSDLGRVFFCGDSTGANIAHHMGIRIGTENRSGGIYLQGIILLHPYFWGKDRVGSEPRQHPLMRVIRDLWLFAHPDTIGLDDPLINPALDPKVADLGCSRVLVCVGEKDILKDRGWYYKMILRKNGWRGDIEILEDKGEVHTFFLFKPCSQNACNLRNRIQINHSKVQDLPSGF >KVI08184 pep supercontig:CcrdV1:scaffold_2718:19545:23723:-1 gene:Ccrd_013447 transcript:KVI08184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MDSSTDDIVKEIPGVIRVYKNGRVQKLLATDVVPAGLDPSSGVQSKDVLLSSDKTLSARLYIPKSTNVVTRKLPLLIYYHGGAFIVATVASRIYHNFLNLIAAESNVVIVSVDYRTAPEHPVPTCFQDSWEAIKWVEQHVNQNGPEQWLNKYSDLGRVFFGGDSAVKQHCAVKQHCAVASLALYSKKKENSK >KVI02775 pep supercontig:CcrdV1:scaffold_2719:59893:60351:-1 gene:Ccrd_018935 transcript:KVI02775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSIQALALFMIIISHLLTALPSINGDINLEETNTMPDSSIKCGSCPCINPCGQQMLPPPPPLPSPSPPPPPPPLPSPPPPQPPNNPITYCPPAVVVVKPPPPPPRFIYVTNPPASVYHPFTLRVYSGGGGSRFGGWTVVVGCVVLKWLLL >KVI02774 pep supercontig:CcrdV1:scaffold_2719:17160:18623:1 gene:Ccrd_018933 transcript:KVI02774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSGLVHQSYTFYGSTAAINGEFEESTESPEKKGHFAGSSSNSSSLSSPGSATNSGGFLYRTTTNPHQQQQQPEEGHSVISFKPGYYDNNFMQGGSKGSCFLSFEEINDEQPYSSTLSPDQLMNLGSGSFDSFRLLENMNCIQSGGTTTIKENSHNEELESFSWPNPSSNDHLATQDHQSSFHKRTHSGESEQAFKKQCNANSIATKKTKQKSTQPKDPQSIAAKNRRERISERLKVLQDLVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKPPELSQVKDAIDAILSSSQRDRSSSSK >KVI02777 pep supercontig:CcrdV1:scaffold_2719:64929:65474:-1 gene:Ccrd_018936 transcript:KVI02777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNSFTGGGGGGCGRKKKAKVMKIDGEIFKLKTPIQVFEVIKDYAGYVVLESKAVKRYGIRANPLDPEEFLEAGKIYFLVELPKPPVEKKVVPVTRRVQSGVKLTAKERLDCLMMSRRSASEVAFGGGGLGGSGSVRVKVRLPKAEVDKLIGESRDEVEVAERIVDLYVQKKAGGRRLGRI >KVI02776 pep supercontig:CcrdV1:scaffold_2719:51967:55139:1 gene:Ccrd_018934 transcript:KVI02776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDSFYPCHLTTSATALSLSHTLLSLIQGDPSSKIEAATEIRRLTKTSHRWRRQFSAAINPLVSMLRFQSPEANEAALLALLNLAVKDESNKISIVDAGALEPIVGFLQSANLNMQEHAVAALVTLSASPVKKSAIGASGSIPFLVKFLTHGSPQAKMDAVVALSNLSTEADNLSSILQSHPIPSLVNILKTSKKSSKISERCISLLESLIGFEEGRSALTSEEGGVLAVVEVLESGSPQSREHAVGTLLTMCQIDRCRYREPILKEGVIPGLLELTVQGTPKSRTKAHTLLRLLRESPYPRTELEADTLENILTTIISQIEGEEHSGNAKQMLAEMVQVSMEQSLRHLQQRALVCTPSDLSITTVCGSKVSLK >KVI08338 pep supercontig:CcrdV1:scaffold_272:175240:175680:-1 gene:Ccrd_013291 transcript:KVI08338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MPTVKKKLIQTTTAVTLGCGSSCRTMNLSKIFHRKPNKHKRNRYYSDVHHHDSTLTTATLSPTPVKSSKAVQGFGWIGGNSLAVEKDSSDPYVDFKESMLQMIMEKEIYGKDDLRELLNCFLQLNSPYYHGIIVRAFTEIWSSLPS >KVI08343 pep supercontig:CcrdV1:scaffold_272:294848:302190:1 gene:Ccrd_013298 transcript:KVI08343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MLLDEDGNLKVSDFGFSAISEQIRGYGLFHTFCGTPAYVAPEVLGRRRYEALIFGPVGHYNLRNSVTSTDSDDLETPILYIPKLTFTIPHPRSKATKPKKPTKYFINQ >KVI08333 pep supercontig:CcrdV1:scaffold_272:75:1773:-1 gene:Ccrd_013285 transcript:KVI08333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase MGFSLSRLPFLVSAVIIFHYTFFLKSLRALEPTILLKPEVVGPLGRQLLETAKSPGFYNWVRDIRRKIHAYPELGFQEYKTSELIRAELDNLGIEYTWPVAGTGVVATIGSGEQPFFALRADMDALPLQELVDWDHRSKNAGKMHACGHDSHVAMLLGAAKLLQARRHELKGTVKLVFQPGEEGFAGAYHMLKHSALDNVKAAFGLHVLPSYPVGVVASRPGPVLAGSGRFTATIKGIGGHAATPHLSKDPILAASMAVVVLQQIVSRETDPLESKVNISTFKVESFLSAFEVLTIGHITGGKADNVIPESVKFGGSYRSLSSKGLVNTKERIKQVVELQAAVHHCTAEFDFMEETPLPYPVMVNDEGMYEHAKTVAEIILGKPNVHLMPVTMGGEDFSFFTQKMPAIMFVIGTKNKTHEPEHSLHSPYFVIDESALPIGSVFHAAVAISYLDSHGGGGATYRDEL >KVI08342 pep supercontig:CcrdV1:scaffold_272:307074:307790:-1 gene:Ccrd_013299 transcript:KVI08342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSAPDQPHLNGAYYGPSIPPPTKKSKSYHRPGRGGGSSCNPFSWCCSCLCGCVFNLVFQILITILVLLGIAVLVFWLIFRPNAPKFHVNDAVLTQFNLSPDNNTLYYNLAVNMTFRNPNRRIGIYYDKIQANAEYHDRRFATRDLETFYLGHKKEKDVGTVFSGSQVVVLGDDRSRYDSERSDGVYYIDLKLRLRIRLKVGWAKPKFKPRFECDLRIPMGSGGRAQGGFQRTKCDVDW >KVI08344 pep supercontig:CcrdV1:scaffold_272:322966:323193:1 gene:Ccrd_013300 transcript:KVI08344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCLSGNHVTMPEPIRWGDNDPLLSHGIDYAMGSVNHALVTWSWFINLTWVSVFLGIKNAEVRAYRTDPVQVRS >KVI08329 pep supercontig:CcrdV1:scaffold_272:79605:93559:1 gene:Ccrd_013288 transcript:KVI08329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MALGSGGSSVVVPRNFRLLEELERGEKGIGDGTSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEAKKFGILANWQREYMMEDILIQLKKEMAAPHNRKLVQPPEGTFF >KVI08340 pep supercontig:CcrdV1:scaffold_272:216790:219101:1 gene:Ccrd_013293 transcript:KVI08340 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein PPLSLLYIYIYLYIYIYIYINPIWQIYIHNHQSFFFSLEFNFPTFNFTFTTTNNPSRSEFGIPFWRAKGKMLGELITSSLVLILGYAYPAFECFKTIEKHGVGNAELRFWCQYWVIVAILTVFERVGDIFISWVPMYGELKLALIIYLWYPKTKGTGFVYDAMLRPFIVRHETDIEKSLKAFRDRAWDVAIYYWQNSTELGQTKFFEIFHYLISKSSSSKQSSQVLQNHQSSGGGRPPPPLTTPTPNSSGSEQGDERWAPTAPWVYADEPHIPSSPSRHGSSSAHKEPVWLRFRRSRGL >KVI08334 pep supercontig:CcrdV1:scaffold_272:227433:228500:-1 gene:Ccrd_013295 transcript:KVI08334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MGHLNTLIAPGVLSRLHLHHLQLLHLSDDSLSIRRSIATTRHHFRSLFPTKCDVNLRHRKTMQEALQIPSTPTAQLHLLTPPSPTTVHFRPPSSASKTSISPKLSPPPSFRSTEELQEKLIYLDSFEIDLIPLLTTHPPLLSTTLSHLKSTVSFLSTTILLPQPAVRRLIYICPQVLTLPLTSIVSTITFLLREAHVHTDDLHQVIHRRPRLLTSDVKTRLRPTLYFLQGTIGISGVNKHTHLLTCSVEDKFLSRIEYFHEIMGISYKDTILMFRRFPSLFCYSIKDNIEPKFKYLAVEMGRELREMVEFPQYFSFSLEKRIDPRHRRCVERGVCLPLPMMLRSSERRFRDKMEV >KVI08331 pep supercontig:CcrdV1:scaffold_272:37534:37983:1 gene:Ccrd_013286 transcript:KVI08331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17e MGRVRTKTVKKSSRLVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDRIEVDKETIDLLASLGMSELPGIVLKEDTPLISTSIPGAFGGGRGGYGGGAGRRY >KVI08337 pep supercontig:CcrdV1:scaffold_272:244111:245478:1 gene:Ccrd_013296 transcript:KVI08337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MASSSLYLLFILSLLSSHSSSSQTTLTLSPTPISIAPSPQSNHHHPWLQTLNYLASSSLARAHHLKHPKDNSSQIPLFPQSYGGYSVSLSFGSPPQKLSFVMDTGSSLVWFPCTHRYQCSDCQFSNVNPNNISRFIPKLSSSSKIIGCSNKKCGWVFGSSNPIQCNGKEICPAYMLQYGSGSTAGLMLSETLDFPEGDVTDFAVGCSILSTRQPSGIAGFGRGPASLPVQMGLKRFSYCLVSHRFDDAPVSSELVLVRDSSNSGGSDAGISYTKFHKNPVSSRSSFQDYYYVNLRKITVGGKTVKIPYGFLVPGSDGNGGTIIDSGTTFTFMDNHVYDLVAKEFENQMSKYKRSGEMESKSGLRPCFDIGDKPVKIPALMFHFKGGAKLSLPLADYFSFMGESDVVCMTIVSSESIGSDTRIGPSIILGNYQQQNIYLEYDLEKGRLGFKNQICK >KVI08336 pep supercontig:CcrdV1:scaffold_272:294511:294747:1 gene:Ccrd_013297 transcript:KVI08336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MFGKPNSQFQFVNLQPLNNVTAMAANSPTTTTRKESQFLILGRYEIEKLLGHGTFSKVYLARNVKTNESVAIKVIDKE >KVI08339 pep supercontig:CcrdV1:scaffold_272:133908:134702:1 gene:Ccrd_013290 transcript:KVI08339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MVRKLPFFPTCGSPSRTLSFRAAISDDLHDMAAISGEEEDQLSSIESVIRGLSSDRFFFKPEKTSSILEEAKPKEKEKEEEKGDGVLPLKESSVSMMEIESSDPFIDFKKSMQEMVEADEGLKEWENLQELLSWYLAVNEKINHGYIIGAFVDLVLVNLGSSSSSSSSCCSSSSMNGQDQSSLIMGSGSNNKNMISSESPESALSFTSSSASSSGTCSSTSHCLSSCSLEEEDDDDDDHGDDEDHSSAQKAVVLSDDASSSKAD >KVI08332 pep supercontig:CcrdV1:scaffold_272:49189:50243:1 gene:Ccrd_013287 transcript:KVI08332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEPLNQQTHLTTGGAVPDHPLNLSLSLSLSLSLSCSSRGVSNFKMLCFGNSTVDLSHQRGTGRIDLEKMSRRNGRGGGGGGGGRKLDLKLNLSPPIAHRRMEESPSRSATMSPTSSCVSSENIQDESSELRYASSPEATSMMLVGCPRCLMYVMLAEEYPKCPKCKSTVLLDVVHDISTKKTRKT >KVI08330 pep supercontig:CcrdV1:scaffold_272:113891:114724:1 gene:Ccrd_013289 transcript:KVI08330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MSIKDLPPPLIVIRSVFAYNLEPEFRLIASIIDDYPFVSMDTEFPGVVVRPNAGYDYFRNQNASDHYVLLKSNVDALKLIQVGLTLTDADGNLPDLDETDNNTRYIWEFNFNDFDPSRDQHASDSIELLKRQGIDFEKNREYGINSVKFSELMMSSGMVCNERVSWVTFHSAYDFGYLVKILTGRFLPDDLTRFLYLLRTFFGDNVYDVKHLMRYCESLYGGLDRVAKTLEVDRAVGKCHQAGSDSLLTWHAFQKIKALCRGGPEKYAGVLYGLEVF >KVI08341 pep supercontig:CcrdV1:scaffold_272:202384:211817:1 gene:Ccrd_013292 transcript:KVI08341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETKRFKNQSSLSQGKTTSKLPTIVIYVTLGGGRRRCRRWSAAMQEVVDGLCYVTGEGSGGARSAGEILECYKNLLCRYLASKLLLPPPLQRLGWSSMKM >KVI08335 pep supercontig:CcrdV1:scaffold_272:218563:219027:-1 gene:Ccrd_013294 transcript:KVI08335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAKSPIPTLRRRNSIEASSALMVHTKDHHPSSTSTTTTTSNSSADFELLSLKPASYTSLRDILPSTPAVVQSPKVSSSAVRSGYEIPIRNRLVKQAAWAYLRPMSTSPDSGGSTIFHRLWNRFSDACLRLVTQIFDCLLQSTIQLRTTTSRL >KVI08059 pep supercontig:CcrdV1:scaffold_2720:10795:13316:1 gene:Ccrd_013573 transcript:KVI08059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNCCSQVQPQDADQPEAQKLAVAERPDKENTPTETPKPATTAAAAAAASTAPASKNGKQSPIGTVLGRPMEDVRTLYTIGKELGRGQFGVTHLCTCKKTGKQFACKTIAKRKLANKEDVEDVRREVQIMHHLAGHPNIVELKGAFEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKDENSPLKATDFGLSVFYKQGEVFKDIVGSAYYIAPEVLKRKYGPEVDIWSIGVMLYILLSGVPPFWAESEHGIFNAILRGHIDFTSDPWPSISHHAKDLVKKMLTSDPKHRLTAHQVLSHPWIVEDGEAPDTPLDNAVLGRLKQFRAMNKFKKVALRVIAGCLSEEEIMGLKQMFKGMDSDNNGTITLEELKLGLSKQGTRLSESEVKQLMEAADADGNGTIDYEEFITATMHMNRMDKEDHLYTAFQYFDKDNSGYITIEELEQALREYGINNEKDIKEIVSDVDTDHDGRINYDEFVAMMRSKGSAATMNPRKLRESFVAK >KVI08058 pep supercontig:CcrdV1:scaffold_2720:66843:67257:1 gene:Ccrd_013574 transcript:KVI08058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MHDQKCKTKVLKAVTKLTGINEVSVDLAKQMVVVIGDVDPMLVLPWSMDILNHMEVEVASFSKMKYEVPLIDYFSMENIKKVVCIPGLISIV >KVH87657 pep supercontig:CcrdV1:scaffold_2727:18384:33282:1 gene:Ccrd_025057 transcript:KVH87657 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-1, 2,4 complex subunit beta MAPPAQSQRSPSPSQPSGKGEVSDLKMQLRQLAGSRAPGTDDSKRDLFKKVISYMTIGIDVSSVFSEMVMCSATSDIVLKKMCYLYVGNYAKYNPDLALLTINFLQRDCKDEDPMIRGLALRSLCSLRVPNLVEYLVGPLGAGLKDGNSYVRMVAAVGVLKLYHISASTCVDADFPALLKHLMLNDPDSQVVANCLSALQEIWGLEASSSEEAVRGREALISKQIIYYLLNRIKEFSEWAQCTVLELVSKYAPPDSNEIFDIMNLLEDRLQHANGAVVLATIKLFLQLTMSMTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHLLVIRAPMLFASDYKHFYCQYNEPFYVKKLKLEMLTAYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAETLVLVKDLLRKYPQWSHDCIAVIGNISSNNVQEPKAKAALIWMLGEYAQDMQDAPYALEGLIEDWEDENSPEVRLHLLTAVMKCFFRRPPETQKALGAALAAGLADMHQDVHDRALFYYRLLQHSVSVANSVVNPTKQAVSVFADIQSSEIKDRIFDEFNSLSVLYQKPSYMFTDKEHQGPFAFSEELGNLSIGSEPADDILPAQRVEANDEDLLLTTTEKEESRAHGNNDSAYNAPAYDSSLSQLAVSQTEGELVSLDQTVPADAPQASSAIDDLFGLGLSIAPSPAPSTPLKLNSKAVLDPSTFQQKWRQLPVSISQEDNSSE >KVI04548 pep supercontig:CcrdV1:scaffold_2728:3967:54671:1 gene:Ccrd_017134 transcript:KVI04548 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWS-like protein MKGLIGTAKELTKQTLESQRQSFCNSKPYSFLSDKSPKSAKSLSPIPTVTLEKNHANLQYQTLEQVSEVGVFLLVAEMEIEFNRIHKREKADRQAQRPYFGKRADHNLVRRRKIKQKEEDIVVCECKFDFSKADLACGDRCLNVLTSTECTPGYCPCGSYCKNQRFQKSEYAKTKLFKTEGRGWGLLADQNIKAGQFIIEYCGEVISSDEAKERSQTYEAQGLRDAYIISLNASYFIDATKKGSLARFINHSCQPNCETRKWTVLGETRVGIFATQDISIGTELAYDYNFEWYGGVNVRCLCGAPNCSIFLGAKSQGFQEHNHVWEDGDDRYTVDEFPLYDSAEDEPSDKLNKVTDSAIQETSITVKTEYAGLNNDSFGYKYKTCFSGMVGGGAVGNFKCEADGEKEGGQHPVVASGLRSNNAFGDFNNGSGTGSTKRPAKRSPNRKQKLSSRKQINGKRVAQLFASKKVQDELIKCEEVRSEATAKLDSVYDEIRPAIEERERDSLDSLPTSVAEKWIEASCSKLRADLDLYFTVVKNVVCPPPITTEPNPSGPGDTENAVDCTKN >KVH96017 pep supercontig:CcrdV1:scaffold_273:10675:11006:-1 gene:Ccrd_001900 transcript:KVH96017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKSPLTTNHQPSSGWVLSGRSEQHVTPTRHFFSWAAVSRLRDRFAPCPRIWLASDPSSQTRQQASVPLFASSSPST >KVH96023 pep supercontig:CcrdV1:scaffold_273:67928:69817:1 gene:Ccrd_001895 transcript:KVH96023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MDRHRHPIILTLIFTFSVISVVQSTSFKIVNRCRHTVWPGILTGAGRTVLNPTGFTLKPGKSRTLRVPVAWSGRLWGRTDCQIDSTGKLACVTADCGSGRVECEGRGAEPPATLAEFTLNGDQGLDFYDVSLVDGYNLPMLVIPRDGTSGGCSSTGCLVDLNGGCPTALRVARSSRSGSVVACKSACEAFGDPRYCCSEGYNTPETCPPTEYSEYFKHVCPRSYSYAYDDKTSTFTCAGADYVIIFCPSPYTSEKLLEARKEVADLPLVNKTMMYIGRHHGGGVSSG >KVH96032 pep supercontig:CcrdV1:scaffold_273:168351:174242:-1 gene:Ccrd_001887 transcript:KVH96032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MGMKSRSRFILLLIAITLISVSSDISDDEYTPGPVTYNARSLVVGKNPLLIFSGSIHYPRSTPDMWPDILKKAKDGGLNTVETYVHMKKYTEVIVNMMKNERLFAPQGGPIILAQIENEYNHVQESYREKGIQYVKWAADMATELYPEIPWIMCKQKDAPPNVISTCNGRHCADTFSGPNGPDKPALWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFMNNGSMTNYYMYHGGTNFGRTSSSFVTTRYYDEAPLDEFGLIREPKWSHLRDLHTTLRLIKKPFILGNRNVQNIDNYIQIATYEMAGSNVCAAFLSNRHKKIHRTIEFRGKSYFLPRRSIVAQHNSRTFIPSRKANRFKWESYREIVPTSRDLPVHSKSPMELTSMTKDTTDYLWYSTSLHLDNDDLPMRSDILPVIQIQSLGDALLAFVNGEYIGEIRFYIFAVYLRLMTMKSNYAGFGHGSNIEKSFTFSKPANFKTGANQISILAMTVGLPVGTEGEMLQLFTEEGSKKKRENEVIGTSFICNARFSIRYHIPRSFLQPKNNLLVVYEESGGTINGVMIHTVTRDIICSFMYEDYPPSIESWTMDKDVLKAVGEKPKPKARLTCDHEKVIKEIQFASFGNPWGSCGTYMQGTCQAPNVKKIIEKVRLD >KVH96034 pep supercontig:CcrdV1:scaffold_273:181192:190638:-1 gene:Ccrd_001885 transcript:KVH96034 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MATEEDTGGEAYQVEEEFSVWKKNTPFLYDLVVSHALEWPSLTVQWLPSSPSLHPDGSFAAHKLILGTHTSDDCPNFLLVAEAHLLVNTSSPLETNLEIPKIPKVEITQKIHVDGEVNRARCMPQIPSIIAAKTSSSELYVFNSTNQPLDHEGGTCEPDVRLRGHDKEGYGLSWSPFREGYLLSGSNDCKICLWDVSTMPDNKVLDAKYVYEDHGSVVEDVSWHLKNDSLFGSVGDDCKLIIWDLRTNKHEQSAVVHEKEVNYLSFNPYNEWVLATASSDTTVGLFDMRKLTSPLHVLSSHSEEVFQVEWDPNHETVLASSGGDRRLMVWDLNRVGDEQLEGEAEDGPPELLFSHGGHKAKISDFSWNKNEPWLISSVAEDNALQVWKMAESIYREEDDDI >KVH96028 pep supercontig:CcrdV1:scaffold_273:94964:98152:1 gene:Ccrd_001892 transcript:KVH96028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/spartin-associated MASQDLQNPSNLYPQVLQSNPDSSSPFSSSSSSSPSAPPLYPSLDFKDLDENLFIHTQHHSAPSLYPSLDEDVVIDTHQSCYDPNLLTPFESSEEVVVKIPGSIIHLIDKQQSIELASGVLEIIRLRQGNSVVAVLARVGDAIQWPLAKDEAAVKLDTSHYFFTLRVPSDIKSDSESDNLLNYGLTVTGKGLEKVLQEFDLILEEYSAFSVKEVERSVAVAVENGGSTEAKAAAYWTTLAPNVEDYSGSVARMIASGSGQLIKGILWCGDVTVDRLKWGNEFLKKRTKSGSKSEVSPEALKRMKRAKRLTKMSEGVATGILSGVVKVSGFITGSIVNSKPGKKFFNLLPGEIILASLDGFNKVCDAVEVAGRNVLSTTSTVTTDFVSHKHGEDAAKVTNEGLGAAGHAVGTAWAVFKIRKALNPKSAIKPTTLVKAAASHSKSSKSKT >KVH96031 pep supercontig:CcrdV1:scaffold_273:22410:28900:-1 gene:Ccrd_001899 transcript:KVH96031 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG1-like protein MENGVSKVEQLKSKVEQFDVDVLGDGVKGVGTDDVFEEASGFVDSVSATVEVEEVLVGSEDESGENGGDLGVSLELDGSVNAGQVEKFDDACGTPEIQMVNEKVHVDPFEELITKKVIDEESTSGNITRDQPIMIDDGKLVNCGRQDGKVLNLAEVIEERNALDSTASIQPSGLEANEAVNGGNSEAKVLNLVQVVEGESTTDDATRAQASELETGVSVVGRKDESEVVGVTDEHNTCIDVSIDEPNVLETNGVIDIGVNEVIQVSDADNNEGQPTMLEDLLEVTKKPVAVILGNSENGVSDIVDLDENVIHEDRINGDSMSHRTQPDGDIGDEANGDVIDQPQEDVNEKDMLVTEGKDDDSMTQKEKIEISRNNLLDMQNELKVEETEEGEQETIDLSSGHQNDRNLEQGSTSAIDKHDNRVESLNSEDSMHVSVEERTVTPEVERRPLDVVEDEQNEVILMRAGNVGVKLSEPVAGPSEKNEPKDCEVKQDKPVSKEPGLRPVIGVSLSTEKAATLTATPTPVPKPKHDPPNQSPIPNSKLTTVPTPAPSQPPTRPAGLGSGAPLLEPAHRVVQSQVNGNVSPLQNQVIDEPTNGEAEENDDTREKLQMIRVKFLRLARRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVAAFSFERASAMAEQLEAAGQEPLEFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQLGTKKVQDVVGTVQGIKVRVIDTPGLLPSWADQRKNEKILHSVKRFIQKSPPDIVLYLDRLDMQSRDFGDMPLLRTITDIFGQSIWFNAIVVLTHAASAPPEGPNGTATSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILVEANMLLKLQDSPPGKPFAARTRAPPLPFILSNLLQSRPQLKLPQEQFGDDDDDTLDDDLDESSDEESSEYDELPPFKRLTSSQLTKLSRAQKKSYYDELEYREKLFMKTQLKEEKKRRKMMRKMAEAAKNFPSDLNDNNVEEDSNGAATVPVAVQDMNLPVSFDADNPAHRYRALDSANQWLIRPVLDPHGWDHDVGYEGINVEHLLALKEKIPVSFSGQITKDKKDANLQMEVSSAMKHGKAKSTTAAFDMQTIGKEISYTLRSETRFINYRKNKASAGLSATYIGDALTGGVKFEDKLVINKRGQLVVAGGAVIGRGDVAYGGSLEATLRDKDYPLGRFLSTISLSVMDWHGDLALGWNAQSQIPIGRFTNLIGRVNLNNKGSGQVSVRLNSSEQLQIALVALVPLFRSSVVYATGVHAVDDEHIGLISEIIGKKEEALASVVGKCTERV >KVH96020 pep supercontig:CcrdV1:scaffold_273:311484:321276:1 gene:Ccrd_001876 transcript:KVH96020 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein FGLTPHSSIEDVSSFSITSRRRSARNYRHRSHAQALCQLAAERLSIHTAMSMVTRSRRIFSIVLKSNKNCRFNSSVTANASKEPIISSSILSDQSTSSIPPPPPPPTPPKSAPAGGGGGQPWSFLKYTLIAALTGGVVTAGVATLAYTLEDVDEKTRDLRASAKVSIGDDMSSFDKFQAMLKSAAMTVPAKLVELYLDLRASTEEHVRGFTEPSSEKLLPDLHPQEQHVFTLVLDLNETLLYSDWKRDRGWRTFKRPGVDDFLEHLAQFYEIIVYSDQQAMYVDPIVDRLDGKHCIRYRLSRAATRYQDGKHYRDLSKLNRDPSRILYVSGNALESCLQPENCVPVKPWKCEADDTALVDLIPFLEYVARHRPADIRPVLSSYHGHDIAREFIERSKEHQRRMQEQKQHGRLWRR >KVH96030 pep supercontig:CcrdV1:scaffold_273:44359:47835:1 gene:Ccrd_001898 transcript:KVH96030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGAQWSKQAERRKLIADEKKTLRELEESSGCNFPGCDYHPTDRKNWISGLNPEKIHLNQIVWPGTHDSATNKIGIKMVSRPFAQCQSLSIYQQLVIGTRLLDIRVNENRKVCHGILTTYSIDNVINDVKKFISETESEIIILEIRTEFGHNDPPEFEKYLEQQLGEYLIHQDDQIFQKSVAEILPKRIICIWKPQKSDPPKPGSPFWSSGYLKDNWIDTDLPATKFESNLSYLSKQQTLSSRKYFYRVENTVTPQADNPVLCVKPVTKRIHGIAFSLLPSSSPPRAAVATFLHGRFLKENRSPFEEHDGGGPPLTMADIVKQILARPIQLADQIIKEAELACAFKQDCADIKNKTEKLVALLRQAARASNDLYERPTRRIIDDTEQVLDKTLQLVFKCQASGIRRVFTIIPTAALRKSSQQIENSVGDVSWLLRVSTPADERDDEYVGLPPIAANEPILCLIWEQVAILCCGTLEDRADAAASLVSLARDNDRYGKLIIEEGGVPPLLKLAKEGRMEGQESAMRAIGLLGRDPESVEQIVNAGACSVFAKVLKEGHMKVQIVVAWAISELAANHPKCQEHFLQNNTIRLLVSHLAFETIEEHSKYAIVGKNKMGSIHAVVIANSEPNGKRGQDDDDRCHVTRPNGPDSDSGDMQNVMKCTFAMKPTKTNSTKSNQQQRRQGSRRRVALPGASIKGREFEDPATKIEMKAMAARALRHLCAGNFSICKTITESRALLCFAVLLEKGQDEVQYNSAMALAEITAVAERHAELRRSAFKPTSPTAREVVDKLLKIVIKGDSESDLLIPSIQSIGNLARTFRATETRIIGPLVKLLDERETEVSAEAAIALIKFACTDNYLHVNHCKAILEAGAPKHLIQLTYFGEQMTQLPGLILLCYIALHVPDSETLAQEDVLIVLQWALKQGHLMQDSSLETLVLEAKQRLEIYQSRASY >KVH96021 pep supercontig:CcrdV1:scaffold_273:299421:300503:-1 gene:Ccrd_001877 transcript:KVH96021 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERGosterol biosynthesis methyltransferase, plant MDSLTLACTVSLLAGGLYWFVCVLGSAEQKGKHAVQLSGGSIQRENVQDNYNQYWSFFRRPKEIEKAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPAIPGKSNLESTRIHEEMAVDLIGVKPGQKILDAGCGVGGPMRAIAAHSGCNVVGITINEYQVSRANAHNKKAGLDKLCDVVCGNFLEMPFEDESFDGAYSIEATCHAPKLEDVYSEIFRVLKPGSMYVSYEWVTTELYRGEDPEHVEIIQGIERGDALPGLRNYSDIAEVAKKVGFEVVKEKDLAKPPSQPWWSRLKMGRIAYWRNHILVMVLETLGVAPKGTVDVHEMLFKTADYLTRGGDTGIFSPMHMILLKKPEKSQES >KVH96026 pep supercontig:CcrdV1:scaffold_273:125509:126497:-1 gene:Ccrd_001890 transcript:KVH96026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTTTTTISFATTTPAVPTTTHHLVKNEEVEEDVKIPSDSPDRRKIKNTSPENQEKCPDLNLELRIGQPNYQHQNNTIPSSSYIQQQFQSVEYHHHQPLMTGGRNSGGGNICFACSLGIPNSKECSCTNGTSGNSTGYDFLGLKNGALDYRGLEMK >KVH96036 pep supercontig:CcrdV1:scaffold_273:213915:215690:1 gene:Ccrd_001883 transcript:KVH96036 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MASTKVIVLRSSDGETFEVDEAVALESQTIKHMVEDGCADTSIPLPNVTSKILSKVIEYCKKHVETPKNDDKVAEDDLKSFDADFVKVDQGTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >KVH96037 pep supercontig:CcrdV1:scaffold_273:222375:233401:1 gene:Ccrd_001882 transcript:KVH96037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1624 NATFINNSPFSFHRSTTTTTTTTSLYQINFVKLHYIQQFSCSTLEFLFNLSLDQMSAICSRSSLNFRGKMAEILPLLNNHQDEADEELQQQQQLVSEKISSRRIASLDVFRGLSIFLMVLVDYAGSSLPVIAHSPWNGLHLADFVMPLFLFAAGISLAIVYKKIENKYEATRKAIVRAAELFLLGVFLQGGYLHGITSLTYGVDVERIRLLGILQRIAIGYIAAAVCEIWLSRQTCQKAAFFRNYIWHWCAVFFLSAIYIGLTYGLYVADWQFEDLNSFSSLMSENGSTIHTVKCSIRGDLGPACNAAGMIDRYVLGINHLYQKPVYRNLKECNISKGGQVPESSPSWCYAPFEPEGILSSLTASVACIIGLQYGHILTELQGHKDRLCNWSLMSILLLILGSLLALIGIPLNKSLYTISYLLVTSAASGITFCALYLLVDVYGWRRVAFVLEWMGKHSLSIFILVTSNLIVIAIQGFYWKSPANNIIYWIVSHIVQK >KVH96022 pep supercontig:CcrdV1:scaffold_273:329230:333898:-1 gene:Ccrd_001875 transcript:KVH96022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANVWKRRSKDISANDDDDSKHRHKSHLLGSNKKRNKNKNKNKNRRIVDQKTPTEYPLLRFEELPEYMKDNEFILNYYRAD >KVH96038 pep supercontig:CcrdV1:scaffold_273:258325:258888:-1 gene:Ccrd_001881 transcript:KVH96038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALNIYWWYAAAANAPTNGPTQKIHCIYINPTKPSYSKRSTYLVIPHFFIVVNNSSTKAPSWVDPSSSNGYGGQMHHENSKPNWQWSQNLHKSTSFSARCTRNVRITCTPFRVSGREDSVNKDKGANNLSTKCSTLVVARCNGVGTTTQ >KVH96024 pep supercontig:CcrdV1:scaffold_273:63961:65792:1 gene:Ccrd_001896 transcript:KVH96024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-II [Source:Projected from Arabidopsis thaliana (AT2G47840) UniProtKB/TrEMBL;Acc:A0A178VYR0] MAAIPLLRLSLSPPPPQTIHRSSILVHPLRSSILSPSSPQSLSLRLNSAAQPRRRTTISASHNTTPVTDRLISAAAYFFPFFNGLQYGRFLFAQYPKTLGLAIEPLLPLLSVYRSIPYSSYLAFLLLYIGVVRNTNVSRYARFNAMQAVILDVLLVIPLLVQRIFNPGPHGIGGKMVMMSHNAIFVFVVVCFVYSTVFSVLGRTPKLPFVADAAGRQF >KVH96027 pep supercontig:CcrdV1:scaffold_273:98325:102593:-1 gene:Ccrd_001891 transcript:KVH96027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRSRTMPSRIQHGVVENRQDVVHYLQVEAQTNVNNETQTINSEANYSKSFDDDGRLKRTGTFWTASSHIITAVIGSGVLSLAWAVGQLGWVAGPVIMILFALVILYTSNLLSQCYRSGDLVTGPRSYTYMEAVKAHLGGRKVQICGMIQYINLFGVAIGYTIAASVSMMAIKRSNCFHKSHGKDPCRMSSNGYMITFGIIEILFSQIPDFDQVWWLSIVAAIMSFTYSFIGLALGIAKTTENKEMKGSLTGIRIGTLTHAGTVTTNQKLWRSFQALGAIAFAYSYSIILIEIQDTIKTPPAEYKTMKKATYLSIFVTTTFYLLCGCMGYAAFGDEAPGNLLTGFGFYDPYWLLDIANIAIVVHLVGAYQVYCQPLFAFVEKWSAKKWSKNDFVIAEYDLPIPVYGVFQVNLFRIVWRTIFVIITTVIAMLLPFFNDVVGLLGALGFWPLTVYFPIEMYMAQKKIKKWSSQWVGLQILSFGCLLVSIAAAVGSIAGVVLDLKTYKPFKTSY >KVH96025 pep supercontig:CcrdV1:scaffold_273:58854:63754:1 gene:Ccrd_001897 transcript:KVH96025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-shock conserved site-containing protein MDVDGARKAGTVKWFNDVKGFGFITPDDGGEELFVHQSSIRADGFRSLGDGETVEYVIENGSDGRTKAADVTGPNEAPVQGSTRGGGAGGRKLMPGMIVERGGAVSMAYEEDT >KVH96041 pep supercontig:CcrdV1:scaffold_273:155962:162626:-1 gene:Ccrd_001888 transcript:KVH96041 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGLISTFLGFSGFGIGVSAGLVIGYYLFIYFQPTDVKDPIIRPLVERDSETLQSLLPEIPLWVDWLNKFIEYMWPYLDKAICKTAKDIAKPIIAEQIPKYKIDSVEFETLTLGSLPPTFQGMKVYVTDEKELIMEPSLKWAGNPNVAVVARAFGLKATVQVVELQVFAAPRITLKPLVPSFPCFAKICVSLMEKPHVDFGLKLFGADLMSIPGLYRFVQEQIKDQVANMYLWPRNLEVQILDPSKALKKPVGILHVKVLRATKLKKKDFLGASDPYVKIKLTESKLPSKKTSVKHKNLNPEWNEEFNMVVKDPESQAVEFQVYDWEQVGKHDKMGMNVVPLKDLVPNEAKVLTLDLLKNMDPNDVQNEKIRGQIVVELLYKPFKEEDLPTFEDAGAVQKAPEGTPAGGGVLVVRVHEAQDVEGKHHTNPYVRVLFKGEEKKTKHVKKNRDPRWDEEFMFHLEEPPMNDKLHVEVASASSRIGLLHPKESLGYIDISLADVVHNRRINEKYHLIDSRNGKIQIELQWRTAS >KVH96018 pep supercontig:CcrdV1:scaffold_273:284516:290812:1 gene:Ccrd_001878 transcript:KVH96018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3353 KQNSPPSTLRYDQSHTPTHSNKNNQPIFRPRMATTPLSARPTRASLLGVAGQIPPHRPSFTTATNHAVGKLFKPDGLGLTSSSSRRTSLSRFVVLAAGGGSAADESSFEMSLETALNLLGVSEGASFDDILRAKNSVLAACKDDQESIAQVEAAYDMLLMQSLSQRRAGKVVNSSIRYADVKPVNTPQMGSMPQWVQGALKNPPISVEAPSARDLGIEAGVYGALAVLTYVNGASSPVGSLSGADVPGLILATRKATVITIGGLVAGAVVGSAVENWLQVDIVPFFGIHSPATVVSEFVLISQFLISLYLS >KVH96033 pep supercontig:CcrdV1:scaffold_273:178485:180999:1 gene:Ccrd_001886 transcript:KVH96033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGELEFSNHEMFGTTVGDILSTGSMNGFFDEIFNDTHACTHTHTCNPSGPDSSHTHTCYHVHTKILPAMSDDDKTPTDDTAESSEKKGKKRPSGNREAVRKYREKKKARAASLEDEVVRLRALNQQLMKRIQSQVGLEAEVGRLKCLLVDIRGRIDGEIGSFPYQKQHPTNPSLVNPNLGGGFVLNPCNMQRGASVHHDLDLNDCDFEDLPCFGNQGSMPDELPGCGANNGLRGVNPSAGTKKKR >KVH96029 pep supercontig:CcrdV1:scaffold_273:88246:93585:1 gene:Ccrd_001893 transcript:KVH96029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter-like protein MASSGDTTVAGKSSDVYDPPSKPLLSTYIDEFHHHHHTPPPSESDPSSSSHYPANITYNYHSPRPIKDIPFLLFFLLLVLSAFAFGIFASINKNPNPPSSASAFVYDISSDSCVKGRRSSIFGERVLFLNLLGSNSHYILKNLIWTLVVTLILSIPISLFVLFSLKHFAKQLVYISLPFFVIVPIFLNLYWFVACTISSTCSEKFPLGYRIIVLVFVLLVIGVIVWILVVNWSRVELTVRIIRVASDALSNNLGLFVVLPGLILGLLVYYAPIVVFMVFARLNGEMVPRENHGIVFCEWKQDSWVPAYYALAILTMLWSAAAMVEAQAYVISGTIAQWYFLKEDSKVKRSIRSSLRNAFGPSFGTVCFSGLLISVVRMVRAAVDSAANEDASGMVNLILRCCVNALLSAFDFLNKFTINFAAITGEAYCASAKLTYELLRRNLLSAVVVETVSTRLLAGITFVLSAIYAIVVCVILKYGSDLGADSYIVAAMAFVVVLIVLGLLVHVLENVIDTVYICYAIDRDKGEVCKPDVHEIYVHLPISRNHHNLNARTSPLLV >KVH96040 pep supercontig:CcrdV1:scaffold_273:141466:153664:-1 gene:Ccrd_001889 transcript:KVH96040 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAMPVVDTEYLKEIDKARRELRAFISNKNCAPIMLRLAWHDAGTYDVNTKTGGPNGSIRTEEEYSHGSNNGLKIAIDFCEEIKSKHPRITYADLYQLAGVVAVEVTGGPTVDFVPGRKDSKISPKEGRLPNATKGAPHLRDIFYRMGLSDKDIVALSGGHTLQSIPKLVFQSQVIISKHVSIFATHGKAHADRSGFDGPWTREPLKFDNSYFVELLKGESEGLLKLPTDIALLDDPAFRQYVELYAKDEDAFFNDYATSHKKLSELGFISGSAKPKVKDGVILAQSAVGVVVAAAVVIISYVYEARKKMK >KVH96039 pep supercontig:CcrdV1:scaffold_273:257593:259175:1 gene:Ccrd_001880 transcript:KVH96039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MSKEVSEVGETVRRDYVDPPPAPLMDMAELKLWSFYRALIAEFVATLLFLYITVATVIGYKNQSDPCGGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYMVAQCLGAICGVGLVKAFMKSPYNSLGGGANSIAPGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKVWDDQWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNATN >KVH96019 pep supercontig:CcrdV1:scaffold_273:273599:281306:1 gene:Ccrd_001879 transcript:KVH96019 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit, C-terminal MTQDVEMKEQQIPAAPSNSVSSPTPILQNLKEIAALIEAGAYAREVRRILRAVRLTIALRRKLKASVVSSFLNFALAPGSETHTRLSSYLPKDDQNDMEVDTASSATQATVKHSPELEIYCYLLVLIFLIDQKKYSEAKACSSASIARLKNLNRRTVDVLASRLYFYYSLSYELTGDLSEIRGNLLALHRVATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFKNVAEKFSTTFSSDRTNNLIVRLRHNVIRTGLRNISISYSRISLVDIAKKLRLDSPNPVADAESIVSKAIRDGAIDATIDHANGWMVSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >KVH96035 pep supercontig:CcrdV1:scaffold_273:197347:204269:1 gene:Ccrd_001884 transcript:KVH96035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSIEVWNPSGKYRVVSTKSMPGTRWINLLVQQDCRVEICTEKKTILSVEDIIALIGNKCDGVIGQLTEDWGETLFSALSKAGGKAFSNMAVGYNNVDVEAATKYGVAVGNTPGVLTETTAELAASLSLAASRRIVEADGFMRAGLYDGWLPHLLKAYGQFLKASGEQPVTWKRASSMDEVLQVADVISLHPILDKTTYHLINKERLSKMKKEAILINCSRGPVVDEEALVEHLKQNPMFRVGLDVFEDEPYMKPGLADMKNAIVVPHIASASKWTREGMVTLAALNVLDCQFRSSKKGRQFSGVTTMYSFGLCTLCIAYAHYSMYVYN >KVI06656 pep supercontig:CcrdV1:scaffold_2730:5041:13849:-1 gene:Ccrd_014992 transcript:KVI06656 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cap guanine-N2 methyltransferase MEDGDDKGELEDSPAIKALGSLFKLTQVHFWVDLASGIPYGSTSLECTKTLKDDVSQKDSCSLPVDTQLSRQMDELGLPLSFCTNKEKRNGKVRGKRKDAQKKVLHTHEEATDEVSDTIQVSTSEIASPAIVHDNLSSLSYSMSMLGHSESSYCGIAVGDGELQACCGEGECSTTVTDAVCLSHDVKHSSGDQMSKVQLSYDQGSVNSTVLISDDMQLEATPEHVDHGTLSDIHAVNDNREHSKNGSLEERLGDPLVAIQRSEAQEFCSKVNFERPQESDVVLHSAFTNNCDAVHSGNNDEIGDWMTYWDQYYERNYYYNSRTQESTWEPPAGMEHLDFVYVSNESNEMLLTSSEMDNNADANRSYSKEQNLGVLQHDIALSDELSSDVTSGKLHDEYRIGLELAVNSFDNVTTTSIVSSSDVHPNEPLEVNRSSEGSSLFDSPDMLHSPSRPPTTLSHDACDGLSTCKEHGGNMYFLPEKLDMELDAVPSKRKKKVKRTRARRRLSADNKELPFEVLMDEFSPIISKYWHQRYILFSKYDKGMQMDEEGWFSVTPECIAKHHAFRCGSGVIADCFTGVGGNAIQFAFRSAHIIAIDIDPKKIEYAQHNATIYGADTVFLSPPWGGPEYAKAINFDINTMLKPHDGQFLFNVAKGIAPRIVMFLPRNVDINQLAELSLSVNPPWTLEVEKNFLNGKLKAITAYFSDPSVKTSSDS >KVI06655 pep supercontig:CcrdV1:scaffold_2730:18888:21709:-1 gene:Ccrd_014993 transcript:KVI06655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MNLKNFGNQAMEMDSTSGRPPGNFPLARQGSIYSLTFDELQNTMGSIGKDFGSMNMDELLKNIWNAEEMQTMGFNTSTSVQDRGGVGGTNLQRQGSLTLPRTLSQKTVDQVWKDLSKENSNSFGQPNLPQRQQTLGEMTLEEFLVKAGVVREETHLAGKPNDNGLFSNLTNNPGFGAIGFQPAVGQNASVDVMRSRIPDPDGGSNQISFQSANLPLNGNGVRSAQNQQQPLFPKQTNLPYGAPMGLMNSNHLGSPVIRNGVVGLSDPLMNGNLVSAATLQGGIGGMVGLGGGGGGGGGGGGGGGGGGGGGGEVTVAAGSPPVSSDGIGKSNGDTSSVSPAPCVFNGVMRGRKGSAIEKVVERRQRRMIKNRESAARSRARRHDGNAEESGYGDDEYATWNKTTAFEEDSFWSMVNGGSDVMMMMMMI >KVI08026 pep supercontig:CcrdV1:scaffold_2732:31347:40573:-1 gene:Ccrd_013610 transcript:KVI08026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MQSICLMILLIVKLVVGTTELDSLLEVKRGIQEDSSGKVLGSWDPKSLASNGCPLDWYGITCSSGHVTSLMLNGLGLVGNFTFSSITGLKMLRNLSISTNRFEGTISNVVGSLSSLEYLDISSNLFHGPLPSEITNIRRLVHLNLSLNNLEGTVPSTFGNLKQLKHLDLHSNNFSGKIMSFLSQLGSVAYFDLSSNGFTGTLDLGLGSDEFVSAIEYLNVSHNNLGGYLFSHDGMPYFDNLEVFDASNNQFVGTVPSFNFMVSLRILRLGSNKLSGSLPEALLQESSMSLSELDLSLNELKGPVDSISSTTLRNLNLSFNKLTGILPLNIGHCAIIDLSSNLLSGNLSRIQGWGNYVEEINLSSNLLTGTFPIQTSQFLRLTSFKISNNSIGGVLAPVLATYPELNTIDFSCNQFSGTLLPSLFNSTRLIYLNMSFNNLSGTIPIQKNSSLLESSKILSLEFLDLSYNSLSDHLPREIGNYHDLAFLDLSNNHFEGGIPDTLPGALKVFNVSYNNLSGLVPENLRNFPDSAFHPGNDLLSFPYSASSPQGLPNLMGHNSPKRSYIKPVLIAALIGGVSSLGLLTFIVCYRTHRQYERNHTKKHSEKLGNQREASSVLATSAPNKDVSFQEDHNSSPQFRTTGDHLENILVVKGPKDLGAKRKAEEAFPPVPLMSPGNPSSSNTPHREMPPGPIEVCSPDKLVGELHLFHSSLVFSAEELSLAPAEMIGRSCHGTLYKAVLQSGEVLAVKWLKEGIAKGRKEFAREVMKLGSIRHPNLVSLQGYYWGAKEYERMLISNYIDSPCLSLYLNESDARNLPALNLDDRYRIAVDIARCLTYLHNERAIPHGNLKSTNILLEPPNMKHPLLTDYSLHRLMTSSGTAEQVLTAGALGYRPPEFCSTSKPCPSLKSDVYAFGVILLELLTGKCSAEMILGSSGEVVDLTEWVRLLCVENRLNECVSSQIVNSNDHSVKVAEALLEVALRCILPADERPDMKSVLDDLTPIAAAV >KVI08025 pep supercontig:CcrdV1:scaffold_2732:65498:66972:1 gene:Ccrd_013611 transcript:KVI08025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSGLKYIHSANVLHRNLNPSNLLLNANCDLKVCDFGLARVISETDFMTEYVVTRWYRAPELLLNSFGYTAAIDVWYLAVGPRWIASNGSAGALTNTREFLSGVICSFPGLHIKAA >KVI08024 pep supercontig:CcrdV1:scaffold_2732:24133:25074:-1 gene:Ccrd_013609 transcript:KVI08024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MDNTSYLLTVTLFHAYPPPPLSPSLYLFHHPSPTETLHKFPFLGFKFLQSRIMADRVHPAAHLDHSNTPPATVKQPVSDDKPLLPQGTYVIQIPKDQIYRIPPPENARRIEKLANQKPRRSCCCRCFCWTIATAFILLILLAISAAIFYLVFRPESLKYSIDNISVGGINLTSSTPVSPRLAVHIKSENPNNKLDVYYIGKGSSVDVYYADVNLCNGELPTFKQPANNVTVIQTALKGSNITFARDVHSRLVRQEKQRNVPLKLKVKAPVKIKIGAVKTWEITLTVKCDVAVDWFTQKSKIVSKNCDYRVKLW >KVI08023 pep supercontig:CcrdV1:scaffold_2732:4581:11089:-1 gene:Ccrd_013608 transcript:KVI08023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein domain-containing protein FKQKGLASVGQNKHKLALSNTKVVFLNERPRLRPDKGVTNTCEVEAFLIHSDSALLVARLETKVLRFLHISGQERGTNEQTQETLVLHNYSLTPEHVMHCNIEDYSRLVKSLPETEAALSAWAIILMAYVVKYEFENKMNARNIDMVFAPIMT >KVI01514 pep supercontig:CcrdV1:scaffold_2733:10132:13118:-1 gene:Ccrd_020214 transcript:KVI01514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MDSRTNEEAEENPKPEVSESLLKNGGENDKLGESNRCASSKDMLFRADKIDLKSLDVHLEKHLSRAWSRSVEPNRPKEPWEIDLAKLEIRYLIARGTYGTVYRGIYDDQDVAVKLLDWGEDDMATTMELAALRASFKQEVAVWHKLDHPNVTRFVGASMGTSDLKIPTTNSADGPNEQLPSKACCVVVEFLTGGTLKGLLYKNRRKKLPYKDVIQLALDLSRGSAVEETMGMEVCCEKAVKKTMEMEVLEGKPYNRKCDVYSFGVCLWETYCCDLPYPFLSFAEISSAVVRHNLRPEIPRCCPSSLATIMRKCWDANADKRPDMDEVVKLLEAIDTSKGGGMIPEDQVARCFCFGPIRGP >KVH87656 pep supercontig:CcrdV1:scaffold_2736:5566:14370:1 gene:Ccrd_025059 transcript:KVH87656 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MASSSGPDPAMNKAESKASMIESIKGRSMSGVRVSRGDLRRKMTMPAYLRLAIRDAIAAKSIDAGKRHYGVASLCLVDDGGGEKCPLGTPESPVVVFVNSKSGGRQGYALKSKLQNLMGEEQVLDLQTVKPHEFVEYGLGCLENFAALGDSSGGDGTVGWVLGCLGELHKQGRDPVPPTAIIPLGTGNDLSRNFGWGGSFPFNWKTAIKKTLDRVLHAPLCRLDSWNLVISMPAGVELDTPHALKQTEEVILDQDLENAGRLPEQVSCYQGVFYNYFSIGMDAQVAYSFHHLRNEKPYLAQSPIANKIIYSGYSCKQGWFFTPCMTGPSLSVRSVVALNLHSYASGRNPWGNLKPEYLEKRGFVEAKADDGLLEIFGFKQGWHASFVMVDLISAKHIAQASEIRFELRGGAWKEGFMQMDGEPWKQPMNNDLIGELLYEVFCGYDL >KVH87655 pep supercontig:CcrdV1:scaffold_2739:53533:71399:1 gene:Ccrd_025060 transcript:KVH87655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MVLVLSVRDYVNRMLQDISGMKVLILDSHTSELLQKEVFLVELVDSISMPKESMSHLKAVYFLRPTSENIQHLKRQLAKPRFGEYNLFFSNMLNTTQLHILADSDEHEVVQQVQELFADFVAIDPYHFTLNTPSNHMYMLPAVIDPPNLHNYCDRIVDGLAAIFLAFKRRPVIRYSQTSDIAKRIAQEASKLMYQQESGLFDFRRTEISPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIKDNKVDLGNVGKFSKDQQEVVLSSEQDAFFKANMYENFGDIGMSIKRMVDDFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMQGNVSKHVTLVTEMSKIVEERKLMLVSQTEQELACNGGQGAAFEAVTNLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASQSPKYKPGLVQFLLKQAGVDRRTGDLYGNRDILNIARNMARGLKGVENVYTQHQPLLFQTMESIAKGRLRDVDYPFVGNHFQQGRPQEVVIFIVGGTTYEESRSVALQNALHSGIRFILGGSYLLNSKRFLKDLEEAQRIARSSSGVV >KVH89982 pep supercontig:CcrdV1:scaffold_274:44227:50127:1 gene:Ccrd_008028 transcript:KVH89982 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL-like protein MANLYVQAVPPTDLNRNTEWFMYPGVWTTYILILFFAWLVVLSVSGCSPGMAWTTVNLGHALVTYHFFHWKKGTPFADDQGIYNRLTWWEQIDSGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLLLNTVAVFVLVIAKFPHMHKVRIFGINAEE >KVH89985 pep supercontig:CcrdV1:scaffold_274:85316:101759:-1 gene:Ccrd_008027 transcript:KVH89985 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MTEVASNVVYEVLGPRAQDLDQPIIDYIINVLADEDFDFGYEGEGAFEAIGELLVDSGCVTDFSECHSVCGRLSEKFGKHGLIKIKPAVRSLATPFRMNEGMDEEKAPKKKPEPVDGPLLTERDKIKLERRKRKDERQREVQYQIHLAEMEAAKAGMPVVSVNHDNSAEGSTIRDIHMENFNVSIGGRELIVDCTVTLSFGRHYGLVGRNGTGKTTFLRYMAMHAIEGIPKNCQILHVEQEVVGDDTSALQCVLNSDVERAHLLEEEARILKLQRDLELEGKDPNGINDDGIAQKLEIIYKRLEFIDAYSAESRAASILAGLSFTPEMQKRPTRAFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLEAYLTKWPKTFIVVSHAREFLNTVVTDILYLQGQKLTAFKGDYDTFERTRAELLKNQQKAFESNERSREHMQSFIDKFRYNAKRASLVQSRIKALERLGHVDEVVNDPDYKFDFPTPDDRPGPPIISFSDASFGYPGGPILFRNLNFGIDLDSRVAMVGPNGIGKSTILKLISGDLQPSSGTVFRSAKVRIAVFSQHHVDGLDLTSNPLLYMMRCFPGVPEQKLRGHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGVLMVSHDEHLISGSVDQLWAVSDGKVTPFSGTFQDYKKKLQS >KVH89980 pep supercontig:CcrdV1:scaffold_274:18385:23539:-1 gene:Ccrd_008030 transcript:KVH89980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MSGSADKLAHFQAITGLQDADLCTEILSAHGWDLQQAISSITSTSDSDHATSATDNIAADRFEQSGFVAAEGAGPPGLAWKLISLPVSIVSGSLGLVSGAVGLGLWAVGGVLSYSLSMIGLTNSGRNDGSPSTPLVSLSTAASEAMDFVSKFEGEYGDRHPDFVXEGFMDALQRSRHEFKLLFVYLHSPDHPDTPSFCEDTLCSEVLSAFVNENFVVWGGNIRASEGFKMSNSLKASRFPFCAVVMAATNQRIALLQQVEGPKSPEEMLTVLQRVLEESAPVLVTARLDAEERRNNIRLREEQDAAYQAALEADQARERQRREEQERLEREAAEAERKQKEEEEARERAAREAAEREAALIKLREEKSLSLGPEPEKGPDVTQVLVRLPNGERKGRRFHCSATIQSLYDFVDSSGILEMGSYTLVTNFPRVLYGPEKLCLTLKEVGLHPQASLFVEQK >KVH89974 pep supercontig:CcrdV1:scaffold_274:295173:301049:-1 gene:Ccrd_008014 transcript:KVH89974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein LFSYFVVQDRGNIERLEITGVGDPSGRGLGFSYVRTAPKAPVSNAVAKKKVAINRGGSTVTGTDADLRRLSMEAAREVLVKFNVPEEQIAKLTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQNLSAIDGEENESDTEANSDLDSFAGDLENLLDAEECDEGEEGNYESNNENLVGVKGLKMRRLPSQAQAEVENEDEAAEAAELCRMLMDADDEAERKKKKVKSVGGDMGLTALQQLNHNSKNKERLKAINSNLKPMSGITRPDGSFSINENAVKSLKDDELFPYRKNFSGKMKPKKKDDLEQVGGLLNKKLRILNDGMNIMKVGNTKKNARESFACGACGQYGHMKTNKHCPKYRENSSIQVESKDPEKGSAKSISLDPVAKPQPKNTVKKLIQKGGTKLAVVEAPPEEEKSSLKAKVLKVKCGPANSKIQEKATPSTSQVSDRPVTSDTETVNKSSVKVNKIIFSNKTKADDVQVESHKPSSLVIRPPVESSRNLPRKTIVIKRPKEVFDLDQGSQEVSPGLEPRKTKRITELSGFQKHRDHEEHFVERVRSNRAKDNSNQFWEDEQTLRIAERQREERDRLLYEEQQARKLEEQERVAEIRRFEEDIKREREEERRQREKKKKKKNIHFETRNDFLEDTRPRRDDRRIPERDRTAKRKPVIELGGRFGAEYTPTVKRRRGGEVGLANILEKIIDTLKANEVSFLFLKPVTRKEAPDYLKIINRPMDLSTMREKVRRLEYKSRDAFRHDMWQITFNAHKYNDGRNPGIPPLADQLLELCDFLLSEYDAILAEAEADIEE >KVH89979 pep supercontig:CcrdV1:scaffold_274:8179:14954:1 gene:Ccrd_008031 transcript:KVH89979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MAEMVGPRLYSCCNCRNHVALHDDVISKAFQGRNGRAFLFSHAMNVSMGPKEDRHLMTGLHTVADVFCSDCREVLGWKYERAYEESQKYKEGKFILEKSKIVKENWSNNRDVLSQTPRASASSSSGLTFELSFNFASKSFSGPNNPTSEAISTNNYKATDPNNVEDKRSYEGKKNEWKP >KVH89978 pep supercontig:CcrdV1:scaffold_274:11941:14866:-1 gene:Ccrd_008032 transcript:KVH89978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MASEVGLLGPENDLLAKLKLSSKVRPELEDADAVERCPNDRYIRLCCLHCYYKDTPPAEYKGFDEVEGIEIAWNQVRLDDAMQSPEHLERVYSEVHLLRTLKHENIIKSYVSWVDDEKKTINMITELFTSGSLRQYRKKHKSVDLKAIKNWARQILRGLAYLHSHDPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATLMLRPTAKSLIGTPEFMAPELYEEEYNELGIKPAGLSKVKDPQVKQFIEKCLVPVSQRLPARELLKDPFLALESTKERVSEPKSILPKLNLCPMDIDCNDNKMSSGSSCVRSNNSSPRFPSIEIQCVNERNEIRLKGEKDQENSILLSLKIADYTGQVRNIKFTFYVDIDTVHSIALEMVEQLDLLHEDVAVIAQLIDDMIMKFVPAWKPSFVKSNSSGGSTSTEGSIMAQNGQFALVSSAKVLGKELAEAKNHGCKGSDNSSSTYSGDWMKSSGASSNDSCNKNMSNDSSSCLNLEKGEKDVSEDLKLELDAIDAQYRQWCSELQKFREAAIENAKKRWVSKKKVPVF >KVH89984 pep supercontig:CcrdV1:scaffold_274:104653:108476:-1 gene:Ccrd_008026 transcript:KVH89984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MGRFGIAITTIVLIGCLVAISIAKEEASKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAQRTIFDDMKLVPYKIVNKDGKPYIQVKLKDGEKVFSPEEISAMILTKMKETAEAFLGNRIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKSGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGDISKDNRAIGKLRREAERAKRALSSQHQIRVEIESLFDGTDFSEPLTRARFEELNNDLFKKTMGPVKKAMEDAGLEKKQIDEIVLVGGSTRIPRVQQLLKDYFNGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETIGGVMTKLIPRNTVIPTKKSQVFTTYKDQQTVVSIQVPSTFFNPPLSHLSIVIERQQLCVGTPQIEVTFEVDANGILNVKAEDKGTGKAEKITITNEKGRLSQEDIERMVREAEEFAEEDKKVKERIDSRNALETYVYNIKNQINDKDKLADKLESNEKEKMATATNEALDWLDDNQTAEKEEYDEKLKEVEGVCNPIITALYQRTGGGAPDGAEDDDQHDEL >KVH89981 pep supercontig:CcrdV1:scaffold_274:895:5153:1 gene:Ccrd_008033 transcript:KVH89981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MELFYLLVFGGLAVVVATMELSKSNKDRINTSSAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYTTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKHGRKRASVTYCITYILSCITKHSPQYRVLMVGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGLVAILAGLFGNLLVGSLAMGPVAPFDAASIFLAIGMAIIISSWTENYGDASESKDLMTQFRGAAVAIASGSMYTFVFLWTPALSPNGEDIPHGFIFATFMLSSMLGSSLASRLLARASIKVESYMQVVFVVSSVSLLLPVLTSFLVAPSQEKGGSIKFAGCIQLLGFCVFEACVGLFWPSIMKMRSQFIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPITVMFGMARQGEGIGVRTTKHRRLRNTWGFIENG >KVH89987 pep supercontig:CcrdV1:scaffold_274:113003:117138:1 gene:Ccrd_008025 transcript:KVH89987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MTGLISLDSYSIGQRTVSSAQRRPQSQPTTFSRAKPSPDSTSAKKKSLQKQYLHDEAPLDNPDLGPFLLKLARDTIAIEGEPIKTLDYALRASKSFERCAIDGYPNLDLVMCLHIVASIYYSMGRFEEAIPVLDRAIRVPVVSRGADHALAAFSGYMQLGDTYSMLGQLHRSIKCYKEGLKIQMEALGDTDRRVVKTCRYLAEAHVQAMQFDEAYKLCKKILEIHRLHSPPASLEEAADRRLIAIICEAKKDYKAALEHLVLAGMAMIANGQDNEVAVIDLNIGNIYLSLSHFDDAIFSYEKALAVFKSSKGDNHPSVASVFVRLADLYFKTGKLRESRSYCEDALRIYAKPLPGMAAQDIASGMIELSAIYESFNEPEKALKLLQKSMKLLNGKPGQQSTVAGIEARIGVMCYMVGRYEEARGSFESAVAKLRANGERKSEFVGVVLNLMGLACVQLLKIDEAAEFLEEAREILEHECGSRHQTTLGVYRNLVATYGSYGRIEDTIEMLECIVGLRDRVKPDLVDKKKRVAELLKEEKKKGKSMENLIHPKKQSSSSEKRPALGFTS >KVH89971 pep supercontig:CcrdV1:scaffold_274:274943:280027:1 gene:Ccrd_008017 transcript:KVH89971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MSCSSFRSFTLTILIAILVWSSATHHTCNARRGRHWRNPSSSLSKKKSGNHHHNGGGGGGAGKSKSKSKSSSHSHKTPPSKDADTYNVLNFGAKGDGTSDDTKAFEGAWAAACKVKGSKIIVPSPYKFLVGPITFSGPYCQQNIIFQVDGTIIAPSNFKSYGSGLFQWILFTKLVGLTIQGGGTIDGSGSIWWKDFPANDPFDDQLKLIAPTNGTINQTSHSSISDSLGGKMPSIKPTALRFYGSFDVTVTGIKIQNSPQCHLKFDNCVGVSVYDFSVSSPADSPNTDGIHLQNSKDVLIHTTDLSCGDDCVSIQTGCSNIYIHNVNCGPGHGISIGGLGKENTKACVSNVTVRDVVMHNTMNGVRIKTWQGGSGSVQGVMFSNIQVSEVQLPIVIDQFYCDKTTCKNQSSAVALSGITYERIKGTYTVKPVHFACSDSMPCTDVNLNGINLKPVQERYHLYDPFCWKTFGELVSPAVPPVECLQIGKPSSSHVQADHDTC >KVH89973 pep supercontig:CcrdV1:scaffold_274:284860:291423:-1 gene:Ccrd_008015 transcript:KVH89973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MEFELFTDCAEDDYAFGAEVMDNEPLVYDGDEGQRCGICMDVVVDRGVLDCCQHWFCFSCIDNWASITNLCPLCQNEFQLITCVPVYDTIGSIQPDDDGSYSRDEDWCVEGKSNTLSFPSYYIDENAVSCLEGDSCKIRGQSANVEEDPNLDTSIACDSCDLWYHAICVGFDPEGSCESSWLCPRCSVDETLQKLEVGPSNNYGRESSSLEHLANVTFPMNVSVSVADAGETAVVVSIIDGNQQTQVPSENMLSSVTMSKDLKIGNQEKEETNQIVLPGSDVCEDMGIEKTSSNENCKLEKQLAEKIIKKPKIEAVELDLPLSQVTASTLVSESSQLSGLSRNFKEEGSEPSVIDGLRTPGLYDEYCNNKSLESGSHIASSVDPLSDEYKTTGEVEEKNVSRECRLPADKNPDKKEKAGGITGAKRKQRDYSAKEGETKAKMQNSKSAKIVKTERSRVAQFVHEANESVPDGSKNLNTASCGGSIVRKRKIGTDIRDIVQGTYHRSANRLANLADKSSEDKETSSGLRIKKIVRRATEDKESS >KVH89989 pep supercontig:CcrdV1:scaffold_274:187968:193258:-1 gene:Ccrd_008023 transcript:KVH89989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MPEAEEDNRSANFFVRGTPVTEDLPVMMTMDLDLDGSWTFDQIFSADPSPSFILSATEQTYSPLWAFSDDNNNNLNDADDKLAGNATLTSSGAAHRLQSDTDNHDQVTRKPSNNDVKRRIPMPILEVNPSEYQDATCVIKERMTMALRYFIELGEKHVLAQVWAPVKNRGRYVLTTCGQPFVLDPNCTGLHQYRMASLMYVFSVDGETDGVLGLPARVFRHKLPEWTPNVQYYSDKEYQRLNHALNYNVRGCLALPVFESSGQSCVGVLELILTAQKINYAPEVDKVCKALEICNESRQNALAEILEILAVVCETHNFPLAQTWVPCRHRSVLAYGGGFKKSCSSFDGSCMGQVCMSTTDVAFYVVDAHMWRFREACAEHHLQKGQGVAGRAFATRSSCFCENITHFGKTEYPLVHYARMFGLVGSFAICLRSTHTGDDDYILEFFLPPNMVNGEDQQTMLGSLLTSMKQHFRSLTVACGEEIGEDGRLVEIIKPSANGEVIDSGIQSIRLSESVISNGGPLVRVDSLDRPLNVEPNGLNGTCGKSETPETTKKSERKRGKAEKSISLEVLQQHFAGSLKDAAKNLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKRVIESVQGAEGTFTIPSLATTPLPVGVDSTSWPTAPNGSPTNQQGSPGSRPYDSPRPKNDLGPNNQTSGSREASTGSPTSHRSCQESQPFEVKAPNHAAEFSVSDAFLTAQTEEPFRGMLIEDAGSSHDLTNLCPFTEPLEKVQELTPKVQPFTARAEMKTITIKASYREDIIRFRVAANSGIVTLKEEVAKRLKLDVGTFDIKYLDDDHEWVLIVCDADLQECVELSVSSGCNIIRLLVHDLSTNLGSSCESSG >KVH89975 pep supercontig:CcrdV1:scaffold_274:314962:322783:-1 gene:Ccrd_008013 transcript:KVH89975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSNTGNTSQDARAIDDRDEDDDDEYEEARDGNRLLEFMFGNIDGSGDLDIDYLDEDAKEHLAALADKLGSSLTDIDLSVKASQRSVDTAEQDYDLKAEDAVDYEDIDEQYEGPEVQAFTEEDYLLPKSDFVSTTVPPVASSSLYDDDNYDDDEEEELQKELDRVDNNDHVQSTSLSGLRICRIVSYLFAINFFNVQVSPNLQKLV >KVH89990 pep supercontig:CcrdV1:scaffold_274:226875:228652:1 gene:Ccrd_008020 transcript:KVH89990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGYLQSSSASTGANSHLYSQALQMKLYQAFIFSIPILFSIILFLLFYLFYLKRTFSSTHSSSSSASILPSRNQDSSTLPQYSEMGLKINLEDRLTVILFDEDLYAKDSMCCVCLGEFEMNEKLHQIPACQHVFHGDCIRNWIHSNTTCPLCRCSVISAAAKDGHHEPLVVPDQPTTAINLSSNPQGVPLEHSVIIFEGSSSSSMDDNKLCHPIEESVVVTVRIHDS >KVH89983 pep supercontig:CcrdV1:scaffold_274:32299:35901:-1 gene:Ccrd_008029 transcript:KVH89983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein DDSEFNCQFSSGIKTIAAIPVEPHGVVQFGSTEKITETSEFVNQTKRMFWEIVNVRMLEIASCSSANSQTCPPNGLFPYEDACFRDGSLGPACSSVQSCSFSSNSQAMLLDQNEPVTWLQPNNLVPLQESLASESRNLESWNAFPTQSNTSLPLTNKHQDLLDFGIPDEILQTGDLDISQWIPPSPVQTNDLISQTEKLVHTKTSDFICSTVSGNTGNWGGVLMPVNNGSHLNFHSYKSIKHVVSNDSNALGPRKGLFSELGIEKLLEGLSCTSNGMPPSCTDDRVSSAVKRMKTGNFMSSLQPVVYNSLEGKKEAMPKSQTGLWMANAYSMNSLSRVSQAKKEVEPHKATVKKAKPGTRPRPKDRQQILDRMAELRELIPNGEKMSIDCLLDRTIKHMLFLQSVTKHADRVKQADEPKSCKDKDPSSNGATWACELGNQTMVCPLIVEDLSTPGHMLIEMLCEEQGFFLEMVGVIRGFGLIILNGVMEVRDKIWTRFIVEAEVRRSTSLQKVFINAVESARYTKKFEPDPFKPKPDLKA >KVH89986 pep supercontig:CcrdV1:scaffold_274:124651:132433:1 gene:Ccrd_008024 transcript:KVH89986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MLLKPFASFSMVAAPHRLSEALLGEILHLHFQSPQIFGSSPILCANVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGYTSLQTPFFMRKDIMGKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHIDDWIHPTQLPLRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNGNDSWEMHEEMIKNSEEFYQMLKLPYHIVSIVSGALNDAAAKKYDLEAWFPASSTYRELVSCSNCTDYQSRKLEISNEQMKQYCHLLNSTLTATERTMCCILENYQREDGVEIPEVLQPFMGGKTFMPFQAPPPTKETTKGKKSKA >KVH89991 pep supercontig:CcrdV1:scaffold_274:218161:222885:1 gene:Ccrd_008021 transcript:KVH89991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6 MASSSLFASSPVLQTLAPFAKNKPTPFHFQHQFGRHPFQGFSIKAQTLDFSGTFFEGGLGGEDDPNNSSGSVATAIEEKEEPQCPPGLRQYETMAVLRPDMSEDERLSLTQKYEELLVAGGGMYVEVFNRGVIPLAYSIKKKNKAGETNTYLDGIYLLFTYFTKPESLEVLETTLTMDDDVIRSSTFQIRKRKY >KVH89988 pep supercontig:CcrdV1:scaffold_274:207491:215004:-1 gene:Ccrd_008022 transcript:KVH89988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1242 PIVISYTQLNRVTWTGVHRVGRSYDLCFLLRSCMQFGIRRQTSVVSSSLDCLYSFPLNKSEEEEPQTLYFRSQGSTNMDLKQTASSRDYHDEFDSIVSIMQLSAEYGLSCFYHCLEVEMQFRGFFWKAARIGERLSPWVAAGCFTMGVSIIFF >KVH89976 pep supercontig:CcrdV1:scaffold_274:230170:236160:-1 gene:Ccrd_008019 transcript:KVH89976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MYLSTMDNRGSTVFSSEAMEDLLYKELWKACAGPLVDVPRDGERVFYFPQGHMEQLEASTNQESDQSIPRFDLNSKILCSVVHTQLLAEQDTDEVYAQITLLPEPDQSDPASPDKCLADPPRPTVHSFCKVLTASDTSTHGGFSVLRKHANECLPILDMAQPTPTQELVAKDLHGFEGENGELRVGVRRVAQQHNSMPSSVISSRSMRLGMLATASHAVSTQSRFVVYYKPRTSQFIIGLNKYIESINNGFTVGMRFNMRFEGEDSPERRFTGTIIGVEDLSHQWECSKWRSLKVQWDESTSITRPERVSPWEIEAYTTPVPTSLVQPVAPKSKRPRPSVNIPNLEPACSTVSAVWNPSHEQTENGETEERKGLLGWSVHSHSNYAPLESAKLTKDSIKSSHDLKKSENILSCRLFGFDLKIAPKGDIPKVAPSFERHESDGISDQKPDHPSKDHKGGQSKQSALSRSRTKVQMQGVAVGRAVDLTVLKGYHELIDELEEMFEIKGKLRPRNEWEIVFTDDEGDMMLMGDDPWQ >KVH89977 pep supercontig:CcrdV1:scaffold_274:256508:264047:-1 gene:Ccrd_008018 transcript:KVH89977 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEIPFSFPDNEGFTFVSLFLALMFTLLCSLLFLLPFLILIFSQSNKTKACNCLCFSCNGIDRGSDLMAVTGAAVTRMMNGGGEVVMVERERQQTVGASMMEQLVPEITTHVLSYLDYPSLCSLSMTNSSMRRAANDDNAWKVLYHKDFTMEQNGITPANGWKAYYAATRAIVIINQQFFDIIRERSLLEMGRLWLNADYVKCIHASGDIFTGYNRVLRSWQLAFNWELGNDFQIRDVSARVLTDMAWVTMKAYVAMDHSALNLTNVFEFHNGRWYMVHHHSSEMLLNDEELPPMLG >KVH89972 pep supercontig:CcrdV1:scaffold_274:280597:283577:-1 gene:Ccrd_008016 transcript:KVH89972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTIGPDLKPTAVLDDNSETATNDVPTSADVDEALRNAGLLSDSPPDSPYHIKGDTKEDGPDNVFEIDSQPELDIYGDFEYDLEDDDFVGAGAIKTSKLQEVDTKIKMVFSTFESDRSDSAWRSEDLEAPIVVENSNEDNTKEKSPCISSLPAGEEEILGECEELYGPDKEPLVNKYPAIKSLDLITENNVAEVKDDLGPNLAAEASELPNGNCSDNSAVPAIVSHSSGGTDLPPKPLETVQTKEKKSHADKKQQSDKCSSVFKKVEAYIKEHIRPLCKSGVITVDQYRWAVGKTTDKIMKYHSKDTNANFLIKEGEKVKKLAQQYVEAAQKVENNK >KVH87653 pep supercontig:CcrdV1:scaffold_2741:54824:59624:-1 gene:Ccrd_025062 transcript:KVH87653 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN9 [Source:Projected from Arabidopsis thaliana (AT3G20070) UniProtKB/TrEMBL;Acc:A0A384LCV3] MEALYSKLYDKYTKLKAKKASEIEQLNLDQEEKFKTYVSAADELIGYLTSEKDRSAKDEEQEKYEKMLIEENQKNKQLSEEIERLHRKEFHSSTSNDMLAINQVSGSSISSGRLNNSTKRKRSTDFMHEKEVEVALEHALDKENMTPDAIYSANQPKCCRRRLGSSDASDTTICMFQELVESLVDLKFSTVHQTDDILLTAVHESSGYTFSLGWVKNTAGEEELMYRVSSLGTFERVAPEWMRDVMLFSKSMCRMFFKRLSCIVKLHD >KVH87654 pep supercontig:CcrdV1:scaffold_2741:22141:25726:1 gene:Ccrd_025061 transcript:KVH87654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSKVKIEFNALDTRTAACMEFLAQCNARTAKESNPSCQLLVKRRTDSHPPQISVTFVNGVEEVFDATSTPAQTIRKMILEKGLQLETEQMFREAGEKWPVIIPEEELHQSFPGTKPRKAEEKKQ >KVH96662 pep supercontig:CcrdV1:scaffold_2742:23029:25990:1 gene:Ccrd_001246 transcript:KVH96662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain MEDFNGAFGMGGGGDVQAATSHPFQDNGDYIGGYNMGQNYGFEDSISDDLNAVHDVPPPPSYHQDERMYSQENYGGFSSNDNSKPYDLGTDAEGIFISAGNGDGGGPLLPDPSQMREEGAAFREWRRQNAIYLEEKEKKEKEMRNQIIAEADEFKQKFHKEADKQYWKAIADLIPREVANINKRRARKDKEDEKKPGIVVIQGPKPGKPTDLSRMRYLCLKLKQNPPSHMIPPPSQPETDTKDGKDEKNAKNGKEAKDDSKHKVGSQATTDTEVLAPIEKPTEPATTEASSNA >KVH96665 pep supercontig:CcrdV1:scaffold_2742:69890:71560:1 gene:Ccrd_001250 transcript:KVH96665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLQEKWVLEKEVLEKDLEVYLKSRQGSFRTDKFPGTLVLELDWLPVRTDKNDPLVLCIAGADSSFRLIQVNMYASHLTKLAEQLALFSCQN >KVH96663 pep supercontig:CcrdV1:scaffold_2742:59724:63538:-1 gene:Ccrd_001249 transcript:KVH96663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMIIKKLRTPLVSWDEFCFASSRVFWVLAYSTQTLSPNDVADTSSTAAGTTLYHRLVRFRDPSVVPVLDLWVQEGRAIDQEDLHVIIKQLRKFGRYKHALQICRWMNDKPYLDVSYKDVSVELDLISKVYGLKKAEEYFNKIPNTSRVWQVYGALLNCYAKAKALEKAEATMQKMRELGYSRSLTYNVMMGLYSATEKYEKLDLLMEEMEQKGIRIDKFTYAIRLNAYAKTLEIRKMEMLLLKMEVDPEVTMEWHAYTLIANGYLKAGEKEKALMSLKKSEYLIRPSQRKSAYEILLTLYASAGRKDEVYRIWNLYKNIGKFYNHGYLCLLSSLAKLDCIDDVEKIYEEWEAQNTYFDFHVPNLLITMYCKKGLLEKAETLVKKLIESGKEPNASTWSRMAMGYVKNDGMEKAVEAMRKSILGSFHGWTVDTATLSACLDYLDHKGHLNEAEEMIKSLHQKGHLSEGVYMGILKNLSRKPLVQDLI >KVH96661 pep supercontig:CcrdV1:scaffold_2742:42598:43364:-1 gene:Ccrd_001247 transcript:KVH96661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDNQVRNGARNLKRFFTKTATRKIVIACKKDVTESFRFACSANEIVIIEGLDEENLDS >KVH96660 pep supercontig:CcrdV1:scaffold_2742:12092:12493:-1 gene:Ccrd_001245 transcript:KVH96660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANKFGSFKKLAKRVKVKRTLVRNEPSHHDHLLKTTYEEQEEEDDDGCTGRSLTPTGFFAIYVGDELRRFVVPTGYLSHPLFKMLLEKAYDDEERNNRLVVPCSVAAFRQVVNTVERCNGMFDLRHLVEELI >KVH96664 pep supercontig:CcrdV1:scaffold_2742:44584:64502:1 gene:Ccrd_001248 transcript:KVH96664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVVLRSCKFAGRSLSSNFSSISRVLFNASFSATIAQTPSIQHHDVLLYKRISPAAITPRNSIVPILDQWLQEGNEIIVDKLKDMIKILRNHNRYTHALQLSEWMTNRSYLDQSSGNIAVHLDLVSRVHGLEQAEKFFDTIPDSLKNFKVYGTLLNCYAFKKSLEKAEATMEKMKQLGYMTSHSYHSMLSLYNKTRNHEKLVALIHEMEKTGVRYHRTTYYLQLTAYASFDIKAMEKLLGYMEANPNLSLDWHVYIIAAKGYLNFHQHKKSQEMLKKSEGYVHENTNGVAYEILVTMYANLAEKDDVYRIWDLYKKRWRKINDKRYHHMISSLVKLDDIDGAEKIVAEWESVTRSFCFWVPNVLVNAYSTKGDWKKAETYVERLAGMGKQPSTSTWDILATTFCKHGQMEKGVDAMRKAILSHHHDHSKLNQVTLTACLKTAEAEAEQHQAADEKGRKRD >KVH87651 pep supercontig:CcrdV1:scaffold_2748:22842:64587:1 gene:Ccrd_025064 transcript:KVH87651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M24, structural domain-containing protein MEVKIKSSTHKTENNQLQAADVPALTRITNKWTYIASTNLKTGKHDSHVLIQIASGRRSTELGFKQVEICGCSTRLVGELGLKSTTRIAILVLVFCWGWNKYGQLGLGDVIDCNIPSQVALEGCVPRTVACGWWHILLLAESLTRELIDSNATQSKAYQVLLKAHEAAVGALKPGNKASAVYKAAYAVVEKEAPEFLTNLTKSAGTGISLEFRESGLSLNEKNERILKAWNELLNEVCYKKVAYTRMQDMLL >KVH87652 pep supercontig:CcrdV1:scaffold_2748:22143:40226:-1 gene:Ccrd_025063 transcript:KVH87652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVKQAEECRMILANRPRAVAVELQSQITRRKFVSSMEKNANVQVPETREYFHYNHTDSCKFSRWNSRESYVFMYARPWQKVVHFYSNLVNGHTTLLQSLGTKTHQIPDDASIEPCESEVVITPEKRAGRWARANFKIVLSYHGGSFDGWQKQPGLNTVQAVIEKSLGKFVDEKKAAVLRDKDLPLEGTVVVAGRTDKGVTGFHQVCSFYEPLCFSKFLIFQVNFSLKVQLHFYLRMAQQSIGRNVIADCPGHDKATSGELMPSNIANAFESQLQVIYTWREDVRTQDIEDAINSTIPGKLRVISVSQVSRSFHSNFSAKWRRYLYIFPLNDGETEKESRQSEEDTTLCFHEKIGDQENNKDDFVGEGSLESAVDDKDDLGSIKKPTSGPPTECFIFHARATQVSLTIDKEKGESIEAMCIELVANSFLRKMVRVLVATSIREAAAGAEDDALIKLMDATCRRATAPPAPPHGLCLVNVGVNFEDETCSISLGIQTSLLVIIFSLFYLNSQRILLNAPKIEITKVFSSAYILPPNLIFKQDMFGFVFGSVCKICIKSYASDTTSCT >KVH94158 pep supercontig:CcrdV1:scaffold_2749:1777:2496:1 gene:Ccrd_003781 transcript:KVH94158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C12, ubiquitin carboxyl-terminal hydrolase 1 MNCAEVDIGSELSALKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKSAGKYDDVYHFISYIPVDGVLYELDGLKEGPISLGQCSGGQGDLDWLKLVQPVIQERIERYSQNEIRFNLMAIIKNRKEMYTAELKELQRRRERLLQQLSTLQTDGGNVEALNKSLLEVNAXIEGATEKILVEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLRPLIEKAKQKTSNPR >KVH94157 pep supercontig:CcrdV1:scaffold_2749:14532:23744:1 gene:Ccrd_003780 transcript:KVH94157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3755 MAASANPSANNNGSTSNGNGATTVNNSGGGGGGAAAVQDNSVVGPTQSALRHNPGLSVEWAPDEQSLLEELLTKYASDSSVLRYAKIAKKLQDKTVRDVALRCRWMTKKENGKRRKEDNNSSRKNKDKKEKVTEHLAKSSSHTTNRANGPPYAQSAVSMDSDDGISYKAIGGTAGQLLEQNAQALDQISANFAAFKVHENINLFCQARNNIVAILNDLNDMPEIMKQMPPLPVKLNEELTTSMLPHSSLQKKS >KVH94159 pep supercontig:CcrdV1:scaffold_2749:65009:72278:-1 gene:Ccrd_003776 transcript:KVH94159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEHTGQPAPNNPLFRPVSCSARELHGSESQLPSFKPSKTKSQIGCKRFRLLAAMAAGEEDQKPSIRSSIKSHMSFNNYPRWKDKLRENCYKRVRADRTRLLWKMRLPDSKDHSLRHEELIKSTFQDIVSDELRKIKETPVRSCSGTLNPDPETSDDILWEYDGPHAAFQGECEEILLEMQKIFYEDLSSDQIGKEPDSYKVWEEEEDEYLARAVFENMQLNNDKVQKVVWCPICKRGELEQNRHLIFCTLCELKLNRGNEVTLEFLRDRLAEAHGQHFDRGCRLSPKFCIQSKFGITALYMECQGCNTFEIVI >KVH94160 pep supercontig:CcrdV1:scaffold_2749:30336:48099:1 gene:Ccrd_003779 transcript:KVH94160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MAKVLMVGGSSPELSPEEERLVMRDIAMAGEAQTKEGDTFCLITQRSPYWPKTSLHLLFLLFGGGSEGMTEILTKDVSLTELSPEEEKRVISGFAWEVEAQAKEGDTYHLISWRWWRIWSAFVNHNPATSTNEGSSLESGGSRTLKRPPSIDNSDLICESASDNSTIEIYDTLVEGTDYILVPEEIWNQFYAWYGGGPALARKVITRGSSQTELTVEVYPLRLQLHLKSGADQHAIRMSRKETIGELHRKACQILDLNSDKVHVWDYYGCRKHILLNDLDKTLDDANIQMDQDILVEVIDHSGGCTSVVQENGSTKNVSIAVVEPARMNNSIGEGSSADKVVSKSCNSELSQFQNLSFAPRDSDRTPTSLGVSTRGSCVGLIGLLNFGNTCFMNSAIQCLVHTPEFARYFRGDFYQEINWHNPLGMEGELAIAFGELLRLLWAPGRASFAPRTFKAKLARFAPQFGGHNQHDSQELLAFLLDGLHEDLNRVKQKPYINPRDADGRPDEQVADEYWANHIARNDSIIVDVCQGQYKSTLICPVCDKISVTFDPFMYLSLPLQSATPRTMMVTVFSCDGSVMPATCTVTVPKEGRCRDLIHALSRVQGHLIRQFLEDPLMSLSVIKDDDRLTAYKIPKETSKARSTTWKPYGTPLIFPVSSDATITRGDIQLIAHTMLSPLLKHQKSNTNTSSVASNPSSVNNGNRPYSPPKENSDSILKLPLKLVDGNNTLIDISVGEEKIIRLSSSSVSVLLYIDWSPELLKKYETHYLENLPEVFKSGHGTKKARIEPLYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRTVKNKLETFVNFPLRDFDLTKYVANKNNSHPQVYELYASANHYGSMGSGHYTAHVKLINENKWYNFDDSHVSTVSEDDVKSNAAYVLFYRRVKPDDALLSN >KVH94161 pep supercontig:CcrdV1:scaffold_2749:50534:53204:1 gene:Ccrd_003778 transcript:KVH94161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding domain family 9-like protein MIHAQSQSQSPSPSQDSCNSNLANLNGQFFFDTSSLNCIPVWSSENFILRYGQAGPSLWSFVLSTPSTNSYVGMGFSSNGGMVGSSAIVGWVGGGSTNMKKYLLGGQTPSQVLADEGDLQIVGNTSSIFSESARIYMAFQLVTNQPRQRLVYAVGDSSSPPPGTPSFRLTRHRSQIALRLDYASGEGSRIKAPYSNLKRAHGILNMIGWGILLPVGVMVARYFKHLDPLWFYVHTSVQSLGFILGLSGVIAGLVLDGRLDASVGKHKGLGITILVLGCLQVLAFMARPSIDAKTRKYWNWYHYIVGRLIITFAIVNIFYGIHLAKAGSSWNAGYGVVLGIFVITVLVLEIRMLRKK >KVH94162 pep supercontig:CcrdV1:scaffold_2749:56015:64434:1 gene:Ccrd_003777 transcript:KVH94162 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDEFGMLAKDFGFRPQGKSAPMKSEGSRPPFSSSTIAEEDHMFSDVFGGTPKNTNNSKSTSAMADFDYDAIFKNSTSSNNDEAKSKATSLNLPVYDKPVYDDDIFDGLPGLKSKSMSSSASPRYEDNIFASMTTSPPKRSQQSDHFDDLMGSLGRTEKVESTRHHRTKSPRGFDDLIPGFGSGNPATSNRLNSEPTPKSTANTKEASNAVDDLFVGLESTSTTANSYQSFSTDPPEEIGSVSKSRIRKNRVSSKNGSMFDDIDPLNGFGKSAPIFTNEMNNRGKDKSPSKEGSATDSAQSSISKDSTQKASFRYSESRSQEMPIDDFQESHQTVFDIPAIPTNHHRSFDQSTSPPYMETSSQMGMSPTSEPVQQADDVWLSVSEIPLFTQPTRAPPPSRPPPPIPRHILKPERGSLGSNSRNFVNDSSSSPNFAKNSRSPRPFQPVARSSLSSPLDDLENFATGMMPNNGDDHADAQSGEEMDSILAAAAMKEAMDRAEAKFRHAKEVREREHAKAFRNKESVQPDKDEQGIEEGLRRDRLDRERKQREKEEEEREHRQLEKDRMGEIERQKARQAVERATREARERAALEARERASVEARLKSERAAVQRAQAEARERAAVGAKERAERATMEAREKEAQEKAAVARAEEEARRRAEQSVARAEEESRRRAERAVAQAEEESRRRAERSAVERVAAEARKRAAAEARERAAASRMNQQKNDNDLESFFGTASRPSSAPKPRETSSDSAADLLSQNRRGSEGAHRASTAGVSSNMRKASSTTNIVDDLSSIFGAATAAQFQEVVGETEERRRARLEREQRTQERAAKALAEKNQRDLQSQREQEERHRIAGTLDTEIKRWAAGKEGNLRVLLSTLQYVLWPECGWQPVSLTDLITGANVKKAYRKATLCIHPDKVQQKGANLQQKYVAEKEAWNKFNSEELF >KVI06835 pep supercontig:CcrdV1:scaffold_275:317057:319478:1 gene:Ccrd_014809 transcript:KVI06835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MKRNFNCSDSLTHFLSHPLEAEMKAEEECIYNGEFQSMVDGGGGGGGGGDGEDYGGEETGQFGDKKRRLSNHQVKSLEKIFEVDNKLDPDRKVKVAQDLGLQPRQVAIWFQNRRARWKTKQLERDYTLLKSSYDTLKQNYHKLEQEKETIIKELVELKAKLHEGTTERNDEFEDRENALFLGSDPTNTNHEVYTSLNYEKTRKLLDLKDGFSDSDSSGVLNDENMNVNNPRGSPFLNMKNFGLSASLCSSSATTSNHTELVDPRAYQQQSDPYLVSNVEEESCNIFLVDQAPNLCWYFRDHMN >KVI06832 pep supercontig:CcrdV1:scaffold_275:5744:26339:-1 gene:Ccrd_014812 transcript:KVI06832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MMILNATTPCLPDSSSKFSNVNHSLPLSAFGATDATGVIWSRNASGKSSVSGLSCKVKGLEPVKHKSTCVHSQRAEEIVVEDEVTVMSETSPALKNIPGLPNPLGVSETEKGINFALFSQHATSVTLCLLLPERGDDASPNDGMIELKLDPHANKTGDIWHICIQELPRSNVIYGYRVYGPQGWHQGHRFDNSDVLIDPYAKLIEGRRIFGDASDRFSKLYGTYDFSSLPFDWGDNYAQPNIPEKDLVVYEMNVRAFTADKSSGLEQDICGSYLGLIEKIPHLLELGINAVELLPIFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMTRYASAGGGPIGASQEFKEMVKALHLAGIEVILDVVYNHTNEADDKNPYTTSFRGIDNKIYYMVDGNGQLLNFSGCGNTLNCNHPVVMELILDSLRHWVTEYHVDGFRFDLASVLCRGTDGSPLDAPPLIRAIAKDSILSRCKIIAEPWDCGGLYLVGKFPNWDRWAEWNGMYRDDMRRFIKGDTGMKGGFATRVAGSADLYKVNKRKPYHGVNFIIAHDGFTLYDLVSYNYKHNDANGEGGNDGSNDNLSWNCGYEGETSEANIKALRFRQMKNFHLALMVSQLDARKNDCFRFFSEVIKFRKKNRVFSQEYFIGKEEITWHEDNWDNYESKFIAFTLHDRDEGDVYLAFNAHDYFVKVDTNLESPHDMVPDGVDGIGDTYNVAPYSSILLQAKP >KVI06833 pep supercontig:CcrdV1:scaffold_275:312728:315550:1 gene:Ccrd_014810 transcript:KVI06833 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit MQSSQLLLSSLLATEKRSEGMIKQKLPIFVNGQFIGIILDEFVVNNFQSVLLSIEGKLDVQRDKLMSKKEGFLPNMEFEQDPVQILDALMPLVLQESLASELAARMNAMSNATDNAIDLEKTLSNAYNRQRQSKITGEILEIVAGVE >KVI06834 pep supercontig:CcrdV1:scaffold_275:48601:62610:-1 gene:Ccrd_014811 transcript:KVI06834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVPTTIEDQLILRAIKEECSWESLPKRLQSTLNSKDEWHRSIQSVILEANVVDMDLGLSYRITEHCIKKRLQWNTSFVRKVCREGEYYEDMMRYLRRNLALFPYHLADYVCRPFHFISKKNLMFISSAEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKDSIHMTIKCFGLLELVDLTIEVIGYRAVPKGSCAALWCMLAESIVSSKEGKMKIMWKLNKSIAKEALPTHPVDFAIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANAFILFDPVVIKGLHRRGLVYFDVPVYADDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENSTVAELATTLQAELSQLQAAASFACRLGWAVKLIDPGSILQEQTTSALSDEEEGSLATVASGTDAGLFQQDTSGIDNHGPGSAYARVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGYASIEDLCKDLSTLEGTKFEGELQEFANHAFSLRCVLECLTSGGLLPDELDMPDIGSSSNEEVTSIVAEISLREESGTVISEPGPLANNSMQPETPKQGSDTTCTNGNNDDVNLLPSKSQEDSSKLDQGLQDAVSPDVGKIMKKVRKYRVDILRCESLATLSSTTLDRLFRRDYDIVVSMVPLPHSSLLPGPKGPVHFGPPSHSSMSPWMNLVLYSAIGSGPVSVVLMKGQCLRLLPAPLAGCEKALVWSWDGSTVAGLGAKFQGNLVKGSILLHCINSLLKHTAVLVQPFSRYDLNKSGNMNTIDVPLPLKNSDGSFADIGKELGLGAEESSKLNSLLRNLADKIPLWTIGYIRILKLFKEGNTNMFAPDDVKYEWVPLCVEFGIPLFSPKLCNYICNRVVSSQLLQTNLFTEHHDTMQELRRRLRDICLEYQATGSSARMLYHRDQPRTQKRQLMTYASQRWNPITEPSSPISGTNDLQRLKLANRQRCHTEVLSFDGNILRSYALGSAYEGTTKMNEEMTSGKVESDDKDSGETVLPGVTLVFDGSELRPFDIGACLQARQPASLIAEASATSSAIK >KVI04766 pep supercontig:CcrdV1:scaffold_2750:37452:42187:1 gene:Ccrd_016915 transcript:KVI04766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWFDLSYGDLRFKEQRRLTWEVHWSIIRFLELVKQMNTKDVEDEHE >KVI04764 pep supercontig:CcrdV1:scaffold_2750:21265:26503:1 gene:Ccrd_016913 transcript:KVI04764 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MESRRLLKSMARLLDDCKKMRELKQIHSQIITSPYFSKSDNLFLISRLIFFCAVSCSGSLTYASRVFRVTDNPNLPIYNAMIRAYSCQFSNKDHKPHSLVLYKQMLLNCIVPDSITIPFLLKECGSRFYFVVGQSIHAHSVKFGLHHDVYVGNSMIGFYFACGVLTYACNVFDEMSKRDIVSWNSIIIGCLRSAELDMALDLFTRMDKKNIITWNSVITGMVQGARPKEAIEYFKEMLVSREDMIYPDKITLASAISACASLGWLDHGKWVHGYMLRNGIECDMVTRTAMVDMYGKCGNVDMAKRVFKDFPKKDVLAWTSMISVYALHGYGNEAFDLFDEMVACGLRPNPVTFGALLTACAHLGLIERSRWYFNIMKSVYLIDPTVQHYACMVDILGRAGLFDEANRLIASMPIDPDVFVWGALLGACQMHGNVELGEKVAKHLISLQPLNHVFYFTLCDIHAKAGKFDDLENTRALMNDRGIKKDMPGSSMIEVDGIIYEFSIKGSSEVLVEETKSLLYQLTEVMKVDQDTLLVGKRYEKAKPRLFVKQVCLLSMMKTLLVSTISLTGFYFSASFMLTLVGLVLLSVIGLLSALYVPYFQELMSNDHRPPVVGSTMNMLMHFNHLFDYMTLIARKHHTFRFVTPTHSEVYIADPINVEHVLKTNFPNYTKGDYHKGIMGDLFGNGIFAADGDIWRHQRKLASFEFSTKVLRDFSTAVFRSNTAKLVTKVSEASVHKQIIFLQDLLMKSTLDSIFKVGFGFDLNTLSGSDEVSNRFMKAFDDSNCIIFWRYVDLLWRVKRYLNIGSEAALKTNIRVINNFVYELINHKREQMKNGKFDGQKDDILSRFLIESEKNPANLSDQYLRDISLSFIIAGKDTSANTLTWFFYMLCKHNMVQEKVALEVKEATGAEHTYSIDEFSHKLTEAALDKMHYLYAALTETLRLYPAVPLDGKFSEKDDILPDGFKIKKGDGISYMAYPMGRMTYIWGEDAEEFRPERWLCDGIFQPESPFKFPAFQGGPRICLGKEFAYRQMKIMAAFLVFFFKFQLVDENKEATYRTMFTLHMDKGLHLYALPRLPPSL >KVI04765 pep supercontig:CcrdV1:scaffold_2750:12146:18015:1 gene:Ccrd_016912 transcript:KVI04765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 MSGKLCSVDKTNVHGSAPDIAGQKLNHGVVVSCTSSTCHPFIPFLQSSKLLTPVVIFFVSSKMAWYRRSKLGFDATSRYFISKITAPKSPIRNPISSTNHDNKLSKFTSSAISLFSPITVDRSLGFRFFGSGYRYYYVDSRQIRHFKPRGFKGWFDNPRNLFIAVLVGSGVGVTIYFGNVETVPYTKRKHLVLVSRNMEKMLGESQFQNMKAGFKGKILPAMHPESVRVKMISKDIIEALQRGLKKEEVWTDLNYASEGGAASASRGREALMAMAEETGGEEKWPAKDEVLDDTWVDQSRKKGKEKGEVSATAHLEGLKWEVLVVNDHVVNAFCLPGGKIVVFTGLLEHFKTDEEIATIIGHEVAHAVARHSAEQITKNLWFTIGQLFLYQFVMPDLVNVMSNLLLKLPFSRRMEIEADYIGLLLMASAGYDPRIAPKVFEKLGQVAGDSALRDYLSTHPSGKRRSKLLSEASVMQEAVGIYREAIAGREVDGFFL >KVI04767 pep supercontig:CcrdV1:scaffold_2750:30351:33367:1 gene:Ccrd_016914 transcript:KVI04767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MKRVYGSRKSVMVSNEDEDSSVKCRERRRRRIAIRRMAAVAADASGSSLVVGENEGQKDVAKTTSGDKPTTSITAASIMSLINIMETPPPPVYGMMSVIGRQREMEDEVSVKTNLCRPEINGFRPVHFFGVFDGHGGRHVSALCKESMHVIMEEELMQAKVIEGETNGGEGGGGLWRTAINRSFQRMDEMALRLCLCGSSSICRCSPRLSFMGSTAVVSILTKEYVFVANCGDSRAVLCRNGRPVPLSIDHKPDREDERARIEACGGRIMFADGARVEGILGMSRAIGDRLLKQWVTSEPEISITRREAGDECLIVGSDGLWDVLSSELACKIVHDCFVEHEHDVEPQIDSAATLLVRLALGRRSTDNISVIVIDLRN >KVH99263 pep supercontig:CcrdV1:scaffold_2751:25086:49612:1 gene:Ccrd_022505 transcript:KVH99263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MEVRHLAVDAKRKAAGDDVPAAPWKLTKCQKLSSENFMVQLEICSPDSFLVKPLRVDGYTFPGEAACFEKLSECLSNVLPSHYTQNHVGGEACVFKLTDYDAVVKSLKIEHIDYKDIPYVTRRAVSILSRPYVEGRWEPCRPEHLSDVKVDELMGKLPKFLMDVLLPFQVEGVKFGLRRGGRCLIADEMGLGKTLQAIAIASCFMNEGPILVVCPAILRYTWAEELEHWLPSCLPSDIHLVFGHQNNPANLARSPKVVVISYKMLHNLKKSISKQKWAVLIVDESHHVRCTKRPSSEAGETQAVLDVSSKINRIVLLSGTPSLSRPGLLGKDKIAFAKTYCSMSSSRTSQGIVYQDFSKGIRLEELNVLLKQTVMIRRLKEHVLMQLPPIRRQIINLVLKKSDFDSAIAICSRANDDASTENDVEDGPSEEDKHHDKSKISAFHLFVYVNKVGLQSLNSTHISCKNFLFFFFLSEDAGRNSSKPSNQVIGIAKLSGFIEWLLMHPIIAESDDDEKFESSQSSHKMIIFAHHHKVTDRVQVRKCFLSPFEFLCEKGIKFVRIDARDASAKDRQQAIHSFQHSKEACRCDMTCQVKIALVGILAGSSGLDLSAAQNVVFLELPEKISDFQQAESRAHRRGQTKAVNVYIFCAKDTSDESHWKRLNRSLHRTSSTVDGKYGSLKEIMVEDVSLLETIEGRDKTENLLFEGENDGESSSIELVRCSQYSCGEEMQSDEVFDGTTISRNEDANSSDSYLKYSYKNNAFIAPDVNNEELMAPNGTVEEYIHEGRRENRVLPHTSHEADKSNVMELPSDGEPIDQVEADTDCIPAHSLRFEVSKYTGRIHLYSCILGVEARPTPLFKNFRPEELETKDPPIHDSKKLARKCINYDLIYRPALVYFVKEWNSLRPIEQSKLYNKPLQLPLAVELCLNESQNHDKEGLLKGGSKKRGTSLDDISHPLPPNAVWKSVSLFHGKKERHYLQGWTLLGEPLCKLCQKVCKKKSAKEPEFFEDFFCDLECYEEYRLRTSNRYLRKGLAQIEHGICTNCHLDCRKLVKNIKPLSLEKRREFVMREAPKMAARRSLLEKLISDPSEGNAWHADHIVPVYRGGGECRLENMRTLCVACHAEVTAAQCTERRSERAKAKKQLNRMLAKLKAVQDAAKITEEKDHDENNTFDDELLVNVPGSSYGTTNNVCN >KVH99265 pep supercontig:CcrdV1:scaffold_2751:13671:15230:1 gene:Ccrd_022507 transcript:KVH99265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine dehydratase, pyridoxal-phosphate-binding site-containing protein MAASSLLRSSPFFLSPQQPNPRTINTTPKFLTIKATIDKPIETTPAIKHRRPADESIRQEAHRRCSSERDNFSAKYVPFNADPDCTESYSLDEIVYRSRSGGLLDVQHDMDALKEFDGNYWKALFDSRIGKTTWPYGSGVWSKKEWVLPEIDSDDIVSAFEGNSNMFWAERFGKHHLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSLDTDFDGCMQLVREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLHFKSGWSDFSPVKAKTTFASAIQIGDPVSIDRAVYALKNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALVKLRNSGVIRPTDRTVVVSTAHGLKFTQSKIDYHSKSIPEMTCRLANPPVQVKADFGSVMDVLKKYLLSKDSKQ >KVH99266 pep supercontig:CcrdV1:scaffold_2751:19035:22147:1 gene:Ccrd_022506 transcript:KVH99266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDLCLRRSSHPCSSYFDYQMWGFASNAIAGSSGLKNDSLRPAQPSSDCSDDEVSVNNSREEGFECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVKLPTLPIQLPFFISCPWCNQLSFRLVYKGNLKFPRKNYFLLWMVESMNGDRVKSHSSSCMDHLPVSGWSWNRGWASNQLSSSRGSNTVGPGVGDSNRQQDSRVTHSNTERLHSSLRKSLIFFVHLTAKFPLVFIFLLIVLYAIPASAIILAVYILITILFAVPSFLVLYFAYPSLDWLVREIIN >KVH99264 pep supercontig:CcrdV1:scaffold_2751:51546:51824:1 gene:Ccrd_022504 transcript:KVH99264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MATAVPPPTRTEIISLFRSLLRSAREFSDYNIREYTKRRTIDSFRDNKTLSDPSSIAAAFTDGKSQLQVAKRQAVVYSLYAPKVKSIMDIKP >KVH99262 pep supercontig:CcrdV1:scaffold_2751:61698:65837:-1 gene:Ccrd_022503 transcript:KVH99262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MGCTHFEPDRAVDSLSGIVSQTTDSTTCEHGGGTLSETKNVSTVMQSAGNSGVWTQHVVNDVIITSWQAESSSQPMQCEVCNITCTSGDVLEIHKKGKKHLKNLQKLAITALIAPQMPPVAPSAPLVGVLENKKHKLLQNGASVDTLIYCDICNVVCNNQDVFRKHLDGKKHSAKAAARLADVNEISNPTSECVPEAQPSNGGSQMKPDTLQPARCELCNISCTSNEGLSVHLTGKKHLKKLLESDQIPDPSLTPIASLGTPPTKPMENLESTEGKSVISHEGKPAWCELCGIDCNTYDGLRNHIWGKKHQKKLEISEKPIGPNPAPATLQDSWKEEGKVVNVDGGNRKAKRVGNDEDLETKKQKVLKGGAASGAVRTCTVCNVVCNSPTVFISHLAGQKHAAMAVKQAETKSNGQET >KVH99261 pep supercontig:CcrdV1:scaffold_2751:5979:7764:1 gene:Ccrd_022508 transcript:KVH99261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSQKSCRKFKDVSLEELREKVAEFAKVRNWDQYHSPRNLLLALLADVCGLDLGQAALSKIVKNSQKYPLIK >KVI04021 pep supercontig:CcrdV1:scaffold_2752:45626:48588:-1 gene:Ccrd_017674 transcript:KVI04021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MGFFYFCFSLFLSISISISISSINACDRCVHQSRVSFFPKAFSLSSGACGYGSLATALYGDHLAAAVPKIYKSGSGCGDCFQVRCKDSSLCTENGTRVIVTDLAQSNQTDFVLSHRAFIGMAKKGMRPYLLKLAVVDDGSSNWSPMNRIYGAVWDTNRYLAGALQLRLLVISGYDGKWIWANTVLPADWEIKGVYNSPIQIDDIAQEGCSQCDQQIWN >KVI04022 pep supercontig:CcrdV1:scaffold_2752:35795:41546:-1 gene:Ccrd_017673 transcript:KVI04022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1014 MPPKKMGVNSKAEAAKARKSATEAERKEKEARDKEEKYWREAEGAKSRAAKKREEESEKRAEAAARKAEARRLAEIEEKELEKSLKKVDKKTTRVAIPVPKVTEAELRRRKEEEQAQILKKAEEDKRKQSRTAKEEEYEKMILVENTNRDDAIIEARSVEEAIAQMSVADSLPVDKHPEKRLKASFKAFEEAELPQLKAEKPGLTHTQYKDMIWKLWKKSPDNPLNQVAEK >KVI04024 pep supercontig:CcrdV1:scaffold_2752:13954:26311:1 gene:Ccrd_017671 transcript:KVI04024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MDQQPLVEDPERKKKKEEKVAKEKELKRLKAAQKAEAAKLKAQQGNSSSKASKKKITKADAAEENPEDYVDPDTSSGEKKKLSRQMAKQFSPAAVEKSWYAWWEQSRFFEANSQSSKPPFVIVLPPPNVTGALHIGHALTAAIQVSQITRNFLIKHSFSFSSLQLDSFKIADTIIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKIMRERKMTRHDVGRENFVSEVWKWKNEHGGTILKQLRRLGASLDWSRECFTMDEKRSRAVSEAFVRLYKEGLIYRDLRLVPGYKNMVEFGVLTSFAYPLEEGLGEIVVATTRVETMLGDTAIAVHPQDSRYSHLHGKFAIHPFNGRKLPIVCDPILVDPKFGTGAVKITPAHDPNDFEVGRRHNLEFINIFTDDGKINSNGGSEFVGMPRFEARVAVTEALKSKGLYKGEEKNEMRLGLCSRSNDVIEPMIKPQWYVNCNSMAKEALDAVMDEKNRKMDIIPRQYAAEWRRWLENIRDWCISRQLWWGHRVPAWYVTLEEDKLKELGAYMDHWVVARNEEEAKEEASRLFVGKKFQLAQDPDVLDTWFSSGLFPLSVLGWPDNTEDLKTFYPTSILETGHDILFFWVARMVMLGMKLGGDIPFTKVYLHPMIRDAHGRKMSKSLGNVVDPNEVISGIPLEGLHKRLEEGNLDPTELKVAKEGQKKDFPNGIPECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMTKLGDEYTPPTKIVPESMPFSCQWILSVLNKSISKTISSLDSYEFSDASTAVYSWWQFQLCDIFIEVIKPYFSSDDPSVASAKRYAQDTLWVCLDNGLRLLHPFMPFVTEELWQRLPAPQHYPREKSIMICEYPSIVECWTNERVEYEMGMVESAVKSLRSIKANLPAKERNERRAGFAVSRTDQIVDLLRRHEMEVSTLANLSSLTVLTENDAAPAGCAVSVVNESLSVYLKLQGAIDVEKEREKLKTKLNELHKQRENLNKTMSAKGYEEKVPDHVKEENVSKLAILMQQLLSIEEAAQHFEREVAAKASALQD >KVI04020 pep supercontig:CcrdV1:scaffold_2752:56458:59922:-1 gene:Ccrd_017675 transcript:KVI04020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MRESDDQVSSAPISPRPPSAGHWPPRVISAPPSQLQSPSLSRSPLSQTPHSTTKTKTGHKTTLSHTLSVISAPVSQYNSPSMSRSPFLGTPDRPMTVPASGARTPLGFIRSRNLTPKFLTPLASPLRKVLHLTKLDPHDAWLPITESRNGNAFYAAFHTLCSGIGIQALILPVAFTFLGWVWGIISLILVFIWQLYTLYLLVNLHESVETGIRHSRYMQLANAAFGGTCVALIIIGGSTAKIFFDIVCDDSSCKAGRLTPTEWYLVFTCGAVLLSQLPNMNSIAGISLVGAITAIGYCTTIWVVSVVEGRPPNVSYGLVKARSDVARVFNILNALAICVFPLAIGGYWAYGREIPASGMLNALLMFHGKDLARSVQGLASLLVIINSLTSFQIYAMPTFDEMESNYVIRFKKPCPWWLRVVMRSFFGFGSFLFAVAFPFLGSVAGLVGGIALPVTLAYPCFMWLKIKKPQVYSPKWWLNWGLGVFGMVLSVVVIVGGFYTIIDTGIQVKFFKPQ >KVI04018 pep supercontig:CcrdV1:scaffold_2752:64538:69605:-1 gene:Ccrd_017677 transcript:KVI04018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MISPKKLARITRKWQNLAALKCKKMAVPRAADCGCSATIVDKGCFVVYTCDEIRFVVPLEYLKNEVFQEVLEIAEQEYGSQSDGPIRLPFKATFMQYMVSLIERQMCKDVEEEFRTGSITSWRCLSTSKLPKKLIRMATLRQKRFTFPRAANGTTRGIDKGHFTVYTSDEIRLVMPLCYLKNEVFLELLKVAEDEYGLQIDGPIRLPFEATFMEYMISLTERCVCNDLEKTLLMSIITSERWLSNSNIQLEQSHPQ >KVI04023 pep supercontig:CcrdV1:scaffold_2752:30236:32595:1 gene:Ccrd_017672 transcript:KVI04023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MQKLNEGFTGLRYLCIFLCIVSTVNAEDPYRFFTWNVTYGDIYPLGVRQQGILINGQFPGPEIYSVTNDNLIINVFNNLPEPFLISWAGIQQRRNSYQDGVYGTNCPIPAGQNFTYKLQVKDQIGSFFYFPSIGFQKAAGGFGAIKILSRPLIPVPFPDPADDHSILIGDWYKSDHTRLRRIMDLGWRLPFPDGVLINGRGDGGVAYNVEQGKTYRLRISNVGLQNSLNFRIAGHTMTLVEVEGTHTVQTSLSSLDIHVGQSYSVLVTADQPPQDYYIAVSSRFTSQVLNTTAILRYTNSGKAVSGPPPPPPTTDITWSLNQARSIRTNLTASGPRPNPQGSYHYGQINISRTIKLTGSASIINRKQRYSVNGVSFIPADTPLKLADYFNISGVFKVGSIPDEPTGAAPYLDTSVMGADFRDFIEIIFENRENIIQSWHLDGYNFFVVGMDSGRWSNTSRNENEFLVRQYLGEQFYLRVYSPVMSPRDEYPLPQNALLCGQAVGKRS >KVI04019 pep supercontig:CcrdV1:scaffold_2752:62120:62545:1 gene:Ccrd_017676 transcript:KVI04019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MINPMKLVRMARKWQNLVALRRKRIAFPRAVDGGRGATIVDKGCFVVYASDGVRFVIPLDYLKNDIFQAILVMAEEEYGLQNDGPIRLPLRATFMNYTISQIECPMSKEREEELRIAITSWRCLSTSNLLSEQAHTQLLVC >KVH87650 pep supercontig:CcrdV1:scaffold_2753:48048:66004:-1 gene:Ccrd_025065 transcript:KVH87650 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MGTNRGGSSSSSSFGRPSFCCKMFPAKPSSPSSFTIIRLFVLLILFIFIFNFYSRAILEDEENLNLRLSSQDSVAQQLPQQLPSEKLWEAPFSAGLHSCVNPTSRYQAFQEGDHYITVRSNGGLNQMRTGIADMIAVSRMMNATLVIPELDRRSFWQDKSIFSDIFDEDHFIDSLKHDVRIIKKLPPELLSVPRARKHFTSWAGLGYYEEMTKLWADYQACSFRTLLCLPSTVIHVAKSDSRLANNDLPLDIQRLRCRALYHALIFSPSIETFGKKLVERLRSHGGRYISLHLRYEKDMLAFSGCTYGLSSAESEELRLLRENTNHWKVKKINSTEQRISGLCPLTPKEVGIFIQALGYPQSTVVYIAAGEIYGGKAHLSELTSRFPNVVFKEMIATEEELEIYSNHASQTAALDYIISLESDVFMPTHSGNMARALEGHRRFLGHRKTVSPDRKGLVDIFDKLESGQLKEGLTLQQLVKHLHEKRQGAPRKREGAPDGIKGRARYKAEESRVHKDLPITVIM >KVH95016 pep supercontig:CcrdV1:scaffold_2755:44825:50420:-1 gene:Ccrd_002915 transcript:KVH95016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase VLGQPFLILIYLAIRFVGNSSSFINEASVDPFLIGPSTIIGRTIAVRVLFCNSMNHFRHQVLHLLLYYIERVKTNVSAVVTPANSWLHPRNPQGILLLVILIAFVLKRYSKVKTRAEMAYKRKFWRNLMRAAITYEEWAHAAKMLDKETPKTIEHNLYDEMLVRSKLEELRHRREECSLRDIVFCMRADLVRNLGNMCNPELHKGRLQMPKLIKEYIDEVSTQLRLVCDSNSDELHLEEKLAFMHETRHSFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIISGSSAGSIICSIVATKSWPELQSFFNDSWHSLQFFDQIGGIFSVFKRVMTQGAIHDIRHLQAVLRNLTNNLTFQEAYDMTGRILGITVCSPRKHEPPRCLSYLTSPHVVIWSAVTASCAFPGLYEAQELMAKDLSGEIVPYQPSWGREEANAASFRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFMRAYGGNVAAKVLELGFPLGGLAKLFAQDWEGDVTVVMPATVAQYLKIIQNPSHLELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHVRRLKRSAERAAAASSRGPPNMVRFNASKRIPSWNCIARDNSSGSLDDVNSWRPHHDGSDSESENNEPNSWTRSGGPLMRTPSADEFVDFVQNLETGSKFDNLRIVSEIDIRDTSNRVHTRSDHITITDGDFLQPERIHDHIVFNVMRTQDHAPSNSENNSHPDFVAECARHL >KVH95015 pep supercontig:CcrdV1:scaffold_2755:13049:13827:1 gene:Ccrd_002914 transcript:KVH95015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRFHPSSHSVRILFEVTTCLFIIYLYQLQPLTSLYPWLKGTVSLQIFNEMFIYVASSNRYFLLLLIKIDSGTDSNLNISQSQLKNLIFHSLYLWQNGNEFYSESSHLE >KVH95017 pep supercontig:CcrdV1:scaffold_2755:70916:71935:-1 gene:Ccrd_002916 transcript:KVH95017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MLLYLSKKDDYRMTIRSPRQRFMLSITLGFIIDRSSPSPAPTPTTREYCQRSIERTLSSPNGQSMLGRSLSSRRTIVPLLLLLLPPFALKPINGFKTSNXQSMFXRSVLATDSVQEFHFNLYMYVLIVWIVNSIFKSLLSIHTVLLYLMPSTFSLLDSSGIKAKQPNYAVIKCARVQLIKNGKKIVAFVPNDGCLNYIEENMGSS >KVI07507 pep supercontig:CcrdV1:scaffold_2756:16505:20463:1 gene:Ccrd_014131 transcript:KVI07507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MAARNALLKYLRVNATPVLQSSSVRNPRVVGGGVIQLFRRHFSEEVRGSFLDKSEVTDRVVTCVKNFQKVDPSKVTPTAHFQNDLGLDSLDTVEVVMALEEEFGFEIPDTEADKISSINHAVDFIASHPQAK >KVI07508 pep supercontig:CcrdV1:scaffold_2756:23554:29963:1 gene:Ccrd_014132 transcript:KVI07508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MRINTTMLLILLFLCHNYSSSGKNREILEIKGDNDVVWIVQLSDLHFSVHHPERASDFQKFVGSTLSLINPSLVLITGDLTDGKSKDLLTMKQNEEEWVEYQKTMEDVIKRSGLNKSIFYDLRGNHDNFGVPAIYGAFDYFSNYSITGQLERSQSVNSVSVYLKLHFLYILQFYVKPITSKRNLLFVSFDSTMSTGLRGPTNLFGHPTDQLLTELNSHLSQWDSTFVKPLTKIAFGHFPLSFSAPSHSKRTLKDIFLKHSITAYLCGHLHTRFGKNLKRHHEMDHNLVNSHHLFQLNIHQRTSSSSTNCPSGGPTVDEFWEWEMGDWRKSRAMRIMAIDRGRVSFIDTDLKIGAKEILILPTYPLDSRYMSTTELNKYKCQSMDDASHIRSLVFSSTPIVSVKAKIYDSSRGDLYMVLETPMSKHGNLYTAPWNSKAFMDLSPDRYWLEIEAIDINDRLSSTEPRPFSLNGLRADLSWTWKEFFVMGCQWAALYYPIFWSFYFLAFIVLLLPKAIISRWKNQCSYGFFKANKGFLNGLIWVFAEVYSVPFLWKMMLAYLFYLLLCPWLLGQVFIGDDDRGYMTYKGWVVDFNDLGKLEFLGWPDIMVVVIPHLYFVVLPAIFIIGAVAAERAMYRDHVCSLSEKKRDDNSVKNGRFTSINHGNGRVLEKLRRWARSILFVFSLAVCWKHFKNCRALMKAYEMNPLVHFPFYSFTIPLLLAYVFYKTKRPEA >KVI07509 pep supercontig:CcrdV1:scaffold_2756:2541:14518:-1 gene:Ccrd_014130 transcript:KVI07509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MMTIIFYSVIFALIATLSHKFLTGDGDFTLLLKGKVKRESFQDKVVWITGASRGIGEVLAKQLATLGAKIIISARNEAELERVKKHISALGKHGPEGVEILPLDLSSGEDAIGDAVEKAVSLFGGAGVDYMIHNAAFERPKSTALDVPEASLKATLDVNVMGPISLTRLLLPHMLKRGRGHFVVMSSAAGKAPAPGQAVYSASKFALNGYFHSLRSEYATELHQKIGKSSRRRHRHRQKVELTLYQKGIMVTVVCPGPIETSNAPDTSTSGQRGVREKRVSSERCAELTLVAASHGLKEAWISFQIGAKRVETAAQKGNTYSMNLLFGRKKE >KVI07510 pep supercontig:CcrdV1:scaffold_2756:31859:47750:1 gene:Ccrd_014133 transcript:KVI07510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MQKKNLRRAGGDEHEGAERNLHILNPISLISEHRLSPPPQRLSGLRRTLQLTQKRHCLRSVSKVNDEWFADEEKVRRVVGLLEEPRPLPNAKELTCGICFEVYPCDSMCSAACGHPFCVTCWQGYISTAINDGPGCLTLRCPDPSCGAAVGKDMVVSLASHDDREKYRRYFLRSFVEDNRKSQPCRLTEPSNDGYATKWCPAPGCDYAVDFIVGGGTFDVTCRCSYSFCWNCTEEAHRPVDCDTVSKWIMKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGVYDDTEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQSIHIVECRRVLKWTYAYGYYLPEHAHAKRQFFEYLQGEAESGLERLHQCAEKELQGYLNVEGPSKDFNEFRMKLAGLTSVTRNYFENLVRALENGLSDVDAHGGTSRTGGSRSLGAGSSKGRTSRSKGTAARSSGSRNIDDSGHWSCDYCTFANVRSATVCQMCQQRR >KVI07506 pep supercontig:CcrdV1:scaffold_2756:49501:57208:-1 gene:Ccrd_014134 transcript:KVI07506 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MLVSSRATAVSSAAPHRFLTHSVNNFNCISSSLSLPTSFGSSSLINDDRRQKKINTTVGWSNRMDKKKISVVTCATASVAAQPLENADALIDSVETFIFDCDGVIWKGDSLIEGVPETLELLRSKGKRLVFVTNNSTKSRKQYGKKFESLGLSINEEEIFASSFAAAAYLQSIDFPKDKKIDPLLAYLLTAPFYEPVGNMSHVLITCMPRVFLREQERIYVIGEEGILIELELAGFSYLGGPEDGGKKIELKPGYMMEHDKDVGAVVVGFDRYFNYYKVQYGTLCVRENPGCLFLATNRDAVTHLTDAQEWAVVVGKPSTFMMDYLANKFSITKSQICMVGDRLDTDILFGQNGGCKTLLVLSGMFSLHLLATFFLNFLVSVFTMS >KVI07505 pep supercontig:CcrdV1:scaffold_2756:60774:66459:1 gene:Ccrd_014135 transcript:KVI07505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MAEITATTTATTNNNRTATGYTVPKSEPLSAASMAATIEEVDEDVFLSNSELLAREEVLKRRSRRLKKLARVYKDHYWSLMEELKSKYREYYWEYGKSPYQEDESVPETDAGKNGDDYGLGLEFSSDSSRCAVHGCKSKAMALTKFCHTHILSDSKQKLYMGCNYVIKSSQAGPILCGKPILKSTVPSLCATHFQKAEKHVARALKKAGLNSTSTNKIAPKFHVVIAEYIRQIQTRRISTRKTMAENPEIKEEDISI >KVH87647 pep supercontig:CcrdV1:scaffold_2757:64494:66899:1 gene:Ccrd_025068 transcript:KVH87647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MAAPLHVFLVNVIILSHIPSSSLSSSPDGHGLTRGSSLSVENKDDTLVSSNELFTAGFHQVGENAYGFAVWFSEQATSGNRTIVWMANRDAPVNGKHSKLSLQKDGNLVLMDAGRHVIWSTNTKSTSSLIILQLHSSGNLVLDDGRGQSTLWQSFDYPXDTLLPNQPLXKSTQLVSSRSSTNYSSGFYKLFFDNDSILRLLYDGPETTTIYWPDPXLRTWEAGRFQYLYBRRASLDSBGEFXSSDGFSFRSABFXKGPQRIMKIDTDGNVRVYSLIVHERRKKWEVQWQALSQSCKIHGFRKFTYXELKKASXNFSEEIGRGGACIVYKGKHRLVVYEYMENGSLAENLGXGKLDWATRLDIAMGTAKGLAYLHEECLEWVLHCDVKPHNILLDANYNPKVADFGLSKLFDRGGFNRSNFSMIRGTRGYMAPEWVFNLPVTSKVDVYSYGMVVLEMITGRNPVGQCHTSNGNDEIELALIDRVRDKLQEFNGSQMKSWLEEIVDPLISGKYDRTPMEKLVRIALQCVEEDSNARPSMSQVVNMLLHV >KVH87648 pep supercontig:CcrdV1:scaffold_2757:42861:45263:1 gene:Ccrd_025067 transcript:KVH87648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MAAPLPVFLVTVIILSHTPSSLSSSPDGHGLTRGSSLSVENKDDILVSSNGLFTAGFHQVGENAYGFAVWFSEQATSXNRTIVWMANRDAPVNGKHSKLSLQKDGNLVLMDAGRQVIWSTNTKSTSSLIILQLHSSGNLVLDDGRGQSTLWQSFDYPTDTLLPNQPLIKSTQLVSSRSSTNYSSGFYKLFFDNDSILRLLYDGPETTTIYWPDPGLRTWEAGRFQYLYDRRASLDSDGEFNSSDGFSFRSADFGKGPQRIMKIDTDGNVRVYSLIVHERRKKWEVQWQALSQSCKIHGTCGPNSVCIYSQDSGRKCSCVHGYRMVKSEDWSYGCEPEFQPCTQDDCDFIELHQAEFYGYDIRFLLSLTVDSCKKDCLQDNSCRGFQFGWTADERSNYCYMKTSLYNGYQIGADATMYIKLPKKLVSSYYEKTTDQSNFSCPRPTLTPIIRSYEKKHYIEPLGFMLTFGYTIGLIEIICIVVFWYCSSKRPYTNDQSYFPAATGFRKFTYNELKKASGNFSEEIGRGGACIVYKGKLSYNKIAAIKMLKNTSQQGEAEFQAEINTIGRVNHMNLIETWGYCVEGKXRLVVYEYMENGSLAXNLGXGKLDWATRLDIAMGTAKGLAYLHEECLEWVLHCDVKPHNILLDANYNPKVADFGLSKLFDRGGFNRSNFSMIRGTRGYMAPEWVFNLPVTSKVDVYSYGMVVLEMITGRNPVGKCHTGNRNDEIELALIDRVRDKLQEINGSQMKYWLEEIVDPSISGKYDRTTMENLVRIALQCVEEDSNARPSMSQVVNMLYHV >KVH87649 pep supercontig:CcrdV1:scaffold_2757:37255:38241:1 gene:Ccrd_025066 transcript:KVH87649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKEVLSGYLIDSSLSLTVCDRLYPENLKASDGDPSSYSRSNFDTNSDFFLPPCFRNFVKSAGMELSVSNLLAIYTLLSNSLSGDEALRKPAESTLAQSENLPGFSSCLMEVITSKDLVSQRMFV >KVI04541 pep supercontig:CcrdV1:scaffold_2758:55579:64067:1 gene:Ccrd_017141 transcript:KVI04541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTFVSSSSGLWFLFASSLCTFCFSTQNINNILCISNERSALLQFKNNLIDQANRLSSWAGQDCCRWSGVVCDNFTGHVHEIRLRGPDDRIRGHCHGSYDTDDELKEASKQMLGGILSPSLINLRQLRYLDLSCNDFGSSKIPTFIGSFQNLSYLNVSNSRFYGEIPHHLGNLSLLHVLDLHSDPFSTNPYSKSLKWLENLKRLQHLDMSSIDLARASDWLQVISSLPSLIKLHFSSCGLTRIPTNPTKVSFTSLRVLDLSDNIFDGSLLPGWIFSLHNLVVFDLSGCFISGINPDTRGGFHSMPSLSTLSVTSNTFVNSTSILDGLSRLSNLHFLDLSNCNLSSPILGNLRNLSLIVHIDLSNNEIVEKIPKSLSNLCNLTTLDLQSNGFHGDVSELLENLCECESPKLELLALRGNYLSGRLPKKLGRLKNLGSIDVAYNNFTGTIPDSLGSLSLLQTLQMNINQLEGSIPDTIGRLSSLNFFDLSYNKLSGSLPESIGQLGKLIFASLHHNSLTGIVTEHHFANLSALTTLWVGDNKLEFKLDVTNWIPPFQLEVLRIGSSSLGPHFPSWVRSQANLTELDLANANISDTIPIWIWSAFSSLTFLNISHNNILGKLGDVSFLTPGAKLDLSANHFYGPLPHNFSTPDLEFLDLSYNNLSGSIDQFLCSSIQEPRQLKVLVLGNNNLSGVIPNCWMNWGSLEILDLQENKLSGQIPSSLGNISSLVSLAVRNNRLSGNIPVSLLNSKSLVIIELAENRLSGRIPTSIRRDDTSLRLLSLRSNKLEGEIPNEICGLSSLQILDLAHNDLSGNLPACFRNFSVISGRNKSSPIILYDTIFQNQVIGSASLVVKGQVFPYSTILYLVTTIDLANNKFSGSIPDELLALPGLRYLNLSRNNLTGSISQRIGEMRQLESLDLSMNHLNGRIPSSFSVLSSLNLLDLSNNSLTGRIPTSTQLQSFNESSFIGNALCGAPLADCGQPTDDPNGTKEEDDESDSIDWILVIVTIGGLIVGFWIMIAPLLVSKQWRNAYYHFLDKMRIKILDSVLLNYNCKSLLRLKPYFSLMFFIF >KVI08887 pep supercontig:CcrdV1:scaffold_276:297097:300577:1 gene:Ccrd_012744 transcript:KVI08887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MAMGNDGMEDSNISDVEKGNFVINSDLNASSNPTIEFVQRLLAEFIGTYIIIFIGCGSVVVNKLYDGIVTFPGICVTWGLIVMITIYAIGHVSAHFNPAVTIALTLLGLFPFKEVLLYIMMQLLGSILASATLSLIIDITPKGFFGTTPSGSAMQSLVVEIIVAFVLMFVISGAVNDHRARKELGGVIVGMTIAANVFVGGPISGASMNPVRSLGPAIAVYQRGPQWETARETVGDEDGERRPPAETMIDDGEQSMEERCKLWEKMETRRWRR >KVI08880 pep supercontig:CcrdV1:scaffold_276:98457:106856:-1 gene:Ccrd_012727 transcript:KVI08880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxykinase (ATP), conserved site-containing protein MASNGNGNAIGKGNGVPAGRNGLPKIQTQKRQSGIRQDDGAATVKAQTIDELHSLQKKKSAPTTPLDGVQGAFASLTEEERHKQQLQSISASLASLTRETGPKLVRGDPARQSETPRVSHAPDHHFAPTFSASDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSSGALATLSGAKTGRSPKDKRVVRDDTTEDELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLGRREMVILGTQYAGEMKKGLFGVMHYLMPMRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDKGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYLDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMLHPTKYAAMLASKMEKHGATGWLVNTGWSGGSYGAGSRMKLAYTRKIIDAIHSGKLLTANYKKTEVFGLEIPTEVEGVPSDILDPVNTWSNKKAYKETLLKLAGLFKNNFEVFMNHKIGKDAKLTEEIVAAGPNF >KVI08877 pep supercontig:CcrdV1:scaffold_276:133991:145167:1 gene:Ccrd_012728 transcript:KVI08877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MDYRNKLVLAPMVRVGTLPFRLLAAQYGADITYGEEIIDHKLLKCERRINDVIGSTDIVEKGTENVVFRTCGEERNRVVFQMGTSDAVRALTAAQLVKMLWLSKWGEQGRSFRVIEKIERTLEKRKREDSASEIKMIRKTMSAGLVLLDLAHGLLSGLSFWPVPLRHIMIVKVSDPLMSIDSNCQRVQFVSLCKDVAAIDVNMGCPKAFSISGGMGAALLSKPELIHDILTMLKRNLWIPVTCKIRLLNSTHDSVELARRIEKTGVSAVAVHGRRVADRPRDPANWSEIATVVDALSIPVIANGDVFEFEDFQHIRSVTGASSVMIARGALWNASIFHPEGTPVEDVKREYVRKSILWDHDIKSTKYTLKEMIMHYTSLELPEGKAVTKSESLADIAYMIIRHIFVEPYLKRYDRETMPRILVHWVHQKVKVYLFVHAMLVLDVIFILPFIPKRR >KVI08886 pep supercontig:CcrdV1:scaffold_276:289549:295382:-1 gene:Ccrd_012743 transcript:KVI08886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAFSRIQMKTVSGTIISSKPVNISKAASILSNFVNSDNGASQSVSTYLRRTSTAFNELVSFRKHHKRKKHQPMEEDSSKSDVKPRNSEEDEVRVLENGGGVNRKFDHDPVEEKENDVEGKLEKKKKKKKKEKGGLGKSGVFEEDNEIVKEKKKKKRKNAEVEGDESGNSKKKKKRSRTEADE >KVI08879 pep supercontig:CcrdV1:scaffold_276:87439:96746:-1 gene:Ccrd_012726 transcript:KVI08879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEEPDNSTSMPQPYKLKQTLTGHKRAISSVKFSEDGKLIATCSADKTARTWSTSDGSPLQEFIGHEQGISDVAFSSDARYLATASDDKTVRLWDVSTGVLVKTLSGHTNYVFCVNYNPQSNMIVSGSFDETVRVWDVKTGKCLKVLPAHSDPVTAVNFNRDGTLIVSSSYDGLCRIWDASTGHCMKTLIDDENPPVSFVKFSPNGKFILVGTLDSTLRLWNFSTGKFLKTYTGHTNSKYCISSAFSITNGKYIVSGSEDNCVYLWELQTRKIVQKLEGHTDAVITVACHPTENMIASGALENDKTVKIWTQEVLKPEGQTDAIEIKKQ >KVI08882 pep supercontig:CcrdV1:scaffold_276:70949:73512:-1 gene:Ccrd_012725 transcript:KVI08882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDMSSAWLPELEMQDQGFMNQYQMNKPYHHLMDDFSVDSFSSESYTENPSFIDQSFQTPKNVEEPSNIKQLSSYKKANSINKKFTPTEKPKPKLVSDTPNPFTISFGDIKPKDEILTFSDSFGCTAAGTKKVPTMIRNPIQVQDHVLAERKRREKLAQRFISLSSLLPDLKKMDKATVLEDAANYIQELQGRVKELEGSSGLKRKSLHESVISAKRSRLSCSDDDGSSSNEANFEESSSPCNPEIEVRMSGCSLLIEIYSRNNCTSLVKVLSEMQKLGLSVISSSTMPFADTTLLITVVAQKNDDFVMSSTDLVKNLQLAI >KVI08899 pep supercontig:CcrdV1:scaffold_276:234821:240474:1 gene:Ccrd_012736 transcript:KVI08899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MASSCRVFLYGILYADLTCFICLLMLVLPIADSLYFQVPRFDSDAKDVVYVGDAEPSFGAVDFNSVVYCCRVGQVLYKEKVPLWDSNSGKLTDFVTRFSFVIDTQGFVPYGHGLAFFLAPVGFTSPLNSAAGFLGLFNTTTSDSSSQGPMISVEFDSFSNREWDPPVEHVGINKNSLSSLNYVPWNASLHSKDTTNAWVSYNATTKNLSVFWTYERNPNFQGNSSLSYQIDLKDVLPSWVTIGISASTGQFMERHILQYWEFRSSLDIKEKSEEAPEKVKLAVGLAVPLGVLLAGAMVAYAIWLRSHRRSTGETLDTVNLSSNTINDDLERGAGPKRFPYRDLALATNNFSDDLKLGEGGFGCVYRGYLTREQKVVAVKKISRGSKQGKKEYITEVKIISSLRHRNLVQLIGWCHEKNEFLLVYEFMPNGSLDSHLFGKMDPLAWPVRYKISLGLASALLYLHEEWEQCVVHRDIKSSNVMLDSGFNVKLGDFGLARLMDHELGPQTTGLAGTLGYMAPEYVRTGKASKESDVYSFGVVAIEICCGRKARDCVDGNSEIGLVDWVWHLHGRGEILLGVDERLKGDFDAEQAKCLMMVGLWCAHPDRSLRPSIRQAIQVLNFEAHVPNLSMNMPVVSYHASATPTSSSGDPFLTSSSINIGIQTASIFLFAYSFLLFLPLSKSVSFEISRFDADATNILYLGDAVPSVGAIEFNKVNYLTRVGQAIFAETVPIWDRKSGKLSDFSTHFTFIIDTLSQSKYGHGFAFFLAPVGFQIPPNSPGGFLGLFNTTYTDSSRNQMIVIEFDSFVNEEWDPPFEHVGINKNSIHSANYTAWNASLHSGDSTDAWVSYNATTKVLSLSWSYRAGNNSRENTSLSYQVDLREVLPERVTVGFSAATGANVERHILQYWEFNSNLEMEKKGGDNSKERKLAVGLLVPLGVLVVGGIVACVVVWRRESKPTQESVETITLTSMNDDLERGAGPKRFSYSDLASATNNFSNDQKLGEGGFGCVYKGYLSHEGLVVAVKKISQGSKQGKKEYITEVKIISSLRHRNLVQLIGWCHDQTQFLLVYEFMPNGSLDSHLFGKKSPLEWGVRYRIATGLASALLYLHEEWEQCVVHRDIKTSNIMLDSGFNVKLGDFGLARLMDHELGPQTTGLAGTLGYLAPECVMTGKTSKESDVYSFGVVALEIACGRKAMDSIDPNSDLGLVQWVWGLLGKGELVSGADQKLKKEFDAKQVECLMMVGLWCSHPDRSLRPSIRQAIQVLKFEGASPNLPTKMPVPVYYTAEVSSGGASITYTSLDLVR >KVI08885 pep supercontig:CcrdV1:scaffold_276:287087:288254:1 gene:Ccrd_012742 transcript:KVI08885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MANGVEESDISAMEKGDLPLMVSTDPKINLAQKLLAELIGTYCVIFAGCGSVAVNKLYGGTITFPGICVTWGLIVMVMIYTVGHVSAHFNPAVTITLSLLGLFPFKEVVFYIISQLLGSILASGTISLIMNVTPEAFFGTTPAGSAAQSFVVEIIITFILMFVISGATNDHRAIKKQGGIAVGMTIMLNVFVGGPISGASMNPARSLGPAIVKRNFKGIWAYIFGPIIGALAGGFVYNLLKPTTRSFHDFLKRTQ >KVI08878 pep supercontig:CcrdV1:scaffold_276:148628:152415:1 gene:Ccrd_012729 transcript:KVI08878 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRA domain-containing protein MELNSIKDAFDCVIKKQALSTSKFEEAIEQIIQEIDQTLSGIQSALNGLSSQPDHKSILGELKTKLKEIAPLGRLEGTQKELNIALSKYTKLLEKQFNPDISKSYRNVDFDSHTVNQIIAGHFYREGLFDIGDCFINEAHEDVIFVRKTRFLEMYEILESMKSRDLGPALKWATTNHEKLQQNGSDIELKLHRLQFMEILQHGSRDEALKYGRTYFAPFATEHFSEIQKLMACLLWAGKLDLSPYSEFLSPTHWAKLAQELAQELCNLLGESYESPLRVTIGAGVQGLPTLLKLMNVMAGKKAEWECMKQLPVPVDLGTEYQFHSIFVCPVSREQASEDNPAMLMSCGHVLCKQSIAKLSKNNSTRPFKCPYCPTEVEVGQCRRLYF >KVI08883 pep supercontig:CcrdV1:scaffold_276:260943:262508:-1 gene:Ccrd_012740 transcript:KVI08883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTGTKQTRRRRESSPRSSRDNDRRTSQKPDHSSHPASQRPASSSEPNHHRINQQPPTSKRPVFASYIDTPNLPVKVKLLCGIIAHTPSLDVTRVLDDTGIRVSTETVEDVLKLSYAHPGAVVKFFRWSGYQLNDKHSPYAWNLIVDMLGKNGMFDAMWDAIKSMKKEGLLSLATFASVFGSYVMAERVQEAIMTFEVMDQYGCACDIVALNSFLSAICRDGKTVDAKEFLNVVRTRIRPDADTYAILLEGWETEQDAVNARKTFEEMVVGIGWDPNNYPAYDSLLNTLLKGSDGLREAMKFFESLRDRRCYPGMRFFKAALTECVSTGDVKAAEVLWVAMAVQNDCKPDTEMYNLMITLYSKRKDFDLARRWLDDMVFNGVFPDSESYNLLFQFLIKSRKVKEAGPVFVEMIKNEFVPTHANCCLAVKVFIDGMDPYMAIKVWKCMIENYKKSEELEETVNLLVDGLRDLNLVPEAVKYAEDMVDRGIKLTSATLSRLRPSLAKAGKGPVYNDLLKKCKLQ >KVI08889 pep supercontig:CcrdV1:scaffold_276:322216:326646:-1 gene:Ccrd_012746 transcript:KVI08889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MGDPIVSSVINQVMERSTSLAAQDFAVLWGLKADLRSLRTIFTQIQPLLADAEAKQRKNRALQDWLRKLRAASFEVENVLEEVSTEALLRRLHVERGIRNKVSTFFSASNPLKFRIRMVQKVKDIKELLDAIAAERINFELKEGITDLEVVADIDTRSISSIDSEIVGRDEEKEMIVEKICNSLNSLDHGLVHVHAIWGVGGLGKTTLAQLIYKDSRVHKLFDLKLWLRVSNDFSIASITREILKSIEGDSSSLSHMELEPLQQRLQEKLQRRRFLLVLDGVENENKEKWNGLMEPLKHGCRGSTVMVTTRSKKVADMMATLPALVHPLGSLLENDSWLLFKSYAFSIQRDLDRIELETIGTAIVKRCEGLPLAVKVIGSLMCLKNSISEWSSVLHSEVWDLADEGSHILPSLKLSYDNLPPHMRQCFALCSIFPKDHEMDKQLLVELWVAYGFVPSRGDADLYDLGEEIFNALVCMFFLQDVKECIFEGTTTCKMHDEMHALAQSVMKYECSSIVPGEVLKCPEEILHLSSNDILHLSNEVMAKAKSLRSLVTLGGFSGVPTTHCIFEQRYLRVLHLGTSSHMLTDLPESVGNLKFLRYLNISRSKIVVLPKSIVQLQNLQTLKLTFCEHLSELPEGIRYMRNLRHLNIHGCCSLDHMPHGMGQLRHLRRLSTFLVGQGEGVRISELQELNLLGGEFSIKSLMNVRHSSQAESANLKKKQNINHLNLSWGWKNSSKDNLPANNSEEVLEALQPHLNLKMLTISDYQGSHFPYWMVGLVNLVSIAFEGCRRCEDLAFLGKLPFLKVLELKEMDALRYLDDKEGDDEDLFPCLGKLTIMDCPNLIELPCIPNLTSLQIIRSNEKIFRSVGKLTTLAFFEIEGFEEMKSLPDDMLSNLTSLQEFSLDNCVKLESFPGLKENTRLNGLKKLRVHHCHSLTSFPSDWFQGTPNLRSLNILDCSRVKTLQEGLQHLTALEKLQVWSCPNLRCLPDELQNLESLKSLVIGDCELVKVRCEKERGGDWSKIAHLPYIKIDDQVIQFF >KVI08891 pep supercontig:CcrdV1:scaffold_276:252482:256574:1 gene:Ccrd_012738 transcript:KVI08891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MALLHSSALCFGAISLNFRPSSWTNRLSSSADSRLSSTVKASGLTLTPLTHPSSRFSSPPCTRPGRVQSSIQESPETDESSLVVCFGEMLIDFVPTISGLALAESPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLAEILKENNVNNEGMRFDPGARTALAFVTLKKDGEREFMFYRNPSADMLLEESELDLDLIRKAKIFHYGSISLITEPCKSAHIAATKVAKDAGVLLSYDPNLRLPLWPSAESAREGILSIWDTADIIKISEEEIVFLTNGADPYDDEVVRKLYHPNLKLLLVTEGPDGCRYYTKDENRLREALRFANACGAITVTERGAIPALPTREAVMNALMETVA >KVI08894 pep supercontig:CcrdV1:scaffold_276:201700:204488:-1 gene:Ccrd_012733 transcript:KVI08894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNLLGFQTTNPPSLFPFTTQSLLFTSPKSSFLSFKSLKPPTTNFKILCGNPGMAAQLVEEQEVEIAEGYTMTKFCDKLIDVFLTENSKPKHWMRYLIFREDWNKYRDGFYSRCKLRADGENDLTLKQSLITLATEVKRVDDEMERHSELLKQIEDSPMDINAIVTKRRKDFTGEFFRYLAVVQESHDSLEDRDAVARLGARCLSAVSAYDNTLETMETLDTAQAKFDDILNSPSIEAACAKIKSLAKTKELDSSLILLINSAWASAKESSTMKNEVKAIMYQLYKATKSSLKSIAPKEIKLLKYLLNFTDPEDRFSALATAFSPGKDSEAKDPNAIYTTPEELHKWITFMLDAYQLHKEETDIMEAKEMNLPMVIQRLSILKETIEEEYMEKETPEANKDTNAEEV >KVI08897 pep supercontig:CcrdV1:scaffold_276:210263:212006:-1 gene:Ccrd_012734 transcript:KVI08897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MARFLLAILVVFCSLSSFTLVNTERIQRLSNGGGSKQLRFGRKKGEFKILQVADMHYADGRKTPCEDVLPKQFSHCSDLNTSVFINRMIQAEKPDFIVFTGDNIFGFDATDAVASMNAAFAPAIASKTPWAAVLGNHDQESTLSREGVMKHIVGMKHTLSQLNPLGVDVIDGFGNYNLEVHGVEGSSSMNKSILNLYFLDSGDYSTVPSILGYGWIKPSQQFWFQQTSKKLRKKSKAPGLAYFHIPLPEFANFDSSNFTGVRQEGISSASVNSGFFTTLVEAGDVKGVFSGHDHLNDFCGKLSGIHLCYGGGFGYHAYGKAGWSRRARVVMVSLEKKSHGDWGAVKSIKTWKRLDDKHLTAIDDQVLWSRHPSGSRRKKPVGGGV >KVI08893 pep supercontig:CcrdV1:scaffold_276:180853:181922:1 gene:Ccrd_012730 transcript:KVI08893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEVVVKLMESQSPPYYAPPHHHDSSRPTIGFPLGTALLLIVVFSLSGIFSCCYHWDKLRHLRGEFSDADPDSDHADSKPKPIYSEKKQDEDGSLPVIMAGDQFARFIAMPCPCEPPRQEKITVEEIQKPPHIAITM >KVI08895 pep supercontig:CcrdV1:scaffold_276:193063:197975:-1 gene:Ccrd_012732 transcript:KVI08895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1399 MDTEQEQRSEWNAAQDIVISEDLVAAAKRHLQFLAAVDRKRIMLAGFPCLLNIPSLRLHKDLWLFLLIVNGFGIAIGSTRYKSDCEELYGRILDNRNVASSVQGISRKETEEIWNKLYPNEPYDIELALSKEVLEKVYGTKSRTRYNLVLASERQGPFFYQVSRPHVNNDHFLEAAIARYKGFLHLIKTNMEQSVRRFCVPTYDIDLIWHTHQLHPASYSKDLVELLGKILEHDDTDQARGKGQKLDVGFSATTKQWEETFGSRYWRAGAMYRGSAPSPLTTTPFIPNVAAKKVHSTNRFQRLIDLPEANVIEVVLEFVETKNLPETHKGRVGVFFSKAKSDGIFNVKRKLNIQSESGQKQVATFQCQPTGDLLFELVSDSPSISPLSKSTKTLGHCFFSLEDFFHPIPNLAVEKWLDLVPTPGTINSEPIRLRVAASCTVPIPGPHVLQIASTPPFSKISCISQLPAKVQFDKSWAQVTDETGNEILSLQMRKMFYIALIAALLHRENKRTKGVDDKLRKELIGITRAGETLVFGQFLGSEWSLMDSLWSLKLQNSNDGNAHLLLTGPQTVKLVPGRRLDYELKHHDKRTPKHNFMTAVELSAEYPYGRPVALIDVKSGLLTLQESWFALPGIISAFIVYDILRMEEYDGLTKGGETLKEKKFMAEGVDFDGEVAKVTTVILDKLAIGGCGGGCGSGCGNMVKSGGGCGGGCGNMVDSGGCGGGCGGGCGGGCGGGCGNMVKSGGCGGGCGGGCGGGCGNMVKSGGCGGGCGGGCGNMVKSGGGCGGGCGSGCGNMVSSGGYENMTKSNIGGPCNDEQPMQVAAEA >KVI08884 pep supercontig:CcrdV1:scaffold_276:270877:279482:1 gene:Ccrd_012741 transcript:KVI08884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MSEPQKVDESTQPVAAGEKPQLYKCLLNKYAQKLWKQRPVYQTRNEGPDHLPKFRSTVWADGVSYTSPNTFKQRKMAELDASRIAVLATMHKLKNEALRLVREDKSFCKSILVEYAARMNIQKPTYQTIQLNTQIPVFRSSLVFNNVSCTGDDSKSKKEAEQSVARVVILKYLDSDSENIMSEIVNCKFRNYVEMKDIPGAQNGTNAVAQIRVDNNTNALVQTGVDNSTNAVVQTGFDNCIISNEAKVEEGTKMLNATALPEPSLVQGTTIPPGIIPEESTQASTPAIPVPTVLAQAQYAGTNNASISSQISLPSLEDLARIIATHLSSNVFRLANSEASSGVVAPPLSLSSPAVAQTTVASQTSGKKRNRKNKKNAQKKMRVEDQSLLPAVALSQFPTFSTQ >KVI08881 pep supercontig:CcrdV1:scaffold_276:22960:26091:-1 gene:Ccrd_012724 transcript:KVI08881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAIGEKPNNRSKEFLQVESELADFCLRKSWLLTEQEKIHTLIMDIPSGAWLPELEMDDPLDFMYQHQSTCPYSELMDSFSSKGFKGHLNLATRNQSIQAATINGDMGKQENYNAYPTSSFDPICGSSSNSFTISFGDLDLPAEMSQDQLYGGYKLKYHDAIKPKEEMSLNELLGSIELPKRVSSTRRNPRQAQEHVLAERKRREKLTQRFFSLSALLPEIKKMDKATVLEDASRYIQHLQNQVKDLEETSIKKRSEFHACGLEDDASSFDETNSLLCSSDYNPGIRVRISGKNILVRIYCRRNSSPALMKALIEMERLHFTVMCNSVLHISDTASLITIIAQMNEEFVMAAIDL >KVI08890 pep supercontig:CcrdV1:scaffold_276:257895:258143:1 gene:Ccrd_012739 transcript:KVI08890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MADEDQADLDRIFKRFDANGDGKISSSELGEALKALGSVSPEEVQRMMAEIDTDGDEFISYQEYMDFCNANRGLMRDVAKIF >KVI08892 pep supercontig:CcrdV1:scaffold_276:191438:194525:1 gene:Ccrd_012731 transcript:KVI08892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKNSDKDSTPAVTLRRSPRILQIKLSDPEDPRTPKPQQQRRTRAPSSATPLTLTAKRNVSPRRRSHRSKSKECLQKLDGSCKSRQSDNSKTESRRSTRLNPCADACNAENSRSSSRRNGQLERRVTRSSCCRDVDKNAEKTSGFTGNLGNVCSSGEQITEEGVDIPTPLKCKSLKNKVDQYKKSCDNNSDQIEVLASDDDTYEGILSIKPVLDEELSEKGVAKSTNGIAVATAAVVHESPMEGRYVNKMRKNVAVKRTRNQFENMVGATHGWTKDQELALERAYLEAKPTPHFWKKVSRLVPGKSAQECFDKIHGSHLTPPQPRPRSRPRVSDSQNPSFTASKLLNSSSPTAKRPRHRRQKSHVVQRNVRHMLQKQHKVEQDSEADLFSVLEPTFTQSLNSYVMLTTPDCNQEMGEMLGRCQERSSTVHKKSLSRLGTRTTLMSPPVLKQVKNIALHEKYIDQLHCREANRNAACAKAEKLHQSKLMEQESSAQRKDAIRAAKNALVFDARDAINEFQHHQAMALSFDDENGADVDEENGEAFM >KVI08896 pep supercontig:CcrdV1:scaffold_276:214959:227736:-1 gene:Ccrd_012735 transcript:KVI08896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein MRRRITSIGATLVIVVLTMAAIISLARSFRRPLCFPSASTPKHLLKSRICPLWSSSFYFCLPPPPLRNTRLRHSHLPTPPAAVDPFRASFSSATAPSPMSTVSDDNPLLKEFEFPPFDVIDASHVRPGMRALLKKLDSDLMELEKTVEPSWPKLVEPYEKMMDRLSVVWGAINHLKSVKDTPELRSAIEEIQPEKVEFDLKLGQSKPIYNAYKAIRESPDWATLSDARKRIVESSIKEAVLSGVSLEDSKREEFNKIEQELTKLSRKFEENVLDATKKFQKIITDKKEIEGLPATALGLAAQTASSKGHENATAENGPWMITLDAPSFMSVMQHAKNRALREEIYRAYVTRASSGELDNTQVIDQILKLRLEKAKLLGYKNYAEVSMATKMATVSKAEELLEKLRSASWNAAVQDMEDLRQFSKSQGASEADDLTHWDTAFWSERLRESKFELNEEELRPYFSLPKVMDGLFSLVKMLFGIDVEPADGLAPVWNADVRFYCIKDSSGKPISYFYFDPYSRPAEKRGGAWMDEVLARSRVLSDDRTSVRLPVAHMVCNQMPPVGEKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGESLPEEIYQKLLAAKTFRAGTLSLRQLKFATVDLELHSKYVPGGSESIYDVDRRVSEKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLQDDKAVRETGHRFRETILALGGGKDPLEVFVEFRGREPSPEPLLRHNGLLQTA >KVI08898 pep supercontig:CcrdV1:scaffold_276:244118:247991:1 gene:Ccrd_012737 transcript:KVI08898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDDLVGLLRVHVHRGVNLAIRDLRSSDPYVIIRMGRQKLRTRVVKRNINPVWDEDLTLSILEPLPVKLVSCSPISSLYNHHHLNFKIQILVVTFLHLELDLNNSQEQEVYDRDIFSTDDKMGDAEFDFKPFMEAVKMRLNDDLPNNTIITTVKPTRTNCLAEESHITWTDNRVIQNMVLRLRNVESDPLFCLLSTFPPYLPSILILVFNSITKMERLVGLLSIHVRKGVNLASRDIRGSDPYVIFRLDQYKLKTAVVNNNINPVWDEFLTLPVFEPLPIKMEVYDKDVFSHDDKMGDAEFDIKPFLEALKLRLDDLPSETIIATVKPTRTNCIAEESHITWTNGKLVQTMVLRLRNVVSGEIEIQLGWVDVPGSKGLS >KVI08888 pep supercontig:CcrdV1:scaffold_276:307744:321584:1 gene:Ccrd_012745 transcript:KVI08888 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MGDSSERSGSGMKDDLSMEIDPPFKENLATAEDWRKALQKVVPAVVVLRTTACRAFDTESAGASYATGFVVDKSRGIILTNRHVTKPGPVVAEAMFVNREEIPVYPIYRDPVHDFGFFRYDPAAIQFLSYEEIPLAPDAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPYYKKYALHHISLFYIALKYCVGSSEVMYEKIEWNCKCNIVINGENRMATVRFLAFEKLFSPQTHAQRATNRMSTYWDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALTFLQKGRDSFQDKWEAVTIPRGTLQATFLHKGFDETRRLGLRSEIEQLVRHASPLSETGMLVVDSVVPGGPAHKQLEPGDVLVRMNREVISQFLKMENLLDDSVGQNVELELERGGRPLTVQLTVQDLHSITPHYFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGAGVPRHAIIKKFAGEAISQLEDLISVLSKLSRGARVPMEYISYMDRHRRKSVLVTVDRHEWYASPQIYTRDDNSGLWIIKQALPLDCPLISFGTKNIKQGLINHVVLGTTDNCLVEHVHGDLGKQLVNGVSSTKTSSSTKPHDQAESDSGTKKRRVGEELSTDGSMLADYTLNEPKIESQEDREDAAVTDHYGTAAIFGNASVAEQPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNISSADSPRYRAINMEVIELDTDFGSTFSGVLTDENGRVKAIWGSFSTQLKYGCNTSEDHQFVRGIPIYTISLILKKILSGAKGPSLLINGIKRPMPLVRILEVELYPTLLSKARSFGLSDHWIQALVKKDPVRRQVLCVKGCLAGSKAENLLAQGDMVLAINKKPITCFRDIEDACQALDQYDATEGKLGLTIFRQGHEIDLLVGTDVRDGNGTTRVINWCGCFLQDSHPAVRTLGFLPDEGHGVYVTRWSHGSPVHRYGLYALQWIVEVNGKPTPDLNAFVDVTKEIENGEFVRVKTVHLNGKPRVQACNAPDIGLGIAASIVSMETVSTIMGGGKVYRQRAYSV >KVH87645 pep supercontig:CcrdV1:scaffold_2761:63526:67810:-1 gene:Ccrd_025070 transcript:KVH87645 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex, mu/sigma subunit MESCPSVKNILLLDSEGARVAVKYYSDDWPTNSSKEAFEKSVFTKTQKTNARTEAEIAMFESNIVIYKFAQDLHFFVTGGDDENELIISTVLQGFFDAVSLLLRGNVDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVASHSVDSGAPLSEQTISQALATAREHLTRSLLKEEYKKIPQDSNFEFILLISVYEDDEDDDDDDDDDDDDDDDTV >KVH87646 pep supercontig:CcrdV1:scaffold_2761:31628:48024:1 gene:Ccrd_025069 transcript:KVH87646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1296 EGRSGRVEGFRKLLISLLLVAADSIPSPVVLITRLPTACNCVKEEGFFTREEEVELLVVAAALDLIRSMGSRGGGGGGGGGNNGGGVQGIPAASRKMVQSLKEIVNGVSEAEIYSALKDCNMDPNEAVNRLLTQDPFHEVKSKREKKKEFKDTTESRPRGGGGITSNRGARSGADRYAGRGGSTQFSSSVLQFGEVKIFVWFNGNLTFNFILFRSMRYLIESGGLHGKLKRENGTSSYTSSSAPAYGVAATNANRSPPTFSGSFGTENKASSFSAADGTATVSQQPSSGYQSAWLGVPGQKSMADIVKMGRPQHKAYSTPISSQSINQSAFIQPSSAHYELHCLEDYASKAPEIHPEHDAAADQYVSPDDEWPSIEQPQSAGVQSILEPYVESELNVGQSNLPFERSNQYGGSQTDEAQEEDESVFEDHTANHVGSASVSSRKLLDDTSGSAPLYNNDLYKNMDSFHPEEHAFEHKEVEEGNASASSVSANMQQLNIQEERHLDESEEEVPSVVIPNHLQVQTADCSHLSFGSFGASMNTGFPGSFASRKLRSNIEETPAEADTSSVGPSETRNSEYYGDESIITSESNPVHRGVPSSVSYDLPSASQTEVLKQENSEATHANQYSFSSSTPVPGYTFDSTQLLNPSFPQSQTPTQMQNATPFSNVMQAAYTNSLPSTLLAANGHPVRESDLSYSPFPISQSMPTKYGSSVSSISGSTISMAEALKTGVFSSSQPTQQTPPGNTIPTGPALPQHLAVHPYSQPTLPLGPFANMIGYPFLPQSYTYMPSGFQQAFAGNSTYHQQLAAVLPQYKNSVSVSSLPQSAAVPSAYGSFGNSTAIPGNYQVNQPAGPAGSTLSYDDVLNAHYKENSQLLSLQQNENSAMWVHGAGSRTMSAMPASTYYSFQGQNQQPSGFRQAQQQQPSQSYGGAALNYPNFYHNSQTELQQNSRDGSLVGGSQGQPKPQQQQQQQSQQQLWQNSY >KVI00957 pep supercontig:CcrdV1:scaffold_2763:35729:48586:-1 gene:Ccrd_020782 transcript:KVI00957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MDISKFNRDELTLLGSGACTMVALHFSSQLVSQHLYYWKNPKEQKAIIMIALMAPVYAMNSFVGVLDIKGSKPIFMLLDSIKECYEALVIAKFLDLLYGYLNISISEDIVPDEMKGREIHHTFPMTLFQPRTTHLNHQTLKLLKYWTWQFVVTRPVCSFLMIGMQLLGMYPSWVSWTFTAILSISFYVAMYSLVVFYHVFAKELAPHKPLAKFLCVIGTVFFCFWQGVMLDILVKTGVLKAHHFWLDVEHVQEAIQNVMTCLEMVGFSVIQLYAFHFEPYSGDVQTMLQKGKKNE >KVI00956 pep supercontig:CcrdV1:scaffold_2763:10370:22804:-1 gene:Ccrd_020781 transcript:KVI00956 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MDQQHILCDEVLVNGGKTGLTLSTDGILRWYDHGNVLQCLSVEKEVLGVSMVGQQIEIRSFVEIDSGGFCFGCSRRSLVRKSFNFEPLSEDSLRNLCEKIQGYIDSLGRPKKLFIFVNPFGGRKSAFKVFTDDVKPILEDANIEYKLQETKYQLHAKEVSHTLDLTKYDGIICVSGDGILVEVVNGLLEREDWQAALKMAIGVIPAGTGNGMIKSLLDSVGQPCTAAYAMLAAIRGHKRSLDVATIWQGDTRFYSVLMLAWGLIADIDIESEQYRWMGSARMDFYGLQRILRLRRYNGCISFVPAPGFEDVGEPSSLGVESMEPLKARQHGYEGPNVELGSLSWRKLNGPFVSIWLHNFDDGYLDLIVMRECPKMALISLMSGLSNGHYVRSPHVLYIKVKAVILEPGSRTIDSTKEGIIDSDGEVLARGNGTYRCHLKSLMVYGKMQIVVDRGLATLFTPI >KVI04370 pep supercontig:CcrdV1:scaffold_2764:6263:17102:1 gene:Ccrd_017316 transcript:KVI04370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 MDKEHNQSNSTNTNDNPPTLDDSGDIEPAELVLFQVSECYVYLIPPRKTAASYRVSSDALWTVVIELPIGVEPRLDCLWDDSLKSLATSFVIVIWLLSDRADEWDINKWAWEGTMKVVSKGEECIIRLEDKTSGELYARAFLRDGEPHPVEPVIDSSRYFVLRVEENIGGRLRHAFIGIGFRERPQAYDFQAALHDHMKYLNKKKTAEEMEQQFQHTSSVDYSLKDGETLVLQLKNKGGRSVRSKFFEQGLNNLPDQKEKGKKEVAISIKPPPPPPSPLSPPIGSMGTPPGSPSNFNLDHSLSPQSNEPHSVEKQTAQDLPDDDFGDFQAA >KVI04371 pep supercontig:CcrdV1:scaffold_2764:38384:46071:1 gene:Ccrd_017317 transcript:KVI04371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMDLKGIAWVGNIYQKFEAMCLEVEEAMCQETAKYVENQVQTVGASMKRFCSDVMQDMLPPSSKDLSRVASADLLLNPYLEFGIHKKSISSIKEDAKDPVCIKGISEDKSNSSNDVWEDWSAASFNWEEISDKEEANCDRMAMQASNKVVECGSRGEKEGRIRNMIVDTSFTKSSAKGGKRSRCISSSNIIRAERSDTSEISGMVSQMGSQASSNEPSGQTIDGHLPHTDSCENSRQVSLSQTDSHISANAQCGQSVGEEVFWSHTESSANRDPDLEEENGATVQSMEPTGHESDLGFEETCVLVEGNDYRPVNHKEEIRRSYKKKIQSTFSLKKKSSARKQEYKQLAAQYGIMNAEPNIQGEEAIALAPTVSKHTKAKTAATHDSLELEWELL >KVH99313 pep supercontig:CcrdV1:scaffold_2765:58972:60611:1 gene:Ccrd_022456 transcript:KVH99313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral Root Primordium type 1, C-terminal MSGFFSLGGGIGIGKVDEEHHHHLQDQEHDDGIISPQSLYLFKNEEIYSKGFELWQECYQFHQHRQHLYQPPQHYDQLGVGSRSDRRRSSSVSSGVSGGVDDLDRNASSWNIFGGVGSTVMRQGGYGIGGGSGSGSGSGSGSGMNCQDCGNQAKKDCEHMRCRTCCKSRGFHCQTHVKSTWVPAAKRRERQHQLMSLTQQQHHHQHQQDLGNQQLSLMMRASGGGESGDDQIPKRLREDHQHQPSIAVAGSGGGMGMILPAPQHHNTSGLEVGHFPAEVNSPAVFRCVRVSAMDEAEEQLAYQTALNIGGHVFKGILYDHGPEGRYNNPGEGSSSGGGGAQLQQLITSGRTAGATASTSIHPAVNFIDPSSTYPTPFSAFMAGTQFFPPPRS >KVH99314 pep supercontig:CcrdV1:scaffold_2765:37415:40579:1 gene:Ccrd_022455 transcript:KVH99314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, alpha subunit, C-terminal MCIKLSTFTDFLEYTKAWEVDTLRGHVNNVSSVLFHARQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILGCHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSLYYVKDRFLRFYEYSTQKETQIIPIRRPGSVSLNQGPRTLSYSPTENAVLICSEVDGGSYELYVVPKDNFSRGDTVQEAKRGVGGSAVFVARNRFAVLEKGTNQVLVKNLKNEIVKKSALPVATDAIFYAGTGNLLCRAEDRVVIFDLQQRMVLGDLQTSFIRYVVWSNDMENVALLSKHSIIIADKKLVHRCTLHETIRVKSGSWDDNGVFIYTTLTHIKYCLPNGDSGIIKTLDVPLYITKIYRNTIFCLDRDGKNRPIVIDSTEYIFKLSLLRKRYDHVMSMIRNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLDKLSKMMKIAEVKNDVMGQFHNALYLGDVKERIKILENAGHLPLAYATAKTHGLTDLAEGLAAKLEDNVPSLPSGRSASLLMPPTPVLCGGDWPLLRVMKGIFEGGLDNVGAAAQEEYEDAADADWGEDLDIVDVENIQNGDISMVLEDEEAHEDNEEGGWDLEDLELPPDVETPKTTTASRSSVFVAPTAGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLSRQLGIKNFAPLKSSFIDLHIGSHTYLRAFSSAPVLSLAIERGWSESASPNVRAPPALVFNFSQLEEKLKAGYRATTTGKFTEALRLFLGILHTIPLVVVESRREVDEVKELIIIVKEYVLGLQMELKRRELKDDPVRQQELAAYFTHCNLQLPHLRLALMNAMTVCYKAGNLITASNFARRLLETNPTAENQIKTSRSVMQAAERNMKDSSQLNYDFRNPFVVCGATYVPIYRGQKDVLCPYCSSHFVLSQEGQLCTVCDLAAVGSDASGLLCSAAQIR >KVH99315 pep supercontig:CcrdV1:scaffold_2765:25888:27770:1 gene:Ccrd_022454 transcript:KVH99315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGADLFVCVVIYIAFSGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLGQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNGMLFNF >KVH99316 pep supercontig:CcrdV1:scaffold_2765:4132:9245:-1 gene:Ccrd_022453 transcript:KVH99316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKKIENSTNRQVTYSKRRNGLFKKASELTVLCDAKVSIIMVSCTDKLHEYISPSITMKQFFDQYQKASGIDLWNSHYQKMQEELRQQKEVNRNLRKQIRQRLGDCLEDLGFEELFALEKDSQEAVYIIRERKLKVIGNKVETSKKKVRSAQDVYKKLMHEFDIRGEDPQYGMIEDGRDYENIYAYPQMGAPHMLTLRLQPDHQNNLHVVTAGTTASDLTTYGLLG >KVI06806 pep supercontig:CcrdV1:scaffold_2767:15365:45663:1 gene:Ccrd_014839 transcript:KVI06806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MNSRALGQFDMKSVIARYRRSKDEQQLTNPTSDIKFWQREATMLKQQLQNLQESHRHMMGEELSGLSVRDLQGLENQLEMSLQGIRVKKDQLLVNEVEELKQKGNFIHQQNMELHDKINRVREENMGLYKQVYPTRDVDAANKNVTSALRITEDPHAPIQLQLSMPQQHNATEARMQSTNLSL >KVI01653 pep supercontig:CcrdV1:scaffold_2768:20292:45311:-1 gene:Ccrd_020069 transcript:KVI01653 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MHLVVLFSIQSTLIHTAIPNFPFSGRRSVAPLIHNFKEGKMLRLKAFRPTNDKIVKIQLHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGESESKGKPTEALRGGSVRQVSFYDDDVRFWQLWRNRSAAAEPPSAVNQLTSAFNSPAASTKGRHFLVICCENKAIFLDLVTMRGRDVPKQDLDNRSLIWQRPSYCIDNHMTKSMEFLSNSGGGDGPLVAFGSSDGVIRVLSMITWKLVRRYTGGHKGSIACLMTFMASSGEALLVSGGSDGALVLWSADHGQDSRELVPKLSFKAHDGGVVAVELSRVSGSAPQLITIGADKTLAIWDTMSFKELRRIKPVPKMACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAPHKKLRVYSMVAHPLQPHIVATGTNIGVILSEFDPRSLPAVAPLPSPPESREHAAVYVVERELKMLQFQLSNTANPSLGSNGSLTETGKLRGDPSEQFNVKQMKKHISTPVPHDSYSILSVSSSGKYLSIVWPDIPYFSVYKVSDWAIVDSGTARLLVWDTCRDRFALLESAVPPRMPILPKGGSSRKAKEAAAIAAAQAAAAAASAASVQVRILLDDGTSNILMRSIGERNEPVIGLHGGTLLGIAYRTSRRVSPVAATSISSIQSMPLSGFGSSALSSFSTIDDGYSSQRNPAEAAPQNFQLYSWESFQPVGGMLPQPEWTAWDQTVEYCAFAYQQYIAISSLRPQYRYLGDVAIPHATGAVWHRRQLFVATPTTIECVFVDAGVAPIDVETKRRKEEMKLKEAQARAVVEHGELALIAVDSPQTAAQERITLRPPMLQSISYVTVAFPQLEAGSFDEASVYGYNGVRFYFFTMVSKSVNVALIIWEYKVQNYRTLEVVRLASFQHPSSIPPFLTLPKQTRVDADEGLQKFAEGKRVDEIAVGGGGVAVAVTRFPSEQKRPIGPLVLVGVRDGVLWLIDSNDHCCVAVWAAAVAAVRVFRISCSFLYIGYAKTGVVRASDSSAVTLETAESFLNIKIVEFVYMFAHAISLSHPGIRCRCLAAYGDAVSAVKWLEFDLAMKSNDLKRALQCLLTMSNSRNIGQDAVGLNLNDIMSLSTTANAKKEDIVDAVDGVVKFAQEFLEIIDAADATGQAEVAREALKRLAAAGAVKGALQGHELRGLALRLANHGELTRLGGLVNNLISVGFGREAAFAAAVLGDNALMEKSWQDTGMLAEAVLHAHAHGRTTLRTLVQSWNKTLQKEMEHGPSTKTDAASAFLASLEEPKLTSLADAAKKPPIEILPPGMPSIDAPLPGIQKKTIPASLQQPAKPLQIQGPTSAVATATAEQSPSESGVAPATAAAESGAAPATAAAESGAAPPTAESAVVPPAAESGAPPPAAESGAPPSTESGAQPTQDMGAETTPDSGAMPRMESVGLPESRSGVPTTESGESNANASVESEHIGSGVASENQTSNSSSTNLPDRVASVNSSSSSTEAVSQKAEVPRPSGVREELPMIDWTL >KVI06512 pep supercontig:CcrdV1:scaffold_2769:33780:36963:1 gene:Ccrd_015138 transcript:KVI06512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae conserved site-containing protein MGPKKGGKPVATKKKTEKVVNPLFEKRPKQFGIGGALPPKKDVHRFVRWPQVVRIQRKRRILKQRLKVPPALNQFTKTLDKNLATTLFKMLLKYRPEDKAAKKERLLKRAQAESEGKTAEAKKPIVVKYGLNHVTYLIEQNKAQLVIIAHDVDPIELVVWLPALCRKMEIPYCIVKGKSRLGTIVHQKTAAALCLTTVKNEDKMEFSRILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRLT >KVI06513 pep supercontig:CcrdV1:scaffold_2769:48117:54461:1 gene:Ccrd_015139 transcript:KVI06513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MEQLNSANLEDKTTGTYLITNQQPHDEFPKQYIWPKTDQDAATEVLNAPVIDLDGVFTHDEHAIANAVNLIKESCTVHGFFQVINHGIDLGFLALADEHGRAFFNLPITEKMKCTKKEGSMAGFTSAHVHRFSEKLPWKEMLSFEYHENGPEEVVVDYFNSTLGSQYKETGLGVEGQNYYRKLYEDCISLVRCNYYPKCNQPNLTHGVGPHCDPTTITILYQDQVGGLEALSNGKYMSCLHRVAVNRFEPRLSVAFFLSPKGDKELKPPQDLINKDGEQKYPSFKWEQFLDFTQTQHRADENNLEEFIKWLMSSKNPQSQLKNANLEDKTTNSSLIFNQLPDDHFPKQYIWPKNDNDIVTEVLDAPIIDLQGFFTHDEQAIANAANLVKESCMSHGLFQVVNHGIDLELLALVDKHGRAFFNLPIAEKMKCKKKEGIMLGFTNAHAHRFSEKLYWKEMLSFVYHENGPEEVVVDFFNSTLGDQYKETGLIYQKCCQSMRKLALDLMELLAISLGVDGQNYFKKLYEDCVSLERYNYYPKCNQPNLTQGVGPHRDPNTITILFQDQVGGLEVVTDNKWKSALSNGKYYLSCLHRVAVNRFEPRLSVAFFLSPKGDKEVKPPQDLVNKDGKQEYPDFKWEQFLYFTLTKQRIDENTFEEFTKWLTYSKNPRYISVWK >KVH92035 pep supercontig:CcrdV1:scaffold_277:175908:179373:-1 gene:Ccrd_005936 transcript:KVH92035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MELKVVNGGIPITIESIHKLLGLRMGGVDILEMDEVEDSKNMTTTWRKQFDKKKMRPKDIMKIMQSSRDAGFNFKLNFLVLFVNLMVECNRMGCCNFGFLSRIESEDVIPGIDWCKYIYGKIKTSKSRWRRDSRMCFYAGPLTYLALLYVEATISPKVVVEHKGHAISAWNLDLLKKRQSTEIKDGGFGLLPIRSNAESSEDVHHRYASNQENIGETSTPKNLSKEDHVQRILVKIAVVLSARVEAEMEIKEAMSKFPEEEEFKQYKKQLDDMFNEGACNMTHDTHSSGLKDHSTAKSDRHPSLDIVVSQPSGFNEKTLPTVWLSPGFIEAVDKVVENTISTSKTKRPYAAITPPKFDLGIIPITQSKPLSMVFHEEAECIERCPSVERYNVSEDAKKYKVERASRRELKLGDHLRSPFVIRAVELNVTPEERKIHEWVVAGLGGNELLFSTPNDTKLHRHAVESLGRTTTINGDSKLISKNVNHNTQYALFNKGLLSSAKNNWEVVQMRNVDLVFFPLLDKGHYYIVVFNLKNPSVVVIDNIYQEVSDDDQHLQMYDFIIDILQILMIMHLNAVGYPVGRELDEIGQERLRMDWQTQNNFDDCGVFAMRHMETYMGDV >KVH92037 pep supercontig:CcrdV1:scaffold_277:41623:46377:1 gene:Ccrd_005934 transcript:KVH92037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVFVFTNVDMIRSDGDDLDLIVGIGGLGIILLSILRHGYASYLNQGWCSVSRIFLLMYRSRRPPLAIVVFWFVSLYHS >KVH92034 pep supercontig:CcrdV1:scaffold_277:275230:277500:-1 gene:Ccrd_005937 transcript:KVH92034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MMLSCGVSTSSYRVPHVVTFCYNNPNHPTAKHGELESVVTRRALSLLATSMATATTAMFSVPILFCDSSARRSEASASASATSTSTSTATGTQFSELPQSGGVKALDLRIGDGDSPVNGDKVAIHYYGRLAAKQGWRFDSTYDHKDETVQVIQGMEEAVRSMKVGGIRRVIIPPSQGYQNMSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGILIFDIELVSLNHL >KVH92038 pep supercontig:CcrdV1:scaffold_277:279044:287828:-1 gene:Ccrd_005938 transcript:KVH92038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MESSEEEDDFPSIESVTPQSKIDTIYQSKTEKGIRKLCFELLDLKDAVENLCGNTQSKYLAFLRLSEEVVEMEHELNELQKNISAQGILVQDLLSGVYHELGEWSRANPGLLNSEEPQKDGEIDFSNEAEDEKRLCLENIDILLAEHKVEEAIEAIDAEERKHPELKSSGDTLSNELSSYKSAFMKRKSILEDQLVQMTEQTLIGAADLKIAVSGLLKLGKGPLAHQLLLKANGARLQKRIEVFLPSCPCYPETFSATLSNLIFSTISSTTKEMGLMFGDDLVYSNRVVQWAEWQIELFVRLVKENAPSSETVSALHAASVCVQASLNHCSALESQGLKLSKVLLVLLEPYVEEVLELNFRRARKLVFAFSGNDEILPLSPRLASPLSTFAISSDGLLVDSGTRFIFMVKDIVEQLTRLVILHFGGNVLTRILQLFDQYMDELIKALPEPSEDDSLVELKEAIPFSAETDSQQLALLGTAFTIAEELLPMVMSEIWSVVNESKESGSGVTDNIAPLMNGTIDYKDWRRQLQHSLDKLRDHFCRQYVLNFIYSRDGKTRPDAHIYLSGEGDDLSWNSDPLPSLPFQALFGKLQQLATVAGDVLLGKEKIQKVLLARLTETVVMWLMSNEEDFWGVLENEVAKLQPQGLQQLILDMHFTVEIARFAGYSSRNVHQMASALPEDEWFVETAKAAINKLLMGGDGSDTSEIDEDHIILHDDDELHDDDELHDEVISDSDESPSSLSTITTSESFASAESQMLDSLSDFTDPES >KVH92036 pep supercontig:CcrdV1:scaffold_277:82923:88309:-1 gene:Ccrd_005935 transcript:KVH92036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDEEKNNMQCGWVVATYLIFKSSSCSNSKQAEEMNTPNGNRHHQINIVSASHNQPSQLPPLNLPRRDLLDGGDDFNAICIPLYKALTSNDFKAVKVILDKRPMLVQFSITESCETILHIAVLLGKSCVLVQYLMSLMTKEDLELPNGSGETALCLVARTGNVEIAKILVEKNDGLIDIPNSEGRLPLQVAVLYGRHEMVEYLYNTSQRMTGHFWTHQDRSWVLEKCVDKSLFDLALQMAVDLPELAINGSILRLLARRPYTFYFLKFRWFYRLKSLLKGALKFRFDYHCKGDDLIKLLGMVLTQIMKLPKVEIDDIIRGPPDETTTNTEEDAKQKYSSRLLFHAAEMGNTEFVVEVIRQYPHLALDVNDDNQSIFHVAVLHRNEGIYKLLHEIDHIRNSIVTLEDKNGNNMLHLVSQSTMGYRRLMVSGLQMNKERLWYREVEGMLPSHLCRKKNAAGLTPYELFIKNHKDLFSKEKKLMKQMVTQLMVVEALIATISFAAVFTFPGGYNQTTGTPILLQKTLSKFFIIFDSLSFLSSTTSILVVLHLIMFDGFAHALSISSKLVTLCLTLAFFSIATIILTFLINLLLLYQNNYDWLPTLILCSAAVPFVVLYVLQTYVLNGHRTSL >KVH92033 pep supercontig:CcrdV1:scaffold_277:12784:14831:1 gene:Ccrd_005933 transcript:KVH92033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MLIRGISNRFRRFKMISELHYVVCSPTVCKNACTGNGLSLLPVKSRSFCISEPTIRLQTGVVYAHQSQASVSGNRISRVVRTEAQTALFDYLHFTRGFHFTDAEYISKNSPHFVQNLLSVVDEEEDVSRALSKYFRYNPINEFEPFLESMGLNPSEFPSLLPKSLIFLGDDSLLLDNFHVLCGYGFPRIKMGKMYKEAKEIFGYDHGVLSSKLKVYEQLGLNKSTIVKLVTCCPLLLIGPIDSKFLAVLDKLKQFGFGNEWIGSYLSEKTTLNWDRMLDTMSFLVQVGYDDKQMEVLFTDNPALLFDGSGKQIYVLVGQLLKLGFKMNQIFDLFLKNPQILSSKCAKNLWTAVCFLFEIGMETERIASIVATHMEVLGSNSLKGPITVMNSLKIQQDDLRLAIQKDPLKLINLATKSDPKTIEHQDSNTFVERRNFLLRLGYIENSDELAKALKKFRGRGDQLQERFDCLVESGLDYNVVSSMIKRAPSVLNQTKDLLEKKIDCLQNRLGYPLEAVIAFPSYLCYDIQRINLRFSMYLWLLERGAAKPKLSLSTILACSDVRFVKYFVDVHPEGVSTWESLKNSLNSR >KVH96416 pep supercontig:CcrdV1:scaffold_2771:21867:27641:1 gene:Ccrd_001498 transcript:KVH96416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRRVFKISDIAAARSELQYFHHRFYLSKRLTDPTTIPDPKPTSARWFSTEESESSSKPIMDLYAQFANKWVYAEVRAKEALIEQVSVFRDELMKNVKDETSFETFLEVEGWNLFKMYSDGAALLELLRLLEESSPSLAIKVFNWRRRRGDNRRPISSEEYVKGIKIAGRMKNVDLAVEIFMEAATNRIKNVSTYNALMGAYMYNGLSEKCQSVYRDLRQDINCCPTSVTFNMLISLFGQRVLTDQMESVFQEMKDLNISPDLNTYNNMIAGYLTAWMWDSMENTYHTMMETGSVYPDLSTHLLMLRGYAHSGNLEKMEEIYEMVGSHVREKHISLIRAMICAYCSSSYTHRVKRIEELMRLIPENEYRPWLNVLLIRVYAEENLVDEMDRSIDEAFEHNTSVNTVKIMRCIAGSYFRNNAVERLAKFIKRAEDSGWRIYRSLYHGLMVMLSSEKRLQEMEQVVIEMEKFNYGYSKRTLYILYKAYSEQEEGYRHKFHRVLGLMCKHGYGVPS >KVI11085 pep supercontig:CcrdV1:scaffold_2773:7995:16088:1 gene:Ccrd_010508 transcript:KVI11085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MGHIAGTHYPGGPQSGSLGDAIYKELWHACAGPLVNVPREGERVYYFPQGHMEQLEASMHQGLDQQLPSFDLPAKILCKVVNIQLRAEPETDEVYAQITLLPDPDQNEVTIPDPPPTEPPSCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVASDLHGNEWHFRHIFRARNHKLLVGMRFKMRFEGEEVPERRFSGTIVGVGDTASSKWLDSEWRSLKVQWDELSSILRPDRVSPWELEPLVASNSTNSPPLQRNKRARSVLPNSVPDISGLGMWKSPGDRPSAFQYCDPQRGRDIYPSNNISSITGISLSYSENGSLPPASTTSMHWSNLREAITESFEPLASKESGEKRQSNGYRLFGIELLEHPNVDETSPMVVSRTVAEERPLCPVDTESDWGSEPSNVNRSDIPSASCEPEKCSMRSQEFHTRQIRSCTKVHMQGIAVGRAVDLTQLNCYEDLLRKLENMFEIEGELHVVPKKWQVVYTDCEDDIMMVGDDPWHEFCSMVRKIFIYTTEEAKRLSPKIKLPENDELIQGKLVLNAQVSTEEQSSSNEGFGC >KVI11086 pep supercontig:CcrdV1:scaffold_2773:58281:68110:1 gene:Ccrd_010509 transcript:KVI11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold CIVSIICVDVSSLKGYVFNCFKFDIIVLKFCRKMGVISRKIFPACVNMCVCCPSLRSRSRQPVKRYKKLLAEIFPKSPGGSPNERKIAKLCEYAAKNPFRIPKIAKYLEERCYKELRLDHVELVNVITEVYSKLICMCKEQMAYFAVNLLNVSIELLDDSKRDTVQIIGCQTLTRFIYSQVDGTYTYNLENIVQKVCMLARKPEEEDEKTRLRAASLQCLSAMIWFMTEFSHIFVDFDEIVHVTLGNYEPNTNNEQDDDRGEAHHNWVDEVVRCEARGPGIETDSSYMLVKPRAEKKDPSLLTREEAETPKVWAQICVQRMVELAKEKNQQLILASVVHHLDHKNVSHDPELKSYVIQTTTALAWQVRSEVALKDIGFVSDLCRHLRKSLQATVFPEGLLLQLLKLMLHPDVEIRLSGHQIYSVLLIPSSNHLRRDASNHTRRWSSDTASVFASVTSLLDKLRREKDGTEAEKREEQSVMKFSEDQITQLLSAFWIQANLLDNRMLYPAHQRSLLTLSTAMLMFAAKMYQIPDIADVFQPMLKSDVSDDFQVYVKPQADVNEYCSDHDNQAAASLLVELQSKMFKSYEIIVDILVRKLSKVTEMEAEELRIQLLETFMPDDATFGPESMLHLDQYHRDFPTNSLVEDDATSESSVSDLSRFISKSPTPSSMSHALEAAGQVAGASVSTSPLPFSAMAGQCEALGTDSRKKLSSWLSSANVDTKAAAAAATSADMGGSRNSNVIKILQGEDGMNGLRLPPASPFDNFLKAARYDA >KVH87644 pep supercontig:CcrdV1:scaffold_2775:32133:33638:-1 gene:Ccrd_025071 transcript:KVH87644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSESNISSENTEDKVGEGSVENCDAGVSKAKVEDICNAFEKLDVLVADEKANDVDENAIFLDTENLNGPSRMKLEDTSSGVSEMDDEILESNVDVVLVDFVEQEPNKAPAEESVIEKAIIDVMPTSTIDRDSEQVNQEAEQTMDYAEEITLASVADDSLIKEENQVPMKATDVIDHPTSIRKMTLDNNENIGSVVVAVEENKNINEQAVDEKPKSLNDTSIRQLKKQLKALSIKNNTNSIKDDKVGEVRPAMQAVCKNQLVTEEMEDGENMDGGDDSDIGGFEG >KVH98770 pep supercontig:CcrdV1:scaffold_2776:54718:56690:-1 gene:Ccrd_023003 transcript:KVH98770 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDITSFLVDNNLSAPLFVLSTIFFLLLVVRLAKPTPSKNLPPGPPRLPIIGNLHQTFAYWEDIVEERRALINSSTWSSEQAQSFLDRLLENGFSNNQINQLVTELFVAGTNTTHTSVVWALTEFVRHKEVMSKIVDEIKREINLNKITDSQLSNLPYLQASIKEAMRLHPPVPLLLPHMAAETCEVMNYTIPKNSKIFVNLWAMGRDPKVWDDPLSFKPERFMDSKLDLKGQDFELLPFGSGRRMCPGMPSGIKSVQLVLASLIHEFDLILPNDVDPMKLNMNDKFGIALKMEEPLKLIFKQKREPQXA >KVH98778 pep supercontig:CcrdV1:scaffold_2778:59918:67668:1 gene:Ccrd_022998 transcript:KVH98778 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MAAPRILVLESERLMRDVEMGEDSPTTKKPVGSKLERRFPLTRWEFAAALGVFLVFAIGLFCIYLTMPAADYGKLKLPRSISDLRILKEHLATYASIYPTKFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGIIKGIFLVVFNATAGASSCYFLSKLIGRPIVYWLWPAKLKLFQAEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDVPYPVFFLATVVGLVPASYVTVRAGLALGDLNSVKDLYDFKTLSVLFLIGFISILPTILKRKRIYE >KVH98776 pep supercontig:CcrdV1:scaffold_2778:54890:60451:-1 gene:Ccrd_022997 transcript:KVH98776 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b/b6 MQNNPMAKTKKTPKAAANSHLVRGNLLSNLEPTGFLVVGESSPISTSLINLSDSSTRILGAAINNNKKTAGWVAFAIFSSIFLFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKAGGVAAIAPNNNEQCSNHDLEKSALLNESCNTKC >KVH98775 pep supercontig:CcrdV1:scaffold_2778:14780:27947:-1 gene:Ccrd_022996 transcript:KVH98775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSLSSPSSMSTPEGSTELQCVGELEIVKAKPPVGFLCGSIPVPTDKAFTAFDSALVPSAPAEGAPRYRVIPTETNLKTLPFRPNIPDKVLPMVAAHTRTGGGTTFLGLFVQIIDSIRAYLPYESGTVASNLRKKGEALAVSGLTEYGDEIDVIAPADILKQIFKIPYSRARLSIAVHRIGQSLVLNTGPDVEEGEKLVRRHKQSQCSEKSLFWNFAMHSVRMEACDCPSAQSSQSEEQLNSSFLPGNRDSDTAEAYPSPYFGQDNDIGQGEGFKCAEYPQAKQDSFFWGGKKNQRNKGRDAVKKVSQVKEKTSMQESDMYRRMNRDGFLRVLFWKFNNLRMFLGSDLLIFSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELLKTDDIFLSKGVSEDGTPAFHPHIVRQNGHSVLRFLQENCKQDPGAYWLYKNAGEDNIQLFDLSVIPKSHATNNCDDDSTFIPSLINRGRSDSLLSLGTLLYRVAHRLSLSVSSHNRVRCARFLKKCLDFLDQPDQLVVRAFAHEQFARLLLDYDEDLDLTSEGLPLDSEDIVVDSEEESYECFSGISELIIHESFKANKEDECGIDATSSNYGPGGSVKLHLDDDAPGSRKLLVSNEAESGDAVMQRTCNYDTVELSATSTPVVQATVNLISSKLAAIHHVSQAIKSLRWKRQLQGSESHGRPDIGATLQIDFSVCACGDADCIEVCDIRKWLPTSKLDDKLWKLVLLLGESYLALGEAYMDDGQLHQVFKVVRLACLVYGSMPQHLEDKKFISSMTSSLSFEIDIHNRKDETESLAAHYLFWAKAWTLVGDVYVEFHLKSEKEISVKTEETPPARELKMSPEVQKELERLKKKLGKFKQSCSSCSLVNCSCQSDRASSGNSASSSSGNTRPFNYGRKQAEKPVSKSLSYSSPGKAGDVSNVITQNIMDENEVPKSSKETESDSTEPKSNDGGIFRYLHRCDHEDADHNLTSALNCYEEGRKCLDCHPSSSEELRSLLKKKGWVCNELGRRRLERKEIGKAESAFSEAISSFRKVSDHNNIILINCNLGHGRRAAAEEMVSQMEHLRNHPVFNNAYTQKLEAAKSQYCESLRYYRAAKKEVDAVGDEADALPNNLSNEVYTQFAHTYLRLGMLLARENATAKVYKSKMEFGKHEISANDAISEALSMYESLGDLRRQEAAFAYFQLACYQRDCCLRLLETDLKKSNSSKGENGILQRVKQYSSMAERNWQKSIQFYRPKTHPTMYLTILIERSALSCSLSKSSQSYMMLELALNSLLEGRHVPVDRITLQKDDCSVYERFWSQLQMVLKRMLAVVLPATTKKSVATSQQTANDLQSGDVKKLKDLYRLSLKETDFSQLHAIHRLWVS >KVH98777 pep supercontig:CcrdV1:scaffold_2778:8625:11930:1 gene:Ccrd_022995 transcript:KVH98777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKKKLFNSVLPYETPRLRDHYTVGKKLGQGQFGITYRCTEKSTGTDYACKSIAKRKLLCRDDYDDVWREIQIMHHLSENPSVVRIKGTYEDNVFVHLVMELCTGGELFDRIVEKRQHSEQQAAELMRTIVGVVEACHSLGVMHRDLKPENFLFDSNGQLKAIDFGLSVFYKPGFFLFLVRKSVESSIHAIFDMVFCVAGEYFCDVVGSPYYVAPEVLNKHYGPEKTDSGIFRQILNGTVDFESMPWPNITESAKDLIKTMLDRNPEQRITAYQILCESRIHVLDLSVLLIGGHPWIKEDGVATDKPLDPAILSRLKQFAAMNKIKKMAMRVIAGRLSEEEIGGLKQLFKTIDTDNSGTITFQELKQGLKRVGSNVMESEIMELMNAVQPSSFSKCEMQTIADTDNNGTIDYGEFLAATLHLNKTQREENMLAAFSFFDKDNSGYITYDELQQACKDFGIHDVQLEEMIKEIDQDDDGRIDYGEFAAMMRKADGEHESRTMRRGLKFDVASAFGVDNSSNETQN >KVH99350 pep supercontig:CcrdV1:scaffold_278:18446:25188:-1 gene:Ccrd_022413 transcript:KVH99350 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MESLSRPFHSRKLSNATSFSPKNAYHGVFSGHRHNYAGTPGIDVQDYREIFATSQVASSIPVLDLSNLGVTADATSLNTRSSKPDYSKIFGGFRDEDVAVSYEELFARDKASPSSIRSTSQDSDDLCHQSSNALKQFNMSYHKISPKSKDGLDGTRHVTQLHAVPGFTCFIDETSLPPKMEAEKHKSSAVDVSVDSSKEGFAKKQPSENAVRRKSKPHPGESFSEDKLLKTFEIGLRSQPSTVLPPSASLTKVDNHKTYSKIPTAINSESCKTNATESADARFSPTYVHEELDINSAAAASAAALRKAIEKAQESIRIAKESVGRKKKGLRSFSSKSATESLKVDGGMEDVTADEEQKCKVEVTGVFERVSASSQAFPDLGRNRKYGGTVVFPDFMDGEKLFVAKKVIDEMNGKISESTKACSIPVQSSNKFKDDMIISNPKQFMGETEFQPLDSAAKDRYVTVSSEAVDTEKSRNDTSGFVHADQTNNISVDDYEGEIKKTKPTAGVLEHQGVVKKPESVERAYELEEVGKQDALSVAQGLEGGEGTLKSASFMHKTCGVRQEDGASAQRPQGFLKIVEADKSRESLENKDEARPSNVNELAKGLANLTVAQKVEDEKKESQVSYQNDDEKRLNEVLGLLENEKQEVLQQEHVENVENEEMHRKKNNEASDKGLEEASQCLESENESKDDIKTESHKEEETREMFYDVCELEMKDSAQTCVTSIEEIEMTLQDDCEMKQEDNHTGEKKRDSLDEACDHEFDENSSGDDNPTVETDNVDDTLESCKVDMNDNNVETTQDVDDVGSSPVHVTGASCEFQIEDTEAIQGADELEGEFFEKTSSDQTISDDNEDECEVKYDNLADLDSADTALGQNETEESDVRSESSSGTVRGVEEEADESNKEKNTIDEEKIVPREEKESNIRLPHKEMPEVGSINEMKASEEPRVSNETEKTMDVNEETTTRSQYKEKEDKIGETGPKKVAGKEHRRKWHEIDEVTAREREREKNRLAVDRAIREARERAFAETRERAERAAVEKATEEVRQRMMADAREKAAKASVVTKSSSERIAQSKLRAERAAVERATSEARQRALEKAISQKSVSEAGDLHSSNETSTESAQRSKAKLEKHNRIMERVATALAEKEKRDLLAQKEQAERNRLAENLNANIKRWSSGKEGNLRALLSTLQYILGPDSGWQAVSLTEIVSSSAVKKAYRKATLCVHPDKLQQRGASVQHKYICEKVFDLLKLEEGGGADGGRGGDGDGDGDGGGGVVGGLVVA >KVH99345 pep supercontig:CcrdV1:scaffold_278:239212:244558:1 gene:Ccrd_022423 transcript:KVH99345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MFSPSASSLTSQRSTFGEMAEPISERGQIRKSRPSAVPIKNKPDLNLGKVEEKKGVNGSLGLDAVDGPKDNKKEEKGVKIVKVNEKEAKQVEEKGSETEDLESENGKENEVEGESEEGKEEEEEENTMNGALDGTEGDVDQADESIEIKAKRGKKQKFTGPLFDPKAEYGWKLCSTRSKHNYIPCIDFEATSKRMKSYRHHERSCPKNPLMCLVPLPHEGYRTPVSWPESREKIWYKNVAHPKLAQYAKTQNWVVESGEYLTFPQNQSELTGGIAHYLESIEETVPDIEWGKNIRTILDIGCIDSSFGAFLSDKNAFTLTLGLKDDLVDLAQVALERGFPAIVSPFGTRRLPFPSGTFDTIHCGECQIHWQSNGGKLLIEMNRILRPAGYLIMSSKHDSIEDDEAMSKLTASVGWNVLAHKTDDVSDIGIRIYQKPESNEIYSLRRKKVPPMCKDNENADAAWYVPINSCLHLIPSAIEERGTEWPEEWPKRLQTFPDWMNNRDKLVSDTTHWKSIFEKSYLTGMGINCFAAALLQQKVWVMNVVPVYAPDTLPIIFERGLIGIYHDWCEPFGTYPRSYDLLHADHLFSRLKNRCKQPVTIVVEMDRILRPGGWAIIRDKGEILKPLEAIFRSLNWEIRMTFVQNKEGILCIQKTIWRP >KVH99357 pep supercontig:CcrdV1:scaffold_278:134048:139246:-1 gene:Ccrd_022418 transcript:KVH99357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNLKHFLSRLTINKVSRFNGRRTPSWNYREFCTVRCISPLLYPQETRKAYSLFGKPKTQMAHSLFYRFIHSGRETVLSGAKDVVNVSVDTEEDDAVMNEFLSRFVWIMRGKLTEVYTNADKKEVDAMLQIIVGKVISEMEEGNIEHFIDSAAASASQDFSEDLWKTVWEVSNVVLEDMKKAKKKEKMKSFLQSEEVKDMTRFAGEIGIRGDMLRELRFKWAREKLEDSEFYESLELMRQEAKEPEANLSEVETEICEASKNNCVEEEDDAQVVSLPKRSGKIKYQIYGLDLSKQKWAELAEQLGETGRSIWPQEPKPISGKCKSVTEKILSLQVDDDPSPLIAEWIELLQPSKVDWIALLDRLKEKNDQLYLKVAELLLDEESFQSNVRDYSQLVDVHAKHNKLDDAERIMKKMNEKGIIPDILTKTTMVHMYSKAGNLNLAKDAFESLRSQGFQPDLKVYNSMIMAYVNAGDQKSGEALMRDLEAKNFKPSEDTYLALLRAYAEHADPIGASRISTQMEFAGYQPSLESCTCLVEAYSRKGNPDQARKHFDDIIKLGYKPDDKCIARMIAAYANKNLLDKGLHLLLQLEKDGIEHSVATYAVLVDWLGKLQLIDEVEDLLGKFTEKGVSPSLDVHISLCDMYARAQEEKKTLQALGVLEANKDQLRHEEFEKIISALVAGGFWKDAERFSNLMTAQGFTTSNQLSVTLKASQTFGRMKSSMK >KVH99349 pep supercontig:CcrdV1:scaffold_278:308745:311572:-1 gene:Ccrd_022425 transcript:KVH99349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAHNIMNTASNTGGSIPRLKYCLHSLVNGSALYLAYAYSKRLAVYGLNRATFILLKGLLIPMESCVAYCSDRRTVGWMNGEQRLDLWFGASYERCLFNLFLGDEDDLLRGYIGEDGCRVSKVMVMR >KVH99351 pep supercontig:CcrdV1:scaffold_278:7124:13049:1 gene:Ccrd_022412 transcript:KVH99351 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase, conserved site-containing protein MDSCCATLNPNVHVRKGVDCIENGFWGRKIDRVGSHRTTQSRKMKKVGVVHSVLKSPIDEMLTFETPFFDERTVDPKTVASIILGGGAGTRLFPLTRKRAKPAVPIGGGYRLIDVPMSNCINSGVRKIFILTQFNSFSLNRHLARTYNFGNGMSFGNGFVEVLAATQTPGVAGKKWFQGTADAVRQFIWVFEVIAYFLDRFHDTFMDAKTKNVENILILSGDHLYRMDYMDFVQKHVDTNADITVSCIPMDNRYVLSLELISLVLLCSRASDYGLMKIDETGRIVHFAEKPKGDLLKSMQVDTRVLGLSDDEALRNPYIASMGVYVFRTDVLLKLLRWKYPSCNDFGSEIIPSAVAEHNVQAYLFNDYWEDIGTIRSFFDANLALTEQLTMCRFSHGQPPKFDFNDPKTPFFTSPRFLPPTKVERCRIVDAIISHGCFLRDCSVQHSVVGIRSRLDQGVQIKDTMMMGADYYQTDSEVAALIANGRVPIVIANKDGVEEADRSDDGFYIRSGITVILKNATIKDGIII >KVH99347 pep supercontig:CcrdV1:scaffold_278:196380:201602:1 gene:Ccrd_022421 transcript:KVH99347 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MSFRDENEEGKDLRKPFLHTGSWYRMGSRQSSMMTSSQMIRDRSVSVLACVLIVALGPIQFGFTGGYSSPTQTDISRDLKLTVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGDSSFLYMGRLLEGFGVGIISYTVNLLFSDVCSRKKLSEKTTLMEPSFITKVPVYIAEIAPQNMRGGLGSVNQVTLFRNLSVTIGIMLSYLLGLFVNWRILAILGTLPCLILIPGLFFIPESPRWLVSVLK >KVH99356 pep supercontig:CcrdV1:scaffold_278:167965:168810:1 gene:Ccrd_022419 transcript:KVH99356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MALSGSEKEIGMQTSSPYNNNHLIRESSSETVDHGLDPDRFPGTGASVTPISVGSKLLKSPVQMPRYRECLKNHAANMGGNITDGCGEFMPSGDDGTLEALKCAACNCHRNFHRKEYPTTSPSAAGPFLQLPPPLPSPSPSPSFHHHHHHHRTPPPTISLHHHPNWASSINAPPVKMAFGGSGGAATESSSEELNFTTAGVAPLYGMTKKRFRTKFTQDQKDKMLEFAEKVGWRIPREDDPEVQRFCAEVGVKRQVLKVWMHNNKATSGKKQVQDSNESIN >KVH99352 pep supercontig:CcrdV1:scaffold_278:123891:127232:1 gene:Ccrd_022417 transcript:KVH99352 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MHPCFRRRRRSCNSSSYGGGGVDFSNVTYHSTDTKRLGLIKARNEDKQRKRGEGQCSTAFAILHIGGDWGNTNLLEKRLYMSLYRTSKFRSEDLLNMPFSCLVGDNTKEDATNKELRNRIQMKNGVSHGRSHIVPENFDPRSSPSSTEGSKWDNLPVELLLDIIQRVEASETSWPARRDVVACATVCRSWRDTVKQLVKTPEQCGLISFPMSLKQPGPRNEPIQCFIRRDRATSTYLLYLGLSPALAGDASKLLLAAKKPSYDSTNKAIPKCGSKKVSPXVKSKVSVISYELNVLRTKGPRRMHCTMNSIPTSAVEEDGTAPTPPTFADQTDQDFSDSTRKTYEKEPLGSSAFEKSNPLIMRNKTPRWHEQLQCWCLNFKGRVTVASVKNFQLAVDPSQNGRSIEQDDVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KVH99354 pep supercontig:CcrdV1:scaffold_278:68431:74764:-1 gene:Ccrd_022415 transcript:KVH99354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MDRLGSRLQGNNYFKEQLSRHRSLMNVYDKAPAVDKDAFVAPSASIIGDVNVGAGSSIWYGCVLRGDVNSISIGSGTNIQDHSLVHVAKSNLPGKVLPTVIGDNVTVGHSAVLHGCTVEDEAFVGMKATLLDGVVVEKHGMVAAGALVRQDTRIPSGELTEEEIAFISQSAANYGSLAKVHAAENAKPLDKIEFLKVLQKKVNEPRSLLQS >KVH99346 pep supercontig:CcrdV1:scaffold_278:181614:182660:1 gene:Ccrd_022420 transcript:KVH99346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPIVFHSPLAIITKDEKKARNAKMAAIIERYKDKVSTLPKQESWMNMDVYKYQGFWYHQGFWYHGANFSVEAVMAAQDDLKAKPTDVFLASHPKSGTTWLKALAYAIMTRTKFKIDLASNLTHPLLTISPHDCVPFIETDSFLINPSYNNGLMATHIPYNSLPESIINSGCRIVYVCRNPKDVFVSFWHFINGLNDDASTSVSLKDAFEIFSSGISPHGPIWDHVIGYHKASLERPDKVLFLNYEEMQKDANNEVKNLAKFLGYPFTEEEEATGKVEEIIKLCSFDNLREVNKPNKDGDETSTVVSDEAFFRKGKVGDSINYLTTEMIQILDKITKEKYDGLDISI >KVH99344 pep supercontig:CcrdV1:scaffold_278:203092:216626:-1 gene:Ccrd_022422 transcript:KVH99344 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MIPFASPSSSTALPDCKRTSLRINTKRLRIPVSGSSCHIDLLLWIANPKCLFKTYSKMRIPKTLTVSFCFSLLYSSPSRFPQFRSLVPDLGFCSSRKSFTAKGSQGLFQIGAGYKMDAPRDRVTSEIQGPNGCEKSQVSCVWASPEGGQKIDIGKHIFCNRAVNMKNIVAVGFDMDYTLAQYKPETFESLAYNGTVKKLVINLGYPNELLNWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKLLSKDEKVATYGNTLVRDAFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPGKISEEKDYFGMYRDVRAAVDLCHRDGTLKQMVANDPKSLWDYTNVVMNFLCGPQTLHGSSSLTLDWLQHFDVVITGSAKPKFFHDENRANLFAVDTDSGMLINTDNGSPMAQVGGPSLELLDQIPDKPYKVFQGGNVGHLHKLLTIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVKLLWYLRDTRKQLILLRSERDQIEDQIHHLKWSIQCECLDAHETEKVSSKLESLEVQCNRVRVTHQEAQKVFHEKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVGNLGLYSPAKYYRPSEDFMPHEFDVLSL >KVH99355 pep supercontig:CcrdV1:scaffold_278:43999:48147:-1 gene:Ccrd_022414 transcript:KVH99355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MGAEFIPKPSENKDDYDLSGDCEAAPVILGLLPAALVDHIARVDLSILSRIPGEPGGSFPVLAEELKDILRQVDTHILSSPNDLPPVKTIAGGSVTNTIRGLAAGFGISCGVIGACGDDEQGALFVKNMSFHGVNISKLRVKDEHTGQCVCLVDPLGNRTMRPCIATAANIQAGELKREDFKGSKWLVLRYSIYNLEVINAAIRIAKQEGLLISLDLASFEMVRKFRKPLLELLESGNIDLCFANEDEAAELTSSGEQVARPEAALDVLGKYCQWAVVTLGANGCIARYKKEIVRVPAIGQTKVVDATGAGDLFAAGFLYGLVKGLSLEECCIVGSCSGGSVILSLGGEVSPENWQWNEDFGRKILK >KVH99348 pep supercontig:CcrdV1:scaffold_278:286325:290259:-1 gene:Ccrd_022424 transcript:KVH99348 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein ELCFVHLAICSLPDIFVEAEQQTKRSSLPFPRRPAHTLFPSVVVPLFTTPMFRRRGSSQQQQDQEHHEQQPEAKAAVGLLSLQLNELRVALGPLSGRNLIYCTDACLKRYLEARNWNVDKAKKMLEETLIWRSTYKPEEIRWQEVAVEGETGKLFRANFRDRFGRSILIMKPGLQNTTSMDTQIRHLVYMMENAILNLPDGQEEMVWLIDFTGLSFSINVPIKTARDTVTILQNHYPQRLAVAILYSPPRIFEAFWKIVKYFLDPKTFQKVKFVYPKNKESLELIKSFFDVDNLPTEFGGKATMKYDHEDFSKLMAQDDVKAAKFWGFDEKMASAATTGNHVAGAAVAPEPESVA >KVH99353 pep supercontig:CcrdV1:scaffold_278:92272:95318:-1 gene:Ccrd_022416 transcript:KVH99353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSPSKSVKLDRNTSMTKVEIASYWRSKKNEEEVHGVLKSSHTFSKGNQKNETEKMYGRSNSLPITKENPVAEIEEEDEEGNREKLFKNGWWMNSRWAFLNEPPVIASETPSRYASQFHVAKKHIDNSNHHVAANGIKT >KVI08516 pep supercontig:CcrdV1:scaffold_2782:62766:65932:1 gene:Ccrd_013113 transcript:KVI08516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin/actin-like conserved site-containing protein MKGCQRQVLAIDDLNLLKSSYLMIDRSCFELLVKMADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KVI08519 pep supercontig:CcrdV1:scaffold_2782:13359:19029:-1 gene:Ccrd_013109 transcript:KVI08519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMERGKWDPLLTQTKIKKQMNERKKKKYPFGDSHSPCQLMTEFHSNTVDNPVDVDAEAELERTNXLPTNAQGSSKGKEADVSRSNVVPRPPQDSKAEVERTNVVPRPAQGSSKGKEAKVERTTGVPSIAQGSSKGKVLEILDLKVTKPIVQQTSKQAARVGKVPEIQLQHDSDPVMLLDINVQTEVNASNDVMGAPRKSENEKVKEDTVERTDVQGYSLGIRTRTSPKALWETMLYVQATTYDGIQNQLQAYPLRTWTLELLRRKQDLELSRGGFGYAKLKCXKPIERGSYDQSIIDHHTAEDIPXSSKAKEAGNQNVTKEVTSMISFNVLSPLLWMVFHECVIMMGERIEDLFSARREADTMLQAYIERFPGERCFNQFKKELARMFKGSMWECRNDEGQPREKELPHV >KVI08515 pep supercontig:CcrdV1:scaffold_2782:41360:59121:1 gene:Ccrd_013112 transcript:KVI08515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDNSGTANDVARAILTALGWNSSSDDRKSAMSYLESVKAGDVRVLASTSFVLVKKEWSSEVRLHAFKLLQHLVRLRWDELTPEERREFANVSIDLMNEIANSCEEWALKSQTAALIAEVCFCFQVEFSKPLDQIVRREGLSLWQELVPSLVSLSSSGPTHAEMVCMMLRWLPEDITVHNEDLEGDRRRLLLRGLTESLPDILPLLYTLLERHFGAAMTEAGRQQLDIAKQHAAAVTATLNAINAYAEWAPLPYLSKYGTIHGCGFLLSSPDFRLHACEFFKLVSSRKRPVDADSDFDSAMSSILVILMNASTDFLNRSESGYGVINDSDYEFAECICESLVALGSTNLQCITGDNERLSLYLQKMVQYFKHYKLELHYQSLIFWLALMRDLLSKPKTSVGDGSVDNLTSGSGQADNQKRMILPFVNDEICSIMLDISFQRMLKKEKANPGNELSGGALELWGDDFEGKGDFGQYRSKLLELIRLIASFKPLIAVTKVSDTVILIIKNLLLSPLPSGNLAILESMQLALENVLGTVFDGPNDDNGSGSDAQLASCRVLEGLLQQLLSLKWTEPEFVEVLGHYLEALGPFLKYYADAVGSVINKLFELLTSLPIVMKDPSTSRARHARLQICTSFIRLAKTADSRLLPHMKGIADTVAYLQKEGQLLRGEHNIFGEAFLIIASAAGSQQQQEVMTWLLEPLSNQWTQLEWQNSYLSDPAGLVKLCAETQFMWSLFHTVTFFEKALKRSGVRKGNTNINNSIASSIPSHPLASHLPWMLPPLLKLLRAIHSLWSPPVTQLLPGQIKAAMIMSDFERTSLLGESNPKVPKSAITFADGFHLNMKDGNGEPNEIDIRNWLKGIRESGYNVLGLSTTVGDSFFRCTDIEAIDLALMENIGSMEFRHMRQLVHSILIPLVKNCPTELWDVWLKRLLYPLFEYSHQALRCSWSGLLDEGRAKVPDLHGILGGSDLKVEVMEEKLLRDLTREICSLLSVLGSPGLNCGLHSEQSGHGSRSDVLAPKDSDVMSSSVVGFLLKNKDIAIPVLHLCLDAFRWTDGEATIKVASFCGAVVLLAISTDNVELRQFVCKDLFSAIIQGLALESNAFTSADLVGLCREIFVNFCTKDPAPRQILLSLPCIAHQDLVAFEEALGKTSSPKEQKQLMKSFLLVGTGNKLKALAIQKSVNVITNVVARPRSSVSVPDSRTEDGGAIGLAAIV >KVI08520 pep supercontig:CcrdV1:scaffold_2782:11208:12639:-1 gene:Ccrd_013108 transcript:KVI08520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIEAPAFDLGISPSKDAVIACIHSSKATGGQENVRSEIPKRDPKLSFKLRSPYVTRAITFEVSSDERKLQDWILRGVGGIXXPVFMTTKGKAITRQTMQSLVSQCVVGSEIIDVWSMVLNRDERMRSNESVRRYFVPTDISMDSIIRDEDLNANQRYDRFRKNITCCMNNDKELISMRNVDLVFFPIVEPSFYYVVVFDLKHPSIAIIDSQTREGKVDDIYGSSTVGLQDMMIMHLLKEGHGAWKVYAEMDQDKIKTRWQLRENTVDAGVMLMRHMETFFGGDVVKWYCGLYKESTKQKRQLKDLRTKYCSKMLLNDVNIRKTSIVYDVERFIAMETSYNARKNGGARQMSRXRK >KVI08517 pep supercontig:CcrdV1:scaffold_2782:18837:25194:1 gene:Ccrd_013110 transcript:KVI08517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENHQMVIEDRDHKFLTRAVEEAYKGVECGDGWPFGALVVHKDEVVVSCHNMVVEHTDPTAHAEVTAIREACKKLNRIDLSDCEMYASSKAEAAIASGIPIDDFISDALRGTGFHEKANLEIKQANGHLTRFQSSEGHSEVTSGFFRKI >KVI08518 pep supercontig:CcrdV1:scaffold_2782:24664:33799:-1 gene:Ccrd_013111 transcript:KVI08518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKDKGHNLQTRHHIILCPFLGIGSLAEEKQPAMMADDDDDDSFGEFTFASNRTMDNSSFHDWGDFNFIQNTTDHNLKSPQPQPQPQTQTQPKWVKIKGALPLSVFGDEEEEEEDKDEKSIMPVAGDDVKQSSFHVNSGFVFDKNNNNDKSNAHLGINDLMAILYGPRQQQLTMKAADNGNEVDFTATNSTVSKDPLSKWDSMSSFSSNTVAASGNHGSDDEGGWEFIDAFSDSKLAQNGKDNNVLSEKTVFPVGVQDVSHGPIDLFAAPKNRVFAESDVTDNGFDSKPTTNVQNGSTADLKAASRSTPNELSSNSLRWSVDTDDAFGEFETAFMDQPSKKKVDELSPESNYPLGSHDASQGSVDLFSLPNGLPGSHYENNGVDFKQNSVLQNGVASDSFFQTEWKDAKDDSDSQPPGGDVDDENFGKFETTFPEAESKPEGSEASSKNYRESVPLSIFGIEEEPQTDSYMNLQHEFFKSSTHGKNMQNQSSSLSINDILSDLYSQAKPINELCLVARRHLHVLKKAQSTATLAGEEMKVEALNKEIQEVFEELHQKDILSTEIHSDDHLEPVISLKQYIETLHEPDFQILESEYHISRRLSLAESDLRTAVDLINHFTTVLKILTLAPKDEPANYVSIWFKVISACSQELKHGTWIWKQSLEKNVHREILSERQGKQFIIALGEIYRVVVIVGAAVKFYKPWLLLSGEDLEGVYGLLEECDSHWSTSGLEEAIPVESLLESIRHIHDLDELAIANEVLNQEESRCWLSLLSPGVVPEMKMVVWNGDKYFVTLANLWANLISPDPPTLSIHVG >KVI08521 pep supercontig:CcrdV1:scaffold_2782:2438:4045:-1 gene:Ccrd_013107 transcript:KVI08521 gene_biotype:protein_coding transcript_biotype:protein_coding description:APOBEC/CMP deaminase, zinc-binding MFFLNHFFTLIPNEIQSLNPFHISLSLSLSLSLSLSLSLSLSDMENGNHQIAVIEDRDHKFLIKAVEEAYKAVECGDGRPFGALVVHKDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLNRIKLSDCEMYASCEPCPMCFSAIQLSRIKRLVYGAKAEAAIAGGIPIGDFISDALRGTGFHEKTNLEIKQADGNGAIIAEQVFERSKAMFPKR >KVI08514 pep supercontig:CcrdV1:scaffold_2782:65332:69090:-1 gene:Ccrd_013114 transcript:KVI08514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRFIIISLLVFISPTSSAAVRHHRSPYQPSSSEQIRQACKATRNQQLCESSLRHSPTANPLEIVRFALSVCSDNLRVAQSKVHSILQASAGDLNQTTAAKNCIEHLRNAEYRLNLTANALPRRRIKDGRAWTSAALFYQSGCWNALKNVNKTNTKMINETVSFMDTLIGYLSNALSMMMAYDVFGDKLASWMPPKTEREGFWEAVGGGRQQLGIPAGLKADVTVCKGGGCEYGTVQEAVNAAPDWGGGRRFVISVKAGVYKETVRVALEKQNVVILGEGMGKTVITGCLNVGQPGISTYGSATVGVVGDGFMASGLTIKNTAGPGTHQAVAFRSESDKSVVEKCEFLSNQDTLYLYSHRQFFKSCHIEGNVDFIFGNSASIFQDCTILIRPRQQNPKKGETNTVTAHARNDPAQSTGFVFQNCFLNGTEEYMRLYHSNPKVHKNYLGRPWKEFSRTVFIGCKMEALITAEGWTEWTGDFGLKTLYYGEFGNSGKGSNLSGRVAWSSRIPARHQLTNGADQSGAMHQPWKLEG >KVH87642 pep supercontig:CcrdV1:scaffold_2783:10174:18133:1 gene:Ccrd_025072 transcript:KVH87642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNLTTGMTPNLNVSAALLGFVCIWVILVLNKKTYEMARGANSPGTYKELLVGWMTGYSFLSRFAGLFVLIPLRKEANQGVCQVLFSQFLVGNLSVVFHWKRRMWICSIPYFRIGSLYEHVRVLSLFLFLNSHEKALSISGSKCP >KVH87643 pep supercontig:CcrdV1:scaffold_2783:48519:50185:-1 gene:Ccrd_025073 transcript:KVH87643 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MIPSVHLSVYLFQLRKSNTSQPSDQLPISLTSLSPISSYNPRLHPHFSSISLLPSIRSRFSSTTMAVDLVGIQTIEHLNRIFQLKNHDFAVSSNFKQAVSALKRSGHARFRRGPPPSSSDQHAPSISSQSEEKRPKNPPPGESTTVSKDCSLKRSVTDTISSFQLTTSSLVSSLAGEGLEGSVSNGKQLSSLGIVAPAPSFSSRKPPLPSSHRKRHSTDRPSATLQGSGKEDHSASRTPCHCCKRRYEQISPCILAKTYSIPLSYASSLSIGVSSPPPPFFLFRKTVSKQKTRRIPIIGSKIESIPADDYSWKKYGEKKVDGSLFPRVYYKCNSAKGCPARKRVELSVTDSKMLLVTYDGEHHHHHTPTPLPASLTALTIQSK >KVH98837 pep supercontig:CcrdV1:scaffold_2784:54568:56714:1 gene:Ccrd_022934 transcript:KVH98837 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSEDDGGCGGAGKSRNSGEYFTPYPDQVLENVLENVLVFLKSRRDRNAVSLVCKSWYRAEAYTRSDLFIGNCYAVAPRRVTDRFKRVRSVSVKGKPRFADFSLLPPDWGAHFRPWVSAMATAYRALEKIYLKRMSITDDDLAVIAHSFPNFKELVLVCCEDFGTSGLAVVVSECRSLRVLELIEDDVSDDEVDWISCFPPEGTTNLESLSFDCVESPINFEALERLVARSPLLKKLRLNRHVSIGQLQRLIFRSPQLTHLGTGSFAPLEIAGQQQVDNREPDYGSAFAACRSIVCLSGFREISPEHLPAIFPVSANLTSLNLSYANIDADQLRPVIRHCHKLQALDSICDEGLQMVADSCKDLRELRIFPIDGSENIEGPVSEVGLLAISIGCRKLQSVLYFCQQMTNAAVIAMSKNCPDLVVFRLCIIDRYRPDHVTGEPMDEGFGAIVKNCKKLTRLAVSGLLTDRAFSYIGQYGKLVRTLSVAFNGESDIGLKYILEGCTNLQKLEIRDSPFGDSALWSGLHHFYNMRFVWMSSCRVTRQGCTNVARQLPRLVVEVFRGDGVEGGERGDFMDTLYMYRSLDGPRTDAPQFVKIL >KVH98766 pep supercontig:CcrdV1:scaffold_2785:7833:14166:-1 gene:Ccrd_023007 transcript:KVH98766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAMEKCFGMGTTRATVLQHTHRHFASIDAPCFTKAPMMKSSTLTSSFFKLRCSNRSNRIVCKSAVNQVEVVTDCTWTELVVAADLPVLVEFWAPWCGPCRMIAPVVDELAKEYSGKAVCFKINTDDCPNIASQYGIRSIPTLLFFKNGEKKESVVGAVPKSTLIATLDKYID >KVH98765 pep supercontig:CcrdV1:scaffold_2785:24530:31837:-1 gene:Ccrd_023008 transcript:KVH98765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome maturation protein SBDS MSRTLVQPVGQKRLTNVAVVRLKKHGNRFEIACYKNKVLSWRSGVERDLDEVLQSHTVYTNVGKGVLAKSKDLLAAFGMDDQTKICLEILEKGELQVAGKERESQLSNQFRDIATIVMLKTINPETQRPYTISMIERLMHEIHFAVDPHSNSKKQALDVIRELQKHFPIKRSPMRLRLTLPQQNFDSLAEKLTEWKTVTVSKDESGSQVSIVCEMDPGLFRDCDAFVRNLQGRSEILAISVHGEGDIHADHYEGHEEVSSSESKKPTEDVVQLSEKIQKQTLSADDTQGQVKQNKCSTCDAIVGDAKQYREHFKSDWHKHNLKRKTRQLPPLSADECRADEEMSDSKSDLNEYSF >KVI06579 pep supercontig:CcrdV1:scaffold_2786:25776:28273:-1 gene:Ccrd_015071 transcript:KVI06579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MAGPAGRRDKNTVQSIRGTRIAVAIVIGILFGGIFAFLYPHGLFSPIQTSPFQGRRLSKSILQIGSTACESTERMNMLKSDIIDLSRKNDELKKQVRDLTQKLMAAEQKNGKAEQQVEVIGDPQKAGPFGSVKGIRTNPMVLPDSTVNPRLAKILKKIAVQNELIVVLANSNVKAMLEVWFISIKKVGIPNYLVVALDDGIVDFCKENSIPFYMRDPDEGIDSVAKTGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYIQNPFDHIYRDSDVESMSDGHDNMTAYGYNDVSDDPSMGWARYAHTMRIWVYNSGFFYLRPTLPALELLDRVAERLSHPPPAWDQAVFNEELFFPSHPGYIGLHASKRTMDRYLFMNSKTLFKEVRKDGDLNKMKPVIVHINYHPDKLPRMKAVMEFYFDGKKDALEPFPDGSE >KVI06580 pep supercontig:CcrdV1:scaffold_2786:8851:12763:-1 gene:Ccrd_015070 transcript:KVI06580 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MEKLGRTANVSLQYGLSQRSFENEASSSAMELKPSDNDVDFDDVFGGPPTRTRYSFGGGRIKSEESTSSSIGLGERPVFGESYSSPRRPTSDDFYDDIFRRSDESVRSPRWSSPTPGSRTLSPAHPKIEPLATSVPVEFSLPAKMTKAIDIPILGSSSSSPHRYKDGASNVHSSLNVSLSRFSSPAMQGRDLFQNNPGQSPGQTLLSRESSGVKESLYSTLSTENGIDHKSKHTTARAGSPSSEFHFSIHKWANIGVPLLMSLRGGKQPTMKGSSKVSAVSMDSTPDAGFPVEVTSADKEDMNPLSGNGLAELTEKEISMVKQEVNEQEVIPPHSPLRNEIKGQGGKEAGREAEGKETKETMSGVLHSDVDTTSKKKLEAKKMNSSKKAEPIKPAVGSPKSSGESFGKSRVKGMVKDFFKMSNQENPPKTKANAVGRSLSSRWKTTAKNRTELDSEVAEIISNLDVRVPAADVETMPDASNTTELNSEVPAENVKTAREASRTTPLDRKVSAGNVKTATEAFRTTPLDRKVPVYVKTATEASRTTPLDRKVPVEYVKTATEASSTTPLDRKVPVDNVNMMPDASFMGHGEKSNKKKFARNRTIKKLEDIYFQMDASPASESVPYGSKVEELSLVEDKLSETQEESEAIRALDSKIHLWSNGRKGNIRSLLSTLQLVLWPESGWKPVALVDIIEANAVKKSYNRAMLCLHPDKLQQKGADSHKKYTAEKVFDILQEAWDHFSSLGTLV >KVI06577 pep supercontig:CcrdV1:scaffold_2786:62680:63929:1 gene:Ccrd_015073 transcript:KVI06577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAYKRYLIFLTIDEQQASVHVIYNSLTSWYYNDLLIWVCYCLSLSWQVSMVSRLKHDNVMELLGYCVDSGLRVPAYEYAANGSLHGKVKIVVGAAKGLEYLHEKAQPHIIHRDIKSSNVMLFDNELLRLQILIYLTNPRHGSTSSFHSCSWYL >KVI06578 pep supercontig:CcrdV1:scaffold_2786:28899:42538:-1 gene:Ccrd_015072 transcript:KVI06578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYNYFSRLRSSFRHGIQRTNASLGGDFQDSLEVLLGHGKLLFGKPRFYSGPFVESGDLNVLLQRCTPCAAQLNLLPASKKKNLSVIGAVSRTFSTPSVSGPSLQVCGYHVDNLLSGPGHFSLGIGNHKVSMALSSYRALLGGGSLNNLTATHGNLMLSIDNSNLSYGSRRFHSCRKISMNSRNKKQSESFSLYGYFMYHVAKTSGSCNQFLGFEWKSFHISSLACLTAGTSPDGFSDNCVHDDQLTNSADSSDRKTLSDRSLKLLSGSCYLPHPEKEETGGEDAHFICSDEQAIGVADGVGGWADLGIDAGKYARELMSNSVSAVQDEPQGSVDPARVLEKAYTNTKAKGSSTACIIALTNQGLNAINLGDSGFMIVRDGCTVFRSPAQQHDFNFTYQLENGSNSDLPSSGQVFSIPVAPGDVIIAGTDGLFDNLYNNDITAVVVHAVRAGLDPQVTAQKIAALARQRAQERDRQTPFSAAAQEAGFRYYGGKLDDITVVVSYITSFKDDESSSSSSR >KVI11414 pep supercontig:CcrdV1:scaffold_2788:52496:56673:1 gene:Ccrd_010176 transcript:KVI11414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAVEITEFLLSAQSADAKVRTEAESRLRQFQEQNLPGFLLSLSLELSNDGKPLESRRLAGIVLKNSLDAKDATTKEHLVQRWVSNDVAFRSQIKGLLLNTLGSSVSEAGHTAAQVIAKIASIEIPKKEWPELISSLLGNMTQQDRPASLKQSTLEALGYVCEEISHNDLVQDEVNSVLTAVVQGMNVTEQSSVRLAASTALYNALDFAQTNFENEMERNYIMKVQDEDQDQDDGIWNLSMAGGTCLGLVARTVGDAIVPLVMPFVQDNISKPDXRSREAATYAFGSILEGPSVEKLSPMVLSAXEFLXNAXRDQNSHVKDXTAWTLSRIFELLHSPATGFSVISXANLQRIVGVLLESIKDSPHVAEKVCGAIYYLAQGYEGFETXSSVLTPYVXDVITSLIATAERTDVNDSKLRSAAYETLNEVVRCSNLAETSQIITQLLPVIMTKLGQTIDLQILSSEDREKQGDLQALLCGVLQVIIQKLSSIDETKPVIFQAADQIMMLFLKVFACRSSTVHEEAMLAIGALAYATGPEFGKYMPEFYKYLEMGLQNFEEYQVCSISVGVVGDICRALDDKMLPYCDNIMTLLLKDLSSGDLHRSVKPPIFSCFGDVALAIGEHFEKYVPYAMPMMQGAAEVCAQIDINDEEMVEYGNQLKRSIFEAYSGILQGFKNSKAELMLPHAPHLLKFIEVSVKDAQRYGLSFLVFAISLLMIFPELLLIIPIGVPMCYFNYALGSHVKMLFKDLAFCSELLGECLQSEDEQLKETATWTQGMIGRVFSLPASPGEDWELL >KVI11413 pep supercontig:CcrdV1:scaffold_2788:70658:73715:-1 gene:Ccrd_010177 transcript:KVI11413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MEVVVDLKIPLDLKIPLEKILKATNNFDPRNFIAKGGFGSVYKGVLSWSDRIITVAVKRLDPXSDQGNQEFLMEITMLSSYKHKNLASLVGFCDEGAEKVVVYVHESKGSLDRXIRDPSLTWMQRLEISIGAAKGLDYLHDGVGPQHRXLHRDIKSANILLNDDWEAKVSDFGLSKIGPSNLRNTFLVTNACGTFGYLDPVYYKSGVLTKKSDVYSFGVLLFEILCGRLACSEEYEDGKRFLGPLAKRKYEEDKLDEIIDPNLRKQMKLNSLITFSTIAYHCLKSNRSERPTMAQVVEKLENAFSLQASLKIAEIARVGVWGTKSSGGPQNRWAFLLEKDHKLKFITIDHGDLIYSLMFTSESKGVLYPSKKAGGWNGGDTVSKVMFEDDEEIIGINGTVGVTGSYTIISSLSFITNKMTHGPFGRATDTPFWVPWGKGNFGGFYGFAGYYIDAIGVYMKASSEEFEIARTGIWGTESLGGPQNQWSFQLEKNHHLKKITIDHGDLIYSLMFTTEYRGIEHPSDKAGGWNGGDKVSEVTFAWDEEISAISGTVGVSGGYTIISSLSFITNKQTHGPYGRASGTPFTVPWDKGTFVGFYGLAGYYIDGIGVYLKATI >KVI11412 pep supercontig:CcrdV1:scaffold_2788:42643:43747:1 gene:Ccrd_010175 transcript:KVI11412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MVQDCVDTFADNAFYTEVTSEGVKLGFESFNKGGISLIFLIIDDVWQTIGIDPSSVEAKADNSAKEPTAREQGGAKIEERSSPTTSNQRPSLASSEIKMSTTCLELQILLEMEMLLSIVILAVFTVVPIKKLSGEVSFAPI >KVH87641 pep supercontig:CcrdV1:scaffold_2789:50698:65239:-1 gene:Ccrd_025074 transcript:KVH87641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MILNMVIDYNICQDESFSGIEKHLKTLIARTSLPLDDDDNAWGKARLGTSLLFVLDQDSIMAELSPRTDTSTDGDTDDKAMGFHSGQSHGLVVSDASDKSRDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRMKLSQLEQELQRARQQGIFISSSGEQSQSTSGNGASSFHVEYARWLEEQNRRISELRDAVSSHAADGELRIIVDGVVSHYEDIFRIKGDAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVTQLEPLTEQQLLAINNLQQTSQQAEDALSQGMDALQQSLAETLAGSVGSSGNADNLRQQTLQQMHRILTTRQSARALISISDYFSRLRALSSIWLARPRD >KVI10518 pep supercontig:CcrdV1:scaffold_279:87349:91189:1 gene:Ccrd_011100 transcript:KVI10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MEANIDANKYAKELEVGVRVVQLVCCLCQKVQKGSIGPNPDQVISKDDDSPVTVADWSVQATVSWMLSELFGRENVSIVAEEDTLTLSDANATSLLASVVATVNESLAMAPRFGLKSPATPLGASEVLDAISRCNSTGGPVGRHWVLDPVDGTLGFVRGDQYAVALALIENGQVMIGVLGCPNYSSKKKLVNNSSVSKLSSDLWQEGKQPLRVYSMVKYAAIARGDAEIYMKFAKAGYKEKIWDHAAGVVIVEEAGGVVTDAGGRPLDFSRGVYLEGVDRGIVACSGVNLHDKIVGAVYASWDSSHL >KVI10505 pep supercontig:CcrdV1:scaffold_279:173895:177521:-1 gene:Ccrd_011092 transcript:KVI10505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein MQRSAMLNTIKRQMAFQKTRPAVQPACDVFINHRGVDTKRSIASLLYHQLVFSRIPSFLDNKNMKPGDKLFENIDNAILGCKIGVAVFSPRYCESYFCLHELALMMETGKKVIPIFCDVKPSELRVSDNKICTPSETKRFAFPWANNMLCIARRGSTSELPARQLPNGCEQ >KVI10497 pep supercontig:CcrdV1:scaffold_279:221955:223259:-1 gene:Ccrd_011087 transcript:KVI10497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MAARLLAYXVSDLCLGKPPLRSLSISATVRHALTALKSSDDTHISIWACNHHNSTSDHLQLIHDDCRCVGKICMVDIICYLCKEDNISSPSSALKSPVSALLSHVPGVVRHVELSSSLFEAIDLIINGAQNLVVPIKTKTLKRKQLRQYPSITPTIHSGGREFCWLSQEDVIRFLLSSIGLFSPTAAYSIDSLGIITTDVLTINYHSPASKAVEAISTSLADQTSVAVIDDDGVLIGEISPFTLMYCDEMVAAAIATLSAGDLMAYIDCGGPPEDIIRVVEERLKERNLKGMLEEFSIYSTGIPDCNNNSSSDEETVPSPTTTQSRRYKRSSSYSARMMRRAEAIVCHPGSSLVAVMIQAIAHRVNYVWVIEDDCSVVGIVRFSGMLEVLREHLECMIE >KVI10493 pep supercontig:CcrdV1:scaffold_279:260729:261436:1 gene:Ccrd_011083 transcript:KVI10493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDQDALRAFFITFVLVASLSLLFVLILNFCTQWFENPGVIVQDTEMDIEMRIRAPPPTTTIHRVITSGVRQQAAVAADESHDHLPAMEEQEYKSSSRRDDKCVICLEEFKEMESIQNVD >KVI10490 pep supercontig:CcrdV1:scaffold_279:188338:189721:1 gene:Ccrd_011091 transcript:KVI10490 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEQVADHGKNKGKQPWTPVQDSAFFFGTKIRKQESRFSFPFSLDDHNLQSSVNLEIPTPSKKRQMISFTPDNKEMDPRQPPGKLYRGVRQRQWGKWVGEIRLPRSRSRRWLGTFDTAVEAALAYDLEAFKLRGHQARLNFPHLLVNDQKQTITLPSSPSMSNPKSSQPSLFNNSYGSEPGSSKDQVLNGFEPNESLSEYGTEPPWETMEDGVSYASQAGYESVHEDS >KVI10498 pep supercontig:CcrdV1:scaffold_279:238817:247903:-1 gene:Ccrd_011084 transcript:KVI10498 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAGRSGSKTMIVTNTPAKDLAYTNCAYCSPSDVRQFLLPGSNIALALVGDVGQIGLNAIQRRHARVSTGDPVSVCRFIPPEDFNIALLTLELEFVKKGNKEEQVDAVLLAQQLRKRFVNQVLTSGQRVTFEYHGNGFIFTVTQVTVEGQDKSNNIERGMLVSDSYIVFEASNSSGIKIINQREAASSNIFRHKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVASKLGVKHVKGMLLFGPPGTGKTLMARQIGKMLNGRDPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQKTRGDQSDLHVIIFDEIDAICKVQLEMAQECTIALSTNSLQRWCGSAEQCVAHRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDVNLQELELEGVVKSAVSYALNRQLSLDDLTKPVDEESIKVTMDDFVNALHEVIPSFGASMDDLERCRTMLLTEQVKVSRGSPLVTVLLEGPSGSGKTAMAATVGIGSDFPYVKIISAESMIGLSEASKCAQIVKTLMVLLKRLPPKGKNMLVIGTTSEVSFLDSVGICDAFSVTYHVPTLKTEDAKKVLQQIKVFSDDDIDAAAEALNDMPIKRLYMVVEMAAQGEYGGGAEAIYSGKETIQISHFYDCLQDIVRY >KVI10492 pep supercontig:CcrdV1:scaffold_279:263469:269290:-1 gene:Ccrd_011082 transcript:KVI10492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKTTRTQTIKSEPQSSSNIPTGDPHPQSAKPQSHAAIGESTVKITCYTQRGRRCIVGERRRDESDAREKEGKYGNVKSDSGKHEIEADWVRFRSDLDQIRDLVNTLTADRVRYADRVSPVSIYINRYLRHYEARVIMEDLELGRRPRITTMPQTVHVLHRVIPAFTRINYNLGYERSALSSSKCGGGNIHEWLQIRWMRDMFGEVCRERDHSSCSTMSTSLSYPLHLPVVACKSILPYLSRYDHVLRGVLGNSGHWGSLLASRLMLHSLKDANT >KVI10506 pep supercontig:CcrdV1:scaffold_279:95132:96761:1 gene:Ccrd_011099 transcript:KVI10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MGKTGRDWTQIYAIYGMDDWHTPIFLLIHAIVFSSSSLIFLLYFNWICYSFESIFPSVFSGSVARFAAGFTGSVTALSALCLFYAAGNMFYSSVALRWDMAQRMVSAVHDWSTVKTVLDVGCGRGILLNAVAMQLKKEGSSGRVVGLDRKNTTLSTLRTAGMEGVQEYVTCREGDARRLPFPDNYFDVVVSAGFVHKVGKEFGQKSAAASAERMRVVGEVVRVLKEGGIGVVWDLVHVPEYVLRLQELKMEEIRVSERVTAFMVSSHIVSFRKPSQHVLGPNEVRLDWRFNNIC >KVI10499 pep supercontig:CcrdV1:scaffold_279:231698:235460:-1 gene:Ccrd_011085 transcript:KVI10499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MGDRFRSATQLDDLPLHLIFEILTSGGLLGVMDLVCLELTNSTFRGTHGLVPHKLKSLVCGSHPIYVCLSYRAQEELLTRCAGNWKRILRYHTLLIKDSTVYSCGSSLCGVLGHGPETTQCVAFTPIKFPNHAHVTHVSASHNHAAFVTQSGEVFTCGDNSSFCCGHSDTSRPIFKPRLVEALKEVRCKQVAAGVSFTVFLTREGQVYTCGTSAHGQLGHGDTLDRPTPKLVEALVSVGPVVQIAAGPGYALAVTNDGTVYSFGSGTNFCLGHGEQHNELRPRPLQSFRRKGIHIVRVSAGDEHVVALDSNGYVYTWGKGYCGALGHGDEIDKTTPKLLSSLKTHLAVQDHHISQVSTGLYHSVVVTSRGRIFGFGDNERAQLSHDKLRACREPSEILVQEAAGGTLPP >KVI10516 pep supercontig:CcrdV1:scaffold_279:66820:74228:-1 gene:Ccrd_011102 transcript:KVI10516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILSFAASPSNFRTINNSSRTAVPLQSSSRISITNHHQHSANLFVPEVESAVDTLYPEFRAVDNLVACNTSRVLKAFQNARVGSHHFSGCTGYGHEEAGGREALDQAFAEIFGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGTPYDTLEEVIGIRDGNGSGSLKEFGIKYREVALADDGGLDWDALEVALRPQTKCALIQRSCGYSWRRSLSTQNPNCMVMVDNCYGEFTEAIEPTMVGADLIAGSLIKNPGGTIAPCGGYVAGKDKWVKAAAARLSAPGLGVDCGSTPGDIMRTFFQGLYLSPQMAVQLGTRERLLAFCEAVQRSSPVSSYTKPIAGSTPGYASEVIFADGTFIDGSTSELSCDGPLREPFCVFCQGGTHWTQWGLVLGEVLKSL >KVI10509 pep supercontig:CcrdV1:scaffold_279:172232:176761:1 gene:Ccrd_011093 transcript:KVI10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein METDSLPIYLLFAIFASSSILEASINGGGLRSKQENPIKRSDFPPGFLFGAATSAYQPLLLGCGENGENGDFADDHYHLFKKDIELMHSLGLKSYRFSISWSRILPRGRFGEVNPSGIMFYNEIIDDLVLKGIEPFVTIHHQDFPQELERKYGSWLDTQMHFGDRVKYWVTINEPNLVSEMSYEKGTYPPAHCSQPFGNCLAGNSDVEPLRAMHNMLLAHGKAAKLYHERFQAISDVEVDEILNDIKRIEFHETYLESLAKAIRNGADVRGYFIWSLMDNYEWTYGYNVRFGLYYVDYKTFERVPKLSARWYQDFVKNNSLDHHPPLRTDT >KVI10515 pep supercontig:CcrdV1:scaffold_279:61626:65937:-1 gene:Ccrd_011103 transcript:KVI10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MTHKQETLIGSGICVAISVLLSLKLVRDHLSNWKKPKEQKAIIVIILMAPIYAVDSYVGLVDIRGSETFFVFLDSIKECYEGLVMAKFLALLYTYLNISISKNIVPDEIKGREIHHSFPMTLFQFVVIRPACSVLMIVLQLLEIYPDWLSWTFTMILNVSVSLALYSLVVFYHVFAKELAPHKPLAKFLCVKGIVFFCFWQMYAYTAAPYKDADVKQKKSD >KVI10522 pep supercontig:CcrdV1:scaffold_279:54510:60509:-1 gene:Ccrd_011104 transcript:KVI10522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSECPNCRNEFQVPKSILNDEPQQCPYCSQPFSVVGDEFVRDPMKYSNQSTTFGEAFNDLSSRSKKGKASSRAIIDIEAEVTDVD >KVI10512 pep supercontig:CcrdV1:scaffold_279:302153:306143:1 gene:Ccrd_011077 transcript:KVI10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVERQIQNREKMMAESLRAAEAEANNGGTV >KVI10519 pep supercontig:CcrdV1:scaffold_279:15678:17268:1 gene:Ccrd_011107 transcript:KVI10519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MASMAAPTASSTTVGNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWLKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGDGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >KVI10513 pep supercontig:CcrdV1:scaffold_279:309657:315944:-1 gene:Ccrd_011076 transcript:KVI10513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF616 MTGVSLDSRTQSYGSPQQPQNGSLQTQNGFIVRKSSRMSLSGPREKDKFLPFLCRYLSRKKVVMLILGSFALMAFLTGVLIVSLYHFPVLSEDASESTIFNHFGEAYNTSLQFSRKFGSQQDDNSSMQTTLAVCEENPPTRHFSAASLSTESVFSNSNLCQNFAFPPPPPGDRRRPGPRPCPVCYLPVKQAMASMPSSPSTSPVLHHLTYFHEESSTKTEPHEGSDFGGYPSLKQRNESFDIKESMTVHCGFVKGCRPGYQTGFDIDVSDLVDLEQFHEIIVASAIFGNYDVIQQPTKISEAASRNIPFYMFIDEETEAYMRNSSVLDYNMKVGLWRIIVVRNIPYSDSRRNGKVPKLLLHRLFPNVRYSIWIDGKLQLVVDPYQVLERRFDVFVEAEANKAAGKYDNSSIDYQIDFYRNEGLTPYSEAKLPIISGGLFFIFCAGFFLVCGSHLEESWYIADVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFATVRDKIMAQVNWSVNMFLDCERRNFVIQEL >KVI10507 pep supercontig:CcrdV1:scaffold_279:102412:122447:-1 gene:Ccrd_011098 transcript:KVI10507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Foie gras liver health family 1 MANSLARFESIKKSCNRFVIAVEDVSDLWPLVKGGFEERLPFRRAILNNKTRNPVSMDNLPAEFILTTDPRLRSQFPQEQLSFWFREPYATVILVTCEDLDEFKTILKPRLKSIVQNEEEWFIVFVSKAAPNNDKAINRAKKVYARLEVDFNSRRRERCCKFDLHGPEATFWDELEPKVLECLRNTLDRRIQLYEEEIRKLSEQRFTPTWSFCSFFILKESLAFMFDMAQLHEDSLREYDELELCYLETVNNKQRDFGGTDHGDDQAAILDPDNKQLTQIVQDNSFREFEFRQYLFACQAKLLFKLHRPFEVASRGFSFVISFSEALAQHESILPFCMREVWVLTSCVELVSATAEHYREGLVAPEIKKDYYRVRGELYSLCRVKFMRLAYLLGYGSDIERSPVNSASLSMLPWPKPAIWPTVPSDASSEVLVKEKMILEVTPRIKHYGIQRKPLPLEPSSLLHAANRGGDSLSAGNMFEVPDGSGSEGQTSPSPNLPSMSMSRTNFAPGNFESSTDSSKRFAELFVAAEHALRRTISDPDLWKSLSSLEEFEKRYLELTKGAADNYHYSWWKRHGVVLDGQIAAVFFKHGNYDLAAKSYEKVCALYSGEGWQDLLADVLPNLAECQKILDDQAGYLSSCVRLLSLDKGLFLNKERQAFQSEVVRIAHSEMEDPVPLDVSSLITFSGNSGPPMELCAGDPGTLSAIKSSDVTILNPGRNTITLSLPPQKPGSYVLGVLTGQIGHLRFRSHGFSRGGPADSDDLMSYDKPTRPILKVFKPRSLVDLAAAVSSALLMNEPQWVGIIVKPYGYSLRGAVFHINAGPGLRIEESCAIEMETYVNAQRKVDGLQDADGDSNNASAVPKEFAQLTLRNGSIELPDWASNIVSVIWFPVCALSDGLARGTTAASVVDGLRTVALKLEFGVMHNQIFERTVAVYFTDPFHVSTRVSDEGSDGALLLQAILHSQVKASLTIHDAWLDLQDGFTHAGRGDGRPTSDCFPLVVPPASRAGILFGISLGTTTTKDEEKVLHPDTVLNIRYEIAGDRNLGSHTPVSLEPKGPEDDATPLLTFRSALVLQRPVLEPFLAVGFLPLPSDGLRVGKLFTMKWRLERLKFFEEETASDKSDGVVIEISILCVPLATGYVRPPQLELPNVKRANICCNPAGPHLVCVLPPPLSSSYCIPS >KVI10520 pep supercontig:CcrdV1:scaffold_279:20824:22569:-1 gene:Ccrd_011106 transcript:KVI10520 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4408 MIMGSSLKLAVVSATVLSTAVMINLLIPLIMDASLQDVRGIWSSLISWLKPPYLYVVINCIIITIVASSRLQSKLDDFPPPSTFPTPPFEPAVKVEVPRFHQPQVPEVVPFDGVGLGNEQPVKENVAGYSSDRKVVQVPERDLSTYDFREEDMKTATEAYEEITKNMANKSVISMSSWTPSKISQVDLSVPMEKPPASTRFSRRKPTKATPEGGRTLGVAKPKRQDTLDTTWKTITEGRSVPLTRHLRKSDTWETHGRHHNNSTEAVERMTKSDTFDVNHGGGSCRKPPPPPSKLSRSGGSGRLKKVPSLGQEDLNRRVEAFIKKFNEDMRLQRQESLNQYMEMINRGAH >KVI10500 pep supercontig:CcrdV1:scaffold_279:214453:219037:1 gene:Ccrd_011088 transcript:KVI10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding MGKKRKHSEVEVPAAKKEETAPERPKRTLLGWKDKPENQQDSTTFTGFRNKEKVLVTCSRRINYRYRHLMLNLVSILPHCKKDNKVESKATKGATLNELVELKSCSSCLFFECRKHKDLYLWMSKCPNGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFDKDPHWKLLKEMIIQIFGTPKEHRKSKPYHDHVFVFSIVDDHIWFRNYQISCPHTGADKIDRGGLEKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRSLEKRQKAGKYAKKVKAKTRRKMHEMSNPLETDEFADMWKE >KVI10510 pep supercontig:CcrdV1:scaffold_279:286991:289255:1 gene:Ccrd_011079 transcript:KVI10510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAGVLETLAVPRASAFPSASLSPIVSSPVSQLSLRRNFLPESRALKLNIHSFRSSGSVKLRSKFGRRGARVVCEAQETAVQVLPVTDDTWQSLVLDSSLPVLVEFWAPWCGPCRMIHPVIDELAKEYKGKLTCYKVNTDESPSIATKYGIRSIPTVMVFKGGEKKDAVIGAVPKSTLCTCIEKFC >KVI10501 pep supercontig:CcrdV1:scaffold_279:209234:213212:1 gene:Ccrd_011089 transcript:KVI10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MALQATSFSLHNLVNLPAKTAGSSMDSGLFGVSLSDHRKLDFTSSSSRTKMEFTRRRAFRTQAVATTAPAVIWTAPEGKKTLRKGSVIITGASSGLGLATAKALSETGKWHVIMACRDFLKAERAAKSAGMSKENYTVMHLDLSSLESVRQFVRNFKQSGRPLDVLVCNAAVYQPTAKEPTFTADGIELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDMRGLAGGLNGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGYVSEEESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSEEASDVAKARKVWEISEKLVGLA >KVI10503 pep supercontig:CcrdV1:scaffold_279:151514:153197:-1 gene:Ccrd_011094 transcript:KVI10503 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGLPVTDPMTQLSLPPGFRFYPTDEELLVQYLCRKVAGHDFSLQIIADINLYSFDPWELPSKAMFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITTGGRRVGIKKALVFYVGKAPKGNKTNWIMHEYRLSEPPRKTGSSRLDDWVLCRIYKKNSSAQKQISGAPSTEQSHGSSSSSSSQFDDVLESLPEIQDKFFNLPRVNSIKTFQQEDQKLNLQKYDSGNYDWASIAAFGLPETQAPPQLNTTTATATATATATAMNNVFPSMSPTYTTDIKFGRSLEDEVESGIRSQRVENPGYFQSNLNPFAHSQSQSFSKTMDPYGIRYPTQQASLGFRQ >KVI10524 pep supercontig:CcrdV1:scaffold_279:4681:13274:-1 gene:Ccrd_011108 transcript:KVI10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MASRMEPGFVAGVRHGSVLGPILLLHTLHKRVVHVRVRRWLVCHHGDGAPLHDGCNPHVVAVQDEKVVVESEINPTTKPPPIEPLLRNQCTAEGGGVAHDGGQEPVALWIVTPTLLQKGSATFAMTVFLILFLFQYLPKIYHFVHLLRRMQSLSGYIFGTAVGACWYLLGTQRVVKCLNQKCMETHGCNMRIFTCEELLYYGTNTLMRDQSRLLWGENISASTFGNLESTTDWLEVVFIIIVLTIGLLLVTMLIGNIKVFLNATTSKKLAMQLKMRNMEWWMRRTHLPQGLRQRVRNYERQRWAAMRGVNECEMIQNLPEGLRRDIKYHLCLDLVRQVPLFQHMDNLVLENICDRVKPLIFTRGETITREGDPVQRMLFIVRGHLQSSQYLRDGVKSCCMLGPGNFSGDELLSWCLTRPFVERLPPSSSTLVTLETIEAFGLDAEDLKYVTQHFRYTFVNEKVNMSARYYSPGWRTWAAVAIQLAWMRYRHRLTLTSLSFIRPRRPLSRCSSRGEDRLRLYTALLTSPKPNQDDFDF >KVI10496 pep supercontig:CcrdV1:scaffold_279:227577:228881:1 gene:Ccrd_011086 transcript:KVI10496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MAARLLAYKVSDLCLGKPPLRSLSISATVXHALTALKSSDDTHISIWACNHHNSTTGHLQLIHDDCRCVGKICMVDIICYLCKEDNISSPSSALKSPVSALLXHVPGVVRHVEPSSSLFEAIDLIINGAQNLVVPIKNKTLKRKQLRQYPSITPTIHSGGREFCWLTQEDVIRFLLSSIGLFSPTAAYSIDSLGIITTDVLTINYHSPASKAVEAISTSLADQTSVAVIDDDGVLIGEISPFTLIYCDEMVAAAITTLSAGDLMAYIDCGGPPEDIIRVVEERLKERNLKGMLEEFSIYSTGIPDCNNNSSSDEETAPSPTTTQSRRYKRSSSYSARMMRRAEAIVCHPGSSLVAVMIQAIAHRVNYVWVIEDDCSVVGIVRFSGMLEVLREHLECMIE >KVI10511 pep supercontig:CcrdV1:scaffold_279:294336:301137:1 gene:Ccrd_011078 transcript:KVI10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter-like protein SVIGKYPSSDGNGEHGGGNGIIKYNRKCRDVVFLVFFIAFWIAMIVNSSFGFNQGNPLSLWFPFGFLYYMVYDELWLGRLNFGLDYKGNVCGGKHADFDLRQLELKYWVNPNQVYQSGLKNSQVKLSNARTICLLDCPIPSEDSLNWVCDYPEGDLRLSLDDWIDMNYDYFADLTPELRNTSLQLQGPCYPVIFPSVNVYWSCQFIARPSNVSLTHWKQMNGVTIADDMVIDKSIHKSINSRSSVLKRYVADVGKSWPVLIVCGGFLPLFLSLLWLLMIPGWIGNDAISPIIGEHDPYYHVSAREQHHIRAAAFLMTCVMIVAVLSSIAIVAAKVIGEVQALIIFPVIPYVILAIFYMFWLSAALHLFSSGQIVQNDCNTNCCAYDLKAKRVSCDDCCGYSIHYTSHIAASILFHLFGCYWATQFIIACSSTVIAGSVASYYWARGETSTAIPFLPVFSSMKLLMRYSLGSVAIGSLIVSFVESTRFILEAIRRRLKVADILPESWIKRMLFYTSQFCLKCIELTIKSVNRNAYIMMATDLIISNILRIGRVNVIGDVILFLGKLCVSLASALFSFLMLDTHKYKSSHNKITSPLFPVLDAEEHQGTAHYAPPLLIETFDEQNEVQRIGQ >KVI10514 pep supercontig:CcrdV1:scaffold_279:325037:325354:1 gene:Ccrd_011075 transcript:KVI10514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVGYRWYNAVNILAHGRKWCDANDYRLIGKLYEVDAACVEDVHWDNLLEHRPGNVCRKRWDQMVCHIGHQGSKPFSEQVDTLAKRYCPDLAKTREAWDNKPVV >KVI10494 pep supercontig:CcrdV1:scaffold_279:274859:277906:1 gene:Ccrd_011080 transcript:KVI10494 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-D-manno-octulosonate cytidylyltransferase MSTKAWILHGVAAAAALAVGAHAYFYYRRSVTMFRSRVVGIIPARFASSRFQGKPLVDILGKPMIQRTWERAKLATTLDCVVVATDDEKIAECCRGFGADVIMTAESCRNGAERCSEALQKLGKKYDIVVNIQGDEPLIEPEIIDGIVRALQTTPDAVFSTAVTSLKPEDAFDPNRVKCVVDNRGYAIYFSRGLVPFNKSGKTNPKFPYNLHLGIQSYDSEFLKIYPDLTPTPLQLEEDLEQLKVLENGYKMKVIKVDHDAHGVDTPEDVAKIESFMRERNLS >KVI10508 pep supercontig:CcrdV1:scaffold_279:126084:126371:-1 gene:Ccrd_011097 transcript:KVI10508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQHPSPAKRSPISGDKYRDLLTDLPSPSSRPRRLNSSLVRRNSSREMVVPRRRRASKEIVRRALTPPARRLSKRWLDFRPTPSRLSVMSMAI >KVI10504 pep supercontig:CcrdV1:scaffold_279:131482:132710:-1 gene:Ccrd_011096 transcript:KVI10504 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MESTDSSTGSQLPQLPPGFRFHPTDEELVVHYLKKRVASAPLPVAIIAEVDLYKFDPWELPGKATFGEQEWYFFSPRDRKYPNGARPNRAANSGYWKATGTDKPVMTSGGTQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLADNKTISKPHGCDPTKQKGSLRLDDWVLCRIYKKNNMQRPMDSDGGDHQHNITGMLASIPPSISLAGTGHHGTIIRPTAGHVGYNTMLENHEHNLMFDTRINSNDTDINTNVNTSNLLPVKRSLPALFWNEDGGTSGGNTGNNSSSTYTKRFLTESNSDVSVMAATRTTEENSGSIASLLSQQAMLGSLEDGVFRQPYQLPAGMNWYS >KVI10491 pep supercontig:CcrdV1:scaffold_279:200077:208179:1 gene:Ccrd_011090 transcript:KVI10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDTHTTPYDVVFFSGPPSSDLSSLKLTFSDLLLTLSCCGLDLSDPGRAFSFSDGNARTMSKSGNEDEEDNGNDCFYESLDRILSSTTCSSSSCSDDDQEDTDLYRRDLNCSTSPGNNAPGHPLPIPKFPMGVTGNYDVWISQPSSVEERRLRLLRQMGLSRDPSISRHKPSPSVSSAADSKLGKSVSADHLDSEATNAHHVSGSNSNPAIIRSKSADHEHRDFNSNSHSNSSHEILFFNSMSSPAVDAASSANVNNNVDKHVLVKSPSVGNVSPVVNANAASPSKPPTGKILRRSEEIVRCDLSTSLNLNVNSNDGDVAGMDLDCVRDGDDAVCTIKNLDNGKEFVVNEVREDGMCENLKEVGTGRHLTMEEFEMCVGHSPIVQELMRRQNVEAGNNDLPDSKGNSSSGGGSKIKKKGSWLKSIKNVASSVTGHKERRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSCKDLTALYKTQEIQAHNGSIWTIKFSLDGKYLASAGEDCLIHVWQVGSSDRKGDLLFDKQEDGNLNVLLMSNGSPEPTSLSSTLDSVPEKKRRGRLSISRKSISLDQILVPDTMFALSEKPVCSFNGHLDDVLDLSWSKSQHLLSSSMDKTVRLWQLSSKSCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQAALVGSYKGSCRLYNTTENKLQQKSQINLQNKKKKPHHKKITGFQFAPGSSSEVLITSADSRIRVVDGVDLVHKFKGFRNTNSQISAYLAANGRYVVCASEDSQVYVWKHEGDSRASRNKSVTVTQSYEHFHCQDVSMAIPWPGINDTCWGFQDGFSGEPNRLSGDHLDEVSTANHPPTPEDKTNGKDGSPLTSGCSNSPLHGTISSASNGYFFDRISATWPEEKLVLATKNRSPRTSVDYTNGMSPGKSAWGMVIVTAGLRGEIRTFQNFGMPVRI >KVI10517 pep supercontig:CcrdV1:scaffold_279:76030:78433:-1 gene:Ccrd_011101 transcript:KVI10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase METPVSDGRVSKRLLRKKSLSRNYDENLMDDYLEKQLGGSVRKRFRTKKELEKETEKEAMIALSLGFPIDALLEEEIEANVVSELDGKEQNDYIVVRNHILSRWRANVQAWLSKGQIKETVSNEYDHLLHSAYDFLLFNGYINFGVSPPFKAQMPEEATEGSVIIVGAGLAGLAAARQLLAFGFKVIVLEGRNRPGGRVYTQKMGQKGNYAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDKCPLYNPEGQPVAREIDSKVEFIFNRLLDKVTEFRQIMGECATDDISLGSVLERLRQLYAVATTTEEKQLLDWHFANLEYANAGCLSHLSAAYWDQDDPYEMGGDHCFLAGGNWRLIEALCEGVPIFYEKTVQTVRYSNEGVEVTAGDQTYQADMVLCTVPLGVLKKKTIKFIPELPERKLEAIGKLGFGLLNKVAMVFPYVFWGEDLDTFGCLSKNSSTRGEFFLFYSYHTVSGGSVLVALVAGEAARSFEYTHPSTLLHRVLSILRGIYGPKGIDVPNPIQSICTKWGNDPLSYGSYSHVRVHSSGSDYDILAENVENRLFFAGEATNRQHPATMHGAYLSGLREASCIYRVAKGKQTQTHPKKSIRKIGGVSDILVNLFKKPDLASGNFLFVFDPSTDDPKSMGLMMVAIDSSGSELCNDNGKVNISQHSDKQPMKLYTIVSRVQAQELQSVVGRGECGLTYLSKNLGLKLMGSDCFTLLANSLISYIATRRGRLRNRVVYGNHVSYT >KVI10523 pep supercontig:CcrdV1:scaffold_279:2546:4027:1 gene:Ccrd_011109 transcript:KVI10523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASNKSLICIFLATFALLAAISQVKGYSSSYCGPWSRCEGQTLYCPSECPSSESNEPKAKNVNQTATAPDQHATTHVSSGEMALCSISMASLISDSNLQINGRFIGHRPTGRTRDFTWIQALGLLFNSHTFSLEATKSATWDGGIDHLRFSYDGEEISLPIGGLSTWQSREDGIKVERTSGVNSVMVTLXGVVEILANVVPVTSEDDKIHGYKVPSDDCFAHLEXQFRFSGLSDEVEGVLGRTYQPDFKNPAKPGVAMAVVGGEDKYKTTSLLAADCATCIFDSSNDAAEEKMKTRKEYGTLDCSAKGLFHGNGI >KVI10521 pep supercontig:CcrdV1:scaffold_279:37177:46858:-1 gene:Ccrd_011105 transcript:KVI10521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MVDTQLQYPTSNSLHTLAPKTDEKERLNYQNPHPISLPTSSPVIQIFRRRDLNVHRNHYFLLVLSANMNEKAGVSKELNARHRKILEGLLKHPENRECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWVAKDGRPISPPAVQEEKGAVQWQRPVEKTGGTGYSESPAGEKKSYQAHTTKSSTPGARISLRVPPKGPEPVIQKAEPAIPSAELVKEVAETVSPPKVDFATDLFDMLSMDDGPTEKGQEAASTDDNLWAGFQSAVEVSSTGTTDLTKPVDNKAKPTSGIEDLFKDPPLFSQPNASEKPQKDGNNTSPYALHQQQLAMLAQQQYLLMAAAAKSGGLPKFAGNGQQQQVSGGTNSSNQNWTNVGYQFPGMQMPAPGKDELEKYLQQMGNMGAANPAGNSFQVPTSSIYAVNATTNGTAFPGASIPGIMGQNPSFNNMGPSGASKPQAASPLSSTPASQSAKDYDFSSLTQGMFSKP >KVI10502 pep supercontig:CcrdV1:scaffold_279:138958:146126:1 gene:Ccrd_011095 transcript:KVI10502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIKKVSLMQEIEMFEDEENSDVKPSRRRIDITTYVQRRQKRKLYN >KVI10495 pep supercontig:CcrdV1:scaffold_279:270975:271388:-1 gene:Ccrd_011081 transcript:KVI10495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIELGRRPRITTMPQTIHVPHRVIPPFTRINSNLGYVMGRRNAVFYLPRSVEEEIFKSGCKYDGCVICLERFVEKEIIRVTGQLASISINVTKP >KVH87638 pep supercontig:CcrdV1:scaffold_2790:10172:11843:1 gene:Ccrd_025075 transcript:KVH87638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein HLPNLKVLNLSHSEHLITTPDFYGLPCLERLLLSRCNQLTGVHPSIGYHERLIYVDMSYCTNLTKFPPIRGMKKLETLILSWCLQLCVFPEIQMNMDNMIELSLTGTEIKVVPSSFGKYCTNLLSLDLGSCNGLESIEGNFHHLKHLKKFSIASCKKLKIPPEGLFDVTCCLEVLNLFDTSFKNLHPGIISMKFLGFPPSLRRLGIGSCDRINGDISSIFCELSNLQVLNLQQNDFSRLRCSLLQLCSLKVLNLSYCYYLVELPDLPSSIAVLRAYRCKNLKLLDLPTDLKWLWRITLPMNCILGDVERKVQSMLQGNSIYDNSISLSFHGDXIRLEDFARRRLMLELPRNWYNEFSGFLICFKVKGTLGNIYNVITIEDVMGRENEDVLEVSDGTPKVIWREVYRCYIYISCSSLRHTSWWKSTHTTISFSIGSGTCIKVELVPRSKGDPIERVKHTTNSSEFWDREPIKITHDSKSCIKITSCHDDDILDLFHN >KVH87640 pep supercontig:CcrdV1:scaffold_2790:40766:42434:1 gene:Ccrd_025077 transcript:KVH87640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein HLPNLKVLNLSGSQHLIRTLDFDGLPCLERIKLDGCVNLTDVHPSIGCHERLIYVDMSFCTDLKKFPPIRGMTKLETLILSCCLQLCMFPEIQTNMDNMVELSLDRTGIKVVPSSFGKYCTNLLSLDLGSCESLESIEGNFHHLKHLKRFSIAGCKELKIPAEGLFDVRCCLEVLDLRYTSFKNLHPCIVSMKFLSFPPSLRRLHLVSCDWINGDISSVFCELSKLQVLNLHQNVFSRLRCSLLQLCSLKVLNLSYCCDLVELPDLPSSIAVLQAYGCKKLKLVDLPPDLKWLWRISLPMNCILGDMERKVQSMLQGNSIKDNSISLSFKGDNIRLEDYARIRSMLELPRNWYNEFSGFLIFIKVKMLFNIFGDVITIEDVMGRENEDILEVSDGTPEKTRDEVYRCYIYIYPSVH >KVH87637 pep supercontig:CcrdV1:scaffold_2790:68404:70082:1 gene:Ccrd_025078 transcript:KVH87637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein HLPNLKVLNLSHSEKLIKTPDFDGLPCLERIKLYKCVNLTDVHPSIGYHERLIYVDMSYCTHLKKFPPIIGMKKLETLILSWCPQLCMFPEIQTNMDNMVELSLRGSRIKVVPSSFGKYCTNLLSLDLGYCKSLKSIEGNFHHLKHLKKFFIARCKKLKIPPEGLFDVTCCLEVLHLFGTSFKNLHPGIVSMKFPGFPPSLKRLHLVSCDWINGDISSVFCELSNLQVLNLQHNDFSRLHCSLLQLCSLKVLNLSYCCDLVELPDLPSSIAVLQAYQCKKLKLVDLPTDLKWLWRISLPMNCILGDMERKVQSMLQGNSIYDNSISLSFHVDNIRLEDFARRRLMLELPRNWYNKFSGFLICLKVEGMIGNNSNVITIEDVMGRENEDVLEVSDDETFKWIRGKGEVYKCYIYISCSSLRHTSWWKSTHTTISFSIQRGTFVKVELVPRSKGDPIERVKHTTNSSEFWDRETIEITHDSKSCIKINWCPNDMPNLFYNRNV >KVH87639 pep supercontig:CcrdV1:scaffold_2790:20082:22486:1 gene:Ccrd_025076 transcript:KVH87639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSYCMSLKMFPPIRGMKKLETLVLSWCSQFCMFLEIQMNMDNMVELSLVGTRIKVVPSSIGKCCTNLLSLDLLCCERLKSIEGNFHSLKHLKEFHIQGCKELKIPAEGLFDLKGCLEVLNLYNTSFKNLQPGIASMKFLGFPPSLRRLGLGSCNLISGDISAILCELSNLLVLDLQENNFSRLCCSSLLQLRGLKFLDLSFCCNLVELPDLPSSIAVLRAYGCDKLKIVDLPINLKWLWRISFSMKSISGDVHRKVQSMLQGKDNSISLFFDAENIPTRDFARRRLMLELPRNWYNEFSGFLICIKVAGIFAXKSDVITIEDVMGWENEDXFDGTPKETYDDICICYVYISFNSLRHTSWWKWKSTHTTISFSIKAHAYLKVELVPGRCKGDSVERVKHTTEFWDTEPIEIAHDSKSCIKINWFHHDKASLFHD >KVH99408 pep supercontig:CcrdV1:scaffold_2791:40093:40819:1 gene:Ccrd_022362 transcript:KVH99408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFDQGFDIQSLARNRFDTMIKLMAQELHLANNQLIGIIPPGLGKTTASPQLTELHLANNQLIGIIPPRLGKTTALVGLWLFMNSLMKI >KVH99403 pep supercontig:CcrdV1:scaffold_2791:41332:41547:1 gene:Ccrd_022364 transcript:KVH99403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MTWNKLQAVALDRDKRVSVVKGIANALFYMHHDCSQPIINRDLSSNNVLLDSNWVAHLSDFGTARLLMPDS >KVH99404 pep supercontig:CcrdV1:scaffold_2791:65399:71066:-1 gene:Ccrd_022366 transcript:KVH99404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF869, plant YCSDIPQIFALLLPVVLGFNIYFIFFISSQSCADAYVNTGLKSSASVRWRRGVGCGRGSPLRGVLAKQKVEQDSSRKSLNHTQSPEVTSKISSQNSEVNDSFKSLTEKLSAALVNVGAKEDLVKQHAKVAEEAVAGWEKAENEVTTLRQQLEAAVQQNLALEVRTNHLDGALKECVKELRQAREEQEQRLKEVIEEKTHEPELTKTELEIQLSDLQANKSKYPPPADPNILLKLETLEKENLALKFELSVQSEELEIRTIERDLSTQAAEAASKQQLESIKRVAKLEAECRKLQSLARKSPSINDHKAVSISSFYVDSLTDSQSDSAEKLNALDIDSFKLNKLEHNENEHGCSDSWALALIAELDQFKSGKCVAKNVPPSSVEINNIMDDFLEMERIASLSEGQNEICHCTSEIEDNSLKTELEVMGQRVYELEEKLQKLEAEKTELESALNATKDSLALSNAQLADTKTQMDGLQKELSLVNESKELLKSRLVNMETEARIMSAEVDSIKADIEKERRFSSEMTIKCQRLEKELARKTEEIKLHLAATSSGELKVKQDLEVAAADRLSECQKTISSLARQLESLATLEDFLIDTANLPGFSGGSSVPKTGLELWKLHSNDTFMPKKTLIPTKQTENNCSPSINSDDVESPPSSSSSTSSAVSLNNFGGHSKSKNSFEKLFSRSKNGNQSDSHQG >KVH99405 pep supercontig:CcrdV1:scaffold_2791:24812:26365:-1 gene:Ccrd_022361 transcript:KVH99405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSFQILKPTEKKPKFNFGINFFGSTTIAKPGNIPPGVEIKPNFLGSRAGDLPDRRDESE >KVH99407 pep supercontig:CcrdV1:scaffold_2791:40831:41319:1 gene:Ccrd_022363 transcript:KVH99407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFLVSKNMLSGPLPQKICVSGLLTQNQLIGNISQDFGIYPELDYINLEDFDSKHIVGVGGSGTSFKNEIPALTEARHQNIVKLYGFRSHPRDATRFWYMSYWQAGA >KVH99406 pep supercontig:CcrdV1:scaffold_2791:16529:17024:1 gene:Ccrd_022360 transcript:KVH99406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYHHVTVAYSTPNKSSFCLETMNTLPSIGYMDSMMNIKEDSILNNGRFSLIASISLLVTALIDEKFMCPTYVPNDGFFYDLLWLDPFKAVKGWGMNDHGVSYTFGAKIVTGFLQKHDVDH >KVI07768 pep supercontig:CcrdV1:scaffold_2793:48095:50030:1 gene:Ccrd_013868 transcript:KVI07768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase METLHSSMHTPFVFQGYANHGFRNQTIKSRPTSVVSVFRINDEVHDIERRITTRKRQKQEDDHVVMESCRSVEVIRDSRKPSSEPDLLEKVFRTLDDAIIKFLDPPLDISVDPSYVLGDNFAPVDELGPTECEVVHGSIPPCLDGVYIRNGPNPQFVPSGPHHYFDGDGMVHSIRISHGRATFCSRYVKTNKYLFEHQIRSNVVPNVIGGMHGLGPFMARATLFAARVISGRYDIVKGIGVANTSLSLFGGRLYALCESDIPYAIKLEEDGDIRTLGHHDFDGKLTMNMTAHPKIDPETKEAFAFRYWATQPYLTYFNFDSNGNKQPDVPIFSMKHSSLTHDLAITQKYAVICEIQIGANPMNLIRGGRLVDVDPRKVPRIGVLPRYAKDDSDMKWFEVPGFNIFHSVNAWDEKDEDGGDVVVLVAPNILSVEHFFKRADLIHGSMEKVTIHLETGVVSRHRLSTRSSEFPVINPAYVAKKNKYVYVAVSEEIPLKSKIMRASGVAKLDISASEDNNGGHDCTVGSRMYGDNCFGGEPFFVAREPDNRESEEDDGYVVSYVHNESSGESSFLVMDARSPDLQVLAAVKLPQRVPYGLHGIFIREKDLNEI >KVI07769 pep supercontig:CcrdV1:scaffold_2793:18104:23011:1 gene:Ccrd_013867 transcript:KVI07769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVTTDLLTAPSLTPRLISLDSRRRRRSTLDRWLALNRQRPIGLSALDCRLSLRTLTLSAALRCDTDNIPPTMMLSEAQTGFKSSSMALFYTVSKRTVFLSPIRSLNICSSASSPPSSEDETAVITAVSILKHHRSKSRWTHLRTLFPAGFDPSQVSQITIQLRNNPHLALRFFLFTIEHSLCHHSLLSYATIIHTLARSRQKSRALSLIQSAIRKFSDANTDLPSNTPPSIFGALIKTYRICDSAPFVFDLLIKACLQSKRINQGIEIARMLRSKGIYPMISTCNSLIMSVSKHHGSVAGYAIYNELLGSSSKVVDKNVVCFKGVVPNVHTYNIIMHAFYRDGLVENLERVWSDMIVNNCLPSAYSYSILIAAYCDNGRMEDAMKVWEEMGNKSFKHDVMAYNTIIGGFCEAGEVHKAEEFFKEMGLGGEESTCVTYEHLINGYCKIGDVDSAVLLYKDICRKGLMPIGRTVDVLIKELCDKNKVSEALKISRATMKRNNVVMKGESYEFIIKGLCNEGRMEDGMKLQAEMVGRGYEPNSEIYRAFIDGYEKEGNKELADKLRGELLGMGSL >KVI08034 pep supercontig:CcrdV1:scaffold_2796:38074:51321:-1 gene:Ccrd_013600 transcript:KVI08034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MGVRSSKSSTTKKGSSYGNARANAYSYHGTGVQPHELKQSNLQSGSTPSTTSTDSSTLEKRQMEEPVSIPKMSISGYGGKEDEFYDGIPRVPAILSEKSRTRGFRVSARKAGSLGIEKAVGVLDTLGSSVTNLNSGSAFVTGPTTKGNELSILSFEVANTIMKGSSLMQSLSNRNIRHLKEVVFVSEGVQTLVSKDVDELLRTVAADKREQLKIFTGEVVRFGNGCKDPQWHNLDLYFEKQNRGLTRPKQLKADPEVVMQQLLTLVQHTAIDPSPVEVQDLYRELCVLDKLEQDDQQKHQGGDNSISVSKGRELVIIRQELKSQKKQVKLLKKKSLWSRSMEDVLVMEKLSDTVIYLNRQIRNAFESAYDNVASSESLSIQHTLGPAGLALHYANIVLQIDTIVSRSSPMTSMARSTLYQSLPPDIEFSFRSKVASFRVGKELDVPDIKAEMEKTLCWLSPVAINTANSGGGRKPNGLNDINRIETLNHADKKTTEAYIVELLLWLNLLINQIKINANVGEMQPNKESPKDANQQEASVPSPEDHGLEHKDTRIEEITDKGPVFDVGSGHENEKASGYVQTEGLVA >KVI06737 pep supercontig:CcrdV1:scaffold_2797:16403:34372:-1 gene:Ccrd_014908 transcript:KVI06737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3054 MYLHLSQVAGGAASTLSTQTLHPPKFTHPIPPLFSNRRPKFLATTTSLSILRTVVVNADVTNKSKPATASFTGSEDQPISIVSQENVPLEGVIQFEKPDTNSRFRRWGHVALLSGGDVLAILLFSAIGRFSHGFSVFDAETLRTADPFIAGWFLSAYFLGGYGEDGRGVNGKSKAITAAVKSWALGVPLGIFIRAASVGHIPPTRFIAVTMGSTALLLVGWRALLSNLLVDDKSKKNDIYKRGSAFELFEVSKLELRLCRNFSFRGCSTNLLPELLTSLVRRW >KVH89715 pep supercontig:CcrdV1:scaffold_2799:50777:53572:1 gene:Ccrd_008291 transcript:KVH89715 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MPDSPSDRERRTSLPNFLLSVKLKYVKLGYHYLISHFMYLLLIPLLAVVSVHLSTLTSQDLVHLWHQLRFNLVTVIVCSTLIVFLATLYFMSRPKKVYLLDFACYKPEDAHIVSRETFMERSALAGTFSEENLAFQKKILERSGLGQNTYFPEAVLQVPPNPSMAEARKEAEMVMFGAIDELLAKTGVKAKDIGILIVNCSLFCPTPSLSSMVVNRYKLRGNVLSYNLGGMGCSAGLISIDLAKQLLQVNPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRSSDRSRSKYQLLHTVRTHKGADDRCYSCVFQEEDDEKKIGVALSKDLMAVAGEALKTNITTLGPIVLPMSEQLLFFVTLVGRKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSDWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIQKGDRSWQIAFGSGFKCNSAVWRALRTIDPAKEKNPWINEIHEFPVHVPRITKIGS >KVH90212 pep supercontig:CcrdV1:scaffold_28:77414:84668:1 gene:Ccrd_007819 transcript:KVH90212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYCYLSDRIAILGPERNPCVGCALVLEFGQGTTLGGCGLLIEKVRPVDGGLRQWPGATIGDMTITVTLAPDYQLPPDAIPSLPPHGGNTSSEGESAFRKAEDVVSSMFAKGFVLGKDVVSKAKSFDEKHGLTSTASAKVTSFDKKIGFTEKVSTGTSIVNEKVKEVDQKLQVSEKAKSAFAAAEQTVSNAGSAIMKNRYVFTSAAWVTGAFNKVAKAASEVGQQTKEKVGQAEDEQKHKMVDDFAQVHLSESPKAASVPTEQQPPPSKPAPVQGLIL >KVH90205 pep supercontig:CcrdV1:scaffold_28:733514:735492:-1 gene:Ccrd_007768 transcript:KVH90205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MGHNLETRSLLDELRSFDKNGGIFDLGHPLLNRMAESFVKAAGIGAVQAVSREAYFTAFEENLLKHWSKARGKNLYNGVKTCVLVHGLAAGMYSGITYGLKEARGVHDWKNSAVAGAITGAALALTFDDSSHEQIVHGAITGAALSTAANLLTGIF >KVH90219 pep supercontig:CcrdV1:scaffold_28:134157:138348:-1 gene:Ccrd_007814 transcript:KVH90219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MELFPAQPDLSLQISPPNTKPTSTTWRTSSSTTDEDQHDLDLGNIWKRAFNSQQQHSSTKRPEPSFGLNLPHPTHSTNPDLTHLHHLLSHQNTNTANLTHQNHHLGFRSELGFLRPIRGVPVYHHNPSCPPNLTVLSHNQHQPLIDYSCTTAPTSSSSLIHANNIVQSRFLSARFSSKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDKAPASSGQSDVHENGSSGDTSDDILFDIQNLKRTDSSVEHGGRAEVHPIKDHRHAFWSNSSREAWLHGKHKDSQVNMPSLK >KVH90223 pep supercontig:CcrdV1:scaffold_28:368823:369779:1 gene:Ccrd_007791 transcript:KVH90223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRRSILRWPDFDANGIHIVSSYFTTGWTWTSHNLSQITTGWSTQGFRRLDIWIVDDLLWNLVMAVESLVAFDM >KVH90227 pep supercontig:CcrdV1:scaffold_28:288202:290210:-1 gene:Ccrd_007799 transcript:KVH90227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MGVGGPVEEENKWPPWLKPLLRESFFVQCKLHADSHKSECNMYCLDCINGPLCSLCLNRHEDHRAIQIRRSSYHDVIRVSEIQKFLDISSVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFERTMKISPEKKHLIMAVSDSEDSYSSGNHLRQRNNTRIQSFTPSTPPPTAASFRTAKRRKGIPHRAPMGGLIIEC >KVH90187 pep supercontig:CcrdV1:scaffold_28:271837:274300:-1 gene:Ccrd_007800 transcript:KVH90187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAALESSSLAVSFFRLPFSSNPPLLSPSTARSKLSFPISTTKLSHKSYPHLLPISTKFRVLSSSAIQEEVAVEEEEQSEKPQEEILQKRLFVLNLPWTYSVDELKNLFSECGTVEDAEIIKRKKDGKSRGYAFVTMASRQEALSVIEKYDSYWMIKKNVEDRFHRNNCSTLLGGAVETRANDLRDFFATECNPISTRVVFDVPEGRSAGYGFVTFASKQEAESAISAFDGKELLGRPVIVKFSERGADKSEAEQEGTSNEQPQPESESETETEPEPEP >KVH90234 pep supercontig:CcrdV1:scaffold_28:15517:15807:-1 gene:Ccrd_007826 transcript:KVH90234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MPMVVFYPNSAIHAKTLDLKNSLSQTLTQYYSFAGRHAKIASAYVDCNDEGAEFLEASVNGTLSNFLQNSLHEDLDQFFPYGTVGTVKVMIFEATE >KVH90176 pep supercontig:CcrdV1:scaffold_28:692398:693842:-1 gene:Ccrd_007773 transcript:KVH90176 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase-like, multi-helical VSQWKEATWLRLSRHKCPSSRWTAIRWLFLINRNNPNSISAQTTVEDMEGDGKKVVTEGVDCKIPVTEAWLRKHRLLYNGATKHPFIRSIRDGSVDFTSFKRWLGQDYIFVRSLVPFVASTLVKSWKECGDGLDMEVILGGMASLNDEINWFKKEASKFHVSLTNVIPQKANIKYCRFLESLTSSETEYAVAISVFWAIEVVYQESFAHCLEEGGTIPQELQETCERWGNEAFGAYCKSLQDIANRCLHKASAEVISKAEVSFLRVLEHEVEFWNMSVGEAGV >KVH90237 pep supercontig:CcrdV1:scaffold_28:354:3640:1 gene:Ccrd_007829 transcript:KVH90237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MRGMAFEVAAFQPSTESVKCKEGSFGSNATNEPISVLDTRRSPSPSTSTSTLSSSFGGGNDCTTTTTTTLLAVSDNNNNNLQQKWPDSVPQEMRVSGCLANLGVSADGGRKDEWSELQPIPAEFELQQQRFGVGLEDWESLLSESAASPAQYQSLRWISGDFDDTSLSLQQLLQSNQFHENAPPTTVSETPAPPYFNSQNYFPFSNPDQNPQVFNPQMILNTPPQSQPPPPPPQIQFHHQGLQKAPNSVQGLHKAPVFPQGLHKAPVFIQGLQKAPSFHGSLHKSPASGLGLQKAPIPNQGLQKVPVLNQGHELQLKKQNQQQSFLQQKPLMVAGGTQQEPQQTAPPPSPVHHHHHQNQLICDQLFAAAELMLSGNFSHAQQILARLNHQFSSAPAPNKPFQKAAFYFKEALLTQMASLMSDSNPNRIAPSFNGMFKIGAYKMFSEVSPIIQFMNFTSNQTLLEALGDAKNIHIIDFDIGFGAQWASFIQELPTKKNNNGGGGCSLKITAFASPSTHHPIELGLMHENLSQFAQETGISFQLEVVNFDSFDPRSFSISGQEAIAVNFPIWSASTHLSAIPSILHFIKQLSPRIVVSLDRGCERTDLSFPQYLLQGLQYYEVLLDSIDGANVVPEVSNKIEKFLFQPQIERMVMGKLQFPERMPHWKSLFTAGGFSPVSTSAEAQADCIVKRMQIQGFHIEKRQAALVLCWQNRELMTVSAWKC >KVH90224 pep supercontig:CcrdV1:scaffold_28:376649:390958:1 gene:Ccrd_007790 transcript:KVH90224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase, class I, C-terminal-like protein MENVGILNPLFLNGIDVTSWKSLSGVRANNSILQLVPDVKLFGLFGGIHLAVLAAFICQRNPGVSLAGLVSIFFKTFALWPWPMPVLLQQGMMLPPHPLEARALMPIQLPSSPHEYCHSNITTSTFMKIKNEFRRGYRHIQVHNVESDIDALCVGPWLASLTVSHFICYFIFLSCVEDFFIVLRNMLEKRIEVSDIHCVKDAKVPLMRFKFDGISIDLTYARLQVTTVPENVDILNPLFLNGIDETSWKSLSGVRANNSILQLVPDVKIFQELLCCVKLWAKRRGMYGNXRYFLFGLFGGIHLAVLAAFICQRNPGVSLAGLVSIFFKTFALWPWPMPVLLQQGMMLPPHPLEARALMPIQLPSSPHEYCHSNITTSTFMKIKNEFRRGYRHIQPQFDWGNLFEPYPYSKSYQQFLKISLSTSKQDELGDWIGWVKSRFRCLLVKLEELRVFYDPNPTEYLDTTIQDPNVVFYWGLLPCRSNYLDLDLVQKEFKRNLVTGYKGLMGKMSLSIIQASELPKSLHQLADTHQLFSNSSEMIVIKWIXRVAYRRWLPQNQIRAASATILTYGSYGLGVHNAESDIDALCVGPWLASLTKEILQVRPLVKSVFYVAQEDFFIVLRNMLEKSIEVSVKDAKNVDILNPLFLNGINETSWKSLSGVRANNSILQLVPDVKIFQELLCCVKLWAKRRGMYDICFVAMANASTSTTGDDATASSSEARAIMPIQLPSSPHEYCHSNITTSTSMKIKNEFRRGYRHIQDLLKPQFDWGNLCEPYPYSNSCQQFLKISLSTSKQDELGDWIGWVKSRFRCLLVKAWFYGLKSWLCSLYLYRLCMSGSFWISKLSYFRLEDGLPGFATSRLMFNSLQNS >KVH90206 pep supercontig:CcrdV1:scaffold_28:728658:732594:-1 gene:Ccrd_007769 transcript:KVH90206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MSEKMKAAALSATKGLSRVQAERAAANATRNVNAYGQKEEGPSRWQEKKEAKTQMYLKSTEKQATLNEKKCQKCRKTGHWTYECQKQAPETPKLDIRTLMSQDLNGVDTKKEEKAERSSKKEKNSKRKNRKRKHRSRSTSESSDSESDHEDGGSRRKKKKHSRRSNRDR >KVH90208 pep supercontig:CcrdV1:scaffold_28:346209:354589:-1 gene:Ccrd_007795 transcript:KVH90208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAADALGHINLENEVGADTDELNMPLTTPSNGASASSIPQDVEASSKKRRREKQEDAPTEYLVRPVANPEDEEEASDFEPEENGADEDAESEEEDEDDDDGGKIEAPPKRKRSNKDDSDDDDDDGGDDDQRPSKR >KVH90222 pep supercontig:CcrdV1:scaffold_28:339829:341799:-1 gene:Ccrd_007796 transcript:KVH90222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKEQCFLLLRVHKPSIPFVFNTNTTLNPHNHQIHRTELRETDVLKRLNHEKDITLALEYFQSISNSKMFKHTSLTYQTIIQKLGDECDLDGVQYLLQQMKLEGISCSEDLFVSVIRSYRRAGAADQALKTFYRIQDFGCKPTVKIYNHLLDALLNENRFHMINPIYSNMKRDGMEPDKYTYNILLKALCNNNRIDGARKVLVEMSKKGCSPDEVSYTTIVSSLCHLGKVNEAKELVESVIGVVPMVSVYNALLTGICREGDLKDAFRLMEKMVVDGVTPNVITFTTIINTLSDLGEVELSLAVFAKMILRQCNPNVFTFTSLIKGFWAKGKMEQAYEVYDKMNREGVLPNAITYNIMINGFCSTGNMQKAVSCFLEMKRRSCTPNVTTYGTLINGYAKSGDLIGASETWNEMMTQGCHPNVVVYTSMVDVLCRNFMFDEAHRLIDTMNCPPNAATFNAFIKGLCKNGKVDSAMKLFLRMGSSANLTTYNELLNGFTKVNDFTAASDLVREMEESGIGLNVATYNTMINMLCCNGMVNEGLKVMAKMVVKGVKPDAITLNVMIYGCCKCGRVDLVGQLLEGMRQEGWRADLVTYTSLVYGMCESGGVEEAEEWVGRMVKDGVYPDMGIWSVLVRCLWSEIGYEGATDLVDSILVN >KVH90204 pep supercontig:CcrdV1:scaffold_28:807235:808399:1 gene:Ccrd_007763 transcript:KVH90204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVFVKQWLLAMMLLFIVLFCFKSGKAETGIAGTGIGYIPEPGGGARDSGGDEPEEVIVKALECFSDKHIEKDGGVRFVGKPHLHYEFKGYMRFDIKSKNPFILMEILNQLYTILKQIYSSCEESYRLTERGQLNVPPEFADEYCNGPCLKETHLVLNCINDILTHFVFYNHATIKDVKETIKVGCGYGPHRGDFNVAEHIESNSYQHLHPILFGVTSLILLCSLLLF >KVH90184 pep supercontig:CcrdV1:scaffold_28:206112:213749:-1 gene:Ccrd_007807 transcript:KVH90184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MESGSSNNGAAAAADGGGGGLLSNSPAPMPTVNAPPPFLVKTYDMVDDPSTDMIVSWSATNNSFIVRDPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKSIVRRKPASGHNQQLQQPPGQSPSAGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQMQSMVQRLQGMEQRQQQMMSFLAKAVNSPGFLAQFVQQQSESTKLITEGSKKRRLKQDRVVPNGHSSSSDSSDGQIVKYQPMMNDSAKAMLRQIIKLDIVSPPSEILNNSSNVPSGGHNGSGVTIQEVLPPTSGQFYLPAVTGVQAAPLEANSDVVTTKQFPDTSSLADGQELPDISVLSNLQDMVADSYMHPETENGKFPLEIGNLSPGGDVEWDSNLLIEIEKYFAANDPSWEQFLEPNPEVEMECGPMDEHMMQQQQEGGS >KVH90196 pep supercontig:CcrdV1:scaffold_28:407267:410503:-1 gene:Ccrd_007787 transcript:KVH90196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSGSGEQDADIDLESGVGAIHSNEEGSLNPGLDEKLAETIFLKLSDRYISVDDSSVKPGSNPNEGSPENNVLMEGETVGNSLGKKMDQEKRKKAKKPPRPPRPPKGFSFDASDQKLIKELAELAMIKRARIERMKALKLKKSLKISSSSSSSHGSLFAMLFTIIFFLVILLQGRNSGANFQGSPQTNENGSIFVQAQLNPSASESISPIPKSSTLF >KVH90228 pep supercontig:CcrdV1:scaffold_28:320556:321239:1 gene:Ccrd_007798 transcript:KVH90228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0503 MACYVDEAEVWKCTKHPSKRRRTGICPRCLRERLVTLCPDCANARPCSCCPPPVDSVSSTTSSSFSLFSFSRGGSRRDFTISSAATGEPGRLSNHLEIDPALRRSRSLAIPFLRSRSRYVGGESELVVDNNKPVPKVSRSKIHFWSVFTLHKSKKCDVHADGIDDESNKSDDLASADDYSRMMRSRSVAVGAVDGFGTAASKRKGWYFPSPIKAFRQTKTSKAVTVS >KVH90197 pep supercontig:CcrdV1:scaffold_28:463164:465231:-1 gene:Ccrd_007784 transcript:KVH90197 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDKKEEVKNNAENSNSMANSTFSDQIPAVYATGGGGGGYFDIPQGYLDMLAFQDYGGASLFDLLQQPTPPPNLVVEEQQQQQQQQNHPPPATVLGEPSIPPPVSTVPETAEMVNTPTQNSSSISSSSNEAANNVDQENNKRSAQEEDEEQHDDDDDQEKITNKQHEFLRVLRPQMNIKKSFFFTPMFLCNLLLVFDRKSKPFVSFTHTPCFFSRSLFIFIKKRLKPKKKNPKKQREPRFAFMTKSDIDHLDDGYRWRKYGQKAVKNSPFPRSYHRCTSVACGVKKRVERSSDDPSIVITTYEGTHTHPYPMMPRGTIGILPENAGYGGLSGGNGGNGGVSSFLFTQPHYQQQLHPQLQPYFHNQTTPSSSLSFSTTNTSAHPSSYSHFLQERRFCPSPSASLLRDHGLLQDVVPFEIRKDEPKEEQN >KVH90182 pep supercontig:CcrdV1:scaffold_28:230236:232802:-1 gene:Ccrd_007805 transcript:KVH90182 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MEQEQLVASSSSPSVRSNDDDNGAEIKPSPQSKFPLTFLELAGASGVLLIFAVSLAGVYLTLPDSDYSFLKLPKTIEDLHILREHLESYTSDYTIQVLVGYCTVYIFMQTFMIPGTVFMSLLAGSLFGVLKGVALVVFAATAGASSCYFLSKLIGRPLISSLWPDKLVFFQDQVAKRRSGLLNYMLFLRVTPTLPNTFINFASPIVDVPYHIFFLATSVGLIPAAYVTVKTNPLGVLEACTDLSINLELRFCFKDSVVGIEPRGSEGICRTFLRLFSVVMPV >KVH90183 pep supercontig:CcrdV1:scaffold_28:241078:246161:1 gene:Ccrd_007804 transcript:KVH90183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MTGLVPQICNTIHASFVTREGFDKISYQTTTTGRTDNEIKNFWNTCVRKKLLKAGIDPKTHKPVPNFSFLMNVISQLTSTSSNFLIKVPTIMSPWINNSLRYPSNATDQLTNINDQLLHNILEIVNTTPLPNALKSAFLDSQRSFNQHDDQLLIDEMINQFGMNPSEDWLQNLNKIALEPLSECNSIGASLMESFGDGIGAAETFTDHKILSDNFFIDQNQLPSLLSVSPGEPTNNKVSIKNSPEFNVFQAEKYGYAVTRRGKPFPAKKPVRSCIIGFISPLKSPAEGGYELPSTEILAAVLTGI >KVH90215 pep supercontig:CcrdV1:scaffold_28:182836:189271:-1 gene:Ccrd_007810 transcript:KVH90215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase, catalytic domain-containing protein MASRLVKVSTNGRRIIVDSKRKIVTQCRAQIVGANKSSGVNVKPALNKLGKRKKANECKSKCCSCSRKTLVKNYSNFMKSGLPQRLLFSQDGQWVDFSQEIIELVKEDFRSKKVAIDVKTNGCHFMLDILYMIQVDLKTGAQKPIAWIDDASNCVFPELYSSCHGNHECNLSEAQKDLDVGESSVTPEIKLHLEIELNGLNNNKLEECVGESNYKKIKVDHEGQRDNCDVDMNDNNNLVDEIQKSDEYVSPVFGTNCGTVDVETGKNMFVTGLNDMKVDIVDVKKCSSSLVEARLELFEKQIEITQKLRGKANVQFAWLAAAGNAPSSMFFYGHNGPKLGKYGYGIHLAAVQSANSSATICDVDEKGIRHMVLCRVILGNTELLHPGSKQFYPSDQQFDSGVDDQQNPNHYVIWNMNMNTHIYPECIVSFKMSPAIKGNLVAEESRLDISRVTTTHDAHRPLHLDSSSSKTGKQCLPSEAMKLPPSEKVPSVGSSTPKDPKSPWMPFSMLFEAISAKVAPNDMRLLHIFYESFRAKKMNREEFIRKLRSVVGDQILRSTISSLQCKHVATSASLSEEKEVQEG >KVH90191 pep supercontig:CcrdV1:scaffold_28:401162:401668:1 gene:Ccrd_007788 transcript:KVH90191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MKFFCLFIFLSLQTPLMVIGDRRLIESTCKATPSYSLCLSTLLSNPKSSSGDVSDLGLIMVGATKVKATQAIQHIKTLYRVHPELRHSLNECSQVYMAVVKADVPSAVQALDGGQPKFAEDGMADTAVEAQACERSFSGRGQKSPLTQMNKDIENVANVARAIIRMLL >KVH90195 pep supercontig:CcrdV1:scaffold_28:418870:427658:-1 gene:Ccrd_007786 transcript:KVH90195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactokinase MAKHEDLPVPIQSKLESVYGLGSQLEETQLRFSNLKSKFVEFFGHPPHIYARSPGRVNLIGEHVDHQGYSVLPMAIRQDTIVAVRKRNAGESEKLLRIANVNSKFTMCTYPVDPNQEIDVKNHRWGHYFICGYKGFYEYARSRGLDVGLPVGLDVIVDGTVPVGSGLSSSAALVCSSAIAVMAVLNVNLQKKELAQLACECERHIGTQSGGMDQAISIMAKIGFAELIDFCPIHATDVKLPAGGSFVIANSLTESKKAITAATNYNNRVVELRLASIVLGIKLGMKPQEAISKLKTLSDVEGLCTSFAGTHGSSDPLLAVKKFLKEDPYTVEDIEKITSESLRSIFANSTSSLDVLAAAKHYKLFQRAYHVYSEAKRVYVFKDVVSSNSTEEEKLKKLGDVMNDSHHSCKVLYQCSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVNESLVPQFILNLKEQFYQSRIDKGMINKSDLGLYVFASKSSSGAAIFKF >KVH90177 pep supercontig:CcrdV1:scaffold_28:695528:702848:-1 gene:Ccrd_007772 transcript:KVH90177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFDPSRFGRFHNKQTYDFSKKDVDNRQAGSFGKLPELWEEDDDHSGKKAKPTNGLLFSTTAEYHQQQEPAKDFHNLDALFLDTTPPFPSYQMQELADIESQYTELIKPHPHPKTTETPKAGGQKLSTDGVIRLGGERFVQSRSSTMNDVSMPSHPYSTSFSGLSDREVKDVELIENLLLSAEKVSQRQFERSSKLLDWCDGSSYSFGNPIQRLVHHFSKALREKIARETGRISLHGLAKKHLSDIEGKMTTPNPTIISVYQKLPFFQAGQFSAVQALVDGVSGATKVHVIDLSIKNGVQNTILMQALSSQANCPIEHLKVTAIGTNLNFRQNIEQTGERLKSFAESVNLSFSFNVVMVEDMLDFKEDLLDLDPEEALAVYSSYALWSMISQQDRLESLMKVIKNTNPRVMVVSEAAANLNSPNFVDRFIEALFFYGALFDSLEDCMGREDENRAITESMYLNQGIWSNVAAEGAERVIRHVNIDVWRKFFARFGMNEIELSMSCLYQANLMAEKLSCGSSCTFEMDDSDNPSSKLQINDQQEPFFSDFGDSDNCYVDFASPAFHVFVKNTKDSPFPTRSRKLNAEKILVPTQNPDKKLSTNAIIRLACQRFVESCSSKNDQISCSALSDDEVKDIELVHTLLTSVEKTGQRQFDCATKLVELCNNVSTTEGNPVERLVYYFSKALHEKINREMGRVECDGLGNMQMFDLQEASTSVEASIFAFHQRVPLPQVCQFIAIHMIIENVREATKIHVIDLQIRHGMIYTVLLKALASQCESHIEHIKITAIGTRAESKIKDIGKQLADFAESINLSFSFKMVMIADVLDFNINLLEIDDDETVAVYSSCFLSSLIAKPNHLEYLMRVIRKINPCVTVITEVEANHTSLAFVKRFTEALFFYGALFDIMSYCLANDDRDRKVSESVLYGQSIRNIVAAEGDERTIRHIGVDVWREFYARFGMLEIELNDASLFEAKLLISNFDCGSCCSIRVDGGCLLIDWKDVPIFSVSAWKFL >KVH90180 pep supercontig:CcrdV1:scaffold_28:600338:607334:-1 gene:Ccrd_007779 transcript:KVH90180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNQTPVPPTEELLKKIHDLEESHAHLKQEMSKLKLSDEQQKYDRQRSSSVSPRRPRRRTMGGAGGVFEGGAVAAFKIGSSSFRHSSPLRRETRSVDVSYSNDNNHNSSVTSGGAATTGEGGGASGPSAMKLTETQYLNILQSMGQAVHIFDLNARIIYWNRMAENLYGYTAAEALGKRPNELLVDATDFPLAEAILQRTAKGENWSGRFPIRNKLGERFLIIATNTPFRDENGTLIGVVCVSSDARPYQEMKPLATISAPRRIASAKLGLDPQQPLQTAIASKISNLVSKELHFLLCVATKVSNKVKSKMKTGENFTDQDGGIGDGNYPEHDIAASDHKEDGYSSGASTPRGDIPQSPFAAFSSTGHKDQITGKPSTDSGDESEIKPGIHKILSSKAEAWMGKKGITWPWKGNEREGGSSDPKGGRFGLHWLHNDQEHESGLQTTSAKVESQPWENTNRNEASGSWSSSFNVNSTSSASSCGSTNSSAINKVDMDTDSLDYEILWEDLIIGEQIGQGCPTEFADVAVKVFSRQEYSEDVSLMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTRLDWRRRVHMAMDIARGMNYLHHCHPPIIHRDLKSSNLLVDKNWNVKPQWMAPEVLRNEQADEKSDIYSFGVVLWEITTEKIPWDSLNSMQVFEPQSRPTFQEILDKLKDLQKKFTVQLQASRTAASTTGGGENNSPKES >KVH90202 pep supercontig:CcrdV1:scaffold_28:783476:789435:1 gene:Ccrd_007766 transcript:KVH90202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin type III-like domain-containing protein MVPITHTKCILPPPSSTAFLLLLLLTGFLIFSGVESRAPFACDPSNRGTSNFPFCRVNLPTRDRVRDLLGRLTLAEKVRLLVNNAAGIPRLGIQGYEWWSEALHGVSDVGPGTKFLPTFLGATQFPQVVSNEARAMYNGGKAGLTFWSPNVNIFRDPRWGRGQETPGEDPTLAARYAVRYVKGLQGNVGDRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVSKQDLEDTYNVPFKACVLEGKVASVMCSYNQVNGKPTCADPYLLKNTIRGDWRLNGYIVSDCDSVGVMYTNQHYTATPEDAAADSIKAGLDLDCGPTLAVYTEGAIKQGKLKEVDVDGALSNTLTVQFRLGMFDGPRQPYANLGVPDVCLMSSNQLALEAARQGIVLLDNRGKGPPLSTFRHRTVAVIGPNSDVTVTMIGNYAGIACGYTTPLQGIARYVKTIHQAGCSDVSCKGSQLIGAAEGAARQADATVLVMGLDQSIEAEARDRVNILLPGHQQELISRVAKSSRGPVVLVLMSGGPLDITFARNDPRILAIIWAGYPGQSGGTAIADVLFGTTNPGGKLPMTWYPQGYLAKVPMTNMGMRANPAQNYPGRTYRFYKGPVVYPFGHGLSYTTYQHSIAQAPTSLSVSMLSVHLENNNSTTLTNGVRVSHTKCSTLAMGIHIDVENTGTVDGTHTVMVFSSPPEGKWATNKQLVGFQKVHVVAGGVERVAIDLHVCKHLSVVDKFGIRRVPMGEHTLHIGDLKHSLAIKPTLEEIKN >KVH90185 pep supercontig:CcrdV1:scaffold_28:224561:228292:1 gene:Ccrd_007806 transcript:KVH90185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGKKPKESHPEIPNSSASVSSGSIFKSLFGGGVEEPDDPTSVSIFSDSNPFRSKHTNESQVIPEKLQQVLRLDIDSPRKNDPLIPDSASEAVNKRKRKEKLPNNNDSGDSDIEGEIKKSKVESGFVAESSKSTNFDEEKKKKKRKRDEVEAEYEERKYGAMDLDLKEGEGVKGKVGEKRKELDRGEDLLVPKEGFDDEEKLLRTIFVGNLPLKVKKKALLREFSQFGEVESVRIRSIPLLDVGFLDKTPRKGAIIKKKINDAVDRVNAYIVFKTEDSAQASLSHNMAVVGGNHIHVDRAIPPRKKLKGENAPLYDSKRTEAANTVVRKHKLKIRDRELRLSHAMKANLTPSKQKESSSTNNYDSSKKPTAGNTSYQGIRASKSGGQKKFATRIAKPARTESRSENTVKRKVRSEKRPSVAARKAAANAARTGGDGNSGGTKRKPESRTPQSNSRNKKPRKFR >KVH90178 pep supercontig:CcrdV1:scaffold_28:720562:721782:-1 gene:Ccrd_007771 transcript:KVH90178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MELDLHGSQIRASKAIALEEHASLVSSINKNMSKACDFVRICKVSEEFYMENEDKYFPTMVSIGPFHRGKEKLKAMEERKWQYLNTLLSRALNVEARLGKCVEVLKGLEDRARKCYLEEICMKSDEFVEMMLLDGCFIIELFHKSCCKEIRRRGDPFLATYHVFFRLRHDLILLENQIPFFVLQHLFDLVPVPKQCGDYSLIELAFRFFRKTIHEDPYDLQEKFGQDIHHLLDLIHQSFIPKPHNLRLQSKQPQSQMNISTATELHKNETKIKRGKSGSVLEVKFYKGALRIPTLTHHDLMETIFRNFIAMENSCYDATKYITSYAFLMKSLIHTNEDAKFLHKKGILDKDEEFVTLFNKISVEVDAHNFYYGGLCEIINTSAKVSKIVWFARKVRKRVIRCLRQL >KVH90214 pep supercontig:CcrdV1:scaffold_28:172278:173226:1 gene:Ccrd_007813 transcript:KVH90214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMTTMTKASASPFPIHVVLLVLVFSSSFIGVTKGEEPPNPTPTAWPEQFHSILVMNKSGIIELIDLWYDWINGRNFNIVQYQLGKVLYDLEWNNGTSFFYTLDSNRECSSAQLEVGILRPNWLDGATYIGQRQVDGFVCNVWEKAEFITYYEDVVSKRPVHWVFYTGREAHVMTFEVGAALEDAKWQVPAYCFEENGGLKTTKDVMIQQHTGLEDLSSRVSSM >KVH90201 pep supercontig:CcrdV1:scaffold_28:800903:802860:1 gene:Ccrd_007765 transcript:KVH90201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLSLIQPIGPYAREHLVNVCLLDDLLVYQEGTTMESKRCINFRKGTSRLARGPMMI >KVH90216 pep supercontig:CcrdV1:scaffold_28:178788:182156:1 gene:Ccrd_007811 transcript:KVH90216 gene_biotype:protein_coding transcript_biotype:protein_coding description:CS domain-containing protein MAIPASVWAPVSPSCLSSTSLIPIHLKTTIISFSTSIQSSSLHRSNSINLRPSTKPNTFICNNSSSTASTTTTSYEFSDGSDEVELRLLLGDESIQSSKDVLVDADESFLTIKMKQDGSFRTAMEISLYDKIKPAETIWLLDDDQLVVNLKKQDPDVKWPDITETWESLTVGVLQLLKGASIYLVGESSEINYKVSRELAVGLGYTPLDTKELLETFTKQTVDSLIAEGSNAVAETESAILESLSSHIRSVVATIGGQHGAAASGDRWRHLYSGFTVWLSQSEAKDEAAAKEEARINIQSGSKGFSNADVVVKLSGWDSDYSKTVAQATLSALKQLILSDKKLPGKKGLYIRLGCRGDWPNIKPPGWDPATGTGAV >KVH90218 pep supercontig:CcrdV1:scaffold_28:100634:104427:-1 gene:Ccrd_007817 transcript:KVH90218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MWSLIFSHSPSFLFPNSTATATGRSFATAPLSFSVSPSRNPRRIKSTIVRLSSNKPPITPGGSDEDGSEPPTVTDEWGEKSGLEPEPLTKLSSSDPPKDEDEWGTDDSEQSKTYSVTGNGSAVAESAGVEDNSKIEALKRCLVDSVYGTGLGFSASAEERAEVIELVTQLEGANPTSAPTDAVELLDGKWILYTAFSELLPLLAVGTIPLLKVEKICQDVNTSSLTIDNSITFSTPFATFTSTALANFEVRSPSRIQVEFKEGSFQPPKIKSNVDLPENLDIFGQNINLSAVQQSLNPLQEAVANIAGVISGQAPLKIPIPGERSKSWLLITYLDKDLRISRGDGGLFVLAKEVASLDKQNGSTEKQVSMGRAPIINEKVKQVDQKLQVYEKAKSAFAAAEQTVTDAGSTIMKTSEVGQQTKEKVGQAEDEKQKMVYDFAQVHLSESPKAASVPTEQQWLPSKSSQITRTGAGLE >KVH90230 pep supercontig:CcrdV1:scaffold_28:54668:59019:-1 gene:Ccrd_007822 transcript:KVH90230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIS, N-terminal MGYENDPYRDEDGEPLMDYDDEFQSDHDDANNGQHQHILEDNLDDELEDNDWQSSRQERSPTPIFNESDSKSKPRKRLIKKSTAEESVPDFAIDDDVEDEATAFVRDDSDSGGGKRKSMSSGGGSSKKKEKKSSYSKFSDKGGRDREKSASKFKVNSKRGGRSGGDDAEVKEMWDTIAGGDSEDDKEGTXMVDDDNFIDDTGVDPDDRYGSDHGGYSPSRAPQXEEGEEDDEIKDLFKTGKKRKKNEKSAAEIALLVENVMAELEVVAEEDAELNRQSKPAINKLKKLPLLTEVLAKKQLQLEFLDHGALTLLKNWLEPLXDGSLPNINIRAAILKILTEVIMFLSKSDEETTNNRKLAKDLVDKWSRPIFNKSTRFEDMRNFEDERTQFRRPSMKKPMNKASGMQSRDDDLDLAEYSQEPKSGNSSSRLTSRPEAMPLDFVVRPQSKIDPDEIRARAKQIVQDQRRLKMNKKLQQLKAPKKKQLQATKLSVEGRGMVKYL >KVH90231 pep supercontig:CcrdV1:scaffold_28:61368:65469:1 gene:Ccrd_007821 transcript:KVH90231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MDRSCSCFRRRRRQFSSPSSETRTTSSLTLSSSVRILLFILCASHVFSGSNPINLAMAFDLNTITFNQGYAPLFSDFNIYRFEDDKSVRLLLNRQSGSGIISMDYYNFGFFSAKIKLPRKYTAGIVVAFYTSNVDMFPTTHDELDMEFLGNVRGKPWRFQTNVYGNGSMVRGREERYRLWFDPSKEFHRYSILWARNKIMREEVVGGHQREKGGGWRFYVDEIPIREILRDENMGGDYPSKPMSSYATIWDASSWATNGGRHKVDYQFEPFTCEFRDLVLQGCPVDPTDATSTNCLDAIDELESSEFATITPRQRQAKKWFREKYMYYSYCYDRLRYPSPLPECPLISSEQELFKNTGRLKNRQRFHRKHRSRGTRRPRNMTAVY >KVH90181 pep supercontig:CcrdV1:scaffold_28:627833:634425:-1 gene:Ccrd_007778 transcript:KVH90181 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAF domain-containing protein MASDGKTSSKFHPVSKGRPSSTSNSNRRMDSMSKATAQYTVDARLHAVFEQSGGSGKSFDYSQSLKTTTQSIPEQQITAYLSRIQRGGHIQPFGCMIAIDDSTFKVIAFSENARERLGLAPQSVPSIEKPEILTIGTDVKTLFTPSSSSLLERAFRAREITLLNPVWVHSRNSGKPFYAILHRIDVGIVIDLEPARMEDPALSIAGAVQSQKLAVRAISNLQALPGGDVKLLCDTVVQNVRELTGYDRVMVYKFHEDEHGEVVAESRREDLDPYIGLHYPATDIPQASRFLFRQNRVRMIVDCHTTPVRVIQDDALMQPLSLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGLDDENVGGRASMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQMSEKHILRTQTLLCDMLLRDSPTGIVTQTPSIMDLVKCDGAALYNQGKYYPLGVTPTESQIKDIVEWLSACHRDSTGLSTDSLADAGYPGVASLGDAVCGMAVAYITSNDILFWFRSNTAKEIKWGGAKHHPEDKDDGQRMHPRSSFNAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFKDVEENNNSKAVVKAQSEDMDLQGVDELSSVAREMVRLIETATAPIFAVDVGGRINGWNAKVAELTGEEDKNVEIKLRTFNSAMEETPVFLVVNACSSRDYTDNIVGVCFVGQDVTGQKVVMDKFIQIQGDYKAIIHSPSALIPPIFASDENTCCSEWNTAMEKLTGWSRGDIIGKMLVGEIFGSFCRLKGPDSLTKFMIILHNAIGGQDTDKYPFSFFDKNGKFVQVLLTANKRLNMAGKVIGAFCFLQIASLELQQVLKLQMQQEKKCYARMKELTYICHEIKNPLRGIRFANSLLEASDLNEDQKQLLETCAACEKQMFKIIKDKHEFLLGSVIDVVVSQVMLLVRDRGVQLIRDIPEEVKTLTVYGDQARVQQVLTNFLLNMVRHSPSPVTHLMWLTELDQYLARVAESDLYYARIMSPGEGLPPELVQDMFNSSQWSSEEGLGLSMCRKILTLMNGEVQYIRESERCYFFVVLELPPRSKTVD >KVH90174 pep supercontig:CcrdV1:scaffold_28:665177:669265:1 gene:Ccrd_007775 transcript:KVH90174 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MKLSSSQQHFPLFSPSNGTSKLHFTTLIKLSFSHSHLQFSTLPPPDSSSSSATSYPAPPVLRQDVIPVEFGDTESSETEKRTLDELLVVRRPVMESTDDGEYGEEDGVEVEESSFSTSSSSSVIDTELSKFAKKMPIFEPMRVGSSSSGEQPLGVNLDLALYRAKVLARNFRYKEAEETLQKCISYWPEDGRSYVALGKILGKQSKANEARAVYEKGCQATQGENAYIWQCWAVLENRMGNIRRARQLFDAATVADKKHIAAWHGWAILELKVGNVKKARHGLSLRYSRKIIALPDSFLSFTQITKSATRMCDASFLLLNQKAVQASPKNRFAWHVWGVFEANVGNLDQGRKLLKIGHALNPRDPVLLQSLGLLEYKHYSAANLARALFRRAAELDPRHQPVWIAWGWMEWKEGNIKTARELYQRALSINSTSESAARCLQAWGVLEQRIGNLSAARRLFRSSLNINSQSYVTWMTWASLEEDQGNSIRAEEIRNLYFQQNSYNKPQGSSKSEDGSNGSNSDGVGENKIASRSGFNLDGFISEKLSLDASNLDIDLGSNSQNMAGMKNLSPRKVWRPSRNTVSV >KVH90186 pep supercontig:CcrdV1:scaffold_28:260033:270539:1 gene:Ccrd_007801 transcript:KVH90186 gene_biotype:protein_coding transcript_biotype:protein_coding description:GWT1-like protein MTTDPAQAAMPTEPRGSPPITIILTTILLVIFFIGFFTIYFCRCFMQNVFYTWNPRRNPSGTQTGVPGGGPPGLDPSIINTFPTFIYSNVKEFRRQSYGLECAICLCEFEDDNVLRLLTKCYHVFHQDCIDLWLESHKSCPFCRRSLETPVNSPQKSPLSQNSTPMNEIQENELLEDTFTINIRSDENERNNNMNDNVDHSKEENGKEKHVTIEVDRDEVKRSTERFSRCNSTGHSISKNSKASDHDEDRFTLRLPEHIQAKLVHGHNWTRSCTEFGEFKTKTSSANTGFGEVSTSRDNENKFVSNLTGSSMLEIFVLSAIFPVRVLSITFLDKVVFRKLIDKLCIEIPVLIDSDAYSAATCHRHLQNSVCLFLPLVKRVYFVQKSLADSIFSNGLISFASPDHVTNETSSKKKDTSPLVSRNQRIYIVTMIIDFLSIVLPITLLCTVLAEWTYVNGALFILMLLLSISSKRHGSSWDQEEGVHSLRTYVSSYRVFMMLITCICILAVDFKIFPRRYAKTETYGTSLMDLGVGAFVLANSLVSHQARGISSIFVPCYKLAALCLAATLGSTGAKTSISGFRGLRSVLSSTSPLLILGFARLVFTTGVDYQVHVGEYGVHWNFFFTLAGVAVLTSIINVSPKYCGIVGSLVLIGYQVCLMSGLNVYLLSAKRGTDIISQNKEGIYSIFGEVFLEIALISSHSVVSSSGYWGLHLIGVWLGNTLLFEKNPDLRTNRWARKRVWIMFLFFWCLTLILNSYVERPSRRMCNLTYVTMVLATNLEMLAIIMLSDYIPGGKVSLLEQAINRNLLAVFIVANLLTGLVNLSMDDTLFVLPGTALVILIGYGFITCAAAAFADYNGIKLKFW >KVH90190 pep supercontig:CcrdV1:scaffold_28:199865:202728:-1 gene:Ccrd_007808 transcript:KVH90190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAMBA [Source:Projected from Arabidopsis thaliana (AT1G32310) UniProtKB/Swiss-Prot;Acc:Q9C613] MSNSSLTSSPARSSISTTAIVGSNAMSSSLAVDEVHFSTDLITIQDRKDEALRALKSDLMATLNKEVRMLDEDSWMFEGPRSRIHLISRPGTFGIVGRIEVAESLLGITRYGFELGIKNVTNFLLNNGTSFRY >KVH90193 pep supercontig:CcrdV1:scaffold_28:583506:598065:-1 gene:Ccrd_007780 transcript:KVH90193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRSILLCVFVILIVKDSESTPPQAYRRDPGHPQWHHSAFQDVKDSVRSDVHSMLHSRAEVPFQVPLEVNVVLIGFSGDGGYRYTMDSQKLEEFLRVGFPSHRPSCLETGEPLDIEHHMVFNAFPAAQPELIALEKALKAAMVPAGTAREADFGREVPAFEVDASVVESEFQKLYAYLFDFENMGYTAEENDRPMPTAIFIVNFDKVRMDPRNKDVDLDSLMYEKPNQLTEEDFKKQEGGYVYRYHYAGGGASQIWLGSGRFVVIDISAGPCTYGKIETEEGSVSSKTLPRLRNAMFSQGSIAAKDHSTTHENFIGQIAALIGTTVQHVIAPDVRFETVDLATRLLIPIIVLQNHNRYNIMEKGKNYSIDIEAIKTEVKKIVHKGEEVVIVGGSHSLHLHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEVSEPSLSDKFFLRQHWMDDTDGGSDSILKHKPIWAYNPKSRRKKTKTVQKRQGDLHRTYGTRVVPVFVLSLADVDPHLMMDDESLLWTSNDVVIVLQHQGEKIPLSYVSETERRHVVPSQVQRHIVAGLAAVVGGLSAPYEKASHIHERPVMNWLLAAGCHPFGPFSNASRISQLLQDVALRNTIYARVDSALHRIRETSESVQAFAGEYLKTPLGEPVKGKKNKTTTELWLEKFYKKETNLPEPFPHELVERLEKYLDGLEEQLLDLSSLLYDHRLQDANVNSSEILQSSIFTQQYVEHVLEYEKEKMKCCDIKYKSPTQSSSQSLVYAGILIAGVFVYFLVIFFSSPVR >KVH90209 pep supercontig:CcrdV1:scaffold_28:532088:532807:-1 gene:Ccrd_007782 transcript:KVH90209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLIPNPVTNNTDRARDSSKRRKRKKIQRQSSGIGRDQNSQNLNNNNDQITPWKSEVQQQVYSSKLLQALRQVRQGSGSGTSSTKTPRRGRAVREAADRVLAVTAKGRTRWSRAILTNKLKLKFMKSNRRQRGVVATATGNRRLKKPRVSILRLKTKNLPAVQRKARVLGRLVPGCRKQPLPVVLEEATDYIAALEMQVKAMAALADLLSGGSSSSSSAGAGNLGQLSFSRSPPSL >KVH90179 pep supercontig:CcrdV1:scaffold_28:724959:727402:-1 gene:Ccrd_007770 transcript:KVH90179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TFIIS-type MLAATAITSSSPHTQEAADNNCVYRNEIHHAVGERTQVLQDVAADPTLPRTKAVRCAQCGHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >KVH90220 pep supercontig:CcrdV1:scaffold_28:117662:120313:-1 gene:Ccrd_007815 transcript:KVH90220 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSSLSSFERGSRMDLIATKSEASLRRRNSFSGGSDASSAVVKRRRRCPSMLALNDHKSSGAKENQLPAPTTPLLDHSNGVVTTATTTPTTPTTVKRSSKFRGVSSLQVLIYRHRWTGRYEAHLWDKGSWNATQKKKGKQGAYDEEESAARAYDLAAIKYWGTTTFTNFPISKMTTLPLLNRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLRSGSNSAAATSQSQDQTLPPAITAPHHVVEEPRFPFGSSSLQLGINNASQDQQLLDTKTLMADTDSSSSAPSALSLLLRSSMFKELVEKNLNAGNDEEQETKVVMKSEGNEFRGMFFNGMCPSKVGMELNEDEDEDEKNELPLFRNPNQSLWNGSRNINKSSRH >KVH90188 pep supercontig:CcrdV1:scaffold_28:256343:259086:1 gene:Ccrd_007802 transcript:KVH90188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDYGRETGNVVQIITGGGSRNGADYWGDQPVWATEDEYGVWDREASVDTTSNSNYDGRQSQTRSGSEPPNKKTRNGQGGDVQASSRSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVAAHEEERAVSSEPREEFQIPSLGSSSFTVDSQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKARESVVISLGPGSGGGYGGVGASGGGGGGAGGGGGGGGGGVGGSGGGVAEAAGSNSILKPSNWKTRICNKWEQTGYCPFGKLHRYGGGLVDIDAKDSPTAMDSNKQGVMSSKIPIETVVAAGPSAAHSDGYHVGAPSQRLPGVIPRTGQRPFQKWKGPDKISRIYGDWIDEIE >KVH90172 pep supercontig:CcrdV1:scaffold_28:638492:645079:-1 gene:Ccrd_007777 transcript:KVH90172 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase/succinyl-CoA ligase MATGQIFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSKLALKQPTIRVVAIIAEGVPEFDTKELISYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQIKMIVVLGELGGRDEYSLVEALKSGKINKPVCAWVSGTCARLFKSEVQFGHAVSLLLYFPILCGWIIFQISLIMLNISSNNQGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFEASIKETFAKLAEEGKITQVKEITPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIVEKGMGIGDICVMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAVDDAARYFKDAYDRKLSPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSTKYMEYAVEVEAYTLSKANNLVMNVDGAIGTLFLDLLAGSGMFTKQEIDEIVAIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KVH90226 pep supercontig:CcrdV1:scaffold_28:365409:367661:-1 gene:Ccrd_007792 transcript:KVH90226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase, central domain-containing protein MPTNVDILNPFFLNGIDVTSWKSLSGVRANNSILELVPDVKIFQELLCCVKLWAKVEGCMLFGFFGCQRNPAMANAMMPPPHPSETRALMPIQLPSSPHEYYHSNITTSTIMKIKNEFQRGYRYNHVWRSCLFSMTPTFTNTWIQTYKAQTSFFYWGLLPGRSNYLDLDLLQKEFTRNLVMGYKGLMEKMTLSIIQAFELPKSLHQLVDTHQLFSNSSKRYGVPNSTPHYFLGYLAPEYRSSGIMG >KVH90207 pep supercontig:CcrdV1:scaffold_28:244584:246722:-1 gene:Ccrd_007803 transcript:KVH90207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGCLLSVINILCLLILPFSFVAADGSSAVGVNYGMIADDLPPPPQVVSLLKSRNIKRVPVGNEVLPGDLSIFVFPAIKNLNAALESVNLGQIPVSTAASISVLGSSYPPSAGDFRGDMKPIMQDLTGFLAGKGFPLLVTAYPYFSYVSDPNSISLSYVLFNSSDVVVKDGDLGYTNMFDAMVDAVYSALEKIGAGSVEVVICETGWPSNGNGDFTTIDLAQTYNQNLVKHVSSSGTPKKPNKNVETYVFALFNEDLKPAGVEQNFGVQKFHFVVAYLPFCLRIGTSSKTELYRTKDLDMTCYLYGFWHD >KVH90229 pep supercontig:CcrdV1:scaffold_28:39212:52663:1 gene:Ccrd_007823 transcript:KVH90229 gene_biotype:protein_coding transcript_biotype:protein_coding description:TFIIEalpha/SarR/Rpc3 HTH domain-containing protein MSIEPFNKLVKLTARAFYDDITAKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKDLKLHLKQLRRTLRFFEEEKLVTRDHRKETAKGAKAYSAAVAATADNLTGRDGEEKIKLHTHSYCCLDYAQIHDVVRYRLHRMKKKLKDELDNKNTVQEYVCPKCGKRYNALDAIRLISFEDDSFHCESCNTELVAESDKLASQDIGDGDDNARRRRREKLRDMLQKMEVELKPLMDQLSRVKDLDAPDYGTLQAWELRASAAARASNADPGEIHVEVAFSGVEEKGEIKSESAPMKVLPPWMIKEGMNLTNEQRGGVKQESNMEGTSASIDMKDEKKLSSQEDDAKNLQASFNNQIASLFFTTYIILSSYYAALLQRQKEQEEATKREQDLSMNSVSTDVDPSNSRKRQRDDDGEGDVEWEETPTAGETFKVDLNVEAAEPSGDDEDDDGIDWEEG >KVH90233 pep supercontig:CcrdV1:scaffold_28:11555:13801:-1 gene:Ccrd_007827 transcript:KVH90233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSGCTAVGSLNDSKFNDPMPWIGIYVAAASLFAAMAMAIDSVHGFRYKKFWFPCKFFTLNATTLTVIAIAIKFSVDLNAAMPRRQDQLAKVSSSAFICMVMGNLLPSLGTMEDTELLMNIVALGILVITAITNICIQMGTGVIFEFWIEHVLIMLLMLILLAILCSLSLAIPTTKYYLDITYERKLKKANKVCFLQRNLPVAERLRQDLGKYWMMAHTSSPQFVIGRSAPCSASGAFCLFNTLILAESILRPRIMPWSFRFCTGESDYKWSTTLVLISQTVAVGVGTISPAFRWFMTINFRCPTKASRACNREFVIERYWTKRLLFWQVQPLGLRIRNRRWRKLAHGVKFQMFRFFIWMQKGLVFCCKMIRFVSIFFVSRFLRLKKFVNRDNSVSSNDSEMELQHNPNMSLRRYVIYLEGEEGLVDLMTENNCDATAHWIRMGEKEKPRNLIKLLDQLDSLSSFTGVQDFNSDKIPSLSSNEPPNCWALPIVTLTSIAIAIPNIDEKLIEQLVCGVDEGLKYVNEIENHLDKKDLKHVRKTAEIVWSGVELYNKWLDVDLCKLANQESETEPEIIKLLAEISKEKFMEFANKDMMFMDECLKEAPSRWPIKVLAANSMYRICKTLLLAPHETNERLFEKISLMICNILAAAFTNLQRVISSKCHQSRIEERDQSIRSAVLLFGKTKKILEILDRKGPEGSDHGQLVHIDDWHLVSKQMDSLHSLSSSSTDQETAASSPSDLCISVE >KVH90225 pep supercontig:CcrdV1:scaffold_28:363517:364172:1 gene:Ccrd_007793 transcript:KVH90225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQASQLAGSCSILCKILLEELRVFYDPNPTEYLDTTIQDPNVVFYWGLLPGRSNCLDLDLVQKEFKRNLVTGYKGLMRKMSLSIIQASELPKSLHQLADTHQLFLNSSEMVPVFSNPTPHCFVGYLASEYPSSGIM >KVH90198 pep supercontig:CcrdV1:scaffold_28:435111:454133:1 gene:Ccrd_007785 transcript:KVH90198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FCA [Source:Projected from Arabidopsis thaliana (AT4G16280) UniProtKB/Swiss-Prot;Acc:O04425] MDRHRGGGGDRYGNSPNDSHPYRHPRGGGTPSSRSSDDVTINRHRGGFSGNRRPFDNSPPRYPLSGGGGGGGFRPMEGEGGFRPLGVRGARAYNNPSSEFEVPLSGQKRFNSDYEVPMSGQKRQFPFVGRDGGSPPDNFDKRHIDGGNFDKKHSEGGSFAKLFVGSVPKTATEEDIRPAFEEHGNVVEVALIKDKRTGQQQGCCFIKYATSEEADRAIRALHNQYTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEKEVEEIFLPYGRVEDVYLMRDEMKQSRGCGFVKFSNRDVAMAAINALNGMYTMRGCEQPLIVRFADPKRPRPGETRGAPAFGGPGFGPRLQSPGIRPPNPGEAMHAPIPPNAWHPMNQQGMVPSSDIGMHGFGSQAAPRSADGKGSSAPGGTHGGLGASSDNLFPGPAVPSTSTHQSFNSSLPQLSSGGHLSPLKKPNQSPQDYPSSMQLQLQTPATFSQAPASSTVRPPGQLQAPNSASQASFSQGFPSQPMLGFNGMLPLQQAQVQAGVSSTTTPSLSNNNLPPHVLTAMMNQYQLPGQQQMLQPVQQSASPLAQMLSQQKQTLQASYQSSQQAFSQLQQQLQLMQPSNPNLTPQQNLQGARLQQSTWGGSLPQTSATSGASAVKPLTDSLPAASAASVASAMSSAGGSLKCNWTEHTSPDGYKYYYNSTTGESKWEKPEELALYELQQKPQEQQQQQKQQQTATVQQPQSQSHSQGLPNQQTQIPQLQLQSHLQTQMQVQGRHPQQLQHAPQSSAYQNAGVAGHQNIQGFGYAQLPVGGGGSMNDSSRFQQGMQGAQDWMWKNKTAGSFLLLVSGLC >KVH90238 pep supercontig:CcrdV1:scaffold_28:9820:10974:1 gene:Ccrd_007828 transcript:KVH90238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLFGIYVAQNYEVPDVKNIVNEALKRARQLEDRYRFGVRLLTVVIRAWFRVNPFATRKAEEMKGLAED >KVH90217 pep supercontig:CcrdV1:scaffold_28:106564:116340:1 gene:Ccrd_007816 transcript:KVH90217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1664 MAMQAGMGFSKIIILVGAGYTGTLLLNNGKLSDVLGELQGLVKGYEGKQGDGAEGDYSDAIAGQVRRLAMEVRQLASARQITVLNGGSGGNVTSLLVPAAALGAVGYGYMWWKGLSFSDLMYVTKHNMANAVSNLTNHLEQVSDALAAAKKHLTQRIENLDGKLDEQVEISKLIKNEVTDVRDDLSQIGYDLDSLNRMVSGLSGKILTLEEKQDMTNLGVWYLCNKADGHKISGKAQEQFKLAGKSFGGYLSSGGMLTLEGVKEIADNLDSGDVPEDQSRRLTRRNTVKFIP >KVH90203 pep supercontig:CcrdV1:scaffold_28:737858:739823:-1 gene:Ccrd_007767 transcript:KVH90203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWGMASSGDMGGAVATGLMRRRWGWCSRDPRWDGGGGALSIERETVVWSHEGDERETMVWSHEGDGELIDFYQQFVKEEMAKSKNHTAHNQSHKAHRNGIKKPRKHRHTSTKGMDPKFSRNQRYARKHNKQSGESASEE >KVH90213 pep supercontig:CcrdV1:scaffold_28:175924:177701:-1 gene:Ccrd_007812 transcript:KVH90213 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein MKLSFSLSSSKPPKPTLKSSGDQSSSSVKREFVTEFDPSKTLADSNSKTHVIAPISNEWRPQKKMRIIDLPVKSDDPNLEFEVVDSAVEPTDPNITYGLNLRAKKESDPDPEPKPDRFQSTSSIDNLMLNKLKNDLDRLPEDRGMDEFQDVPVEDFAAALLKGYGWYEGRGIGKNAKEDVKVVEYKRRAGKEGLGFVDDLPVPPTNDSNNRAANTKQEGVSNGERRQKIHVGKDVRVVGGNEAGLRGRIVEVIAGGDQVILKLSRSQEEVIVHARDVADLGSVEEERCLKKLKEISIRGKEQGSSRGDRKSKDSAGSRNDSKRSLEIHEISASSSVSWLNSHIRVRIISKEVKRGRLYLQKGVIVDVVGPTTCDISMDNSRELIQGVEQDFLETALPRRGGPVLVLYGRHKGVYGSLQKKDMDNETAVVRDADTHALLNVRLEQIAEYVGDPDDIGY >KVH90199 pep supercontig:CcrdV1:scaffold_28:338726:339394:1 gene:Ccrd_007797 transcript:KVH90199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHRLSSLVFLVLLGVGICTATRAILTIDESYAHGGYEGSVAGGGGGGGGSGSGGGGGGAYGGVGSGSGAGEGGGSGYGGGAAGGGGGGGGSGGGGGGGSGGGAGAYGGGYGGGEGAGSGGGYGGAGGAGGGGGHGGGGGGGTGGGGAGAGGAGGSGYGSGGGSGAGGGAGGAYGGGGGAGGGGGSGGGGGGGAAGHEGGYGSGSGAGGGAGSGHGGYLP >KVH90221 pep supercontig:CcrdV1:scaffold_28:358070:360063:1 gene:Ccrd_007794 transcript:KVH90221 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MLNTSGFGWDDAHQCVTVDAQVLEEYLKLKYQNLSIVDLENRIWRSIRRKQSVCGGGEGSLQRSTTAAMGKYAAEIRDPKRNGARVWLGTFDTAIGAAKAYDRDAFEVPGRKAIF >KVH90211 pep supercontig:CcrdV1:scaffold_28:97254:98818:-1 gene:Ccrd_007818 transcript:KVH90211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQSLGSPASKLHNHGVLFSIAGAGVIKDDINNCFTLEDQRKDKIASCSAGTADDEHKSQKPYQQKSTLVSSSRLVHLIPLLTFLCFLVLYLSSHDPSRRDLAPFSGFTTLSSRKSTIDSTDIDNIGGGSLEIQKNDVLAIRSMRNLQQQERHRLHRKFGH >KVH90235 pep supercontig:CcrdV1:scaffold_28:21883:22329:-1 gene:Ccrd_007825 transcript:KVH90235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MRNSFNEPLPDTTIGNIYQMLDFPTSNESEMTPNAIIGELRRRKTEVRGIRDIESMMGMIAETYSESGMMERFPAYGIDFGWGTPVKVTVAGTQKNVTIFMASPNEDGVEALVSLERRDMDIFQNDPTLLAFC >KVH90173 pep supercontig:CcrdV1:scaffold_28:657858:658397:-1 gene:Ccrd_007776 transcript:KVH90173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MPNPIFFASLSIPLFVIPLLYWAYTYPKNKYKQTNLVLYVHDNLTGDDASAMTVAGKDGPTTSIMHFGTLLAHDDPVTTTPDPESKHVARAQGMYINSQLDGKALYMIFSIMFTDGEYKGSTLEIQGADPFVLKEREFSVVSGTGYFRFVKGYGIMTTEFLDIPNLRAIIKLNITVRHF >KVH90189 pep supercontig:CcrdV1:scaffold_28:193092:198456:1 gene:Ccrd_007809 transcript:KVH90189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVISHLSAKFAFFPPSPSTYQIKKREDGKLTAIYTSSSMPLTSAAGGVDDGCGGGGGCSLDVWSLRTKRGNKIVAFYLKNPCARLTLLYSHGNAADLGQLFDLFVQLKANLRVNLMGYDYSGYGASTGKPSELNTYADIEAVYECLETEYGISQEDLILYGQSVGSGPTLHLATRLPRLRGVVLHSAILSGLRVVCHVNCRFCFDIYKNVNKIRKVKCPTLVIHGTEDDVVNWLHGNGLWKMAQDPYEPLWIKGGGHCNLELYPDYIRHLYKFICEMETMTTKTRLAKIKPKLRLPDRPSTAGCSYCCIKPSCPECPKPWCPECFGCPNCLQPTGCCCCLNWNYCCCWRPKPKCPDVKLLKCPSCLQCGCFTCIRKCVQCSC >KVH90210 pep supercontig:CcrdV1:scaffold_28:505858:512301:-1 gene:Ccrd_007783 transcript:KVH90210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MATSASPQQPGGAVVAERRGIPAASFVEDVQIYLNQLGLDVNSTLAFLQERLQQYKVVEMKLLAQQRDLQAKIPDIEKCLDALLTDFEASEGIYSRARVEDIDSVCLWLGANVMLEYSCEEATSLLRKNLENAKASLEVLIADLQFLRDQVTITQVTIARVYNWDVHQRRVRQALASQDS >KVH90192 pep supercontig:CcrdV1:scaffold_28:384281:396040:-1 gene:Ccrd_007789 transcript:KVH90192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase, central domain-containing protein MENVGILNPLFLNGIDVTSWKSLSGVRANNSILQLVPDVKIFQELLCCVKLWAKRRGIYGNLFGFFGGVHLAVLAAFICQRNLGVSLAGLVSIFFKTFALWSWPMPVLLLKGMMPQSHPPETRALMPIQLPSSPHEYCHSNITTSTLMKIKNEFRRGYRHIQPEELFVFYDPNPAEYLDTTIQDPNIVFY >KVH90175 pep supercontig:CcrdV1:scaffold_28:680191:689414:-1 gene:Ccrd_007774 transcript:KVH90175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MAFWWALIVIAFAFVICKFLLMLIPSNVPSIDVDASDVIDDGHPKENSFIYIPSRRQTDKVQCYEPATMKYLGYSPALKPDEVKERVAQVRKSQKVWAKSSFKQRRQFLRVLLKYIIEHQELICEISSRDTGKTMVDASLGEIMTTCEKITWLLSEGERWLRPEYRSCGRSMLHKTSKVEFHPLGVVGAIVSWNYPFHNLFNPVLAAVFSGNGIVVKVSEHASWSGCFYVRIIQAALAAVGAPENLVEVITGFAETGEALVSSVDKIIFVGSPGVGRMIMRKAADTLIPVTLELGGKDPFIVCEDVDVPHVAQVAVRAALQSSGQNCAGAERFYVHKDVYSSFVAAVVKIVKSVTAGPPQSGKYDMGAICMQDHSERLQSLINDALDKGAEIVGGGSVRNISEGAVDQYFPPTVIVNVNHQMKLMQEEAFGPIMPIMKFSSDEEAIMLANDSKYGLGCAVFSGSQRRAKAIASQIHCGVAAINDFASTYMCQYPVAENCFEFQQSLIEALYGLNVWDRLRALVNVLKMLTEQNSAAANTKR >KVH90232 pep supercontig:CcrdV1:scaffold_28:65760:68955:-1 gene:Ccrd_007820 transcript:KVH90232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein ALLTCPFRFDLHAPASKYIQPTPPSKIICFCLDSLPNFRPLRVFNNQPTLRSSKTPVFLTPSDRDPPRSIGFHLIRQLNFRVYLRDKMSFQDLEAGRPLNSKRVGYTNGGRQQDPTQAIASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSDKLKQASEADHRAQVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVLPSSYAASEMDISSNKGQEQHALLMESRQEVLLLDNEIAFNEAIIEEREQGIQDIQNQIGEVNEIFKDLAVLVHEQGAMIDDIGSNIENSHAATAQAKVQLSKASKTQRANSSMTCLLLVIVGIVLLIVIIILAV >KVH90236 pep supercontig:CcrdV1:scaffold_28:27790:30641:-1 gene:Ccrd_007824 transcript:KVH90236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MAAATKANSGTFKPTGLGKIMSMRNNFVEPLPETSVGNIYQILEFPTSDESEVTPDAVIGELRKRKTEVRRITNIERMMEMIAEMYSETGMLERLNKLDGHYLYSFLNKFPAYGIDFGWGTSMKVTIAGTQKNLTMFMASPNGEGIEALVSLERRDMDILQNDPLLKVQSARSHRRV >KVH90200 pep supercontig:CcrdV1:scaffold_28:803847:805937:1 gene:Ccrd_007764 transcript:KVH90200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRRLSALASRAELIPNHFVPKRQNQSRIQSSSSSELINPSISTTDFTATGKFSSINPQLKTATHKPLDHRYISQILSRKDWYLLLNDELKSNKISLNPRNIVSVLQNQENPLHNLIFYLWVSDINPLLAKNQSVRGVLANTLYYKGPVLLSKELIELIRSSGCSVTEDSLCILISSWGRLGLAKYCAQIFDQISFLGLTPSTRLYNAVIDALVKSNALDLAYLKFQQMKADRCNPDRFTYNFLIHGVCKVGVVDEASRLVKQMQEMGYLPNVFTYTILIDGYCNAKRIEEAFKILERMKESNVRPNDATFRSLVNGIFRNAPALEAFKLMSDFVEKEHDLPIAVCESVLVCLSSNSLPKETAVFLKKIIERGYVPDTMTINIAITCLLKGSDLMETCDIVDSLIGKGMILGFNTYLLLVESLYKNERILEGNRYLNQILEKGLLTNVISYNMIIDSYCKSGMIDMAMRTFLDMLQHRISPNLVTFNTLLSWHCKAGDMSEARELLKMLLERGFKPDTYTFSSLIHGLCRTHQIDDALDCLNEMVDWGVHPNAITYNILIRSLCIIGDVFKAKALMKKMQMNGVKPDVFSFNALIQNFCRMKKVEKAQRVLLTMLTLGLTPDNFTYSAFIKMLTDLGRYKEAKELFSSMEANECIPDSYTCNLFIDALVQSARFSEARDIFLKYKERGIVLKPISIL >KVH90194 pep supercontig:CcrdV1:scaffold_28:563142:565152:-1 gene:Ccrd_007781 transcript:KVH90194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGFDFSFNFNFRQTLHIFHRRLNLISSSICSLILLIRALNADRHPLIPHNHLIGFFGAPEYXISCSFSIEFIKYSAKERKRLGLFEGLNTCPSINQGVIRMSRHSTENWVDLVTLPCKGTTPGVAGRAAAAEEVVPPPHHPPLHLLLIWLDYVFRSRDYNAVLYCLRQ >KVH93216 pep supercontig:CcrdV1:scaffold_280:27926:29934:1 gene:Ccrd_004726 transcript:KVH93216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MGNVFVVLTPLLHGLVKLAGLTPQTIEIEPGTWMNVWVPKEIVTKDDGKTVYVPPNKPAVLLLHSFAMDGIFTWFLQVFALTRDYSVYVPDFLFFGGSITDRKERSARFQAEFVAKGLERLKVEKVTLVGLSYGGMVGFQMAKFYPKLVKSMVVSGTVIEMTESISRDSYKKLGLSSWSDLLMPKTINGLKRMFSIGFHEVPWVPDFVYRDILKTMFDNRKERNELLEALTIRMLWGDDDKIFDLDLATTMKTRLGEKTTLDWIKDAGHLVPLERPFVYNNRLKSILEYLTKNQ >KVH93205 pep supercontig:CcrdV1:scaffold_280:135675:142768:1 gene:Ccrd_004735 transcript:KVH93205 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MPLNSAPQSNLETATMSDHMQGPPERHIRSSMEKIDLESTEDEKKSKRHSFKQRAITASKRFRTSFTKKGRRNSRVMSVVVEDVHDAEELKAVDALRQALILEELLPAKHDDYHMMLRFLKARKFDIEKTKQMWADMLQWRKDFGADTIMEDFEFEEKENVIEYYPHGHHGVDKDGRPIYIERLGKVDATKLLQATTLERYVKYHVMEFERTFRDKFPACSIAAKKHIDQSTTILDVQGVGLKSMNKAARELIQTLQSIDGNNYPETLCRMYIINAGSGFRLLWNTVKSFLDPRTAAKIHMVCNGEHKCSELEIPEEKIISEDECANKTVTNQASFKLDVESAKMQRDHNNPAYLPSVCEEDSINKNILSANETKDYLPIVDKAVDATSCKPQQKLALSIGVDDDCKLSCTTKLANQVVAGVMALIMGIVTIMRMTSNMPRKLTNVTLSSVGLLEDDYKKKELETTTISTAEYLTLMKRLSDLEEKVIILTNKPAELPPETEEMLNNALTLELAVFSNAIYTSHTLI >KVH93217 pep supercontig:CcrdV1:scaffold_280:92640:94966:1 gene:Ccrd_004729 transcript:KVH93217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAVTYIVYHVLRVFVLVFILLDVCSASFSMAVTSSSTTDTVLIVVDQSGQGDYKTIQDAINAVASNNSELIYIWIKPGTYREKIVVPADKPFITLSGTEASNTIITWNDGGDIFNSPTLSVMASDFVARYLTIQNTFGMSGKAIALRVAGDRAALYGCRILSYQDTLLDDSGRHYYYNCYIEGATDFICGNAASLFESCHLHSLATTFGTITAQHRNSPFENTGFIFVGCKITGMGDGTVLGRPWGSCSRVIFAHTFMSNVISPEGWRGWDDPSKERSKRVGWSRELSHDESAPFLNKSMIGGRSWLRPTPTHFKKHLPRPIEDGGN >KVH93203 pep supercontig:CcrdV1:scaffold_280:143970:151205:-1 gene:Ccrd_004736 transcript:KVH93203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MSGPLDRFARPCFEGSSGNDERSERRERKSDFENSEDERRTRIGSLKKKALNASTKFKHSLKKKRKPPGRVSSVSIEDIRDAKELQAVDAFRQVLVLDELLPDKLDDYHMMLRFLKARKFDVEKAKQMWADMIHWRKEFGADTIIEDFDFEELNEVLKYYPHGNHGVDKDGRPVYIERLGKVDPNKLMQVTTMDRYIKYHVREFEKSFAFKFPACSIAAKKHIDSSTTILDVQGVGLKNFTKGARELIMRLQKIDGDNYPETLHQMYIINAGPGFRLLWSTVKSFLDPKTTSKIHVLGNKYQNKLLEIIDASELPEFLGGTCTCADQGGCLLSNKGPWNNPEILKLALNSEARRARQVVKVLNSEGKVVAYAKPQLPALRSSDTSTAESGSEAEDIASPKAMRSYSHLRLTPVREEAKTIGATSYAGQFSGYDEYVPMVDKAVDSGWKKQPSAPKPYDPRGTLIEPAAQKGPEKLHSQIWMMLVTFFMTIFTLVNSMMSHVAKKLPDTPSENAQNAQDFFFDASTKEEFRPPSPTPSFKEAELLSSVLKRLGELEEKVDTLKAKPAKMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDSQEEKKFRKKKSCW >KVH93207 pep supercontig:CcrdV1:scaffold_280:123705:129356:-1 gene:Ccrd_004734 transcript:KVH93207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGETIDGACNSVLVTHAHADPRRTYQVVVAATQTMGIGKDGKLPWRLPSDLKFFKDVTMTTSDPARKNAVIMGRKTWESIPLEHRPLTGRLNVVLTRSGSFDIATIENVLICGSMISALELLAASPYRLSIENVFVIASEFQPWYSSFPIVENGIRHCFTTYVRVRNSAAEADTKANGSSDSGQGSLQSDVKTFSFLPRKIFEKHEEYLYLRLVEDIISDGAIKDDRTRTDAIQSEKIFSTAYNQGIKFFRYYVFEQRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDRQVHNYELIIGLVDREEGDLGPVYGFQWRHFGARYTNMHADYTGQGFDQLLDVIDKVKNHPDDRWIILSAWNPSDLKQMALPPCHMFTQFYVAHGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIIVLGDAHVYSTHVRPLQVQLQKMPRPFPILKINSQKKDIDGFVADDFNLIGYDPQHKIEMKMTV >KVH93223 pep supercontig:CcrdV1:scaffold_280:275500:288624:-1 gene:Ccrd_004747 transcript:KVH93223 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, subunit 116kDa MSTLSDTYKDPINLEVLRRRQRMRQKMAKHGGGCIPPMDLFRSEAMHLVQLIVPIESAHLTVSYLGDIGLIQFKDLNAEKSPFQRTYASQIKRCGEMTRKLRFFNDQMSKAGITRSSKSVARADMHLEDLEAKLGELEAELIEVNANNEKLQHGYSELTEYKLVLQKAGEFFKDAQISASARQKEISPDLPAESLATPLLKDHESSHDLSKQVRLGFVTGLVPKEKAMAFERIIFRATRGNVLLRQVALEEAVVDPTSGQKVQKNIFVVFFSGERLKSKIVKISEAFAVNRYPFTEDMGKQTQMITEVSGRLSELKMTIEAGLQHRGTLLRRIGEQYEQWNQVVHKEKSIYHILNMLSIDVTKKCLVAEGWSPKYATKKIQNALQQAAIDSSSQVGTIFRVLHTKKLPPTYFRTNKFTESFQAIVDAYGVAKYQEANPGVFTVVTFPFLFAVMFGDWGHGICLLLATLYIISKEKKFSGQKLGDIMEMAFGGRYVILLMSIFSIYTGLIYNEFFSVPFALFGPSAYACRDASCSDSTTVGLVKVRDTYPFGVDPSWHGTRSELPFLNSLKMKMSILLGVAQMNLGIMLSFFNALFQFIPQMIFLNGLFGYLSLLIVAKWYTGSKADLYHIMIYMFLSPTDDLGENQLFENQKTVQLVLLLLSLIAVPWMLLPKPYILKARHKTRHQDESYALLDDNDDSLHGEADRDSDDQEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSAVFYEKVLLLAWGYNNVIILIVGIIVFIFATAGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFRPFSFALLNNEDESS >KVH93221 pep supercontig:CcrdV1:scaffold_280:227675:228398:1 gene:Ccrd_004741 transcript:KVH93221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin MADIRDERGNPVQLTDERGNPVQLTDERGVPIHLSGVATATTHGGTTIGSELHDKTWHDHATLGSKTHGGTYFAPTPVDYAKRGGEAIASAGAATMGVAGGDGKAATGSVTGGGAPVSHTQAHGAGEHEKQLERSSSSSSRGRRKKKGLKEKIKEKLPGHGEKAAHSRDTRASSVTTGAGATATAGEQHQEKKGLMDKIKDKLPGHH >KVH93208 pep supercontig:CcrdV1:scaffold_280:112525:114820:-1 gene:Ccrd_004732 transcript:KVH93208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLSEAPKFKLITPSILSDRLRINGSLARKAIKDLMARGAIRMVSAHASQQIYTRATNT >KVH93222 pep supercontig:CcrdV1:scaffold_280:218613:225182:1 gene:Ccrd_004740 transcript:KVH93222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGSACCVAARDRTITNGSSSDVIPRNVRYSPSWSVRWDNRRRVAGEETSMNWSSDGVGTNDRLDNKSQTTVGTAYASEEGSPLESFRNLTWQKSSPSEGYTVPQSDQSVSKNPSEVKVSRETQLASEPSPTKMSPSTHSVSSLSASPLSSSQGHFLPPSRWHGRSPGHHLMRQVSDSRIPGMKSPNFSISEEGSPFMFAGWSNESNRGSHGGSSDGWSVPAFSELMATSHRERWSFDSESLNFSRDKISRSSGRVSSSPSIDMQTCGVCSKLLTERSCWGSQKIIATNELAVVAILICGHVYHAECLENMTAEINKYDPTCPVCTFGEKQALKLSEKALRADLELKAKMNKKSRSRVVDGDMNDGIVMFDHDKRSGHEGRIPKISSSSSMKTSVGKPFLKRHFSFGSKGSRSLSETSFSRKKGFFWAK >KVH93226 pep supercontig:CcrdV1:scaffold_280:244618:249032:1 gene:Ccrd_004744 transcript:KVH93226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MAKARATRKTLDSYTAKGINKSIKPGDCVLMRPSEPSKPSYVAKVEKIESDARGANVKVHVQWYYRPEESIGGRRQFHGIKEVFLSDHHDVQSADTIEGKCTVHTFKTYTKLDAVGNEDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCDGCTDWFHPACIDMAPEQAKQLEHFFCQNCSSEEQKLLQNSHATSRHTDLKVGTKRRRR >KVH93224 pep supercontig:CcrdV1:scaffold_280:274192:276178:1 gene:Ccrd_004746 transcript:KVH93224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MLNGSSRRWLRLPTLDTYISIAAYIYTHTHTTFYSTFIAFLMDPRLYSLLVAFSLLIVAISFTAGDDTIIRQVVGDGEYQLNTEEDHFGDFKRKFRKSYASQEEHDYRLSIFKTNLRRAKRHQKLDPPAIHGVTQFSDMTPEEFRKHLGLRSRLKFPADAGKAPILPTDDLPEDFDWRDRGAVTGVKNQGSCGSCWSFSTTGALEGANFLATGKLESLSEQQLVDCDHECDPEEQGSCDSGCNGGLMTSAFEYTLKAGGLMREKEYPYTATDHGSCKFDKSKVVASVSNFSVVSLDEDQIAANLVKHGPLAVAINAAYMQTYIGGVSCPFVCSKRLDHGVLLVGYGAAGYAPIRMKEKPYWIIKNSWGESWGEKGYYKICKGHNVCGVDSMVSTVVAAHRH >KVH93211 pep supercontig:CcrdV1:scaffold_280:106274:110349:-1 gene:Ccrd_004731 transcript:KVH93211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core LDSGPHGTLESHVATQEYTWTCLSKITIPEATYRKEKQKLSRSQDESLMDLRKVEEIVKDSKGGIIEKKEAIISTLSNPNSDTDSPHHNDSIAGDADPTTPPVDAASALQLFLDHIPISSIPGIHNSPSTGLVVRTHERVKDGIRMLYEKNVSGALIADSSEPDPTASFNTSFSHPYVGFISFSNMVLWCLQEFRQHQSGTKKDNAKSSKKTKPRGFFSLLDKIPAIGELAASFLWDPVFPVKLDQTLFHVLLLLSKHRLSVVPVTERSSSKVVGYVTENSVNHILLQSSGLEWFDSIADKPLSDFRFEHNDRVVSIYNDQSISEAVHILWKKKVCAIAVVQRQTEKLIGCVRVSDIHRLLDDDRIFTDREDTSVEQFIHTDTDSDKQHEIDHDLGALISAGTLALHYKFEPRMDRPVTNKETDTLKQAMQNLREMKSSFSYIIDEYERIRGVVTLRDILVQFAPPCMDSRIDGGGFFDMALKESGCTIRDGTMVHLK >KVH93214 pep supercontig:CcrdV1:scaffold_280:17654:22433:1 gene:Ccrd_004725 transcript:KVH93214 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MATASHPPSATVDHHQPPPMLTDFQATVKLKYVKLGYHYLITHLLTLSLLPLIAITAVHATQLNLDDVNNLYAHLQYHLFAVIGVICSAVIGFTTYRLTRPRPVYLVDYSCYRPPDHLKVKYQVFMEHSRLHGAFNESSLEFQRKILERSGLGEETYLPAALHEIPAAPSMAAAREEAEEVMFGALDNLFKSTGINPKDIGILVVNCSLFNPTPSLSSMIVNRYKLRGNIRTFNLSGMGCSAGVIAVDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAVLLSNKSADKRRAKYKLLHVVRTHCGSDDTAFNCVYQEQDAAGKTGVSLSKDLMAIAGGALKANITTLGPLVLPISEQLLFFATLIARKLANTKMKPYIPDFKLAFDHFCIHAGGRAVIDEMEKNLQLTAEHVEPSRMTLNRFGNTSSSSIWYELAYTEAKGRMRKGNRVWQIAFGSGLKCNSAVWEALS >KVH93227 pep supercontig:CcrdV1:scaffold_280:301853:305182:-1 gene:Ccrd_004749 transcript:KVH93227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral Root Primordium type 1, C-terminal MRCQECGNKAKRDCLYYRCRSCCKGRGFECETHVKSTWAPASSTKHQVSADYSTHISHHHQEEEEQHGQQLHLPSSSSDMCFPTKMVGESTFTCVRVTSTSEENAIVDQYAYETSINIGGHVFKGILYDQGPSHQMMNFQDRNADGGDQQNSTINIQSDSQPLDKPRPSSPPDFSFDIYPHIK >KVH93219 pep supercontig:CcrdV1:scaffold_280:238367:239022:1 gene:Ccrd_004743 transcript:KVH93219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDETKDTSPFDMEAMRMNLPERQGSICLYYFVYCVSIKGGLSVYYAGKSRTFECMADVHCLNDLKKQDRSVVKGRKKKLDEIVQQQVRYPCRPPSDTDLRVSPIVGL >KVH93209 pep supercontig:CcrdV1:scaffold_280:120328:120906:1 gene:Ccrd_004733 transcript:KVH93209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMFVFLTMISRFSAQLPFGGNFSGYHFRGLSFTNCSPMFAFVTGNAIILLLLFKSRLVKNGDGDDDNGGVDFYNEYFKSYEKSLINSTTTTTTTTTPSDTTVIVPNNGYTICRSRSEDLTRVKRGDDETHRKLRRSVTERRRLKNLDHRCGGYAEDKMSSEEFRRTVEAFIAKQQKSLRDEEFSPLVYVGA >KVH93225 pep supercontig:CcrdV1:scaffold_280:259411:262258:-1 gene:Ccrd_004745 transcript:KVH93225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MGFRICFFLLILTGASFCNGGITSSFLRKEAKSIDMPFDSDVFALPSGYNAPQQVHITQGDHVGRAVIVSWVTQNEPGSETVVYWAARSHRKHHATARVTIYKYYNYTSGFIHHCTIKHLKFDTKYYYEIGTRPTVRRFWFRTPPAVGPDVPYTFGLIGKPLSRIISIRKMTGICAGDLGQTYDSNLTLTHYEMNPVKGQAVLYVGDLSYADHYEFHDNRRWDTWGRFVERSTAFQPWIWTAGNHELDYEPSIGEKKPFKPFLHRYRTPFQASGSTDPLWYSIKRASAYIIVLSSYSAYGMYTPQYQWLWQELPKVNRSETPWLIVLMHSPWYNSNSYHYMEGETMRVMFEPWFVQYKVDLVFAGHVHAYERSERVSNIAYNIVNGECTPVHDQSAPVYITIGDGGNIEGLANNMTMPQPKYSAFREASFGHAMLEIKNRTHAYYGWHRNADGFAVRADSVTFFNRYWHPIDDSTTKN >KVH93212 pep supercontig:CcrdV1:scaffold_280:313740:328029:-1 gene:Ccrd_004750 transcript:KVH93212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase, central domain-containing protein MYRQMVTNATDPTAFFGGEYRAGIEPIQGGGSIEQSPHTPVHRWVGDPREINGENLGNFYSAGRDTLFYCHHSNVDRMWSLWKMTGGKHKDLTDEDWLNTSFVFYDENKKLVRVYIKDCLLTTQLGYDYQRVDVPWLNSKPVPRAPKSGIAKKLAGKVKQTKDVSFPVKLDKIVKVLVPRXKKSRSXKEKEDKEELLIIQGISYDSEKYVKFDVYVNDEDDDASAPDQTEFVGSFAQLPHKHKGKTXSKTNFRAGLTELLEELGADDDDNVLVTVVPRSGCEDITIDNIKIIFA >KVH93228 pep supercontig:CcrdV1:scaffold_280:289067:294046:-1 gene:Ccrd_004748 transcript:KVH93228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex protein 4 MASDSTPANNRGGPSSPDDGISSPIGNTFSSPNNAPSSRRKRGRRSAAYETPPPPSHSRFAATPDATPTPSSTAPSGRGGGRRRAPSVTATPSSTDDAPPSSEGGDPDEADEAPPMFVWGTSISVQDVNAAILRFLRHFREHPSHDEGKYMKSIHHVIEIEGESLEVDANDVFDYDNDLYTKMVRYPLEVLAIFDIVLMDLVSRINPLFEKHIQARIFNLKTSTSMRNLNPSDIEKMVSLKGMFDYSRDQGSSVQMSRRISEPTMCMKEECKTKNSMTLVHNRCRFADKQIVRVQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRVEGYECESWPNPKNYEICIQGRRSCHVSSFHTYIDCLHLKKTDKSRMNAEDPMETEQSTTQNDEGTPLNYEEQATISTIVSLHCFMIYTVEKLKELSKQPDIYEMLTRSLAPNIWELDDVKKGLLCQLFGGSALTLQSGASFRGDINILLVGDPGTSKSQLLQYIHKLAPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVALHFENPENSEQNVIDLPTLTAYLSYARKNIHPQLSDEAAEELTRGYVITATPRQIESLIRLSEALARIRFSEWVEKKDVIEAFRLLEVALQQSATDHATGTIDMDLITTGVSASERMRRENLVGLARNVIMEKLQLGGPSTRILELLEELKQRSNNAELHLNDLRNALSSLASEGFVVVHGDSVKRV >KVH93215 pep supercontig:CcrdV1:scaffold_280:76998:80497:1 gene:Ccrd_004727 transcript:KVH93215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MNPQFKPKLHSGSTTGCDTSLLERQQARTKWQQNHAFFNGDDDDHHHHLQNMFNSMPLTQQQFSGLIDDHPRLDEVKPDPGMQERWPDFGGGVYGDQLGYGYMNQNTLVNQTFLQGNSGSVSVSVSPKKRKTDEGQSLQLVSEKEKRIKGCAEEGDSKITHQYSNCDNKSSNNSKEASTNTSSKEKSKVSEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQKQVEFLSMKLATVNPELDFNIDNVFMKEMFQSSTSEFPALGCSPETANSAYFQLSSLEQAVSCCGLDMGMNSTEMALRRSISVPTSVPGTFMDSSCFNQIQPTATWDADLQNLYKMEFEQGTLIPFQSHQFTGLNEGSNLKMEM >KVH93210 pep supercontig:CcrdV1:scaffold_280:96654:102432:-1 gene:Ccrd_004730 transcript:KVH93210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA large subunit methyltransferase E MGGKAKGKHRLDKFYHLAKEHGYRSRAVWKLIQLDSKYTFLRSSNAVLDLCAAPGGWMQAAVERVPVGSLVIGVDLDPIRPIRGAIAIQEDITTPKCRATVKRLMSENGVRAFDLVLHDGSPNVGGAWAQEATSQNSLVIDSIKLASELLAPKGAFITKVFRSRDYNAVLYCLRQLFEKVEVDKPAASRSTSAEIYIVCLKYKAPAKIDPRLFDVRHLFQGGKEAPKVIDVLRGTKQKRHRDGYEDGDTTLRKTCTATDFIWSSAPLDILGSVTSINFSADTCLPIKDHALTTEEVKALCDDLRVLGKQDFKHLLKWRIHIRKALSPSEKETPKPTDAEPESKVDEDEDDDVLNEMEELTNAMLRKKKQAKKIVAKRRAKDKARKATGMQSDVMEDGYTDYELFSLSSIKGKKDLVAVDNTEDDNPNNEVVDSDDEGGRVGSQDESASDMDSEEERRRYDDQMEQLLEEAYEKYGDEDGDEAVHPDEDSDNDHADQETNPLMIPLDDDEPTEEEIAAKWFSQDVFDDGDEQQNSKMDESDDDEDEMQVDKKPDNQIMVPKKPTSKNPPKKPQPVPAAATEDFEIVPAIATDSSDDSSSDDSDDDDVETKAEILAVAKKMLRKKQREQMLDDAYNKYMFHDDEGLPKWFIDEEKKHMQPMKPITKEEVNAMKAQFKEINARPAKKVAQAKARKKRVAMRKMEKVRKKANSIADQADINDRSKMKMIDTLYKKAVPQRPKKELVVAKKGVQVRTGKGKVLVDRRMKKDARKHGMSKDKKEKGKKKGGKGQKGKGSAPPKGKTGRK >KVH93218 pep supercontig:CcrdV1:scaffold_280:81661:86905:-1 gene:Ccrd_004728 transcript:KVH93218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MSMRMQTLNEEEEYDWTQNDPANRRPARDIVIAVDHGPKSKHAFDWTLQHFCRVGDTIHLVHAVSSLKNSIVHEASVTLMEKLSRAATQIAMQVKIVTRIVEGDAGKAICKEAERVKPVAVVMGTRGRSLMQSVLQGSVSEYCFHNCKAAPVIIVPGIVEAGEESVV >KVH93220 pep supercontig:CcrdV1:scaffold_280:230980:235759:-1 gene:Ccrd_004742 transcript:KVH93220 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein SRDAQIGSFLDQQVGNSLHRLLFLKRLDQSGIAQGSIDYDYPMTSEGEDAVRRRGAVTEYRKKMLQHKELDSRVRGVYNARLTTANLMFAVRETLRSTKKDYAKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKE >KVH93204 pep supercontig:CcrdV1:scaffold_280:171360:175852:1 gene:Ccrd_004737 transcript:KVH93204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MASSSGTTTTSSGGSYPIQNSGSEEDLQQLMDQRKRKRMISNRESARRSRLRKQQHLDELATLLSQLRKENNQIISSVSITTQHYMGVEEENSVLRAQVAELSYRLQSLNEIIAFRNQPVDFGCGFAEELYGGGGGGTEFVDEFMSYVYANQPILASADMIQY >KVH93213 pep supercontig:CcrdV1:scaffold_280:318216:318614:1 gene:Ccrd_004751 transcript:KVH93213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNVLDAVIPNSPNLQHVSLQTGRKHYXGPFESYGEVAHDPPXHEDLXRLDAPNFYYTLEDILFKSVEQKEGLTWSIHRPGTIFGFSPYSMMNIVGALCVYATICKHNLLPITVLMIWPNRFPKVFVVSLK >KVH93206 pep supercontig:CcrdV1:scaffold_280:210061:214509:1 gene:Ccrd_004739 transcript:KVH93206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta2-adaptin/TBP, C-terminal domain-containing protein KAIEKRDLWQIKCWRVASQLISPSIHLELFLLFSMSYSDSCSIENVLTQFVSPEGCVWFLLNSVHRNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRFMNLLSYGYVRSNRLMGDKGLGLAFGFEHEKCKYVMEIDSQKKLKLFVGVR >KVH98815 pep supercontig:CcrdV1:scaffold_2800:59622:66511:-1 gene:Ccrd_022956 transcript:KVH98815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II Clp1 MAMASLMEVEESEATNIYIPEEWSEAADTIVYGGAQTPVAFICGPKNSGKSTFSRHLLHLLLRRYERVAYLDTDVGQPEFTPPGCLSLTVLDRETIDLKFLPERCFFFGDISSKRDPEIYLTYIFALYDHYHKQHHELSRSASPGKSGVPLIVNTPGWVKGIGYDLLVDMLKHIAPSHVVNICISAKSKNLPSGAFWSQDGDAGAVTLIEINSARQDSLNRSVLVQKDSRHLRDLSIVTYFRQCFPSDMSISTIKEVARALAAHPPYEVPVSAVSIKHLHCEVPKDEIFYSLNATIVGLAVNSEDSGHLPRCVGLGIVRGVDILRRVLYIITPVPQNVVEDVDVLLQGFIQIPTYLLQVQGSVSPYMASNVLPGI >KVH98817 pep supercontig:CcrdV1:scaffold_2800:56217:57663:-1 gene:Ccrd_022955 transcript:KVH98817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MKDTKSGLDTEDTNEDSGKTPGYIKGNKFFGIPLTESITGSPRWAASKPPKKAPIDKPDVFSDTVEEPPSNDGQTLVQQLKKQISDNRKTLVTLFMELDEERSAAAVAANNAMAMITRLQAEKAGVHMEALQYQRMMDEQAEYDEEAIQILRDLFFKKEEDVKVLEDELEMYRVRYGELKKFGSDDYDFDAEEYYEELQSQSYGEKSESGSLVEDGGNRKGNHEEASVNFENERTRLFGMLKDFENYHARSSSHEDRHHKHTGKGSNVKLLKDVSLLMEKLTAMEAESGFLKHAAMTLEKGDEGTKVVSEIAEHLRKIPSPQKPEYTNTINA >KVH98818 pep supercontig:CcrdV1:scaffold_2800:49834:51456:1 gene:Ccrd_022953 transcript:KVH98818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNSSHRRNFPVPNPEIPIDPHHRKPTDPSITTVQNISNHFSRLYLNHKARSSLTQPISDSSSTTASLTKSQSQRTRVAQKRGKPHYYYTNKPVKEHPQTLDEEPEDNIKRAIVVSSSKIPTKKEEEEEEDVKGYVNLIQKSGDDDDVKKLQLLYDVKKQQSLGMVRGRRRSFGGSSQAELADFFACNGVKVVSADMPPYMQIHVVDVTRKTYDSLEKFTAKTLALTLKKEFDGVYGPAWHCIVGSSFGSFVTHSVGGFMYFSMDQKLYVLLFKTAVQRAH >KVH98816 pep supercontig:CcrdV1:scaffold_2800:51794:56048:-1 gene:Ccrd_022954 transcript:KVH98816 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase MLRKVWSHGLVVQRARELVAVIMTHQCTIATPAFPRAFSCIRSVILSPQLRPSSYAPPRSAATANMTTQDHHLEAIFTQKRLLRSKIKKDLRSMDPALRSHEDDAIQNLILEAPWFKSCKRLCAYISCSALREVDTSKVLQDVLQNAIKDGETQTTKKLYVPRVEDKNSHMRMLNISSMDDLIANSMNILEPAPVDANGNDREDVMLANEPVDLLLLPGLAFDKTGRRMGRGGGYYDTFLSKYQELARLRNWKQPLLIALSYSAQILEEGMIPVTPSDIFIDALVSPSGFIPISPVAQQICP >KVH98772 pep supercontig:CcrdV1:scaffold_2803:33527:52654:1 gene:Ccrd_023001 transcript:KVH98772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNTEHVQEQGELIEALHKGRKLSHLPQITQDVGLLLILIYYPVVSIDLLASDSGVEVFELFDPSSSNVVVGKIFVSCSVEKVELYKVADVNGDGVVSTDELATLLA >KVH98773 pep supercontig:CcrdV1:scaffold_2803:6589:33260:1 gene:Ccrd_023000 transcript:KVH98773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPIKDYLWYWTVCGPGLPVLDFQDCLLPKEKPPHPRIVACSSVCLEESDMDKGLVRVKGIEDATSILLAQTADKLRELMRMAFYKPPRMCLPASYLILLM >KVH97017 pep supercontig:CcrdV1:scaffold_2804:59348:60318:-1 gene:Ccrd_000888 transcript:KVH97017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFANLPQHSEGNVEYRGRGMLLWWMAYPASLIGVYQPSLHTQCFRFKRQKTWCLAEAYKVSRDRAPRSKWDIYFHFFDAQATKGNFEIVFNPFTHPNYFNVHIFLAEISQKRVGNRQLSSVKSFP >KVH97018 pep supercontig:CcrdV1:scaffold_2804:60721:68866:-1 gene:Ccrd_000889 transcript:KVH97018 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, core MELCNLFFITTPVKSTFPNLKSRSVKCSAETTTHRLKLAESLQDETLKILEWPSVCNQVSAFTSTTMGFSAARDGLLPIGRSPEETRRLLDQTSAAFALYLPPDFSGIEDVSEIVESSVAGQLLSIREICAVKRTLRSARELFEQLTKSSLQSERYYPLLEIFQNCHFLTDLKKKIEFCIDCKLSTILDRASEDLEIIRSERKENMESLDCLLKEVSTKIFLAGGIDRPIVTKRRSRMCVAIRASHKSLLPSGVVLNVSSSGATYFMEPKEAVDLNNMEVRLLNAERAEEQAILGLLSSEIAQSESEIKYLLGRVLEADFAIARAAHARWMNGVCPVLSSSGFKNVGLSGSGSFSIDIEGIQHPLLLEASLKSLSGPTSKTSNSVIWCELNGTIGHMSSDSLPFPVPIDIKVEHETRVVVISGPNTGGKTASMKTLGLASIMLKAGMYLPARNHATLPWFDFILADIGDHQSLEQSLSTFSGHLTRICKMLEVTTKQSLILIDEIGSGTDPSEGVALSTSILEYVKDRVNLAVTTTHYADLSLLKEKDPQYENAAMEFSLETLQPTYRILWGSTGESNALSIAKSIGFDEKIVGRAQTWVKRLMPDKAEKRRGLLFQSLMEEKNRLEVQAKRAAHIYSNTMNLYHEIRDEADDLARREEALKAKETQNIQREVITVKSRLQTIVDDFEAQVKTAGIDQLNALLKESESAIASVIEAHSYAEESSVTEAKNSSLTLKLGEQVLVSGLGNKLATIVEAPGTDGTALVQYGKIRVRVNLSSIRAVPSSDMTPAENSQLNFKKQGRRIRSLKNLSEASNSKEVSYGLVLQTSKNTVDLRGMRVEEASHHLNLAISTNGSNSVLFIIHGMGTGVVKESAQQILRKHPRVVKFEQESPTNYGCTVAYIK >KVH97019 pep supercontig:CcrdV1:scaffold_2804:32804:36096:1 gene:Ccrd_000887 transcript:KVH97019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MIWWRREKRIGGGWCHGMRWGTVSWCGLRRSRCYRATSSTIISPASFVNSTPITYGFKKTSSKRWEFKHEKIQKGHKHLLMEIIRKKCEASGFPACLKPETPSSASSIEDQESMLLLMEENKNLKRETMELQMEIQHMKSLEIKLTDCVSQYMGSTTNHQYQYLTQRW >KVI04798 pep supercontig:CcrdV1:scaffold_2808:46132:49627:-1 gene:Ccrd_016878 transcript:KVI04798 gene_biotype:protein_coding transcript_biotype:protein_coding description:START domain-containing protein MDYGSGGGPGGSGGGSHHDSSDKKKRYHRHTALQIQILESICLLASFYLILAYDAMESMCVHVLEKIGEIYETVLDRIHLSGKFILQLDRVSSIAAKYIGRPMSQLPPVQPIHMSSLDLSMATYGGSTANRMVMMNSAGPSLDLDLLTGSAMGASSSSINPDPPFHSSLHLSDMDKSVIADIASNAMDELIRLLQTNEPLWTQSRKDGTDVLNLDNYKRVFPRPNDNLKNPNVRIEASRVSGVVMMNSLQLVDMFLDSTKWIDIFPTIVSKARTIEVISSGLLSSQNGSLQLFLDLSQMYEELQVLSPLVSTRQFYFLRFCQQIEQGSWAIVNVSYNLPQYNPYAQSQFKAHRLPSGCLIQEMPNGYSKVTWVEHTEVEELAPIDRLYRDFIHSGLAFGAKRWLACLQRACERSASLMMANSSSHDLGGVIPSAEGKRSMMKLSQRMVNNFCSSINPSNGHQWTSLSGLNEFEVRAFLHKSNDPGQPNSMVLSASTTIWLPVPPQNVFDFFRDGRTRPQAYSPSQNSMLILQESCTDASGSLVIYCPVDLPAINIAMNGEDPSYIPLLPSGFIITTDGHQEITSAGGASTSNMTDHGADHRGSTSTGSLITVVFQIQVSSLPAAKMSPESLTTINNLVTNTVHQIKASFNCPSTTT >KVI04799 pep supercontig:CcrdV1:scaffold_2808:13020:23401:1 gene:Ccrd_016879 transcript:KVI04799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MSIEIKLSRSNRTYRPDELLQGNIITKLPSSISHRGIQLTINGSVNLQVRGGSAGLIESLYGVLKPIRIVKKSIEVQPSGKISAGTTQIPFSMILKNQGEEHKFYETFHGANISIQYLLNVDVVRGYLHKSLSATVEFIIESDLASLPEKPVSPEMVFFYITQDTQRHSLLTELKSGGFKISGRICTQCLLSDPLVGELTVEASAVPIYSIDIHLLRIESIMVGEKIATETSIIQTTQAQSFFMLRNLSYIT >KVH93848 pep supercontig:CcrdV1:scaffold_281:167098:178026:1 gene:Ccrd_004104 transcript:KVH93848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDTKYEEEKTSANTLRNTPSNIRRLADEIQQCEGRQKYLARTRSPSDGGDIRWFFRKVPMEKNEVAAVVPRAEIVEKSDYFRFGMRDSLAIEASFLQQEEELLSIWWKEYAECSEGPKGSPASTKSDPLLKPFPLETNQSGKAYSDEEERVGVPVKGGLYEVDLVRRHCFPVYWDGDNRRVLRGHWFARKGGIDWLPLREDVSEQLEFAYCGRVWRRRTFQPSGLFAARVDMQGSSPGLHALFTGEDDTWEAWLSVDASGFSSIVKFGGTGIKLRRGYAPAHSPKPTQDELRQQKEEDIDDYCSEVPVRHLVFMVHGIGQRLEKANLVDDVGTFRHITASLAEQHLTSYQHRTQRVLFIPCQWRKNLKLSGESAVEKCTLPGVRGLRVMLSATVHDVLYYMSPIYCQDIIDSFLKRNPGYDGKLFLWTLRSQVSLYGHSLGSVLSYDILCHQETLTSPFPMEWMYKDQINYETSTPNDMMSSSLCNVSSNSFGTDFNAIVGRDMVASARKNIPRVQSESSLPGLRAAQSNSMLTPLVSAMDENPSATMDSKKHDSFIFDRVGIRFDQGSSEVLSEKDSRLDDCTGMDSEVLANGSNQIDEEVCQHDETTTIKSLREEKLIHPTRLHSKQEISMKLEQQKMQAVPKVDTFFAVGSPLGVFLALRNVRMGIGKGKDYWDDENINEEMPSCRQMFNIFHPFDPVAYRGGKRLHIGLQEFVEDVASSSQVVMDRMSSVKGKVLALCQSKDGVGIEASAETSEKPAIVERSYGSIMLEKLTGSEDGRIDHVLQDKTFQHQYISAVGAHTNYWRDMDTALFILKHLYQDIPEEPEIPDELMENETNQPTQDFQGWYDNEEAVEEDLPLTFSNSRFVKKFSRRAKSFIGRG >KVH93836 pep supercontig:CcrdV1:scaffold_281:219931:224365:-1 gene:Ccrd_004099 transcript:KVH93836 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MKDVDFSRDKDLAKDFLQNFADADGDAKYITILQDVANRKVKAIQIDLEDLFDYKDLDEEFVGRVTENTRRYIGIFADAIDELIPEPTEALPDDDHDILMTQRAEEGNENADGTDPKQKMPSEIKRFYEVYIRASSKGQPFTIREVRASHIGQLVKISGIVTRCSDVKPLMKVAVYTCEECGFEIYQEVTARVFMPLFECPSKRCNVNRAKGNLILQLRASKFLKFQEAKLQELAEHVPKGHIPRSMTVHIRGELTRKVSPGDVVELSGIFLPIPYTGFRAMRAGLVADTYLEAMSISHFKKKYEEYELKGDEEEQIASLAEDGDIYNKLARSLAPEIFGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDFLWLILDRADMDNDLEMARHVVYVHQNRESPALGFAPLEASVLRAYISAARKLSPSIPRELEEYIATAYSSMRQEEAKSNSPHSYTTVRTLLSILRISAALARLRFSDSVGQSDVDEALRLMQMSKFSLYSEDRQRSGLDAISDIYSILRDEAARSNKMDVSYAQALNRISRMGYTEAQLKECLEEYAALNVWQIHPNTFDIRFIDA >KVH93835 pep supercontig:CcrdV1:scaffold_281:51155:52593:1 gene:Ccrd_004111 transcript:KVH93835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEPMAIPCNTVFIVDLSSPRNQPNHSTSSCPSLDRPSSHIAINKGGIRETMAVPKQHYATSSLVIGYALCSSLLAVINKFAITKFNYPGLLTALQYLTSALGVWILGKLGFLNHDPFVWETAKKFLPAAIVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVALADTTFRKQPIPSKLTFLSLLIILGGAVGYVATDYGFTLTAYSWAFAYLITITTEMVYIKHMVTNLGLNTWGFVYYNNLLSLMMAPLFWVATGEYSDVFAAVGSNGGNLFEIVAFTAVSLSCVFGLLISFFGFACRKAISATAFTVTGVVNKFLTVAINVLIWDKHASPFGLFCLLVTIAGGILYQQSVTGVTSPSSQRDPAASKLMESKDDGDDYTDEDQEKGISGKISGV >KVH93841 pep supercontig:CcrdV1:scaffold_281:308980:310480:-1 gene:Ccrd_004094 transcript:KVH93841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MNKETRRLSKFPDEVQAELEQYFMDTLPIVNEDAKTWSKRDDHNIFDHVQNGLTPRWSDLDINQHVNNVKYIGWIVEKYELASMVLEYSRECTKDNVLQSHTCILENNNIGGIADSDHVDCQHLLRLQTGGGDDVGCGG >KVH93844 pep supercontig:CcrdV1:scaffold_281:197034:208420:-1 gene:Ccrd_004100 transcript:KVH93844 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MWNSAENLSVRSSSFREDGDDEEALRWAAIERLPTYNRVRKGIFTNIVGDSKEVDVNKLEVEELKLVLDRLLNSAEEDWKRFFDRMRRRFDAVDLEFPKIEVRFQNLNVETYVHVGSRALPTITNFIVNMTEGFLRQLRIYKGKRRKLTILDNVSGIIRPSRMTLLLGPPSSGKTTLMLALAGRLGHHLQTSGKITYNGHSLKEFVPQRTSAYVSQQDWHIAEMTVRETLDLSARCQGVGFKYGNLLELLSSIFSEVLKFNLTKILGLDVCANTMVGDQMLRGISGGQKKRLTTGELLVGPSRVLIMDEISNGLDSSTTYQIIKYLRHSTRALDGTTVISLLQPAPETYDLFDDIILICEGHIVYQGPRNTALDFFAFMGFQCPQRKNVADFLQEVVSEKDQEQYWSVPDRPYSYIPVKKFAEAFSSYRVGKNLVEELGVPFDRQYNHPAALSTSHYGVKRRELLKTSFNWQLLLMQRNSFIYVFKFIQLLFVAIITMSVFFRTTLHHRTVDDGGLYLGELYFSMVILLFNGFTEVSMLVAKLPVIYKHRDLHFYPSWVYTLPSWILSIPTSLIESGIWVAVTYYVVGLDPNIVRFFKQFLLYFFLHQASIGLFRLMGSLGRNMIVANTFGSFALLIVMALGGYVISKDSIPSWWIWGFWISPLMYAQDAASVNEFLGHAWDKRGNQSTKTLGEMVLKARSIFPQTYWYWIGLAALLGYTILFNALFTFFLSYLNPLGRSQAVVSKEELKDKDGKKNSESVVIQLREFLEHSGSFTGKNIYQRGMVLPFQPLSMAFSNINYYVDVPPELKQQGVAEDRLQLLVDVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGSIHISGYLKSQETFARISGYCEQNDIHSPCLTVLESLLFSAWLRLPSDIFVEEVMELVELIPLKGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAILLFMKRGGQLIYAGVRKIKSGYNPATWMLEVTSPAEETGLGVDFAEVYRRSKLFQRNRDLVERLKKPRNDSKELHFPTKYSRSYADQFATCLWKQYLSYWRNPQYTAVRFFYTCIISLMLGTICWRFGSKQESQQDLFNAMGSMYLAVLFIGITNATAVQPVVSVERFVSYRERAAAIEFPYVLAQALIYSTIFYSLAAFEWSTWKFIWYIYFMYLTMLYFTFYGMMTTAMTPNHNVAAIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPIAWTLYGLVVSQYGDLTKPVKLSDGVTSLPIKELVNDVFGYKHEFLGVAVFMVFAFCFLFAVIFAFAIKSFVFQKR >KVH93837 pep supercontig:CcrdV1:scaffold_281:230813:231448:-1 gene:Ccrd_004098 transcript:KVH93837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12, chloroplast MSLFLRLRHHIPNGFCTKSIRSPLISLASIKSTILTRNFSQPARQVEEEEEIEIEQRRLPTDYDPATFDPTEHRSPPTDRVWRLVDEMSSLTLAEVAELSSIMMKKMGMKEPPVVAVMKPGAAGVATQGQTAAKEEAKPEKTIFELKLEAFEAASKIKIIKEVRSFTDLGLKEAKDLVEKAPIVFKKGVTKEEGEQIIEKMKAVGAKVVME >KVH93847 pep supercontig:CcrdV1:scaffold_281:153167:162505:1 gene:Ccrd_004105 transcript:KVH93847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRHRRQQLLGGEGVGEDEREAGRGTCGSLESGASLFSREEEEEEEEEEEEEEEEEEEEEEEEEEEEEEQGEGIHRFFGQFFLGGENFSRRWREETRLYLGMERRDAMVAMEAMEAVD >KVH93829 pep supercontig:CcrdV1:scaffold_281:2797:3344:-1 gene:Ccrd_004116 transcript:KVH93829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCISFFHTQIKTTALSFTAPHKEFKMSYAAKTIRRRSFRNHAEGYSHGTNGYYYPPSAKLQGDGDDNDTYYDYAPAASEGDGDDDDADYDYAPAASDGDGHDDDDRDYDYAPAA >KVH93838 pep supercontig:CcrdV1:scaffold_281:234550:237719:1 gene:Ccrd_004097 transcript:KVH93838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSSEVLIQTLTNRGWCFREIDQIKTLIETKFSGDYSTIGSIELELCNMDLRVIGGKCLPDASTLRKSTHFHGPKVSSAKDICRSSIAESSEGSSSKRLLRLKLTDGHSDMTAVEFTHIPSIPDNVVPGTKIRLENNVVMHSGILCLNAKVVTVLGGIVPALYEEWEMNRKYAGFTRSTLKLSQSDDTGGPPPFEKFQAGAPSRRINQQNRFFDNSKATSKASGPTVFEKGTRSGSLQTTGSRASDLKPNSRDANVKSGSFTEKTEENQPSLEARPKEVAESAPVQNQAAAQKLLQKLSISNRNEGHSRGWKQRGRGKEEDSDVLTLEEWEKKRASGSSSSRQEPPNVTRDEDLARQLQNQFDLEDIHEQRGPHVTEADDIRMSMFSFERDDPGAHGRGEFRGRGRGRGRRRGRGRGRVG >KVH93843 pep supercontig:CcrdV1:scaffold_281:194478:196285:1 gene:Ccrd_004101 transcript:KVH93843 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MQASLWCTNSREIKATFDGHSQAITDIRFSPSMLRIATSSLDKTVRIWDIENVHQLLLNLTSPGCAVRTFTGHTTSVMSIDFHPKKDLICSCDENEIRYWAIKNAGCVKVAKGGANLVRFQSGVAKHIAVVVGKTSICWDSSGERLISVSEDLVKVWRVDSMGKANCVHELSVTGKRFRCGIFHPCYPSLLVIGCYQSMELWNMAENKMMMPIEEPVSALAVSTTSGLIASAGHNDNVVKLWK >KVH93846 pep supercontig:CcrdV1:scaffold_281:190314:194444:1 gene:Ccrd_004102 transcript:KVH93846 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif-containing protein MSQPQQQQQQQQQQQPIMDADTMLDVYILDYLAKRKFVASKKAFEDEAKVPINVRAVDAPRGFLFEWWTVFWDTFISRYKRHQGSVEPCNEDINSLASSFINPSAQGPEGLAGGAHGNLELNSKPRIYVKLPPQPSFHRQMEGKVQPPVERKRKQPLMSPGVANSSGTANAIGINIDDFLNYGALDGNDNSLLSHAGFTFLEVGSVQATSVSCCQISSDGKLVAIGGQDKKASYHRLKCLLNMITMA >KVH93849 pep supercontig:CcrdV1:scaffold_281:124632:128494:1 gene:Ccrd_004107 transcript:KVH93849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAALRFPIIPLNHPSPITKSPKPQTHLIKPQENEKHHQILYNSYFKHISSVCKDGKLQEAVNILIELESQNFQIGADVYGDLLQGCVYDRNLALGKQIHSRIIKRGESLVKNGYIETKLVVFYAKCDLLDVASSLFRRVDERNVFSWAAIIGLYCRMGFLENALLGFCEMIENGFEADNFVVPNVLKACGGLLLIGFGKGVHGYVMKMGFEGCVFVASSLVDMYGKCGAMEDARKVFDKMPERNVVTWNSMMVSYAQNRMYEEAIRVFGDMRTEGIQPTVVTMVSFLSASANLCALQEGKQGHSIAILSGLDVGNNNIMGTSLVNLYSKVGLIEDAEKIFGGMLKKDLVAWNLMVSCYVQHKQIEKLIHLCHEMLLEGLKFDSVTMTLITTGAGDSQNLNLGKEAHCQCIRNNLVLDVAVASSTIDMYAKCNKIHDARRVFSLVTNKDLVLWNTLLAAYAELGSSGETLKLFYQMQLEGVPPNVVSWNSVILALLKNGQVNEAMVSFSEVQSSGLEPNLITHTILILGLVQNGFVDEAIKVFQEMQEKGIKPNSVSIVGVLSACKTSASLQLGRAIHGYILRNEMHMNAVLATSMVDMYAKCGNINQAKRVFDMLKIKELPLYNAMISGYALHGLISEAFALFRKLQIEGLEPDNITFTNLLSLCRHCGLITEGLEIFVDMIMNGLTPSVEHYGCVVSLLSKCGIDEAFRLVKSMPFEPDSHILGSLLASCIEHNETNLAKFLTENLTKMEPRNSGNYVALSNAYAAAGNWDEVLELRSLMREKGIKKSPGCSWVQIGRELHVFVANDRSKSQTEEIYSTLALLRTEMQANTNP >KVH93839 pep supercontig:CcrdV1:scaffold_281:241318:246300:-1 gene:Ccrd_004096 transcript:KVH93839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MKGGSPESIESMLKWHCSEKLKRTKPKWTHRWRKNKNKGGLLLAANSTIPTMLKQILAKLPRKSSKSNPTYSDGSNAATDSGNNGSFTNTCNVISSRLNVVKKMSSSIFPTSGGEMIQPHIPFKDVQSSDKPRLLISKLNLCSNVYDFDDQDKDNAEKDLKRQVLVEILDFIVSESTKLAEPAMSAICKMCGDNLFREFPPKNSARSPRGETEDEDPWFDPAWSHLQLVYEILLRFVSLSSLDPKIAKQFIDHSFLSRLLNLFDSEDPRERECLKSVLHRIYGKFMVHRPFIRTAVSNIIYRFVFETEKHNGIAELLEIFGSVISGFALPLKKEHKTFLSRALIPLHKPKSVGMYHHQLTYCIVQFIEKEPKLTSVVINGLLKYWPVTSSQKQLMFLSELEELLELIRSDEFEKVMVAERAHFLWNNEHIHHLIMCNRQVIMPIVFSSLQRNSKNHWNRTVFNLTQNVMKMFHEVDEPLVVSCQGKFEEDTSATAAVVERRRLTWEQLEKVAGGGGFEPVSVLEKPAVAATACVVSC >KVH93845 pep supercontig:CcrdV1:scaffold_281:179112:185628:1 gene:Ccrd_004103 transcript:KVH93845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter NILFGVLDWLEIWCVVPLCFPRFFPISSLQILAPSHFPNSKTESYAQITTKFSSSSMATISRIVAKDCKFQSRDAVLQRPRYDLPPRGIRDHVCISGVGSSGDVKSCLAIQNKRFSPAIIQSSASRSSKILCQAATNLPGDVPTNANTASGMTTYERTIETLTTLFPLWVILGTIIGIYKPSAVTWLETDLFTVGLGFLMLSMGLTLKFEDFRRVGFLAQYLIKPILGFFIAMTMKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPIGQVADVLKTQGLQLLLPVAALHGAAFFIGYAISKLSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNQPIPVDDKDDFKE >KVH93850 pep supercontig:CcrdV1:scaffold_281:141029:144917:-1 gene:Ccrd_004106 transcript:KVH93850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAVFRLPLFTAFLFSLFLLHHRVKSEPTQDKQALLSFISQVPHASRISWNSSDSACSWVGVTCDATNSSVIYLRLPGVGLVGQIPPNTIGNLTQLRVLSLHSNGLTGGIPSDFSNLAFLRSVYLQDNIFSGGFPPSLSNLTRVVRLDLSGNKFSGIIPFSINSLTQLTGLFLQNNDFSGQIPSINPGSLVEMNVSNNQLNGSIPRSLARFPVSAFSGNINLCGSPLPPCNNTFFPSPTPAPSSLEPPPLVKKSKKKLSTGAIVAIAVGSALILALLLLILLLCLRRKRKQQNKQSPKPPIPASTAASRSIAEAGTSSSKDDLTGASTEGERNKLVFFEGGIYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVLVTKKEFEAQMEILGKMKNENVVPLRAFYFSKDEKLLVYDYMPAGSLSALLHGSRGSGRVPLDWDNRMRIALSAARGLAYLHVAGKVVHGNIKASNILLRQRQETNKDASVSDFGLNSLFSVSSSPNNRVTGYRAPEVLESRKVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQLLQIAMACVATVPDQRPAMQEVVRMMEDMNRAETDDGTRQSSEDPSKGSDGHTPPTETRNSPNTVTP >KVH93842 pep supercontig:CcrdV1:scaffold_281:106658:106942:-1 gene:Ccrd_004109 transcript:KVH93842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPRELFGPNSRCSCIIEFTAIASFGLTCNIHGFTSSRREAATPRKAKRTLTDLANSASLSPISRSESRPSGDGGPIPVSRSNGGPPGRRAKA >KVH93840 pep supercontig:CcrdV1:scaffold_281:270429:280649:-1 gene:Ccrd_004095 transcript:KVH93840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MGKRNLQWAMTKLQFVVDHHPTWGDLVQVDMWNATSGTYGVRGNWIFRDCKTDKILIRASRVQKNGQNWMRIPLTTFAMPRWSDFDGNQHVNYVKYIVWILESVPVTIREKYELDSMTLEYRRECTKEDVLQCRTYVLGENNDGIAESDHVDCQHILQLENFVHMATITSFFPNSSHCHGTVGTINVLGISQTKYVSSWGLQVKASKVNGTSRNGGILLPANTTVVMKTRMMMDQKKMHPNMVSDVSLGRMVHNGFVFRQNFCIRSYEVGPDGRALTRRLSKIPLEVRAELEKYFVDTAPIIEEITSNFPKLDKNNVYVRNELITRWSDLDANQHVNNVKYIGWILQDVPELILESYELASMTLKYCRECTKGSVVHAYTSVLGDNNGGIAYYDHVDCQHQLQLDVAGGDGEIILEGRTRWRPKQW >KVH93831 pep supercontig:CcrdV1:scaffold_281:7225:7497:-1 gene:Ccrd_004115 transcript:KVH93831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVAKTVRGWSFKNHADGYSNGNDGYYNPASAKLQRDGDDDDADYDYAPAAISEADGDDDDADYDYAPAASEADGDNDDDGDYDYAPAA >KVH93834 pep supercontig:CcrdV1:scaffold_281:65608:66807:1 gene:Ccrd_004110 transcript:KVH93834 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MESRRNSTTVVGFSLLPSELIHCIILRLALPDIFHLKSVNKFITSMIADQDFTRDYNLRSSSSTWLFVYKKRWHRDPVVIHGFTQSSERWFKVMIGDILKPFIPPGEDLYFLTASGNFFLFALNCSREVISVNPMTKVVKRIPQSPLGPRGTSSWRRSGIKLLAGPSGSGQFRFLFVEIVDNNPTLFEYDSRTNKWKSTIAKESIVNPSHVNKKDENRIFLSASNEPRRSVVVCVGYDSNEPTVVRPIFSGILEDGELAVGFSWGSVTNRLHIYGDGWMLIVRSGSDGTNDSRRGIRVLKGVELWGLSPNGRQWAFVSKVPNGLIDEIKKPYGVMMGCLEEREGTIRAILMSNFEGIWDIIWLSFDFEKSKWAWVPLPEFKMEGSNMAGVTFSSGLTIS >KVH93833 pep supercontig:CcrdV1:scaffold_281:2114:20509:1 gene:Ccrd_004113 transcript:KVH93833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MEMATSNHQPAAVLGEINVGKDLDLIFCLIWDDIEIVNGSKDSTSISTLPDAVLAKTRSRIQELAKLLQSSSATDKPSAYYKLIHGQIIVSGFQADLFLANILINSYSRCDHLAYARTLFDEMPERNISSWSSMISAYTQHGYNEEAWMVFLMFQRNCEETPNEYVLASVIRTSTQLGSAVRGSQLHSLVVKTGIDQDVYVGTSIVDFYSKIGKVEDARLVFDALPIKNAVTWTTIITGYARVGKSEVSLQLLSQMKQSDVVPDKYVLSSVLSACSVVGFFEGGKQIHGFVLRREAAMDISVSNALVDLYIKCGKVRTGRMIFNRMPVKNVISWTTMISGYMQNSFDREAMNLFIDMTRNGWKPDGFACTSILTSCASLEALEQGIQTHAYTVKTNLDEDEFVNNGLIDMYSKCNCLADARRAFNGIPNRNVIGYNAMIESYSRHGNLYEALDLFREMRLRYVEPSLLTFVSLLGVSASLLTLELSKQIHGFIIKFGVSLDIFAGSALIDVYSKCSFTFDARRVFDEMSEKDIVVWNSMLFGYTQTSENESSLRLYQELQLSCLQPNEFTFVAMITAASNLASLPIGHQFHTQLSKTGLNLDPFVTNALLDMYAKCGSKQEAQNLFDSTVCRDIVCWNSMILTYAQHGDAKESLKVFERMLNEGTQPNYVTFVAVLSACDHMGLVKDGFDHFETMRSFGIEPGIEHYACMVSLLARAGKLYEAKEFIERLPIPPAAVIWRSLLSACRVAGNLEMGKYAAEMAILIDPKDSGSYILLSNIFAAKGMWGEVKKVRKRMECNGAVKEAGRSWIEMNNEVHVFIARDGSHRQTDLIYSVIDNLIDHVRTFVYAQDPCMILLND >KVH93832 pep supercontig:CcrdV1:scaffold_281:46260:48625:-1 gene:Ccrd_004112 transcript:KVH93832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASKINPLLSRHFSLLRPHRFSTTQQFLIPTKRTCIHLLKNCKSMNQLKQIQTQIFVLGLAQNVDAIKKIMAFSADPSVGNLSYAQRIFDRIETPYLFVYNVMIKAYTKSGDFGKALCLFDQMRVDGLWPDNYTYPFVFKSIGCLREVLTGEKIHGFVVKSGAEFDCYVCNSVMDMYGELGRSEDMKKVFDEMPERDLVSWNVLISGYVRCKKFEDAVGVYLQMREEESVRPDEATVVSTLSACIALKNLELGKEIHHYVTHEIGFTTIIGNALLDMYSKCGCLDVAREIFDGLPKKNVICWTSMVSGYVSCGQLDDARLLFDRSPVKDIVLWTAMINGYVQFNNVDEAMVLFQQMQTYRIKPDKFTVVALLTGCAQVGALEQGEWIHEYMNEHRIIIDAVCGTALIDMYAKCGRIEKSLEVFYGLQEKDTASWTSIICALSLNGKSGKALQLFSEMKEYGFRPDDITFIGVLNACSHGGLVEEGRRHFESMKSVYEIEPKIEHYGCLIDLLGRAGLLKEAEKIVNKIPKEKDEILVPVYGALLSACRLYGDVDMGEHLADRLSEIEDGDSSIHTLMANIYASAGRWEDVKKVRSKMRAIGVRKEPGCSSIEVNGNVHEFLVGDASHPDMIDVYSSLNTLVKLSSASEKYDVGLDNVVATDS >KVH93830 pep supercontig:CcrdV1:scaffold_281:7762:10000:-1 gene:Ccrd_004114 transcript:KVH93830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNNVHDDENDYNYHHRNTVYDHACIYGDAHHTDEDVIDDDDDDGDYDYAPAASMEGDGNDDSHEGQRLLTDPDTYCFTDDSLIQLTALTFTDGYPKEMFIDSSRHVDASPLALIT >KVH94965 pep supercontig:CcrdV1:scaffold_2814:58713:73268:1 gene:Ccrd_002966 transcript:KVH94965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, N-terminal autoinhibitory domain-containing protein MVESYLGEEFNLTGKNPSDEALKRWRKAVTLVKNHSRRFRHVADLDKRSIHQDRFTKIKENLRVTFLTVRAAMRFMDAGGTHPDNHKPKDAKVSDFRNNPDKLASMVQNYDMKTLLSLGGVDGIAKAVEVSIDEGVKSNDFSVRQETYGVNKYTEKPSKSFFMFVWEALHDLTLIILIVCALVSIGVGLATEGWPDGIYDGLGILLSILLVVTVTAVSDYKQSLQFKDLDKEKKKISCHVTRDGGRMKVSIYDLVVGDVVHLSIGDQVPADGIFISGYSLLIDESSLTGESHAVNIDNKKPFLLGGTKVQDGSAKMLVAAVGMRTEWGKLMETLSEEGENETPLQVKLNGVATIIGKIGLGFAVLTFLVLTVRFLIEKALRNEFSSWTSSDAMSMLDYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMDDKALVRHLTACETMGSSTCICTDKTGTLTTNHMVVDKIWVSGKTKDVKEPSELSQDLSTTILECIFECTSAEVVKDKDGKTSILGSPTESAILEYGLLLGGDFSTIRGAIKVIKMEPFNSAKKKMSVIVCLPDGQIRAFCKGASEIVLGMCDKIVDVSGEVVSMSDEKAKLITDVIKTFADNALRTLCLAYSDVEDGFNMQKDIPKDSYVLLAVIGIKDPLRPGVKEAVETCSAAGITVRMVTGDNIHTARAIAKECGILTEGGLAIEGPEFRAKTTQEKSILAPRIQVMARSSPTDKLDLVKHLRGLSEVVAVTGDGTNDAPALHESDIGFAMGIAGTEVAKEQADVIVMDDDFATIVKVAKWGRAVYINIQKFVQFQLTVNIVALMINFVSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLMARPPVKQSESFITKTMWRNILGQSVYQMAILFVLNFAGKTILNLQGPDATAVLNTFIFNTFVFLSTVVFQVIIVEFLGTFASTVPLNWELWLLSIALGSVGMPIAVILKCFPIKPVVHDGYEILSAGPESV >KVH87636 pep supercontig:CcrdV1:scaffold_2815:63127:63510:1 gene:Ccrd_025079 transcript:KVH87636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF866, eukaryotic MVNYMLYISGELENLTNFQPRGSVDDPDFTYYFKFKCENCGEVTEKETCVSLNGTLPLPKKGTTNLIQKCKFCKREGTVTMIPGRGSPLTNDSSELGKYALLMVFDCRGFEPLDFVPLMVFYGRNKI >KVI06563 pep supercontig:CcrdV1:scaffold_2817:41684:42457:-1 gene:Ccrd_015088 transcript:KVI06563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MTSNSTWRQNKLFENALAIYDKDTPDRWQNIAKATGKTVEEVKRQYQLLVDDVEQIESDKVPLPSYKTSGTKASYQLEKRLKYLKMQ >KVI06564 pep supercontig:CcrdV1:scaffold_2817:21058:22944:-1 gene:Ccrd_015087 transcript:KVI06564 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MSFENYDPYFPDQVVVDQYLPVWANLPSYRSKPAFIWAEDGSSGSPKCSSLTYEGLNYSVHSIASQLALSFQRGDTVVILCSPGLELVEILFGCQRAGLVGVPIIPPNPTFSNDNHHHLIRVLSQTRPKVAIADRGYIANVQEYIKRSRPENPLANLLRNLVWISMESLREENVSYDKSIHSSYQGCKQDEVYLIQYTSGATGIPKPVLVTAGAAAHNVRVARKSYDLHPNSIITSWLPQYHDCGLMFLLLTIVSGATCVLTSPNAFINRPRLWLELITKFKATCTPVPSFTLPLVVKRGKASDEQRLPINLSTMKNLIIINEPIYHEAIKQFVDVFTKFGLKPSSISPSYGLAENCTFVSTAWRSRLQEVDFPIYKKLLPSAKLRYDEEDDEEEQINIYIVDEETNELVEDGFEGEIWIASSPSNASGYLHHPSLTQEIFQSRLKGSFSQQHFIRTGDRGIIKGTERFLFVTGRCSDIIKHENGFETHAHYLETAAYESCMRFLRGGCIAAFDIDGSMIAIVAEMQRSGEEDEGMLRRICEGIRGFTMKEEGIEVGLIALVKSGSIPKTTSGKIQRWLAKDRFMRGEMGVVMEMKFSEEDESLKKTLGQTLMIRQSEKRKPLLYSNL >KVH87634 pep supercontig:CcrdV1:scaffold_2818:67104:69113:-1 gene:Ccrd_025081 transcript:KVH87634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASAHCSLGVNARFHENSRHFPSKLEFSSVNFHSDGEFFKISFNSSSASSIFFKGCVGRICALPEVGDGFSEKLPTPILDTIESPMHLKNLSHKVYAFLYPLYSLEISSSLTD >KVH87635 pep supercontig:CcrdV1:scaffold_2818:54938:67089:-1 gene:Ccrd_025080 transcript:KVH87635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyxylulose-5-phosphate synthase MKQLADDIRSELSFIMKETQKSVKASMSVVELTIAIHRVFSAPMDKILWDVGEQTYAHKILTGRRSVMHTLRQKDGISGYTSRSESEFDPFGAGHGCNSISAGLGMAIARDIKGKRDRVVAVISNETTMAGQLYEAMSNAGYLDSDMVVILNDNRRSLHPKLEEAPKTPINALSSALSKLQSSKLFRKWREVAKGLTKRIGKGMYEWAAKVDEYARGMIGPPGSTLFEELGLYYIGPVDGHNIEDLICVLNQVASLDSTGPVLVHVITKEDKEVQDGKQIGISNKYKEEPYESDSQPNNRSETYGDRFAQALTTEAQIDKDIMVVHAGMGIDPSLKLFQEKFPDRLFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSTFLQRAYDQVVHDVDRQKIPVRFVIPSAGLVGSDGPTKCGAFDITFMSCLPNMIVMAPSDEVELANMVATASCIDDRPICFRYPRGAIVKSNSSICHGVPIENCLRAHSLLSELGIEVTVADARFCKPLDIKLVRQLCENHSFLITVEEGSIGGFGSHVAQFLTLDGKLDGSIKWRPIVLPDNYVEQASPMEQLSLAGLTGHHIAATALSLLGRTREALLLMC >KVI06773 pep supercontig:CcrdV1:scaffold_282:175461:178029:1 gene:Ccrd_014872 transcript:KVI06773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MALDTVIYPQDQFGVWGYDYLIQEEKSLCGFLESNNLFPNHEQAASVHANNWDYCSSSSVMQNHNSCYDMKDHWGTISSPDDCTGGGSGGGGGGDQMISSELESPHCNMMMESHVGAALTTNTVASAGRRKRRRTKSGKNKEELENQRMTHITVERNRRKQMNEYLAVIRSLMPPSYVQRGDQASIIGGAINFVKELEQQLQTLEVQKRAMHQQPNSVNGYSPPPLPFGEFFTFPQYSMKSANEVSGNGGGSVAVADNRPLAMAEIEVTMVESHANLKILSKKRYRQLLKIVAGLQCLWLTILHLNVTTVDQMVLYSLSVKLEDGCQLSTVDEIADAVNCLLGRIEEEAL >KVI06774 pep supercontig:CcrdV1:scaffold_282:35457:44198:-1 gene:Ccrd_014871 transcript:KVI06774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKSKPFAGNSSTLRERYGEEGNEDGGIDFIVSGLLCCRESNIGIEIPETVRATVFKGWSKCAMGSNLDTVVWNKGFQYYSXCKDHFFCVVLDIVWQFEVWCIALVDQLQQLSNCFCAAPQGRSVGHLFGNYMNELRDSVGEYIAEXTSSVVLSDAIFDKEAMAQRNFSVVYLLAQFAALQGNEAVERKRKIYAS >KVI11782 pep supercontig:CcrdV1:scaffold_2822:4803:5405:-1 gene:Ccrd_009803 transcript:KVI11782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQSLENPNFSHYDHNTSMWPCKLNPIQEKMMHSLQLVNESSNALMMQNFSPATPQKIEYYAQSNNPLQQEYSQISNMMINGMENSMGINIPESSSVLVPESDCTKQQPSMELQQCWEFQAEIDELLSNKGATPLEGQMNDQFDCFKDMHGAKDSMTWWSNEFEANSASSNSWDSTDVLDHKQTEMKFQELVQGQHRVQ >KVI11779 pep supercontig:CcrdV1:scaffold_2822:36381:49234:1 gene:Ccrd_009806 transcript:KVI11779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MQTRNMERKNSMRERGKRSLEGGEDEQQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARLSNGRSSPKRIEGPDGRNLQLHFKSRMSLPLFTGGKVEGEQGAAIHIVLVDANTGHVVTSGPESSIKLDVVVLEGDFNNEDDEGWTQEEFESHVVKEREGKRPLLTGELQVILKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGFCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNSAGIFTVEDFLRLVVRDSQKLRNILGSGMSNKMWEALIEHAKTCVLSGKLYVYYPEDTRNVGVVFNNIYELSGLIANDQYQPADSLSDSQKMYVDALVKKAYDNWSQVVEYDGKSLVSFKQPKRSGVSRNEYSMSSMEYPRASNNQLMPPRLPAVGSSELASVDSNLLLGGYNDNVDTAYQTLPQHVNTNPHGQYDMNSFASNGRHIGNSSSQQIQNNGYDSRAGLALGPPQSSSQFQQANMNPFDDWSHGGRDKGVGDFMSEDEIRMRSHEMLENEDMQHLLRLFSMGGGHGGGVGEDGFSFPSYIPSPAPNFEFDEDRSRPGKAVVGWLKIKAAMRWGFFVRKKAAERRAQIVELDEDE >KVI11778 pep supercontig:CcrdV1:scaffold_2822:55789:56466:-1 gene:Ccrd_009807 transcript:KVI11778 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MSGKKIMYVGDSVSVNQWQSMVCLLHAALPSQSNITDETINSTRTVTYQDYGVSISVFLSHYLVDIVDEKIGRVMRLDSIADGDIWKENDVLIFNTWLWWYRSGDKQPWDYIETDNKILKDMDRMAAFREGLKTWANWVDSDVNTLKTTVFFQGVSPSHYK >KVI11780 pep supercontig:CcrdV1:scaffold_2822:24928:34269:1 gene:Ccrd_009805 transcript:KVI11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med17 MEIRSRKKGEGEIGSNGDGRRRRRRRRREARTPFLSRDRSVVLLWKVSLVPRNTSEEMDGDLSISLDKLPVKRLEAIEENGAERFPPDLSYDDNRVNLIRRIDFAWAVEREDPNKKQKKGDNAASASKDTTSSAPWPWQSMVENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKQLPNELLSDLAVSTATKLQCFRHLGKYFKQSAKALEKQVAREARFYGALIRLQQNWKVKRHRMAASAAGNEGFYIDLFDNTLYDPASVFRPSSLSTVRVEHDSAGMLALNLPSKACHTLQFGFVSGNSDTILRGSDRTATNISNSELSGEAKREAESDDGIVKTRHSDLREVHRAVFDEQVFNLINQEAFVPSLGVNVTGIRENHLQLNIDQEASVFISLLSSTQDDHVVDDEEESDEKAAVIPMIDEEDEQEVQKKSFLPNRISSEILLKQLFHEHVFVRAKNRSASPSRSQLFGQPAKDGSNLLGHFCMSLAHRIFSYKVLMELENLASSVSYVRLISHPSWHSRTSSWTLVVDVPQSILHAGQQSLAIEKKHFRTKVVVNDDCINIEGEGAPNVVGLFKGKSENSSSVNRYECNLADLHVILLQQVASQIIRWLHEEAVIVGMKASRDFLSLWFEVEQGEVLGLVAHVDPEGGGISWWLVMGNGIGMGEEYKQEVEREDWDGERKRLLGHLSLDVLYATLLDLVTLCSNGYGGTL >KVI11781 pep supercontig:CcrdV1:scaffold_2822:17151:24494:-1 gene:Ccrd_009804 transcript:KVI11781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDSPTAFTISPRSLRNYNEDTPRVKILCSFNGSILPRPQDGKLRYVGGETRILSVPRDVGFEELMARMKELFEGATVLKYKQPDEDLDALVSVVDDDDVMNMMEEYDKLGSGDGFTRLRVFLFSNSELDDPLHFLDADGRESERRYVDALNSLNDSPESVKQQMSEFQGMAPLDDIQLTDQYFNQLNLDGGIHSQRNFESPISPLNLHHLTITHLDSLQHQQSLSHRYNEMEPPRSPAYYSSPMQPANDFPTSPSCSCYHAPYGEPPDRIFDRGPSEDYFRQHHVNHLSPVDHQSQLQENVTWLHSPPISGEKAGFPNNILHGNNMFEGNNVCDHCHPPFPRNQAPELLNTGNGFHQFANPGAESSLSREAYMSELKFPHQFYIREHNDPRPFYNEAHPHERGWVLPHQANTHAEEPGSHNLGGGRPSDHCILDGNGMNVAVAPTAYPDSLHMPLPNVRHDERSHYIRHRHEFGSELFHDQPIATGSHFHTPYEERVARYGNFPYAYQLDNLHHAPSNGHVRANSFWRDVQSPMHGSPTNEASISPQQENGTNNFIKTTTDYIPRIKMEAENQNPLVESAPKLAGYDGADVPDYYPVRPLKLMPNSYNLEDHHVSPEPVQSPSNLTSCSNPSDSVVGCNQSPTLVDGKALSLNTMNKEAIRNTNGTESLMKIEGKDDSERKELKGEANVENSTMLPVPDRTQNTSLMESNKAVGPNCLPVEQVARAANPHGDNTPHSPEKEDLKVEILSYMPQFVESVKKAALECAEEVKDEAQVVDSATENAAEVREETAHELDVVDAPGDLELDSDTGTESNSKIEPTKAEEEAIARGLQTIKNDDLEEIRQLGSGTYGAVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWKEALMLNRRKRLIIAMDTAFGMEYLHGKNIVHFDLKCENLLVNMRDPHRPICKIGDLGLSKVKQQTQVSGGVRGTLPWMAPELLSGKNHMVTEKIDVYSFGVVMWELLTGDEPYTNMHCASIIGGIVNNSLRPTIPTWCDPEWKSLMGSCWSADPQERPSFLEISHKLRTMAAAINVK >KVI11590 pep supercontig:CcrdV1:scaffold_2823:9412:11000:1 gene:Ccrd_009993 transcript:KVI11590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSNSSQDHKQPQTETENDNNFNKSLQDLKDLCSQLHFAADSYQSTFLNSNQKQLVVKNTKEYVRNALVTMVDHLGSVSANLDQHLSKAGSIYQTQVKINFLNQVLGCKLLTCQDYSHKIAVAKVSCREDYLKYNSRYIKPLLAVRDKLSVQSKPQSFQLLNKGKSRRGMLFTKSRRNNEILSVDGSQRI >KVI11591 pep supercontig:CcrdV1:scaffold_2823:15477:16584:1 gene:Ccrd_009995 transcript:KVI11591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTPRWIVPNGVGVSSICVEVVAHYEPATLTATATICPALLLHPLTTMEEHINGGLPVLDPYTRNYHNYHPTGFFELLEVPPWILDAFNVQSVDLLREAENYSSGRNWMRLHFLGAIGTRMSMSSGIAAHSVVILLIQTFTHPAWVFFLLRQVEEIEVQHEPMIGYVSGHVKHRPQAHKQSQMLVVMATVKATAKVHKKFAAPPLQPPLLSWDLYIISSRFGNIVFMKQEFVLLAVNFSSLDVQDVVEPNKTTKSSKGFLNSLEFVFGIQLIELFCLFTKERQTEWEEVA >KVI11594 pep supercontig:CcrdV1:scaffold_2823:48592:50097:1 gene:Ccrd_009998 transcript:KVI11594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MEKIVHRMVDVNGINMHIAELGHGPTVLLIHGFPELWYSWRHQILYLAAHGYHAVAPDLRGYGDTTGPPLDDVTKFTTFQVVGDLVSLIDQVASLDEKVLVVGHDWGAIIAWCLCLYRPDKVKALVNMSVAYIPRNPKFKPVDGIRAIYGNDHYISRFQEPGVIEDEFAELGIERALKKFLAYRNPTPPVLPKNLSLEDSPDNPILLPSWLSEGDIAYYTSKYEKTGFTGGLNYYRAMNLNWELSAPWTGAQVKVPAKFIVGEIDLTYNTMGIQDYIHKGGFKKEVPLLEDVIIMEGVGHFLHEEKPDEINQHIDRFFKQFCDDELN >KVI11595 pep supercontig:CcrdV1:scaffold_2823:31080:46998:1 gene:Ccrd_009996 transcript:KVI11595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MVLWEITLGTAYFLGLKRTYKLALKIQRRLVAPKYPKIRLFLHRKTRSIFDAAIKVHREVQQRDIEVGRNLGNWILRWLDKMKPGAQIRPGKPTQSNNNSAVKTTKKGSPDPSYQKPPQRYGISYRRNGDRESNRRFFTSTKSWHAAYPTISMMMKPAEPVGTNTHYRHLVSGLNTFELKSTRFGCNGKPEASLSTLSEDQNQKAYHRSFLPFHLASLEKDEPLLYSYQNVLSGFAARLTELDIQAMRNKDGFISARQERMFKLHTTHTPTFLGLHQESGFWKQSSFGKGMIIGVLDSGIVPNHASFSDYGMPPPPSKWKGMCEFNASSCNNKLIGARSFNIAAMASNSSMKVEPPLDEDGHGTHTASTAAGGFVKNAEALGGAPGTAVGMAPLAHLAVYKVCFGPDCPESDILAGLDAAVADGVDVISISLGEDENVPFFQDNIAIGSFAAVQKGIFVSCATGNSGPINGTATNVAPWVLTVGASTIDRKIKVVAKLGNQMEFDGESLFQPKDFPSTLTPLVYAGANDKPDSKLCVNGSLEGIDVKGKIVLCERGLTARTEKGEVVKAAGGAAMILMNQAAQGFSLDPDAHVLPASHVSYAAGLQIKAYINSTRTPMAALLFKGTVIGDPLAPSVAAFSSRGPNTVSPGILKPDIIGPGVGILAAWAIPLAGSTNTKSPIFNLMSGTSMSCPHLSGVAALLKATHPYWSPAAIKSAIMTSADLKNLKGTPLVDETLQPADVFATGAGHVNPSKANDPGLIYDIQPDDYIPYLCGLGYSDNEVGIIAHRPVKCWTTSSILEGQLNYPSFAVKLGPSQTFTRTVTNVGEPYTSYVVTVVAPKGVTVSVHPNKLNFTQRNQKATYSVTFNRTKEETGEFGQGYIAWVSAKHMVRSVISVNFI >KVI11592 pep supercontig:CcrdV1:scaffold_2823:10052:20751:-1 gene:Ccrd_009994 transcript:KVI11592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MKLFAINFNEVSFPPNQSNSGNIMIKILTPKFNLSLIFLFISIFLYRFNNPPRSSQSLQQSLLTRNNYIVRFIQYRKAEDHKTYLQQNAKKASYDSCSWEWIDRNNPASKFPTDFGVVAIDDDAVDSVINKFERLEMVKDVRVDSSYRLRNLLGGMKTRRYERVGAFVDGRKRPGKIFTSMSFTDGDEYVAAAVTSNQTIEWTRQLLSQKSQVTSLFGADALWSKGYTGAKVKMAIFDTGIRSDHPHFRNIKERTNWTNEDSLNDNLGHGTFVAGVIAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDIPFVEKVWELTASNIIMVSAIGNDGPFYGTLNNPADQSDVIGVGGIDYNSNIASFSSRGMSTWEIPHGILILVATNFHPCSYGRVKPDIVAYGRDIKGSEIGTGCRTLSGTSVASPVVAGVVCLLVSVIPESARKHILNPASMKQALVEGAVKLSGPNMYEQGAGRVNLLESYEILKSYKPRATIFPSVLDYADCPYSWPFCQQPLYAGAMPVLFNTTILNGMGVIGYIEGPPTWHPSNEEGNLLSIHFTYSDVIWPWTGYLALHMQIKEEGTQFSGEIVGDVVVNVYSPPALGEKTVRQSTCVLHLKLKVVPTPPRSMRVLWDQFHNIKYPPGYVPRDSLQVHNDILDWHGDHLHTNFHIMFNTLRDAGYFLETLGSPLTCFDAYQYGTLLLVDLEDEYFEEEIRKLMDDVVISGLSLVVFADWYNVNTMAKMRFFDDNTRSWWTPVTGGANIPALNDLLAPYGIAFGDRILNGDFKLNYDWSRYASGSNIVKFPRGGYVHSFPLFDRAGNGPRDKADSAILGLVEVGEGRIAVYGDSNCLDSSHMVTDCYWLLQKMLDFTGRNIKDPVLFSDSVKQKQPLHQNDNQLPTRRTDFNFSAYSAVIGNELICQKDSRFDVWGTKGYGLQVRGRNHRLPGHHAIDLGSGLNSSADIFVRKGFKPINKNKESFSGNKFFGFLLWYFQVTGLFLASLLFLHSLAQAGGLHGNIEIILPAGHFGYSNLVRIVLA >KVI11593 pep supercontig:CcrdV1:scaffold_2823:46095:49683:-1 gene:Ccrd_009997 transcript:KVI11593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSDNHEGKSIGLSVTNDLEGGELGDIIKWRSGGVSIATEIWCYCMVAMGGKVEDLMAPTVPELREAVDEEDRWAMAKLRYVHVLDNKPNEPTTPLRCGHDMEVPDIRLNIGDFVLVLPASGIAHAARMPTPGPIMSGFRIPGLTVFGPREENAATDGAKGSPITVPLNSNAAIGVRVELMYALICSPAA >KVH94977 pep supercontig:CcrdV1:scaffold_2824:34664:46841:-1 gene:Ccrd_002954 transcript:KVH94977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5,TPR-containing domain-containing protein MEGSDFVPTSFGCTSFGRYEIALLSLGMAHFHFGHPKLALEVLTEAVRVCQQHSDDTCLAYTLTAICNLLSEVGISNMTGIMGTSDSQMTSIGTSLSVQQQLFVLLRRSLKRADSLKLKRLVASNHLAMAKFDLTHVQRPLLSFGPKASIQLRTCPTNVCKKLRLSSQLIHQFDNESSVMTIDGALSTSWLKNQRKPTTSLVFPPESGSESNCDTFYSWLQSSSVPGSVLQLVGSSYLVRVTSWELYGSASLARSNSLLFATCFADSSSSADLALAYGKLIQHLAVYKGYKGARGYGLKVTPAKHRVHLKKFLIMLSCQWFDGFLSFGS >KVH94978 pep supercontig:CcrdV1:scaffold_2824:58126:65714:-1 gene:Ccrd_002955 transcript:KVH94978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILKPQSGFVITPHKVSICILLQVYAPSGQYSVPFPFASVSQHNRLGLFLIALTKSCDDILEPTLDEFLKLLKEFGGLLDNWLSDHVTGRLSSLSSPDDLFTFFSELRGILAGPETSIVDDDQINLDPNSNLGMYLRRCLLAFNILSFEGMCHLLTNIKTYCKEAFSCPPYEMSHLDDPTNDLEAPVEYENMDLENFVLQNFTEELESRKRSTERVPFHNHSSKALSSLIEGQKGKHNHRSAESSQYMSSPTSALPNAEPSDVILQRTNWQIQGYLSEQADMIEKLGSSFPMSAFESVLKLLQKVAPELHRVHFLRYLNSLYHDDYPAALENLHRYFDY >KVH94976 pep supercontig:CcrdV1:scaffold_2824:14230:19861:-1 gene:Ccrd_002953 transcript:KVH94976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLIYYYILSAEAFVALKIAEAKFLSISKSGILPVKLQLLHERALHRGHLKLAQQICDELGVLASSVAGVDMELKTEASLRCARTLLAANQFSQAASVAHSLFCKCYKFNLHVENATVLLLLAEIHKRSGNAVLGIPYALASLSFCQSFNLDLLRASATLTLAELWLLLGSRHAKRALNLIHGAFPIILGQGGLELQSRAYIAEAKCYLSDMSISVSEDPDIVLDSLRQACEGLDAL >KVI06518 pep supercontig:CcrdV1:scaffold_2825:63806:65431:-1 gene:Ccrd_015133 transcript:KVI06518 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MAPSTSFFYPDLFFCLLLLLQASPCPAAGGSWSVLLPSIGISAMHMQLLPNDRVVMYDRTDFGISNISLPDGKCRPNSTDCSAHSVEYDITSNSIRPLMVLTNVWCSSGTLMPDGRLVQTGGWDDGYRVVRIYKSCDSCDWQEIPNGLNQQRWYATNHILPDGRQIIIGGRIAFNYEFYPKMSTTENSPSLPFLVQTNDPNVENNLYPFVFLNPDGNLFVFANNRAILLDYSKNQVLKTYPTMPDGQPRNYPSTGSAVLLPLRIKNGTVNAVEVLVCGGAPKGAFVNANNGKFDGALDTCGRIKISDPBPQWVMETMPMARVMSDMLLLPNAQILIINGVSAGVAGWELGRNPVLSPVIYQADNQAGSRFEVQNPSTIPRVYHSTAVLLRDGRVLIGGSNAHDKYEFTNVLYPTELSLEAFSPSYLDSNFSDLRPRIILPVKNSKIRYGNQLVIVFTVSGIVDPSSVFVTMVAPSFNTHSFSMNQRLLVLDGGVANKILGKSRYQVVVTTPPSGNIAPVGNYLLFVVHKGIPSPGVWVQMQ >KVI06517 pep supercontig:CcrdV1:scaffold_2825:34355:39430:-1 gene:Ccrd_015135 transcript:KVI06517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRYIPRLPSTDLQRVNVPPPDSTFLFHIKSLLPNLSTSGFQVEILDTEEPMGIPKELISVDRPDGRLRFQALIHSLVRLSLRHRLEIADKDPELQFKAPPCPVAGGSWSVLLPSIGISAMHMQLLPNNRVVMYDRTDFGISNISFPDGKCRPNSTDCSAHSIKCGYWHPQQKFIFSSPC >KVI06514 pep supercontig:CcrdV1:scaffold_2825:26731:28359:-1 gene:Ccrd_015136 transcript:KVI06514 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MAPSTSFFYRDLLFFCLLLLLQAPPCPAAGGSWSVLLPSIGISAMHMQLLPNDRVVMYDRTDFGISNISLPDGKCRPNSTDCSAHSVEYDIASNSIRPLMVLTNVWCSSGTLMPDGRLVQTGGWDDGYRVVRIYKSCDSCDWQEIPKGLNQQRWYATNHILPDGRQIIIGGRRAFNYEFYPKMSTTENSPSXPFLVQTNDPNVENNLYPFVFLNPDGNLFVFANNRAILLDYSKNQVLKTYPTMPDGQPRNYPSTGSAVLLPLRIKNGTVNAVEVLVCGGAPKGAFVNANNGXFDGALDTCGRIKISDPNPQWVMETMPMARVMSDMLLLPNAQILIINGVSAGVAGWELGRNPVLSPVIYHADNQAGSRFEVQNPSTIPRVYHSTAVLLRDGRVLIGGSNAHDKYEFTNVLYPTELSLEAFSPSYLDSNSSDLRPRIILPVRNSKIRYGNQLVIVFTVSGIVDPSSVFVTMVAPSFNTHSFSMNQRLLVLDGGVANKILGKSRYQVVVTTPPSGNIAPAGNYLLFVVHKEIPSPGVWVQMQ >KVI06516 pep supercontig:CcrdV1:scaffold_2825:46823:48448:-1 gene:Ccrd_015134 transcript:KVI06516 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MAPSASFFYFDLLFFCLLLLLQAPPCPAAGGSWSVLLPSIGISAMHMQLLPNDRVVMYDRTDFGISNISLPDGKCRPNSTDCSAHSVEYDIASNSIRPLMVLTNVWCSSGTLMPDGRLVQTGGWDDGYRVVRIYKSCDSCDWQEIPNGLNQQRWYATNHILPDGRQIIIGGRRAFNYEFYPKMSTTENSPSLPFLVQTNDPNVENNLYPFVFLNPDGNLFVFANNRAILLDYSKNQVLKTYPTMPDGQPRNYPSTGSAVLLPLRIKNGTVNAVEVLVCGGAPKGAFVNANNGKFDGALDTCGRIKISDPBPQWVMETMPMARVMSDMLLLPNAQILIINGVSAGVAGWELGRNPVLSPVIYQADNQVGSRFEVQNPSTIPRVYHSTAVLLRDGRVLIGGSNAHDKYEFTNVLYPTELSLEAFSPSYLDSNSSDLRPRIILPVRNSKIQYGNQLVIVFTVSGIVDPSSVFVTMVAPSFNTHSFSMNQRLLVLDGGVANKILGKSRYQVVVTTPPSGNIAPAGNYLLFVVHKEVPSPGVWVQM >KVI06515 pep supercontig:CcrdV1:scaffold_2825:3785:5410:1 gene:Ccrd_015137 transcript:KVI06515 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MTLSTSLHYHPLLFFFLFLLHAPPCPAAGGSWSILLQSIGISAMHMQLLPNDRVVMFDRTDFGTSQISLPNGKCRHNSTDCSAHSVEYDVASNSIRPLMVLTDVWCSSGALMPNGRLTQTGGWGEGFRVVRTYRSCDACDWREIPNALNEQRWYATNHILPDGRQIIIGGRQAFNYEFYPKASTTENSHSLPFLVQTNEPNIENNLYPFVFLNPDGNLFIFANNRAILFDYSKNQVVRSYPTMPGGQPRNYPSTGSAVLLPLRIQQGTVNTVEVLVCGGAPKGAFVNALNGKFDRALDTCGRINISDQNPQWLMETMPLARVVGDLLLLPNGHVLNINGASAGSAGWELGRNPVLNPVLYRPDHPVGSRFQVQNPSTKPRVYHSTAVLLRDGRVLVGGSNPHDKYVFTDVLYPTELSLEAFSPSYLDPRLSTLRPNIISPKTKTKMHYSKKMVIRFTVPGPVNPSLVSVTMVAPSFNTHSFSMNQRLLVLPSSNSSKVVGQSYYTVDVTAPPSGNIAPAGNYLLFVVHNEIPSPGIWVRVR >KVI11170 pep supercontig:CcrdV1:scaffold_2827:8030:19911:-1 gene:Ccrd_010422 transcript:KVI11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase-like, alpha/beta/alpha MGTSWKLADHPKLPKGKMVAVVVLDGWGETSPDKYNCIHSAPDKWRLLKAHGTAVGLPTDDDMGNSEVGHNALGAGRIYAQGAKLVDLALSSGKIFDDEGFNYIKQCFANNTLHLIGLMSDGGVHSRLDQLQLLLKGASEHGAKKIRIHVLTDGRDVLDGSSVTHAETLEKDLSELRGKGIDAKIASGGGRMYVTMDRYENDWQVVKRGWDAQVLGEASNKFKNAVEAIKKLREAPNANDQYLPPFVIVDDGGKAVGPIVDGDAVVTFNFRADRMTMLAQALENEKFDKFDRVRMPKIRYAGMLQYDGELKLPSHYLVSPPLIERTSGEYLVNNGIRTFACSETVKFGHVTFFWNGNRSGCFNSEKEEYVEIPSDSGITFNVKPKMKSLEIGEKAHMVGHTGDIEATIIGCKAADEAVKTILDAVEKVGGIYIVTADHGNAEDMVKRDKKGEPLVGKDGKVPVAVGGPGLAGGVKFRKDVPNGGLANVAATVMNLHGFVAPNDYETTLIEVVA >KVI11108 pep supercontig:CcrdV1:scaffold_2828:49381:52922:1 gene:Ccrd_010484 transcript:KVI11108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVVVGGSKERRLGEMANEQEGRGSSKGFTFLGGRRSIFAIRRSSSSSSISLTDFRSSCCVKKSPRCRMRRDKTSATNRLITSKDHASVQINVGHVDETGRYTGQFSTFALCGFVRAQGDADSALDRLWQKKKVEARQ >KVI11109 pep supercontig:CcrdV1:scaffold_2828:4897:5579:1 gene:Ccrd_010486 transcript:KVI11109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLVGSEEIELLRSELSEIGRSVRSSFRHHTSSLLTNSDISPGNNHDEEVLQWAAIDRLPTFDRLRSSLFDEENGDGHDVKGKKVVDVTKLLAPERHMFIEKLIKHIENDNLQLLQKLRKRTDKVGVQLPSVEVRYKNLRVEAECEVVHGKPLPTLWNSLQSMLS >KVI11107 pep supercontig:CcrdV1:scaffold_2828:19665:39529:1 gene:Ccrd_010485 transcript:KVI11107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWNVIWWSNGVGEAAEEVLFTVELTEVSLVTGGRIGHEEGYEGWKKKKAFKTSRLMVDIVMLPGLKSKRAKITIINDVSGVIKPGRMTLLLGPPGCGKTSLLKALSGNLNKSLKISGEISYNGYKLEEFVPQKTATYISQNDLHIPKMTVRETLDFSSRCQGTGSRAEIMVEVNRREKEGGIIPDPDVDTFMKAISIEGQKTTLQTNYILKILGLDICADTMFGDAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIIACLQQLAHITDASVLISLLQPSPEAFDLFDDIMLMAEGMIVYHGSRSNVLEYFEGFGFRCPERKGVADFLQEVMSHLIKVCYFQKIKSDYASLHFGFLSVCPQLLSVFSVSVLKTCSDFVFLFQMVDNCSPTSHSCMSTTVVSRKDQAKYWCRTEQAYSYVSVQNLSMKFKESNLGTEVNEDISKPFTRLPSHENAISCNVYSLSKWSLFRACMSREILLMKRNSFVYIFKFIQVQITLSSVMWVTFLQLLIIALITMTVFFRTRLKVDVLDANYYLGSLFYALVILLVDGFPELSMTVARLSVFYKQRDMYFYPAWAYAIPASILKIPLSMVTAIIWTSLTYYVIGYSPEPESSNLVHFPLKLTYTEIIRQKLQYYNVFPSASAIIRDALHVYIHVPVLCIIVSNCGCFYNCRQYIIFVSVVIWWLHFTIQLTDHTPEWKLVAASMPAWLKWGFWLSPLSYGEIGLTLNEFLSPRWNKMDFANGKLSNIYPREVLGHSLIDMCHGSLMKRMYILIGVLFIIINFPKEVMPTNTTIGLQILQSRGLNFDGYYFWISLGALFSFAMLFNIGFILALSYLKAPGTRAIISKEKLYQVYESEGSKNHTQRDKTTKNSSTDVSKPYEGNMVLPFEPLTITFQDLQYHVVPPPEMREHGFTGKRLELLSDITGGFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGIVEGEIKIGGYPKVQETFARISGYCEQTDIHSPQITVEESVIFSAWLRLQPEIDSKTKYKFIEEILEIVELYAIKDALVGIPGVSGLSTEQRKRLTIAVEVIANPSIIFMDEPTTGLDARSAAIVMRAVKNIVDTGRTILILLKSGGRMIYCGPLGRHSSSVIEYLESIPGVPKIRDNYNPATWMLEVTSASMEAELGVDFAKIYSTSALYKSNKEVVNTLSKPPPGSKELYFPTRFPQNGWGQFKACLWKQRLSYWRSPSYNLMRSLHMLFASFIFGLLFWDQGRKIHNQQSLFNILASMYSAVLFCGINNSSSVLPYVSMERTVVYRERFSGMYASWAYALAQVAIEFPYLFAQSLAFTCITYPMIGYYWSIYKVFWYFYAFLCTLMYFTYLGMLLVAITPNFPVAAILQSTFYTMFNLFGGFLIPKPKIPGWWIWLYYATPTSWSLNAMLTSQYGDVTKEIVVFGETKRVEAFLRDYFGYHHDELPIAFILLALYPIVLASLFAYCIAKLNFQRR >KVI03553 pep supercontig:CcrdV1:scaffold_2829:39148:41128:-1 gene:Ccrd_018149 transcript:KVI03553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MWGKENHIVEHFQKVKLNANRTVVGTLRGFDQFMNLVIDNTVEVNGEEKNDIGMVVIRGNSVVTVEALEPVSRGQ >KVI03551 pep supercontig:CcrdV1:scaffold_2829:55557:58763:-1 gene:Ccrd_018147 transcript:KVI03551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MYYKGFYHFFCQYNPKGAIWGNIVWAHSVSRDMINWMSLKPAIVPSRWFDKYGCWSGSATILPGDRPVILYTGVINEKPDPGYQVQNYAIPANYSDPYLEKWIKPNDNPILKPTKEINVSSFRDPSTAWFNNGYWKMLIGSRHYDRGIAYLYRSRDFVKWTRVEHPFHDKPGTGMWECPDFYPVSSPGRKNGLDTSMLHEDAKYVFKVSLDETRNEYYTIGEYDMANDRYLPYNTSIDGWAGLPYDYGNFYASKTFFDPVKKRRILWGWANESSTEDEDIAKGWAGIQLIPRMIWLDPKGKQLLQWPIQELETLRNHKMKLRNVKLNKGDIIKVEGITGAQADVVVRFTFASLSKAEEYNRNWDDKFPPQDLAKDICGIKGATVQGGLGPFGILTLASKNLEEYTPVFFRVFKTRDHERYKVLMCSDATLSSNNKDEYKPSFGGFVGVNLTDHRKLRLRSLIDHSVVESFGEGGKTVITSRVYPKMAVAGDAHLFLFNNGSETVTVERLKAWSMKASAMN >KVI03552 pep supercontig:CcrdV1:scaffold_2829:44963:50727:-1 gene:Ccrd_018148 transcript:KVI03552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol acetyltransferase MSADSPSDAVSRPVGGTEYSWCRAVPGGTGVSVLALLFSKSPNLSHLQTCIHKLQDSHPILRSKLRFDPSTNAFSFLTPSTPSALQIRTSDVSQSFYLTLEHEMNTNPWSHHEDQVTETDVMFASFYSLPDQKWSVILQLHTGVCDRASAAFLLRELLGLLGDGGGGEREIVKENGVGLAIEDCIPAGKANKPFWARGVDMLGYSVNSFRFSNLEFKETGKPTSTEFVRLNIGLDDTDRILSGCRSRGIKLSALLAAAGFIATYASKNLPDDKWEKYSLATLIDCRPLLDPVLSDHHIGFYHSAVVNSHDVKGKEDLWDLAQRVHTSLETAKNNGKHFTDMADLNFLMLKAIDNPGLTQSSSLRTSLISVFEDAISQNTEQFHKDVGLLDCIGCASVHGVSPSLAMFDVIHDGELDCVCVYPSPTHSREQMQDFVDHMKKILLGSADTPQ >KVH93527 pep supercontig:CcrdV1:scaffold_283:22011:26376:1 gene:Ccrd_004422 transcript:KVH93527 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIF system FeS cluster assembly, NifU, C-terminal MQTAAVIFSRPQQTLDNSSSLPSSTSYSTINPFLKITSGFLGTRISHATRGSGSSKIRFPRREVVKAVTTPESVVELPLTAENIEMVLDEIRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIERRLMEKIPEIVAVEPIPDEETGLELNEENIEKVLEEIRPYLVGAAGGSVELVSIEEPIVKVRLTGPAASVMTVRVAVTQKLREKIPAIAAVQLLQ >KVH93531 pep supercontig:CcrdV1:scaffold_283:185745:187716:-1 gene:Ccrd_004417 transcript:KVH93531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVESTILHTVVKHGNPDGVELPSYMVYLQKLNELGWPKDGPLYRIAMTLLGDKDNREPWLMILPGFAFDWVKTVRDKQGYKYVIVGLAIDV >KVH93532 pep supercontig:CcrdV1:scaffold_283:190853:195476:1 gene:Ccrd_004416 transcript:KVH93532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSVITPEDVLESLMNDGTIDTMRLKIINQLKANSDRNCKITLSLSSLQYDARLPEELKSTTIKMVEQSKVLNTPGAEKQTKRELFDALRQELEIPVLEKASKSVWELILDNNGLGREINETVEKVFCRLSGREPPLFPSNGEFQSEKGKEKEVEEGKGKEKEIQSEKDNLDSTSKKRKLAETSKVKDTADDVAN >KVH93536 pep supercontig:CcrdV1:scaffold_283:264281:273935:-1 gene:Ccrd_004412 transcript:KVH93536 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MGSVKRKSLEEGPSTSTPPPNKQQKDNNADKRLTGTNDEADAGIACVHDVSYPDNYIAVPRRSNVEEDSKPAKEFPFTLDPFQSEAINCLNAGESVMVSAHTSAGKTVVASYAIAMSLRNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVTREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNARFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYLFPTGGDGLYLVVDEKGKFREDSFQKALNALVPTNDGDKKKDNGKWQKGLVNGRAGEDSDIFKMVKMIIQRQYDPVIIFSFSKRECEFLAMQMAKMDLNEDDEKVNTETIFWSAMDMLSDDDKKLPQARLSHLSVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFSNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDDRGICILMVDERLEPSTAKMMVKGSADCLNRFGFLTLGSSCAAANPFQADRAIPDLERQMKDLQEERDSIHIEEEDSLENYYSLLQQYRNLKKDVRDIIFSPRYCLPFLQPGRLVCVRCTSNDESTLALSIEDHVTWGVIINFQRVKGLSEDDTNKSPEDANYTVDVLTRCAVSKDELLKKTIKIVPLKDAGEPVVVSVPIDSLSSVRLIIAKDLLPLENRENTLKKVSEVLSRFSKQGIPLLDPEEDMKVQSGSYRKAVRRIEALENLFEKHEVAKSPLVEQKLKVLHKKKEITAQIKAIKRSMRSSSALAFKDELKARKRVLRRMGYVTRDDVVELKGKVACEISSADELVLTELMFNGVFKDIKIEEMVSLLSCFVWQEKLQNAQKPREELDMLFTQLQDTARTVAKVQLECKVEIDVEGFVSSFRPDIMEAVYSWSNGSKFYEIMEITQVFEGSLIRAIRRLEEILQQLILAAKSIGEVELEAKFEEAVSKIKRDIVFAASLYL >KVH93528 pep supercontig:CcrdV1:scaffold_283:13973:19007:1 gene:Ccrd_004423 transcript:KVH93528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKQIVQSEPIASGLKSDARAKMDDQNPGSRKDGIVSGVAPPFSGGAISSAKGGVANKTAAEQGVHYPPTSCYDYQYPGHNGPYSQLDGSGHATSGGGAYTDSGSLLYYMPGYNPYTTGNYMGLDGQQPYFSSSEVMPCYSWNSTTYSGDTTNRNGTSMGTKSNGIKSNDLNSKRTMNSYTGKSSNYPLDMKSRQQSTSSIPKSILQSQHLRSLNKKSGERIIGEGSHDPTSSLINLTRNLSLKSNSNSNSAKPSIGDKSSTDGGAVVANVVEIMVMMDKDRKPIAVEVVVMLVMTMGVVVADSGGYGGGGGGWW >KVH93534 pep supercontig:CcrdV1:scaffold_283:218037:223900:1 gene:Ccrd_004414 transcript:KVH93534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQKKRLQFFGFVVGLIVLSFAAEKCREMVGETASSQSGKFTIFNCFDGGSGTLACVVKEGVKLYTYNIRTVHVEVARNKAIETSLADAISQGMEAKAAAQKAQKDGAKAAKLATRKTKRIVGPIISSGWDFFEAIYYGGTVTEGFLRGTGTLFGTYAIGYLGEERFGRFGYLVGSQFGSWIGGRIGLMVYDIVNGVHFLLQFGQPEENVVQFGQTDENVVEQNDSGGASFLETIMGYIGSYMSETINSEGSVEAEIYESPAYESFKSNEELYEGSVEAEIYESPAYESFESNEEL >KVH93530 pep supercontig:CcrdV1:scaffold_283:32281:50088:1 gene:Ccrd_004421 transcript:KVH93530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MTLHSWRDAYSGMSADNIKGLVLALSSSLFIGSSFIIKKKGLKKAGASGIRAGSGGYSYLYEPLWWVGMITMVVGEVANFAAYAFAPAILRLHIFGVLGCALCVVGSTTIVLHAPQERAIESVAEVWDLATEPAFVLYGVLVLVAVFVLVLHYIPLYGQTHVMCYIGVCSLVGSLSVMSVKALSIALKLTFSGTNQLVYPQTWAFTVCVLLCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLTILASVIMFKDWDGQDPTQIITELCGFVTILSGTFLLHKTKDMADGSIRFSKHMDEEEGIPLRRHDSSNLRLP >KVH93529 pep supercontig:CcrdV1:scaffold_283:54389:62048:1 gene:Ccrd_004420 transcript:KVH93529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Etoposide-induced 2.4 MENLMRSYRTKVKQASVLWLEGFRQACCLHRVVIYCLRSKQLMIRTGQCFLLNGFIFLGSIFILRSLILPTLQWILPFGVSDGYQEPCLPQMPIRLYNDIAQFGLTVTGKDGSTNLAPSSQKEPSTSQNATHVDKPTDLGRYKWNFSGLSLDKRLDFFESNWAFFAGFGSPCVLAIFFFSPLVSYGVMAVLFPMFVLTAAGSDADSVVNSYKTKWSSQELRKLPIFYAADKLLMRILSLLPVEPSAQASDKKSL >KVH93525 pep supercontig:CcrdV1:scaffold_283:314975:320490:-1 gene:Ccrd_004408 transcript:KVH93525 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRC domain-containing protein MGEEKDQEKERGVVMDTPERTQIANPLSKFEVNWFIFLGFASSLVLLGDFFSYGLVSESGENASVVLLDAIMKIFLAFLQDSPVFNYINSLSPIKPVKSVHFTQTFSSLSFASLPSVFTSPHVSSLKDSRFLRRHQFSDPSKPELTSEEAKKAEISGGILNAAQNPLRQQRDFDTANSVSETCVLQSHGCSNLESRKLSYEAVSPDTMNRLKLDDPSASAVPFINNGPANCPLRIGTEIEGIDPLHHSKEVSGCDWDSLISDASELLNFDSPSDTVPYKGPGQNTLDPTTFPTSLTIAKPEASDGNPSEKHEDMKNAAENYATLATTSINNFEAGETAEDADNEVGSNLYRGMRRRCLVFEMMGSRRKHLEEVSSGSSLNVSESNETVLPNDNRLLPMRTSNDSSRCILPGIGLHLNALASTLVDHKVVKHESSGSGRQLTIGPPPAYRSMDSGQEPLTDVPSSEDIGTAQHGASVAEDASKALGFVVNEELSQTSPRKKRQVTGTLIVSLVRRVEHAGETEACKRCNCKKSKCLKLYCECFAAGVYCVEPCSCQECFNKPIHEDTVLATRKQIESRNPLAFAPKVIKTSDSMQEGGVGCSINCRCEGCKNTFGRKDGSEMDLEVNVVDDCEGNVTDGSLQKMAMHNEAEPVSATPATPSRFGRQSIQLPIPSKGKPPRSFLAIGSSSSQRFGKLNPFKGGGKPDKQLQTVGEDVIPEILEENGGSPISGVKSCSPNSKRVSPPHSGMGMGLSPAPGQRSSRKLILQSIPSFPCLTPKH >KVH93533 pep supercontig:CcrdV1:scaffold_283:196622:209919:-1 gene:Ccrd_004415 transcript:KVH93533 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLKALRSSSSLQQARFTTGASYLQSNSRHATKLSSPFHGVLRSVLRDKNPKLFQRVFFCSDSGDGSGSGPESVVEEVESKSAAAIVPTAIKKPDDFLTVIALPLPHRPLFPGFYMPIYVKDPKLLAALVESRKRQAPYAGAFLVKDDPGSEAAGSDTEKNIYELKGKELLNRLHEVGTLAQITSIQGDQVVLIGHRRLRITEMVSEDPLTVQVDHLKDSPYNKDDDVIKATSFEVITTLRDVLKTSSLWRDHVQTYNQHIGDFNYPRLADFGAAISGSNKLQCQEVLEELDVYKRLRLSLELLKKEMEIHKIQESIAKAIEEKISTEQRRYLLNEQYKAIKKELGLETDDKTALTDKFRDRIDPNKEKIPPHVLQVIEEELKKLQLLEASSSEFNVTRNYLDWLTALPWGSYSNENFDVLRAQQILDEDHYGLADVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRNFYRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASAMLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVETIPNPLLDRMEIIPIAGYITDEKMHIARDYLEKSTRDACGVKPEQVEVTDAALLSLIENYCREAGVRNLQKQIEKIYRKIALKLVRQGAQNEPSGLGSEHHGEAESAGESREISSDEQTQGAAKSNETNHEPGSNTTEEAEVVEPNVSTDQASDLMDSETTIKSQDNETPNTTEKVLVDEKNLMDYVGKPVFHAERMYDQTPLGVVMGLAWTAMGGSTLYIETSQIEQGEGKGALSLTGQLGDVMKESAQIAHTVARAILGVKDHENPFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMNKPVKKDLAMTGEVKEKTIAARRSGVKTIIFPLANKRDFDELASNVKEGLDVHFVDDYNQIFILAFEDLQMEK >KVH93537 pep supercontig:CcrdV1:scaffold_283:285341:297568:1 gene:Ccrd_004411 transcript:KVH93537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dymeclin MGAVPSTPRWGGELSQDTAEYLIGTFVGDKTFPLNSDYWQKLLELPFDLHWPAHRVEQACQAFARNNCSTRHLAKLLIHLTWCLQASLSTPDVQSVASIKAVNASYIASVFLKYSIEDLKSENLEDLNLSLDENEAIQHDIGKDESIINMVMHAILCYIGRVDISPKTYLLHHELLNFMLIAMSTQLLSGPSPGPNDVHPFIDAAMSEESSLVGLVVRKLLLNFITRPRTSSSASYTLLSEENRLGVLKRVGSAAANIVLLPLSYFVNSSVEASRSQLADSSINILLILIHYRKCILVESVKNSIAGVTSESLLKEETYFAENRYCKALENVRDVEFDRVDIEGNAHTGPLVRLSFASLFDTLGMCLGDETAVLLLYALVHGNSDFLEYVLVRTDIDTLLMPLLETLYDASRRTSNQIYMVLIILLILSQDSSFNASIHKLILPSVPWYQERLLHQTSLGSLMVIILIRTVKYNMSKMRDVYLHTNCLATLANMAPHVHRLSSYASQRLVSLFDMLSRKYAKLAELKNDKMQMSDSELKDDDKLPEDTSAELHIYTDFLRIVLEILNAILTYALPRNPEVIYAIMHRQEVFQPFRNHPRFNELLENIFSVLDFFNSRMDAQKLDGEWSVEKVLQVININCRFWRGDGMKMFTQLRFTYEQESHPEEFFIPYVWQLVISRSGFSFNPSSINLFPVELPVEVSFAAAEANTDQNIQLNVKEAVELSV >KVH93540 pep supercontig:CcrdV1:scaffold_283:177258:182255:1 gene:Ccrd_004418 transcript:KVH93540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MPSAKQLFRYLTTPVNTTTINDNASNTLSQTQPTLDLITKLSLRSMERKILVAVDEGEESKYALSWCLKNVVNQNFMDTIVLLYVKPPQVVYTAVDGTGYLFSTDIIATIDKYKNEVAETVIEKAKKLCKDLQNVKVEAKVEAGDPRDVICEAAEKMAVDMVVLGSHGYGLIKRAFLGSVSNHCAQNIKRPVLIVKKPKNHSANSTPTPDLVICKSKKSLKSMATKERKILVAVDEGEESKYALSWCLKNIVTEDGMDTIVLLYVKPPQAVYTALDGTGYLFSPDVIATIDKYRNDVAETVIETAKKLCRDHPKVKVETRVDMGDPRDVVCEVAEKMAVDMVVLGSHGYGLIKRAFLGSVSNHCAQNIKHPVLIVKKPKT >KVH93535 pep supercontig:CcrdV1:scaffold_283:245373:263085:1 gene:Ccrd_004413 transcript:KVH93535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spindle assembly checkpoint component Mad1 MIVRTPLLKKRRPETTGNSESPNSDGNLVIFEDLPVPDPEADPSHQHSGQMLCTYQCRQLVKADFLDALSNAEKQVQDSQSKLETMNDDMHKSEADRMKFRDQLLYAEQELAAAKGREQALQEQLLKEVNESQERLKKQIHNHSELEIKFRKEMDLRKNAESLVASAEEKASLLERKLSQVSESIERERVRLQKDLTQLKEESKLSISRISSDLERMECKANNSEKEANLLKEQLENLQRQFNECLHEKNEVEKKLSTLTCQEFPSSDDNILVKHLREELRNYESEVREARKWKSSHEDIEVLREKLLEEKGRRERAESEISKLSEEQVNGKMLEDELSSWESMMKEIPGVTCAAEIPFKFAALQREVIESMMKIGEVTARLKQVXVALDAAENGRQNAETEAALTKEKVELSKLELKRTELLLSSVTEERDRLKEDYIKKLESSILEQKEINARQHNEIKVLNERLNSESRRIKSLEREDDRLRSEIAILESKVGHGDFSSASTKVLRMVNSLAVDSEAKQTIEALQNELQKTKEKLQAIEELKKQSGDAGTLVDSYISGKIVQLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDDHQRSDGIPVTRFTLQSIYAQSDDEKLQFEYESGNTKILGNNYTQQPEISHQVEIFIRKLNSIPAFTANLTVESFNKRTLS >KVH93538 pep supercontig:CcrdV1:scaffold_283:301452:306638:1 gene:Ccrd_004410 transcript:KVH93538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTAFYVSRLRYMSIRWPRILTPTYLSQIIRNQKNSLKALHIFNEARNKYPNYRHNGLVYATMINKLGMSDRIVDMKEVINQMKDDSCECQDSVFSGAIKTYAKAGMLNEAISLFRNLHQFNCVNWTESFNTILQIMVKESNIESAHRLFLENFSRWEVKSRTHSLSWLIDVLCQKKRSDLALQIFQENNNCYCYPTRDTYRVLMKGLCEDGRINEAIHLLYSMFWRISRKGSGEDVLIYRILLDTLCAYGHVEEAADILNKVLRKGLKAPKRKRMQLDFTRCRNGRDIESAKSLITESLIKGVIPSYDSYNSMAVELYAEGNLSGADKVVQEMHDQGFRPTALIYEAKVIALCRKGLIDEAEKVISKEMVEANCVPTVGLYNSVIKGLCSANRSTEALGYLKKMSRQLGCVPNKETYSILVDGLCEDGTYIEASEVMEKMLVKSYWPNTDTFGRVIRGLCLMGRPYEAVIWLEEMVSQEKMPEFSAWTSLVDSVVYDAIDVEVLSEIIES >KVH93526 pep supercontig:CcrdV1:scaffold_283:313416:314773:1 gene:Ccrd_004409 transcript:KVH93526 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERG2/sigma1 receptor-like protein MNVDRKLMATTVQTPKSSVKSSSTRCLSTRCRRDANCKCDICIASFNATLDLLPMSVQRSSLTRLSSSKPSPPQTPIFCNPSTDSTPESETSRLMVSPPLNSTLRTDFRQKIKRKKIEFGDSVMMMKWFLVLCLILITKFGFSFVTSRVMKAKLSLEIVRNLSEKSQGFHDVNERLEFLNGELQDLVGDGVPESSSTNPNCEIVQDGLILRSRCQLYKSGMEEVSIWGWPLQTAGLLTTKFASRSFTILSGRVTEWSNGELSCLIRKANTSWEQEKWSASLWRLDENTWILEYKRSFVFDNAKPFSSAMEFLKFRMMSAFQWMKQLWRFSLCFVDGNHLTPT >KVH93539 pep supercontig:CcrdV1:scaffold_283:94200:96968:-1 gene:Ccrd_004419 transcript:KVH93539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MERTDLQSNNKQSSTAPTSNTPQPHHHHHHHHHLHHQPMVVPFDGRSVAPFMGSISIQPTPTTTSGGSSSLSSPSNQHPPSASTSSATQLSPPHPHHVDASLAIATRSQDLAKETPQPVAAVAPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPHQFHGALALAHHPFEEGFSQMLGFHQHQHHQNPHILTADQIAEAISTGGNGDSTENYMRKRYREDLFKDESNNQNQGEVTVGSTSPSNKQFKGSMEMPKQQEAGPSSGNNILRHHANMMPATAMWAVAPAPTSATGNTLWMLPVSTTGLTVSSSSSQHSHLPAAASESQQHHHHHHQQMWPFPTAQAVGGGNTLQAPLHFIPRINIPTTNLEFQQGARGNPLQLGSMLMQQQPSQHLGLGMSESNLGMLAALNAYSRGGLNMNSDQNHPLDHHHHQQPQQQQQHHSQTTDSGDEDQNDST >KVI04298 pep supercontig:CcrdV1:scaffold_2830:59345:64604:1 gene:Ccrd_017392 transcript:KVI04298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MGKDLAVENLQVIFKGYTDGSPVETDMELKHGNLIKLEAPQGSNAVLVKNLYLSCDTYMRGRMRDRHDSFIPPFVPGSAIEGYGVAKVVDSDCPDVKSGDIVSGITNWEEYSLIQNTGQLKKIQHVDGIPLSYHLGVLGMPGFTAYAGFYEACAPKKGDYVYVSAATSAVGQLVGQLAKIHGCYVVGSTGTSQKVELLKNKLGFDEALNYKEELDLEAALARYFPQGIDVYFDNVGGVMLDATIANMRNHGRIALCGILSQNNRNDPQSFRNMFSVISKRITMKGFLQSDFLHLYPRFLEDVTHYYKQGKIVYLEDMSDGLESAPAAFVGLFSGKNVGKQVIRVASE >KVI04297 pep supercontig:CcrdV1:scaffold_2830:54009:58808:1 gene:Ccrd_017391 transcript:KVI04297 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MTLQLINRFHRIYFVSIDLQSLIQFDQWQKQLGLPTSQEMKRFIAELIEVPAVILLYSLFQKDMNQSSYLKAVARGLLGLPVGGMKVKVDREESSPYAAMLAAQDPDESIYLKINNKILGLGMRLDRSDLNLLYKIPNAYEWLLLDAIGGERRLFIRCDKLDAAWSIFMPLLKELEAKKIAPDLYLYGSRGSVGAHCLAANYNVRWGDLAGDN >KVI05229 pep supercontig:CcrdV1:scaffold_2831:71086:72032:-1 gene:Ccrd_016440 transcript:KVI05229 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKASMMSMIDKRMIAMKTGKDDLLGILLDSSYEEIKQHGNSNFGLSIEDFIEECKHFYLAGQKTTKDLLVWTMVLLGQHPDWQARARDEVLLVFGKEKPDINGLNRLKIVSMIFNEVLRLYPPIKTLERMVHEDTKLGNIILPAGSPLRLHLLLMHHDKEIWGDDAKEFKPERFFEGVSNATKGQSSYLPFGGGPRICIGQNYAYLEAKVALAMILQEFCFEISPSYSHAPHTIIPLQPQFGAHLILRKL >KVI05230 pep supercontig:CcrdV1:scaffold_2831:1908:55249:-1 gene:Ccrd_016439 transcript:KVI05230 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKVSVTGCGVGIVVIVLVVWYGWRFVNWVWLKPKKMEKYLREQGLKGSSYKFFFGDMKEMVKMAKEAKKSGPMINITNDIVTRIQPFVHKTINTYAFGSSFEEGKRIFELQQELLGLVLETIQSIYIPGSNLLPTKRNNRIKEIDREMNALITSIIDKRMIAMKAGENSKDDLLGVLLDSNSKEIKKHGSSNSGLSIKEIIEECKFFYIAGQETTGNLLVWTMVLLGQHTNWQARARDEVSLVFGKEKPNIDGLSCLKILNMIFNEVLRLYPSVATLGRTVNEDTKLGNIVLPAGTYVFLHMLLMHHDEETWGDDVKEFNPERFSEGKNFAFTWLGPRPCVHITEFTMIKEILANYNKFPKQTGGNPSKKFLIKGIVATEGDQWVKHRKIINPAFHVEKLKSSEHHHKFTKLAHSFSTAMEETTVVCVIGVAGILTIYIWRVLNFLWFKPKKMEKFLRDQGLKGTPYRFMVGDSKELEKMINEANSKPMSLNHDITPRVLTFFHKSIITHGKTCFTWMGTRPMVNISEPTMMREVLANYHQFQKPKGGNPLTELLGRGLINVETDQWVKHRKIINPAFHVEKLKHMVPAFFVSCSEMIHKWDDMVTKESSCEVDVWPHLQTLTADVISRTSFGSSFEEGRKIFELQRELGEMIMKAAIYLKWGYHYFLAFKLIKYDSNIFLPTKSNKRMKEIDREVKVSIKSIIDKRVVAMKAGKSINDDLLGILLDSNYKEIKQEGNSNFGLSIEEVIEECKLFYFVGQETTANMLVWTMILLGQHKEWQTRAREEVLQVFGQERPDIDGLNHLKIGNMIFNEVLRLYPSAILLRRSVQEETKLRNIILPAGALIQLNMLFLHHDQDIWGEDVNEFKPERFSEDDEASSDCKVSAKPREGNPLTKLLETGLLYAEADQWIKHRKIINPAFHVEKIKRMVPMFYVSCNEMILKWDEMMNKXNLLEVDVWPHLQTLSSDVISRTAFGSSFEEGRKIFELQREQAELIIKAVNSIYIPGSRFLPTKNNKRMKEIDQEVKATINNIIDKRVVAMKAGETLIDDDLLGILLDSNYKEIKQQGNDGFGLSIEEVIEECKLFYFAGQETTANMLVWTMILLSQHIDWQSRAREEVLCVFXEKIPDIDGLSRLKIINMIFNEVLRLYPPAGLLRRLIHDETKLGNITLPTGTLVQLNTLFLHHDQDIWGEDVKEFKPERFSEAILTLYVWTVLNFLWFKPKKMEKLLRDQGLKGTHYRFMVGDLKQLEKMTKEAKSKPMSLNHDIAPRVLTFFHKSIITHADVISRTAFGSSFEEGRKIFELQRELKQMIIIAEMSIYIPGSRFLPTKSNNRMKQIDQEVKAMIKSIIDKRVVAMKAGXSINDDLLGILLDSNYAEIKQZGNSHFGLSIQEIIQECKLFYFAGQETTVNMLVWTMILLGQYKEWQTHAREEVLQVIGEKRPDIDGLNHLKVGSSSEHCTSTSIPQFLLQFPHSQDSDYYTMHTISKMEVNATWFGVGIVVILIVIVVWYGWRFVNWVWLRPKKMEKYLREQGLKGSTYKFLFGDMKEMVKMTTEANKSGPMINLTNNIVTRIHPFVHKTVTTYGKNCAFTWLGPKPFVHITEPAMIKEILTNYNQFPKQMGGPFLKLIFKGLVAAEGDRWMKHRKIINPAFHVEKLKMIRKWKDMLKEEGSCEVDVWPYLQTLTRDIISRTAFGSSFEEGKKIFELQQELAHLALEALQSFYIPGSNLLPTKRNNRIKEINIEMKASMMSMIDKRMIAMKTGESSKDDLLGILLDSSYEEIKQHENSNFRLSIEDVIEECKHFYLAGQETTKDLLVWTMVLLGQHPDWQARARDEVSLVFGKEKPDISGLNRLKIVSMIFNEVLRLYPPIKTLERMVHEDTKLGNIILPAGSHLHLHLLLMHHDEEIWGDDAKEFKPERFFEGVSNATKGQSSYLPFGGGPRICIGQNYAYLEAKVALAMILQDFCFEISPSYSHAPHTIITLQPQFGAHLILHKL >KVH87633 pep supercontig:CcrdV1:scaffold_2832:46501:61559:1 gene:Ccrd_025082 transcript:KVH87633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MMMTNGGTSYEWQFDVDDDVDLTADDGAMSMDIESFFSILEERPPDDCSQNAFVDPSLSIAPCNESALHIGVIEHSQTHDDHSEASASRTVCSSGSSDCERQTDNHGLTKVGPMPDVSSMHFSSWGPGDWMVPVPPGDGTSCLPNNVVSRGFSSSSHYDTRETNFERAARGGTFGISDGNGDHQRMDKYQIADGIYCNSVAEHCRTSEAHDSVESPFNDVTGYSDIPCNPDDKSSMPNIMNESEPSYSGLSRHHQISGPHPVIYNNGLLYNDKIASEQHLMHNASINSASDETQAESIRGGSLVYQSSGTRSYXSAKGSTTCLPSSESSTDTPAKGSITCMLPNRRQMISIMDAKGDVPVAALGAAHKSFELVDDVDSEKSYDVADWQFTDKDLLQSFSGVLDSVSRKDHAVKGEQDAKHSRHFINIIDNTCMSNGAFADNRCLNEQSLAKSFVQRDASIEQQQVSTKEERESTFVARANMGSVPPKTSHQAVGNSASGNVTYIDVDDPDICILEDMSEPAPRKQSPVDGKSPISAQRSSLSAPPTHMGFNNARLKANDERFIYRVALQDLSQPKSEVSAPDGSLVVSLLRHQRIALSWMVQKETKSLHCFGGILADDQGLGKTISTIALILKERSPSSSVCTTEVKKIETETLNLDDDDDDAVTELDKSKQKVNSYVMETNGSSIKFKSSSMQTKSRPAAGTLVVCPTSVLRQWNDELHNKVSSEANLSVLVYHGANRTKDPFELAKYDVVLTTYAIVSMEVPKQPLVDEDEDETRRRNDFLPVGISPGKKRKYPPSSSKSSKKDKKGMDNELFESLARPLAKVRWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYKSFCSTIKAPIQRSPGTGYKKLQAVLKTIMLRRTKATLLDGEPIISLPPKTINLKKVDFTAEERDFYCRLEADSRAQFAEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVKGCSSNSEWKSSIDKAKKLPPEKRSRLLNCLEASLAICSICSDPPEDAVVTTCEHVFCNQCILEHLSSDDSQCPSSKCKVILNTSSVFSKSTLRISLGDQYHQGNALDCSGSLKAEIFEPCSSSGSVNSSNIEAAEALDSSKIKAALEVLQSIAKPRDVAINAGSFGSPEKCSSDPSGVFDKGANVKGSLVVREKAIVFSQWTRMLDLLEACLKDSSIGYRRLDGTMSVVARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVSVLRLTVKDTVEDRILALQQKKREMVSSAFGEDETGSSQTRLTVDDLKYLFQA >KVI10785 pep supercontig:CcrdV1:scaffold_2833:62186:70034:-1 gene:Ccrd_010813 transcript:KVI10785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MQGQRSIFDPFPEVVDLNQGSNSNSTSMDEADDWNMLSPMERRLLNNDISSELNFSCVNTNGHNSFRSFSSWDVGESSSRANLQDHVSNDGLKGEQNWSPLGTHDSRLEEQQPGSSTIHPHDSIVGGQAAIGPSNMQSSVSNHIPMNVNLNVGYGDTNVDDDGMEFMDLYKSGRPETEASASSAGIGTSDCGPGSSLGSWGLSCKRKSLEGTSGQSLTGGSSSCFPQAEDIARRTVPICYNASSSLTISSALVNPVSVNRHEQPNTRLGAGMRGVVASDAFPSSSVTGVPESSHTHFGMRGSVPYNMSSTGNPTRRPNISSSHQPSNRQILLNEFLDQTSRGPIVIPANSSNPSNQQPPLMHVPSMPRNAHHFPWGSNIGSRSGSSSSSAALPLERGSEANFRSLLRNNVQYHNFVPSSETRNLVQDPTNWSLATGSANTNGGGAPSSSRNGTGSGGSRSFNAVWTPQHNPTTQSQQRLPEFSPWTLFPSAEAESGNQRGYFSSFPAGSSSTSEDNHITSGISSQRHHHQPFSRSALLMEVPGDDWRALAADIEGRQRLVSEIRQVLNAMRRGENLRAEDYMLFDPFINGVAELHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEEVILKSMRQRKHIAFMAISTQNLEPCCICQEEYDTGDNIGSLDCGHDFHTDCIKQWLAQKNICPICKMTGLAT >KVI10784 pep supercontig:CcrdV1:scaffold_2833:47398:48645:1 gene:Ccrd_010812 transcript:KVI10784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MPGSLEPLDLGVQIPYHFRCPISLELMRDPVIVGTGQTYDRSSIESWAATGNTTCPVTRLPLTDFTLIPNHTLRRLIQEWCVANRSYGVERIPTPKQPADPVMVRSLLNQAASESNSRHARLAVLRRLRGLARDSDKNRSVISTHNAREVLLGVLFSETNSNSSELNLESLAVLSSFPLSESECLLVSSDPDRISYLISLLSHSSIDVRVNAASLLENVVAGTRSPEHRAEISNRDEIFDRIVGILNYPLTYPRAMKVGIKALFALCLVKQHRHKAVEAGAVEALIDRLADFEKCDAERALATIELLCRIPQGCAAFASHALIVPLLVKIILKISDRATEYAAGALLSLCSAAEGLQREAVAAGVLTQLLLLVQSDCTERAKRKAQMLLKLLRDSWPEQSIRNSDDFACSDVVPF >KVI10786 pep supercontig:CcrdV1:scaffold_2833:12918:17904:1 gene:Ccrd_010811 transcript:KVI10786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase C, phosphatidylinositol-specific, X domain-containing protein MERIKFGCNVFLATLFSTLVLFTYASALKEGQTCVNNNNCDSGLHCEACVTDGNLRPRCTRIQPLSPLSKVKGLPFNRYSWLTTHNAFARLGEKSDTGSIVLAPSNQQDSVSSQLNNGVRGLMLDLYDFENDIWLCHSFGGKCFNYTAYQPAINVLKEVRDFLEKNPTEIVTIIIEDYVTSPNGLSNVFNAAGLRKFWFPASRMPSDGRDWPTVDSMVRQNLRLVVFTSKSSKEKTEGIAYEWKYLYDCSKWTEIADGTDGMKSGLCPNRAESAAMNTKSRSLVLLNYFPDTPDITQSCKYNSAPLISMMNTCHDLAGKRWPNFIAVDFYKRSNGGGAPAAVDMANGELVCGCNSIAVCRPNMTFGMCNLPEAGAAPAPTTAGKSTTNTGSQLVRFDWLFGTGLMAITLSFVKLI >KVH95046 pep supercontig:CcrdV1:scaffold_2834:46944:52001:1 gene:Ccrd_002884 transcript:KVH95046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGIQTMGSNGGTQKPMLDGLARQGSLYNLTLDEVQQQLGDLGKPLSSMNLDELLKSVWTAEANQGMNNGPFDHTELTQLASASSLPRQLSLSLATDLTKKTVDEVWQDIQEGQKKKKKDTDDNDINIRTSFKDFGRKGSRERQPTLGEMTLEDFLVKAGVVAESSPGKKKQVLVIGVEPIGSQDTQEAQWMQYQVTPIQQQHVVMPGHYQVQPSLAIAANPVMEMGYPETHMTMSPTPLMGGLSDAHLAGRKRVASGVVIEKTVERRQKRMIKNRESAARSRARKQAYTHELENKVSRLEEENERLRRQKGGLDHRFQQTTESSRQLQAESFRKYPSHGSEVEVEKVLPSVPPPETKYQLRRTTSSPF >KVH95048 pep supercontig:CcrdV1:scaffold_2834:31271:34485:1 gene:Ccrd_002882 transcript:KVH95048 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MALYTAVTDAINQYTGLSPAAFFTISAMMVVAYKVVTTMFVAADDYVAVKREHNISLREPVQVGSITEEELRPYNGSDPNKPLLMAIKGKIYDVSRSRMFYGPGGPYALFAGRDASRALALLSFEPSDLTGNIDGLGASDLEVLEDWEAKFEEKYVKVGQLISEKASTDDNKLHGDQIKAD >KVH95049 pep supercontig:CcrdV1:scaffold_2834:37001:46447:1 gene:Ccrd_002883 transcript:KVH95049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane protein insertion efficiency factor MALVCSLNVHPISFAPIINPNRTSSPKFSIHHKTPRSPPRFPVRLRSRFRRSSCLQFPIVGELGKESDQSRTQGDNKEDFGVKVALSMLRFYKREISPLMPNSCRYVPTCSEYSMTAYKKYGVIKGSILTTWRLCRCNPLGGSGFDPPRWFDEPTPPEQ >KVH95047 pep supercontig:CcrdV1:scaffold_2834:54333:59215:-1 gene:Ccrd_002885 transcript:KVH95047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MAEGKEKAKRLYQVWRGTNRFFCGGRLIFGPDVASLILTLILVVGPALSFCIKVYHTIKVHEKDHKDGRYWYVVLLVAADILFLFLTSSRDPGIIPRNSTPPDSEEAFDMNTPSMEWVNDRTPHLRLPKTKEVLVNGHSVKVKYCDTCMLYRPPRASHCSICNNCVQRFDHHCPWRNYRFFYMFISTSTILCIYVFAFSWVHIAHQGPSVLKAMSQDVLSDFLIVYCFIAVWFVGGLTIFHFYLMCSNQENPYHKGIRRNLIEVFLRKIPPSLNDFRAFVHEDENMVMEPTGSDLVRTSKEKIDIEMGNKFSELSGISLPEILQNLRYDELEGNSKSKDGIVDLDLHPSPFLFERKEDDKCDELIIAHETETVHQ >KVH95045 pep supercontig:CcrdV1:scaffold_2834:66237:66819:1 gene:Ccrd_002886 transcript:KVH95045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIGRLLVSDAIMSFMWVWSSVIIKIFVHKVLGFSFHGFPAEIFRCSLSVLNMFFFAFLAKLTNGAAYNPLTVLSSAISGNFTTFLFTVGSRIPFQMHIGVSS >KVH89719 pep supercontig:CcrdV1:scaffold_2835:42559:43042:1 gene:Ccrd_008287 transcript:KVH89719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESDTNALENMNMEHDDDFLTEVNVPSIPSPYNTTSHSSIPNEGEGTSKKRKRTNEISKLVKETKNEIHETTNQMKRPSYYRFWKSLDDEVKLEFVKSIDDENK >KVH96163 pep supercontig:CcrdV1:scaffold_2836:51803:55864:-1 gene:Ccrd_001754 transcript:KVH96163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MRKHGWQLPYHPLQVVAVSVFVALGFGFYVFFAPFVGKKMYQYIVMGIYTPLSKKYRKTTDIKRDIRKEGSKLSTPSIKDANAPSKDRKSTDSRTKDYTSETEKDSKPSSCFMPVLALVPCALMCHCSSPDDESSELQTSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYTKFFALMVSALFLLVLQWSTGIVVLISCILDHKRFSIEIAAKLGSSFTLAPYVAVVGISTYDYIIALREQEHQGFGGPQSPQMSPASSLTGLSSVSSFNTFRQGAWCTPPRLFVEDQTLDDPIKKKNPTAVKISPWTLARLNADEVSKAAAEARKKSKILQPVSRKEAGQMGSNRIDSDRSFGRSDRQMSSRFDNNQRVGSQWLGVPDSKNSNNIGTRLVPLQLEARSVFRTMSNSTGIVGSSPDSSLDSPDDHPFMGSSGADETGMPTDLTVSGSLKQALTRSMSDGYDASGGEDSDRVPSRLVHRSGNSSARLFEADQDDRIARLKMPSSSKFSYPNERKI >KVH96161 pep supercontig:CcrdV1:scaffold_2836:796:2698:1 gene:Ccrd_001748 transcript:KVH96161 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCK-like protein MSTPHPDKPQNQDQDRTDPSPPHEETEDEGECGFCLFMKGGECRENFIDWEKCIEEGEKNNEDVVEKCFEVTSSLKKCMEAHPDYYGPILQAEKAAQQEAINQLDKEKEAMAAASDTEKQQQNH >KVH96166 pep supercontig:CcrdV1:scaffold_2836:16646:24324:-1 gene:Ccrd_001751 transcript:KVH96166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSGSEGSSGHSGDAPSKRKDKAKVSRTSLILWHAHQNEASAIKKLLEEDRSLVHARDYDNRTPLHVASLHGWIDVAKCLIEYGADVNAQDRWKNTPLADAEGAKKHNMIALLKSYDGMSFGQNGSHSEPRPVLPPLPNKCDWEIDPVELDFTSSHIVGKGSFGEIAKASWRGTPVAVKRILPSLSDDRFVDFRHEVNLLVKLRHPNIVQFLGAVTEKKPLMLVTEFLRGGDLHQCLKEKGALSPTMAINFALDIARGMAYLHTEPNVVVHRDLKPRNVLLVNSNADHLKVGDFGLSKLIRVKNSHDVYKMTGETGSYRYMAPEVFKHRKYDKTVDVFSFAMILYQMLEGDPPLSNFEPYEAAKHAAEGLRPNFRAKSYSPELRELTEHCWDADMNKRPSFLEILKRLEKIKERMADHHWHPF >KVH96164 pep supercontig:CcrdV1:scaffold_2836:49746:50819:1 gene:Ccrd_001753 transcript:KVH96164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSIPKPSTLVTALSSLPTVLPPHRPHPATMAAIPAHTLNLCSVLSEAKRIVNAHSRHFLALSVVFLLPLSFSLIIYPTLQLSLTQIDVVTTQFFIVDSPDLRQSLTIPLLYILFVYIFFLGAIATITYSTFHGFYGRPVRFFPAMKSLGFSFFPLVSTTIAAQLLLGLISLTFLLFFVVIIKTVENLGSEIDYNSNYFIVFCAFVAAVLCLVIIYFQVNWSLACVIVVAESKWGFEPLWRSSYLVKGMRSISLSLLLFFGVLIGFWVWMNSNNVLHFDAVGGWRSWPFVLQMVIGTSLLTLLLLHNTASSTVFYMYCKALHGELATEIAEEFAREYVSLPFDDQKVPHIVTVVPT >KVH96162 pep supercontig:CcrdV1:scaffold_2836:5706:8892:1 gene:Ccrd_001749 transcript:KVH96162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco LS methyltransferase, substrate-binding domain-containing protein MATFFSTVFSSPNPLRSKSILHYNQQLTVKCNAAAAPPLPTEVRTFWKWLCDEKVVSSTSPAKPGTVPEGLGLVAERDIGRNEVILEMPKKFWINPDTVSASEIGKVCNGLKPWISVALFLIREKLREENDYSPWRNYIDILPEYTDSTIFWSEEELCEIEGTQLLSTTLSVKEYVKNEFLQVQEQVILPNKRLFPFVVTFEDFIWAFGILRSRAFSRLRGQNLVLIPLADLINHSPSITTEDYAYEIKGAGLFSRDQIFSLRTPIPVKAGQQVLIQYDLNKSNAELALDYGFIESTPDRNTYTLTLQISDSDPYFDDKLDIAESNGTKAVQYFDITLGRPLPPKMLPYLRLVALGGTDAFLLESIFRNSVWDHLELPVSRDNEEVICQVVRSACQSALSSYRTTIEEDEKLKGGDLDRRVEIAVGIRSGEKMVLQHIDGIFKEREMELDEYEYYQERRLRDLGLVGEQGDIIFWEPK >KVH96165 pep supercontig:CcrdV1:scaffold_2836:26520:36403:-1 gene:Ccrd_001752 transcript:KVH96165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPNKDIFEILKISYDCLQPDEQEMFLDIACFLRGREKDEVLEMLNARGFHTDILLDVLIDNSLVRVSNGNLQMHDLVQEMGHWIVRKEHNKHSRIWQAGELLKICTGDTPMKKKVIKGIQVRFDDECPIDFSDAFTDMVDLQFLDISIFPLWKDVPDVKEPNVLPDSLQWLSWSNYPGKSLSPGFKAKNLAGICVTSSKIVQLWEEDKVIDKLSNLKMLDLSGSKYLTSIKSFSSFPNLERLRLQKCRSLKVIDPSIGHLNKLISLDMSCCSELKEFPPIVQMASLEVLIFSGCSKLKSFPDIVNKLDSLKHLSARHSGIERLPFEVARISGLISIDLSYCKSLKSLPLSFDQCFVGMRGGENGFFHYRKRVGLLAGQISELLKKTEATKVTKIKGIQVRFEDERPIDFSDAFTNMKDLRFLDISISQMSKDRADDKEPKVLPNNLRWLSWSNYPGKRLPRDFQAKELVVLFMTCSKIVSLSMEHKLFGLTLTLKMLDLSGSKDLISIPSFATFPNLERLILQNCHNLKLVDPSIGNLGRLVSLDMSGCSELQAFPPIIRMTSLKFLIFSGCTKLTTFPEIQGNIDRLEHLWLQNSGIQNLPNPIGQISSLISIDVRDCKSLTSMSFEFHQLISLRSLKVSGCSRLEKLPESLGDLQFMEELLLDNTGIRELPAFIWNMMSLRTLSFRHIDKVGTSKMTKTNGKKLLLAYYQHLINGGCYDSFHE >KVH96167 pep supercontig:CcrdV1:scaffold_2836:11930:16562:1 gene:Ccrd_001750 transcript:KVH96167 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MEFGVVVFVLTLPFVAESIHFEVESGHIKCMGEDIKIDSMTVGHYSIVNPNQGHPLPPHHKINVSVYTPKGDRLHYAEVVESGQFAFGAEEDGNHLACISAIDHQPEDKIFVEFDWRSGVATKQWSNVAKKGSVDAMELELKKLADTIASIQEEMFALRLRNLEMMMINRNTNSRMGYLSLVSLFLCLSVAALQLWHLKSFFQKKKII >KVH87632 pep supercontig:CcrdV1:scaffold_2839:31677:40685:1 gene:Ccrd_025083 transcript:KVH87632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELHRGVFIAANRVRKLSERIMIKHSFSKFKNTADNPVVLDEEEDPXSMNPEACQNAEQSVPLHEETDSTNVKVPEDHANTKASSYHEIHNQEHRHIAMNPNAVQDYEQVLPVQEEDVSTHLNVLFTKDSFLFQSDDGRGVVIRSRRKRAKRNIDTVSGVVTDDVLTENVSGIGKTRRKSIALDETKDRGKDETNKGKERLEDSDASEGNQYGLENLRTRTSPRTLHQTIVSLNDAQKKVVKQMGLGALLEMTINGVPSKLGFYVVDNLDVKKMELKVVNGGIPITIESIHKLLGLRMGGFDILEMDEVEDSKNIQLTGGNRDAGFNFKLNFLVLFVNLMVECNRMGCCNFGFLSRIESEDVIPEIDWCKYIYGKIKTSKQRWRRDSRMCFYAGPLTYLALLYVEATISPKVVVEHKGHAISAWTLDLLKKRQSIEIKDRGFGLLPLRSKAESSEDVHHRYASNQENIGEPSTPTHMSKEDHVQRILIKIVVVLSARVEAEMEIKEAMSKFPDDEEFNQYKNQLDDMFKERACNKTHDTHSNGLKDHSTAKNDGQPSMDIVVSQPSGFNENPLPKIWLSPGFIEAVDKVVENTISTSKTKRQYEAITPPKFDLGISPIKQSEPLSMQRLMIRHLNDVGHPAGRELDEIGQERLRMDWQTQNNFDDCGVFAMRHMETYMGDVRTWDTGLSKEGKTQEIQIVSLRMKYVAK >KVH87631 pep supercontig:CcrdV1:scaffold_2839:41493:45847:-1 gene:Ccrd_025084 transcript:KVH87631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MNDCXGFDXGGENATAVNNETIEDQANYSMRNEDGCNDRNETISEHNDGVFVDFVDIDVASLSNEAEGIDLLSYDYVVKNKIENSYDCSCNYFVRNGILCRHALKVMLNDEVDRIPDKYILXRWRRDLVPVEWLPARFRYGEVDADKERLMSVAYSYFERILGRVRNEKHILSKFVDQIQEWDTKIDSELPIQSHAQETTASIKEFLGVSQPENIDVLPPTGIRNKGCGTVIFLRSFDNAIESQRTTHGSLEVTTKCTVPRLVSPCKLEAHAAEVVKNKIENSYDCSCNYFVRNGILCRHALKVMLNYEVDRIPDKYILHRWRRDLVPVEWLPARFKYGEVDADKERLMSVAYSYFERILDRVRNEKHILSKFVDQLQEWDTKIDSELHIQSHAQETTTSIKEFLKVSQPENIDVLPPTGIRNKGCGTGKRLISAAEKAITNGKKQKRKCRLCLQMATNDSRNCPKCDST >KVH91320 pep supercontig:CcrdV1:scaffold_284:53841:62750:-1 gene:Ccrd_006653 transcript:KVH91320 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MEEEAREQKIARKKVAVLPWMRSPVDVGSFKKCPLTQLPFLHPRLEAALCSSGIVSLFPVQVAVWQETVGPGSFERDLCVNSPTGSGKTLAYALPIVQSLSNRAVKCLRALVVLPTRDLALQVRDVFATIAPAVGLSVGLAVGQSSIADEISMLIERPKLEAGICYDPEHHSTELQSAIDILVATPGRLMDHINNTKGFTLEHLRFLVVDETDRLLRESYQSWLPTVLQFTSSSVDGLFAYANNPICEPKLKPLYLVALLQSLQGEKCIVFTSSVESTHRLCTLLKFFGDLGIKIKEYSGHQHQTVRREGGIQVVVSSDAMTRGMDVEGVRNIKGFKKLLKKADIDSCPVHTVPSDSIESLRSIYASALEKLKESVEMEAFKKRRIGFKSKR >KVH91323 pep supercontig:CcrdV1:scaffold_284:135252:147651:1 gene:Ccrd_006656 transcript:KVH91323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MAFFLDEFRHLKIELEDIKSATDNFSNDNLIGKGGFGNVYKGEISLSNGPSMVAFKRLDLDSGSGQGNVEFWREIMMLSRYSHENIVSLLGYCNEGDEKIVVYEYASRGSLDRHLSANALTWMQRLKICLGVARGLNYLHDPNPMERQQRVLHCDIKSSNILLDENWNAKISDLGLSKIGPANQKHTFHFSNVTGTPGYIDPLYLEMGVLTKESDVYSLGVVLFEVLCSRLCFKYSNGQYHSLVRMWKKSYKQKKLDEIISRDLLQQMDVNSLETFSDIAYQCLKKTRGKRPLMVDVVGKLDIALTSQKIYEGVKLSEEYEEVKLSEEYEAIIKNLDTDWEKGLPEDHEEIIKWSKDSIEWTTKKELYFLFCKGFLINNGEEWFFLAKNGKKCLFLPARALLDTSHWTFQTLPNLRFEEVALDCSRSYFTIRGEIKFQMLSPGTTYAYNLVYKITGDLDKIEEPVKVRNWNPPFSGPDGISYRYIYLLSPQSSVIGSNVDENSHNPPISQMPKIKGLPRLRNDGWMEVQIWEFETSIRVDKIEMYFTLEKESKRWFRGISVQGIETSSIAVEGASKYIVSTEPTSKSTKLADATIDDTPEFHVKRTVTSTGGTRISTTQMIKQEEEEEGKDCYAGDAKCSNAKKRMMSFSEFLEATNTKVLSENDPQYLDFIKKERMDESEPIFDVEPISVCNEADLNQKTQKGSVTKESGFNKSTHNVCVKSEQMDESEADSKVKPVSVKKENGFGEKCKAGEMPNLVQKEEAKPEKQKARLVQPISSMPPSVYGSNSKKVKQGAVEDMRVSLAVIENGDFEEEADWLLVGRTAITGLSTTKGRKLEDNEIVHFAFPNADSRNRSSSWVPAKAAIAASGIVRFSTKRSGEVGRLPMEWSKCLIPLVNSKKVKVLGRCVAAPVNLSMMQEIMLYISFYIHHSIFTEDGKTSWKLDCNSNIDSTIYPLLTLFKLLKKSPFQKAEFTPEELDSRKRVLNLENDLNEVVSALPMVKRRKGGEPLLLEPNEDGQILSESSLNKLVGAADMYNLEEMDPPTTLTCDLRPYQKQALYWMTESEKGVDVEKAEETLHPCWAAYRICDERATAIYVNIFSGEATTKFPKATQMARGGILADAMGLGKTVMTISLILARPGKGTTESEENIEPRKEDQKSVSQRPRGGTLIVCPMALLSQWKDELETHSAPGSLSVFVHYGGYRSTNPKVIAEPTVVLTTYGVLSASYKSDPETSIFHRVDCLLCFLHVEPWCNWAWWNKLIQRPYENGDKRGIKLVKAILRPLMLRRTKDTKDKEGRPILVLPPTDIQVIECEQSEAEHDFYDALFKRSKFSDLNKLAKRFLDTNVDSMTPNPYKVPSKAYIEEVVDGLKRGENSECPICLESADDPVLTPCAHRMCRECLLSSWRSPVSGLCPICRQNLRKSDLITCPTESKFRVDIEKNWKESAKVLKLLECLESIRRKGCGEKSIVFSQWTSFLDLLEIPLKRRKIGFLRFDGSLSQKNREKTLKEFSETTDKMVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRTVCVRRFIIKDTVEERMQQVQARKQRMIAGALTDEEVRSARLEELKMLFR >KVH91326 pep supercontig:CcrdV1:scaffold_284:185065:187634:-1 gene:Ccrd_006659 transcript:KVH91326 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MSKTLTSFALILLLSLCVSASDNGFSSCNCEVEGFFGYRNIMETQRVSDFLIAVAYFSIPIELLYFISCSNVPFKWVLFQFISFIVLCGMTHLLNGWTYEAHPFQLMLALTIFKFLTALVSFATAITLITLIPLLLKVKVREFMLKKKFWDLGREMGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLDKLSETLDLQNCAIWMPDEAKXXMNLTHQLKGGXXXXXXXFXXPIXDXDVQEIKRSEVVKLLDPESRLAVLSGGRSGSPGAVAAIRMPMLRVADFKGGTPEMIQACYAILVLVLPGGPVRSWTKSELEIVKVVADQVAVALSHAAVLEESRLMRDKLVAQNQALQQAKHDAMRASQARTMFQTVMSKGLKKPIHSIMGLLSILQDDSPNGQQRILIDTMVKTSNVLSMLINDVMDDSSKDNGKLKFPLEMRSFRLHSMIKEAACVAKCLCVYKGYKFEIDFDKFLPDNVMGDERRVLQVILHMVGNLLSWGNGGGCLTLKILKESESHGRNDQQWRTWRSNSTDGYVVVKFEIGVNDGFSVLERCSGDQRSRRVMMQGNIWVVPNPIVFDQSMSLVLRFQLRPSIMVAVSETGESSDHHSQSNTMFRGLQVLLADEDDVNGAVTRKLLEKLGCVVTTVTSGFDCLTALNTPVSAYQILMLDLQMPDLDGFEVASRIRKFRSRNWPVIIALTANVDEELWERCLQVGMNGVIQKPVLLQGIADELRRVLIQATKVS >KVH91319 pep supercontig:CcrdV1:scaffold_284:285223:285966:1 gene:Ccrd_006661 transcript:KVH91319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEENGSASKSRRSSDYKNGGRAANKAIINYRKAAKDDYCWENSTGEESFADGGDIVGEFSWPPRSYTCSFCKREFRSAQALGGHMNVHRREKARLRQITPPRYLPLLPPSSQYFSSNSKLLDLNLDPNPNPNISTCFASNTSPFPTMFPPFTYSSMSPPPLILPSPSSVNPCSCFFPFSTPCPLHHQCSSDSACFTTMRSEKSNLISGGVDDVSHENKNMILKKSETSLLVASNPDDMDLELRLGCS >KVH91324 pep supercontig:CcrdV1:scaffold_284:106089:121958:1 gene:Ccrd_006655 transcript:KVH91324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MDFSKSVIPAHLLGDRGSNLVVINPGSANVRIGLAQQDAPFSIPHCIARHTTGANQSPKRNVQDQNLNSQVTTAQHVEREKAYDIIASLLKIPFLDEEVVNNSYPRKMGRVDVLSQQNSKKESMLAWTDVFQKSSSSSLASESPSHKHETSESPDLQEGGSTEKPQTSESKYREYICGEEAMRISPTEPYCLRRPIRRGHLNISQHYSTQQVLEDLQAIWDWILVEKLHIPHSERNMYSALIVVPETFDNREIKEILSIVLRDLRFSSAVVHQEGLAAVFGNGLSTACVVNIGAQVTSVICVEVDGVALPHTQITLRFGGEDVSRCLLWTQRHHQTWPPIRTDALAKPIDLLMLNRLKESYCQIYEGEVEAVGVVHSYEDGLPPGSHKTRLTALNVAPMGLFYPTLLVPDVYPPPPRSWFKDYEDMLEDTWHIDFPRRTDISDGLYPGISNPLQMWDNYSYPSNQQKKEDNIGLAEAITKSILSTVALTTGLISAMEERVLHAIPSNEAIDTVEVLQSRTNPSFVAWRGGAILGVIDYGRDAWIHREDWIQNGIHIAGGRKYKDSYYLQAQPMCYINS >KVH91322 pep supercontig:CcrdV1:scaffold_284:160304:160636:1 gene:Ccrd_006657 transcript:KVH91322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESTKTRRQPSRLQRRAPALIQVTPVGHWNVAIPLLSPLATSPDVKTNHVDNSKEECRRVVNSDNKIVSEPEKVPIVYKKWQHPAAPFYYETAPPLLQSVCTGIVDMS >KVH91325 pep supercontig:CcrdV1:scaffold_284:78496:85380:1 gene:Ccrd_006654 transcript:KVH91325 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MVKNGYGHLLDLGRDFRLQARPLVPPVDALRARATGCVSSFPRLRVPKLQVDQSSVINRLLASLKEPNQPLWFLHWVPSSSRDLPFLLSQRHPTTIMGFFSFLGRLLFASLFILSAWQMFNDFGDDGGPAAVKHVVLASMALKGLGGILFVFGSTTGAYLLMYYLLFMTPLLHDFYDYEFDDPIFHALLPDFIQSVALLGALLFFVGMKNVLPRKVIKKKPPKTKTI >KVH91327 pep supercontig:CcrdV1:scaffold_284:174221:175987:1 gene:Ccrd_006658 transcript:KVH91327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MVAEPWLLRMGSQMSSNLKHALLLESSSKPSAKNKQEIRNKTPETIGILSFEVANVMSKTVHLYKSLTNSEISKLKNEILKSDGVKALVSSDETYLLDLALSEKLDDLSFIAGVVSRLGKKCTVPQLQGFQHVYGDIASGAIDVGELGFLVKDMDAMVRKMERYVNSTASLYSEMEVLNDLEVATSKFQQNQHEESRKVFEQKVIWQKQDVRHLKDVSLWNQTYDKIVEMLARTVCTLYARICFVFDDTISRREMFSNSSVSISGTNLNSCRSLAQNSCQIDAGSAKSGGGFSNSGFIEKRVVRVRPPIGSRKGELSLFKAEDFNFACGLGPGRLFMECLNINSSVSKMNDYDDGSVRKGDDRSSQISGSCSISSSVRREMPNHSGFQSRIPMIGEQKRFKCNVMSTSRTAPKSRLMVQAPPNTIGGSALALHYANVIIVIEKLLQYPHLVGEEARDDLYQMLPTSLRLALKMSLKSYVKDLAIYDAPLAHDWKDRLDEILAWLAPLAHNMIRWQNERNFEQQQIVSRTNVLLLQTLYFADRRKTETAICELLVGLNYICRYEHQQNALLDCASSFDFDDCLEWQTQY >KVH91318 pep supercontig:CcrdV1:scaffold_284:214579:215139:-1 gene:Ccrd_006660 transcript:KVH91318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MGSEKHRSSGTSSDQETGPSLSPDSSKDGVGRSYECTFCKRGFTNAQALGGHMNIHRKDKAKAKQQKAFTTTVTASTKPNKDHLMVSPKSFKSIPNEEVQYRGSSFGFRTGDHDYQLTPSNPNFPCNSVASQFDNHALHEEHLLVNLNLGIGTSEMEHSNTRSANAAQEDWPENEVDLELRLGHYP >KVH91321 pep supercontig:CcrdV1:scaffold_284:14960:18514:-1 gene:Ccrd_006652 transcript:KVH91321 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MAFLSFVGRVLFVSVFVFSAWQEFNEFGVDGGPAAKALTPKFNVLSKHFTTHTGFQVPVFEIKFLVAGAIALKALGSFLFIFNSTIGATLLILHQLIATPILYDFYNYDIEKKEFLQLFIKFTQSLALLGGLLFFVGMKNSIPKRSSTTKKATKTKTV >KVH89391 pep supercontig:CcrdV1:scaffold_2840:10954:27845:1 gene:Ccrd_008619 transcript:KVH89391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 27B MCERLCSEFPSEANLQLLAACYLQSNQAYSAYHILKGMWDLALFCLSSFINDFIQIEKYQKMAATVSFDSSDCIWKRTQMPQSRYLFAISCFQMDLLNEAEMALCPSNEPNAEVPNGAAGHYLLGLVYRYTDRMRSAAHQFNQALSLDPLLWAAYEELCKLGSAGEATAVFGESASECIQKQYLSHDVAPQLQSSSDDHHPVSGRQLAESVSPRHLRHLHVNNIKENSVNHNGAVFSGSAASQSNNGVHANISFYNTPSPMANQIETTMLHRSKLSGMAPPPICRNMLPNGPNSSSVGADGSPRSTVKSTIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAGETGSIANLSGIAVAGNGANHSSKYPSSSSKLGSAAFRSVTVRKGQSWSTESLTEGTRSELDDSRSNTTTTSGSSPSPDTRSSERDGSIMSIGRASMSVSKVASGASEVLSLMKILGEGYRLSCLYRCQVGKAHFELVDYLEAERAFSNARLASPYSLEGMDIYSTVLYKDHETALKNFQRAVQLNSRFTYAHTLCGHEYVALEDFENGIKSYQNALQIDARHYNAWYGLAMIYLRQEKYEFSEHHFRKALQINPRSSVIMSYLGTSLHALKRNDEALAMMEKAIVADRKNPLPMYQKANILVSMEDFDGALQVLEELKEYAPHESSVYALMGRIYKRRLMYDKAMLHFGLALDLKPSATDAAIEKLHVPDELEDNL >KVH89392 pep supercontig:CcrdV1:scaffold_2840:64757:65858:-1 gene:Ccrd_008620 transcript:KVH89392 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO/COL/TOC1, conserved site-containing protein MRRNCNLTLRLVPPSPHSDHRQQHPPIDGVDLSSKDRNQNQNQNQNQQLTIFYGGRVSVCDVTDTQARAIIKVASEEIEEKWRSRTPGSPLLPSPSGLSMKMSLQSFLQKRKHRIQATSPYHH >KVH92159 pep supercontig:CcrdV1:scaffold_2841:19934:20609:1 gene:Ccrd_005809 transcript:KVH92159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MTILFISFGLQASSQYTGIGTINAPPYVPSACYGYQDMGVMIAAASEALWDGGAVCGKYFEVTCTGGTNLGTPHPCSATPTVTVVITDFCPPPGCKGDLDLSHESFSAIADPAAGGIKISYQQSKKKKKKKKKEEERGGNA >KVH92157 pep supercontig:CcrdV1:scaffold_2841:66065:67457:-1 gene:Ccrd_005812 transcript:KVH92157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-binding, type 1 MDKFLVLTILVFLFLATAVSAQDCGRQGGNRPCTNGNCCSQYGFCGNTPAHCSPENNCQSQCTGGGGGGGGGGGASIVTASAFDQMLKYRNDPRCSANGFYTYNAXVVAAAVVLLPSLLHLRLTKCSNAAKAYSGFGTTGSADDRKRELAAFFAQTSHETTGGWASAPDGPYAWGYCFVRERDQSNSYCDSNAWPCPQKYFGRGPIQLSHNYNYGEFGKSIGRDLINNPDLLATDPTLSFRSAIWYWMTPQGNKPSSHDVITGRWTPSAADSSAGRVPGLGVITNIINGGLECGHGQDNRVADRIGFHTRYCQILGVSPGNNLDCYNQRPFA >KVH92160 pep supercontig:CcrdV1:scaffold_2841:14219:18075:-1 gene:Ccrd_005808 transcript:KVH92160 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHD5-like protein MEETLEHHVTSLTAIYIFFFMAAIQYIDRSLALKKRKASMSEKDLKLCAEIKKLLKEAAVLSQPSTFAQAAKLRRTAAAKEKELAKSQELLTMEMKTSFGLYERILMIVKIVVFLALTLWHWRAPVAAISKELVQPFGWFLSWTAGDSFSDNVM >KVH92156 pep supercontig:CcrdV1:scaffold_2841:33166:56471:1 gene:Ccrd_005811 transcript:KVH92156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MGLAWLASRALRSSSSRVLSYRTIFSTPDLCKPVALGARFHFPNSDPKSCSVHIARFYYHDQLSISVNWRKQRSVASSLVALLPALFLGLSLTPVLAEDASTELTETDSSGSDFTGLRKIDDGSGKLEDAERLFVGALQEAKEGFGERDPHVASACNNLAELYRVKKAFDKAEPLYLEAINILEESYGHEDVRVGAALHNLGQFYLLQKKLDAAHACYKIKRQVLGEDHADYAETMYHLGKVLYLQGNVKDAEALITESIQIFEVHSFVVE >KVH92158 pep supercontig:CcrdV1:scaffold_2841:698:3700:-1 gene:Ccrd_005807 transcript:KVH92158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MTNTHPHTHTPRNNELVFLENTHIYNCLCLFQKFKHPNLEIQLLFSSQIRHKSNTNSSSSYGKIATGFSISSRMGNCMQSAAPVNANSTISANTRPSSTTGISTNTSNGVGISATSSSAGHSRFGDDISSPNGNGEMLPAADLKVYTYSDLKIATRNFKSDMVLGVGGFGTVYKGWVDEKTMLPSKQGTGFMVAIKKLNHESVQGFQEWQSEVNFLGRLSHPNMVKLLGYCREDKDLLLVYEFLQKGSLENHLFRRSSAVQPLSWDLRLKIAIGAARGLAFLHSSDDNVIYRDLKASNILLDGNYNAKISDFGLAKLGPSGEMSHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMMTGLRALDNKRPGPQHNLVEWSKPMLPNRKKLKTIMDGRIEGQYTSKAAMLFAQLVLHCLEAEPRKRPSMKEVVEVLEQINGMKVKTRGTA >KVH92155 pep supercontig:CcrdV1:scaffold_2841:22387:23932:-1 gene:Ccrd_005810 transcript:KVH92155 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MKAPKSKSESKKPESRLSVKKRGAPAERKAPAPKKTKAAAKDPSKPKRPASAFFVFMYVSLTSNIARSIDVSEFVRIREDFRKQYKEKHPNNKSVSVVGKAGGDKWKSLTAAEKAPYVAKAETRKSDYDKNLQAYNKKLAEGKDAEEEEEEDESDKSKSEVHDDDESEEDEDEDDE >KVH87628 pep supercontig:CcrdV1:scaffold_2842:5701:11444:-1 gene:Ccrd_025085 transcript:KVH87628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLNFNISRSNRFRRKPINTHRSKQLLSISLLALFFLLLFFFSDNYTINSLFFRNSLKTTQCLTLQTLKAEKFLWYAPHSGFSNQLSEFKNAVLMSAILNRTLIVPPILDHHAVVLGSCPKFRVSDPNELRFAVWNHAIELIQGHRYVSVADVIDLSALVSHSAVRVIDLRHFVPLFCDIDVDYXCFKDSKMDDSLLEKLRQCGSLLSGYNSDTNDCVYAVEEDCRTTVWTFQKDGENGELDSFQPDEQLKKKKKISFVRXRKDVYDTLGPRSRADLATVLSFGTLFTSPYKGSESYIDIHEAPRDKKIQSLIKEIEFLPFVPEIMDAGKGYALHTXKSPFLCAQLRLLDGQFKNHWRGTFQALKQKLDPLRQKESLXIHIFVMTDLPMSNWSGTYLGELANEPDSIKLFLLSEEDELIQKTAKKVVDASNGWKFGASYNEGVKKDCQKHHRFPDILLHVEETVCSCASLGFVGTAGSTIADSIEMMRKKEICSH >KVH87630 pep supercontig:CcrdV1:scaffold_2842:17000:18844:1 gene:Ccrd_025086 transcript:KVH87630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFISSSLFKLYSTAVTPIFQPNPSLHQIKQAHARLIAAGNGGDSHLTGQLLAALAQSRSIPFQYSLSILHSTQNPSLFAINNLIRCFAKSKSPHEAMSLYSFMFKNTYFRPNNYTFPFLLQACGNFKGIVEGTQVQAHVVKLGFYNNVYSRNALIHLYFASCESKCAKEVFNESPGCRDLVTWNVMMAGYARMGQIDDLEKMFDEMPEKDIISWSSLITGYVQNGYLEQGFDCFKRMRDLGLLPNEAILVMVLSACAQLGLIEKGILIHSIIDSFDCPKTVHIWNALVDMYAKCGNIDKARQLFDKMPQKDISSWNVMICGFATYGLAMEAIEHFEKFLIEGRTPENVTFIGVLNACSRAGLVDQGRHYFKLMSQKYNIDPEMEHYGCMVDLLGRAGLIADAIELVEKMPIPPDPVLWVTIVAACRTHGLVEFGEGTGKKLIQLDPNHHGNYVQLSSIFAKSCKWEEVLTTRGLNSRKVPGWSLIEAQGKIHQFVAGDREHERTSEIYKMVDRMNTKIVEAGYLPNISSVLHDLEEEEKINSIKEHSERLAIAFGLLITEAGTCIRIVKNLRVCGDCHEMTKITSKVFQREIIVRDGSRFHHFQGGNCSCQDYW >KVH87629 pep supercontig:CcrdV1:scaffold_2842:35226:43760:1 gene:Ccrd_025087 transcript:KVH87629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plus-3 MKKRARTAWKKEDYSEEWCFVCKDGGELIICDQKDCFKSYHPECVELDDSSAKAKNRFICDLHRCETCSRTSDVVQCYCCPKGVCRRCIKSADFVHVKGKKGFCEHCLKLTLLVEEKKDVDSDGEMVDFNNRNTYETLYKEYWEIINATEKLTLEDLTSAKAQLKSGKNYDSDKYDDSDEYQCSDYEEKDDDMEPHDSGKKIKRSKPEPSEKTDKTKGKAKGKAKGKTKSTPKSKKKEFTDWGSTRLMQFLTNIGKETVHALSQRELEKIIKGYSKEKNLIQKNKMVECDAWLQSIFGRKTVKLNRIYDLLESHLAENQVSSDEDELGYDSEDMDQDDEDLVVNKRKKKNSGDKISEKKEAVLDVANFHFASIVPENIRLVYLRRSLVQKLEQEPETFESKVIGSFVRVKEDVSGCFSKSYQLVQVTGIKKCLGGENGQTFVLQALETDISITLLSDDEFSEEECEDLKKKVKSGILKKLTLVGVEEKAKSLHKDIVTHWIPRELALLKHRIDQANEKGWRKEYPF >KVH87627 pep supercontig:CcrdV1:scaffold_2842:44287:49982:-1 gene:Ccrd_025088 transcript:KVH87627 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase biogenesis protein Cmc1-like protein MHPPLTLHRHPMCAEIIEQFQKCHLDHPYAKFFGECTDLKIKLDKCFRQEKAVKRKANFEESKKLKERLQAYRKEAAESENVM >KVI04233 pep supercontig:CcrdV1:scaffold_2843:11922:12550:-1 gene:Ccrd_017456 transcript:KVI04233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLIKTTSRSENANSFFNSFLKIGNNLFQFMLGFEFALEKQRREQHRLDYHTRTTLPKWLTYIKMERHACDIYTRSVFFEVQTEIHRAAWTCSIKSVNSDEKAETYLIEHLDKRDEKIAYCNHIDRHGYLCRHVFKVLLNAGVESIPEKKHFEKMET >KVI04232 pep supercontig:CcrdV1:scaffold_2843:12581:13507:-1 gene:Ccrd_017457 transcript:KVI04232 gene_biotype:protein_coding transcript_biotype:protein_coding description:MULE transposase domain-containing protein MKFSDQHFVHDAGISNMGATRAHKLHTSLRGGYEYGDPTVADYQNYKRGCDNFVGRGDAKVLVDLMTTKRDADNNFFFEYNCVGSELHTIFWVDEVARFNYSEFGDVISFDVTFQTNRHFMVFVPFTAVDNHNCNVVVGSALIGHEHVPNYKWLLQAFQKAHSKPPMMILTDQRPAMKQAIASVFPDSRHMLCIWHIMNKVPNKFSYDLLNNTTFKKQFFKLVWNIHISTDEFESRWMVLMEEFSLQDHQWLKDMFALRS >KVI04234 pep supercontig:CcrdV1:scaffold_2843:33997:36521:-1 gene:Ccrd_017458 transcript:KVI04234 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVTMTMTWMVASGDGYGRLGSGNRQIFPIDLPLKASRTFLETVIDVSSQSQVKRHLCILNAFNPPINKITSEVSNKETIHIMEGGGPTYNGKAMHHDENEVRFRGVRKRPWGKYASEIRDPTKNGQRTWLGTFDTAEEAARAYDHAAFNLRGHLATLNFPAEYLSKLPDPPSFRSSSSSSSSSSLGCNTSRGGSSTGNEKHVIVLEYLDDRLLQELLRETTKEKKK >KVI04502 pep supercontig:CcrdV1:scaffold_2844:63466:67823:-1 gene:Ccrd_017181 transcript:KVI04502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MASSKSLAMFCFLLLTMFTNVALKAHARAFFVFGDSLVDNGNNNFLATTARADNTPYGIDYPTKRESIGSESTLPYLSPALTGQKLLVGANFASAGVGVLNDTGVQFANIIRMPSQMAYLEQYKQRVSEIIGPQETERLITQSLTLMTLGGNDFVNNYYLVPFSARSRQFALPDYVVYVVSEYRKILKRLHELGLRRVLVTGTGPLGCVPAELAQHSRNGECWPDLQAASGLFNPQLQNMLDSLNREVGSTTFIGVNIRQSSIDFISNPAKYGFVTSKVACCGQGPYNGIGLCTPASNLCPNRDIYAFWDPFHPSEKANRIIVQQIIMGSTEYMSPMNLSTIMALDAAENV >KVI11322 pep supercontig:CcrdV1:scaffold_2846:44099:46288:-1 gene:Ccrd_010269 transcript:KVI11322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MIIASLIHYLLPILTVLLASTFLLITASHLTPPPSSPAPGGCADSVISFSPCLPYISAPPNDLSDDPSSQCCDIYDGAFDSGEAECLCYLVRQSTLLGFPINASKLLSLSDLCALSNNSQANNTDLSLQSICSGSPTLPPLLSTTKKPHSGSHAPLPPSATTIPSSAKNASRPVRPPTSRPSTSKQRNNGNYPKPSYAIDLLSICWYFLIKFQ >KVH99364 pep supercontig:CcrdV1:scaffold_2847:25612:26461:-1 gene:Ccrd_022405 transcript:KVH99364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein YNHLSLRRIYSSLSHYIYIYIYIYIYIYIYIYIYLPTKLYLFGNQYHQRIVMEDLDKNPFYSCNRCRNPIALRDNLLSKAFKAQSGQAYMFSDAKNFVLGENKVRQLMTGRFVVADVYCSNCGEVLGWKYLKSFHVSQNYKVGNFIIEKAKVLKEYA >KVH98825 pep supercontig:CcrdV1:scaffold_2848:32337:33536:1 gene:Ccrd_022946 transcript:KVH98825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MSTVHRLQDSLSYSRAYWVSRSVIAWNVDAVGGSCFLYSSSSAALTIVETVIEGYNLFVELKRYDQRLPESVRDKLPHIRDYKAFKVPTALDPKTILKDQLVVAFFDAIILFCGESLQEAMQLTPRHPKMENAATRDRGIYK >KVI11215 pep supercontig:CcrdV1:scaffold_285:300759:304719:-1 gene:Ccrd_010379 transcript:KVI11215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHVQALSAPTTTSMSPMAKLMLHNKHRSTPGLRLKSQEMEMEMEMEMEINLRSHFLQLLSTRRSPQVPLEAQPAKPVLEPLYQGTPPPKFSQPMESCPKADIPNLKELLYEENLYLTTEEGEQGKLPVLILSMKESRHSKRPAVVFLHSTNKXKEWLRPLLEAYASFGYIAVAVDSRYHGERAKXVTTYNDALVSSWKRGDTMPFIFDTVWDLVKLADYLTGRDDIDHSRIGITGESLGGMHAWFAAFVDTRYSVVVPIIGVQGFRWAIDNDQWQARADSIKSVFEGEEDPRCPIAGLDVTISRTCKAFQDANCPDSFKVIAEAGIGHEMTGSMVKEAMNWFDKFFQP >KVI11213 pep supercontig:CcrdV1:scaffold_285:159665:163205:1 gene:Ccrd_010377 transcript:KVI11213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSISPLLIPKDPIPKPDQKIKKSFMSSIMAASFKEDTYHHHTLKSSEKKALEELRDLLISTSNVQESIWGIPLLLPTTPSETEKSDVVLLKFLRAREFRVQDSFNMLLKCLSWRQDFGADSIVEEDLGFKELEGVVAYMNGFDREGHPVCYNAYGMFKNQEMYDRFFGDDEKLHKFLRWRVQVLERGIKMLHFKPGGINSIIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVACKIFINTPWYFSMLYSILSPFMTQRTKSKFVISKEGNVAETLYKYIRPEDIPIQYGGLSRPSELNNGPAKPASEFTVKGGEKVNIQIEGIEAGATITWDVVVGGWDLEYCAEFVPNATGSYTIAVEKQRKMVATDDAVHNSFTAKEAGKLVLCINNTASRKRKVAAYRYLVRKSMVV >KVI11216 pep supercontig:CcrdV1:scaffold_285:253711:267577:1 gene:Ccrd_010378 transcript:KVI11216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MHLSDKIDFQEDKKLHKMQGTGAGYHETKDLLSKEIREEAATAPRTSYWSNGQGSLLPSHCTRCACSCPQGGTTCLQRSSDRTSAKEASVFWTFYLREENRKLNFKGKKETKAKEKYLSLLSNTVLCDLGRDFKSLRSSNWPARCATGSDNSRQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKVWIFSLFSSSFSLLVIGINAFTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGSTTGCEGKVSKGRNLLRSGDVRGFQSMAKMYTRLAAMPKKG >KVI11214 pep supercontig:CcrdV1:scaffold_285:82915:83154:-1 gene:Ccrd_010376 transcript:KVI11214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCSSKTKIEATNPNGHKPRSTSDEPNILYTKSLVPAVQIPVRKQPPPSTKRVYKSDTILGKPFEDVKQHYTMGERIG >KVI02984 pep supercontig:CcrdV1:scaffold_2850:13612:18899:1 gene:Ccrd_018723 transcript:KVI02984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGEDLGYVLANNRIRELFGYVKSRSDLQLRNRGYENQTSDCMPKVDVNGMAIVPCGFVAWSLFNDTYSFSTDSNQQLQVNKRHISWKSDKEDKFGSDVFPKNFQNGSLIGGGRLNESLPLNEQEDLIVWMRTAALPTFRKLYNPISS >KVI02983 pep supercontig:CcrdV1:scaffold_2850:463:12651:-1 gene:Ccrd_018722 transcript:KVI02983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf transcription factor, N-terminal MTRPYRFLSTAGNSSSKAVPESPEEVSVESDFVVIFAALLCALVCIIGLIVIARCAWLRRGSIANRVPGQPSANKGIKKKFVDALPKFTYDSAKDNNGGKLSSRDCAICLAEYADGDEIRLLPQCGHGFHVGCIDKWLGSHSSCPSCRRILVITRSRCKKCGEFSTISAGTMTLVAEHEGSHLDDDKEGEKDKDIKGDTVEDDVEDAALIPSANAEALNLTTEDARESLHDATDNNDEED >KVI02986 pep supercontig:CcrdV1:scaffold_2850:51741:58066:-1 gene:Ccrd_018725 transcript:KVI02986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAGDTSEGSRQLDQTPTWAVAGVCATIIIISIALEKILHKLGKFFTEKRKKAMFEALEKVKAELMVLGFISLLLTFSQYYIAKICIPDSIADTMLPCPVRDKPQKDDKEEGSHRLLLWYEHRRSLAEASKSSCKKGEVAIITVDGLHQLHILIFFLAVLHVAYSAVTMALGRLKIRGWKQLTHETSFVKAHTSFWTRIPFFFYIGCFFRQFFRSVSKSDYLTVRNGFINVHLARGSKFNFQKYVKRSLEDDFQVVVGISPVLWASFVIFLLLNVNGWQAMFWASLLPLVVILAVGTKLQAILTKMALEITERHAVVQGIPLVQGSDKYFWFSKPHLILHLIHFALFQNAFQITYFFWIWVTYTDLHSRPLRTRGALVHPRVHGGLDRCTKAAVTFSNSKKFTLTIGECETFFLLVQYEYKIGSCFHDNIRLVILKLIIGVGVLILCSYITLPLYALVSQMGSNMKKSIFDEQTSKALMNWRMAVKKKRGGGGGSTGGNSPTRTIGNTFGPIPTPSMPSSVGPLQRFKTMGHSPPSMVYKDTDVSDLESEPMSPESSSMHLLKVRVDHQNMPRQRDDTKNEDDFSFDKPAPLS >KVI02985 pep supercontig:CcrdV1:scaffold_2850:19738:24308:-1 gene:Ccrd_018724 transcript:KVI02985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESSKSSTSSADPYIGSLISLNSKSKIRYDMRAFCTTSTPKNHPLDCATTLLEQQSHSLVLTLIVHDED >KVH87626 pep supercontig:CcrdV1:scaffold_2851:27498:40309:1 gene:Ccrd_025089 transcript:KVH87626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MKPTPTTCSPKIHQPQTTSTRTVSRGRTQSEIEEEWLARSAGIQVERLFKDEATEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGHSVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVATKIHPMTIISGYRMAADCARSALLERVMDNKEDAEKFRSDLMKIAMTTLSSKILSQDKEHFATLAVDAVMRLKVSSSLYFGSTNLESIQIIKKAGGSLKDSFLDEGFILDKKIGLGQPKRIENANILVANTAMDTDKVKIYGARVRVDSMAKVAQIEGAEKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASFHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKAVDELARKTPGKKSHAIDAFSRALLAIPTTIADNAGLDSAELIAQLRAEHHKENSNAGIDVITGSVGDMGELGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >KVH87625 pep supercontig:CcrdV1:scaffold_2851:63886:65041:1 gene:Ccrd_025091 transcript:KVH87625 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MENQFQIGDLVWAKADRHSWWPGLIYHEALSTLHARQAKKEGHVLVSFFGDNSYRWLNPKKLFSSSSRFFVKAINEAVYEVIHRAAIGMTCPCVFFASYRPSCVESFLEVDLDGYQSRGVYTVQQIEGFRQEFRPAETLAFIQQLALDPSIMAEDINSSKEVAKVLGFRKARYAEVDEPYFVAFGVNPIPTRAGDGVVASDNQEIALLQEDPSEAEIPGQKKPKVKDQSKTKRRLKNKVKRRVKRYGRHDSNTITSHDHVPQKKRQPKFELKKRVFTSASEDEIQSSPCPSKEPSISNAESDLQQEETTFTPPHDPVTSCSASVCGIQCLSDEIHARYWQQKGETRVKVNQGSIDIFEKVLYLLNKDRQIVLE >KVH87624 pep supercontig:CcrdV1:scaffold_2851:40544:48589:-1 gene:Ccrd_025090 transcript:KVH87624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARSNSTREDCNLYLVEQIQHQRVVIQNNHKENLVGILHETGSKEVVILCHGYRSCKDRIPMVNLAAAFGNEGISAFRFDFAGNGDSEGSFQYGNYYREVDDLRAVMQYFDQEKRSVAAIIGHSKGGNVVLLYASRFNDVHSVVNISGRFNLNRGIEGRLGKDYLQRMKQYGFIDVANKKGNIEYRVTEESLIDRLTTNTRAACQLIPQNCRVLTIHGSADKIVGMEDGLEFAKHILNHQLHIINGADHEYTSHQDELASAVLHFVKAGSNHDSPASYTQAADKSLRSCL >KVH91458 pep supercontig:CcrdV1:scaffold_2853:4022:12380:-1 gene:Ccrd_006519 transcript:KVH91458 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHCH-like protein MASSVDTAGEPIPTSAVLMSASKHIASRCRGENVAFLKCKKEDPNPEKCLDKGRQVTRCVLSLLKDLHQKCTKEMDAYAGCMYYNTDEFELCRKQQKEFEKACPLN >KVH91456 pep supercontig:CcrdV1:scaffold_2853:27330:39729:1 gene:Ccrd_006521 transcript:KVH91456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MQVCSPRAILRVYASHSRLVNGPDPISLFSTPIYLKNTVLALSSCGINSVEMDNNDECSSDMSGKQLIPTETFAYGLYGALGNLSRIGDEYQTKIPSLITESEHLDYVKNPIEEETKAGVPSDFLIGLDIPIVWINQEVGNMKEIERDTKIAPQVDMKNENPTSDFSAEASNMKNDHVAGTAGSSQLCLVPGSFLDSWTEIERGSFLLGLYIFEKDFVRVKRLIESKNMGDILSYYYGNFYRSDEYRRWSESRKSRGRRCILGQRIFSGLRQQELLCRLRLHASQEGQNSLLEVSRTFVDGRISLEGYVFSLRDLVGIKNFVDAVAIGSGKPDLTGNGIEPTKQNQAIHIRPEIPIGKACSSLTSTEIIKFLTGDYRLSKARSNDLFWEAVWPRLLARGWHSEQPNGYNYAANGKHSLVFLMPGVKKFSRRLIKGDAYLDSVTDVLNKVASEPQLLELDDSEENGETGKKLEEEEDGFLEKRKSHCYLQPRAPNRSTILMKFTVVDTSLSGGNIIKVRELEPLKLITRSHSDEVHSELVSSDESDSANTFLVDQEMTANGRKSKKQKTSGEKKLLAKKHLKTTNGSDSVLPEATKKLTKANLSRKSRPENSNSGHYAKRRRRLSACSRMDLKACSSLERDIDDLTENIYSHVGSSSQANHKLSSNLSFSSRCSSIDTVEEQQQPQSMNLIDLNYPHITPAFGNCEFATETGQVKDERTEPGSPKQAASMVGPDEQQNGASRRQSTRNRPPTARALEALANGFLTVNSRKKDKEKIRDSTSRSRNSHHSRGEIGVISECSTGDASSAVKGDNGEIEK >KVH91457 pep supercontig:CcrdV1:scaffold_2853:17021:23011:-1 gene:Ccrd_006520 transcript:KVH91457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKETAGAAFDLPVEVLEVLPSDPFQQLDVARKITSIALSTRVSSLELESSDLRQQLADRDAVIADLNSQLDSLDSSLSQNLHKLSIADQEKENLLKENVSLSQTVNKLKRDVAKLESFRKTLMMSLQDEEGSSVSSSSMRATAPPVVAANVQNHTSLSSQTFSGEEEETVPPSSFSSIKSQSSDVGNSYHDEHVNDASRPRVSPSLLLASQTNTPRLTPPGSPPSLSASASPSRTPKPVSPRRHSIAVSRGLYDDKSSVFSSANSSPYSSMSGRTRVDGKEFFRQVRSRLSYEQFAAFLANVKELNSQKQTKEDTLRKADEIFGPDNKDLYVILEGLITRNFH >KVH87623 pep supercontig:CcrdV1:scaffold_2857:57678:64201:-1 gene:Ccrd_025094 transcript:KVH87623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MLHQSTVSLLLPHRFNKMPFAGDRKHRRILRSTPLLPHNLHNTGQSPESTFSGASFSGAVFNLSTTIVGAGIMALPATLKQLGVIPGLIVILLVGILTESSIDMILRFSRASKSNSYSGLVGDAFGGAGRTLLQVGIVVNNAGLLVVYMIIIGDVLSGTWSEGEHHLGVMQEWFGHHWWTTRSVLLLLTTLLVFCPLISFKRVDSLRYTSALSVGLAVVFVVITAGVTVTKLINGSIVMPRLQPELVDQASFWKLFTTVPILVTAFICHHNVHPIDNELRDPTQMKYIVRQSILLCSTVYVATSFFGFLLFGDHTQDDVLGNFDGDLGIPYSSLINDVVRISYCLHLMLVFPIVFFSLRLNLDGLFFPYAIPIGFDNRRFLMVTSFVMSLIYVGANFVPSIWDAFQFTGATATVSVGFIFPAAVALRYVNLCKVAYKLVRFGFVCNEYGLQGQSWNCNKKGQESVMGDVNISCIIKHGGNLQ >KVH87621 pep supercontig:CcrdV1:scaffold_2857:48123:50269:1 gene:Ccrd_025092 transcript:KVH87621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MGFQYRGEMSSGSMFNNKSSSGSGNLFGSGWDPLENFGGSSSMVAVPPNSELAYPENHQVQVGLIHQYQSGSGIAAGSGIADLVPKVGSFGSGSFSEMVNPFGNLNPECGGSSSPNSRDKRKSPHPQFNPITNGKREERNDEKKQRIEANWRGKQMGKQAKENSTDSGGGGPTKDNNYIHVRAKRGQATNSHSLAERVRRERISERMKLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDIERLVFKDMVHSRGSSSNPGFGFSSSHSYPHGSLTGIPTTTAPLHPIHPQQPVWDNDLHSLLQMGFDANLGQNGGGAKMDV >KVH87622 pep supercontig:CcrdV1:scaffold_2857:50508:53393:-1 gene:Ccrd_025093 transcript:KVH87622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTSFDWWRKETHRGTPVVVKMENPNNWSMVELEAPSEDDFLNDGVSSDVKGRNKNAKQLTWVLLLKAHRAAGCITSIAPATFFLASAVRRRLSSGRTDTLPTSTRFYSCIKVFLWLSILLLGFELAAYFKGWHFGAPDLHLQYLYTLTNPFAFKGFFDSIYSRWVVIRVEYLAPPLQSLANACIYLFLIQSLDRLVLCLGCFWIRFKKIKPLAKQTLPDLESGDGDGFFPMVLVQIPMCNEKEVYQQSIGAVCNLKWPKSKILIQVLDDSDDPTTQSLIREEVHKWKHEGVNIVYRHRVNREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPNPDFLRKTVPHFKDNEELGLVQARWSFVNRDENLLTRLQHVNLAFHFEVEQQVNGIFLNFFGFNGTAGVWRIKTLEDCGGWLERTTVEDMDIAVRAHLHGWKMIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKSKISIWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEATLPAWVVCYIPATMSFLNILPSPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLAVLIEKEEEKGEGELVEEVKEKEKEEERKKKHNRIYRKELMLAFLLLTASARSLLSAQGIHFYFLLFQGLSFLLVGFDLIGEQIAN >KVI04382 pep supercontig:CcrdV1:scaffold_2858:44237:62255:-1 gene:Ccrd_017304 transcript:KVI04382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSTSTTPRSLSLTQFCSLRRTHFLSISRASPSFPRFFSVGCNSCTPPLTSVNNEVPQDILIAKDDVAIENFGVEVRNPKLPSFVLPARLSLGDQAFFLLTFIACTASIAFTGFVMAAVPTLYAMGRAAISLAKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSQEISDGVNKSAQAVQAAEAGERASLPVISIQPVVAGVAKKTSHAVGQAGKSFMNMISGGDSSPEDEKAGSIDTLDI >KVI04383 pep supercontig:CcrdV1:scaffold_2858:12046:23318:1 gene:Ccrd_017303 transcript:KVI04383 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MTESMRIFFLPDLPYVKGEHGNEVESLVAARKDALYGSEPSDSIRVVNALLSQMDKLKSSPNVIILTTSNITAAIGVAGGAASGKTTVCDMIIEQLHDQRVVLVNQDSFYHNLTAEELTRVHEYNFDHPGKERGQGFTPHIISVTAGEVTNGVNRQPLATPLAKRRMETPMHPSTVGSKTPGQKWHIFQL >KVI00821 pep supercontig:CcrdV1:scaffold_2859:9903:14834:-1 gene:Ccrd_020923 transcript:KVI00821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRLVNTKRRCFPLSYSLCTKTTVTHSVDATIIKTGFNPKTSRSNFKLNDLVANGQVAQAHQLFDQMRRRNTYSVNTLISGYVKSGNLSRARDLFDGMPVRTEVSWTILIGGYSQHNQPIGAFKLYAEMCRWGTVPDYVTFATLLSCCNETLMTKTVTQVHSHIVKLGFSGTLKVCNSLVDAYCKTGTLELANRLFLEMPIKDTVTFNAMITGYSSDGLNNQAINLFIEMQGSGIMPSEFTFAAVICASMGLNDVSLGHQFHTLVIKGNLFWSVFVSNAFLDFYSKHNCIHDAKRLFDEMPLRDCVSYNVIITGFVWAGKVKESLDIFHELQSTSFNRKQFPFATMLSLAATELNLKIGRQIHAQAMVTEADSDILVGNALVDMYARCDSFEEAKAIFASLPDRSAVPWTAIISAYVQKGFYDEALQFFKKMRQDYVYGDQATFASTLRASANLTSLSLGKQLHSAMVALGCISNVFCGSSLVDMYAKCGHIKEAIQAFKEMPVRNIVSWNAMISAYAQDGDGEATLRTSEELVKSGLQPDSVSFLGILTACSHRGLVEQGLAHFKSMTQRHRLTIKREHYTSMVDLLCRCGQFGEAERLMNEMPFEPDEIMLSSVMRSCRVHKNQDFAKMAADALFRMEILRDAAPYVNMSNIYAEAGQWEDVSKVKKAMKDRGVKKVTAYSWVEVNHHVHVFTANDRTHPQIEDIRMKIDVLGRKMEEEGYKPDTSVILQNVNEEVKVESLKYHSERLAIAFALMRAPEGSPIVVMKNLRACVDCHAAIKVISKIVGRDIVVRDSSRFHRFRNGCCSCGDYCSVEIFKRSVMIIFQVKNFSRTRQFTLIKLLVRLG >KVI00822 pep supercontig:CcrdV1:scaffold_2859:20150:20800:1 gene:Ccrd_020924 transcript:KVI00822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRLVNTKRRCLPLSYSLCTKTTVTHSVDATIIKTGFNLKTSRSNFKLTDLVANGQVAQAHQLFDQMLRRNTYSVNTLISGYVKSGNLSRARDLFDGMPVRTEVYWTILIGGYSQHNQPIGAFKLYAEMCRWGTVPDYVTFATLLSCCNETLMTKAVTQVHSHIVKLGFSGTLKVCNSLVDAYCKTGTLELANRLFLEMPIKDTVTFNAMTTGYSSM >KVI11066 pep supercontig:CcrdV1:scaffold_286:103631:109182:-1 gene:Ccrd_010528 transcript:KVI11066 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor Mcm6 MDSFGGVYFTDEKAAKVENIFLEFLKSFRLDANSREPFYESEIEAMRPNESNTMFIDFSHVMRFNDVLQKAISDEYLRFESYLKNACKRFVMGQKPTFITDDNPNKDINIAFYNLPIIKRLRELSTSEIGRLVSVTGVVTRTSEVRPELLQGTFKCLECATIIKNVEQQYKYTEPMICMNAMCSRKGRWTLLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHDIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRREGGQRNGSGTAQEGVKGLRALGVRDLSYRLAFIANSVQICDGRRDSDIRNRKRDAEDDDNPQFSSEELAEVKQMRNTPDFFNKLVDSICPTVFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTTGLVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDTTDYHIASHIVRVHQKREEAVAPTFSTAQLKRYIAIAKSKKPKLTAEARQILVDSYVALRRGDTAPGSRVAYRMTVRQLEALIRLSEAIARCHLDDEVAKRTLFTFCVESTEIDLTEFQEENQEDGEIGGNGEAQPSGAADADADVNNGGNPENGDGGGKKLVITDEYFQRVTQALVMRLRQHEESVAREGSGLAGMRQRDLIQWYVGQQNEKNNYNSMEEAKAEVTKIKAIIESLVRREGHLIVVDEEEAGAAAADGDDGNGRRSSRNNRILAVAPNYVIE >KVI11072 pep supercontig:CcrdV1:scaffold_286:112571:114557:1 gene:Ccrd_010527 transcript:KVI11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MGVKAATRLSPRPSVFKSLGKENMNMNQVKKNMVVCKYWLEGRCNRNPCRFLHSNNKSKYSWKNPTIKEQKMNNNSNAIITQTQDNIGKKTQKSTCKQTHHQNYSICGKGTEDQLHSWFHGKELSLVAKLEGHSKAITGIALPSGSDNLYCSSKDKSLRIWDYSTGKCGTVVDLGMECETLVNEDSWIFAGLTDGNIKGWNLKTQSQVILERTGGKVNAITTYNDLLFAAIQDGTILAWKSTSETSFSQVAVALKGHTGAVLSLVVGAHKLFSGSADHTIRVWDPKSLECMHVLKGHTGDVTTVLCWDNYLLSGSLDKNIKVSSLNLLLHAWAATENGNIEEIYQHNVDEEMLQFHGILDAEDKPILVCACKDSSVRLYDLPSFSERGRIYSRQQVETIRTSPGGQLFVGDARGLLSLWKLT >KVI11069 pep supercontig:CcrdV1:scaffold_286:305143:312941:1 gene:Ccrd_010520 transcript:KVI11069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF707 MELKDAGNRTISAKCKNLCRPPGSGGLPEGILAKTSDLHMRPLWGSFNDSFLENGFVVMVFHYDGFVDQWYDLQWASNVIHVSAMNQTKWWFAKRFLHPDIVAEYDYVFLWDEDLDIEHFDPGRYVSIIKEEELDISQPALDPGKKYIKLKGGGRCYDNSTGPPCFGWVEMMAPVFSKAAWRCAWYLIQNDLIHGWGIDFQLGYCAQGDRKQKVGVVDAEYIVHLGVPSLGGLNHSNNSDVHTADGRSEVRRQSYTEMRIFRRRWDEAVKTDKCWVDRYADHDRSKKKCVSGAC >KVI11068 pep supercontig:CcrdV1:scaffold_286:216809:218826:1 gene:Ccrd_010523 transcript:KVI11068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MASINIPLLTPYKMGNFNLSHRVVLAPLTRNRSYDNMPQPHAVLYYSQRATEGGLLVTEATGVSNTAQGYVETPGIWTKEQVEAWKPIVKAVHEKGGVFFCQIWLVGRVSNYGFQLNGEAPLSSTDKELTPGLAGGDWSPPRRLRTDEIPALVNDFRLAARNAIEAGFDGVEIHGANGYIIDQFMKDQVNDRTDQYGGSLENRCRFALEIVEAVANEIGGDKVGIRLSTFADYMECGDSNPEALGLYMANALNKYKILYLHVIEPRMVQVWDKSETPYSTLPMKKAFNGTFISAGGYKRDDGNKAITIGNADLVAFGRLFLANPDLPKRFELDANLNKYDRDTFYIQDPIVGYTDYPFLEV >KVI11070 pep supercontig:CcrdV1:scaffold_286:281422:286225:-1 gene:Ccrd_010521 transcript:KVI11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MNPSATDQSDSRRSLQMNLNSSGNHHSKSNCPFHKLNNGTEHVKKLQIDLNMPASSNETEELSTQNIPNSDDEALSQYAGMDIRRSEYPQPLMMVCRKSSSSSILKWKQQVKVSLEIGLEVPPNDGYMWRKYGQKEILNAKYP >KVI11071 pep supercontig:CcrdV1:scaffold_286:115054:116016:1 gene:Ccrd_010526 transcript:KVI11071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEMTNHTLQKERQISVDPISMKEIVEYPTLGLQKPWPANKPLNSIPKKNKFLSTSLPNSACSSPRGVVPKTKGVKDHDQELETSLPHQHSVALSRFLWLRENHLQRSKSCGEGRPSGAYDEFDICRTTTSIINTNSVSAGSTPRGQTTSNGDQYKRITETKTRNEDDFKCGALCLFLPGFGKGKPVRSRREGREEIGHVISQRVSLEKFECGSWRSSGILNVDGGGSGRSSNRYFDLPLELIQSSGNDASLPVSSAFVFDKDVKGVLKSTKERKSNDCRSRHVRFSTSSPTTSPSPCITPRMRKARDDFNSFLEAQNA >KVI11073 pep supercontig:CcrdV1:scaffold_286:191997:196904:-1 gene:Ccrd_010524 transcript:KVI11073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEISHRRSLRFLLLLSLISVQFLLGFSDDSKSKNETKTDAHSSSSSMSGSIVVILCIAVAAVVGLSFFLFRLWQKKKREEQYARLLKLFEEDDELELELGLRD >KVI11074 pep supercontig:CcrdV1:scaffold_286:128602:130727:-1 gene:Ccrd_010525 transcript:KVI11074 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF23 MVKDNDQIQIPNQRDKKMLIGVVWNCAAELKLLLSALLFLCSLITLFQFFPSSFRDLTGCASFPPPSSALQLLVTPPPPPPPVHNKLQDVVLQGGLIKRNFNGYGSAAYNFILMSAYRGGGNTFAVIGLSSKPLHVFAKPTYLCQWVPHNATDNQPNITVPGYKILPDWGYGRVYTVVVVNCTFPSPVGHDGGGGQLLVHASTSGGGDSNFDLTDTIEALTETPGSLNPLQFTAPPKYDYLYCGSPLYGGLSPQRVREWLAYHVKMFGENSHFVIHDAGGVHPEVMEVLQPWIEKGYVTLQDIREEERFDGYYHNQFLIVNDCLHRYRFMTKWMFFFDVDEFIFVPKKDTIKTVTDSLLQYTQFTIEQRTMSNKLCYLDDNVGKIYRKWGIEKLVYRDTKRGIRRDRKYAIQPRNVFATGVHMSQNVKGKTIHKTEGKIMYYHYHGTISERREPCRQLENTTEVNVGGTPYVVDTTMREAAGAVKRFELRMIGPVLQKTHQ >KVI11067 pep supercontig:CcrdV1:scaffold_286:255521:271787:1 gene:Ccrd_010522 transcript:KVI11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase, GBA2 type MTSSNYDDDREASNSSNIKGKVDPAKPGSLTWKRKLNSEANPLSEFGLRFKEVIHLAPIGYRLWRTIRDASKGKGNVGAFVDPFKKRHFSSCNGVPLGGSIGRTYKGEFLRWQLFPKICEDKPVLANQFSVFVSRPNGKKYSTVLCPPNPEKDSSVHGIGSWDWNFSGHSSTYHALYPRAWTVYDGEPDPDLKIVCRQISPVIPHNYKESSLPVAVFTFTLSNSGKTAADVTLLFTWENSVGGPSGLSGHHSNSKMTVKDGIHGVLLHHMTVDRQPPVTFAIAAQETNHVHISECQSFVISGNTQGFTAEDMWHEIKENGSFDRLDSSQLPMVSERGSSIGAAVAASLTIPSEAVRTVTFSLAWDSPEVVFPTRTYHRRYTKFYGSHGNAADKIAHDAILEHGNWEDQIETWQRPILEDKRLPKWYPITLFNELYYLNSGGTIWTDGLPPVHNLSSIGGRKFSIDRSNMDFQNNGDISHQDDTAVNVLQRMTSVLEEIHEPASKNSAFGTNLLQEGEENIGQFLYYEGIEYHMCNTYDVHFYASFALIMLFPKLELSLQRDFAAAVMMHDPRKMDILCDGTLASRKALGAVPHDIGMIDPWFDVNFYNIFNTDQWKDLNPKFVLQVYRDVVATGDKNFARAVWPSVYIAMAFMEQFDKDGDGMIENEGFPDQTYDTWSVSGVSAYSGGLWVAALQAASAMAREVGDKDCEDYFWAKFQKARTVYDKLWNGSYFNYDDSNGRGSSSIQADQYARACGLFPIVDKEKARSALEKVYNFNVLKVKNGKRGALNGMLPSGEPDMSSMQSREIWTGVTYAVAAGMIHEDMIDTAFQTASGVYAFQTPEAWNIDGHYRSLAYMRPLAIWAMQWALSQPKTPNQEPKPEVNPESLFKQHAGYTRVARLLKLPKEQDSRGILQILFDYTCKKLV >KVH91279 pep supercontig:CcrdV1:scaffold_2860:16711:21323:-1 gene:Ccrd_006702 transcript:KVH91279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYSLKKRDYRDPLALRALYERFFRLYEECREQDCVYKHTNEDIKECNKTIYKSYGFLMFQDCLWYWTVCGPGLRVLGFQDYLLPKENSPQSSSAFYLNLDIGV >KVH87614 pep supercontig:CcrdV1:scaffold_2862:23171:25630:-1 gene:Ccrd_025096 transcript:KVH87614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MATRGSRSEKVKRIFHQFDLNRDGGLNRQEMAALVVAVNPRVKFSNEQISAILDEVFRTYGDFIDAATGLTYDGLLRTYDDGAGDVDRDFEALGLELKPDDDDNNIDNNEAAASMAFEEASTSSVVDERVKSPEPQKQHRTATWAASPNHGIIFDDTWKLVDDLEILIKRLKTKQMKDLKMKGENSDAYSDPGWSRELGPSTEMNKQIVWEENRHDYTVFVKELGVLRSRADGSRSREEAFDGHMALGRILYDQQLSKESLVCFKRACELQPTDVRPHFRSGNCYYVLGRHSEAKDEFILALDAAEAGGNQWGYLLPQIHVNLGISLEGEGMVMRACEHYREAAILCPTHFRALKLLGSALFGVGEYKAAVKALEEAIFLKHDYADAHCDLASALHAMGNDENAVKEFQKAIDLKPGHVDALYNLGGLYMDMGRYQRASEVYVRVLGLWPNHWRAQLNKAVSLLGAGETEEAKKSLKEALKMTSRVELHDALSHLKQLQKKKLKGNNGNEEDSFTVVEPSKFKTVGEKTTMRQDLATALDIRSFQRITRLFRCDVELLKKDMNETEAPLTYSGYGVPEKSIRKAALEAILRRLLSFLKPETFVGAVKAINLKILSVLDESESGRVDLGMFFAVLAPICGGTPDKRKRVAFDSLLWRPVNENGNDGKIRKADALHYIKLLRSIYIPSQTISERLEIHGETDGSMVSLAEFLAMFDDPDWGFGVMSTLLKLENGDRNRHGSHACAVCRYPVIGSRFKEMKSRFSVCSQCYSEGKVPNGLKQEEYEFKEYGRGSEAVKDKCMWFSSQHSKRSSSGTTTTTNS >KVH87615 pep supercontig:CcrdV1:scaffold_2862:33289:40208:-1 gene:Ccrd_025097 transcript:KVH87615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 NSSGSLVFCFSFLPSFLGQNSDRLQLPLTSASCRRTRRVLARHSYFNSDNRLQLLYLHFDSCFFSDHYCLEINLSKRERFTESTHRMSVRLGLRRSISTSLAKTMAQELNSSAATIPSATDATVTPTSTTKKSLWPSVLRWIPTSTDHIISAEKRLLSLVKTPYTQEQVNIGSGPPGSKVKWFRSTSNEPRFINTVTFDSKEDSPTLVMVHGYAASQGFFFRNFDALAKHFRVIAIDQLGWGGSSRPDFTCTSTEETEAWFIDSFEEWRKAKNLSNFVLLGHSFGGYIAAKYALKHPEHVQHLILVGPAGFTSETEHKSEALTKFQTTWKGAVLNHLWESNFTPMKVVRGFGPFGPNLVRKYTSARFGEYSTGEVLAEEESRLLTDYVYHTLVAKASGELCLKHIFSFGAFARSPLLQRASEWKVPTTFIYGLKDWMDYLGADAARKNMNVPCEIIRVPQYYMVAGDFYHLQM >KVH87616 pep supercontig:CcrdV1:scaffold_2862:11238:12479:1 gene:Ccrd_025095 transcript:KVH87616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIQCDVCNQNEASVYCSADEAALCSACDHNVHHANKLAGKHPRFSLLHPSSKDSTVCDICQEKKAFLFCQQDRAILCKDCDVAIHKVNQQKLNHHRFLLTGVKLSPTASLYSPSTPPAAIPTAKNHHKPLPSPIVNQTPVKTHDCSHQESNGSGQGSTTSSISEYLIEMLPGWHVEDFLDPPPNNFSKVVDNDPALIWGCDALDGSLNASFSPESMGIWVPQAPPPASKPPTPPPLYDHLVQQFEPSSNIGFGDQTVNGSSIVFAPNNYNNLNKMKKSSRKWTSDNGNCFTVPQIRPPPTTTKRSRTLWH >KVH87619 pep supercontig:CcrdV1:scaffold_2862:51607:52944:-1 gene:Ccrd_025100 transcript:KVH87619 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MVLTDVWCSSGGLTPDGSLVQTGGFNDGDHVVRVFNNSCGKCDWVEIPFGLAQRRWYATNHVLPDGRQIIIGGRRQFNYEFYPKASPSEKSYSLPFLLQTSDPKIENNLYPFVFLNVDGNLFVYANNRAILFDYKLNKVLKMYPGIPGGEPRNYPSTGSAVLLPLRVVQGTVAVVEVLVCGGAPKGSFLNAQNGRFDGALNTCGRIKISDPVPNWVMETMPMGRVMGDMLLLPNGDVLIINGGLNGTAGWEFGRNPVFNPVIYRPDNPLTSRFEIQNPTTVPRMYHSTAVLLRDGRILVGGSNPHQYYNFTNVLFPTDLSLESFSPSYLDANSSGLRPTIISPATQTNIQYNQQIVIRFTVPNTVNLNRISVTLVAPSFNTHSFSMNQRLVVLDGGTTTKVIGESTTYQVKTMAPSSNNIAPAGYYMLFVVHQEVPSEGIWIKIH >KVH87617 pep supercontig:CcrdV1:scaffold_2862:39763:44876:1 gene:Ccrd_025098 transcript:KVH87617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MWSVDVGIQRRTEGHSDFFVVDVGVTVASVADGIVAADEFNSCAIVFAREVEIERLRPSLTLIRKTNRNPSSVPLFGVLLIVLLLTGIFLFEVDNLATQTKTIVGYNLKPTPWHEFPAKKFNNETKIARASKIIQCSYFSCGRSRNEPIPFTNQADNCPTFFRWIHHDLDPWSVTRISYADLMEVKKFASFRVVIIGGKLYVEYYYDCVQSRAMFTIWGLLQLLKRYPGRIPDVDLMFDCMDKPLIQKDASTKPLPIFRYCTTPDHYDIPFPDWSFWGWPEINIGPWEEEFQSIKRGSQQQSWKKKHPYAYWKGNPDVFSPAREALLMCNDTKQWGALIMRQNWTQEQLDGFKQSKLSNQCNHRYKIYAEGYAWSVSLKYILSCGCVPLIINPKYDDFFSRGLFPKKDYLPISPDNICPSVKTAVEWGNKHPAKAEAIGKSVQDFMERLNIDRIYDYMYHLIVEYAKLLDFKPNRPLSALEECIESLYCFADGNQTKFLARSATLPSQSPPCNLPQQANSQ >KVH87618 pep supercontig:CcrdV1:scaffold_2862:46896:48536:1 gene:Ccrd_025099 transcript:KVH87618 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MTLSPSDISFHLLLFLILILHHLPPSSAAGGHWSLLLPAIGITAMHMQLLPNDRLVIFDRTDFGASNISLADGKCRTDQNDMALKLDCTAHSVEYDVSANSIRPLTVLTDVWCSAGSLAPDGSLVQTGGFNDGDHVVRVYRSCDKCDWQEIPFGLIQRRWYATSHILPDGRQIIIGGRRQFNYEFYPKRSSSEKARSLPFLVQTYDQQIENNLYPFVFLHTDGNLFIFANNRAILFDYSMNQVLKTYPQVPGGDPRNYPSTGSAVLLPLRIVQGIVAVVEVMVCGGAPKGAHLNAKNGKFDGALNTCGRIKISDPNPEWVMESMPMGRVMGDMLLLPNGDVLLINGGSSGTAGWENGRDPVLEPVIYKLNYPIGSRFEVQNPSTVPRMYHSTAVLVRDGRVLVGGSNPHECYNFTNVLYPTELSLEAFSPSYLDPTANGLRPKILSTMTRIQYGKKVVIQFTVQDQLDRNSVSVTMVSPSFNTHSFSMNQRLLVLEGSNGTKVVGRLKYEVGVTAPPSGEIAPTGYYLLFVVHQDIPSEGVWIHVE >KVH87620 pep supercontig:CcrdV1:scaffold_2862:63420:65868:-1 gene:Ccrd_025101 transcript:KVH87620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MGVFQKGYVSLREAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KVH87612 pep supercontig:CcrdV1:scaffold_2865:2277:12662:1 gene:Ccrd_025102 transcript:KVH87612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPTINIMGVPGQWTKNAPSIYAISTSCTTSLSKGKMYHDMRIPDNCGVYSLRSIKANLPAKERNERRASFAVSRTDQIVDLLKRHEMEVSTLANLSSLTT >KVH87611 pep supercontig:CcrdV1:scaffold_2865:16379:26607:1 gene:Ccrd_025104 transcript:KVH87611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MGTNPLEDAFEKFCAGVSIYGPFWDHMLGYWKESLNNPQKVFFLKYEEMKEQPELHLKKLADFLGCSFSSKEEEERTVDEILEICSFDNLSNLKVNKEGKLPSGEDFSAFFRKGEVGDWKNHLTPEMVERLDNICEEKFQGSGLFMLPALPEHWIYTFMWLLGGVIAFNHGSALEPDHSLPKYLQDPLVSKECKDLMSTLSKNRGWVLPVMYNYQGFWHSISHLQGVLSFQKHFPAQDTDIFVVTAPKSGTTWLKAISFAILNRTSYPITSGDHPLLKTNSHELVPFVEDIYADNPNPDFSSMPPPRLFATHVSHVSLPESVHVSKCKIVYMCRNPKDLFVSAFHFTNKLRLEHMGVNSIEEMFDLFCKGVSLYGPYWDQVLGYWNESLQRPKQVLFLKYEEMKEHPGTELRKLAEFYGCPITPKEEEEGIVDAILRLCSFETLSNLEVNKNGTLTTGLSNQAYFRRGEIGDWKNHLTAEMVEQLDMITEDKFHGSGLTF >KVH87613 pep supercontig:CcrdV1:scaffold_2865:5305:7847:-1 gene:Ccrd_025103 transcript:KVH87613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQLLRILKTVPAGADDVFCAYDSYEQEANMNKSSRCTNPFLSNEENVKGNVWNNPELECSIFGNDFTNNHEDEKFRKSLAAACNVPTHLFGNTTGFYIDRKSVEYELPELMVCYKESDFHVKSICIDEGICDDETILIDENNCEFLCNYVAVNEDDGMIEANLDTEFSNNCADDFPKGAIARSEAAEVGQNGKTTDISNKNEMDPESIHEETQIAVKHQDVANQMHYSEGEACFSMAGSISGPGSCELLFFSATMASNST >KVH87610 pep supercontig:CcrdV1:scaffold_2866:24860:36337:1 gene:Ccrd_025106 transcript:KVH87610 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase MSKSKKTKTIHSSQSGFSFSPFNDDDDDFQNPTQSLPLTQRKSSSSQLPKHSNAAINRPPKKPKKNLAYLGKENLEKPPDLGLGSGSGSDFSLESMESTVFRNNVKGDDGVSFKDDEKVMKPNEGLSYLSNSIESRLLLRSRGNCGLSDCENAAVKSDEGADERFDDYQEAGTTQLDVLLNLCCSSERKEIEIGDNMDVGDSISCPMCGVDISNMSDELRQVHTNECLDKEQAQNHVDIPDSDHVMECPGQVLDGPPSGAPGQVLDESSCHPPGKMGHISPVVEWLHNMGLSRYEEVFIREEIDWDSLKWLTEEDLHKIGITALGPRKKIVHALSELRIEGSAEMNIPEKVADKENKIITNKLITDFFPGFAGKVKKSCITGAQVGKTNSNDGNKRGGVKMHFSNKKLRDIPSWCSITGTPFRVDAFKYLQRDYFYWFLTHFHMDHYQGLTKAFCHGKIYCSLITAKLVNLKIGIPWEKIEVLPLNQKINIAGVDVTCFDANHCPGSIIILFEPPSCKAILHTGDFRFCEDMTKISSLQTRVHTLILDTTYCDPQYDFPKQEAVVQYVLETIQAEAFNPRTLFLIGSYTIGKERLFMEVARVLRKKVYVTAAKMRLLECLGMPKEDMQWLTLDESESHIHVVPMWTLASFKRLKHASNMYMGRYSLIVAFSPTGWTFGKGKKSSPGRRWQQGTIIRYEVPYSEHSSFTELKEFVKFISPENIIPSVNNHCPESASRMVSLLSS >KVH87609 pep supercontig:CcrdV1:scaffold_2867:4410:13527:1 gene:Ccrd_025107 transcript:KVH87609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MKDKIGFKEEKVDSSVHFASLAIGLNMSKRIELKVDMYCEKCRTKVLKAVSKLSGINEVSVDLKKQVLVVIGDVDPVSVVCRVRKIGKRANIISVLLADAPKPEPEDPCQCPIVYPSCNDVCPTIVVGY >KVI06703 pep supercontig:CcrdV1:scaffold_2868:68671:70096:1 gene:Ccrd_014942 transcript:KVI06703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MVGWAIAVHGGAGVDPNLPTDRQEQAKLLLTRCLNLGISALRSSASAIDVVELVVRELETDPIFNSGRGSALTENGTVEMEASIMDGSGRKCGAVSGLTSVKNPVSLARLVMDKSPHSYLAFSGAENFAKQMGVERMENDYFITEDNVGMLKQAKEANSILFDYRIPTSGYESCGVGVESPITMNGLPISVYAPETVGCVVVDSQGRCAAATSTGGLMNKKVGRIGDSPLIGAGTYACDVCGVSCTGEGEAIIRGTVAREVAAVMEYKGLGLQAAVDFVIKERLDDGKAGVIAVSEKGEVAYGFNCVGMFRGCATEDGFMEVGIWE >KVH99548 pep supercontig:CcrdV1:scaffold_2869:18883:22794:1 gene:Ccrd_022216 transcript:KVH99548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MAATAFNGSIGALQSRGYVGNSALYQECGNSSLRLTSKGFQFDLGLSGKERHFSRKRGFCLIQASSSQTTVSNQVSTPLNNTKVDSPKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAVEAGKRISNIPVDMIYTSALIRAQMTAMLAMTQHRRKKVPIVLHNESEQAKSWSRVFSEETERQCIPVVTAWQLNERMYGELQGLNKQETADKYGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKQQIEPQLQAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELATGIPMLYIVKEEKYIRRGSPAAPSEASVYAYTKNLARYRQKLDEMIH >KVH99549 pep supercontig:CcrdV1:scaffold_2869:44506:51862:-1 gene:Ccrd_022218 transcript:KVH99549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helicase MAGATAMA 3 MGSREKPLFDLNEPAVEEEDSGSAICFPPLAVASTVDTSKLFTTSASPNRTVNSNVISHPSSVSGLQPFIRSKGDLASEGGEDPKMETDTSSVIEKEEGEWSDVEGSADANRSRSVPEMSTSGYDDKSQVKKTTKMMNQSDSNMAAVVNSSSNVDDIKNENSNHALLGLDSDSNYRKSSCSRNSEGDIAIYNQEDYTLAPKRRDIKGAEATHALKLATSPGKRSKLDQQKEAMLGKKRSRQTMFLNLEDVKQAGPAKQVGPIKIATPRRQYIPPPTTTGIVKESRPLLDSSERSGEKLSQQTTTKDSKEVDQSCNEGNTYLESSDTKSECDDGDMSSGPITRSKRRNNGKDPATEDRPLSDHRQNSLKQPVDSRQMKHPPVSLKKPPPISQTPVDPKAGVKKLPSRKPSTVTTQYQDTSVERLLREVTNEKFWQHPEEAELKCVPGSFESVEEYVRVFEPLLFEECRAQLYRWYDVILLPASESRWNFKEGDVAVLSTPRPGTVRYKRSNCSTIPEESEVCGRVAGTVRRHIPIDTRDPIGAILHFYVGDSYDSSSKDDDDHILKKLHQKGVWFLTVLGALATTQREYMQTAILQPSPDHFPKYEEMAPTMPECFTTNFVDYLHKTFNGPQLSAIHWAAMHTAAGTTNGLTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQATESLSSESAPIGSIDEVLQNMDQNLFRTLHKLCPKPRMLVCAPSNAATDELLTRVLDRGFIDGEMKVYRPDVARVGVDTQTRAAQAVSVERRTEQLLMKSRDEVYGWMHQLRGREAQLSQQIASLQRELNVAAFTGRSQGSVGVDPEVLVARDQSRDSLLQNLAAVVENRDKVLVEMSRLFILEGRFRSGGNFNLEEARASLEASFANEAEVVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEYRMHPQIRDFPSRYFYQGRLTDSESVAKLADELYYKDPLLRPYVFYDITHGRESHRGGSVSFQNVHEAQFCFRLYEHLRRSIKSLGMPKVSVGIITPYKLQLKCIQREFAEVLSSEDGKDVYINTVDAFQGQERDIIIMSCVRASGHGVGFVADIRRMNARQCYMDMDLLPKEFLAPKVAQPPSYGGLPPPYGGPPPPPPPPPAKFSNSRGGYMRPGFRHRSEDEGYGKTNSTSRNGIYRQPSDEFDRSRNGWQYGGDRRHNSNGVGGRRDHP >KVH99547 pep supercontig:CcrdV1:scaffold_2869:21879:25801:-1 gene:Ccrd_022217 transcript:KVH99547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDNLWDQSPYCVESRNHLQSPNCFPPGNFNSLLSLNFSLLLLFQILVKSPERKKDLFQAMNPQLNKPNSNLLLTPEDEQKMITEVRETIKKHKSIKKMRECRPDEFSRFCCDGSISRYLRARNWNVKKAVKMLETSLIWRMNYKPEEICWEHVAAEAETGKIYRSSYRDKNGRAVLVLRPRFQNSNSTRSQIKYLVYCMENAILNSPSDQEQMIWLIDFQGFNLSNISIKSTKETAHILQDHYPERLGLAILYNPPKFFEPFYKVVKPFLEPKTANKVKFVYADDPNTKTIMDNLFCMDELESAFGGKDEENFDIMKYAEKMKEDDAKRIALHRGESGSETASSMPIANPDSDTEKLSDTNSIMTNVDEARKVSCTT >KVI06650 pep supercontig:CcrdV1:scaffold_287:89285:94336:1 gene:Ccrd_014999 transcript:KVI06650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar import/degradation, Vid27-related protein MGAAESRQDLEISDSESEEEEQQSEEEEEDEIYEDVKDHHSATKTPSKTPSSVDEIDAKLKALKLKYESKSQNLKNAVKLYIHIGGNTSKSKWVVADKLTSYSFLKTTMNEEEEEDEAEGDGDLYWFLKVGSKVRSLVDQRLQLKPVMDKLQIYFVSNGVYALKFSSIEDYKGFIELYEKCLFENTYGFECTDQNKAKVYGKDFVAWANPEAADDSMWEDAEDSFSKSPAFKTPVRANHDLREEFEEAAKGGSIKSLALGALDNSFLVSDSGIQVVKNFSHGIHGKGVYVNFDSGMTGHVESTPRKALLMKAETNMLLMSPMTDGKPHTRGLHQFDIETGKVVTEWKFAKDGTDITMRDVTNDSKGAQMDPSGSTFLGLDDNRLCRWDMRDRHGIVQNLADANTPVLNWTQGHQFSRGTNFQCFATTGDGSIVVGSVDGKIRLYSVTSMRQAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLVLICTLYTDKDGKSKTGFAGRMGNRISAPRLLKLNPLDSHRAGINNKFRGAQFSWVTEDGKQERHLVATVGKFSVIWNFQQVKDGTHECYRNQVGLKSCYCYKIVPKDDSIVDSRFMHEKFAVSDSPEAPLVVATPMKVSSFSISSRLSYA >KVI06644 pep supercontig:CcrdV1:scaffold_287:272313:278350:-1 gene:Ccrd_015005 transcript:KVI06644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAMASAALHRTNLVQIQSTLSFFIINHNYFASGSGLVFRSTEEMDGGGVGVGVGGDAKAMKVEAPVTRPDQGFNLEPDVSVSSPVTRQKAAAAKQLIENHYKNYLQGLQDRKERRRALQRRAAEAQVSSEEEEQMLRNLEKKETEYMRLQRHKIGIDDFELLTLIGKGAFGEVRLCRAKTSGEVFAMKKLKKSEMLSRGQVPNRSHFQ >KVI06647 pep supercontig:CcrdV1:scaffold_287:112028:116585:-1 gene:Ccrd_015000 transcript:KVI06647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MLSTIQKFKNHNQNSNSSSASLRLCLPEPPHTLLLDSISSSINYGIWFFQTNSPVRSELSGMDEMEVLVEGSTTNGEGFTNLPNNPISGVSIPAENTSDGPETEQKTSIDPKPSDLAFDNLSTSSETKPDGTEKDGPTSNTLVQEAALSSAPKPNDGLPNDSLSCVDTPKSTESPSGLAKLENNKSLVVANDDPPQVEMGGPVKQLKNMSSKVGDIDTAAPFESVKEAVSKFGGIVDWKAHRKRKHIEQELEKANEEIPLFKKKSEVAEEAKLQVLKELDSTKRLIEELKLNLERAQTEEHQAKQDSELVRLRVEEMEQGIADDSSVAAKAQLEVAQARHAAAVSELVTVKKELEDLQKDYAVLVSEKDIAVKKAREAASASKEVEKTVENLTIELMMTKESLESTHAAHLEAEEHRIGAAMAREQDALNWERELKQAEEEFKKVNQQIVSTKDRKSKLDTASALLQDLKTELAVYMQSKLTQDDNNNNAQNDIEAAKKNVEEVKQSIAKATEEIDYLKTAASSLKSELEREKTALATIRQREGMASVAVASLEAELNRTRSEIALIQAKEKEAREKMVEIPKQLQRAAEEADQAKTVVQKAHEELKKAKETVEQAKGGARTMASRLLAAQKEIEAAKASEKLAMAAIDALHGSVSADNELEPGVTLSVEEYYELSKKAHEAEEEANKRVAEAISQIDVAKESESKSLSKLEEMKLELGARKQDLDGALQKAEKAKEGKLGVEQDLRKWRAEHEQRRKSGARESFEVAKNVVSTSPQIPRPSVKTVLPESNSNTTESSPEVRGGLKKKKRSFFPRIFMLLRRKKSESFKRG >KVI06645 pep supercontig:CcrdV1:scaffold_287:156222:158245:1 gene:Ccrd_015002 transcript:KVI06645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKGLLNMKTDGIPAKLGYYVFDLFDPQNMLIKLENGVIPITISKTTWKPGPIECYYSGPLTTLKLLYVDTIQCDSVHIICERPCIASWSMDILRRREAIEIFTGGFGIGNAVKPLVDAQCEDSSRENEESDIKRYLDGIEHIFNRLKTLKSDFDEILKKARTSYPASVEFEGWQKNLIDLVVDDNMDCDASMNMPLAKSGGTKQASTSDSWQGVMEGAQDIVETPTQFFSHVEIIKRVEKAIKVYEKLQMLDFSLGLTQELGEVADAKENDPNRKCCGS >KVI06642 pep supercontig:CcrdV1:scaffold_287:305806:310736:1 gene:Ccrd_015006 transcript:KVI06642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MESISSSSSSSLHIHLRHRNLISLHLSSTNPSIISFPYRFATLHSQRFCALRTSSAGGGSSQHDGTAYGAADLLRKPVVEVKEEDAIDSSKDEDSDYEDDGGKRREEDGWVDWEDQILEDTVPLVGFVRMILHSGKYASGERLSSEHERTILERLLPHHPESEKKIGCGVNYITVGYHPDFESSRCLFIVRKDGEMVDFSYWKCIKGLIRKNYPLYADSFILRHFRRRRHNND >KVI06651 pep supercontig:CcrdV1:scaffold_287:64616:88367:1 gene:Ccrd_014998 transcript:KVI06651 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MFASPPTAANRLSTNISSFTSSFIFESSIVVDWFNHFVVRNFTGNGTYQVDSVDAFIQLHIFFSKLVSTLSISTHRLTYGDLSDSPKFASLLDALGASHIDSTCAFGENNDFLKEGMGAMKVKGSLSAAQKFTPDIFPQPSKMELLAGEKEGLLKLPIDKALLADPVFRPLVEKYAADEDAFFADYAESHMKLFELGFETKFKKFIDATVCSDQVAEIEVVAEFWSNGLRRQPVALIKDRDLLKDNGGESNMLEEKIQRLPAREEGWDKKMKRKRSVGTVFTRPMDSNGEQKRIVQNKSCPSKRTQVRRFLQGYGWISFQSGCLFESMVGDGYWVETLGAILIEIIGMDYGENKIEQVEMIVKRN >KVI06648 pep supercontig:CcrdV1:scaffold_287:140528:143943:-1 gene:Ccrd_015001 transcript:KVI06648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like protein MGSPNPSSSATPNPFAFFHQHFLRLGSDIASRFDETRREIAKNLFPFPPPKHQRSSLPFASLSESQSQQYQDDNKLRGGKHVFDLALSSEHVSKTLAGTELYTVSNSDNEFVLISDPNGLKSIGLLCFRKEDAEAFLAQVRLRKGELRGRAKVVPIALDQVYLLKVEGIAFRFLPDPVQLKNAMELKSSATKSGFDGVPVFQSDLLVVKKRNKRYCPIYFRKEDIEKELLMVPRGPRGPGMSQHIMVGSLEDVLKKMETSEKNSGWEDLIFIPPGKSHSQHLQEVVKA >KVI06649 pep supercontig:CcrdV1:scaffold_287:29532:29771:-1 gene:Ccrd_014994 transcript:KVI06649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MPMTEEQLKGILRRFDTNGDGKISRRELRVGLRNLGLRFAGFRARRSLRHADANGDGVISEEEMNELAKYVSKWGISII >KVI06643 pep supercontig:CcrdV1:scaffold_287:204833:208007:-1 gene:Ccrd_015004 transcript:KVI06643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRVSSPAMVKQTCLVASEMTGNNVLRTDFVEQEESSIMGSQKKDSSEWTNEKHSLYLKSIEASFVDQLYNSLDIQSCHTQKTCSSDAISSWKNNGNTSGQFKVLQAGRWSKKNFRRENSQLKDADKPHVSPGNPWIQHFTNGSRHGAVAFPTLQERPSSTAISLQSPVPESQLHQDSAEVTDQNFVEDTSIHRTHSRKRMRTCIAAHASNDQVVPFCTSPATEIADTYVSPKE >KVI06653 pep supercontig:CcrdV1:scaffold_287:44151:54295:1 gene:Ccrd_014996 transcript:KVI06653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase, large subunit, C-terminal MLAKVLCMFGGDHIHYGTVVGKLEGEREITLGFVDLLRDDFIEKDRSRGIYFALLEACVQDRDEGCDHATEGNEIIREATKWSPELVKNVSIRGRAERERPRGTEGEPRGRAERESGVVGQPLTVGQPVTVTVAAGVVDTGEESEQQQQRVVDL >KVI06654 pep supercontig:CcrdV1:scaffold_287:43312:43944:1 gene:Ccrd_014995 transcript:KVI06654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRILISWQHFDKLLNLEFRLKNLGRSSCRIFCCTWTIVLDRYKGRCYRIELVPGEGNQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDDNVNSNHLRFGETTSYVVPKLFIKHKLIQVKLKGIT >KVI06646 pep supercontig:CcrdV1:scaffold_287:171839:175213:1 gene:Ccrd_015003 transcript:KVI06646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MSWSIHAAVQKQRILPHQTLFSFAKLQNMKTEFKNPSTFLSSPLDRSSMRSWHLKDKTPYDNRSTVSQYQPIQCMANGDLEELSDEDEELCPVECVREIKKDEELLAVLEKAKQAKTLVVVDFYRTSCGSCKYIEQGFAKLCKGSGNEDAAVIFLKHNVIDEYDEQSDIAERLRIKTVPLFHFYKDGILLEAFPTRDKERIKAAIEKYTAAAPATAQNA >KVI06652 pep supercontig:CcrdV1:scaffold_287:54676:57306:1 gene:Ccrd_014997 transcript:KVI06652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLKSAALMDQMKAHFSTDAGKALTNKIGLVYQINIAPKLWVVTCQGETLEKTVISSQGPPLTNLNKRRDDGILFPKHPAVHMKAESRMPPFLSQTTTSSRGVMKVKGSLSAAQKFTPDIFPQPSKM >KVI07152 pep supercontig:CcrdV1:scaffold_2870:55610:59773:1 gene:Ccrd_014489 transcript:KVI07152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSIICGFPLLECVYCIACARWAWKRCLYTAGHDSETWGVAAAEEFEPVPRLCRYILAVYEDDLRCPLWEPPGGYGINPDWLILKRTYDDTHPQAPPYLLYLDHDHADIVLAIRGLNLANQKDYAVLLDNKLGQRKFDGGYVHNGLLKAAGVVLDAEYDTLKNLLEQYPNYTLTFTGHSLGSGIAALLAVVVVQNRHRLGNIDRKRIRCYSIAPARCMSLNLAVRYADIINSVDDFLPRTATPLEDIFKSVFCLPCLLCLRCIKDTFTSEEKKIKDPRRLYAPGRLYHIVERKPFRCGRFPPVVKTAVPVDGRFEHIVLSCNAISDHAIIWIEREAKRALDLMLEKDPLMEIPTEQKMERQQSLAKEHGEEHAAALKRAVKLSVPHAFLPSQYGTFHDSEGAFYVGESSTKHTPGVTWDDLIESLFEKDESGHVVFKKPHSIV >KVI07155 pep supercontig:CcrdV1:scaffold_2870:63565:64626:-1 gene:Ccrd_014490 transcript:KVI07155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MPASQTSVQLPVFDISKSITPSSISSLSLACKEWGFFHIINHGISKDLYAKLRFLSYQLFSLPYELKLKAGPTSNTKTYTPHFIASPFFESLLVSGPDFHASAQSSVEALLNQPNSEFCEALREYGGKMRSLSKKITEILLMCLGEDFEREFESEFSKCEGYVRINNYSPPESRMMGNDVEGLGMHTDMSCLTIVYQDDIGGLQVRSKDGKWLDIDPCEETLVVNIGDLLHAWSNGKLRSSEHRVILKESSTNRFSLAFFWCFEGNKVIFAPDEVVGDGLRSYKPFLCCDYLRFRENSERGKFEKVGFTVKDFAGIGG >KVI07154 pep supercontig:CcrdV1:scaffold_2870:11142:14306:1 gene:Ccrd_014485 transcript:KVI07154 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MENLEALPFAMAAFFSFMLWRVLYSCWVLPIRVYRKLAINGFSGPPPSFPLGNTIDMKKAKKIESSSSSLTVSNDIHSSVFPYFAQWQKSYGKVFVYWLGTEPFLYIADPEFLKKISSGVMGKSWGKPTVFKNDRKPMFGGGLVMAEGDDWVRHRHVITPAFSPANLKAMSSMMVETTTSMLDRWTELINSGKPEIEVEGEIISTAGEIIAKTSFGMNFENGRTVFKKLRAMQVTLFKSNRYVGVPFSKYLCLGQHLEAKRLGEEIDALLLPIIEDRKKSMAENMVAGGGGNKEQQNLLGVLLEGNHVGGDQKGRRLSTRELVDECKTFFFGGHETTALAITWSLLMLAVHPMWQHELREEVKQMGWVMSEVLRLYPTAPNIQRQVRGDIQVDQDSVIPDGTNMWIDVVAMHHDRDFWGEDANEFKPERFKEDSLYGRCQHKMGYLPFGFGGRMCVGRNLSAMEYKIVLSLILTKFSFSLSPNYSHSPSIMLSLRPMHGLPLLMKPL >KVI07156 pep supercontig:CcrdV1:scaffold_2870:66066:68104:-1 gene:Ccrd_014491 transcript:KVI07156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKAERSSGKKKIVSACDVEALKKCLEEHKGDYIKCQSQIEAFKSSCSLKKPSDHPSAD >KVI07153 pep supercontig:CcrdV1:scaffold_2870:50024:51344:-1 gene:Ccrd_014488 transcript:KVI07153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36e MHLQVMAPKQPNTGLCVGLNKGHVVTKKELAPRPSDRKGKTSKRSHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSGGGAEKKK >KVI07150 pep supercontig:CcrdV1:scaffold_2870:41806:48147:1 gene:Ccrd_014487 transcript:KVI07150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCITTRSPTSYQLRLAALNCRKYPSPSVFLRMRGHKPDHQIRCFSFSRDNVTHQRTGSKFSLNAFSGWSGDDNDSEVLDESPPKKGWSGGIVGAAVAGVVLVAGLAFATLSVSKRVSGPKQNMETLTTHQEESLVSADQNDDVIEDEGTESETAFLDDSHLEDKTGRDDDSYSHEKENKTMTESELGQNTNKEDGEVMNNVSIQREFQEELPTDEVQGDSVETPKSNVHDDSFDASNIENLDDSLVAEKLESSNKLTENPIDFNIIESSVMDVKSENPDIDHQEVDAAINGLDKSTLPSPLITLLDSQSEQVAEKVSISSQSDVILEPQLAHEEDIESISSKKDDFGNSMLFPEREVSGGGLSIPVPESALPYTFASEQNHIDHNDNIRRTIFDSMDFEKLSSSASVPAPSLLSPALQAVPGKVLVPAVVDQVQGQALAALQVLKVIESDVHPGDLCTRREYARWLVSASRLAEAGLIASKLSRQDMDISNQDECPLYFGPQSPLSRQDLVSWKMSLEKRLLPVADRTILQRLSGFIDIDKINPDAWPALIADLSAGEQGIVGLAFGYTRLFQPDKPVTKSQAAIALATGEASDIVSEELARIEAESMAEKAVAAHSALVDQVQKDVNTYFEKDLLLEREKIVALEKIAEETKQELERLRAEQVEQNIKIMKDRAAVDSQMEVLSKLRHEAEEELQSIMSNKVEISYEKERLKKLRTDAETENQEISRLQYELEVERKALAMARSWAEDEAKRAREQAKVLEDARGRWESQGIKVIVDNDLRDEANAGPTWVSGQNQFSIEETKSRAENLVDKLKAMAADVKGKSRETIDKVIEKILFLISQLKEMASKAMRQMGEVKDGAVSKMSGSMQDLQQGSVGLVSAAKEGAKRVAGDCREGVEKLTQKFKT >KVI07151 pep supercontig:CcrdV1:scaffold_2870:31055:35217:1 gene:Ccrd_014486 transcript:KVI07151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22B, signal peptide peptidase MEPLWKLVFLMEPAPVTLILTAIAVTFGSAFRALNYGKEMEKNRDLSEASITLDRSQALMIPVMSSCSLLMMFYLFSSVSQLLTAFTAIASVSSLYFCFSPYIANVKSQFGLSDPFVSRCCSKSFTRIQALLLFLCFSTVVAWLVSGHWILNNLLGISLCIAFVSHVRLPNIKVCAMLLVCLFVYDIFWVFYSEWFFGANVMVAVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGVVPGNTASDFMMLGLGDMAIPSMLLALVLCFDHRKDKEVASPLDISPHKGHKYIWYAVSGYAIGLITALAAGILTHSPQPALLYLVPSTLGPIVVISWTRKELKELWEGSTPNMSEKTHSTEV >KVH87608 pep supercontig:CcrdV1:scaffold_2871:29158:32193:1 gene:Ccrd_025108 transcript:KVH87608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKKKRYQFLAFVIGYMFLSHIAGRCRETVGERGSSQTGKFSILDCFDGGSGTVVCGVKESVKYYTNNIRTVHVELARNKAFESSLADALSQGIETKTATKQAKKAGDKAAKIANKNANRILGPIVSSGWDLFEVIYYQGSVTEGSLRSAGTLCGTYMMGFLAEERYGKLGYLIGSQLGSWIGGKIGLMAYDVVNAMHFLLHIGRIE >KVH91257 pep supercontig:CcrdV1:scaffold_2874:67277:70620:-1 gene:Ccrd_006722 transcript:KVH91257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MEVPGAQSSLEKVYHGNLQANKMVSEKDMRRKKAFVVIEINTAFSSRRRRDSVRETWMPRGEKLIQLEKEKGIVVRFIIGHSVTSKSILDRVIDSEESQHMDFLRLEHVEGYHELTAKTKTFFATAFANWDARFYVKVDDDVHVNLDVSLGAWFIGLDVEHIDDRNMCCGTPPVVFADPWKESKKFTRNAAKKV >KVH91256 pep supercontig:CcrdV1:scaffold_2874:55856:66417:1 gene:Ccrd_006723 transcript:KVH91256 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin domain-containing protein MASTVCTLLLFFFSCFVCLYISPFVAAVNNVTYDSRSLIINGERKLLISAAIHYPRSVPAMWPGLVKTAKEGGVDVIETYVFWNGHEPSPGNYYFGGRYDLPKFVKIVQEAGMLLILRIGPFVAAEWNFGSKKMVENEYGYYEAAYGEGGKAYTQWAAKMALSQNTGVPWIMCQQWDAPDPVINTCNSFYCDDFKPSFATMPKIWTENWPGWFKTFGGRDPHRPAEDVAYSVARFFQKGGSAHNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLPRFPKWGHLKELHRAIKLCEHALLNNKPTLVHLGPLQEADVYEDQSGSCAAFIANLDDKSEKTVGSQTSTIEMEPEQLKPSIASPDKDLKPLSWEIFVEKAGIWGEADFTPSAAGNGTVSPFKFKSPVSLKAGKNEIAILSMTVGLQNAGSFYEWVGAGLTSVKLKGSKNGTMDLSNSTWTYKIGLEGEHLGLYDANGLKNVNWRSAVVDAPPGDEPVALDMVHMGKGLAWLNGEQIGRYWPRKAPIDKCVKACDYRGKFNPDKCNTGCGEPTQRWSWFKPSGNVLVMFEEKGGDPTQIRFSRRKLSGLCAHISEDHPSFLCLNKNECKVGLTEKNFRTEICPGVMKKLAVEAMCS >KVH91259 pep supercontig:CcrdV1:scaffold_2874:35904:38077:-1 gene:Ccrd_006725 transcript:KVH91259 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease MLPLTRFFPYHYGPFASDLEGLSNTKPVLRKGLPLKPFDQLMAILPPMSANALPLSYQSLMTDEDSSILDFYPTETQLTRFIFCHLYSEFEIDTDGKTYFWELKKSIYVFPLRPVFSLPNITLWCFHHLLKGVCKLPFIEEEPLLAATKKIEKELSEEEAKRNAENFDLLFIHCSEHLAQQIINAKGSVEQNISIKIDTGLCGDINGFVHLEQESENFVSESDIEERPLWHAFKPHHGSWQVTRSYNHVQEVKRNHSVGFRSLSCPGVMVKGCGSGWSQRGRGYNATGTTVLNEQTSVPSFWDTNGRGSCGNSNGQQTSSDSGLGQSRRVFTQSTTYPAIMANNPNDQFWSVRTDSGSGSKQALQRWGGTQSRNSDR >KVH91258 pep supercontig:CcrdV1:scaffold_2874:7393:14306:1 gene:Ccrd_006726 transcript:KVH91258 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease MGVPSFYRWLVDKYPKIVVNAVEKAEEDDGTLPNPNGREFDNLYFDMNGIIHPCFHPEDDDVLAKQACLLFSVHRLKSRAYILGDTIESKKLTYEEVFESIFEYIDRILKIVRPRKLLFMAIDGVAPRAKMNQQRTRRFRNAKDREILGKEEERLRRQYELEGRDLLPIEESEVSDSNVITPGTLFMAQLSEQLQTYIRLRISSNPAWKRLKVILSDSNAPGEGEHKIMSFIRLQRTCPGYNPNTSHVLYGLDADLIMLALASHEVHFSILRENVLVEGNTRTSNSTELLSAGNSEANVANCRGWFKQFELNQGLKDTAERMSSLDISCKVSKRKSLVKKKPYQFLHVWILREYLHLDLKMMNLPDKFEADIERLIDDFIFICFFSGNDFLPHMPGLDIHEGAIDLLIHVYKEEFRNLGGYLVDVQKAEDKKGGYIKLKRVEKFILAVGVYEDKIFTKRSKLRESSLRRMLSKSEDARGKEEDSSPDGASSCSVRLPNHDIVENTKKLKEQLKSYARAMSDVSQNGLVTDVVKFGDHGWKNWYYNHKFKAETVEEMEKMRKILAFHRGNGEAHWFFPYHYGPFASDLKGLSSTKRTFQKGKPFKPFDQLMAVLPPTSAHALPVSYQSLMTAEDSSILDFYPTEFDTDTDGKRYLWQEERLLAATKKIEKELSEEEAKRNAENVDKLFMHSSENLALQITNTAGCMKQSSSIKIDTDLSGDINGFVHFKQDSEDASDSNGFANHRDVLCVYYEPPVSSLHIPCVLEGTTIPETVVTESDIQERQLWHDMRGYQGQIKRSYNHVQAGARTNPNVGFKSSSSPGVXXRGGGXGWSPRXRGYNXTRPXNHQTXXPSFSDAYGRRGARGNNSSYAQRSRWTDEPINSGFEQKSRSSFTTQSTRYPARTVANNNDHVWSVRTGSGSGTKQPVQRLGDPRSQNNDDRW >KVH91260 pep supercontig:CcrdV1:scaffold_2874:38096:42030:-1 gene:Ccrd_006724 transcript:KVH91260 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease MGVPRFYRWLLEKYPKIVVNVEEEDDVPNTNGREFDNLYIQKLMTRILLIVRPRKLLFMSIGKSSCSGKEEARLRRQYDLQGRDLLSMEESEVSDSNVIAPGTEFMSELSEQLQTYIRMRISNHPTWKQLKVILSDANVPGEGEHKIMSFIRHQRTCPGYNPDTSHVLYGLVNNPGFILHSCFTVLYFLRSVESCFTNFHHLLNWFIYRRSIFLKLSDYMHHLQDTDLIMLALASHEVHFSILRHQDEFLHVWILREYLNLDFKMMNMPENFESDSERLIDDFIFICFFAGNDFLPQMPTLNIYEGAIDLLIQVYKEEFQNLGGYLIDVQRVNDRNGGYINLKRVEKFILAVGTYEDEIFKKRSRIHERKLRRMISEDARGNGEENYLAVGSDGNSSCIVRPPDHNVIVENTKMLKRELKSYARERSYLYRNGRVTDLVEKYAEGLCWVLLYYFSDAPSWTWYDTSDRVKVYTLLLSTI >KVH87607 pep supercontig:CcrdV1:scaffold_2877:23089:36035:1 gene:Ccrd_025110 transcript:KVH87607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MAQSLNFFVSTSRSPDFAISTHSYSKPMFQTPNRLLKLAKSPRKPVVLVSADNGQGGLSAVAAEYVSDNGTKPIVTAPPDSSSIEVDAVTEAELKENGFRSTRRTKLVCTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHKTVIERVRRLNEEKGYAVAIMMDTEGSEIHMGDLGGASSAKAEDGEVWTFSVRAYDSLRPERAITVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIKARSRDGDIAVLAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPLAQQKIVQVCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPDKALTVLRSVSLRIERWWREEKQHEAMDLPDIASSFSDTNNLEVDALFVYTKDGHMASLLSRCRPDCPIFAFTTDASVRRRLNLQWGLIPFRLGFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >KVH87606 pep supercontig:CcrdV1:scaffold_2878:13596:14430:1 gene:Ccrd_025111 transcript:KVH87606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESKFSNTAENPIDVDDESNEVAILNVGQAKQRASDCSTRRETICKRPPKSREDSKDDDDFEIQDQNIRKKVKSVKEDTKGREDNGNDQNLSSSSYENIT >KVH87604 pep supercontig:CcrdV1:scaffold_2878:21429:31284:1 gene:Ccrd_025113 transcript:KVH87604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex, subunit 1 MFVANSKDFLRFTPFKEIKVAKFASSSSVPPWLNQFSKQFLSLVNISIELGGTLFPPFCHFSWIRKPLGTPCENRKLEAQLDEQMHLFRKLVYTKVDNDKENDLGSGIEQLLKELDQVISLMQAWLSSGGPQIFSHTLTRHREIHYDLTQEFNRLRSSLRAKRDHASLLEDFQEFERSRSQLEDDGGSQEQSLLEERGTLMRSTGKIDGVRAEAQETLGTLVFQRSTFGGINSKISNINNRLPTVNNILSVIRKKKSMDTVVLSMVASTMPFL >KVH87605 pep supercontig:CcrdV1:scaffold_2878:14859:16251:1 gene:Ccrd_025112 transcript:KVH87605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLYVDTIRCDLMHMIRKRPCIASWSMDLLRRRESIELSTGGFGIGNVAETLVDEQHEDRPRENEEIDIKIYLDEVEHTFNMFKTMKSDFDMILKKGRTIYPTSVEFDVWEKKLIDLVVIDKMNVDTSMNMSLAKNCGTKQASTSDPWQGVTGGAQEIVETPTQFFSNPETIKGVDKTIKIYEKLQMPDFSLGLTQEFEEVAEPKETAPNKEDNDVVPNVKPISEIYTGPSGPRASKVGGKIYSPYMNRQVDAHRPNTKVELILSNLIFAMEGAQ >KVH87603 pep supercontig:CcrdV1:scaffold_2878:33788:47418:-1 gene:Ccrd_025114 transcript:KVH87603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein MNGIIQTSKRSLLSLSRTLIPNIHERFPLQINKLVSRFPPIYATTVSFTSTLQDKSPFESNLLRMLTNEIEFESEYAPHPLDKKFNAFMVEDRPGEQFLTLRGRSTIDENIKIEATMFDGYGTISPVLRDEDTELNPQLHISLLVDISKGEGGDMLEFVCSAWPERLEIEKFYILAFDGKLSQPYIGPDFRVLDKKLQWSAYEFLNARGVNNDLSVFLHHYVWNKDKLEHIQRLKLLKSYLER >KVH87602 pep supercontig:CcrdV1:scaffold_2879:45972:57877:-1 gene:Ccrd_025115 transcript:KVH87602 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MSTNLGLSMASSTIPCCSPASSSSPSLRRTTVKTHCSIRTRNSIKAPFPPLNPKDPFLSKLASVAASSPEKLLNRPTNSDTPPYLDLFESPTLMATPATVERSVSYNEHRPRRPPPDLPSLLLHGRIVYLGMPLVPAVTELIIAELMYLQWMDPKEPIYLYINSTGTTRDDGESVGMETEGFAIYDAMMQLKNEIHTVGVGAAIGHACLLLAAGAKGKRFMMPHAKAMIQQPRVPSSGLMTGSDVLIRAKEVINNKDTLVGLLSKHTENSVETVTQVMRRPFYMDSTKAKEFGVIDKILWRGQEKIMSDVVPQEAWDKSAGIKSLDAM >KVI11946 pep supercontig:CcrdV1:scaffold_288:135574:137748:1 gene:Ccrd_009636 transcript:KVI11946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MVMESRFHGFSDYTNGFALDDENILPTFDHSPSLATGYRFRDEPLDLSFLDLPSPAPNHDPVTLNAFPGMPPQVHSTDEFADCVSRYLNEFLVEEDMESKQSTFHNPFTLQTSPLNFGPNVESPEEVLYGSSSEYSTYSSTSGSNFTDPRWLGSSDSFETKSSVTQTHSREYPSFGYTTRLTNDVNDTMDSFINTQMAQNIFTNSESILQFKRGMEEASKFLPPSKPLFIDLGKFDLPSDSTDASLEVAVKVEKVEMDNSSIGFRGRKHHHLEDNDYEDERSSKQSAVYEEEAELSEMFDRILLCTNAKGEPMPGCGDPPNHLSNNLRHARLSGTGSQLYASQKVMRVSAAEKLKAFQAYMSACPFKSNELYFANRTIYETALTSSTLHIVDFGIGYGFQWPILIKHLANRPGGPPKVRITGVDLPQSGFRPAERVEETGRQLANYCERFKVSFEYESIAAQNWEMIKIEDLKLQRNEFLAVNALGRFENLLDETVVANSPRDGVLKLIRDMKPDIFVHSVVNGCYSAPFFVTRFREALFHYSSLFDMLDATLDRKKEQRQNFEKAFYGREAMNVIACEGPERVERPETYKQWQVRNLRAGFKPRRLDRELVSQVKGKVKAGYHKDFVFDEDGKWIVQGWKGRILYAISCWVPA >KVI11942 pep supercontig:CcrdV1:scaffold_288:279006:280507:-1 gene:Ccrd_009647 transcript:KVI11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MAEICCGIVNEKEASPSGEASSQAARRKRMEIRKVKFVAGVPTIVNDKGCKKARLSISPASFSRGYNYALQNSRFSERKPTSENDDRADVDKPTTSLVSTSSSPSGSRESIPKFGVASVCGRRREMEDAVAIHPSFIGNDDDQTSNLHYFGVYDGHGCSHVARRCEDRLHLLVKEEVISKKTESIEWMNTMERSFSQMDQEVTAWNQQAKIGDCRCELHSPESYGVGSTAVVAVVTPDNIIVANCGDSRAVLCRNGKAVPLSSDHKPDRPDELKRIEAAGGRVVYWEGARVCGVLAMSRAIGDNYLKPYVSCEPEVTITKRTGEDECLILASDGLWDVVSNETACGLAKMCLKGNAPSEEMNSPPMSADASSYENCDKACSDASLLLTKLALARQSMDNVSVVVVDLRANGSS >KVI11943 pep supercontig:CcrdV1:scaffold_288:251426:264268:-1 gene:Ccrd_009646 transcript:KVI11943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIA, alpha/beta subunit MQTYLYSPLYTLFLFRTQSNPSRLQALKPSPISTLKSNIVPTPCGIGEMASSTTSTVYVQVIEDVISKIREEFINSGGPGEGVLNELQGLWELKMMQAGAIFGPIDRSASKLAAPGAPTNPVHDLNVPYEGPEEYETPTADLLFPPVSCLWQVDTFVEQLFELLFMPSSFVSLSSIVCVPTPLQTPMQTPLPAQTPLPGTAPTPLPGTVDSSYNIPTGGTPITPNDYPPVNEDGASESRAGRPSPYMKSRKGTLYIQIPSSMQNPVIDFINNICDFFVQQPPSPWLNQRPPLDVNVAYVEGREEVERGVAGQPTTQDFFQASTGKRKRDDFSSQYRPGGYIPQQDGAGDVFADKSEVGQGSRSQLGIVIAGNKKLGHGLKLSQIPQLDGPIPDPYDDAVSTPNIYNYQGVVNEDYNVANTPAPPELQAPTPAMVNQNDVIDDDEEEPLNENDDDDDLDDVDQGEELSTHHLVLAQFDKVTRAKSRWKCTLKDGIMHINNKDVLFNKATGEFDF >KVI11954 pep supercontig:CcrdV1:scaffold_288:237369:240213:-1 gene:Ccrd_009644 transcript:KVI11954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGDEVRISDYDVGGADDDGRVLEWEVGLPNVNDLPSLSQLLISAELAYAFSITPETHRSMNDVDRASRDTLASLRGQSQHLMNKINDLNSFSDDRGEEMVVEGEETLDLTREGSDSRKLRRVDSGGAVGGAGEADDSSTKTSKRTRLVWTPQLHKRFVEVVARLGVKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSDEGSSPSDHLFASTPVPKGLHDSGGGGGNGSGMPYPPQLVPMPYPPPQMVPNPASGGGRAYHHHGFESHSHPYNYNIMMQPRDWSGNNFGSISAYQHRMTSNDE >KVI11945 pep supercontig:CcrdV1:scaffold_288:121433:125846:1 gene:Ccrd_009635 transcript:KVI11945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVLQKRLEYGFNGYQVPPTPRAPRSARKRVKKNEDHNQMYAFDLLATIAGKLLLEGNNSADNKLSREETVKETTVKTEEDSSKANDCDQESCNKSFFVSEIIPKALVTDTCSGPASGITVSDCSDKVPSASRSLNGENVGCKIEDINDKQMTYEAPNNGKPPVVNNLDSNVNLVVRDDDENSSWCTQPQALNNKTFRPPSRIGDRRIRRLLASKQWKVAPKLNNGVHFNTDADLKPVSYHSKKTCYKHQRSLRDYPFKKRRLYQLENPSNSDNRSGSPRKGSIEDDSSLGAAEDPAFQAQDSHGNGKPFDGVINIYSSISKLIDVFVFNSVKLKIKSFRVPELFIEIPETATVSSLKRTVMEAVTAIFSGELHVGVMLQGKRIRDDNKTLLQTGICHDNKLDALGFTLEPNQLQVPPSQCPEDRSVVPPPATPKPLTRYSPPQSVANQVVIQQEMTNSGKITESDDDNRSGCDSRALVTVPAIIDNPVSKSKQAEVAQRRIRRPFSVSEVEALVEAVEKLGTGRWRDVKLRAFDDAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLEAHAYWSNNQFKTQTCRLV >KVI11951 pep supercontig:CcrdV1:scaffold_288:88290:92625:1 gene:Ccrd_009633 transcript:KVI11951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTNANVPNPHVIGSTVVELSRNGRTLAPSQLGPSCIFVGPIESASQETLEALYRQARDAYYSGKPLIVDDMFDRVELKLRWYGSKYVVKYPRCSLRQQSTYADAEEDPAQVFALASVWLLFLGFGSSACLVPVIYTFGQAFKDALDSGLSISSQAPVLEFIAMFNGMLIMMLGSMIGYPVASASVGALQGLWKNDLVALKGACPNCGEEVFAFVKSNQFNNSTHRAECHVCECLLEFRTKVERSIARPEKRWVYGRIYLIRRRRRNRGERWT >KVI11941 pep supercontig:CcrdV1:scaffold_288:298573:301282:-1 gene:Ccrd_009648 transcript:KVI11941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G, N-terminal HLSLLILTLFFYGNSSKKHNRSLQILSISYAAMGIDLLGNASSQKPRWGELEEEDDGSDYDFLLPPKQVIGPDEHGLKKVIEYKFNDDGNRVKITTTTRVRKLANARLSKRAIERRSWPKFGDAVREDVGARLTMVSTEEIILERPRAPGTKAEDSNASGDPLAQMSKGGAVLMVCRTCGKKGDHWTSKCPYKDLAQPTETFVENPNPADSSATGASGATKGTYVPPTMRAGAVRPTAGADMRRRNDENSVRVNNLSEDTREPDLLELFRPFGNVSRVYVAMDQKTGMSRGFGFVNFVRREEGERAIAKLNGYGYDNLILSVEWAAPRAN >KVI11939 pep supercontig:CcrdV1:scaffold_288:166457:171886:-1 gene:Ccrd_009639 transcript:KVI11939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSMITSVVSPPPLTCLVVTFLFLFILTVYSLIRRSHHRTQPDRLRLPPGSVGWPYIGETLKLYTENPNSFFSNREKRYGKIFKTHILGCPCVMISSPEIAKIVLVTQSHMFKPTYPPSKEKMIGPEAIFFHQGPYHSHLKKLIQSSFLPSTIKRSVSQIEDIVLRLLPTWEHDNTINTLQEMKRYAFEVAMISVFGNKLESAEMEGIKGLYQCLEKGYNSMPLDLPGTPFNKAMKVYIYTYIHIMQSTLSKNRKLMNLDLLQARKGLSEKLRRMIEKRKESGENGEGLLGAFLSSNEEEGVKMKMNESQIADNIIGVIFAAHDTTASREQEEIRCKRVEANRGITWDDTRRMPFTTRVGRFFHSLEPSTTPLTSSHTPTNSTLQDSRFLLGQTRICPSGMVPTRVPEAIWLSSRCSSYSITSPPPSGNYSSLSLINFNPGLVIWEVIGEDDGIQYGPFPVPQHGLPIRVRPLN >KVI11938 pep supercontig:CcrdV1:scaffold_288:28832:32928:1 gene:Ccrd_009628 transcript:KVI11938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative domain XH MSQQRVETSNSDPEFEGYKYKSYEELRDGRVNVKFAENIFRCPYCRDGRDYTYPDLLRHASWIAINSTELKEKARHMGLEEYLEIDLHVQLLSEEKSKKSEEIRRWGDSHMATVMDLREAMIVKFNSDVKMMQVKANEQLKKITLEYEQSILLLEDRERELRDRERRLRTREAINESEKMELDNDKIMNELLILEQKKAHERVLKLADDQKREKLKLQHRIIKLQKNLDDKGRLELEINRMKGAIEVRERIIDEDFHARKKLELLEEDLKKKEGELEGLEELNQALIIKERLTNSDLQEARKELILALKDNNARARIGLKRMGELDEKPFLAAAKKYRSVKAIKSSSLWEERLRDPSWHPFKIITINGDSKEILDEEDDHITSLKDECDEDVCNAVVTALKELNEYNPAGRYPVAELWHNKEKRKATLKEGLEYLLKQWKKHTQHGRG >KVI11956 pep supercontig:CcrdV1:scaffold_288:186695:188907:-1 gene:Ccrd_009640 transcript:KVI11956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGVSSFLAALLFLTCFVNLYLGVALAKRSGTGVTRHYKFNIRMRNVTRLCETKSILTVNGKFPGPRIIAREGDRVVVKVVNHVSNNITIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNFTITGQIGTLWYHAHVSWIRVTLYGPIIILPKRNASYPFVKPYKEVPIIFGEWWKADPEAVINQALQTGAGPNNSDTFTINGLPGPSINCSSKETYRLRVKPNKTYLLRFINAALNDELFFRVANHTFTVVDVDANYVKPFRTDTIFVGPGQTTNVLFKTKSLFSNDKFLMAARPYSTAAAGTFDNSTVIGVLEYDGNTSSSSSNIKALPLPSLPAINATAYVANWTSSLRSLGNSQFPVNVPRTIQRRFFFAVGLGTSPCPVNQTCQGPINGTKFKAGVNNVSFTMPTTALLQAHFFRRSNGVYTTDFPTTPLNQYNYTGTTPNNTMVTNATKVVVLAFNTTVELVLQGTSTLGAENHPLHLHGFDFFVVGQGSGNFNSTADPPKFNLVDPVQRNTVGVPSGGWVAIRFQADNPGVWFMHCHIEIHLTWGLRMAWVVMDGKLANQKLPPPPADLPKC >KVI11955 pep supercontig:CcrdV1:scaffold_288:194804:197774:-1 gene:Ccrd_009641 transcript:KVI11955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MAISVNSNSALLFISLLSLHLSATSGHGGESHDDDDGTHNLHAKGLVLVKVYCLIILFVTTFAGGVSPYFYRWNESFLLLGTQFSGGVFLGTSLMHFLSDANETFGDLTSKTYPFAFMLASAGYLLTMFGDCLILYVTGKGGHHGGRGEDNKVGVEVEEGRTTGGDRRPEHGKDSHPILVRTSSLSDTILLILALCFHSIFEGIAVGVSSTKADAWRNLWTISLHKIFAAVAMGIALLRLIPKRPFLLTMIYSFAFGISSPIGVGIGIAIDATMEGKVADWIYAISMGLACGVFVYVAIHHLIAKGFKPQQESYFDTPFFKFLAVLLGVGIIAVVMIWD >KVI11934 pep supercontig:CcrdV1:scaffold_288:19781:22992:1 gene:Ccrd_009627 transcript:KVI11934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger MDKSMLGDLGSLPSEDHSRMSNMIDQLQIRDSLRMYNAFVERYFSDCVDSFRRKTLDKQGRLVPATLFTATLGSHARVARLHEAMRLCPSSLA >KVI11953 pep supercontig:CcrdV1:scaffold_288:248332:250216:1 gene:Ccrd_009645 transcript:KVI11953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPDDLQTASDVPNAQRLQNLLHFKSAKPQTTSLTFQQLLQIEDSNWSQKQRKNQDQADDGNHHNKKSVLAKVKDKARKLKCSLSGKKHHDENESRGPSTTPPSSVGREEEAKYRSAPMNGPKLAPDTHKQASRDHHREAPVTRIQNHYTTSNAREPCAPIQQVSRVQSDQALEKSEDERANSSSTNAITKAQSNNQPPPDTSVVASETDANNQVPTTAHVSKVHDLPESGSKFSGLKVSTSKSVASKADESVKEGEDMKDESHTWDKGFSMKEYLMHKFEPGGDERELSQVITQTISPRRDKVREVMSSSLKNDEPSESTSKLSNSEANSSIVANDTNSDLKSKPASENLNTKTGSKNVSFSPRHSLGMSNASSNLNQTFKSTIASYQNQNLGSSPNDNTSLNSLATSSSQNANKGLNQTSTCVHSPTKTSSSPLGHGSSANGTRAPAQTNSDATQVHSHTDTKAKQVPISSPNQTQVPKHSETNTIRVPISVANQT >KVI11957 pep supercontig:CcrdV1:scaffold_288:229896:230960:1 gene:Ccrd_009643 transcript:KVI11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1117 MSRTASYWCYRCTRIVRIIPQDAVVCPYCHGEFIEAVDSSTPESRRPFPDRSDLRLRRRNAGDRSPFNPVIVLRGTDDENSGGGDRGFELYYDDGAGSGLQPLPPTMSEFLMGSGFDRLLDQLSQIEINGLGRGEHPPASKAAVESMPAIEISDVQVSSELHCAVCKEAFVLGAEAREMPCKHIYHPNCILPWLALRNSCPVCRQELPTDSTNSNNLQLSEQNEEESATVGLTIWRLPGGGFAVGRFAGGRRAGGGERELPVVYTEMDGGFNNSTGTPRRIMWESRRGRTRGEGGFGRAFRNMFSFFGRLRSSSNSNGSSMNRSRSVSSSVFSRMTSRRNRTWVLDEQNGLSRW >KVI11940 pep supercontig:CcrdV1:scaffold_288:309087:316373:-1 gene:Ccrd_009649 transcript:KVI11940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MAQNGQGISPALLDDIINRLLEFRQARTARQVQLSEAEIRLLCTTSREIFLQQPNLLELEAPIKICGDIHGQYGDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTSLDQIRNLSRPTDVPDSGLLCDLLWSDPSREVKGWGMNDRGVSYTFGSDKVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGTMMSVDESLMCSFQILKPADRKPRFL >KVI11937 pep supercontig:CcrdV1:scaffold_288:41773:48159:1 gene:Ccrd_009629 transcript:KVI11937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGLWEPGRTQREIAPGAILQASGNSQMNHRRGDTSSSRFEFERYKSKSYKELRNGVVNIRCSDNIIRCPYCRGSRDYTYRDLLRHASRIARESRSAGLEEKARHMGLEEYLERDLRAKTKYSEPPSENTVSWHKTSDELIVWPWMAVVANIPVECKDGKYSGESGKKLKDEWMKQGYNPIKVHPLWSWQGHSGQAVVDFGKEWDGFNHALKFVNAFEIDKHGRKDWYNRTRQKDDKLYAWIAGEEDYNANGLVGEHLRKNGDLKTVSAIEKEESIKNSKLLSGLRTLIEEKSKESEEIKIQISRTDSHMANVMELREVMIEKFNTDVKMMQMKANEQLTKITIEHEQSKLQLEDRERKLRAREAKNESEKMKLDNEKKMNELAILEQKKAGKRVLKLAEDQKREKEKLHHRIIELQKNLDDKQRLELEINQMKGAIEVRKHMTDEDVDAKKKLESLKEDLKDKEEELESLEDLNQALIIKERLSNDELQEARKELISVSLFSSGHFYGLKDNTARAHIGVKRMGELDVKPFLIAAKKNRSVKGGADNAIKLASLWEERLRDPSWHPFKITTTNGNSKEILNEEDEHVASLKEECDEDVCNAVVTALKELNEYNPSGRYPLAELWNNKEKRKATLKEGVEYILKQWKTRKGKH >KVI11944 pep supercontig:CcrdV1:scaffold_288:93121:98197:-1 gene:Ccrd_009634 transcript:KVI11944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKKSVKSSDKHQSGHVSSFKFAKLFDPEAFWDKDQLGDVLHWIRQIVALVCGLLWGSIPLVGGIWLVSFLLISSGIIYGYYAIILKVDEEEFGGHGSLLQEGLFASITLFLLAWTLVYSLAHF >KVI11936 pep supercontig:CcrdV1:scaffold_288:5575:13485:-1 gene:Ccrd_009625 transcript:KVI11936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNISSNFLQNIIKPFKFNSRKEGQEEDLEKIAAEEHKEFTFQTLVSATRNFHADNKLGHGDFGPVFKGKLEDGRVIVVKKLSHSYQIVKDFTKEMRLLTRVQHRNVVNLIGYCVHPEKLLVYEYVVNGSLDELLFESGDRDSLDWKRRYDVICGVARGLRYLHQDSHECFIHRDMKASNVLLNEKWVPKIADFGMARLYPEDQTRLRPTMDRVVTMLSKKSGALDEPIRPGIPDLSDFSNLLSTTTVAADLSQRTSLTLLAVPNSFLRSSDLIRRSPSSTNIADVIRYHVLLQYLSLHDLSRLPPSGKLITTLFQTTGRATNNFGSVNVTYDSKTNTTTVRSPAAYSQTPNNATIISMIKNLPYNVTIYSVNSLIVPYGFDLLASETRPPLGLNISEALIDGHNFNVAASMLAASGVVQEFESDEGGAGITLFVPTDDAFADLPATANFQSLPADKKADVLRFHVLHSYYPLGSLQSIVNPVQPTLATEDKGAGSFTLNISRVNGSVAINTGIIQASVTQTVFDQNPVAIFGISRVLLPKEIFGKNAIPEKSPPINGAPSPVMSTPPLNFPPELYGPSSPPGSREELHSSATVRSMNLGVLCIGLFGNSNKKGVAMEVDDRVAKSGDFGMNGCDWKDIYSEIDCLL >KVI11947 pep supercontig:CcrdV1:scaffold_288:141308:143629:-1 gene:Ccrd_009637 transcript:KVI11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MEYENGYQQQIPKSKYECLLFDVDDTLYPLSSGLSPECTKNIIEYMVNKLDIEASKVPEMCAQLYKDYGTTMAGLRALGYNFDHDDYHSFVHGRLPYEYLKPDPILRSLLHSLPIRKVIFSNANEAHVAEVLHRLGLEDCFDDVICFESLNPPNQKNSADDTSNCCVTETGDLLPTSPIICKPFENSFQQAFKMANINPHKTIFFDDSIRNIQTAKVTGLDTVLVGSSERKKGVDYALESIHNIREALPELWESVMKSKDVCDSQKIAIETSVKA >KVI11949 pep supercontig:CcrdV1:scaffold_288:67361:74491:-1 gene:Ccrd_009631 transcript:KVI11949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Development/cell death domain-containing protein MSSQHKDPVSMADVNEKDDVSKSNSSPKEDGAQIVLIPSTVSSTSIVLVEKTMARPGSTNGMEDGNSLVGLEIDKGHKKEISLTEGETDDAQAVTEVAERENDEKTKEHITGENLGGNLKGKGSKRRKGNKKKMAAQKASEMVIGDSNEGNETVASIGERLTIDEHHDKEKAIGEESSHEEDAAREVAEREKDEKTKEHINGENLCGNSKGKGNKRRNGNKKKKVAQKASEMVIGDANEVNATIAAKNGLTIDENHDKEKAIGEEATHEDVKEASIKGEAEEKSEEKKKGEKLGNGSNRRGRRPRRNRKKEVAQKASEIAVVDGDKSESSRKKKALEKVKSMGMVFMCSSKTKADCFRYKILGLPAGKKDQVAKVYKGMRLFLFDVDLRLMYGIFKAAGAGGFNIEPKAFKSAFPSQVTPQNDLLLSDLNSSYCHFGLETSTCPVRFTVMQDCLPLAEEIFRNVIKENYYSRNKFDCQLNAQQVCLLLFVTKLCKLFVAAKSGPSPQKAIKNHRSGADESRRSRQGAHIARDGKKRKRWSPEEETQPHPPSGRERKRYQDYGQPHVRYEREAFPSPVRPVARYLPPPPPEELAPVRSYGYESAYSYAPRHHDEMVSRSYRVLDTEPRHHDEMVSRHQEHDREHHHLYGREPLTYRDDGYPFVGQRVEYHHHPAAAAVLPSHYRLVSREYPPPSATQPTEYRLSGGLQAAGYRDVGHASEYRSSTASFLEYHTRGRPSRYGY >KVI11952 pep supercontig:CcrdV1:scaffold_288:158913:160856:1 gene:Ccrd_009638 transcript:KVI11952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid methyltransferase MGSPVVVSLSANPCRLVTPKICRISLRHFNKQPVGQLSTDNTFKKTLQCPLLTATNRFSSRNRTHLVQPVRSSYSDTTNSIFNKPVLSNFENFSFDALKKTLYELNPIRVCKWVFLGSIAVASFKWGINTLLSPFFWMYFSWTWVFWPWCIAIGLAIYGIYGFSKHIKGEAGELEQLAIVTSAFTWLTLVPPGHANGFLEGWPFVFFFVYHYFFFLNVSIRKRLYGDFYPREHDPKWDIGTPIWCRVLFCVGVMAGHWFAAFEAPQLHLIPGGWSNLGIWGLIMSTVLLQYHSTLYLAKYSEKVVVPTAVVQFGPYRWIRHPIYASTGLLFLTYFIALRAPLSSLFIVAVCLMYYDQKAKLEEALMLETFGDGYTEYMKKMLSSGDDRRIVAQVFFEDVRIYRNSLKGS >KVI11948 pep supercontig:CcrdV1:scaffold_288:50767:52031:-1 gene:Ccrd_009630 transcript:KVI11948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MATVGGIVFLLVSVLSVAEARIPGNYAGGSWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACYEIKCANDPGWCHAGSPSIFITATNFCPPNFALPNDNGGWCNPPRTHFDLAMPMFLKIAQYRAGIVPVSYRRVPCRKAGGIRFTINGFRYFNLVLISNVAGAGDIQKAWVKGTKTNWLSMSRNWGQNWQSNAVLTGQSLSFRVRGSDRRTSTSWNIVPANWQFGQTFMGKNFRV >KVI11950 pep supercontig:CcrdV1:scaffold_288:80712:82723:-1 gene:Ccrd_009632 transcript:KVI11950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MARSSSRESPMLEIEVQSPRVNAPPVGSPPVADLSSPPPEVNPYKDWVPWLVPTIVFVNVITFLVSMFINNCPDHSNRCIAPGFLKRFAFESLKINPLLGPSSATLLKMGALELKKVVEEKEVWRIATCMWLHAVRIGLLYVLSGIGGSILSSLFVRTIISVGASGALFGLLGGMLSELLINWTIYANKVAALSTLVFVVLINMAVGILPHVDNFAHLGGFFSGFLLGFTVGLVLVIRGVNGNDYCSWCHYLTCIPTPLWQCDLVQLDKQVNMTCLQNGNFQSYTLENSNDTNDQMQKLCSELCS >KVI11958 pep supercontig:CcrdV1:scaffold_288:202261:208152:-1 gene:Ccrd_009642 transcript:KVI11958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLSELGRRPMVSGGGEGSFGDELEKEIGLLLREQRREADDREKELNMYRSGSAPPTVEGSLSAVGGLYNNYNGQNNGLAFSEFAGGNGFMSEEELRADPAYLSYYYSNVNLNPRLPPPLLSKEDWRFTQRLQGSGGSGLGGIGDRRKPNRTDGGGGGGGGGSGGVSLFSMPPGFNSKKQESENFEVEKAKVSAEWGGDGLIGLPGLGLGSKQKSLAEIFQGPPTSYSYAAALGASLSRSTTPDPQHIARVPSPIPTPIGGGRLNPSERRNPNSPSLFNGASSHPKDPTDLVTALSGMNLSNEAIEESNLSQFDKNADDQNAFLLNMPRNQKNNKNNLHFDGSSSNFQSHYQQIDGGGSSYLNNGSSGYSINSPQMMSGQHGNLNLPPLFENAAAASAMAYPGMESRFTLESQNMRRLGNQMAGNALQASFMDPMYLQYLRSTEYAAAAAQVAALNDPTIDRNNYLGNSYTDLLQKAYLGTLLSPQKSQYGGVQYVGASASPHHHGYYGNPAFGVGLSYPGSPLASPLPNSPGGPGSPIRLGELNTRFSPQMRNLGGGSGVMGHWHLDGGDNSFASSLLEEFKSNKTKCFELSEITGHVVDADQYGSRFIQQKLETATAEDKNMVFQEIFPQALTLMTDVFGNYVIQKFFEHGMPAQRRELAGKLLGHVLTLSLQMYGCRVIQKKCIECVPEEHIQFIITTFFDQVVTLSTHPYGCRVMDEILACVSMLAQDQYGNYVVQHVLEHGKPNERSIIIQELAGKIVQMSQQKFASNVVEKCLTFGDASERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQEREHILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSVHAA >KVI11935 pep supercontig:CcrdV1:scaffold_288:15034:17533:-1 gene:Ccrd_009626 transcript:KVI11935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MPRTSFAGSLSSPKVDVVIDTGNHLLNHTVDGFLKIGTVAAAKTAVEETFHVVRRGFLVSILNPFLVLLPHLFILVKYISWHLIVSLDLHFSGSVSKHNLEDALKKMCKEGAYWGTVAGVYVGMEYGIERVRGTRDWKNAMIGGALTGALISAVSSNKGDKIITDAITGGAVATAAEFLNYLT >KVI01684 pep supercontig:CcrdV1:scaffold_2880:19846:22304:1 gene:Ccrd_020038 transcript:KVI01684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVLLVKPTPIEDDCTDSRCKWLKKMANCCGWTTDEEKMLITILQDIIINGGRGDNGSFRSIRMRLLSQRCRKQFPKHPNKIYTANKPFPIYEQFKLVFWKDCAKGNMTESATDALENMDMENDDDFATEVNVPPHPKSIQYNFPFLHSK >KVI01683 pep supercontig:CcrdV1:scaffold_2880:18489:27449:-1 gene:Ccrd_020037 transcript:KVI01683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASAVFANHNANTETEHFARDDSEYERLVVSDEEKLVDDDDDDEDDDDTLERSDYNSWKWWIKAVTLSFITILASLIFMKWGMPFIFEKATAFGRPVLALILVTSLAIFPVFFIPSGPSMWLAGMIFGYGVGFVIIMVGTTIGMQWLKNWPQTAAMISLAGEGDWFQQFRVVALFRISPFPYTIFNYAIVVTSMMFGPYLWGSIAGMIPEAFIYIYSGRLIRTFAHMQYGNHQMSIPEIVYNVISFIIAAVMTVGFTVYGKKALRKLESEEKSGDYEYGNIELDKLPLERTKHRDHDHRLHLT >KVI04581 pep supercontig:CcrdV1:scaffold_2883:20305:32511:1 gene:Ccrd_017101 transcript:KVI04581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMETNCQTNGNSENAIHLYDDTNKNYVGHAQPAYVSGWMYVNEQGQMCGPYIQEQLCEGLSTSFLPEDLPVYPILQGSLVNPVPLKYFRQFPDHVATGFVYLSLPASNIKENSDKYPPENMDAKKEEIHTDAVNTSQSELHHGGGYNASTSTQQIQKSGAANSILSYPSPSGEESCWLFEDDQGKKHGPHSLLELYSWHHYGYLHGSVMMYDIGGIYCMVHHFESKVKPSLLQSIINSWVTTGGESVSITHSKYNDTDFLTGFVYDISEEVCSQLHSGIMKATRKVVLDEIISHIITECVIAKKADRHLKHEEPKQIIKTCQLDGRMELEDSSGGEVMVVSHNVCNQKPPSNSVESLGCKKSVGSLENFHGAYIDFCRKLFDSCMQVIWNAVLYDSVADKISRWRNENLWTSHDAAVEHHISSNDCHELTEMLPVEDLEQESSSSKNDYPPGFEVSAMQPFPKPSASPSSSCHEEVVSKGDCLRDDGSCYDLAQIMEGVESDIHLSARRSLLKYIEHLVDEEVGKVVMTKRKVQMKEVTVGSQVLRGRTSRCGYPKEPHDLRIYQFNVSNNSSQTKSSQQNMCGSGMSSSSWFANAFIKVYAHEDIVQNKHDLQSIVSKENSRTVLQQVCQFRPPRSIESVPKIGVYSILAMWRQKLHDIVLREWLSIFVNDAVDKHNKSVHSSKKHSNVDTTVGAASKRKKKAVESHSAFDKYREQSRNAQSSGPSEASVGNGNYTYCRTRKSTKRKFTSLSGQLKDGDIGSNGQLVETSRSQDPSGLVSVNKVVESMIEYVDEDAVNYRATKQFRDPNSHGVQSSSISITCQKSVKVSTVSQDDTSVVRVNSSKENALHLTGNACDVQKVASGIGSDLGGQEVPAALKVGKLKRKCSADDIPEQCSVKVPKLAIGVAKKASCNQAAVQKIQNSKSKKSKTFPISKGCARSSINGWQWRRWSLHASPAERARIRGTHIIDAKSIGPETNVFHLTNVKGLSARTNRVKLRSLLAAADGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERHYERMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVDGQKKIFIYAKRQIVTGEEITYNYKFPLEEKKIPCNCGSRRTTSRYSKNRQAWFEKPIGSDLSSNGRVEDYFGCRFESGRSVSFHLISFHSIIPFYFTSLIPN >KVH87600 pep supercontig:CcrdV1:scaffold_2884:45955:50573:-1 gene:Ccrd_025117 transcript:KVH87600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEATIDSPARSYDRLTCTKLRSMLPCNYVRSYDRKRAQIVFRPPAPAAALLPSPENQLFLTQLTAVACVRVGLKPATYRSDCKGRRNKRKKLNDRLFALRAVVPNISKVGLAREFKAENKKVREEMSIERLRYLEATAIYYEAIGMVEDYQQAVLVANLGGIRETHGLHSNLGLKNSPQTKRQIRTVCSSQEIVALLLSPLQTPCN >KVH87601 pep supercontig:CcrdV1:scaffold_2884:34831:36382:-1 gene:Ccrd_025116 transcript:KVH87601 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEVFPHSKTPILFVVLLATFITMALGQGTRVGFYQTTCPRVETIVQAAVQSAVRSDPTIAPGLLRMFFHDCFVNGCDGSILIDGPTSEKTALPNSQLRGFDVIDAAKRQLETTCPGVVSCADILALAARDSVVQTGGTGWAVPTGRRDGLVSQNSDAANLPAFNDPVSVQISKFTAKNLNTQDLVALSGKLNTQNYTNPL >KVH87599 pep supercontig:CcrdV1:scaffold_2886:62681:67162:-1 gene:Ccrd_025118 transcript:KVH87599 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MSPASRSKAKDKRATGKEPQKSSTKPTSNANAGGGVPASGYNPLLGTFHTLETAPVSSAPPIHVNGRSRNIDDTEDHIGNSLGMGVEYDSLSNNGSWSGESEDHKDKTFQGPSRQETVPGVDSDKREKIRQKNEKKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSSERATMALILNEGRVEQSVAWLFEGGEDADNQREHNLDSGGNLKLDISEELAQITEMEMMFKCSKQEVERVIVACEGDLQQAAETLKAQKQELPAAPPKLEETGDSSSGGGGKLSVAMSQNLMTSRTQSKSVGSIPIQQKTDDKDFNYTKVAVTVGQSSAEPGVKSLQLLKKIPPNSEWSKQQQVGTPSVEKRWAVGGSNPSVSYSLASPLQAAPPPAKTESRYATVGTELKNLQLGSVREPVIVMQRPKSKHIPASVSSSSSSVSVADWHPNVVEPVMNMNPNGYSLVHTSTRSFSTPSYGGNTQLYDQFHYQQQQQPLQQQQYASISDPLDHHFSQHGAAMNHFNNGGMWNRMVGATTTPTLAAASSLGLFSGLGSNGSSGPSSPVDWNAGDSLQFDYTNIDWSLDRVPGQANGSRPIGMWMGGGASDVYAAAAARGGKSAMRPPTGIVANGGLLHPDGPEASAGGEWSSPFEEKELFSFPRQFVSSPSL >KVH99514 pep supercontig:CcrdV1:scaffold_2887:43556:49146:-1 gene:Ccrd_022251 transcript:KVH99514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSHTELAGEYRDRNVAGGRTVVVGVKLDSQSRELLTWALVKEAQPGDRVVALHILTNNEILDRDGKSSLLSLVNSFDSILAVYEGFCNLKQVDLKLKICRGXSIRKVLVQEAKSYGANEIIVGTSRTHHTIRSSSSIAKYCAKMLSKNCSIIAVNGGKVMFHRQSPSPPSSAKDHQKNQIFSLIQRSLSLNPKLLNNGNEXKSNQNDCMKLELALVKADSECTPSAFKDNCPICSLDSDLPVLEVSCGNGEEEDNSMPLVPVQKLEASSSSTSLLLRELPELRPGWPLLRRAISSNQLPSNGSRVHQISVIQWAMKLPSRNCFHITNSDSRNDGXFDDQKDGSLEVNVENGAIVPVGGDEFQAGLSSSPDHDSVRLPKELEGLHEKYSATCRLFQYRELLSATANFEPENMIGKGGSSQVYKGCLPDGKEIAVKILKSSEDVLKEFVLEIEIITALHHQNIISLFGFCFEDNNLLLVYDFLSRGSLEDNLHGSKKDPAFGWSERYKVAVGVAEALVYLHSNCDQTVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTTSSHITCTDVAGTFGFAKPILSSGKFAQLLDRSLGNNYNADQMERMVLASTLCIRRAPRARPHMSSVLQLLGGDVEVTTWARLEVNSSGRSDASLQVLEDEGFSQSNLRSHLNLALLDVEDSSLSMSSFEESVSLEDYLRGRWSRSSSFD >KVH99515 pep supercontig:CcrdV1:scaffold_2887:22747:38780:1 gene:Ccrd_022250 transcript:KVH99515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLLRLLNSVVDRLRLFSSVCCCCCCCCCFVCHHSRCVGLHSATTDDAFPLLLIRRSKRNSSKQHFNKVVDGRVFGDVDDDYAWLLSFLTDTNEQRKSSTQEVIAEDENEYANDKDPQYCMFLKELTENGKSYKLKISRNDEAVKFLEYEEQDKSYGYDLPTYTNISVGNSGDKVNSSEDMXGRQINQKVKRTLHGKKGEVSTTKEISRKKKIKEHTCISDPFHDVPVDSCYRLLLDGCIHSEYGAFVYEGKRVNYEEKPTSSGSDILIWDNLQDCSEVNNKQQITAKKEPSLKEKLMRILRQPYNQEEYEKLSEYVEQEKPICRLLPLRYRAISSALDGVTKSVLDDVPSDSESYSILLCIASGSPLCFGIYLDIVLINFSSLSEDSTAAASAAPILGIICSDYKEAKGRLSEFSSSFRRKLQAAQNERPRALNLLRMFCFWLEHVPNEDVFQPWLNDECLKVLPLXRR >KVI11116 pep supercontig:CcrdV1:scaffold_2888:54727:59193:1 gene:Ccrd_010477 transcript:KVI11116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MQFFPTPSQLMLSDMRSGKMSDKERYGKYYVNVTSAISRHRESLSFKNIDLVFFAIVEAEHFYLLRFNLKRVAFVVIDNMSVDISSETKYSVTPSILCYHSMSLYTKYLSYVTSYIFLSYKTLFVEYLSYISHKKADAIDRTSLVRLKMKWRTNENSIGCGIFVMCHMETYMGQSVGRLDYLLGKVIALADTFDGIDREAQKIITTRSLAT >KVH87598 pep supercontig:CcrdV1:scaffold_2889:29656:41955:1 gene:Ccrd_025119 transcript:KVH87598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLLVTAFGAVLHVLSTSLLGITAITISNTIAGEETVHKLASLLLVLLGGSYILLFMCGKGGHTHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSQLKFHWMERYDKLLVGSVLCLVGILTLIFHDHEGHTHTHTGSTEEHLHRKLFLL >KVH87597 pep supercontig:CcrdV1:scaffold_2889:59382:64346:1 gene:Ccrd_025120 transcript:KVH87597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MDSHENGSNVVTDDALPPPPPVPQDVVPIKAEIEKKKVMRVPMARRGLATKGNKVQLLTNHFKVNVSNVDGHFFHYSVALFYEDGRPLDGKGVGRKVLDRVHETYDSELAGKEFAYDGEKSLFTVGALPRNKLEFTVVLENVTSNRNNGNASPPHGSPNDTDKKRIRRSYQSKTFKVELSFAAKIPMQAIAQALRGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVKDPYSVDWAKAKRTLKNLRVKTSPTNTEYKITGLSEKPCNEQLFSLRQKSKDDSGKFETLEITVYDYFVNYRKIELRYSGDLPCINVGKPKKPTFFPIELCSLVSLQRYTKALNTLQRSSLVEKSRQKPQERMRVLSDALKLNNYDAEPLLKSCGISINNNFTQVEGRVLPAPRLKVGNGEDFFPRNGRWNFNNKKLVDPTKIERWAVVNFSARCDIRNLVRDLIKCGGLKGIKIDEPFDVFEESPQNRRAPPLVRVEKMFENIQSKLPGAPQFLLCLLPERKNSDLYGPWKRKNLAEYGIVTQCIAPMRVNDQYLTNVLLKINAKLGGLNSMLAVEHSPSIPLVSKAPTIILGMDVSHGSPGQSDIPSIAAVVSSRHWPLISRYRASVRAQSPKVEMIDNLFKKVSDTEDEGIMRELLLDFYVSSAKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWCPKFLVIIAQKNHHTKFFQPGSPDNVQPGTVIDNKVCHPRNNDFYMCAHAGMIGTTRPTHYHILYDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQVSQFIKYEDHSETSSSHGGTGVTSAGAVPFVQLPKLQENVCNSMFFC >KVH88869 pep supercontig:CcrdV1:scaffold_289:19054:20791:-1 gene:Ccrd_025121 transcript:KVH88869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFCITIFRYGDDKPNNMTNFATPGALLLQNKWKSSYSTTIATVKTHHFSPPKSYHEVLVAARKSLRKKYLFGPRKKISLAKRLHWRRASRTNDGLMLTSPLTPIHNDNEKWSKTDGSSMPLFRPQYLRLSITAWEKLLILQPHNWALRRDHGMMLSYSRDYVKAVQELSICMAFVPKEEAEVLEPFVEKLHLLQLESSWTSQGHKGRLMVL >KVH88866 pep supercontig:CcrdV1:scaffold_289:90064:94665:1 gene:Ccrd_025124 transcript:KVH88866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MDQFDDLYKERVAALLRVIYATKYVKDDNVPAQIEEGLYLGSVGAANNKSLLKSLNVTHILTAANSLPPAYPNDFTYKIVDVSDREDVNIAQFFDECFSFINEAKRMGGVLVHCFVGRSRSVTIVVAYLMKKHRMSLSKALNHVKSKRSMAAPNHGFMLQLHNYEGNVVCYTE >KVH88867 pep supercontig:CcrdV1:scaffold_289:22037:22636:-1 gene:Ccrd_025122 transcript:KVH88867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPFGNLNPECGVLHHLIAETKENPLILNPTPLLMVKEKKEIMKRNRKLKPIEGGIKWKNKQKRILLIVEEEVLLKTTTTFMFGKRINERMKLLQELVPGCNKVLFSFNSIFYESVCLIRWMLYI >KVH88868 pep supercontig:CcrdV1:scaffold_289:28610:29893:-1 gene:Ccrd_025123 transcript:KVH88868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MVVAFISNEDEAAAQYVPPYTSIVVPVTKHIDAAKPLYSVQIMTAYVNMDYLHANFLIDVDAPFIWHDCVLQWNIYQGSCPANTLCTYPVSCEEYQCTDVRTTYSYENPSCPPVTNSSTLPGWGYCTCPVNVVNPVTGSCSQALLNYDDFTVDVSNGRNVLNGVYGANPNAACVPSLSFASFPANVTGVMAFSSSPYAFPAYLYQPLKKTLALCLPSSSSAPGVLFFGAGPYYLLPHLNVDVRSLLSYTPLLKHPDSFGYFIGVKAIVVKQRSIDLTGIATTKLSTIEPYTTLRNDIYTRMVHMFSIATIGIPRARPVAPFGLCFRNFTISNQVSFKVPDIDFVLPDGKKWTISTANSMKQITKDVTCLAFVDGGATPEHAIVIGTFQMEDNFLEFDLEKSILGFSSSLLLEQTSCSNFNFTMVNMS >KVH87596 pep supercontig:CcrdV1:scaffold_2891:48739:49185:1 gene:Ccrd_025128 transcript:KVH87596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVTRPRSLGAPTTSYWIGMSLKGSDSRTKCLLSPPCISKWHPPRRDFLKCNIDATAFENSNSTGFSAILRDSRGTFIPAKATPKLPFLWAVNARHMLLGTQSYGSKDGDYQMLFSKLTPRLLQMLSMIRVTTFRNLGISFLTYDGF >KVH87595 pep supercontig:CcrdV1:scaffold_2891:9015:26568:1 gene:Ccrd_025125 transcript:KVH87595 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDVQFGGPAVAVAGGLSFLFLKTFLSHQRGNPNRVPEVPGLPLLGNLLELKEKKPYKTFTKWAETYGPIYSIKTGATSMVVVNSNNLAKEAMVTRFDSISTRKLSKALRILTADKTMVAMSDYDDYHKTVKRNILTSILGPAALKKHRVHRDTMAENLSNQLHALASMSPHEAINLRKIFQSELFSLALKQAWGKDVDSIYVGDLGITMTREEVFQVLVLDPMMGAIEVDWRDFFPYLNWIPNASFEKKIEQMYIRREAVMKALIQEHTKRIESGENLNSYIDYLLSEARPLTEKQLLMSLWEPIIETADTTMVTTEWAMYELAKNPKKQDRLYEEIRSVCGSEKITEEKLCQMPYLSAVFHETLRRHSPVSIIPLRYVHENTVLGGYHVPAGTELAINIYGCNMEREIWENPEEWKPERFLAENGPIDLQRTMAFGGGKRVCAGAMQAMLIACVCMGRMVQEFEWRLDDDTGEDVNTLGLTTQKLNPMQRKMDVQSVTPAVAVAVVVGGFLFLFLRSFLSHHQPANRNHLPTLPEVPGVPLLGNLFQLKEKKPYKTFTKWAETYGPIYSIKTGATSLVVVSSNQLAKEAMVTRFDSISTRKLSKALTMLTSDKTMVAMSDYDEYHKTVKRNILTSVLGPLARNKHRVHRDSMAKNLSNQLHALASTSSHEAINLRKLIQSQLFSLAFKQVMGKDVESLYVGDLGITMAREEVFQVLVTDPMMGAIEVDWRDFFPYLKWIPNPSFEKKMEQLVIRKDAVMKALIQEHTKRIDSGESLDSFIDYLLSEAQPLTEKQLLMSLWEPIIETADTTMVTTEWAMYELSKSPKKQDRLYDELQNVCGSQKITEEKLCQMPYLSAVFHETLRRHSPVSIMPLRYVHEDTVLGGYHVPAGTELAINIYGCNMEKGIWENPEEWNPERFLAENEPIDLQRTMAFGGGKRVCAGAMQAMLLACMSIGRMVQEFEWRLKEDTGEDMEMEEELVVRGRVEIDMRRPFKSVKEAVMLFGEKVLAGEVYAGQKLKQVDLDTQWKFNNILNRSRKQRNMDVGSAAMAFGGPAVAVAGGLSFVLLKTYLSHQHGNPNHLPAIPEVPGVPLFGNLLQLKEKKPYKTFTKWADTYGPIYSIKTGATSMVVISSNHLAKEALVTRFDSISTRKLPNTLRILSADKTMVALSDYDDHHKTLKRNVLTSVLGPAARKKHRIHRDTMAENLSDRFHALAPKSSHEAINLRDIFQSELFSLALKQAIGKDIESIYVADLGITMTSKEVFQVLVIDPMMGAIDLDWRDFFPYLKWIPNTGFEKKIEQIVNRKEAVMKAMIQEHTKRVHSGESLNSYIDYLLSEAQPLTEKELLMSLWELIIETADTTMVTTEWAMYELSKNPKQQDRLYDEIRNVCGSQKITEEKLCQMPYLSAVFHETLRKHSPISIIPSRYVHENIELGGYHVPAGTEVAINIYGCNMEKEIWENPEEWNPERFLAENDPIDLQRTMAFGGGKRVCSGAMQAMLIACIGIGRMVQEFEWRLKEDSGEDVSTVRLTTQKLNPMLAVIKPRK >KVH87594 pep supercontig:CcrdV1:scaffold_2891:37581:40484:-1 gene:Ccrd_025127 transcript:KVH87594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MEERPEMELISIPATPRVSTPEIQTPSGQRSPRPMSKEGGKSSTAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFSFLGWLVFIMLFFYIQRLSSNFSKIKFLERIKLNPKTILFLVILPIIYIIKIFNLILMPFIDEEELNITYPYIWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFELVCGPLCTSNPLTTVEWYLVFTLLCIVLSQLPNLNSIAGLSLIGAVTAIFYSTMVWVLSVSQQRPPNIAYEPIPLPSFSASLFSFLNALGIIAFAFRGHNLVLEIQSTMPSTFKHPAHVPMWKGAKVAYFFIAMCLFPVAIGGFWAYGNLMPSGGILNALFAFHSHDISRGLLAVTFLLVVFSCLSGFQIYSMPVFDSFEASYTSRTNRPCSVWVRSGFRVVYGFINFFIGVALPFLASLAGLLGGLTLPVTFAYPCFMWVLIKKPVKYSFNWYFNWTLGWLGIAFSLAFSIGGIWSMVNSGLKLKFFKPN >KVH87593 pep supercontig:CcrdV1:scaffold_2891:36908:37421:1 gene:Ccrd_025126 transcript:KVH87593 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVSTGWAMYELVKNPKKQDQLCDEIQNVCGSQKITEEKLCQMPYLSGVFHETLRRHSPVSVIPLRYVHENIELGGYHVPSETKEIWENPWNPERFLAENDPIRPVTNDGVWKGETSVCWGYAGDVDCVYGYWPNRDLKRTPEKMSIRLIS >KVH96914 pep supercontig:CcrdV1:scaffold_2892:20472:37921:-1 gene:Ccrd_000993 transcript:KVH96914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIKSSSRKKKTTTESGTPKTELKRMTVEEDDFDFDADQLKQRAPKKQRSTFEDDFDADLSSDIKGLMSALHQIKEKAQKDGQKKKEETISSVATEIRSKFDELKSKVEKERQNFAKALSKSSREVCKENPHLKQTTCKNFSTLSQLNIKTPLSLRTQCENLLKNETSKFQAVYENFCKDKNSHLQALKDIITKYEEEKERLFMRYEQHRKKEKSMISEHEKACATKISELEESLKKKKQDDKTFSILRKTLGSFLDNASDEDFPPDD >KVH91388 pep supercontig:CcrdV1:scaffold_2894:40500:41953:-1 gene:Ccrd_006590 transcript:KVH91388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPVVFDRGFIKGTFFWISHGWHAGKKFTGGSGNNFGVAGGAASGKTTVCDMIIEQLHDQRVVLVNHNLQVFMSTTSIILANSFDNEKLLSAMEMLKPGEAXGIPKYNFRSYKNNVSK >KVH91389 pep supercontig:CcrdV1:scaffold_2894:17487:21519:-1 gene:Ccrd_006589 transcript:KVH91389 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDVVHDRESIIAEEEEDRISNLPDEIIHQILSFLDMKFAIQTSTLSKKWKHIWTSMPYLKFNSQVFHTMPQFAKFVKHVLSHRNQRIEASAVDLTFTGAPNQFVVRSILNYAYSHNVKQLTVIWLTKKVLEFPQSLFRSQTLKHLTLATNEQSVIGRSIPTYAWDFPALETLNLSNMQFGYNKDEILNPFSKCANLKDLTLHRFTMNYLDIFHICAPQLSNLTITDPTGFPMIFNVVAPLLENLTASVDAYHYGGFANYLRLSTEGLDSLEQVNLSMSRSKFKNCIKEIDVPHLLDLFQKLSSVKVLILDMAIIQALPSCLDQLLLEPCPFNNLKCLKIDKPPLKQKDHIPTMPIQFINYFLESSPSVPFIMDIPQVFYMTIDVIFLFITCLALYSVVKV >KVH87592 pep supercontig:CcrdV1:scaffold_2896:50314:57192:-1 gene:Ccrd_025130 transcript:KVH87592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDAKIGKFFESVGTFFTGGDSIPWCDSDIVVGCEREVAEAEKGSTDELKSECLLRLSWALVHSKRSEDVQRGIAMLEASLPSTSSPLQMREKMYLLAVGYYRSADYSRSRHLVDRCLEIAPEWRQAITLKKSIEDHGVIGIGIAATAVGLVAGGLAAALSRKK >KVH87591 pep supercontig:CcrdV1:scaffold_2896:41566:42112:-1 gene:Ccrd_025129 transcript:KVH87591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma Purothionin MAPHMATKTIAMLLFTLLLLFTSSQLGAEARMCQSQSHKYHGACFHNHNCALVCRNEGFSGGICRGFRRRCFCTRLC >KVI04338 pep supercontig:CcrdV1:scaffold_2897:17278:17649:1 gene:Ccrd_017350 transcript:KVI04338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFEFEESEVIFVEVDVWSKQDNPYDLDKQRRNKLKRKRKKKKILSVPIDIPVNKSNLFDHQESMVESDIFEDDEGEERRVPPHMIWGHKLAKSVTYSVCTRRGRTLKIRDFILKMTGFLEG >KVI04339 pep supercontig:CcrdV1:scaffold_2897:35405:36440:-1 gene:Ccrd_017351 transcript:KVI04339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVQQQQVVFLTAVQHSSRTAASKNKTASLTAAGSATWTATILKCFTLSRSDFMISLGQLTRSNSRVGVQSAINNKAEARTKQQLAFVLHIEAFVTVLYRRLNSAVLDSS >KVH92123 pep supercontig:CcrdV1:scaffold_2898:40012:40458:-1 gene:Ccrd_005850 transcript:KVH92123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MAMERTSASIAEEYNLQNGDGGKRSTEAMEMESTERIFESKKVPPWQNQLTVRAFVVSFILGILFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLDKSGMLKQPFTRQENTVIQTCVVATSGIAYSGTSNRFISHTLELIPS >KVH92121 pep supercontig:CcrdV1:scaffold_2898:9169:11838:-1 gene:Ccrd_005847 transcript:KVH92121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MAKITEVPGPNPTRKASGSWGLSLVFFPLAIVGMAVLVVQLDTYETVAYPMHELGEPMFVAARKNVGTVNSGLEKIGSGQLVGAEDIVYETKSGLLYTGCQDGWIKRVKLTDSVADSVVENWVHTGGRPVGIAIDESGDVFVADAIKGLLKVSIDGKLELLTHEAEGVKFGMPDGVAVAKNGMVYFTDATYKYDFRGALNDLFEGRPHGRLLSYDPSTKQTKVLARDLYFANGIEISPDQDFVIFCETFMRRCSRYYLPGGKKGSIDVFADNLPGLPDNIRYDGNGHYWLAIPWDNSLLTKFIQTYPFARKILAFTFKHLHKMPELMKFGGVIALDLEGNPIGGYYDDSWKMTTSGLKIGEHLYMGSITKSHILRLSLAQNPLPVTTS >KVH92119 pep supercontig:CcrdV1:scaffold_2898:19002:27903:-1 gene:Ccrd_005849 transcript:KVH92119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MAMERTSASIAEEYDLQNGNGGKRSTEAMEMESTERIFESKKVPPWQNQLTVRAFVVSFILGILFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLDKSGMLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSELIAKQSNEANSAQNIKNPSLGWMIIFLFVVSFLGLFSVVPLRKWFFTGGDDCGFASFPTLGLKAFENKFFFDFSATYVGVGMICPYLINVSLLVGAILSWGVMWPLINEKKGXWYSADLKSTSLHGLQGYRVFIXIAMILGDGLYNFVKVLGHTLFGLYHQFSDRKSKDDIPVTSNSSPDLLSLSYDEQKRTRLFLKDQIPMWIAIVGYLTIAIASAATLPHIFHQLKWYYIAAIYVFAPALAFCNAYGXGLTDWSLASTYGKLAIFIIGAWAGGNNGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCIISPCVFWIFYKAFDNLGVPGSQYPAPYALIXRNIAILGVEGFSALPERCLXLCCIFFAFAIFVNGIRDGVGKSRARFIPIPMAMAIPFYXGGYFAIDMCVGSLILYIWSKINKAKAAAFGPAVASVSFEID >KVH92120 pep supercontig:CcrdV1:scaffold_2898:12310:13617:-1 gene:Ccrd_005848 transcript:KVH92120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MAIDIVKQSSKFIKPATPTPPNLRHFRIGFIDEFAPSANVSVVLFFSINGDRNPKFIAQLGESLQTTLIRFYPLAGRYAAETRTIDCNDQGAEFMHAKVNIKLEAFLDSEVNVKLVDKFLPREIGESGLITDPILAIQVTTFECGGVALGVSISHKIADASTLSTFLNEWAVINREEKRIELTGSCRFNAASLFPSRGVPALDLGFSRSIRDDDMQSKYVTKKLSFSESEISNLKEKAMLINGRNGTPQWSKVQLVSAIILKSFICVDRAIRDDPRDTILIHPINLREKTASLIPKDSCGNLWGILATECGVVEATEALADLLRDSIKNTISNYLKVSHDDEGEQTMVLNSILNVGQIPQTANVIWMSSWCKFPFYEVDFGFGKPIWANPGGMPVKNSACLMDGVGGDGVEAYVCMEPKDVPYFEESLEIKVCVG >KVH92122 pep supercontig:CcrdV1:scaffold_2898:3828:4028:-1 gene:Ccrd_005846 transcript:KVH92122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIMKFGGFTVQDLEGKPIGCYYDNTLRMTSSGVKIGEHLYLGSVTKPYILRLNLTQYPLTAASL >KVI10441 pep supercontig:CcrdV1:scaffold_29:526018:543444:-1 gene:Ccrd_011174 transcript:KVI10441 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MQGYRSLGLGYRFVIEEIDRRSIGEEIDRRRRTWRRGGEDVMEEIDRTVDKTHMDVIAVEPSIWNTSSSYRTLPKPTLFRISPKSCPKILHCTPGVARFNKRVILRNFLDSRFSINSCYRVVSEDRGLRSVWVKSSNVENHSKSPSLDYEILVKKGLVLLAVCCGVVGFGCRRVLAAEGVLNGGYVGLDQIKLSLMNSLPKVLMVLKVLKEQGLILAALFGLSAFFSMAETSITTLWPWKIRELAEKESENGVFKMLRNDVTRFLTTILIGTTVVNIGATALVTEAATAIFGEAGVSAATGVMTVRPIAWLSLVLYPVGRVVTYLSMGMLKLLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQGDLLESSTVGDMAHKPAYFVPGILSPIMFVRNTTADSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKILAGNARKVGAVRFERVSHESESTKDVKKLVPKIMKRKYDDERELGTAMEVSHRDIGGDNGLRQPLLTDTSKPSANKARESSSPETLTPYANSTLFSLLTFSWLSPMVAIGHKKPLDLEDVPQLSGVDSVVGSFPILKHKLDPDHFNGKKLTTSELVIALIHTTQKDILITAILALLYTLASYVGPYLIDSFVRFLNGTQDSNKYQGYLFVLVFFLAKVVESVSQRHWFFKLQQGGIRARAALVAMIYEKGLTVSSRSKLSSSSGEITNLIAIDAERIGEFGWYIHDPWLVIVQVGLALGILYLNLGFASLAALVAIVIVMLPNIPLGSVQQKFQEELMTCKDKRMKKTSEILRNMKILKLLASEMKFLSRIIELRNLETGWLKKYLVTSATIVSVFWVAPTFVAVVTFGTCMLAGIPLESGKILSAVATFKILQQPIYNLPDTVAMIAQTKVSLDRIISYLNLDDLDSGLVEMLPRGCSDVAIEITNATFSWDVSSADQVLKDINIKVDHGMKVAVCGTVGSGKSSFLSCILGEVAKVSGSIKLSGTTAYVAQSPWIQSGKIEENILFGKEMDKIRYDKVLEVCALKKDLEILPFGDQTVIGERGINLSGGQKQRLQIARALYQDSDIYLFDDPFSAVDAHTGSHLFKECLLGFLDSKTVIYITHQVEFLPAADLILVLKAGRIKQAGKYKDILNSGSDFMELVGAHKEALSVIDSINTSFEYENPQVTDEDRNEFKSEKTEGTNRQLVQKEEREKGSVGLSIYWRYITSAYKGALVPFILLTAVLFELIQVASNYWLAWASPVSDGMVPPVGGSTLIIVYVGLAIGSTFCIFGRAMWLTIAGYVTAKLLFNKMHLCIFHAPMSFFDATPSGRILNRASTDQTAVDVTIPNAIGVFVFAVIQLLAVIAIMSQISWQQYYISTARELARLVGVCKAPIIQHFTETVSGSTTIRSFRQEDRFQDTNMKLLDGYSRPNFHSAAAREWLSFRVELLASVTFAFFLIFLLIIPNGTIDPRRTGSGKSTLIQTLFRIIEPTMGAIFIDEINISTIGLHDLRSKLSIIPQDPTMFQGTVRSNMDPLEQYTDEQIWEALDKCQLGNEVRRKEGKLDSAVLLKKSKILVLDEATASVDTSTDYMIQQTLGQHFSDSTIITIAHRITSMLNGHMVLVLEQGLIEEYNSPLKLLENKSSSFAKLVAEYRGRSSSSYGT >KVI10423 pep supercontig:CcrdV1:scaffold_29:302806:307976:1 gene:Ccrd_011200 transcript:KVI10423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MSSIDSGDSRGRLSEKIIYTYGVDIVSGDYVDWETGEGTVKCTINMEESVAAVESILGYTFNNKSLLEEALTHPSYSESPSYQRLEFVGDAVLGLVISNFVFVTYPDLDPGQLSLLRSANISTEKLARVAVNHGLYKYVRHKAAALNDKVREFVMAVQEEDNMVVHGGHMKAPKVLADIVESVAAAVYIDCGSNLQIIWMIMRVLLEPIAMLNDIEKQPQPITLLYEACQKNQKDVIIRHWRKGDRNIASVYVDGRFVASGSSDNKENAKLHAAEAAFSKLTSSKSSDPVSTQMYDDFNKTIEVESAKQRVHELCNKKRWSKPIYRIESQSGPAHERRYISSVTIKVCDVILGVVGDEKSRVKEAESSAAVAMLCALRESGYI >KVI10377 pep supercontig:CcrdV1:scaffold_29:757959:760811:1 gene:Ccrd_011155 transcript:KVI10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b6-f complex Fe-S subunit MAAIVTVSPATSLQCCSRKNGVLSATKALMPKSARSQSEGRKKEMKVMCQASSISADGCPEMERRKLMNLLIFGAIGLPAAGMLVPYTAFFASPGSGGSGGGIVAKDEHGNDIITSQWLKTHGPGDRTLTQGLKNDKTLATYGINAVCTHLGCIVPWNTVEKKFVCPCHGSQYNNDGKVLKGPAHLSLALVHTDVDDDEKVVFVPWTETDFRTGDAPWWS >KVI10400 pep supercontig:CcrdV1:scaffold_29:342089:348934:1 gene:Ccrd_011196 transcript:KVI10400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3537 MDPLIAKRKDISRTISCIHDELHSFRSWLRWMCVDQSNAFTFCLSWFVFIIFAIVIPFLSHFYLACSDCDNRHARPYDTLVQLSLTSIATLSFVCLSQFVRIYGLRRFLFFDKLCNESETVRRGYTQQLNSSLKMVFIFVAPCFAAEAAYKIWWYSSGATRIPFLGNVIVSDAVACILELCSWLYRTVVFFLVCVLFRLICHLQILRLQDFAQVFHVDSDVEFVLREHLRIRRHLRIISHRYRIFILWALILVTVSQFASLLNTTRSKADLSIFKTVTYFENNSAGITVYGFMLDRTSLHTIVMIEMSLVLWLLGKTVDLPWDFESAVSSSSVSSKVNGNRPKTRTAEVSR >KVI10418 pep supercontig:CcrdV1:scaffold_29:588589:588915:-1 gene:Ccrd_011166 transcript:KVI10418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISEKLLKFKFHILIATTLALIIVSLLYIAPRFLNILAYFWPLLLSTALFLLAVVVFGLTSPPSAQVSGEKAGEGILDYVAGRPEPVLQPEPESEEGSSSRSKSEQM >KVI10410 pep supercontig:CcrdV1:scaffold_29:498323:500594:-1 gene:Ccrd_011179 transcript:KVI10410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNVRRDLHPILLFCVAVLALSLNVDARTGLARDAAAAPSPNGEVSPEDTDSHVNKPGTNHSVLWSELGLFLALLGMLAYVVNVRITRSLKEEKKAVKTSTVGGSSPKIDSPVKEVKQQQEQEQEVGRQSGLVFFVREEEQFKLENLLDAGADMQNQNYCSSLYKVQLNNNGVYAVKRLKKLQESYDDFDRTMTMVGKLNHPNIIPLVAYSCQGDEKLLIYKYQKKGSLLSLMERYIEGKRDFPWKLRLSMAVGIARGLDHIYRSFEGSETIPHGNIKLSNILLDENHEALISEYGYSNFLDPKSVCLFSNNGYTAPERCLSEQGDVFSFGVILLELLTGKIVEKSGLDLPKWVKAMVREEWTGEVFDKEIAKVGMYAFPLLNVSLKCVAHFPENRPGIGEVLEKIMEVCNAQEDFSCSSSVESSSQDGHALYSVAEEN >KVI10378 pep supercontig:CcrdV1:scaffold_29:733565:734819:-1 gene:Ccrd_011156 transcript:KVI10378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDKRKKKRGRSKFLRSCFRSEDGPSSFDKSDGRNDKVGPLGKPELIADSGRQGRDFIPDDNLVNGCDADNRDDCFAKRSSPRRRISRILKAVLFNAKLKKIRSSASKSSISSDTSSSSLSSEKVGKSLNDGNAYGRECPDMDDSCSRRSHLFSSSSSRTTSSAYSTSSRTTSSAYSTSSLSSNNSNSRSLFDQRTSSRMDTIDFGHPVSSNSSTLKKSNPINSNSSLHHHPEQIHRI >KVI10422 pep supercontig:CcrdV1:scaffold_29:172195:178110:1 gene:Ccrd_011209 transcript:KVI10422 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, dimerization MPKIPLWDLPELPKNQLPPHLYLQKTRVFCNNDAPTHTENIHYSGAYAALGVDNSLRLDEFRKNFKVKVIKLNEEDMEFDLIGIDASIANAFRRILISELPTMAIEKVLVANSSSVVQDEVLAQRLGLVPIKVDPRLFDYMSENDVPNQKNSIVFKLHYKCDRGSGERRTVYSHDLKWLPFGSEYVLPTESSSDSTSKPKTYTSFTSSQDSLPEFANNPITVTPGIIISKLGPGQEIELEAHAVKGVGKTHAKWSPVATSWYRMLPEVILLQDIEDDDAHKLVKKCPVNVFDIEDVGRERATVARPRSCTLCRECIREPGWEEKVALRRVKDHFIFTIESTGALPPEVLFTEAVKILEDKCERVITELS >KVI10409 pep supercontig:CcrdV1:scaffold_29:504633:506068:1 gene:Ccrd_011178 transcript:KVI10409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein GEISAAINLIEIKKENLRKSFLHLQSQSSSSALNLNLNWTDFDSYFTTISSSLRHRFQLLNTLNPSSTTTSILKHLCRNSDGIGLRRYITNAANRSLLLEQLPDAYLCAPDAPAMVLDAMAGFYCGKSHESCLVKGGCTIMLEGLMRMKLDIRVEMKNKAMKVAMQWNRKRLISSGKAKRLDAFGFLLLVAVYGLMDGFSMDELIDCFVIFATRKLRKFDLCRKMIPANKIDDLIQELINRDMVVDAVKFSIEFQKTDRFSPGHLFEQRKVMSTKIMEVTRKNGKDYQNKVIVKEIEALRSMIKCIDEYGLESVYPKDIVVDLVKKLENEAGFGKRVAVHRKQQQQPQPKMRKLNNFLEVTASTIPTSGCSPAGCTPMAPYGNIGYGGPGGSRSYLPQAHPPSGSGYIDNSNELAAEYRPSYYPH >KVI10447 pep supercontig:CcrdV1:scaffold_29:24805:27955:-1 gene:Ccrd_011227 transcript:KVI10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2431 MEEIAGRYEHHFLMNRSTQIINEGIWAKHYSSNHQILLVGEGDFSFALSLAVSFRSGFNIVASSIDSYDVVLRKYKRAKRNLDHLSRLGVQLLYGVDARTMKLHVDLHMRNFLKNASRMLRPNGEVHVTHKTKYPFDCWNIEELASQSCLTLLECVEFKLGDYPGYNNKRGDGSRSDEPFPLGKCCTFKFILSTTKKSTTSYHTNHQEPLQILLQRPNAILLKNKAPVIDSGECFRIFVEYFNHARSTYGQTDSCLLTSVLNHLRFGFQRYMTEDHGRWLIGYVNLLGELRSLSERRIEFLQNRLLEVDHRRCL >KVI10384 pep supercontig:CcrdV1:scaffold_29:89098:96129:1 gene:Ccrd_011218 transcript:KVI10384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSQCLEGVKHLFASLLHCCDLESYKQLPALEDPEVLARATVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILSFEEFACALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSSDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDT >KVI10404 pep supercontig:CcrdV1:scaffold_29:522824:525220:1 gene:Ccrd_011175 transcript:KVI10404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDQSLSEGTQTQENTNWELALHHFENLIATGSDALQVQAIIKLSRLSNRAPERILICTVPILVEHLDTSSETTNDAILKASAYCLKCISCQGDGRLAVSIGQSGAIHRILCLLPQSEVGLQKVLLKCLRNLVAFDGPNRVNVVRIGGLKVIIDMLNSCPDVLRPLFLDILSALALLREVRRSIYNLRVVRLLVESGKVGNMASRTRAAQAIGLLGLVKRARRDLVNAGAIEVLVDLLRNGNTSTKIVAGNALGVISSHVEYIRPVAEHGVIPLYAELLQEQEPLGREIAEDVFCILAVTDTNAITIVEHLVTILRGNDNGSIAAAADVLWDLSSYKHVLPIIHRSGAILLLVELLRSSEDTNVRENVCGVIAQLSYNDAERDLIASLGGISLLISMLEDESEELRGNAAQALVNFYEDVLFRDRMLGAVDHPLFLSMMERVGQIRGGELRMAASVRQMTLDELTWNPGL >KVI10397 pep supercontig:CcrdV1:scaffold_29:386755:396069:1 gene:Ccrd_011193 transcript:KVI10397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MNIVEKESIEVIAQSIGVGNLSPDVLPSLAADIEYRISEIMQEAVKCMRHAKRTAMTSDDVDSALELRKMEPIYVASGDSLRFKRAARHKDLFYVEDKDVEFREVIAAALPKAPPDTAVVNHWLAIEGVQPAIPENASLEALLTPFDNKKPDYKEDGVSIDIKTPVKHVLSIELQLYFEKITDLTVSRSNSIIFNKALLSLATDVGLHPLVPYFIYFVAEEVTRNLNNFQLLFALMRLVRSLLQNPFLHIEPYLHQLMPSVMTCLVAKSLGNKLTDNHWELRNFTANLVASICRRFGNGYHNLQLRVTRTLLHAFLDPAKAFPQHYGAIKGITALGSSVVRLLLLPNLDPYLHFLEPEMQLENQKNESRRHEAWQVHGALMLKMIPNLFSPPTHAIWKSNGNDLTINPNKRKAGINNLMMEQTLKKTATGDGSVGGQHLVKVEVPGASSGFSITRGASDVGVHPLPRFLPNDTIPGSSGRRDKFGSVAQTSSSVAVARAWKKEINAGHLLPKLYDLFGESMLPFVLSPELCNIFI >KVI10436 pep supercontig:CcrdV1:scaffold_29:490944:496569:1 gene:Ccrd_011180 transcript:KVI10436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP01589, plant MKNNQAMQESKNIPEIQPLTTQVSHESQSDQLNNATEAPVPDSGSASISSNDNRKVSREDIELVQNLIERCLQLYMNRDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIIMFNHLLEHQYHLMKYPVHPKLPLAPIQNGIHPMPVNNLPMGYPVLPQPSMPSAGQPHMNAMGISTCHVVNGVPAPSNFHPMRMNSGNESMGMDNSAADVTPTIPTNGAISPISDMPMSPTSVASSGHFPFTTSEISGMGVDTSALDTAFTSDVASSVGLHLPPDNGTSRPFGHIPWNFSLSDLTADLSNLGVEEFFADSVPGPPCSNSDEEKS >KVI10383 pep supercontig:CcrdV1:scaffold_29:775146:776938:-1 gene:Ccrd_011153 transcript:KVI10383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1223 MARGLFACFPKRSSSTSSLDINKTTTSHDNATADLTAEELRRGGPVMVELFSSQGCGTSPAAELLLSRVGRGDFNLEVPVILMAFHVDYWDYNGWKDPFGSSQWTVRQKEYVESLNLDTMFTPQVVIQGKFQCIGNDEDALISSIISASRYPSPAFQISLKGSLRTKVEGPGADIMVAICESGLVTDCRNGENKGRMLANDFVVRKLAKLCSVNAVPPKKTLTGTLDFSLWQGFNSAKCGLVLFVQQHGSRRIFGSQRIPLPANI >KVI10382 pep supercontig:CcrdV1:scaffold_29:779197:780677:-1 gene:Ccrd_011152 transcript:KVI10382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MKMTSNKSLICIFLATFALLAAISQVKGYSSSYCGPWSRCEGQTLYCPSECPSSESNEPKAKVCLIDCYSPKCKAECKRVAMAVVGGEDKYKTTSLLAADCATCIFDSINDAAEEKMKTRKEYGTLDCSAKGLFHGNGIVCKK >KVI10395 pep supercontig:CcrdV1:scaffold_29:402517:409308:1 gene:Ccrd_011191 transcript:KVI10395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYELAVFNPSDPVLDPMWRLGLTKLPPSGRPYAAPTKGSLAAMLSREIKRLEKKKTTILKSPGRTKQHLKANGQQNVPSQLTKTFSKVQQITMGKGEKVFSKIGTSATEDLSEDPNSPQTKHWCCL >KVI10434 pep supercontig:CcrdV1:scaffold_29:479701:482486:-1 gene:Ccrd_011182 transcript:KVI10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKISSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKATTAAKKEGEEVEGATEETKKSNHVARKLEKRQEERKLDPHVEEQFSGGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKSAGAA >KVI10392 pep supercontig:CcrdV1:scaffold_29:327274:331205:1 gene:Ccrd_011198 transcript:KVI10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase MPSSMFSMRASHGTTLAPEQSTIFRDSMYNKPNFFYKHLLCFKRSCVSSNLAHSSVSQKDIIQCRAVEVASNRTFLKGEKFQLHDVIEAQQFDRDILSAIFEVAREMEIIEKKSRGSQLLNGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYTDIIVMRHFESGAARRAAMTANIPIINAGDGPGEHPTQALLDVYTIEREIGKLDGIKVGLVGDLANGRTVRSLAYLLAKYNDVKVYFVSPEEDIKEYLTSKGVEWEESADLKEVASKCDVVYQTRIQKERFGERTDLYEEACGKYIVDREILDVMQKHAVITVDVDGDPRAAYFRQAKNGLYIRMALLKLLLLGW >KVI10430 pep supercontig:CcrdV1:scaffold_29:201810:208239:1 gene:Ccrd_011207 transcript:KVI10430 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein LEFRSRLTRRNGDHIAGYDTAIPEFDGSKSLTSHSRIHSRFRLKTELAAHFQNLHQGYPHETLVRFLKARDGNVPKAHKMLVDCLNWRIQNEIDDILAKPIVPAEFYREVRDSQLIGMSGYTKEGRPVIAVGVGLSTYEKASIHYYVQSHIQINEYRDRIVLPAATKKFERHISTCVKVLDMTGLKIAQLSQLKLLTVISSIDDLNYPEKTDNYYIVNAPYIFSACWKVVRPLLQERTRKKIQIMDYASLPHFVRREGSGSSRYSGCSSNGEDDCFSLDHGFHQELYKYINQQAEAMELPAPIKHRSFHVQFPEPDQDDRKIAQTIESECQKLNDQNGMANKLDDLTIKDDGERKSKA >KVI10433 pep supercontig:CcrdV1:scaffold_29:476173:479122:1 gene:Ccrd_011183 transcript:KVI10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLCLPTLGKPCVSTTTKKLRSPAEVVHKTRSLLLYSKNSPNLTSQMTELSSLVRELKLILYGDDDSEPSEEACAQLTQEFFKEDTFRLLVIFLPKYEDPQLALHYGRMLKECLRHQIVAGYILEPSQQRKFFDYIQHPSFDIAADAADTFKDLLTRHKSTVSESLSTNYCWFFTEFNQRLLKSANYITRRQAVKKYIVVNIGMLIFKCWLLPFVTVIGMHIARPFEFLCYDTLRQLKRQPYNSDESSKGMAEFSYYIWQLFVANKNKPPEI >KVI10408 pep supercontig:CcrdV1:scaffold_29:558224:562661:-1 gene:Ccrd_011171 transcript:KVI10408 gene_biotype:protein_coding transcript_biotype:protein_coding description:WLM-like protein MNVGDLHKVWEIRTLKRKVGGDEAGRFLEKIAKQVQPIMRKHKWRVKVLSEMCPKNPSLLGLNVAHGVHVKLRLRRPNTDWDWYPFDEVLDTMLHELCHNAISAHNAAFYKLWDELRKECEQLINEGISGSATGFDLPGRRLGGFSRQPPLSSLRQTALLAAENRKRQGSLLPSGPKRLGGNKSIMSSLTPVQAAAMAAERRFQDDLWCGLEETVEEEESGAAVPQQHQNIRNSASSSGLGADTDARSQKRSRQETNKLRSQCCDGHSEPNFVDLTDVPSVSNNISTNKRSHRTEKDASMPSRHNFEKSCIDLTGDDASTSNQKTECETKSSGWACLTCTLLNPLCGTHKPKAKEDKYKAWSCKFCTLENNVKLEKCGACGQWRYSYGQPIATPSPNVGT >KVI10445 pep supercontig:CcrdV1:scaffold_29:56210:64230:-1 gene:Ccrd_011221 transcript:KVI10445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSQCLEGVKHLFASLLHCCDLDSHKQLTSLEDPEILARATVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFACALSSSSQNESCPKPA >KVI10437 pep supercontig:CcrdV1:scaffold_29:429244:436007:-1 gene:Ccrd_011187 transcript:KVI10437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MEAMNHYNHLPLNIAVTTHRQVVPITLFVAVLCLCLVIGHLLDENRWVNESITAIIIGCIVGTIILIVSKWKSSRLLRFDEELFFIYLLPPIIFNAGFQVKKKQFFHNFFPIMLFGVAGVFISTSIVAAGVVNDATSVVLFNAVRKLNANTFRGKAVLHILLDFLHSSVREISLMILVAYLSYILAELFELSGILTVFFAGVLMSHYAWHNFTLSGVTLDPTNATMITTTIVFGLLTKPLISYLLPNNVTMCGVPRRTTSPKEEMTLPLLSFEESASENLSRAKENISMLMERPVYTIHSYWRRFDDTYMRPIFGGARSNDSSS >KVI10411 pep supercontig:CcrdV1:scaffold_29:679426:687254:-1 gene:Ccrd_011161 transcript:KVI10411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRETYWKKAETATTCWKRRRRDLLEEAATSGDDDRPAGRRRLDTLSVCLSYTKLTPIGTRDVGRTSEETPAKNSSEERANNGNNQKAAIKEKWEILKVAVIPKCSILASAGHKMGSYHNQAKCWRP >KVI10440 pep supercontig:CcrdV1:scaffold_29:469540:473550:1 gene:Ccrd_011184 transcript:KVI10440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase AER61, uncharacterized MKMNTKSLKLLISLFILNSITLYLYFSSHPDYFRRPSSSTPNPHHFRSSFPHHTALVSLPNSNKPWPILPSYLPWSLTPNTPFTSCEAYFGNGFTRSLHLLKPSPEIYRKSGKGGGGGGWFRCFYSQTLRSSICEGGRMRMHPDKIKMSIGGEELESVIGRGEEEELPEFESGAFDLEVGEKSKSVGKKLADEGFLNEFLQKGQISRHTMRELIESIQLIGANEFECSQWIEEPTLLVTRFEYANLFHTVTDWYSAYVSSRVTGLPNRPQVVFVDVNSLCLSRMLTSVLSVISFGKLNLVQDICYWNYLGGSLNFKKILDPSFLVTFQTPLEETWKAVFSGLRYAKNFSGPVCFRHAILSPLGYETAMFKGLSEDIDCYGSPAHDLWQNPDEKKTARISEFGEMIRAAFALPLQRHHQNSKSGTRNHNILFVRREDYLAHPRHGGKVQSRLSNEQEVFDALKSWSWNHTDCKLNLINGLFGHMSMKEQVRAIQDASVIVGAHGAGLTHIVSATPEAEILEIISNEFRRPHFALISRWKGLKYHPIYLGGSHANPTMVIEKLRDILKSLGC >KVI10414 pep supercontig:CcrdV1:scaffold_29:634114:634332:-1 gene:Ccrd_011162 transcript:KVI10414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSIQVGLLCVQNNQEDRPDMSIVVMMLSSDGQQPELKHLGFYTEVGNENSNDVQTPNSCNNFTITDVIAR >KVI10450 pep supercontig:CcrdV1:scaffold_29:16096:19303:1 gene:Ccrd_011228 transcript:KVI10450 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2431 MEEIAGRYEHHFFMNRSIQLIQKEIWVKHYSSNHQILLVGEGDFSFALSLAXSFRSGFNIVASSIDSQARLLFGVDACTMEIHSDLHLRNFFKNASQMLRPNGEVHVSHKTKFPYDCWNIVELASQSCLTLLECVEFKREDYPGYNNKRGDGVRSDKPFRLGECCTYKFISSSTATMLTALYHGNHQEVPLQGANTSLFTDPARDIDSTECFRIFVEYFDHARLTYGKNDCYLSSSVRDHLRCGFQRYMAEDHRRQSIDFVKLLEELWSLSKRRIEFFEKQLLELDLQGGL >KVI10416 pep supercontig:CcrdV1:scaffold_29:604643:609389:-1 gene:Ccrd_011164 transcript:KVI10416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MEESVTTTTIFLLFSLLHFHKVHTAELATISDSQFLTDEDTLVSPAGIFELGFFRPAPGRSENRYLGIWYKKISIKTVVWVANRDFPITGAPSHHVLKIADPGILVLMNNITVVWTSPNTTITSPNATAKLHDTGNLVVVDVHKNNIWQSFDHPTDTLLPAMKFGKDLLNNKEWYLSSWRSNQDPNRGEFTWRFDTRSYPQNQLKQGEMVRFREALSGDSTVSNLLIAYDVVINSTEVTFTYNVVNSSIILRSTLSSYGKLENLMWVEDGKRWQLLSALPRDICDTYNICSSYGSCRPVTSQRCVCLDETRFVPRNPKSWETADWSGGCVRRTPLNCEDGSDGFMKYSNVKLPDTQSCWFNRSMMLKECETKCLMNCSCMAYSNTDAIDGGGCLLWFNDLVDITEVSASMGGREIFLFHRFPKRRKDQTLESSYSCLFRELFSSASSPHGYGIEGKKGIKPKQQGKGMLEEGLEIAVKRLSKTSSQGVDEFKNEVICISKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDSFIFDKRARLLLDWPKRFNIIKGIARGLWLHVPRVRTRWPFLNKVRCIQLWRLVVGDRRSMELIDASLAESCDSFEVLRSIKVGLLCVQQNAGDRPNMPSVVMMLGGEGALPQPKQPAFFMERELHVTDFSSSSYPGGSLNDLTITQLNAR >KVI10394 pep supercontig:CcrdV1:scaffold_29:403024:411307:-1 gene:Ccrd_011190 transcript:KVI10394 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase 1, replication foci domain-containing protein MDSSDDEGTTLLNVISDYYFVGAEDEPLSFTELPVQWVESESLDDNNSQIFLRGTADNGLQKLYRPVKAWKCDLSKVKPEISALSRDNNWIKLLKPRKSFEDMIRTILITVHCLHFFKRKPEASGKSLWDHLCKVFSLYDIRPSENDLVDHLSFISEAVKRDKTLRKSEVCLAILGVVDFLASFLEKKPGKRKALDENAEVTMKPSFIVDDMNGECGDEELTIKAIKECESDDEGDGFETVCAICDDGGDLTCCEGKCLRAFHATIESAESKCESLGLRAEIVQRSRRYFCPNCSHKQHQCFVCGELGSSDKSSVAEVPILLNTFSPFPIVFCCSSATCGHFYHPKCVAKLLHEEGDAAAQELQEKIAAGEPFTCPAHKCHKCKKGEHEKVEALQFAICRRCPRSYHRKCLPSQIVFWNEDNDEDVIARAWNGLLPKSRALLYCLKHKIDAELGTPLRNLKFPDIGHSKKQPVESLIRKKEVADSDYTSEKGSFSKSQKTRVGKFSSAVRPVDSSKKRVKMSSGSEPMKRQRVMDNSKKLLEKNLSMKSGRPNLDDDNPSLGSRLDDYIMNENEPSNSKKDHISVDKEEQTPRATPLQTENRSLPLLHANSRKRSGSLSLSHTLSLVLTLIKLALNHECHCRVLALMKDAASSISLDKVIKRHLENLPSTHANLSNVDRSIILARVEGSVEDKLRVYLAPFLYGMRYTSFGRHFTKPENLEEIVDRLCWYVENGDTIVDFCCGANDFSCLMKKRLDEMGKSCFYRNFDISRPKNDFCFEKRDWMKVLPKELPDGSKLIMGLNPPFGKNASLANRFIDQALRFKPKLVILIVPTETKRTSYDLVWEDANLLAGKVHSAVHLPSNVVLYDLSFYFPGSVDANDKQVDQWNNTAPPLYLWSRSDWTSKYIAIAQQHGHVSKEPEANVHALDLHAENHELNGEVSMPLDNDMNLAKDGKPLSQGMHESYSHYNIAAKDPFVGAAYGRPDGGSFVSPDGRIRKPDAIQFSGHERDGYNRQACIEDIRKYGMDPSSSQRPGFSSGYKLSPTRMNNMRGPGPGVRMDSSSFAPGPPHPFLQQSSSGGWLNE >KVI10438 pep supercontig:CcrdV1:scaffold_29:446382:451595:1 gene:Ccrd_011186 transcript:KVI10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MWNLLYLLPLISFISVAAQPPAPTSPWQTLTGDSPFVVARGGFSGLFPDSSSNAYRLATLTSVSDVILWCDVQLTKDSFGICFPYINLQNSSTIATIYLDGKKTYNVNGVRTEGWFPVDFRLADLSNVSLTQGIYSRSPSFDGSSAILSVEYVATTVKPPGTVVINYISSPEVNFLRSIVTRFRRPTKLVFRFLEKDEIEPSTNQTYGSLLTNLTFIATFASGILVPKSYIWPVGSDSYLQPSTSLVPDAHRQNLEVFASGFVNDLPLPYNYSYDPVQEYLSFVDNGRFSVDDVLEWQLELIYPCFLGSEKPLIITFEGASGDYPGCTDSAYEKAVSDGADIIDCPVQMTQDGIPICLGSINLLDRTSVANADFTDRTINIPDLQATGIFTFSLTWADIQGLEPVMFSPYARNSSMIRNPKFQNDGKYMTLSDFLNYAARNATSLSGVLINIKNAAYLATNQGLSVTDAVMDVLNRSSYNNNRRKKILIQSPDSSVLKLFKARSNRYELVYEVNENIRDALNSTIEDIKEIANSVIIGKESVFPKSAGFLGGQTDVVAKLHASKLPVYVQFFHNEFISQPWDFFSDPYVEINSYINGAEVDGVVTDYPATSARYRRNLCLALPAEQTPQYMVPAAPGQLYTFMTPEFMPPVGAPHPVLTDDDVAEAPVPPARRPSPPAGGGGGGGAPPPRAAPSGGESKAVVGIVACAAGIVATSLMMF >KVI10398 pep supercontig:CcrdV1:scaffold_29:367885:369647:-1 gene:Ccrd_011194 transcript:KVI10398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGACMQAKHARSMLHLLKETLDGVQLNSPETPFVVADLGCSSGSNTIFIVNVIIDHITKRYQTSGDHSDLPEFSAFFADLPSNDFNTLFQLLPPLANQDVGTMEECLASTGHRPFFAAGVPGSFYRRLFPAKSVDVFYSAFSLHWLSQVPEIVVDSSSETYNKGKIFIHGAKKSTANAYRKQFQADLAGFLKMRSKEMKKGGSMFIALLGRTSEDPTDQGGAGLLFGTHFQDAWDDLVQEGLITSEKRDDFNIPVYAPSLQDFREVVEANGSFSIKKLEVFQGGSPLVVNRPDDAAEVGRALANSCRSVSGVLVDAHIGDKLGDELFLRVANRATKKAEEMLEKLQFFHIVASLSLK >KVI10417 pep supercontig:CcrdV1:scaffold_29:578348:587802:1 gene:Ccrd_011167 transcript:KVI10417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein NFTIKVAVKERSWPRTVTKILKSVEGYGGYNGYGYPRYGRNDAYNHLECAGNASDCNGHHTEKPKTPEKSASSPPPSSSVFQKLSSKISCVNGANCCSLILCQNPLPELYMSPEASSSSSPWQHLEEDSATPAPINTASRHTLVSPAGIFELGFFRPEAGRSEDRYLGIWYKKIAVKTVVWVANRGFPVTGASSHHVLKIADPGILVLMNNITVVWSLSTTIISPNATAKLHDTGNLVVMDEYKSDIWKSFNHPTDTRYRFLEGCPKLCQREVYGNGQTIKPNMVLWVNHFRIPNKNKVKWSSFVKHSMAIQRSTLMASEGGKEPLLIGQQEPGPETNSFGSFKSRNLRRCKSAPMVEPVPEDTKMDRFYDGPRSIFEQLKPKFGRVALYLFGYLGLSTFCFYLVRNQITGKGTQGIVDGLYFCVVTMTTVGYGDLLPNSTTTKLLACAFVFSGMALVGLSLSKAADCLVENQEILLVKALHLRQKAGSMEILKETETNRVRYRCIIFSVLLMILITAGTIFLITVEKMDFIDAFYCVCTTMTTLGYGDKTFSTMAGRIFAIFWILMSTICLAQVLFAFAELYTENRQRELVRWVLTRKTTVADLEAADLNDDGLVGGAEFVIYKLKEMGKINQEDIAVIMAEFEKLDADDSGSLSVADISQAQSQ >KVI10374 pep supercontig:CcrdV1:scaffold_29:709015:712853:-1 gene:Ccrd_011158 transcript:KVI10374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MQSQKTLPDSDLPSLAAIKVKSSSPRFRSTANPSATETPTAGAQRRIGIAVDLSDESAFAVKWAVHQYLRPGDAVILIHVRPTSVLYGADWGSVDLSIVDTDNEESQQKLEDDFDTFTTTKSADLAQPLVDAHIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGATKRGSDGRLGSVSDYCVRHCVCPVVVVRYPDEKDAAASAEPVVSVATADDEEDEPEFHDATDERKC >KVI10431 pep supercontig:CcrdV1:scaffold_29:414910:421172:-1 gene:Ccrd_011189 transcript:KVI10431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFEGVLVSDHWLQSQFTQVQLRTLKSKAYLNLHSQAAAKLGNSNNSSSFLQATTTTLLHTIDESEKESYVAHINSYLRDDPFMKQFLPIDPATNALFELARDGVLLCKLINVAVPNTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGNQDLVEGRIQLLADLNLRKTPQLVELVEDNNDVEELLGLAPEKILLKWMNFHLKKAGYKKPVTNFSSDLKVTPFMNGLSTDSKKISYAEMMTDDVQMSREERCFRLWINSLGISSYVNNLFEDVRNGWTLLEVLDKVSPESVNWKHATKPPIKMPFRKVENCNQVIKIGKHLKFSLVNVAGNDFVQGNKKLILAFLWQLMRFNMLQLLKNLRSRSNGKEITDADILNWANKKVKNTGRVSQMESFRVGFESCFSMARFLSIVFVVTTYCSSCLMIVQDKSLSNGIFFLELLSAVEARVVNWNLVTKGESEEEKKLNATYIISVARKLGCSIFLLPEDIMEKMILMLTASIMYWSLQQSSTDESESSPSSIAATPEASPAPSMNGDEESFVGGEMTNLSIDDAASDTTVSSSQENDTLLQ >KVI10444 pep supercontig:CcrdV1:scaffold_29:73587:78454:1 gene:Ccrd_011220 transcript:KVI10444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MSKHLVTRSQYSYPNLTVCVSLFLQMLDYNVPGGEISCSIPIQETLSFHICFVRGNMMYAGKLNRGLSVVDSYKLLKGDELTDDEVFLACALGWCVEWLQAYFLVLDDIMDESHTRRGQPCWFRLPKVGMIAVNDGVVLRNHVPRILKKHFRGKAYYADLLDLFNEVEFQTASGQMIDLITTLVGEKDLSNHRRIVQFKTAYYSFYLPIGTDIEDFKCSWLVVKALELANEEQKKLLHEKYGRKDPASVAKVKELYYTLNLEVAAAGVSEDYENKSHEKLIKSIESHPSKAVQA >KVI10390 pep supercontig:CcrdV1:scaffold_29:117301:119678:-1 gene:Ccrd_011215 transcript:KVI10390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0118 MELVPYSDPNTTATSTSTSTSTSPASPPWQDMFRSASTRRPDPPPPPPPLTPPQSTTNPDQYQDPSGDSSLSGDSQVRLALYIAMAHAGLAFAIVLLYGVSKLLEEYLRPILWAVLCSIPLRGIQQTLVSFWSEPLKLGLTETILAIPVSIYRVFIETLVEIRDVLYKLIGHKKKKKKEIRRGKRSGFLILLRWLVSFWVFVMAYEQFDVLGAITLLGLGFMFTSTNVESAVSSFRDRSFRKNRNTAFLTKWISNRLETIVAIGLIFGMIVGSLAGTMFFSYKIGVEGKDVVYSIKSHVEESNYTEKIGLKQWMDDNDVAGMVDRYTTQFYETVYEQIDSLAVQYNMTEIVQEMKQIVAPRPINSSTPSTALASPNPYAEKILRLKKMVTNREWEAIYPEVNAIFKEARVSREDMIEKAKAIAFQGKDVVQRVLASSKSIVGGSTKLVFVVIESIVSGAAGIFNFVSQSMVFIWVLYSLITSNSGGVTEQVMYMIPISRSTRTRCVEVIDKAISGVLLATAEIAFFQGCLTWLLFRLFNIHFLYMSTLLAFINPLLPIFPYFFSTIPAALQLVLEGQYVLAICLSIIHLLLMDYGTTEIQEDIPGHSAYLTGLSIIGGGAIMGPLITTVVIALKDLYVGYVLDEPKESKKE >KVI10451 pep supercontig:CcrdV1:scaffold_29:123:1941:1 gene:Ccrd_011229 transcript:KVI10451 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2431 MNCIDNFILLDVVIKKYKSAKTNLDRLNNSGARLLFGVDARTMKIHSHLHPRKFDRIVYNFPHAGFFGKESDDGVIMIHRNLVCSFFKNASQMLRPNGEVHVSHKTKFPFDCWNIVELASQSCLTLLECVEFKREDYPGYNNKRGDGVRSDEPFPLGECRTYKFISSSTALYHGNHQELQEIPLQGANTSLFTDPARAIDSTECFRIFVEYFDHARSTCGKNDCYLSSSVRDHLRFGFQRYMAEDHRRQSIDFVKLLEELRSLSKQRIEFLQKRLWELDLRGGL >KVI10385 pep supercontig:CcrdV1:scaffold_29:132197:138367:1 gene:Ccrd_011213 transcript:KVI10385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF812 MEESQEILLNSLANAGVPIPPEVLSVEDLAPETLVSICAHSLRIISNSESFPITLPDSMADRFKICTDLASSVKDLGYIGDMSFHKFLYPSEEDMYKLIRFLVGRLSESSTTAVSPNAKDLHTKVEDLNLRSQEAVSNSIQYAEKDIAADDINRDGKSNTDNVGLSGMENGISLTEDTQYSRNSAGGDRGTSHKTEMLLNQENVTSDEVTAKSLELQRLEEQHDLLKAAVEMACDEQNSVDSYIVQLTEQRKNLEEALCAKQPESHEKHRKWKKLEQDTESLSTEIEKLPKLATRRSYIERIKEITKNSRKQDIDIERILKETRELQLESNMIQERLHRTYAVVDETLLSFEEISEKILATDRARREATELETKLSRIGTQSLNVAKLEADLDAIRKENEYLQSHTQLGNP >KVI10426 pep supercontig:CcrdV1:scaffold_29:271941:284040:1 gene:Ccrd_011203 transcript:KVI10426 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MESGDSMERDGVWMPLTPGKPIPARLGLGLHSVQPMGGRHNCKATLTSGQGTGIENLNEAFPFMASFNATGYLEHNGINEMYGLKAGFGEREADLGVAGKDPKSNAADQHDTSCAREEEVKVPHSECDSKNVHRVHESRAGVGSVPTTSEKKDSRKRRNDGIDLNKKPSQRPRMKKHRPKILDDSKPKKVPKAQTPRASTPRPKTPKPVTPNRVQERRKPARKNTFTGSTSCMQSSTSYGYKDVVQDVQSASMSSIIVFKSCKRSLDFNHHLVDGKSHYVSKSHVQPRSVNYDLGEFSYFGKLVTSKRNTPRRSRFQKKCLKASEDLLADNNKQQCHQDTSVIRNQELAETHGRRFVYFYQRRKKRSSNATSVIPTLQVYRRKFRANQCLQNSKKSGPNFPSIFKKQRAKRRKATMNVNWWYIKAFEDGKKRVKRSHRKHIQTTGKSVHNGVNKSKDHKGVVKPNLHTAERFLHVFLTKKRKRSIRHTRRRENILDIPIFKTTPYESEKRRENIMELSIFKAMPYETEICLPQQEESFLKVTECFPLQEVPIQTIESFTSFHRNVQLVDNSVDALKSLQLQEVPVLGSQSLLPGDQATPLSKKDINGQCKELVVRDHNFSGTLVKTEPPKKRKVLPKVNLDEETLRVWKLLMENDGSEPVEEMDKEKEEWWERQRKVFRGRVDSFIAKMHLIQGNRRFSQWKGSVVDSVVGVYLTQNVSDHLSSSAFMSLAARFPVKSTSKEVCDHGEVETSQELVRSNTVVDGRFSMNNETEKDMGTSCADVSDATPTVKPEQEGLSNPFLVQDKPCVDENSNAFRKLLDIEEVDYLKQFYSSANDKSPLNESRDNGDLNVSKEGEEQSATSFDLSICHEPCATISDVNVGLCEISVVRNIEREVVGEKNGGNAHLKHSESEFEGKEGVSNPFVVQDKPCVDENSNAFRKLLDIEEVDYLKQFYSSENDKSPLNEGRDNGHLNASKEGEDQSATSFYLPPPHEPCATISDVNVGLCEISIFRNVEREKNQGNEHLNHSDGEFIAQQKSTTLEEEPIIATPICTLNSEVTIEQSVDAVNNHPSMKAIPLDPRSTGKKKSRTDEKQEMKTDWESLRRTYCKSGGKETNENNMDAVDWDAVRRATVEEIAETIVERGMNNVLAARIKVDTNVGRVATRLGWVPLQPLPEEVQIHLLNAYPMMDSIQKYLFPRLCTLDQRTLYELHYQLITFGKVFCTKKSPNCNACPMRAECRHYASAFASARLALPGPKESNATSIVPAANEQNDSMLKTPPSSFVLELDNLASTYHVRNSEPIIEVPLSPEPEDALPIIRDIEDYYCESDDEIPTIRLNTEEFRETLKDTIDVNNISLPEAEMSKALVALTAQAASIPAPRMKYVAKLRTVHYVYELPDYHPSLA >KVI10413 pep supercontig:CcrdV1:scaffold_29:613757:629884:1 gene:Ccrd_011163 transcript:KVI10413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MEERSRTTTIFILLLVSLHIQKTHNAEIDIITDSLFLTEEDTLVSPAGVFELGFFRPGGSDENRYLGIWYKKISVQTVVWVANRNFSLTLPSSRYLLKIADPGVLVLMNNITNDVVWSTNTTSSANTIAHLDDTGNLVVTDKKMIVWQSFDYPTDTLLPGMKFGKDFLTGKEWYLSSWKSSQDPSPGEFTWSVDARSYPQNLLKDGSAIKFRGGPWNGLRFSGASGLHKNTIFTYNVVINETEVIFTYDLYNTSRVSRLVLTPSGVVERLVWVEDEKKWQMILELPKDLCDSYNICGGYGSCSSVTLSRCVCLDGTRLLPRNPKGWETSDWSGGCVRRRSLDCENGSDVFIKYSNVKLPDTHTSWFNRSKNLKECEEMCLKNCSCMAYANMDIRGEGSGCLLWFNDLIDIRVYFDGNGGQDVFVRMASSELGAMLPACETQKNEAMELPLFSFSTISKATADFSLNNKLGEGGFGPVYKGMLEEGIEIAVKRLSTTSSQGLDEFKNEVICISKLQHRNLVKLLGCSIQGEEKLLIYEYMPNRSLDRFIFDEKQRTTLDWTKRFDIIKGIARGLVYLHQDSRLRIIHRDLKASNILLDQDMNPKISDFGIARSFGGNETQANTERVVGTYGYMSPEYALDGIFSIKSDVFSFGVLTLEIEKKRRTGSQPLLAVGSRRGHSRLASRRLADAASRPLLAVRHRPQRHWIWTKNRRRRTEEEEYSSMESSFLFLIPFLFSFYASFTDSMAANTIAVNQTIRDGQTIVSPQQSFELGFFSPSTATRNRYLGIWYKRLATGTVAWVANRETPITNKSGELTLHPDGALVLRDSTTNRTIWSSGTTKTAPNPIAQLLDTGNLMVVDREGGDDDPGDYIWQSFDHPGNMFLPDSKFGRNLEKGVVTNITSWKSEEDPSQGEYTVYMDFSGFPQIYLSKSDMIQMRLGSWNGLRYTDINSFRNNGQDIYIRMAAAELVEIGPFYVDREERPTYESQNSDIELPLFSLSTLLDATDNFSSNNKLGEGGFGPVYKGFLENGQEIAVKRLSESSTQGVEEFKNEVIFISKLQHRNLVKIIGCCIEGKEKMLVYEYMPNTGLDLFLFLILFYFTEEAKRKTLNWTQRFHIINGIARGILYLHQDSRLRIIHRDLKAGNILLDHDMNPKISDFGMARCFGANDTETNTKRVVGTYGYMSPEYAGDGIFSVKSDVFSFGVLMLEIVSGKKNRGFFHQAHNHNLLGHAWRLYVEGKALELVDASLIESGYTFEVLRSIHVGLLCVQNSPDDRPNMSTVVMMLSGDAQLPEPKQPGFYTEDDKFGPENSYSMQTQDSYSITITVLELER >KVI10429 pep supercontig:CcrdV1:scaffold_29:212318:243297:-1 gene:Ccrd_011206 transcript:KVI10429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASNAIEVPVFIDTSLGTHIAISVSPDLTVAEFKRNFEIVHSSCYPGIGNVKVDGSMVKRKSFFYHLPESMPIKHAFRGSKKTWFLYTEVYPLNKKASSTPQSEAVHGENRTKKNCSKSNRKKRTRKGVPSSNPVMTTDPRSVHISKKTVTNACSIMEPTSERPSESTSVSVSVSGIINKYFSVYDEVTSTKSKPRMSEYAPTTPSVTTNVRASRGQSKSSGVGRRLIVAANNLGVSTSDKKPVVSLCRFKCEKSSLIVDLKMKLKRRRAVEEVPLRIRCFISGVIATPLENIEEPLKNFVWDFDKGDFYHWVDLLNYFDTFLEKYIKSRKDLLLEDDFLEHDPPFPREAVLQILRVVRIIIDNCTNKHVYSPYEHHLSSLLASTDVDVVEASLQTLAAFLKKSTGKYVIRDASLNSKLFAFAQGWGGKDEGLGLVACAIEDGSDSVAQELGSTLHFEFYVVNESLMEHPTTEQQKQGLQIIHLPNVNTYQQCDRELMQKLIKEYKVPHSLRFSLLTRLRFARGFGSSAARLQYTCIRLHAFVVFVQACSDNDDLVTFFDTEPEFINEMVTLLSYEDSAPAEVRILCLHSLVAVCQDRSRQLTVLSAVTSGGHRGILSSLMQKAIDAVLSKPSKWFVVFAEALISLVTVLVSSPSGCSAICEAGFIPILLPLLKDTDPQHLHLVSTTVHVFEAFMGSSNSAAALCQDLGGLDDTIFRLKVEISHVENYSKQQGTSADMDSSGCSNSDVVDSANLDSTQSRYSEALASYHRRSLIKALLRAISLGTYATGSTSHVYGSEENPLPYCLCVIFRKVNLFGGRVFSLAAIVMSDLIHKDPTCFSLLEAAGLPSAFLGAIMDGVLCSSEAITCIPQCLDALCLSNSGLQAVKNHNALRCFVKIFTTRTYLRVLMGDTPSSLSSGLDELLRHASSLRGHGVDVLIEILKAIEKLGYGPEAASSTVDATTCVTSVSMETDAEDKACTPDDEQSSKNENFVNLLESSADTASLNVESFLPDCVNNVARLLETILQNADTCRVFIEKKGVEAILKLFTLPLLPSSVSVGQSIAVAFKRFSPHHCASLARALCSFMRQHLILTNERLISLGGMRLAQVEDAKRTHVLRCLSSLEGILSLSCSLAKGTTNVVSELGTSDADLLRDIGATFREILWQLSMDCDSKIDEKQSNKSHPENVNVAVAGNAGDARAASNTVGMDVDDVTIPVMRYLNPVPVQNSSHPHSGLEREFLSISQTGEGFSQRNRHGLSGIRGGRLQIDSESLMNNTGTTSQDLKRTSPEALVLDNLITLASTLRSFFIALVKGFTSPNRRRAETGSLSAASKSIGTVLAKVFLEALSFRGYATSAGLDTSLSLRCRYLGRVVNHMAAVTFDSRRRTCYTVMINNFYIQGTFKELLNTFEATSQLLWTLPYSVDHEKSEGRKLSHSPWLFNTLESYCHVLEFFVNSTFLLPPASTSQAHLVIQPGAVGLSIGLFPVPRDPKNFVRMLQSQVLDVILPVWNHPMFPCCNPRFITGILTLFNHVYCGVTDGKLSHGGGFGGANQRFDGPLPDEATISTIVEMGFTRTRAEEALRHVEINSVEMATEWLFSHPEDHVQEDDELAQALALSLGSSSETPKADDTENLADPQTGIVETKAPPIDDILAVIMKLFRSCDSITFPLTDLLITLCAREKGEERPKVISYLIQKLKLCSLETFKDTSVIGTISHTLASLLAKDVTTREIAAENGIVSVAIDLLIDFKARKSRDALPLSKCISALLLILDNLLQSRPNFFPDSIEGTKTGSLPGPMGEKPSSLASKEGADKNLIPAAGDKERNNVLGKVFGNSTGYLTIKEGHRVLTVVCEMIKQHVPAVVMHAVLLLCARLTKTHTLALHFLENGGMVALFSIPRPWSLLVYDTVASAIVRHLIEDPHTLETAMELEIRQTLTASHHAGRIPPRTFLTSMAPLISRDPEIFMKAAAAVCQLESSGGRTVVVLSKKKEKGKDKSKVHGVEIGASSTECVRIPENKSQDGSVRFKNQKKISANLTQVIYHILEIILKYPSLNPEEECTRSANAMEIDKPDTSKGKSKVDETREESDRLPERSAEISKVTFLLKLLSDILLMYVHAVGIVLKRDLEMCQLRGSNQLDGTGHGGVVHHIMHRILHSPVDKNSKSDELRVKLSEKASWFLVVLCGHSSEGRRRVINELVKALQSFSNSASNSSKGSLLPDKKALAFVDLLYSILSQNSSSSNLFGSGCSSDIAKGMIDGGTIPCLSSILQVLDLDHPDAPKFVNTIIQVLEGLTRAAIASEQCSSSDLSNKKKSVSAGTRSDNQMATEINQTVEPNLNSDHEVTGTDVTQQLPQQTSQDQGDDHSNLNQPAVQEMRAEMEETETIQAYAELGMGFMHEEMGDGGVLQNSNQIEMAFHADNRGGNITGDEDDDMGDDGEDDEDGDDEDEDIAEDGTALMSHADTDAEDHDGTDMGDEHNDDMVAEEDEDYHANRVTEVRWREALDGLDHMQATEPPEGVIVHRLLGVRRPLGFDSRRQQIRTTIEGSGTEGNGLQHPLQLRPSQSGDFGSMWSSGGNSSHNLESSSGGNFDVAHFNAPVLPLDHVQSGVFGDRNRGVAPQLGDFSVGLESFFTLGRRGLGENRWTDDGRPQAEGQDAAIAQAVEEQFMSQLYSTAPSSLAERQPHNSAAQISQSDAPLAGNNELVAEGLGSVAQQTDGHHGEIDQNIVHLDMNLEQVHSDVAVEQTEDLQAHGSSLGVVNALSNGQDNMEIGEGDGTVNEQELQHDSLSATHNETRNHQVVTEGDNVPNSHALVEESTDVDMNLADSGANENGDPLSSVVVRDVSSSSEQNTQVAQNNNQADQSDETGATNVSPRANGIDPTFLEALPEDLRAEVLASQQVQSTPALAHSPAVADDIDPEFLAALPPDIQAEVLAQQRVQRVTQQAEGQPVDMDNASIIATFPADLRHEVLLTSSEAVLSALPSPLLAEAQLLRDRAMSHYQAHSLFGSNQRLNSRRNGLGFDRWTAIDRDVGVTVGRKASYTLAGSLKVKEVEGEPLLDTDALKAFIRLLRIAKPLGEGLLQRLLLNLCAHSCTRAILVYLLLDMIKPETKGPVGGLTKINSQRLYGCQSNVVYGRSQLIDGLPPLVLSRVLEILTYLAINHSCVADILFYYDASRFLDYLIPRYNDKKNDKGKEKVVEGCEMVHPAGLSIESDVPILLFIKLLNHGIFLRSVAHLEQVLGLLQVIVCNAALKLDRHPQRDSSLAGAESGYVDESFSDDKRSVKMHDIFLKLPQSDLHHLCSLLGHKGLSDKVYTFTGKVLKKLASIASLHRKFFIVELSNLAHNLSSSAIRELITLRDTKMLGLSAGSMAGASVLRILQTLNSLTVDSNGTKGAESDDDQEHVTMRRLNVSLEPLWQELSECISVTESQLGQGSFSSVMLNVNVNEHAQGSSLSLSLPPGTQRLLPFIEAFLILCEKLQENAYVQDNAYATASEVKHLTESSSPSGADSHRKTDGSLTFVRFAEKHRRLLNAFVRQDPRLLEKSLSMLVKAPRLMDFDNKRSYFWSKFRQQHEQHLARPLQINIRRAYILEDSYNQLRLRSSQDMKRRLNVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRTIRVTEETKHEYVDLIAEHILTNAIRPQINSFLEGFNELIPRESISIFNDKELELLINGLPEINFDDLKANTEYTGYTATSSAIMWFWEVVKAFNKEDRARLLQFVTGTSKVPLEGFKALQGLSGPQKFQIHKDYGALDRLPSAHTCFNQLDLPEYMSKEQLQKRLLLAIHEASEGIMQAPVAPKNRLEFLNTRPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAVGAAGPSGVGRGRGKGPGEEEEEEEAEDKGYDENQKFDEFEGNDVGLFASAEYDEEDKEADAVWDEIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLVTLSAEEWDSIPEIGDYSLRNKKKRFESYVPVPDTLLEKARQEKEHVTALDPKSRAVGGTETPWSQTPDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVIQTNPKHPPGWIAAARLEEVAGKIQTARELIKRGCEECPKNEDVWIEACRLANPDEAKAVIARGVKAIPNSVKLWMQAAKLENDDASKSRVLRKGLENIPDSVRLWKAVVELANEEDAKLLLQRAVECCPLHVELWLALARLETYDAAKKVLNKAREKLPKEPAIWITAAKLEEANGNTAMVGKIIERGIRALQREGLEIDREAWMKEAEAAERAGSVATCNAIISNTIGIGVEEEDRKTTWVADAEECKKRGSIETARAIYGHALTVFLTKKSVWLKAAQLEKAHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPSARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNAEEERRLLDEGLKLFPSFFKLWLMLGQLEERLGRLPQAKEAYELGLKQCQNSSPLWLSLANLEERMTGLSKVRAVLTMARKRNPHNPELWLAAVRAESRHGSKKEADILMAKALQECPNSGILWAASIEMAPRPQRKTKSSDAYKKCEHDPHVIAAIGKLFWHDRKVDKARTWLNRAVTLAPDVGDFWALLYKFELQHGTEDQQKEVLRKCVAAEPKHGEKWQPISKAVENSHQPVEAILKKLVVALGKEEKAAEENKHQ >KVI10446 pep supercontig:CcrdV1:scaffold_29:30598:33328:-1 gene:Ccrd_011226 transcript:KVI10446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MVLAAVYKALNEQHVLLEGTLLKPNMVTPGSDSPKVTPEEIAEYTVTALRRTVPAAVPGVVFLSGGQSEEEATVNLNAMNKLEVLKPWTLSFSFGRALQSSTLKTWGGKKENVAAAQAGFLARCKANSEATLGKYGGDGAGGLASESLYVKEYKY >KVI10375 pep supercontig:CcrdV1:scaffold_29:697113:702428:-1 gene:Ccrd_011159 transcript:KVI10375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MKGFSFLFLSTISTFFLTSAAISTISATGNITDAGGHTIVSDDQNFVLGFFSPTNDSTNRYLGIWYNKIQIRTVVWVANRETPISDTSGVFLLDDQGVLMIVNRSNSMIWSSNSSVSRTRNNRVVAQLLDTGNLVIRNENDIDPENYFWQSFDYPGDTFLPNMKFGIDFRKGLEKYLTAWKSVDDPSPSEFTNGFDSDGYPQILLRKGSVLQYSSGPWNGLRFSGMPNLQTNDIYTFRFVYDDDELYYTYELANSSIVSRMILSPEGSIQRLIWIEQKLEWNLYLTAQMDNCDRYGLCGAYGICNIAESRACECLRGFEPRFTDEWRTTYWSNGCVRKAELNCEGGGDGFVKQSGVKLPDTRKTRFNRTMNLDECRAECLKNCNCTAYANLDVRSGGSGCLLWFDDLVDIRAYSVDGVTSVVRERNFTNENETKDWELPLFNFNTIADATNNFSDDFKLGEGGYGPVYKRHSVKSNQGLDEFQNEVQCIAKLQHRNLVKLLGCCIEEGERMLIYEYMPNKSLNSFIFDSRLRIIHRDLKASNILLDKDMNPRISDFGLARGLEGSDTKANTKRVMGTYGYMSPEYAIDGIYSTKSDVFGFGVLVLEIISGKKNRGFRHADHDLNLLGHSNRVISIFYALINIVSVGIQVWRLYKNGKHLELIDGMMKGTCVESEVRRAIHIGLLCVQKYPEDRPDMPLVILMLGSEIPLPEPIQPGFYTDRRRPQEGESSSSNYLEWSSSNRLTVTYLQPR >KVI10427 pep supercontig:CcrdV1:scaffold_29:258365:266655:1 gene:Ccrd_011204 transcript:KVI10427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MGGKRWVKEAGGIWEGSDGASQSRGHEEVSETVKEDIGMKQHWMVRAISKTLTLIPIPFHSSRASFSSPSSSFALKNVTRSNFELSLADLRRHVRDSDFVSIDLEMTGVTSAPWRESFEFDRFDVRYLKVKDSAEKFAVVQFGVCPFRWDSTKQSFIAHPHNFYIFPRQEVGAYDPSYEFLCQTASIDFLAKYQFDFNLCVKEGISYLSRALEDAARLHLHSTHEDELSESPCTTKEIKDVPLVRMADILFVERMRNKISEWHDDLLQIRNRRSSQIPEDSNDSMNQFQTIFFRMRPAIKLNGFTSRQLRLIKSVIQKSFKDLAYIRVNGASCLEQFIVYTNSRRDLELLMKEVKGDLCKEAEMKIGAAIGFRHVIDLLSSEQKLIVGHNCFLDIAHVCRKFVGPLPSNLEEYVTLVQKNFPYIIDTKILLNASNVLQLKMKKSSTSLSKAFAILCPHIASGVSSSGLAPSSVTVEVQVDDMRSSNWNSGAKHEAGYDAFMTGCIFAQSCSHLNIDFSSHSMLMKEEKLQKYINLLYLSWSCGDIVNIKTGGTTTESSAFNTFKIQPTKILFPNIAIIWGFPSKLKAREIKESLCKVFGLTSVTSIYHLDRTAVFVQFNKSELVSDFLELKSRLEKKNDAISVFHPLSELLEGGNTRAASYEVYKEICGSPISKVLFADQAEAVGINLNTKLLENKVQENGSFANSQSPIDTKTADIKQPLKCTSGSSKFPKGEMLDIVSC >KVI10405 pep supercontig:CcrdV1:scaffold_29:555721:557384:1 gene:Ccrd_011172 transcript:KVI10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MDRLSVFGIWVAFFLVIHGGDDHHHHQVKSLRNKYITWDDIDMQDDDQKKPEGFSFENGDENGSRVIVVDQSGEKGHSLTVQGAIDMVPFNNSIRFKIYILPGIYRLPYISFIGDQNRASETVLSWNDKASNRYKDGSELGTYRTASVDIESDYFCASGITIENTVVAEPGGYKMQAVALRIAGNKAVLYRVRILGSQDTLLDDHGSHYFYRCYIQGSVDFIFGNSRSLYRECILHSVADKYGAIAAHHRNSKDEDTGFSFVNCSITGSGDAIYLGRAWGNYSRAVYSYCDIDNIIEPSGWSDWNQPWRQRTAVFGEYECRGKGADRKNRVSWSKSLEFTEAMPFLDSNFIDGEQWLRL >KVI10401 pep supercontig:CcrdV1:scaffold_29:334902:335558:1 gene:Ccrd_011197 transcript:KVI10401 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MPKATVNTSPAHPSLLAAHGSELKPDIRFRGVRKRPWGRFAAEIRDPWKKTRVWLGTFNSAVDAARAYDAAARNLRGHKAKTNFPSNMTSYRQHNANDLHPFRQNQYSDYQTVVPQNPTCSSLSSTLESNSGSRPPVKSDFVLPRRRHHPRSPPVFPDDCRSDCDSSSSVVVDGGDGGEIGCSSFTKPFQSIDLNMPPPLDEFEVGFLVDDHCTTLRL >KVI10412 pep supercontig:CcrdV1:scaffold_29:644165:693723:1 gene:Ccrd_011160 transcript:KVI10412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MVGSTVLLGLLVLMIIRKRKEKRQEEDKRKTLNWTQRFHIINGIARGLLYLHQDSRLRIIHRDLKASNILLDHEMNPKISDFGMARSFRGNDTETKTKRVVGTYGYMSPEYAGNGIFSVKSDVFSFGVLVLEIVSGKKSRGFFHMTHNDNLLGHAWRLYNEGNILELVEASLIESNCTFEMLRSIHVGLLCVQNNPDDRPTMSTVIMMMSSDGPLPEPKQPGFYIENDKDEINEGSMGIGIQHKSHPLGSTSQYWGRDHHHYSSMAAPTYRFLISFIFSFYTLITTAADTMAVNQTITDGQTIISAEETFELGFFSPSNTTNNRYLGIWYKRLAAGTVAWVANRETPIANKSGELTLNPDGVLVLRDSITNRIMWSSNATSTIQNPVARLLDTGNLMVVDGDDDSNDPENYIWQSFDHPTDTFLPDLKFGRNLKKGVVTNFTSWKSDDDPSAGEFMIYMDFNGLPQVYQKRGDQIQYRLGSWNGLRYTGMASLKPNPIFTFTHVSNENEIYYKFELINKSVLTKMRISPAGDMVRLNWITRTQGWFLFSTPTVDNCVRYQLCGPYGSCDIEQSPSCACLRGFTPKNPTEWGVADWTSGCRREIALDCGVGEGFRKYSWVKLPDTRKSWFDPNMTLKQCEVKCKNECNCTAYASLDIKYNTGCLLWYEELVDIRTYSDAGQDIFIRLAAAEIEKDKKTSRSKKKVRMIVIPIGVTVLLLGGFLDDGREIAVKRLSDSSTQGVEEFKNEVIVISKLQHRNLVRILGCCVEGQEKMLVYEYMPNNGLDLFLFQEAKRKTLNWTQRFHIINGIARGLLYLHQDSRLRIIHRDLKAANILLDRDMNPKISDFGMARSFGGNDNETNTNRVVGTYGYMSPEYAGDGIFSVKSDVFSFGVLVLEIVSGQKNRGFFHQAHHHNLLGHAWRLYKEEKTSELVDASLDGSMFEVLRSIHVALLCVQNNPDDRPTMSTVIMMLSSDGPLPIPRQPAADTIAVNQTIRDGETIVSPQQTFELGFFTPGNATQNRYLGIWYKRQATGTVAWVANREIPIRNNSGELTLHSDGVLVLRDSTTNTIVWSTSSPGTTTGNPVARLSDSGNLVVVNDDNEPENYIWQSFDHPGDTVLPGMKFGRDLEKGIVTNVTSWKSVDDPSQGTFMVYMDFNGCPQIFIKDGDVIQHRLGIWNGIGYTGMPTLKTNNPIFTYEYVSNENVTYSVFNLINASVLTKTTINPSGDLGWFNWIDRTHGWFLYLTPAGDNCARYALCGVYGRCDIQQSQSCECLRGFTPKRPDQWDISDWSDGCQREIPLNCSFGEGFRKYSSMKLPDTRQSWYDTNMSLEQCRVKCRNECNCTAYTQLDIKYNTGCLLWYDELIDMRTFPNTGQDIYIKMAAAELAKDMKVSRKKKQVVVIAIPVAVGLGILLGVCLLIIRKKMNKKLKGQAFVVSVTQDPIEPKDVINVPLFKLSALLVATDNFSLNNKLGEGDSRLRIIHRDLKAANILLDHDMNPKISDFGLARSFRGNETETNTIRVMGTYGYMSPEYAGDGIFSVKSDVFSFGVLVLEITSGKKNRGFSHEDHNHNLLGHAWRLHREGKALELVDESLIETGHTFEVLLSIRVALLCVQHDPRDRPNMSTVVMMLSGDESRLPEPKQPGFYKEDDRFGPENSSSMQTQNSNNDVTITLMDARHQPLLQFTNITGTYEPTLKHPTTSSMELLPVFWFSTIFFHALFICTNASDTMIVNQTVRDGETIVSPQQTFELGFFTPANTSKSHRYLGIWYKRKATGTVAWVANREIPIRNNSGELTLHSDGVLVLRDSTTNQVVWSSTSSETAENPVARLLDSGNLMVVDRDDGPENYIWQSFDYPGDTALAGVKVGRNLERGVVTNLTSWKNVDDPAQGQFRVYMDFNGFPQLFHNNGDMIHHRLGSWNGLAFTGMPGNQNSIYELNYVSNENEIYAIFNLIDNSIFSRLRLTPEGNLDRYNWNNQTHDWIVVSTPVSDMCVQYALCGVYGSCEIEKSPPCGCLKGFTPKNPHRWDLADWNSGCEREIALDCGVEEGFRMYSSMKLPDTRQSWFDKNMSIEQCEAKCRRECNCTAYTTLDVKYHTGCLLWYHELIDMRRFTDDGQDIYIRMAASELDLQLFSLSTLLAATDNFSDNNKLGQGGFGPVYKGLLDDGREIAVKRLSEDSTQGVEEFKNEVIFVSNLQHRNLVKILGYCIEREEKLLVYEYMPNKGLDLFLFHESVSKTLDWSQRFHIINGIARGLLYLHQDSRLQIIHRDLKVANILLDHDMNPKISDFGLARSFRGNETETNTKRVVGTYGYMSPEYAGGGIISTKSDVFSFGVLVLEIVSGKKNRRFFHQARDDNLLGHVRIFLDTIFINQTIRDGETIVSARQSFELGFFSPGNTSENRYLGIWYKRLATGTVVWVANRETPIRNKTGELTLHPDGVLELRDTATDIIVWSTNTKGSAQNLVARLLDSGNLVVIDNDDDNQLENYVWQSFDHPGDTILPGMKFGWNFVRGIVTNFTSWKNADDPSKGPFMAYMNLNGLPQLYQNNGDSIQNRLGSWNGHAFTARPGVTPPSIYTIKYVSNEREIYTKFDFTNDSVLSRLTVTSSGITGRFTWVNRTQGWFLFAPFNADTCERYALCGVYGTCDSTKSTTCGCLEGFEPKSQTQWDISDWTSGCQREVALDCGVREGFRKYQFMKLPDTRRSWYDTNMTLEQCNTKCRNECNCTAYATLNVEYGTGCLLCLSTLVVATNNFSFKNKLGQGGFGPVYKGILKDGQEVAVKRLSESSSQGVEEFKNEVIFISRLQHRNLVKILGYCFEGREKMLIYEYMPNKGLDLFLFNKNKSKTLDWLQRCHIINGIARGLLYLHEDSRLRIIHRDLKAANILLDYDMNPKISDFGLARSFGGNETTTNTNRVVGTYGYMSPEYAGNGIFSVKSDVFSFGVLMLEIAWRLHNEGKALELVDSSLIESDDTSKMLRSIQVGLLCVQNNLEDRPNMSTVVMMLSSEGQLPEPKHPGFYREVGYEIENSSLVGGMTEVGSRDWVVVTVGDRRGSWVEVIVERLGESHVAFFQQVCHRRRSSPPLPVGLVAASSSKSSPSPPSSTDTISANQTIRNGETIVSPQQTFELGFFKPGNSTNRYYVGIWYKKISTGTVVWVANRNTPLTTTSGELTLTPHGLMVLRTAAGNIVWSSANSSTPAARNLVARLLDTGNLIIHDQHSVDQENPIWQSFDFPTDGLLPGMKLGQDLVTGIERHFTSWKSADDPSAGEFSAWLDTRGYPQVILKEGQQIKFRAGPWNGLRFSGEPDLRPNPIYNFHFVLNSKEIYYQYNLIDTSVVTRVVLQPNGRMERLLWINSRQEWIVYLTPETDNCDRYAMCRSFGSCNIDNSPACDCLEGFEPTAPEQWTVADWSQGCRYRTPLDCGPGEGFKKYTNLKLPDTQWSRFNLTMNHEGCERVCKSNCSCTAYTSVNISGPGSGCLLWFDSTENSESSSGGRRARVIVPVATEIAVKRLAKTSTQGLHEFKNEVISISKLQHRNLVKLLGCCIEGAEKMLIYEYMPNKGLDSFIFDKTRSKLLDWPVRFHIIDGIARGLLYLHRDSRLRIIHRDLKVSNILLDSNMNPKISDFGMARSFGRNQIEANTNRVAWGLHKEGRSLQLVAKCLVESINLSQVLRSIHVGLLCVQRHPEDRPTMTSVILMLGSEGPLPSPKEPGFFIGKTSENTRYSSSTFETSSTNELSITTLNGR >KVI10421 pep supercontig:CcrdV1:scaffold_29:187312:188792:1 gene:Ccrd_011208 transcript:KVI10421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKSNLKVSTGKQSRIVCSRSPEKPPAEPERTPEIKSQDSQQTNSSESVTDTKRSPNESQKMGSIEEMKPLPIGGFKDWSESGKPVEKTDFVESGKSSICRGSTSTDLSDESSYSSLTGLHRTTFLYPTIMCGSHILFLAKILLES >KVI10443 pep supercontig:CcrdV1:scaffold_29:43726:46987:-1 gene:Ccrd_011223 transcript:KVI10443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPHPHSTFSFALLSIFIFFPYLSNSIDSQGHLLLSWKTTFKTAINDLQSWNPSDPTPCKWFGIHCNSAAHVVAIRLNSIDLQAPLPSNLHSLKFLESLVLSSTNLTGPIPAEFGDYLHLTELDISDNSISGRIPPEICRLSNLHTLSLHTNSLEGEIPVEIGRLSSLRSLMIYDNRLSGRIPKSIGQLGNLEVIRAGGNKNLDGELPEEIGNCSNLVMLGVAETSISGGIPASIGKLKRIQTIAIYTSRLSGTIPDEIGNCSELRNLYLHQNSISGSIPKRIGELRKLESVLLWQNSLVGTIPEELGSCNELKTIDLSENSLTGRIPASFGGLLKLRELQLSVNQLSGTIPLEITNCTAITHLELDNNQLTGEIPILIGRLRSMTLFFAWRNNLTGSIPESLSQCHHLQALDLSNNHLSGTIGKEIFSLKNLRKLLLLSNDLSGSILPDIGNCTNLYRLRVNGNRFSGSIPSEIGNLKNLNFLDMSSNRFVGVIPESISSCANLQFLDLHSNGLDGVIPNTLPISLQFVDISDNRLEGPLTPSIGLLTKLTKLNLGTNRLSGEVPWEIVSCGKLQLLDLGNNCYSGLLPKQLGQIPSLGISLNLSCNQFNGEIPAEFIGLAKLTSLDLSHNKLNGTLDVLKDLQNLVSLNVSFNDFTGQMPNTPFFRNLPPAGTAGNPALYFVSGVDTPPDEKGFAGHARPAAKLAMSILVSIGAILVLLGTYMLVRTHLANIKVDDETWEMTFYQKIEVSVDDIVRNLTTSNIIGTGSSGVVYRVTTMKGETLAVKKMWSTEQSGAFSSEIQTLGSIRHKNIIRLLGWGSNQTIKLLFYDYYPNGSLSSLLHGAGKGAEWEDRYNILLGVAHALSYLHHDCMPAILHGDVKAMNVLLGSSLHPYLADFGLARLVTNDQNGLSKQIQRPQLAGSYGYMAPGSEQLPFFSLSKHASGQWITEKSDIYSFGVLLLEALTGKHPLDPTLPGSEHLVQWVREHLHGKQDPVDILDPKLRGRADPQMHEMLQTLAVSFLCVSPHPDDRPIMKDVVAMLKEIHHEDSTRSDPELKGGSSPAPG >KVI10393 pep supercontig:CcrdV1:scaffold_29:320628:324539:1 gene:Ccrd_011199 transcript:KVI10393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIKRVPTILSNYQKEEAEDGGATRGCGRNCLRNCCITGAKLPLYAFPKSNKKVDSCDKIEFPFSFLDSLLLGEVIPGEHGFVAQLNEGRHLKKRPTEFQVDKVLQPFDENKFNFTKVGQEEVLFQFEASEDNEVSFHPNASVDLGKSPSVVAINVSPIEYGHVLLIPRILERLPQRIDHESLLLALYMAREAASPHFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKAPTRKISEFNGGVLISEIFNYPVKGLVFEGGNSLEDLSNVVADSCICLQNNNIPYNCYAEKQALGEVSPEVLDTQVNPAVWEISGHMVLKRKEDYEWASEEKAWRLLAEVSLSEERFHEVITIIFDAISCGVNEKKLVADDHQDIDQVGGVNNGPNADAAVVPGKQECLVQY >KVI10379 pep supercontig:CcrdV1:scaffold_29:718606:727073:-1 gene:Ccrd_011157 transcript:KVI10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA transferase Trm5/Tyw2 MFTKFFLRSSSCLPITLSPPLTPFFSNPCTPTSIRPIAVVSASASLSVTLDETFPYEPSLSKGYQPSIQSKTLQQDNEDLFDENCFTRVFDISALRVSADRCYALESQIRGHLLNWPRIRNIARVPGDEIDGQLQKYFNSSDDEPLESLNRRIYGKAEGDGEPLSPVLYRDKLAKTFNARGYEKFRNLAKLSRPKRKTKKEPSEIRENKRNECYQVEEVVDDRRSAEEKWEDLSGLIGEAEYRLGKWKGSTRLLLLDHRHADKSMDELPEAIKACLTGSPFELVRCKLTLFYNYWQMNEILEALLPKGMIVPSSFETVGHIIHLNLRDEHLPYKNLIAKVVLDKNRPKIQTVVNKIDSIDNEFRTMQLEVLAGNHSLVTRIVENGVHFHVDLAAVVLPRIVSIVTDAGGWISSIAQNFPPTGDVFAGVGPLAISAAKKVKYVYANDLNPHAIDYLERNCVLNKLERKIEVFNMDGRRFIDAIFKSQSSRPITQVVMNLPKDAAESPSSSLGSKFSSLLNLMSNLMSDAFKGIFRDADRNEELTLPTIHVYGFSKAEDPEFDFHE >KVI10424 pep supercontig:CcrdV1:scaffold_29:297608:301233:1 gene:Ccrd_011201 transcript:KVI10424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine racemase, N-terminal MAAQAVEGAAVTALRTVFHRVRQAAERSGRHADDVRVVAVSKTKPVSLIRHVYDAGHRCFGENYVQEFIEKAPQLPEDIDWHFIGHLQSNKAKSLLAAVPNLSMVQGVDNEKIANHLDRAVSSVGRKPLAVMVQVNTSGEISKSGIEPTSCLELVKHVKSSCPNLVFSGLMTIGMPDYTSTPENFRIEMGSTNVRIGSTIFGPREYPKKQ >KVI10402 pep supercontig:CcrdV1:scaffold_29:516091:518313:-1 gene:Ccrd_011176 transcript:KVI10402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDQNLRFSVHTLETADNSSSSVGFSPSGSPVSLQDSHSFQPGLYHSPDQTYTSHINPAAGNVDELELRLRELEAAMLGSDQDFEEFDVSSSWDGYGPEDINQENDEFRIMEELTSRGDLKQALLACAKAIAENKLTTAEQEQLISMARPLVSVSGEPAQRLGAYMLEGLAARIYESGSIIYKTLKCKEPTSGELLSYMLLLYEACPYFKFGYLSANGAIAEATKNEDRIHIVDFQIAQGGQWVTLIQALAARPGGPPKLRITGIDDPRNNYARGGGLNIVGRRLEMLAESCKVPFEFHGVPVSGSDIQIEHLGVRPGEALAVNCAFVLHHMPDESVDPRNHRDRLLRLIKSLSPKVVTLVEQEANVNTALFFHRFQEAFSYYSAMFESIDATLERDHRERINIEKHCLAGEIVNIIACEGTEREERHELLGKWKTRFRMAGFSPYPLSSYVNATIKTLLENYCDRYRLQERDGALFLGWMNRDLVSSCAWK >KVI10428 pep supercontig:CcrdV1:scaffold_29:245532:256161:1 gene:Ccrd_011205 transcript:KVI10428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MAMLRLPSIQVCCLTEKKHKDSSSKSLKFGESNSRTATYRKGSVHQERKPQKVNLEISPHRAVSAVRLMRIEFGGAFADLLNEKGKGSGDNEMGYVERTLGFCTRDLDDRDLRLILRIGFYEIVKLESPSYAVVDEETNSLPSPELEGDDRAQARALAILYSHPVWMVRRWTKYLGREEAIKLMMANRGKGLVVSIVDPQPGETIIDCCAAPGGKTLFMAACLNGKGMVTAIDINKGRLRILKETAKLQLLDDVITTENNNEAYEKVLLDAPCSGLGVLSKSEDMEELKSLQDELLDAASILVKPGGVLVYSTCSIDPEENEEREYDVDSADRYISPEFLTEQGYYFSSPVKHSLDGAFAARLIRSS >KVI10381 pep supercontig:CcrdV1:scaffold_29:780718:784920:-1 gene:Ccrd_011151 transcript:KVI10381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASNKSLICIFLATFALLGAISQVKGYSSSYCGPWSRCEGQTLYCPSECPSSESNEPKAKVCLIDCYSPKCKAECKRESYNPSQTSKSL >KVI10399 pep supercontig:CcrdV1:scaffold_29:361237:366310:1 gene:Ccrd_011195 transcript:KVI10399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MAEVDVQNLLLGETTCGTLLHQLQKIWDEVGESDEERDKMLLQIEQECLDVYKRKVDQATKSRSHLLQTLADANLELSTLLASLGEKSFVGIPEKTTGTIKEQLAAIAPALEQLWKQKDERIKEFSDVQSQIQKICGEIAGSGDRLGNQVVDESDLSLKKLDEFHDQLQELQKEKSDRLHKVLELVSTVHDLCAVLGIDFYSTVTEVHPSLNDAAGVESKSISNDTLARLAKTVVALKEDKKQRLQKLQELATQLTDLWNLMDTSEEEQGLFSHVTCNISASVDEVNVPGALALDLIEQAEVEVERLDQLKASRMKEIAFKRQGELEEIFARAHIEIDMQAAREKILALIDSGNVEPTELLADMDNQIIKAREEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEDDHGLTFMYDGVPLLAMLDEYALLRHDREEEKRRLKQEPVFGSRSSPARPVSSTKKVVGPRANGTPTRRLSLNQNGGRSVNKDGKREHTKPLGALNYAAISKEDAGSHISGTEPAPSTP >KVI10448 pep supercontig:CcrdV1:scaffold_29:39521:43200:1 gene:Ccrd_011224 transcript:KVI10448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein RecX MAIFAGKFIYGAALDIQSRVFSKPCSVKKIIVRCCSNFGERSKDFPVRYTPKKSSKFNKLDDSTRSPKDSENYEVRRFTNPDSSSVEISNAEERSRIGSILDEKSPDYDFRSGKLKSKEKKIRNSAVRSEVGEHDYKWRFPESNSGEDLDEFDAMEGPEAVLDEFDTHNGNNEIKHLAPDPSKTKEAAEKTTIGLLAARAYTALELKKKLLGKKFSHEVINAVISDFQNRGFINDFSYAEAFSRSRWSSSSWGPRRIKQALARKGVNDLDAQKAIKLVFEDGEPIDDQCSRLGLSKPSLDHLYAQASKQWLRAKDLPGEKQKSRIIAWLQYRGFNWGVINFILKKLQSGHPP >KVI10415 pep supercontig:CcrdV1:scaffold_29:599019:601094:1 gene:Ccrd_011165 transcript:KVI10415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MNPRNHLVFLMISFFTFTLLRSPLVSSQDISFHFSSLSLRNLTLLGDSYLRNGIIGLTRESGVPSSSSGTVIYNTPIPFFDRATNSTASFSTRFSFTVNNVNRESSSFGDGLSFFLSPDDQTLGSPGGYLGLVNSSQLTKNKFIAIEFDTRLDLHFNDPNDNHIGLDIDSLTSIETADCTSIGIDLKSESLITAWIDYWNDGKNLKVFLSDSGYKPTNPLLDVDTDLSGYFQEEMYLGFSASTEGSTETHFIENWSFTSFGIRHPNPRIKNPYNVTDNTVSKNPSIGVPEDDHNKNHHKKIGLAIGILGPVFFCGVLVVFGYISFKKWKGIKTDMNIQAELLTGPKQFSYKELKTATNGFHSSRIIGHGAFGSVYKAYLASSGTTAAVKRSKHSHEGKTEFLAELSIIACLRHKNLVPLQGWCVEKGELLLVYEFMPYGSIDKVLYQDSEHWSFLQWSHRYNIATGLASVLTYLHQECEQQVIHRDIKASNVMLDANFKARLGAICDAVDKRLNNEFDREEVRKLLAVGLSCANPDSEKRPSMRRVFQILNNEAEEIKVPKVKPMLSFSSSLPLSLEDIVSSSDGEEEEDASRMVPEISIDRSIARASI >KVI10449 pep supercontig:CcrdV1:scaffold_29:37374:38526:1 gene:Ccrd_011225 transcript:KVI10449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MVLLLGDQEQEAFRRTKSKPWLAKPVLVLKEENVFGKNLFTVRTMLGDGGREHHVVIEAALCENYEPEMWIGVDGIVSVRVANLHWRFRGYEEFQVDGIPVQVLWDVHDWLYMEPNTGSGMFIFRQSTNDFDPDSLSCEVNPNEDDCIEFCHFLHVWKIT >KVI10439 pep supercontig:CcrdV1:scaffold_29:461852:463695:1 gene:Ccrd_011185 transcript:KVI10439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pirin MPSHSRTVLRKFLARPQHEGAGAVVRRSIGRFELKYFDPFLVLDEFCVSSPGGFPDHPHRGFETVTYMLQMASTNIAEGIEDGVRVRVIAGESLGIKSPIYTRTPTMFLDFTLEPGAHVHQPVPESWNAFVYVLEGEGMFGNSKASSTTPHHLLLLGPGDGLESWNKSSKPLRFILVGGEPLGQPVVQWGPFVMNTQEEIDQTIQDYENFENGFEKARYWRSEALN >KVI10419 pep supercontig:CcrdV1:scaffold_29:570931:571573:-1 gene:Ccrd_011169 transcript:KVI10419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGHFVIWAMIQLLVTHNMDKTYVFLICNGILAVLVKTSGSLISESKFDLNHHIYIKTIHESLQTQDGGDRYQLISELDDIQEEIVIRVDRKQDEEELHKEEGSYAQIVMLPY >KVI10406 pep supercontig:CcrdV1:scaffold_29:545027:546100:-1 gene:Ccrd_011173 transcript:KVI10406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MPLRFLQSSLLPPSFPHNNFIDSPKKQDRKLLLCVQDSRTQLLECNNNNNNPKFCRPDYPDQFSCVPISKILRKPIQEEEKVRQEDGDDDLWLVMKDEAQSDVNREPILSNYYFSSILSHNVIETALAHYLSVKLSDSSLPSGTLYELFMSVLSEDQEIIRAVKDDLRAVKERDPACISYVQCFLNFKGFLACQSHRIAHKLWSQDRKVLAFMIQNRVSEVFASDIHPGAKIGRGILLDHATGVVIGETAVIGNNVSILHNVTLGGTGKVSGDRHPKIGDGVLIGAGTCVLGNVRIGEGAKIGAGSVVLRDVPARTTAVGNPAKLIGGKENPVKLDKIPGLTMDHTLHINEWSDYVI >KVI10432 pep supercontig:CcrdV1:scaffold_29:427886:428203:1 gene:Ccrd_011188 transcript:KVI10432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIKRNVLLGLICVFTIGFVVSATTAAAATTTVCNVATSDLLECLPAITGAHPPPPTYRCCKVMHRVNLPCLCRYKPQLAKFGANPAAAMALPKKCGIKSTPKC >KVI10389 pep supercontig:CcrdV1:scaffold_29:97475:100927:-1 gene:Ccrd_011217 transcript:KVI10389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MAETETFAFQAEINQLLSLIINTFYSNKEIFLRELVSNASDALDKIRFESLTDKSKLDAQPELFIHIIPDKANNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEAIAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTDYVTRMKDGQNEIFYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYSVGQLKEFEGKKLVSATKEGLKLEETEDEKRKQESLKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDASMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDDDEAGEGDADIPALEDADIDAEGSKMEEVD >KVI10388 pep supercontig:CcrdV1:scaffold_29:162407:168016:1 gene:Ccrd_011210 transcript:KVI10388 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MSNGGELRYVIGQNAYIKLILHALKHKTSSVNGVLLGRLSGDVVEITESVPLFHCSQIGLLPPLEIALIMIEEYYSSQGLSIVGYFHANERFDDLELGTVAKNIADHIYRYFPQTAVLLLDNKKLGALRNKKDTAPVMQLYTRDSSRSWNVANRITTKEPSANILLLDFTSSEKWNDIVDFDDHLDDISKDWLNSELFK >KVI10420 pep supercontig:CcrdV1:scaffold_29:576867:579401:-1 gene:Ccrd_011168 transcript:KVI10420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEKKLISLEFLAFLYDVHYNQKHSLHILDDWVYSVSWDAQQSSVRVRGEVNPNFLLKAVMSTGGHAELLNVKLNHPQLRHNYYNYASSITSPYNRFSYMDRPYYHYQTTNIEYPYGRLQPPAIEYPYGRLHPPAIEYPYGRLQPPAIEYLPSSYDYETPLPRAAYVPSYPPQEYDPYDNYEGISFCTIM >KVI10380 pep supercontig:CcrdV1:scaffold_29:793522:794485:1 gene:Ccrd_011150 transcript:KVI10380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAVIGETDMLQTMQEDALELAAKALDFFDVTDATEIARVIKQEFDRMYGGGWQCIVGTDFGSFVTHCSGSFIHFCIGSLAILLFRGSSAVPENNIDD >KVI10386 pep supercontig:CcrdV1:scaffold_29:140929:145636:1 gene:Ccrd_011212 transcript:KVI10386 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MELITSLIGRYDRRSLFLKRKDSNAGEAARALEELRGSLYNELRTSEGAKRQQQRLCGPIVALTFNFLISVGIIMGNKLALSLLPPSPPTKSTPFVMLFALGVAVATVTDLEFNLFGAGLMPWLDPPGAFSFKWGFTNTIAVLISALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGYLLFDSDPGYASISGAFVAICGMSIYTSLNMKGTRDTSNQLPEQTSSNQKPKTIKDQNEKIDVDGRP >KVI10442 pep supercontig:CcrdV1:scaffold_29:54826:56954:1 gene:Ccrd_011222 transcript:KVI10442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MAETETFAFQAEINQLLSLIINTFYSNKEIFLRELVSNASDALDKIRFESLTDKSKLDAQPELFIHIIPDKANNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEAIAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDEGEPLGRGTKIVLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWVEKTTEKEISDDEDEEEKKDEDEEKKDEEGKVEEVDEEKEKEEKK >KVI10407 pep supercontig:CcrdV1:scaffold_29:563438:565773:-1 gene:Ccrd_011170 transcript:KVI10407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MPRFLFFISLFLLLLLSASAHGGGDQDDDEAPEAGKPNLRSRSLILVKIWCLILVFLGTFIGGVSPYFFKWNEGFLVLGTQFAGGVFLGTAMMHFLSDANETFKDLTTVEYPFAFMLACGGYLLTMLADCLISYVYGKQPNGSASDDLEHQGNNRNGKESKDIKIGMSSASSLGDSILLIVALCFHSVFEGIAIGIADTKADAWKALWTISLHKIFAAIAMGIALLRMIPDRPFLSCASYAFAFGISSPIGVAIGIVIDATTQGRVADWIFAISMGIACGVFIYVSINHLLRGYQAQKPSSIDTPSLKLLAVTMGIGVIAVVMIWDT >KVI10435 pep supercontig:CcrdV1:scaffold_29:487200:488949:1 gene:Ccrd_011181 transcript:KVI10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKKEIEMCYELIRRLGRGVVYLGSSRMGPGHDHYIQTQELAREARAGPGLMDAATQGALEAGKPVGGFKIGKEAGEWAATNFHPYLPSETYLTCRKHGLVDAAVRSSNREKTAVIALPGGIGTLDEAFEILALIQLERIGSALPVPFILMNYNSFYSKLLEFLDVCEDWGTVSKGEISSLWK >KVI10425 pep supercontig:CcrdV1:scaffold_29:289718:295393:1 gene:Ccrd_011202 transcript:KVI10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIIIKLLLTVVTVAITVTLTISTIGNDPKSTPTEPLAVKPFPKRVSRFLAETKNPRAADHCKKDDETCYILQGKNSTCCNNKCMDLSQDKHNCGACKNKCKFTSSCCRGECVNLAYDKRHCGPPPHMPFTSIAGRSTPIFSGHPIYLPKPTINLISLSLSTSLSLPTMKVIKVIFMLAITLAITLTITFTGSNHEPTPITEPSSSVAKPFPKRVSRFLAESKNPRATDHCYKDDAICYILEGKNSTCCNXKCMDLSQDKXNCGACKNKCKFTSSCCRGECVNLAYDKRHCGSCGNKCMPGGYCIYGLCSYA >KVI10376 pep supercontig:CcrdV1:scaffold_29:767464:771436:1 gene:Ccrd_011154 transcript:KVI10376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MPISDHRRSHSAGKPWLLCPFWQSGTASSSTQNLQFQNQKSHENGVASNGRSSNKVSSVAKSLLPTRRRLRLDPANNLYFPYEPGKQVKSAVRIKNHSSSHVAFKFQTTAPKSCYMRPPGGILAPGESIIATVFKFVEQPEKNEKQLDQRSKVKFKIMSLKVKEGIDYVPELVIVVERILRVIFLNAERPTPALEKLKHQLAEAEAELELRKKPQVDTSPKVVEQGLVIDEWKERREKYLARQQVEAVDLV >KVI10387 pep supercontig:CcrdV1:scaffold_29:147165:156817:-1 gene:Ccrd_011211 transcript:KVI10387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1296 MNGGAADARVSIPTHHRKVIQEIKKTLGFKHSDEFIHATLNDCDMDPNEAGWRLKMIHDIREIAGKHSVEDVYIMLKDCNMDPNEAAQRLLYIDTFHEVKKKHDRRKPISSDTSEEHKRTRGNQWQGAKGGRGTFYSSKVFDDVGGGRTLSSGKDNGVANPPERVSGVTPSVHSGKENNGALVANSAANANGTVPISNGSYINKLAPELSPDVSSSTSDPVVIPYENTHHTCAVGTIKCEITKRSGSSKSNAKLPAGIKSSVDQVVAGVAEAVDSDSTSQPQSNVVEKNQMSDVLQPLSVSTNKGSHVVNPNQDNQPSRLLNEPPKVTASEHMNVAINNAHLVPGAESELKRSDPKLDVMLEKLTLSSHQPVIFPDHFEVPENFRSQFTFGSLDATPDDCRPLSVIEATQPNGEVVTEPSSSNENVAKTAQEGEVQDHPLPHLSEHALPSKDDISSHPAIKHEQTKLEAMPPIGGFQNPVLQASRDYPFGFMPHLVGPHFVQLDVPELQASDMYIIVSGSSQVASALGSTPVAQSTTTGQSSMALSPPLFPYFRQPYPNYIPYNPYFPHMYLPQHAHLLNHGIFPQQPPTGNIYMHPAAAGVKFPVPSQYKQGSNAGSLTHVGVSSGYGSYGSSVGYDASVTPGSSANEDLTASQTKDNDLHSAQQGDDPQVYAPAADRDTPNLLPNYFYNFPQPQHMAFSLLQAGIYHSSPMTAQTTVQPPVYPPQSTGGTVEPMVSPPPSSYQQPQSNWNTALLNRETS >KVI10391 pep supercontig:CcrdV1:scaffold_29:121528:128943:-1 gene:Ccrd_011214 transcript:KVI10391 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MDSGTQDEIEDLSSSPPPPMGSMQITGNNNGFVHNIDFMAQTYLRNRSSAQIDIQEDTVKMSNATPGNPVKSVMSKMVSQSNSEHDDYKQILKGITGSIGPGEILALMGPSGSGKTTLLKVIGGRLRENVKGTVTYNEVPYSPALKRRIGFVTQDDVLYPQLTVEETLIFAAFLRLSSSMSPKQKYDRVEVILKELGLERCRKTRVGGGFISGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANKLIQILRENAKVHYNRTRGFTQREFITLCGFTTAGRTIITTIHQPSSKMFHMFDKILLISEGHPMYYGKARESMSYFSSLRFIPDIAMNPAEFLLDLATGQVNDISTPDDITELETKEKEANHRTGKAPEHLRLAIQVKKDWTISWWDQFLILFKRTYRERWRDYFDTLRIGLLFYVCIFWTSSSIFGAVYVFPFEKFFLVKERKADMYRLSAYYVCSTLCDMIAHVTYPTVFMLILYFMVGFKKTLRCFSMTFTFTSQDKLLIISQIGFRFFSLQHIPKFMQWLKYISFMYHGFRLLLKVQYSGDELYECESAGGCRPLQSSPSFDTVNLKGGWKEVWMLLGMGLVYRLLAYICLRRKISQCNI >KVI10396 pep supercontig:CcrdV1:scaffold_29:397025:401647:-1 gene:Ccrd_011192 transcript:KVI10396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ KKKNKKLKENRKALNEPKECIHLGELLSISIAILQSKGRAAIPIAILPIQLQSLSLEIAFMATHFLLRLSPNLTPPSSSTAPPLSPFFFKTCPSPRPPPRRRPSAVSCSFTSMESAKIKVVGVGGGGNNAVNRMIGSGLQIGELLTRGLGTGGNPLLGEQAAEESKEAIGNALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRSALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIKSATGVRYNGEIHVTIVATGFAQSFQKSLLADPKGAKLVDRNQETAQPSIPVTTSSPSPSRSRKLFF >KVI10403 pep supercontig:CcrdV1:scaffold_29:508678:515040:1 gene:Ccrd_011177 transcript:KVI10403 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEDQNTSRSSTGGGGGGGGGGGARYKLMSPAKLPISRSTCITIPPGLSPTSFLESPVLLTNIKAEPSPTTGSFFKPQRMQGSICSAAFSLNANCSMAKSLNDSESSFFEFKPHTMSASVSGFSSAGLQVTAGFDFQRNEPSEKNQNQNEYRSYSSPSSASCENAAPNEQNLAAPIYTLIEETNGLEVPKSVHLSDSGHKDSGPLVVPVDRSLEDGYNWRKYGQKVVKGSEFPRSYYKCTHPNCEVKKIFERSYTGKITEIVYKGTHDHPKPQPSRRLTPGFSADNCPTPNSETSGTPVPSPDHVDRAEAQLNNTNDEVDDDDPYSKRRRTDFGTLEVTPVVKPIREPRVVVQTISEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSVGCPVRKHVERASHDPKAVITTYEGKHDHDVPTARNGSHDGAGSAAGNGNLRTRSDDNGAVCLDLVVGNSLSEQPQCLNSDYNKAQLACYGGVNGNMHVYRSRENDVEAHTYDISNLNRSNSYPQHLGSVLLGP >KVI02932 pep supercontig:CcrdV1:scaffold_290:202134:207402:1 gene:Ccrd_018775 transcript:KVI02932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGICFSNTKISGSNSTTPSATAGQEQENRLIVKHEVMVPNVNLQRIKDLNRYHHRKQQDTNYNNKNRAKGKAAPKRPKVIPCGKRTDFGYLKDFDRRYSTGKLLGHGQFGYTYVAIDKSTGDRVAVKKIEKNKVISAHSDFRMNMLLPIAVEDVKREVKILRALSGHENVVQFHNAFEDNSFVYIVMEKDGRYTEKDAAVVVRQMLRVAAQCHLHGLVHRDMKPENFLFKSPNVDSHLKATDFGLSDFIRPGKKFTDIVGSAYYVAPEVLKRRSGPESDVWSIGVISYILLCGRRPFWDRTEDGIKKQARFPSQTMADYKQQCQRSSKEITHEKSPCKTHRCTGAIRHLMMRKTCTAHPWVREDGNASEIPLDISVLSNMRQFVKYNRLKQFALRALASTLGEEEISDLKDQFHAIDVDKNGAISLEEMRQALAKDIPWKMKESRVLEILEAVSRQILIVFVFPIGLSDRIDSNTDGIVDFMEFVAATLHVHQLEEHNNEKWQRLSKAAFEQFDFDRDGYITAEELKMHTGLRGSINPLLEEADVDKDGKISLSEFRRLLRTASMS >KVI02943 pep supercontig:CcrdV1:scaffold_290:25516:26951:-1 gene:Ccrd_018761 transcript:KVI02943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MSSTREGENVNDTVEQPATEKPLQAVEKVVKEKKAKAPKEKKSTKSASHPPYFQMIKEALLALNEKGGSSPHAIAKYMEEKHKAVLPENFRKMLAVQLKNSASKGKLTKVKASYKLCESGKKEKAPPAAAGGKKPTIAAKKPKQTKAKKPAATATTGGSNKVAKSPKKSARTATVKKQATVKKAKKMTPAKPKQPKSIKSPAAKKARKATT >KVI02931 pep supercontig:CcrdV1:scaffold_290:222432:223912:-1 gene:Ccrd_018776 transcript:KVI02931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQYNKTCNASMAKKYSESLDNYICIAKRKKESHGFLAVRFIYETCLKTPTHAPSVFPLPTPPDSHAMHRWTKKYSEGLDNYICVANRKKESNGFLAVSLETQILHFYFKRFQAKL >KVI02936 pep supercontig:CcrdV1:scaffold_290:123807:125623:1 gene:Ccrd_018771 transcript:KVI02936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKPHLPNPFKPSKFNIISTVVSKVATFLNYSLTVKQVKQLHALILINGVNYLEPMVITQIVSTPSNHSESIIHYLRSLLHQSKHPNIISRTFAIQFFFQHGKFQEVLAEYVHMQRLEILPTISAVAFALKACANLGNRIGGITIHSQIHGYGLCGDVHVGTALVGFYSKLNDMETAKKVFIEMSERNAASCLIDGYLESGNLSMAERVFSEMGNKDIASWNSMVSWYTKTGDMAKAIASFGPMPNKNLASWSAMIGGYVDSGNMEIARNFYDVMPEQNVVSCIKMIDGYSRKGAVESARRIFDEMGEKNRLLYNAMITCYAQNGWLKDALQLFDDMLQPNVSIQPDNVTLATVISICSQLGDLRFGSWINDTLMNQMGIVMDDRLRVLLIDLYAKFGRVDKAYGLFHGLQRKEASVYTTMILACSRNGWKHESIELFAEMLEAKICPNLVTFSGLLTALNHAGMVQESYHYFYTANPLSLNHKNWFQESYLCASPLSLQRPSNHTGWVQEHVDSTNPLTPFRLSNHFGSPEETYHGASPLTPPRPLNHHLDWVQQTYHGVNYTSPLAPSNHEDRPI >KVI02933 pep supercontig:CcrdV1:scaffold_290:191772:194716:1 gene:Ccrd_018774 transcript:KVI02933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAPISLKWDQTSLLQNSFVRDEDERPKVPYNKFSNEIPVISLEGIDIVDGGIGGGVGSRRAEICEKIVRACEDWGIFQVVDHGVDTKLLSEMTRLAREFFLLPAEEKLRFDMSGGKKGARDYSRWPEKPKEWRAVTEEYSKMLMGLSCKLLEVLSEAMGLEKEALTKACVDMDQKVVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDGGQSWITVQPIEGAFVVNLGDHGHNADHQAVVNSNTSRLSIATFQNPAPDAIVYPLKVNEGDKSIMEEAITFMEMYKKKMCRDLELARLKKLAKNKQHDLEKEKPIHNIFA >KVI02945 pep supercontig:CcrdV1:scaffold_290:39037:40592:-1 gene:Ccrd_018763 transcript:KVI02945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGSQPAVNGGEDIPKVALIAGITGQDGSYLTELLLEKGYEVHGLIRRSSNFNTQRINHIYIDPHNAHKARMKLHYADLTDASSLRRWIDTISPDEIYNLAAQSHVAVSFEIPDYTADVVATGALRLLEALRSHITTTGRTHVRYYQAGSSEMFGSTPPPQSENTPFHPRSPYAVSKCAAHWYTVNYREAYGIFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQSKLFLGNLQASRDWGFAGDYVEAMWLMLQQEKPDDYVVATEESHTVEEFLEKAFGYVGLNWKDHVVIDKRYFRPTEVDNLKGDSSKARKVLGWRPKVGFEQLVKMMVDEDIELAKREKVLVDAGYMDAQQQP >KVI02942 pep supercontig:CcrdV1:scaffold_290:71701:78515:-1 gene:Ccrd_018767 transcript:KVI02942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEDIHSSTLNSQLSHTKTXLKEDMEQGQSQVDVLEAKLGEVTSCMQESGEDAKKELEVLWRRVKTTTTLLTYLESKARIMTIPHLALTSCGIKQSDGEGFIDRSGAPMSSWSGNVKFPNLDCREVETWIGINSQHGNVDEQDGSYMGEILSSVQTVTDVMESLVKRVLVAESESTNAKQKVTLGQEEIKKKAVQIETMSEKLDEMDRFAMGTNCILNEMRQRVEDLVEETSRQRQRAAENEQELTRVKQDFESLKSYVSSLISVRETLVSSEKQFQTIERLFERLVVKTTQLESEKLQKEAEVQKLMDENMKLSALLDKKEAQLLAMNEQCKVMALSASNI >KVI02935 pep supercontig:CcrdV1:scaffold_290:149082:149483:-1 gene:Ccrd_018772 transcript:KVI02935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHFCMPTRMRTTRKTTRLSPMSLLDRFREAVFRLIMISALSKASTTTHNAAYTSTYDTTPSPIRLSSRSSSKSSPAATNRHHHHHHQRTTYHHYAADSHHTEAVADCIEFIKRSSANEDTSRENVIPLPVM >KVI02939 pep supercontig:CcrdV1:scaffold_290:87513:94766:1 gene:Ccrd_018769 transcript:KVI02939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MINGGVTPNQPPEDEFDPFLQGYNPSDLRTASEFLLNWLPFLSKGLCDHCSHSISHRVRSLHPIEYDAEQPHQRGEVQVSTSNGRDLNRCTGNQDNHDSNWLSGCKNGTDINDTEDTNSLGSWKDGANGALEQIEETLGSLRVQSPEHVKSPRPRMSWADMAQEEMDADEEEEASRQFGNSSSQVEETGGEVKTTPKPELSREQRERIRFTNVKRKKDFICLERVSGKFVNILDGLELHAGVFSAVEQKKIVDFVYELQEKGKNGKLKERTYTAPQKWMRGKGRVTIQFGCCYNYATRLVKWHVLPPTCVPDSCIVNIYDEGDCIPPHIDNHDFLRPFCTVSFLSECNILFGTNLKIEGPGEFSGAFAIQLPVGSVLVLNGNGADIAKHCVPAVPTKRVSITFRKMDESKWPNGFIPEPDLQGLEPLVYESDKPKVGGSYISKPRPSNRQVARRDDPMDPSRGLLGSQPRFYGQSQTRHHGPSPGNRRKARSEY >KVI02944 pep supercontig:CcrdV1:scaffold_290:30984:36732:1 gene:Ccrd_018762 transcript:KVI02944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVRWRQSEHGGEPRVRWRKSEDGITTVSERLEDADPVLEKLRSLKIATPILTSTSADSSLTDILVRKPSTSSNTGLIDPKVLLELFSMYQVWQEKQAQNINKRQEEIENKIELADALALKLLRRFNYSVSAMKTASTHLSGVDELQVELGELKGRLTEVMSNCDALCNRIAAGGPEPLRSSVKPFAATASDLGTTMSLSLTAKGAPLQLNPEP >KVI02934 pep supercontig:CcrdV1:scaffold_290:163899:165323:-1 gene:Ccrd_018773 transcript:KVI02934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSSSRRITRSQALAMAANDIIPITSRKNEESEKGVLKSRQKTNGGKQEERDRSALIDITNDSPIVGLAIGNLKTPSSTFCKTRMIIQNSQSKQATTPGSGEALLRGQVKXLLQKVEEEAVISKISFEPXISIRSQKDSVNSPMYLLAPTPANTPQVSEDMNNCSESLGVSPVSESYNFSQMLDQEEENGETETTSLITRLLFADSTEKFEENEDDDGSLWSVQVNASTSDEHENDQEFREGYEDDQDFEENYEDDQELVENYQDDERFGENYEEGVDELCEGLSKICVNGGAKFTPRHKRFVYDSDGELEAEEESVESSSPSCFKGLPTPKGTHLRFS >KVI02947 pep supercontig:CcrdV1:scaffold_290:298693:305871:1 gene:Ccrd_018778 transcript:KVI02947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-NADP+ reductase MAASVSAVVSLSSSKSNAISTRTSLVSQERVSFAKVPYYKNVSSSGKLFSIRAQITTEAEAPAKVEKISKKQEEGVVTNKYRPKEPYVGRCLLNTKITGDDAPGEIPYREGQSIGVIPDGIDPKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDQGVEVKGVCSNFLCDLKPGSEVQITGPVGKEMLMPKDPNATVIMVLATGTGIAPFRSFMWKMFFEKHEDYQEFEKMREMKPENLRVDFAVSREQTNDKGEKMYIQTRMAQYDKELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAAEDGIDWIQYKKQLKKEGQWNVEVY >KVI02940 pep supercontig:CcrdV1:scaffold_290:48904:51407:-1 gene:Ccrd_018764 transcript:KVI02940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, PpiC-type MSLSSSDKVRALHILIKHQGSRRKASWKDPEGRNISNTTRDAAVAQLKSLQDDIVSGKSKFEDVASRYSDCSSAKRGGDLGLS >KVI02941 pep supercontig:CcrdV1:scaffold_290:68613:68924:1 gene:Ccrd_018766 transcript:KVI02941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGLRKSHNRQAQALALKKIIKKCSSFGKNNDDKSLPNDVPKGHFVVYVGERRSRYIVPISSLDHPTFQDLLQRSEEEFGFNHDMGIIIPCQEVDFLSLFSMSG >KVI02938 pep supercontig:CcrdV1:scaffold_290:83955:84467:-1 gene:Ccrd_018768 transcript:KVI02938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSILPFTKSITTHIFTSQKHHHHHLHRQPPTLLPIRTSSSDNTTATTTDDNPSPPSDKNAVQIKFRRGVRRKTRRDQENESNDKNMMMGRKKEVAKKEWEDMTVREKALELYVGEKGLLFWINKFAYASIYIIIGAWILFRFVGPALNLYQLDAPPLSPTDVLKGSPK >KVI02946 pep supercontig:CcrdV1:scaffold_290:312247:313011:1 gene:Ccrd_018779 transcript:KVI02946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-shock conserved site-containing protein MAEETSTGVVVRFHANKGYGFIKPDEGGEDLFVHQSEIQAEGYRTLQDGEKVKFLVVEKNNRHQAVNVTSLDESIGDRNRKRDGYGGGGRRGGGGDGYGFNRDGGGFRGNGGNGGGYRSGGGYNDGGGFRGNGGRECYNCGGVGHLARDCSSGTGVGGGGRRGGGGACYNCGGFGHLARDCSRGSGGNGVGGGECYTCGEPGHLARDCTAGGGGGGGRGYSRSGGGGYRSGGGGGNCYHCGEPGHFARECPTNS >KVI02930 pep supercontig:CcrdV1:scaffold_290:241515:242015:1 gene:Ccrd_018777 transcript:KVI02930 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MFSPVTSPNSLRLRPPPPPPFHSSPTSLPSPTSPSIRSPLTSPLSATVYATAETTCIALSPTAVISPPSLYEILGISMGATEGEIKTAYRRLARISHPDVKDSSGEEFMKIHAAYSTLSDPGKRADYDRRLFRTHRSRSYSSVRPSSSYNGFNGYSGRNWETDQCW >KVI02937 pep supercontig:CcrdV1:scaffold_290:106710:107911:1 gene:Ccrd_018770 transcript:KVI02937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein METTQQDQDLGNNRNSSYLCRQSSSRWTPTSDQIRILKELYYNNGIRSPTADQIQRIAARLRHYGKIEGKNVFYWFQNHKARERQKKRFIPTPAPPPPSTTTATTLLPSPFSDHHHHMHIQSHHPTHFYNQQNHKLYTTHHISPPGMVAGGTDHDFRSWVGVDSYSLDKTKPEYEIPEEDDDGGGGGGELSTQIETLPLFPIHGGTTTTTTTTTGGNHHDIFNVKAAVDSSSEHSNGGYYTGGNWFRSDGRTSLELSLNSYGYYN >KVI06669 pep supercontig:CcrdV1:scaffold_2900:31772:34974:-1 gene:Ccrd_014979 transcript:KVI06669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSFKDELSRKTSIFGLRFWVVLGICFGAAIVIAMFFISLYFTSKRKHSFKKTKTICHKPTIPTISDDIQEPRVDPTRTHDIETHDNQKHQQPQVEEEEGENPSIESGSLAIVRSHAAVNDGNLNGYKKIQIEIGKAGGGSGDQLSIISVQPEVSHLGWGHWYTLRELEIATNGFADENVIGEGGYGIVYSGVLMDNTMVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAQRILVYEYVNNGNLEQWLHGDVGPISPLTWEIRMNIVLGTAKGLTYLHEGLEPKVVHRDIKSSNILLDRQWLPKVSDFGLAKLLGSEKSYVTTRRSDVYSFGILIMEIISGRNPVDYSRPAEEVNLVDWLKTMVTNRNAEGVLDPKLPERPSSRALKRALLVALRCVDPSAQKRPKMGHEHRGNREIGRERLMEKRYFESGDSSGCESSRAHGMSN >KVI06668 pep supercontig:CcrdV1:scaffold_2900:57850:61011:-1 gene:Ccrd_014980 transcript:KVI06668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMRRLPPQVPTQAQTQDMGFFFYKLFLRTTPDNDVGYGQGEVGFEMVDAIAIAIAIAKAEGAVFSFKAFFGKGLLFDLLLFSFRIQFDGWVQSYLLHYKTFCSF >KVH99341 pep supercontig:CcrdV1:scaffold_2901:63418:72291:1 gene:Ccrd_022429 transcript:KVH99341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C (PP2C)-like protein MPSNYFSRLRGSFRQGIQRSYVVLEGSFLDSLEVLPVNGKLLFDKPAFYYRTFAAFSDLNILFQRGTPVAAQSNIQSASKKKNLSVVGAVSRTLSIPSVSGPPFQVCGYHVDNLLAGRSRFPLGIGSHKVPMSLSGSNALLGRYSLNNLTPRRGQLMVSIDNSNISFCRSFQSGCKISMSLRNKEHPDSFFPYGYFLWHVAKTSGNWNPFVGSEWKGFHISSPACFSACTAPNASXDNSVCDDHLTTPADSNDSLPHPEKEETGGEDAHFICSDKKAIGVADGVGGWAELGIDAGIYARELMSNSLSAVLDEPTGSTDPARVLEKAHSSTKAKGSSTACIIALTDQGLSAINLGDSGFMVVRDGCTIFRSPAQQHNFNFTYQLENGRNGDLPSSGQVFTLPVAPGDVIVAGTDGLFDNLYNNDITAVVVHAVRAGLGPQVMAQKLAALAQQRAHEKDRQTPFSAAAQEAGFRYYGGKLDDITVVVSYITTSKEDESSSSSPT >KVH99343 pep supercontig:CcrdV1:scaffold_2901:11619:15340:-1 gene:Ccrd_022427 transcript:KVH99343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFDVTTRQARLLIKSKIFSSSMFIAFQEVRNSHEGPSLRCIQILYGGYGHNVIKELRTNDQGIKNEYLLVEINGIGDRRSEDTKLEFMFSNMNIHKLRLLSTHKTILFRYLFI >KVH99342 pep supercontig:CcrdV1:scaffold_2901:825:2744:1 gene:Ccrd_022426 transcript:KVH99342 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40-repeat-containing domain-containing protein MDIKGKCFCIYLLLIFHFSCISNTTSTDTDDPSNLADPTQIVNVLNQHISQLGKLEELVKNLTDLVTRLESRVLESPTEFRSFDDKFVHEETVTSDSKNVDENGFGDEGSVRDDRKXDRLGAVSVTKHSLFWSERFQFVSAVKLDTKATCLNVLPFKDLEGLSKYITVGDDLGNFYVFTRYGEVMLQFNTLTNSPITAMLSYISVFRNESIVVTGHGNGVILVHRVWEIPXGDESNLLERETIHKLDQELGSAITILEIHHVGRTRYVVSIDIGGTIKVFKEGGSVIGTIVPKSRPLAFLKQKLLFLTETGAGSLDLRTMKLREAPCEGFNGSYALNYVFDASDRGKAYGFTSGGELVHLLIYGDSMNFKCRVRSKKKFDVDRGPLAFEAIKGYFLIVSQEKVFVYNVSSQLYVRAGLPRLVFSAGLDEIIASFLNYRAMGRXDAKKDEVIPLIASDHDKLVILSLGSGYIGMYRSNLPVTKGEFNTMLWSTPVLFFILFLFVAWHFFANKKEALTSWGPDDPFTQGAPPGSGERSFGDPTSRNHDIMDRIGGGGGGGNGGGIRGPPRRYGSPTRYVGGPAANSFRPTSIDNNSRPASVEPDFRGSELKYRGSNIESSGFGKRREGLFVNSQVVDDHGS >KVH99340 pep supercontig:CcrdV1:scaffold_2901:29620:47383:1 gene:Ccrd_022428 transcript:KVH99340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MPANLSGLRKGKKIAQWFTSHLSKDSDRGIRDKPPEAEKRNAEVKAFDKEALSGKQQKVGRATFGKQPEAGNLCVDKMPEVQKSFSHELGPKGGIRPSYTRAHSFSDLKELLRSLRSSFNAAKELVNTELACFKAEVIEVSQKSDTLSLEERRSVEELLVLAAGCIEMSCHEFRTKCETIVQDLTRKRQDCHTGPLKWLFTRMLFILTRCTRLLHYEKHSEHIDEISLLKLKECLEKIPSYEMSWLLNLGIVESNSGDALNNKFDTEQKLLVQKEESIMPQESSCRSEXPVDISDSTVENHVLVTERWPHFEDSQVDVLPNIQQTRELEMNSSNNDLVICRICEESVPTSHLESHSYICAYAEKCDIKGSDVNESLLGLAEILDQIIESCTLSVHAADDSPQNSQMQISNAASTFDGCSPKISEWRNKGVEGMFEDLHEMDTACIEDSTPASFVNLKGFPGVKLSQYGPPSSTGSMTSASSTNTPRAANFDFFWLEHNDPSELEDVQQMTDLRDIARSAAETDLMEESAHEVLLTCLQDLQDILQHSKLKALVIDTFGHRIEKLIREKYIISCELEDANKRSSDVGYPFLLDTASQSSSVSTPSHPLHKERTSIEDFEIIKPISRGAYVVPDSWMISQFLTQMLKKMDMLRKNDIERIVAERNILITVRNPFVVRFFYSFTSRDNLYLVMEYLNGGDLFSLLRNVSCIGEDVARIYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLMNSTGDLTRPESNEVIVSNGHCLENEWSIDRRERSAVGTPDYLAPEILLGTEHGYAADWWSVGVILFELITGXPPFNSDHPEFVVGFGSWHVYRRHAIVHSCRLHDXYFNSLRDYTLAIPLSGYSCLVLTASFRIWFLAYSVAVICMVHKIFYNILNAKIPWPSIPNEMSNEAZDMINRFLNHDPNQRLGAHGSSEVKAHPFFRGVNWDTLAMQKVAFVPQPDSIDDTSYFVSRHSRSSSGTLDDQDCSDAASDTTEFCSDTREIVLALKPCLLFLSQKMDVCGDLAEFEASPCDDLSWINFSFKNLSQLASINHDVLLQNSKEVSRCSSPHNGRSS >KVI08107 pep supercontig:CcrdV1:scaffold_2903:19975:21294:1 gene:Ccrd_013525 transcript:KVI08107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-binding, type 1 MKTFSTLIVAGILFTGILHVAAQNCGCSPDLCCSQFGFCGNDTAFCGTGCREGPCFGPPPTNDVSVASVVSDAFFNGIVDQSDAGCEGRGFYTRAAFLEAVGNYPQFGRVGSEEDSRREIAAFFAHVTHETGHFCFIEEINGPSGDYCDENNTQYPCNPSKGYYGRGPIQLSWNFNYGPAGQSIGFDGLNNPEIVATDPLISFRTALWYWTTYVQPVLGQGFGATIRAINGALECDGGNPATVTSRVRYFTDYCNQLGVTTGGNLRC >KVI08106 pep supercontig:CcrdV1:scaffold_2903:34825:35922:1 gene:Ccrd_013526 transcript:KVI08106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-binding, type 1 MKTLSILIFVGLLFAGILHITAQNCGCSPDLCCSQFGYCGSDETYCGTGCQEGPCFGSSPTNDVSIASIVTDAFFNGIVDQSDGGCVGRGFYTRAAFLEAVENHPQFGRVGSEEDSRREIAAFFAHVTHETGHFCFIEEINGASQDYCDETVTQYPCNPSKLYYGRGPIQLTWNFNYGPAGQSIGFDGLGNPEIVATNAVISFRTALWFWTTNVQSVLSQGFGATIRAINGRLECDGANPDTVSARVRYFTEYCNQLGVTPGDNLRC >KVH89183 pep supercontig:CcrdV1:scaffold_2904:31587:51116:1 gene:Ccrd_008833 transcript:KVH89183 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein Rad51, C-terminal MEVLRLPLSASQKGRLISAGYTSIASLSSISPSQLALGSQNAWDMLQEEKTLVRITTSCADLDDILGGGISCKEVTEIGGVPGIDTEGSFMVERALQIAEACSADMVEYSCLYRKGSQACEVKRQPKDFLENIFYFRVCSYTEQIALVNYLEKFITEHKDVKVVIVDSVTFHFRQDFDDMALRTRLLSGMALKLMKLARKFSVAELRFKQQKNKTYVNSSNIRLEYAMY >KVH89184 pep supercontig:CcrdV1:scaffold_2904:14693:25360:-1 gene:Ccrd_008832 transcript:KVH89184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEALKAVKVYRELMKAVKKHVGKEERKAHFRDLIKSEFKKNGNGDGLEQKVKLAHDYTYLLNSVHHHQELLFSYNIAVDRSDEMKKILGKSAASVGLQLPDVYRP >KVI11383 pep supercontig:CcrdV1:scaffold_2905:59506:61334:-1 gene:Ccrd_010207 transcript:KVI11383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e MGGCDKQGFPMKQGVLTPGRVRLLFVRGTPCFRGYGRRKGERHRKSVRGRIVSQDLSVLNLVIVKKGEKDLPGLTDVEKPTMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTNKAGKECNKAPKIQRLVTPLTLQRKRARIANKKRESPRPSRRQPSTKSFLRLD >KVH96511 pep supercontig:CcrdV1:scaffold_2906:14492:21393:-1 gene:Ccrd_001403 transcript:KVH96511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTARGSGTNGYIQSNKFFVKPKTNKVLTGNAGGYEAGQGIAGVTRKPNKDILEHDRKRQIQLKLVILEDKLVDQGYTDDEIAQKLDEARTTLEAALASEDAGGATAVVIHSDQKVSDTQTHQVAARKEKQMETLKNALGIVHEDDMKKYVPASDDEKIDDGDKARNNKKYDPRVDGRLEKDTKVAKDGLDELKHYKKKTSKRREASSDSESDSDSYGDTDSDSSREVVKASRKKPQKSRGRSDHDEVSESDSDVDTRKSRRKSSKHTKGRRHDSDDSDDTRKHARNVKKYQSDESSSSDERPTIKSGKEKQLSSRSRRHDSDDDSDAYDVDKYERRQMEEKRKQKRIDSKVEKNISTSNDYGRGIDDRWDGGGSKHDVLSDTSSGGERRCEKERIERVGRRRHDNDDKESDKKEEVERVGRRSRGNDFEAFDKKDIRPREGEVERVGRRQHDIDREIRSKMEMRTSEKRQRDIEEDGHGKNVRRKKERVERGGRRRHDSDDGEESDIDVKHTNEKLGRSGRRRHESGEPDINVSHTKEKLERGGRGHEDLNHERMTEIPVRDEQRHRSKTNDDEDEKYLEGRKESRDEEDRRGRKHKRDEEDATFIKHEKIREHHESKYGREHDEEHGSRRGERDQSKRSRYDSERRYESGKHDVGRDDWLAGSKM >KVH96512 pep supercontig:CcrdV1:scaffold_2906:5597:7632:1 gene:Ccrd_001402 transcript:KVH96512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-shock conserved site-containing protein MADGVRKSGTVKWFNDAKGFGFISPEDGGEDLFVHQSSIRADGFRSLGDGETVEYVVENGSDGRTKAADVTGPEEAPVQGSTRGGGGGGGRGGGGDRYGGGGYNGGSRGGRGGGYGGGDGGYGGGYGGGGGNACFKCGETGHMARECSQSGGGGGGRYGGGGGGGKETSLCMSTLCVANSA >KVH87589 pep supercontig:CcrdV1:scaffold_2908:31366:44200:-1 gene:Ccrd_025131 transcript:KVH87589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQEGNSGSVVGIRGEFELTYPMPNVDVEVGEGPRNKTKWWHRSTHQNKTKWWHHSTHRNKTKWWQYRNLQRNKTVAWLRNIKQVPLQQHYEAVAWDIVYSKLGPPLVGGVPNSSDTIHILLDCKENGQNLSNQLPPSIYMIPSSLQDLSPSSFEPQHKKRDQSGKSESSTSPDPLQAASNSLSRCSQNRSGFEPSSSFPAMEPNDKI >KVH87590 pep supercontig:CcrdV1:scaffold_2908:48200:65052:-1 gene:Ccrd_025132 transcript:KVH87590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MLNRTGRLPYSLTTKYQSTKPDREREEGRKKRRKGKKEKEGEENRNEGKKKSSANKKKQQQQLLFGRQQTEETDSKQPCIAALGFPASCTSPDSSSNCYRVTLDSTVATVPHQTAILTATAASKQQAGLFLLPLWTSIANVGLIDDGRKLLDNMIQSDEASWNSMLMDYATNGEWKTKETQLISYQELGSGTPEVPSDENMDDELFFQENDRITQHEGNEDVQELVHLVTREDNVEVEGYGKPEERRKHNMEFNVKSGLQIRIQSRGHDYEGLSYTSFDHTPFIILDVTKLRSVTVDSDDNTACVESGATLGELYYWVSQKSDLLGFPAGFCPTVGVGGDLSGGGFGMTARKYGLAADNVIDARIVNINGQILNRGSMGEDLFWAIRGGGGASFGVILAWKMKLVHVPPIVTVFSLSKRLDKGATRIVNKWQYIGHKLREDLFISLSVRSIQVPESEGNRTMQVTFNSLFLGMTNELMTIVNEQFPELQLHKSDCIEMSWIESVIYFSVFLKGETIDSLIERRMWPKNYFKVKSGYVKKPIPEETLEEIWKWCLQEENTSLLVEPHGGRISEIDESETPYPHREGNLYIIQYIKKWDDDGSDERHIGLIRRIHENPREAYVNFRDMDLGTNVNACSTSYLQAKKWGSKYFKGNFRRLAMVKGKVDPENFFCHEQSIPPLVSCKERLPNGNCYNDGLLVSHQTTYSTK >KVH87588 pep supercontig:CcrdV1:scaffold_2909:517:43482:1 gene:Ccrd_025133 transcript:KVH87588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSVGFSRLEYFVAVWFCFAVGKTESRLHLNRLVQTVLRDFIVYSSSRLRPSVLTLHDSTGYSRSLSSTPSTLVTSTLHSHRPSRLTPVCHRYQRPALDTVTGVRTSLVSSIILLFSVSAIEDSRDQRLGANVGSAQGPTDLGKYLMHSPTVEAQQQQTQSQPNSMGQQANATNMQQNQLIGQQSPSMQYDVLLNVKTGGDEFVR >KVH99234 pep supercontig:CcrdV1:scaffold_291:248774:250239:-1 gene:Ccrd_022547 transcript:KVH99234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, core MALCKESGLVGGLYAPSLMIGAAVGAVFGGSTAELINSAIPGNAAIAEPQAYALGAVGLAIWVPSVANQSKETEGSDARGFTRDYSILSPIDKFENWRQTGDANDFELCIMGPDDNHEAIEDIILEDLKVSQAMLTNYLKVQLSSTIKEVVQCMEDGQQTCVLVVDPEEHLEGILTYGDIKCAMSKNYDEVSEGGVSSTPDLREFAVFSVCTRGIRYRGRKRGLLTCYPDTDLAIAKMLMEAKGIKQLPVAVVERAFDFQEERKRCYFVLLFSLEVSQHLRVAQRGAESQGNPKV >KVH99213 pep supercontig:CcrdV1:scaffold_291:294166:296209:1 gene:Ccrd_022556 transcript:KVH99213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKLRITFQPKGGNWEGERGGSSTNPGDNPCILDPESIQPISPLNPSSHSSHFLPFCIFNLCFYKPLISLLFLRLRIAPVVPDFMIMGDGSPKPSAPTSKLEAQILDAVLKRESKGTSIKSFTKIILKFPKIVESLRRCKVIFEQFDEDNSGAIDLTELKHCLDKLQANFTNEEINDIFTTCDLNDDMGISFNEFIVLLCLVYLLKEDSAADQSKSRLGIPDLEATFETLVESFVLLDSNKDGHVSKSEMVLAIEETTSGGPSDSDGQIAMQRFEEMDWDNNGMVNFKEFLFAFTRWVGIGDDEDD >KVH99239 pep supercontig:CcrdV1:scaffold_291:191550:192668:-1 gene:Ccrd_022542 transcript:KVH99239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbR MAATVMSSVTLKPSAPLVAAEVKALPSLSTRSSFRVCASGVKKIKTDKPFGIGGGMALRDGKDANGRKPTGKGVYQFVDKYGANVDGYSPIYNEEEWSPSGDVYVGGTTGLLIWAVTLAGILGGGALLVYSTSALAQ >KVH99226 pep supercontig:CcrdV1:scaffold_291:107752:108874:1 gene:Ccrd_022532 transcript:KVH99226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEEPKAETLSLNTLVARERSQLPSVSDRLRYQFQSPLLSDPHGGGGRWSTPPMHDDHWTSIVFPPNNHEGLNLHHHNDDRETYKERARPLPPVSGGLKPEAMGGYVAVKWWVSHFKLPGGIFSCLWNFSVIRGGVLRLFNLPLVGSMVMLLLFYLRFRRRQRLRREAIDELLNVIKEKDERMHQLLHQIARMNELLLATHHGVPIISKAASG >KVH99236 pep supercontig:CcrdV1:scaffold_291:185804:188706:1 gene:Ccrd_022541 transcript:KVH99236 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSNKLMISMVEATLPPGFRFHPRDEELISDYLSNKVSDSDSDSNHHRRHALLPLLLQVDLNHCEPWDLPEMACVGGKEWYFYSQRDRKYATGLRTNRATVSGYWKATGKDRCILGKRTQQVVGMRKTLVFYLGRAPKGKKTDWVMHEFRLQQDHHHSSNSLKEDWVLCRVFCKNRELVGGKQINKCHSNVDHEDSTLSCSSSLPPLMEPPNYSFSFDQSQPAMPIPTSNIIDIFNQQVPCFSINTPPDIDIIFSNTIPPPAAADAPPANSIPVPNPSSSSISQSLDHYSCCDQDSKKEVFKAILSRFHEMDHESSTTSLSFGEGISDQSFLSDAALPVTMWYP >KVH99219 pep supercontig:CcrdV1:scaffold_291:315278:318963:-1 gene:Ccrd_022560 transcript:KVH99219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MTVGAGISVADRNLNVFGKPLLTDLHDNVFVTSSTGDGIINGAFIGVRSDQAGSRRVFPVGKLEGLRFMCVFRFKLWWMTQRMGTSGQDIPTETQFLLVETSDGSHFGEDNEVDDGSHQSALYTVFLPILEGDFRAVIQGNANNELEICLESGDPAVKDFEGSHLVFVAAGSDPFDVITNAVKTVEMHLQTFSHRDRKKIPDMLNWFGWCTWDAFYTEVTSEGIKLGLESFKKGGISPKFLIIDDGWQTVGMDPSSVEAKADNSANYANRLTHIKENHKFQKGGKEGHRVEDPSMGLGHIVTDIKDHHNMKYVYVWHAITGYWGGVRPGVAEMEHYDSKLSFPVSSPGIESNENCGALKAITENGLGLVHPEKVFNFYNELHSYLVSAGIDGVKVDVQNILETLGAGHGGRVKLARKYHQALEASVSRNFPDNGIISCMSHNTDGLYSAKKTAVIRASDDFWPRVTASHTVHIASVAYNTIFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGHHDFNLLKKLVLPDGSILRAKLPGRPSRDCLFTDPTRDGTSLLKIWNLNNFSGVVGVFNCQGAGWCKDGKEILTHDEQPATITGFIRAKDVNYLPRVADSTWDGDAVVYSHLGVTLISREYEVFTVVPVKKLSGGVSFAPIGLTEMFNSGGAVMEVKYEMEKEGSVWMKVRGCGVFGAYSTKRPKRVTMDSKEIEFGYEEKSGLISVKVSVPEKELYLWDIKIEV >KVH99233 pep supercontig:CcrdV1:scaffold_291:215385:227143:-1 gene:Ccrd_022544 transcript:KVH99233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal targeting signal 1 receptor MAMRELVTGGAACAVPGSSSSSNPLGAFANALIGSSSQKERLQEIPTSTLNTSGNNLYSGAGEPLSALPGAESEQHLQHESKGSEFVSGFHAANDNRLADVWDGIQNPQIPHFPGREGQTTFPLGHPQLQPELNGPPQRVLSSFLHSFVNSSHGGVPFGPTQLPILGLSEGDKRCIRDRSSIMARHFFADKSEDFINGQVNALLSSLEIDNHALARGHISGRHSELEQYWNEPQIMTPGPHTGDGWADEFAQHRVGQGDPNAWALSFERQHGAGGWASEFEHEQAQMTSVGRMAGANIPSLAAMEQTRMLAHTLAQNSNPKFQNSKFLQFVSKMSRGELTIEDNQVKPASGDWANEYQQQYNAGPSSWADQGPDRWADDFAAERAHHGPVDEQWVNEFSKLQVNDWADEFGRQVGEGVLGDDSADNWASAYDEYLNEQAVVKQKSDASRGVYVFSDLNPYVGHPDPLREGQELFRKGLLSEAVLALEAEVLKNPENAEGWRLLGVAHAENDDDQQAIASMMRAQEADPTNLEVLLALGVSHTNELEQQAALKYLYSWLRHHPEYGKIAPPELSDSLYYADVARTFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIESFQTALKLKPRDYSLWNKLGATQANSVQSADAIYAYQRALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMMEACDSRNLDVLQKEFPL >KVH99210 pep supercontig:CcrdV1:scaffold_291:265847:269432:-1 gene:Ccrd_022552 transcript:KVH99210 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2415 MEGLIMSHHQEDDEYVADEYEMEDVDDNMDDEFHVRDIGSDSDVDEYDYMTNKLADTSAAQARRGKDIQGIPWERLSITREKYRQTRLEQYKNYENIPQSGEGSEKVCKVTNKTGLYYDFRRNSRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVIHWSSLTCNKSEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTMAVKDNLLVAGGFQDRPGVCFCSRTTYDDNAITNALDIFTTPSGAVHFTASNNDCGVREFDMESFQMTKHFRFPWPVNHTSISPDGKLLIIVGDNPEGMLVDSSSGKTVATLRGHLDFSFASAWHPDGCSFATGNQDKTCRIWDVRKLSKSVTAVKGKIGAIRSIRYSSDGELMAMAEPADFVHVFDVKSGYEKEQEIDFFGEISGTSFSPDTESLFIGVWDRTYGSLLEMGRRRNHAYLDCLI >KVH99237 pep supercontig:CcrdV1:scaffold_291:175941:185374:1 gene:Ccrd_022540 transcript:KVH99237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase domain-containing protein MPSYGDCNYSCATATETLEWIHAIIDFIHPYTFFWESHVVNFFTDRLWEAVDKEWIDCLRNEPVDHLIQIPSGFFKDHWPSSLKKFITTTSSLAFPREQADLEKLLPNMQVALLNNVITQGMNQKKRHEIEALAAVVSSVARDVETNTVVDVGAGQGYLAQVLSFEYQLSVIAIDASSHHGRITHARAERIKKHYDSRMRKSSLGGKDSSSTMPKTVTCSVLSSDMLKTLLNSKDAEPSLIQQGTSIDKRCQSQSSPTNDSCSLLLTGLHACGDLSVTLLRTFLESEQVKAVVSIGCCYNLLSENEGGEDDAVCGFPISRGVKSTGIHLSRSSRDLACQSADRWRGLEKVGGIHNFELHAFRAAFQMVLWRYFPETLSKSPRTGRQGKALRRKQQRAMTTHPVLPVESLAGGDDGDRCSLFEKFCKSGLHRLNVKEWENVDFNGIWKEAEPFTEVIGAYWSLRAALGPVLETLILLDRLLLLQEEGVEGATMVPIFDPTISPRNMALIAKKSFH >KVH99229 pep supercontig:CcrdV1:scaffold_291:169922:170878:1 gene:Ccrd_022539 transcript:KVH99229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASACINNIGFSPDNFLDYSCISMPHQDNLSDLPDPDLDFEFRLEDPVIMLPADQLFSDGKLIPLQLPHQPATTVPSKPRRRVSVADPFLYSPKAPRCSSRWKQLLSFRKLYQNNNNNIAKPDDNANGATKSIKPFLQRGSKSPNDNLPLLKDTDNEPASRLSLSSSSSSHDPDELPRVSLDSERPATGKVVNPPRMRMVKVRTASSNDGRRCPAEASLRIDSPRMNSSGKIVFHSLERSSSSPSSLNGLKHRGMERSYSGNVRVNRVLNVPVCSVRKSGGVFGLPLFSSPQAQNQNQKREAGSNGGGSRKSKTSLG >KVH99211 pep supercontig:CcrdV1:scaffold_291:261954:264533:1 gene:Ccrd_022550 transcript:KVH99211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNLKTVSQDLSADNSWDVKEENGENHVGSMDHDVLAVSMVKLHSAQEAIEREVQKLKDVGKEDPLFLDDSIQPSEPSNLEGCEARLFEEFQSGETSNTFETQTILKQNLVQGDLKTEVEEILKQRIEVEVQYIAISEAIQQLKAGYIDQIELMVQQKKLALEHMEVMKKLESAESKARELKREAEKLKVTCEEILEREEVLKEQNRAIYNT >KVH99244 pep supercontig:CcrdV1:scaffold_291:2776:15072:-1 gene:Ccrd_022523 transcript:KVH99244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MVNRSREGRPGTRTVELWKYLEWTMEIGGYGVWAGLLKPGWNIGMEWTMEFHVQLLFTTRNREIEIEILVRKAAGRPARRSSLGAGGGRRYNPPLLKSMLLSVYVPSDQLTLTSSHFPPEIIAIELLGEETMGEIDTDPIKSVRASVSHFGEKCNQIKSRCSSDDEAEKEKDFEAVLQDLANCKVQLEAMDAAHKQTLLKQDHHEEAVAGISTLLKSSEFEKDVFINECKEARIRVSELEFKIQEMAEELTELSSTRAQLLRVEKQLVAATDAKFEAMKQAEMIETVLSMEKAKMEEMVHHENMLNETILDLRLSSMESESLKEEAEKEMKYAQEQLISKSMAIDSLQSELQRANELHSLAEEAAADSRSDLNRMKEDYKHQERRNSDQVQYIELLESELTQLKSELRDKNQELQMAIGELEKTKSEMEEMGDKDNETQVEIALLKAELHKGRSKTAAAEVSELRAKGEKSAAYFALQQMAIETQELKEENQRLQSGHEEIEFQDSKSDPEITISLAEYEILVKKNEEANDEQNLTLKMECLKKDLESAMVRVSELRTQSEQAATRAEVAEQAKSALEEQIKQWKEQKQRRRAALAALRTESMSKSSHGFEFDDNSKTYMPLGKFLKMNF >KVH99216 pep supercontig:CcrdV1:scaffold_291:283663:286990:-1 gene:Ccrd_022555 transcript:KVH99216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MKSHITPARGLESELAITFIIANLFLVMALKRIQKELKDLQKDPPASCSAGPVGADMFHWQATIMGPSDSPFAGGVFLVSIHFPPDYPFKPPQVSFRTKVYHPNINDNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKNHRAQYETTARTWTQKYAMG >KVH99212 pep supercontig:CcrdV1:scaffold_291:264570:265019:-1 gene:Ccrd_022551 transcript:KVH99212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaG, plant MASAFLSAPTFQGLRPLNKTTDSPNSLFLSTKPNFSIAPKKKFNCGVKAELLNPSLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGVTHFEAGDTRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATSSNGYDPNFF >KVH99221 pep supercontig:CcrdV1:scaffold_291:137483:137976:1 gene:Ccrd_022535 transcript:KVH99221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIIFSFEVDYGPNWVIYSSHLTCLHFKHKLEDLFNNLLSQSPDLEPTLPATGEDLFYIATYDPLRFPSTFTFVFRAFSTLE >KVH99231 pep supercontig:CcrdV1:scaffold_291:250375:252839:-1 gene:Ccrd_022548 transcript:KVH99231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, core MGIGSYGNSLPSYKRGRESIENNANFESLKVQKNDAYEPLIEPHWQLASSHGASMRLADTWHRILLIPVTGGVIVGMLHGLLEVLDQIKQLTLGHGLNFLSGFFPMVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANRCSLMMENNKEHKTAFVAAGADAGIASGFNAAVAGSFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVVLGEKQAFTVPTYDLKSAAELPLYLILGMLCGVVSVLFTCLVTWFNKAFEYIKERFGLPAVVTPALGGLGT >KVH99227 pep supercontig:CcrdV1:scaffold_291:125992:127261:1 gene:Ccrd_022533 transcript:KVH99227 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MHEESFKLYFAEGAGRMMATGNGQVSVPPGFRFHPTDEELLYYYLWKKVSYEAIDLDVIREVDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIHLLSNSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDHYPNPSEVQEDGWVVCRVFKKKSHNRSPFQSDQHHTLQPADDHHQLTDQDNNKNGSLQLQALHNNSYDSSTSATTLFDSSMHLPQLLTSPDDHTPYFQGCTMMINDMDSSRNLLSLMSSASCRAGNCAGCSSGHQPQDKPLLATNNDWSFLDKLLASNQHHLGITSFDPSSSQPFHHPDVNPSSHVFPFHYLGYETDILKFSK >KVH99241 pep supercontig:CcrdV1:scaffold_291:38603:45641:1 gene:Ccrd_022526 transcript:KVH99241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEAGPGRVIVAMKGHPGTGKTTLSRFIAASLRCPLLDKDDVRDSTFAVEAKLESQDATKLLNDLSYEALWRMVKTQLSVGLSVVIDSPLSQKAHLDRLVELAGSFDGYEVVVVECKPKDEAEWRRRLEKRGEDGCCGSWHKPSTWLDMEKLLEGYKGCTDYDVGKVPKLVLDTTATSGKVAELNESVMEFLRTCTHLHLVSN >KVH99224 pep supercontig:CcrdV1:scaffold_291:79443:85599:1 gene:Ccrd_022530 transcript:KVH99224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated domain 1 (KA1) MDRTNRGGGSVDTLLHNYKLGKTLGHGSFGKVKIAEHILTGYKVAVKILNRRKLKNPEMEEKVRREIKICRLFVHPHIIRLYEVIETPLDIYVVMEYVKSGELFDYIVEKGRLHENEARKIFQQIISGVEYCHRNMVVHRDLKPENILLDSKGNVKVADFGLSNIMRDGHFLKTSCGSPNYAAPEVVSGRLYAGPESGIYTLPSHLSPGARDLIPRMLVVDPIKRITIAELRQHHWFKAQLPRYLAVPAPDATEHLKKLDEDIILKVLTMGFERTHLTQSLQTRIQDDATVAYYLLFDNQSRVAGGYLGSERSESLEGDLATMHLDNVQDRATMNSFARDTALRPSLPGERKWNIGMQIPANPREIMTRVLEVLRDLKVCWKKIGLYNIKCRWVSSIPHPDSVATHQLYENHYNNSAITPNNIRSQNVVKFEIQLYKTPEDSYLVDVQKINGPQLLFLDFCAALILQLETGLF >KVH99242 pep supercontig:CcrdV1:scaffold_291:31354:33930:1 gene:Ccrd_022525 transcript:KVH99242 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MSDEEHQFESKADAGASKTYPQQAGTIRKGGHIVIKNRACKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPK >KVH99243 pep supercontig:CcrdV1:scaffold_291:16154:24764:1 gene:Ccrd_022524 transcript:KVH99243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLIHGVNHLEGMVIRHLINSRSNYSQSIAHYLQLILCNSKQPDVFSTTLAIRYFCNNGGFQEAFNQYVQLQKSGFLPSTFTVASALKACGRLGDENGGIMVHCQVHSYGFCGDVYVETALVGFYTKLGDMETAKKVFDEMSERNVVSWNSMIDGYLRSGKLSKAEGFFSGMPDKDVVSWNSMVSGYSRIGDMENALRLFQEMPERNPTSWNAMISGYVECGKIDSARNFYNSMPERNTISCITMIGGYSKCGDVESACGLFREMGKKDHLLYNAMIACYGKNSRPKEALQLFDEMLQPNVNIQPDKMTLATVISACSQLGDLGFGSWIEDSYMKQTGIPMDDHLRTALIDLYAKCGSIDKAFKLFHELHKKDVVAYTAMILGCGINGKEQIAIKLFDEMLESKIIPNLVTFSGILTALSHVGMVEESYRCFNSMKRYGLVATPDHYSLMVEILGRAGQLEEAHDLIKSMPMEPHAGVWGALLLACSTHNNVELGEIAARHCFQLEADSIGYGSLLANIYASVGRWDDAKRLRTCSERRMEKALIKVGSIKAGSFWVSKKAKEEISNISQDLSVYVLKHGGKEGEMGKPLKPLPDFLREYNLPPGLFPQNVLCYEFDESKLKLVVHLPTPCEVCFKDQSVIRYATRVKALVSRGKLTVIDGMKTKVLVWVKVTSVVVENYRSDKVWFTAGVKKSRPRDAYETPRDAIRVDEF >KVH99235 pep supercontig:CcrdV1:scaffold_291:243330:246251:1 gene:Ccrd_022546 transcript:KVH99235 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDGFDEHTEINGDWIPPSPSPRSRSFFSAILGYDTGSRESPKDDDNNTTGFTFPGPDKQFGYENGQPTKASSRSGLVERMTTRAGYNAPSLNTDIIRPSAVESPYLTIPPGLSPTSLLDSPVFLSNSPVQPSPTTGKFPFAPNDNGNSRRSMMFSDFPKDNLFEDLNTSSFAFKPVPASGAPIKVNPPFMSWQSLQSIEASGQYENRFPIQSIETDKVHSQNGNSNLSDVKKAEVNNFTYQSRPFGVNNDKVEEHYDEDTNQLINGDNANANVTSEDGYNWRKYGQKQVKGNEYPRSYYKCTQPNCPVKKKVERSQDGHITEIIYKGAHNHPKPPFNRRSEIGSSSCVEGDPVWRNGDWGHDGNLEVTSSTMSHHGPSGSRFESSDVMDISLTFSSEEEEEEEDERATHGAVSLGNDGEGDEYESKRRKIEGCVVDISVGNIAMREPRVVVQTMSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNNNNHQSQIQIQSMIEKRHDVCGYGYRYGMEGKMPIHHHQYMLPKGELVSDPPSPYHHQIMNSLAYI >KVH99218 pep supercontig:CcrdV1:scaffold_291:305676:314035:-1 gene:Ccrd_022559 transcript:KVH99218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVDCNQEEEESPLLQTSHQILKGFQFLLLIGGNIWTALAHFIAGVIGSGVLSMAWSIAQLGWIAGPLSIILIAFFALVSAFLISNFHVYPNPTNGNTTMNRSFLQAVHTILGEAHKHPFASCLYLHLYFGSQDIRTDCLFKTGVVYVITSATCIRQSNCYHEEGHEAACEYENKYYMLLFGIVQLLASQIPNIFHTKWLSIIAATMSFTYSFIGIGLGLAQVIGRGKIEGSINGISTANPTQKVWLVAQAIGDIAFSFTFSLILLEIQSTLKSPPSQKVTMKRTCTIAIFITTSFYLLSGASGYAAFGDSTPGNILTGFGFYEPYWLVDFGNACIVLHLVGGYQTLFAIAERWYAEKFPESALTSDIGSLKVLGLPKFRVNPLRLCFRSTYVISTMAVGMLFPYFNEVLAFSGSIIFWPLTIYFPVEMYIVQQKIVGWSSRYYLIYHGTMAGAGGDYDDQTPLLPSSPHTLTGNVWTAIAHIITGVIGSGVLSLAWSMAQLGWIAGPFTLLLFAFITLVSASFISDVHLYSNPNNGTTTMNRSYLQAVRTILESTFTLLISHPETGSQDIRMACYVVACIFKTGVVYTITSAISMRAIRQSNCYHEEGHDAVCEYDDKYYMLLFGFVQILASQIPNIFHTKWLSVIAATMSFTYSFIGMALGIAQVIGQGKIEGSINGISTVNHTQKIWLVAQALGDIAFSFTFSLILLEIQIYSQTLFAIVERWYAEKFPESVLTRDVGSLKVLLLPEFRVNPLRLCFRTTYVVLTMAVGMLFPYFNEVVAFAGSIIFWPLTIYFPVEMYFVQKKIVPWTGKWIVLRVYSTFCLLVTIYVLSGSIEGLIAKRFG >KVH99240 pep supercontig:CcrdV1:scaffold_291:53249:59422:1 gene:Ccrd_022527 transcript:KVH99240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGCVTSKQTVSVTPAVDHSGAFRDNAAAGSCRSIDGNGGGGGGGDVVAQLENNKKSMKKKKNARSESGVSGSELGESGRTNWTIGGGGGAGESVSFRLGNMHRYVEVEQVAAGWPAWLSAVAGEAIHGWVPLRAESFEKLEKVGQGTYSSVFRARDLEAGKIVALKKVRFDNFEPESVRFMAREIVILRRLSHPNIMKLDGIITSQLSCSIYLIKCYMKQLLSGLAHCHSRGVMHRDIKGANLLVNNEGVMKIGDFGLANFCNVARDRRPLTSKVVTLWYRPPELLLGSTDYDASVDLWSIGCVFAELLRGVPVLQGRTEVEQMHKIFKLCGSPCDDYWKRSKLPHATLFKPQLPYESHLPETFNDLPKNTVDLIETLLSIEPYKRGTASSALASENLPARTEGRHKIVETSLDHKKDNDIILGFEPRKPFVNTTDRASQGDIPFSGPLQVSGSSGFAWARRRFNDSSTTRSRSRSSSKSLVSESSVTLHPREPKHSEKIERTGSRGRDSKVKNWSHLELDGPSDGYPSQYPSIALFKKIDLIYQNQEDKVEFSGPLLTQSHQIDELLERHERQVRQAVRRSWLQRGRKNG >KVH99223 pep supercontig:CcrdV1:scaffold_291:144082:148875:-1 gene:Ccrd_022537 transcript:KVH99223 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA MDRLSPQTGDILVEFLQVAITSIVFLKGIYPSGAFERRQYMNLVVHRARHPQLNHYIHNTLDALLPYIQQKQCYGTMMDLESSLRSFLIKLSLSSSSSSSSKMADDCQDWRWEITGYFGGMIKDASRWVPTGTQQWQQPPLITPIKSMNTDPFSGHWANGIVLRNRLQRKKQKDYVFFRVLFLPMINNPSICSSALDKLKRMMSFRCYPVFNPKIFSVSLVSSYSSRHPNLAFHSKSSDSPLSECVMMDYIFGKKKATEVAHSVWKHVVQKGDTVVDATCGNGYDTLAMVNMVSDKSLSGRVYAMDIQETAIKNTMSVLDGLHDPDEAATRIVAAGGVISIMVYVGHPGGMEEYEMVEGFASGLAVDKWICCKLQMLNRPLAPVLLFLCKR >KVH99215 pep supercontig:CcrdV1:scaffold_291:275224:281504:-1 gene:Ccrd_022554 transcript:KVH99215 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MSGPGGLEAFDETRERRSDFENSEDERRRSKIGSLKKKAINASNKFTHSLKKRGKRKIDYRVPSVSIEDVRDANEERAVHELRQKLLDRDLLPSRHDDYHTLLRFLKARDFNIERTIRMWEEMLNWRKEYGTDTILEDYDFEELDEVLQHYPQGYHGVDREGRPVYIERLGKAYPSRLMRITSIERYLKYHVQEFERAFNEKFPACSIAAKRQICSTTTILDAQGLGLKNFTPTAASLLGAMAKVDSNYYPETLHRMFVVNAGPTFKKCLWPAAQKFLDAKTIAKIQVLEPKSLGKLHEVINPSQLPDFLGGTCTCPGEGGCLRSNMGPWNDHEIMKVVNNAEATFVRQITSMSSDQQKVDSYIQIYPVKGRRSDTSNIESGSDVDDPCSATNLNGPRFLKLASVCEEATASDSPAYYSCNDHFNSFEEASASSQSHTPDDREMGSGVDFQNSQGALFIQLLDTILEKVVKRSLNCIARPVVSSVPKVIGVIRSVVIEYWRRQKMIYPSNVVEERCKSDSSSEREDRIGPCVERLEKLEEILEELKKRPAEIPVDKEHMLHESLDRIKSVEFDLNKTKRVLHATVVKQLEIAALMENLQESKFHRRRMLC >KVH99238 pep supercontig:CcrdV1:scaffold_291:203587:205229:1 gene:Ccrd_022543 transcript:KVH99238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MSASSSHSPLLHDNQDDLHVDLPPAAAPPPPPPSQQLNHLHSWFHYHPQIQQLGPEMIITPPSIQMRQLLLSCAQLISRSDITAAHRLISILSTNSSPYGDSSDRLVHSFTKALSIRLHLHPHPHPHPHPHPHPLPLPIFSNINPPNLTDSNNFIITQRCNTSSNYNDAILQSSYLSLNQITPFIRFSQLTANQAILEAIDQPQPQNHSFTSSPQPHDIHILDFDIMHGVQWPPLMQAIADRHHPPTLRITATGTNLDILRRTGDRLSKFAHSLGLRFRFFPLLLPDQTNNHHTVDDVINHLSAVLLLPNEILAVNCVLYLHRLLIDRDKLCLLLRKIKAMNPRVVTLAEREANHNHPIFLSRFTEAMNYYTAVFESLEATLPPNSRERIEVEQVWFGREIADIVAAEGENRKERHERYRSWEVMMRSAGFRNVSLSPYALSQAKLLLRLHYPSEGYNLEIKPYDDDDDDDDDGQCMVMELLV >KVH99246 pep supercontig:CcrdV1:scaffold_291:63634:78261:1 gene:Ccrd_022528 transcript:KVH99246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MALFRRFFYRKPPDRLLEISERVFVFDCCFSSDVLEGDAYKTYMGGIVAQLQDYYPESSFMVFNVKEGGDKKTQISDLLPQHSITVMEYPWQYEGCPMLPLEMINDFLRSSENWLSMSGNRNVLLMHCERGGWPVLAFMLAGLLLFRKHYTGEQKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYITKRNLGYDWPPSETPLALDCVILRVLPLIGGKGCRPIINVYGQDPLSTAANKSCQLLFSTLKTKKHARYYQQDECQLAKIDIHFRVQGDVVLECIHLDDDHVKEEKLFRVVFHTAFIRGNVLMLGRDEVDLRWDARDQMPKEFKAEVLFVDADPLPSIITTEGASPDGSETTGSASPDEFFEVEEIFIGALEGEAMHLLEDNIVDVETDDTAMEVTEPYSPQDQPSVAKDVDLKPLVAKDVDLKPSVAKDVDLKPSVEKDVDLKPVVAKDDDLKPKEVKDVDLKPTVVEEVDLKPTVVVADDSDKKLEDLQPKASSNISRKSIANSKTVADSVGKQKLKPQETSATLRQAKPNAVSRWIPSNKGSYTNSMHVYYPPSRHNSAPPVVAPSKEAEKKSIEGTARRSGKQASCPPSLDVQRIQKPPLDGSLALPQSLKNLERKPVEAASLPVPLPSSLSSSTPQLQAAPASDVLVKAKSESLPPQVQPPLAPDRNDGSSAASLSSAPPPPPPSAPTSTQSPADASTVEKSARADVVVQPSPLPPTQSTSAPTSIPPQLKGPQPPETVVPSVSPPLPPQVEAPPPPPLQSAPPPPPPPPPVYGDFTPSSTSTPPIQVVPAPASAPAPAQIAPPPSSQAPPPPPPPPPSIHGDPYPPPPPPIHEAPPPQQPPMPVVPPPPPPQSSGPLPPPPSVPAAPPPPPLPGGDAPPPPPMYGAPAPPPPPPMYGAPAPPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPPMYGAPAPPPPPPMYGAPAPPPLPGGAPPPPPLPGGAPPPPPLPGGAPPPPPLPGGAPPPPPPPGGVPGPPPPPGAPGPPPPPGAPGPPPPPGAPGPPGGPPPPPGGRGGPGRGRGRGPPAKKSNLKPLHWNKVTRALQGSLWEELQRHGEPQSVPEFDVSELETLFSAIVPKKTNPKDAEKKKAASSKPEKIQLIDLRRANNTEIMLTKVKMPLPDMVALTSVSMCSSFRLNIDALVDLHLPFIDSPIYVLIFCIVTGQNYTGDLELLGKCEHVRTSVKLKEIMKRILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLASKSPTLLDFHEDLVSLEAASKIQLKMLAEEMQSIIKGLEKVKQELAASANDGPVSEVFHREFIGVAEAEVTITQTLLNFVRLFRKSDEENLKQAELEKKKAAKEVEMEKAKGLRFGPQPVVDAPPELSMTSAAKTFSLGA >KVH99217 pep supercontig:CcrdV1:scaffold_291:301116:302174:-1 gene:Ccrd_022558 transcript:KVH99217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKDAQHHVVEIMVDGDHRISTIAAIQDHPLMEISESPGHLLLLKLWQREEDLFGRRIARKETRIDALTREIFQLCLFFFTFHGFFFTILFTSSHNSNSCRKWWIPILVSLSTCCMMILLVQMKLCRYWKVYGQLQMERTDSRALTRCIQELRMKGVSFDLSKEPGNGKRMKSSSVEIKWKPVTWCHQYLITIWLVSVMGLAVPVCRFMLCA >KVH99220 pep supercontig:CcrdV1:scaffold_291:127785:135953:-1 gene:Ccrd_022534 transcript:KVH99220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFLRCTPLAPLLPLRQYGLSRTIHFFPDYPFGSRQGLRRTTARALTEWREYEEAVKDKDLARALRFLQEIPLPNDYSVDSQGGNRLGLLELQRDWQVLDTCLNADDMRLVGSAYAFLTDKGFLNYFGKYRSIVLEGPRDVTPTVLQSSTGLEVSKLSPKKWGLSRSSSIVLVALFAGLSYMVDHGIDLRPHLAVILGVAMVDSIFLGGSCLAQISSYWPPYKRRILVHEAGHLLVSYLMGCPIRGVILDPIIAMQMGIQGQAGTQFWDENLQNELADGRLSGIAFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICLLLEPPFSVAQMSNQARWSVLQSYNLLKWHRHAHQAAVKALESGGSLSVIIRSIEEAMATNR >KVH99228 pep supercontig:CcrdV1:scaffold_291:150271:152982:-1 gene:Ccrd_022538 transcript:KVH99228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, III-V domain-containing protein MVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDEALKSFKGDRTGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVMVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPKTKKWTNKNTGAPTCKRGFVQFCYEPIKQIISICMNDQKDKLWPMLAKLGVNMKSDEKELMGKALMKRVMQNWLPAATALLEMMIFHLPSPHTAQRYRVENLYEGPLDDPYANAIRNCDRDGPLMLYVSKMIPASDKGRFFAFGRVFSGRVSTGMKVRIMGPNYVPGEKKDLYVKGVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTSEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIVVSDPVVSFRETVLEKSXRTVMSKSPNKHNRLYMEARPMEXGLXEAIDXGRXGPRDXPKXRXKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQRRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSGALRAATSGQAFPQSVFDHWDMMSSDPLEPGSQANTLVANIRKRKGLKEQLTPLSEFEDKL >KVH99222 pep supercontig:CcrdV1:scaffold_291:139095:142577:1 gene:Ccrd_022536 transcript:KVH99222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase MATKKSVSSLKEGDLKGKRVFVRADLNVPLDDTFKITDDTRIRAAVPTIKYLISNGAIVILSSHLGRPKGVTPKYSLKPLVPRLSELLGLEVKIASDCIGPEVEKLVAETPEGGVLLLENVRFYKEEEKNDSEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKHLKPSVAGFLMQKELDYLVGAVSNPKKPFAAIVGGSKVSSKIGVIESLLEKVDVLVLGGGMIFTFYKAQGLAVGSSLVEEDKLDLATSLLEKAKSKGVSLLLPSDVVIADKFAPDANCKVVPASSIPDGWMGLDIGPDSIKSFSEALDATKTIIWNGPMGVFEFEKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >KVH99245 pep supercontig:CcrdV1:scaffold_291:66837:77351:-1 gene:Ccrd_022529 transcript:KVH99245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLIWGLELAAFFFSASLGLVFLGTMAEKSVSSSDTSNSGTLELNTGGPRPRPLPGPPRPPGGGGGPPGGPGAPGGGGGPGAPGGGGGPGAPGGGGGPGTPPGGGGGGGAPPGSGGGGGAPPGSGGGGGAPPGSGGGGGAPPGSGGGAGAPYIGGGGGGAGAPYIGGGGGGAGAPYIGGGGGASPPGNGGGGGAAGTEGVHYFEEEVEGGLQAWGAAVEAGLHVLEVVVVDMDLHGLKEEGVVVVGELVRKAAVLSELERELKLELEQLEWEVVVEVVVEHFVREVEVELPLEVVEVETQMELQFLEVEDLLTEVGLRLEQMYFVLVAKVLLEAEGWSLTKNLAVVLAVKQPPLVFFPNFSKIVARQETHQEVASESVAHPKMVDKKLEPLLEGIHRDTAFGFACLRVAEVSCGLSFCLPTESATVFELAILFRLILLLAFGCKSSNFLSESSATTTVGFKSTSSTTVGFKSTSFTSFGFKSSSFATTGFKSTSFSTEGFKSTSFATEGFKSTSFATKGFKSTSFATEG >KVH99232 pep supercontig:CcrdV1:scaffold_291:233453:237012:-1 gene:Ccrd_022545 transcript:KVH99232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCLFEKRGELCLEYLRDLSIDEIKMELSRFKGIGPKTVACVLMFNLQQDDFPVDTHIFQIAKAIGWVPIEADTKRTYLHLNTRIPNFEKMRIGGMLTGDCLLHVTFAALFANLELSQGILKLTDGRNSHIPYRESKLTRLLQSSLSSHGRVS >KVH99214 pep supercontig:CcrdV1:scaffold_291:297535:300985:1 gene:Ccrd_022557 transcript:KVH99214 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MAETIPFKNLHSREYQGHKKKVHSVAWNCTGTKLASGSVDQTARVWHIESHGHSKVKDLELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDVRSGKCSQQAELSGENINITYKPDGSHVAVGNRDDELTILDVWNFKPIHKRKFNYEVNEIAWNMTGDMFFLTTGNGTVEVLAYPSLRAVDTLTAHTAGCYCIAIDPLGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNHTGEYIASASEDLFIDISNVHTGRSVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFFGYLDLTVHKLQYYTAAAAAAASVFSCQPCTFYLLFNVIDDRLSPLFVFNYVNQIFWGDVKELALLICDVSTCYCDRNATVKVPYGL >KVH99225 pep supercontig:CcrdV1:scaffold_291:87127:99278:-1 gene:Ccrd_022531 transcript:KVH99225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S16, lon N-terminal MASEEQPSSSEFSLEGIQDVQDFVWEDQGESLDTRRSSHLLDLMTSGNRAFREDRYEEAVNCYSRAHNIKPDDPVILSNRCAAYLRIGQFLKQRPASASERRPLSGLDPTTHASLALKDAEQLMNIQNNSVMAYILKANALILLERFELARDVTLAGLQVDASSNALKKLERYTANIFGKKMHSQTPRTDDFDCTLCLKLLYEPITTPCGHTFCRSCLFQSMDRGNRCPLCRTVLFISPRTCAISVTLKNIIERNFPVEYAERKLEHDSLTNMGPDLLPLFVMDVVLPCQKFHLNIFEARYRLMVRRIMEGNRRMGMVILDSTTGSVAEYACEVEITDCEPLPDGRFFLEVESRRRCRILRNWDQDGYRVAEIEWVKDLSPSEGTKEKSDLQDMTDKVAEYARSWIKLATLTNRRPQERLDLLRIRDTTARLRRAYHYMKAEEQGCGLQ >KVH99230 pep supercontig:CcrdV1:scaffold_291:258662:258925:-1 gene:Ccrd_022549 transcript:KVH99230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGDHSDHAILLRSNSSSSENHLEGQVSSAKSSARNSKTIKDLWNRLNRVFSGRRLSIKRRSPREQWSYRDHVSSRRSGGNGGGVY >KVI00756 pep supercontig:CcrdV1:scaffold_2910:8230:53339:1 gene:Ccrd_020991 transcript:KVI00756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAQLEALCERLYNSQDSVERAHAENTLKCFSANTDYISQCQYILDNASTPYALMLASSSLLKQVTEHTLSLQLRLDIRNSSTNFIFVVTRSTYTCHLLIAGNYIFTYLAKRGHELQPYVIGSLIQLLCRVTKFGWFDDDRFRDAVKDSTNFLIQASPDHCSIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQCLFQIFQISLTSLQQLKSEVGSRLPELALSLALKCLSFDFVGTSIDESSDEFGTVQIPSSWKPVLEDPSTLQIFFDYYAITKPPLSKEALECLVRLASVRRSLFSNDAARSKFLAHLMTGSKEILQAGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKADAPSLLDEFVPKISEGFITSRFDSATSQDDLSENPLDNVELLQDQLDCFPYLCRFQYERSSLFIINIVEPVLQLYTERAQHQISDKSELSVIEAKLAWIVHIVAAILKIKQCSGCSTDSQEAIDAELSARVLRIINATDSGLHSQRYGELSKQRLDQAILTFFQHFRKSYVGDQAMHSSKQLYARLSDLLGLNNHLQALNVIVGKIATNLKCYTESEEVIGHTLSLFLELASGYMTGKLLMKLDTVKFIREHFPFLHEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLLQVFISLESIPDAMFRTDTVKYALIGLMRDLRGIAMATNSRRTYGLXFDWLYPSHMPIILKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLLVAYGTRILSLPNAADIYAFKYKGIWIALTVLSRALAGNYVNFGVFELYGDRALADALDIALKMTLSVPLADILAYRKLTKAYYAFLEVIFNSHIVYMLNLDMNTFMHIVGSLESGLKGLDTSISTQAASAVDNLAAFYFNNITIAEAPTSPAAVNLARHIAECPTLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILINEQIFSDLKAHILGSQPADQHQRLSLCFDKLMGDVSRSLDSKNRDKFTQNLTIFRHDFRVK >KVH87587 pep supercontig:CcrdV1:scaffold_2912:48940:62471:1 gene:Ccrd_025134 transcript:KVH87587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGVGGPLLCIGDLLSDVGEEDTTTSNEFIHNSHRPSFFGTADFTNQHIQSSDLTKLYQLCLALETGNKLIQSSISQVTGLSKKIRELERITNKGNLVIKEAEFIHSTTTLEGSI >KVI03243 pep supercontig:CcrdV1:scaffold_2914:51245:57926:-1 gene:Ccrd_018461 transcript:KVI03243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQPKADLAKKQKIIEDKTFGLRNRTRKKKKKKAKEKELNDLLNIVVSQPKVPVIPNLYYASFIKLGNVQRVFSASSSHNLDIQRKGEKIDLYIDQHDHGDNAFFLFSLALCVLFLLM >KVH87586 pep supercontig:CcrdV1:scaffold_2915:58698:64710:1 gene:Ccrd_025136 transcript:KVH87586 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-containing protein MEPSFSLAQSVVHREGDYHRVVHVWIFAESTQELLLQRRADCKDSSPGFWDISSAGHVSAGDTSLITARC >KVH87585 pep supercontig:CcrdV1:scaffold_2915:51568:61789:-1 gene:Ccrd_025135 transcript:KVH87585 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) UniProtKB/TrEMBL;Acc:F4HY43] MTCTGDIPESRRRILAIGTSLEKKFLCTFSKNPHMNNSVIIPLSVHNTSVQGYGVTTELLRPLYALQQQENWTGVLRSSLFFLKRKGGKNTARVRRMAPLLQSSQPWVEKYRPRRVKDVAHQDEVVRVLTNTLETTNCPHMLFYGPPGTGKTTTALAIAHQLYGPELYKSRVLELNASDDRGINVVRTKIKNFAAVAVGSGQQGGYPCPPYKIIILDEADSMTEDAQAIVSSCSISISLEIPSTLNALRRTMETYSKVTRFFFVCNYISRIIEPLASRCAKFRFKPLSEDIMSTRILHISKMEGLNLDSEALSTLSSISQGDLRRAITFLQGAARLFGSSISSKDLISVSGVIPHEVVEALLAACKSGSFDSANKEINNAIAEGYPVSQMLSQLFDMVVESIDFSDEQKARICRKLGESDKCLVDGADEYLQLLDACSSMMKAFCNMPEGFSNDI >KVH96772 pep supercontig:CcrdV1:scaffold_2916:11332:18446:1 gene:Ccrd_001137 transcript:KVH96772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSMFHELNMMLVFLFLLLFCFKDSHCSLPNNLQNSVLLDIKVDNLLPEISPTGNPQPLYPLLAPSPLSPFTITNNTAPKLSGLCTLDFDAMHTMMSMTAIDCFTVFAPFLANVVCCPQFEATLIILIGQSSTETKKLSLNLTLAKHCLSDFDKILMGQGANNSLQQICSIGPSNLTEASCPVKDVDGFEKMVNSSKLLSKCGKIDLVNECCQQVCQNAISEAAKDLASVSYELDAMGLRRPSDISTRVINDCKSIVLRWLASKLEPIRAKEVLRGLANCNLNKVCPLVLPNVRPVARGCGDQISNRSSCCSAMESYVSHLQKQSFLTNLQALNCAASLGKKLQKENITNNVYNLCRISLQDFSLQANGMQESGCLLPSLPSDATFDNFSGVSFLCDLNDHIPAPWPSISHAPASSCNKSVKIPALPAAASGQRGLYNNDVMFLLLYAMLFILATIH >KVH98780 pep supercontig:CcrdV1:scaffold_2918:42904:48707:-1 gene:Ccrd_022993 transcript:KVH98780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ic MEKENETKAEQEEQEQVVNPWEVSAKGSGKIDYDKLIDQFGCQRLDKTFVDRVFRLTSRSPHIFLRRNVFFAHRDFNEILDAYERGEKFYLYTGRGPSSEALHLGHLVPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLTVEESKRLARENAKDIIACGFDISRTFIFSDFDYVGGQVVGIFGFTGEDHIGKISFPPVQAVPSFPSSFPHLFSGKDKLRCLIPCAIDQDPYFRMTRDVAPRLGYSKPALIESLFFPALQGETGKMSASDANSAIYVTDSQKDIKYKVNKYAFSGGQDSVENHRKYGANLEVDIPFKYLSFFLEDDDELEHIRKEYGSGRMLTGEVKKRLIEVLTEIVERHRAARAAVTDEMVDAFMAVRPLPNMFN >KVH98779 pep supercontig:CcrdV1:scaffold_2918:38057:42154:1 gene:Ccrd_022992 transcript:KVH98779 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF676, lipase-like protein MESMVSHKDLQQMKPSHQVINNKKTNRTSLIVNKCTCFRSSDHGYPTLDELDGHGNVDMKSASAHTNHPPTHLLVMVNGLIGSAHNWRYAAKQFLKTYPEDLIVHCSERNSSLLTFNGVDVMGNRLANEVTSVIKRHPGLQKISFIGHSLGGLVARYAIAKLYTQDSTKLACQENGDPTNDASNEQCSEHISSRRIAGLVPINFITVATPHLGTGGHRQVPMFGGSNTVEKVAHHISWVLGRTGRHLFLTDKAQGQPPLLLQMVEDREDLKFFSALQSFKRHVLYANAHFDHIVGWSTSSIRRRNELPQRKRLVRSGKYPHILKGETTTTIKEEGSMKSQVNSFKTARASMEEAMIESLTKISWERIDVSFKGSKQRYFAHNTIQASMI >KVH98781 pep supercontig:CcrdV1:scaffold_2918:50989:56567:-1 gene:Ccrd_022994 transcript:KVH98781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase MRLMQEEVIGYESDEEREMESSSSCGSIGELGFQENSMKVVVVGYALTSKKIKSFLQPKLEGLARNKGIFFVAIDQTRPLSDQGPFDIVLHKMSGKEWQQILEDYRLTHPEVTVLDPPGAIQNLYNRQSMLQDVADLDFSDAYELVSHMLAHYAGTVGVPKQLVIEKDPSSIPDAVKKAGLSLPLVAKPLVAKSHELSLAYDEYSLQKLDPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKRELSRSVGVFRFPRVSSAAQSADDADLDPCIAELPPRALLERLARELRRRLGLHLFNLDMIREHGTRDRFYVIDINYFPGYGKMPEYEHIFTDFLLNLAKSKYKKRSANLP >KVH87584 pep supercontig:CcrdV1:scaffold_2919:28876:30094:1 gene:Ccrd_025137 transcript:KVH87584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MARDQISLCSSTYRFRPLFSPSTPSLYNQSYIHSFHRSFNPSTMAKLLVFLALSLLPLLSTATVDAGNPFRLKGRVYCDTCRCGFETSVTKYLAGAKVKVECRDRSSMNLRYTLDAVTDATGTYEMEVKTDHGDQKCECTLVSSPDPECAEPNIGRDRATVILTRNNGMRYDARYANSMGFMKKTELAGCTELVRSYFAEDV >KVI03914 pep supercontig:CcrdV1:scaffold_292:271726:276276:-1 gene:Ccrd_017789 transcript:KVI03914 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein TKSLCPIPSSPKSLIDDQPLTAHSDAVATTWDSRFPASDWHIMGWKAAQKLIHHWKILRGDNVMIIRGKDKGATGTIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQIVDPVTRYVGTRYQEDGTKVRVSRAGPKDTPIELVHEKTYDAKTGKGMPDL >KVI03908 pep supercontig:CcrdV1:scaffold_292:8268:11504:-1 gene:Ccrd_017772 transcript:KVI03908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore localization protein NPL4 MLIRIRSRDGLERLQIDNPNITIAQLKTLIESQLRVPLHNQTLSTNQSLLIAKTHPEISRFTDMSNPQTPISALGIAHGSIIYLAYEGERTVAGPQIRPAGSFGRKMTMDDLIAKQMRITRQENPHCELVSFDRDAANGFQHYVNETLAFAVKRGGFMYGTVSEEGKVEVDFIYEPPQQGTEESLILLRDPDEEKLVEAIALGLGMRKVGFIFTQTIGQTKKEYTLSNREILQAVELQAESDLKEWVTVMVKLEVNEDGGADVHFEAFQMSDLCVKLFKDGWFETEIAGDADPKLSKMKKEVVVGGKDTKEVDNDFFLVVVKIFDHQGPLSSTFPVENRNTMVTMRNLKNHLDRTKNFPFVKRISDFHLLLLLARYLDVNSDVPALAGCVHAQATIPEGYQILIDSMAASA >KVI03904 pep supercontig:CcrdV1:scaffold_292:77805:79294:1 gene:Ccrd_017776 transcript:KVI03904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILEEHADENQYFDSWTRGMYPLPAAAGAAGSPXKILVAGMSEVHCFHESSRLVTPPEYWQGGLL >KVI03911 pep supercontig:CcrdV1:scaffold_292:103576:109223:1 gene:Ccrd_017779 transcript:KVI03911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHPAPNKRNITVRYDFGSQSNAAATICRQKKLRRLPHIFAKVLELPFYADADVSIEETSDSLIFIVDTDDDIGTDIAAHTIEIYPGVTKVVVRGTRGVGDCGGDVNELEVDLWRFRLPESTQPELATAAFSDGELVVTVPKDVNLERNQEEIWGEVVPPVYYAFRNVIAELVRSGNCVLLGLKFTKRLQNTKKR >KVI03906 pep supercontig:CcrdV1:scaffold_292:50730:61505:1 gene:Ccrd_017774 transcript:KVI03906 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MPPFLMLLLRFIVLIQHLIIPSLGKSKGSIQVQEGIAFMIGDGKLLTNAHCVEHNTQVEEANVLTAQYRCIYWLQVKVKRRGDDTKYVAKVLAKGMECDIALLSVENEKFWKGAEPLQFGHLPRLQDSVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSAELLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSDDVENIGYVIPTTVVSHFLDDYERNGKYTGFPSLGILLQKLENPALRACLKVPSNEGVLVRRVEPTSGASNVLKEGDVVVSFDGVDVGSEGTVPFRSTERIAFRYLISQKFTGDIAELGIIRAGTFMKVQTAMNPRIHLVPYHIEGGQPSYLIVAGLVFTPLSEPLIDEEREDSIGLKLLTKARYSMARFKGEQIVVLKFNGTRIKNIHHLAHLVDSCKEKYLVFEFEDNYLAVLEREASSAASSCILKDYGIPSERSSDLLEPYVDPVGENQVIEHHDLGDSPITNSDFGFDGLQWA >KVI03917 pep supercontig:CcrdV1:scaffold_292:144546:148431:-1 gene:Ccrd_017782 transcript:KVI03917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MLKSTGNLDTASPLIFWSLYLHLQVSNNEMEDNQRFFLPRWVVSLTICLLGTPSHNKPRSNHMPSEEIKLIVESTISLFNSKTDVNDYPRLRFQCMLAGSDSIKAH >KVI03923 pep supercontig:CcrdV1:scaffold_292:186810:188896:1 gene:Ccrd_017784 transcript:KVI03923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxylation domain-containing protein MSMASIIYRKLHRKSKNRFNYIQVRFASPATDLSNNEKSNRKIEKLLIANRGEIACRIMRTAKRLGIQTVAVYSDADRYSLHVKSADEAVRIGPPPARLSYLNASSIIEAAVRTGAQAIHPGYGFLSENADFAQLCENEGFTFVGPPASSIREMGDK >KVI03916 pep supercontig:CcrdV1:scaffold_292:155688:165757:-1 gene:Ccrd_017783 transcript:KVI03916 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MIKLIEEDADSFARRAEMYYKKRPELMKLVEELYRAYRALAERYDHATGALRQAHKTMTEAFPNQVPLLPDDPSANSPYDSDPQTPRTTXRACFDPDDLLKRNGAMDEESDVVGSTGTVRRGLKFGDSDDGNNKHRAQTRALAEFETDKEILLLKESVAKVEDEKEAGQKQYLESLERLSKLESAISSAQEDSRELASRASEAEAEARTLKDVLQRLETEKEESLKLYHFGLEKMSNLENALAHARESVEELNEQAREGENITQNLKHEIAELEKRLAEAEKEARDFRERAEKAESEVKTLKLAIDKFDNEKEAQSLLYQQCLETISDLEARLTCAQEEAEKLKSDIENGVSLLKGAEEQRLMLEKSNKTLHTELESMVLKMGSQTEELTEKQKELGRLWTCIQEERLRFLEAETAFQTLQQLHSQSQEELRSLAAELQNRARVLTDIETRNRTLEGEIQKHIEENKNLNELNLSVIGSLKESNCKLEKEVELRVDERNALQQEIYCLKEELNDLNKNHRCILDQVVSVGLNPESLGSSVKELRDENSNLKEECQTEKSAKAALLEKLEILGQLLERNXVLENSLSDLGAELEGVRGKLKALEGSYQCLSEEKSTLAIEKANLLGQLQVTTDNLSLVSEKNTVLENSLFDAHVKLEVLKQKSTSFEDSCRLLADEKSALITEKDTLASQMEITEKSLNDLEVKYTDLEEKYSSMEKERESTLCKVEDLHVSLALQNQEHIIFAQKNDEKLQSLRNQIRVLEEENRDRRKEYEEELDKALEXDIEIFILLRCVRDLEEKNSSLFNDCRKLQEASMLSENLVHVLKQEKSENQVKIKSLSDQNSRLKTGMHQLLKVAGLSLHPGFENGQIQTCFDSVCRKFEGTNCALVENEDKISELVVEISILVALLKQLKSEMADIEAEKCSIXQELGVRTEKILELQTEAXKLSETNEELRSRVIEGDTTEETLRTQLASLRSELSIVQGAYEALQMDSSVVLEENESLTKDNVQMNERIRLLEDENEVIFADVISQSILSRTLKKYLDEKHAEINRLDGDLRKLHGVNKVIVEEMSMVEKELDEVRMENLHLKETLEKSERELETVTSVRDELNSELVNGSNLLHLKEMEHKETIQQLEILEHEKTELSKILSCLQRENEEVKTTRDEQGKQILKLLEDNDHLSEERKCLEEETRVLDHKIHQLTEDHQKTEETLRXELHNEKGNARLLETHASEVYGDLQTSTFCQVVLEERIRELTEVCLSLQEDNTSKDXNTKLLKERTDVLECENGDLKAHLAAYGPALVSLRDCILSLENRTCIRTKVQHSENEXAAKGAESVTMDPDASVDLQDLQVKVKAIETAVVELQMLSMQEKLDSDAKLESAMKQIEELSYQKSSRRANGKRTSTSEISEIDVGILPKDIMLDQASECSSYGVSKRGLIDGEIWESADKHGSINMNFDDSKIMFKHHPTSSDLSLDKELDVVDKLEVSRRFREPRDDGNKRKVLERLNSDVQKLTNLQITIEDLKRKVEITGKSRRGKSMIECETLKGQLMEAESAIQKLYELNGKLVKHXEGNSESGESESESMRRKKVSEQARRVSEKIGRLQLEVQKIQFVLLKLDDEKESKGKTRFLDTKKRVLLKDYLYGGGRPKTISSNSSRRKNGHFCACVEPATKGD >KVI03910 pep supercontig:CcrdV1:scaffold_292:97093:98635:1 gene:Ccrd_017778 transcript:KVI03910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSRTVIKQQPIMADQQAPSLSSPTTRPVSSFLPSPRFFNGLFSPKTLTDSEPSPTSILDIHKNFNYNPLGINKTRIKPTKSFHEIKNPSEKFDHEGIALALIEEQPDETINKPNTISRKVLFASNLKIQIPSSTLSSTGSPESPGDYGIKTRNSQFSGTPTGFGSPRAFTGPLSLSEMELSEEYTRVISHGPNPRTTHIYDNCVVESCCGVIGSPEFKKPGPKSPWVRRPFAVKNADAKKWFWMD >KVI03905 pep supercontig:CcrdV1:scaffold_292:85998:88033:1 gene:Ccrd_017777 transcript:KVI03905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MACKMIGMVLAMVLVVSICGGAMAQSRCTSALMGMSSCLNFITGNSSTPASSCCSQLANMVRSQPQCLCQALSGSGSGSKTDGSTFNGSKSRAPVHLLAFILFVVSWASTTASF >KVI03913 pep supercontig:CcrdV1:scaffold_292:302800:309732:1 gene:Ccrd_017791 transcript:KVI03913 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MWVWLCFLQRSCEIVLGESLIKVPDPPILPFFKPVDYVEVLAQIHKELETCPPNERPNLYLLQFQVFKGLGDVKLMRRSLRSAWLKASSVHERLVFGAWLKYEKRGEELISDLLSSCGKYAKEFGLIDIGSELPSVADASLPDGVMMNRNYLSGTVSFRIGDEKITCDRQKMAALSPPFRSMLNGCFLESISEDVDLSKNDISSTGMRIISEFSLTGTLPEVPTDLLLEIMVFSSKFCCEKLKDSCDRKLATLVSTRQDAVELMEYALEENCSILAGSCLQVFLQELPESLNDERVVELLTSANSLHRSIMVGAGSFSLYCLLSQVAMDLDPASDKAAFFLEQLVDSSENSRQKMVAFHMLGCVKFFKKEYEESERFFEAALSEGHVYSVVGLARIGQIKGQKLESFEKLSSVISNYAPLGWMHQERSLYCENNEKRWEDLEKATELDPTLTYPYMYRAASLMKKQEVQDSMAEINKILGFKLAVECLELRFCFFLVLEDYQSAICDVQAILTICPDYRMFEGRVPASQFQTLVREHVPSWTTADCWLQLYDRWSAVDDIGSLSVIYQMLESEAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHERLVYEGWILYDTGHCDEGLTKAEESISLNRSFEAFFLKAYALADSSQDPTCSSTVVALLQDALKCPSDRLRKGQALNNLGSVYVDSGKLDLAADCYINALKIRHTRAHQGLARVHYLKNDKPAAYEEMTKLIEKARNNASAYEKRSEYCEREQTKIDLEMVTRLDPLRVYPYRYRAAVLMDNHKEKEAIGELSRAIAFKADLHLLHLRAAFHEHIGDVSGAMRDCRAALSFDPNHQEMLELRSRVNSQEP >KVI03915 pep supercontig:CcrdV1:scaffold_292:224819:233222:1 gene:Ccrd_017788 transcript:KVI03915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kae1-associated kinase Bud32 MDTEANGEGGSLVLIKQGAEARVFESTFAGRKSVVKERFSKKYRHPTLDSKLTLKRLNAEARCMTKARRLGVSTPVLYAVDPVSHTLTFEYVEGPSIKDIFLEFGLQGVVEERMDDIALQIGDTIGKLHDGGVIHGDLTTSNMLWRSGSNQLVLIDFGLSFVSTLPEDKAVDLYVLERALLSMHSSCGNVMDKILAAYRKSSKQWSSTLNKLGQVRLRGRKRTMVG >KVI03921 pep supercontig:CcrdV1:scaffold_292:209019:214114:1 gene:Ccrd_017786 transcript:KVI03921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MDLKKFEEGIDGEIGAKKSESRSVGSSPKNSGGQLGGLGSKSKELGNISSKDYLRADKIDFKSWDVQLDKHLSRVWSRDRDKDANNTKKEEWEIDLAKLDIRNVIAHGTYGTVYRGVYDGQDVAVKVLDWGEDGLATAAETANLRTSFRQEVAVWHKLDHPNVTKIPTNNTSNAAQNSLPSRACCVVVEYLPGGTLKKYLIRNCRKKLSFKIVVQLALDLSRGLSYLHSKKIVHRDVKTENMLLDLNRTLKIADFGVARVLDGKPYNRKCDVYSFGICLWEVYCCDMPYADLSFAENLRPDIPKCCPSSFASILKKCWDANPDKRPEMDEVVRLLEAIDTSKGGGMIPEGQATGCLCFTTTRGP >KVI03907 pep supercontig:CcrdV1:scaffold_292:70488:78050:-1 gene:Ccrd_017775 transcript:KVI03907 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MSIYLLSKNQNIDSHLRVLQESAFIDFRFAASVFDFDDSINSNSNNNASQHLQCNLVSGGTFQNSPSSIHLERESVRERERGGRAINDLLLFLRVTDFENWDDEGEEEMEGKKSKLSAHWWWLDSQSNSIPRKSPWLHSTLAELDDKTEAMLKLIEEDADSFAKRAEMYYKKRPELITIVEDLYRAHRSLAEKYDQVKFDAGTRHITPWTSCPLPLSKYRTSQLIKESEKSYDSYSESFDFDHDSDSVVSEIDDPQQEEIEDLKNSDEEEEEVVKLREEIDRLRQENKVQKEQLIQKDEEKREAIRQLSFSLDLLKQENVDLKRGILGKAKDSFNNKASTNPFEFNKLKGVFWGKLFSSAAVPL >KVI03918 pep supercontig:CcrdV1:scaffold_292:120726:125780:-1 gene:Ccrd_017781 transcript:KVI03918 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF828 MDSLWQDQBSGGGFQLPESPRKPMEFLSRSWSDATLQVSKTLHSPPSPLISKAGTTATNFNVPPENNSVFGVDAADESSTTTASSLSGTTFSFASSATSQLDISPLASGRLSHSSGPLNPSHMEEIDSPHISPSDEYDDVVKFLRANNTLQPLFANGRSGYGAGGSSTTPAGKTVGRWLKERREKKKEETRAHNAQLHAAVSVAGVAAAVATIAAATAAASASSKDEQMAKTNMAVASAATLVAAQCVETAEAMGAEREHLVAAVTLRGAATLKARALKEVWNIAAVIPVDRGLKESKSQGNSSGSSEQLPIEENFLGICNQELLARGSELLKRTRNDVVLEVCKNMAAWPGRHLFDGGEERRYFGLKTIGRGLVEFECRTQREYDMWTQGVSRLLSMVDERKPTKQP >KVI03922 pep supercontig:CcrdV1:scaffold_292:190745:202479:1 gene:Ccrd_017785 transcript:KVI03922 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold MGAAGVPLVPGYHGHEQDIDVMKSEAEKIGYPVLIKPTHGGGGKGMRIVQSPKEFVDSFLGAQREAAASFGVNTILLEKYITRPRHIEVQVFGDKQGNVVYLYERDCSVQRRHQKIIEEAPAPDIISDFRSRLGQAAVSAAKAVGYHNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGESLPLGQAQIPISGHAFEARIYAENVPRGFLPASGVLQHYRPVPVSASGDTVSMHYDPMIAKLVVWGENRSAALVKLXDSLAKFQVAGLPTNIEFLFKLANHKAFQNGELETHFIDHFKDDLFVTPSDSXSAKAAYDAAKYSAALMGESSFPALEVTVIHLRDHDFRVEADGISKNVNLASYFKDKTEHIHIWHGSDHHQFKQKVGLDLLDNLETHQHRNHESATHPPGTVTAPMSGLVIKVLVEDGTEVKEGQPMLVMEAMKMEHVLTAPTSGLVSGLQVTXGQQVSDNSVLFKVKAA >KVI03912 pep supercontig:CcrdV1:scaffold_292:281569:291123:1 gene:Ccrd_017790 transcript:KVI03912 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO/COL/TOC1, conserved site-containing protein MSTEEPPYSASAMSPLDKPLHQLTEDDISQLTREDCRRYLKQKGMRRPSWNKSQAIQQVIMLKALLEPVPDSEDGGRRPYITSRQQQAPTTRVQKGTSADTEISLSAEESVPGHRNDMEKSDFVGDNDSAPTGIGGVTEEAKGQMTIFYSGKVNVYDDVPADKARALLQLAASPLQFPQEAPFDGNILQAPGINVGTDFSAMLSPILQTVRLADNYRLHKEESNMLREENSGSASRKASVQRYLEKRKDRFKSKRKAGAPACATTIDVYLNHQIGNHNLHEQSSRSATCSPPPVRPPNTPNRFS >KVI03919 pep supercontig:CcrdV1:scaffold_292:115855:123192:1 gene:Ccrd_017780 transcript:KVI03919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MWNQQPFLKSDVESGSGEPLYPMMLESTELRWSFIRKIYSIVAIQLLLTAVVGAIVVSYHPIVNFLTTTNVGFACYILLIIAPFITLCPLSYYYQRHPVNYLLLGIFTITLASAVGLTCAFTSGKVILEAVILTATVVVSLTLFTFWAAKRGYDFNFLGPFLFGAVMELSETLLFVAEKQQQGVHVQLPSLPQSPHTERQPMWRPRPPPYWSSPFAHPY >KVI03909 pep supercontig:CcrdV1:scaffold_292:23591:35001:-1 gene:Ccrd_017773 transcript:KVI03909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAEGQKPRKHSDDSVKLFVGQVPKHMTESQLSAMFEEFALVDEVNIIKDKATRASRGCCFVICPSREEADKAVDACHNKRTLPGASCPLQVKYADGELERLEHKLFIGMLPKNVSEGEVSELFSQFGTLKDLQILRGSQQTSKGCAFVKYETKEQAVAAIEGLNGKHKMEGSPVPLVVKWADTEKERLARKAQKAQSLASSLANADPTQHPSLFGALPMGYISPYNGYGYQAAGSYGLMQYRIPPGQNQPSFPNVIPHANQGGTPRNYAVPPAGYTYPAVPGAQYPLAYTGGMMSNQPLSGPPSPVPPPIANNLAATSSSISASPGDQVEGPPGANLFIYHIPQEFGDEELANAFKGFGRVLSAKVYPLSPLVV >KVI03920 pep supercontig:CcrdV1:scaffold_292:216282:223177:1 gene:Ccrd_017787 transcript:KVI03920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVAVDTLMSDFLHKTGGVAVIDGGLATELERYGADLNDPLWSAKCLVTSTHLIRQVHLDYLEAGADILITASYQATIQGFEAKGYSSEDGESMLRRSVEIXREARDAYYQQCRESSSDYTGDGRILKHRPILVAASVGSYGAYLADGSEYRWLDMTSKYVYVNNCXFLALPSYSGDYGTAMNLEFLKNFHRRRVQVLAESGADLIAFETVPNKLEAQLLEEGINVPAWFSFNSKDGXNVVSGDSLAECAAIADSCKKVVAVGINCTPPRFIGGLISTIKKVTTKPILIYPNSGENYDAQLKQWVQNTGVPDEDFVSYVNTWCEMGASLVGGCCRTTPDTIRAIYKTLPSRSASIPVAENLQRESSGHSNINAT >KVI08081 pep supercontig:CcrdV1:scaffold_2921:8943:13249:-1 gene:Ccrd_013550 transcript:KVI08081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVEWKKRRRKLVGVCGFVIRSSLDAENLVDSLNSSMSDEEITRVLKSISDPSEALSFFKSAAELPNLVHGTESCNYMLELLRVNRRMGDMAAVFDVMQKQIIYRNMSTYMTIFKGLGIKGGIRHAPFALTLMRRGGFVLNAYSYNGLIDLLLKSGYCREALEVYRRMLSEGIKPSLKTYSALMVALGKRRDTKNVMGLLREMEWLGLKPNVYTFTICIRVLGRAGKIDEAFEIFKRMDGEGCGPDVVTYTVLIDALCNAGKLARAKEMFVKMKSSSHKPDRVTYITLMDKFGDCGDLESVQAFWTEMEADGYVADVVTFTIYIDALCKVGKVDEAFVTLNSMKSKNILPNLQTYNTMIRGLLRVDKLDGALELFASLDSLGIKPTAYTYILFIDYYGKLGEPDKALETFEKMKVRGVVPNVVACNASLYSLAELGRIGEAKKMFYELKKSGLAPDSITYNMMMKCLSKAGKIDEAIQLLHEMVESGCDPDVIVINSLIDTLYKAERVDEAWDMFHKMKEMNLSPTVVTYNTLLAGLRKEGRVQEAIKLFESMASCGYPPNTITFNTLLDCICKNDGVDLALKFMNEMTYKSCMPDLFTYNTIIFGLTKENRVEDAFWFFNQMKKMLNPDCVTLCTILPSIVKYGKVDDALKITEDFIHRVRNRPERIFWKDLMEGITSEAALDNSICFVEGLISNGTCINDSAMIPLIKTLCKQKKTLDAHALFLKVTKDYGIQPTLEAYYPMIDGLLDDHLHEKAWDLFKEMKNSGCVPDVFTYNLLLGDLATSGRVDELFDLYEEMLCRGCKPNTITHNILLSGLVKSNSLKKAMDMYYDLISGGFSPTPCTCGPLIDGLLKHGKLDEAKDFFDEMVEYGCKPNCAIYNILMNGYGKSGDVETACNLFDRMVKEGIRPDLRSYTILVDCLCLVGRVDDAMCYFDQMKETGLDPDVISYNLMINGLGTVRRIDDALVLFDEMRSRGVSPNLYTYNVLILNLGIVGKIEEAGRMYRELQVMGLEPNVFTYNALIRGYSLAGNPAHAYAIYEKMMVGGCIPNTGTFAQLPNQS >KVI08082 pep supercontig:CcrdV1:scaffold_2921:47425:47811:1 gene:Ccrd_013551 transcript:KVI08082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DREKEREKGKRTCFLARNPVNLTISATVNYEIGLVSFIKEKESWDMNTQEKIEAAGKKKEEGNTFFKAGKYLKIAKRYEKAAKLIEYDTSFGEEEKKQEKALKVSCNLNNAACKLKLKDYKQVEKLCT >KVI02321 pep supercontig:CcrdV1:scaffold_2924:37345:51412:-1 gene:Ccrd_019395 transcript:KVI02321 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC-like protein MTLLMTSYSHGTKATTGILLWSTDSEFDPKILKGLGNMKNLRYLLLDLDFDLEFDEVSQYLPNALRCLCWYRYPFGSLPRTFEANNLVGLYMPESRIVQVWEGGERKVLKKLRFLTFIDSNLKSLDLGLFPNLEKLNIERCYNFEELHIPVECLRLKSLVLKSSKLRTLDLRGIPYLETLSLHGCHDLVELHIPVECLRFKSLVLDSSKLRTLDLRGAPNLKTLKLGVCYDLIELHVHIKCLKLDSINIMSAQLRTFDLGWTPNLKSLVLDSSKLRALDLRGTPYLETLSLHGCDDLEEPHIPVEWLRLESLVLDSSKLRALDLRGTPYLETLSLIGCDDFVELHIPVECLRLKSLVLRSSKLRTLDLRGTPYLETLSLEGCHDLAELHIPVECLRLKSLVLDSSRLRTLDLRGAPNLETLRLRGCYDLVELHVHIECLKLESIDIMGAQLRTFDLGWTPNLKMLILTDCYHLFEAVKVGCASMLHLKAESLEMCPLHLGNNMSNFRFECFYEEEKGPWIGNLEKLISFGLCACTNLERFSQSICGLRYLRKLTLEGGIPESPKDLDRLECLEELNLLSTKITHLPDSICKLKHLKYLKLDRCWFLETLPEDLGRLECLEKLILSECVFLRHIPDSICNMKRLWFFLLPGCIAIEKLPEEIGRLNRHEVELIKLVVKDISSKLPVVNADGNLIGMRTRINGVVSSLNAFPDELSMIGIVGMGGGGKTTLARAVFDQICNELEGSRLEVEQKD >KVI02320 pep supercontig:CcrdV1:scaffold_2924:20739:23995:-1 gene:Ccrd_019394 transcript:KVI02320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVNKKTIMKGLRKMEKLRFLHVITFAKDLEYDEDVQYLPDSLQYLTLKHDIFHVLPKAFQASNLVALEIWGMKQLWEGGERKVLPKLRFLELRWSKLRTFDLGLTPNLEILDLEYSHDLVEFHMPLPCPKLKSLNLNSSKLSTLDLKLVPNIETLNLMECSDLVELHIPCERPQLKFLNVSSPKLRSLDLKLVSNIETLNLNGCCDLVELVMPCKCPQLTSLNLSGSKLRSLDLRLVPNIETLNLHGCRDLVELYIHRECPHLKSLDLSCPPSLRSLNLGPTSDFEILKLEECDYLVEHDMFLECQQLKSLVLDIPXLRTLDIGSIPNLETLKLQGCYNLVELRMHDERCKLRTLSLGCPQLRTFDLGMTRNLEALTLDGHDDLVQLLDSVRYEQLEVINSKLSTLELTRNLKKLILTSCDLVELHIPVGDVMLKSLDIKGCSKLKTLDLGGTPNLESLHIEKCSSLLKLLAPIGGLKKLTNLKAKGFLRFTNLNINTYCYGLLLPSLYLYGKLINTCPLHPNDNFPKFQFDCTHEEDLPLLIGNVEKLISMGSSCACTDLESFFESICGLQHLGSLTLEGDIPEAPKDLDNLQCLEQLAFRSTSIKHLPDSILSLKHLKFLDLFDCMLLEKLPEELGQLECLETLQIEWCKVLRDIPNSICRLKSLKDLSLYYCIRVEKLPEELGRLECSEKLDIRGTSINNLPASISLLGGLKIVRSEDGTTTTTTTTTGGCCTVLYHTIFSLVGTNGSYCTASITGCRDEEGLKMRDVMFMKLSYKNGLSRCRI >KVI02322 pep supercontig:CcrdV1:scaffold_2924:1956:5080:-1 gene:Ccrd_019393 transcript:KVI02322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAGAGYGNMKKFAVVEYYSKVIWNYHHEEAAQKQQPRLAANLPWRDGEYSLSNTVEFCCIPNL >KVI02319 pep supercontig:CcrdV1:scaffold_2924:70074:72000:-1 gene:Ccrd_019397 transcript:KVI02319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPIEWLRLKSLDRLKCLEELNLLSTKITHLPDSICKLKHLKYLKLDRCWFLEKLPEDLGRLECLEKLILSXCVILRHIPDSICNMKLLQYFLLPGCIAIEKLPEEIGRLKCLRELNIEVVWSYIPDTNLKRRSILLRRAVNNILATTTEVSTDDFERLAKHSTILATKSAGLEESTHLSLEVHLDGC >KVI02318 pep supercontig:CcrdV1:scaffold_2924:55798:57642:-1 gene:Ccrd_019396 transcript:KVI02318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein KLTLEGGIPESPKDLDRLECLEKLNLLSTKITHLPDSICKLKHLKDLKLDPYWFLEKLPQDLGRLECLEKLILSECVILRHIPDSICNMKLLQYFLLPGCIAIEKLPEEIGRLKCLRELNIEGTNIRHLPESIFELRCLRIVGYRLLLQSYGLTSPIQTAEDETFFYVELILDNVAVTRTRSCSICQHRYLVGPNVLMVHHGG >KVH91474 pep supercontig:CcrdV1:scaffold_2927:44054:58846:-1 gene:Ccrd_006503 transcript:KVH91474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor, ribosome-binding, bacterial MMTSMKITMNIPPTFFQFKHCNNLNPTAKSNHVHVPIWHTLATINPFCTKRSLDGRPLDSHNSHKSIVSFVRPLPAVEPGVEASISDENLVTVKNANILVELQDDDKMQVKVELSGKETQIVFDKVLTNLARTAPPVPGFRRQKGDRVTKFVIQEINNICVKDNKINTIQSAEELESSFNPGSDFGFNATIELEKPDTESLESSEA >KVH91475 pep supercontig:CcrdV1:scaffold_2927:26712:38265:1 gene:Ccrd_006502 transcript:KVH91475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MAVVENGGSIESNDVDQSESSVLISRSNDNHQSFHQEKNIMTNVNGTSINGNGVASHQQHQMVVNGVDRHDHEEEDFKKEMRDLEEMLSKLNPMAEEFVPPSLSNNSYNRTLVQSPSVAGHFGYAAANDFLLQTNHTAFFNVNGISTGRKKGNFSSGKRRMNSRTNMAQREDAIKRTVHVSDIDQQVTEEQLAALFINCGQVVDCRVCGDPNSVLRFAFIEFTDEEGAKNALSLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESLCGEVYRLRLLGDYHHSSRIAFVEFVMAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRTPRPTMH >KVH89258 pep supercontig:CcrdV1:scaffold_2928:56672:59781:-1 gene:Ccrd_008756 transcript:KVH89258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSPMLSLTSIQAFFPSQTSIQACFLLESSAEGLQIVSFFLLKP >KVH89257 pep supercontig:CcrdV1:scaffold_2928:47116:55145:-1 gene:Ccrd_008755 transcript:KVH89257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVENILHMNTGNGELSYAKNSLLQEIAIRKTMPVLKHTIKSMADLDVTIFSHCFKIADLGCSSGTNTLLVARIIIDIVDEACKENDRKAPQFQVCLNDLYDFRKFLKLRSEEIVCGGRMVLTFLGRSNADPTSDDDEVRDVIHKEGSFSLDSLDVFQGNWDPYDTDYTNVNDFKEDSHRHGKNAAQVLRAVTEPLLTSHFGNSVIDAVFKKLEKHVAEHLANKKTRYFNIEIAIRKTMPVLKHTIKSMADLGINIFGHCFTIADLGCSSSTNTLLVARTIIDIVDEACKENDRKAPQFQVCLNDLYDFRKFLKLRSEEIVCGGRMVLTFLGRSNADPTSDDDINSFNLPAYTPCEDEVRNVIHNEGSFSLDSLDVFQGNWDPYDTDYTNVNDFKEDSHRHGKNAAQVLRAVTEPLLTSHFGNSVIDAVFKKLEKHVAEHLANKKTRYFNIVISLINK >KVI08740 pep supercontig:CcrdV1:scaffold_293:216124:217956:-1 gene:Ccrd_012863 transcript:KVI08740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFTs/EF1B MMFSRGAKQSVENLCRRTSSPIHSRYGYSTENFISEPKRNGTGSFVSKYSSDASHSEHMNLIKKLRERTSAPIKEVKSALIDCNSDLEAAQKELRKRGIVLASKKSSRTAAEGLLALAKNDTKAAVIELNCETDFVARNEIFQYLASSLAKLALSAESSEQVSEHRSCACDFIDATMTKIQDLEAELTQQKAAVEQFRAETTERFENLETTVESLRTEMEKRHAEMMKVVLQQFQALKPPPPPTAAVLSPIFTDCTTRPIMHQSNPIFDENGAPQPPWHATNSTAREPNRPIGTQEIPFP >KVI08765 pep supercontig:CcrdV1:scaffold_293:69222:81919:-1 gene:Ccrd_012879 transcript:KVI08765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MVSQRICVFVCVILVAARRTTLRLQLTLVMVHFVFIGFIFIFDSEFIKKLKRQPWKLVVALNSSYEDYAWYTLVYLLLFVATLVQYFITCGSSPGYVLDAMKDFARADASLRASEISVDHLGETISGDNGTNWTKLVMGMYRHGTSVRSCTCSCCNVVQPPRAAHCHDCDKCVLQFDHHCVWLGTCIGQGNHCQFWWYLFEETALCIWTGIWYMEFLQDYIREAWLIDVIIIIMLVVLSISLMFLLLLLIFHSYLIVTNQTTHELVRRRRIAYMRSIPERVYPFSKGVCRNLYRFCCARSSIYRMEALPSAEEVAQMSIPYSCFDVLSCRCC >KVI08744 pep supercontig:CcrdV1:scaffold_293:198716:201799:1 gene:Ccrd_012867 transcript:KVI08744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type QRPSNFIQHTQRDPLCTRHPSKPSLHPFDHTINQNPDSEMEFFTHPSRSSQISSVTFTNLVVKVKDFFSSAVSAILGNVFSAIFTFFFALVGTLLGALTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGFGCLLYLIDVIVSLISGRLVRERIGPAMLSAVQSQMGAVETSFDEVHNIFDIGGSKGLPEYSVEKIPKITVTSDNNMDDSGERVSCSVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLARSLKIFFSSL >KVI08739 pep supercontig:CcrdV1:scaffold_293:252081:254546:1 gene:Ccrd_012860 transcript:KVI08739 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MNLAPQSSFTAFNDDHTLSLPPSSPGDENFETTWYGNIQYLINISAVGTVTCVLIFLLLKLRSDHRRMPGPTAILSKLLAVWHATGREIARHCGADAAQFLLIEGGSFSLIVLIAVLSVTVLLPLNLYAGTASMVDQFSMTTINHIAKGSGLLWVHFVFVVFVVVLVHYGINEIEGRLRITRFRDGYGNPSDPSTANSSAIFTLMIQGIPKNIGPERDSLVEYFQHKYPGKVYKVIVPMDLCALDDLVTDLVKVREDVTELVNKMESQALFYEDASYGILVDFHDGLRGKLSALWQGLKDLWRRINDELGFSDDEKLRKLQERRADLEMEMAAYKDGRAKGAGVAFVVFKDVYTANKAVQDFRNEKKRRIGKFFSVTELQLQRNHWKVERAPLATDIYWNHLGSSKLSLRLRRVCVNSCLLLLLLFCSSPLAVITALTSAGRIINAEAMDNAQLWLTWLQSSSWLATIIFQFLPNVLIFVSMYIVVPSALSYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESAILKMGRCYLDGEDCKRIEQYMSASFLSRSCLSSVAFLITSTFLGISFDLLAPIPWIKKKLQKFRKNDMLQLVPEQSEEYALEENEDSEGLERPLISPTNGLSPGSPPNGGADLHEQDLSEYPISRTSPVPKQAFDFAQYYAFNLTIFALTLIYSSFSPLIVPVGAIYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDTVLFIMRICVDLFLVSMLLFFSVRGDSTKLQAIFTLAVLVAHKLLPSENDGFQPALLQSIQTVENVIDGPIDYEVFSEPKFEWDTYHS >KVI08754 pep supercontig:CcrdV1:scaffold_293:300954:309132:1 gene:Ccrd_012856 transcript:KVI08754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRKETQRFRRDSFWRLSLKQLPLSGVLVYLLPAKCVRLQETSVHSWPSLHIENLSLENHHHSSVPQNLSYGLKRQPLEMSRSCPTSSALNRLQLAQESSRLQFHIVKKKDLFSWLPCLISLFLGADHGAFRSRGKFSSSIKERGSSHHPRRIMYPAMPHMRNTGRCKSSYRRPYAKAFNDVLVYTLVKC >KVI08749 pep supercontig:CcrdV1:scaffold_293:21695:22310:-1 gene:Ccrd_012885 transcript:KVI08749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISADGLDDDDDADVVLILLSSSSIRRVVGCDLQGKLMDLVWHWMLNLEAAAIFNGIFVMVSGNFSQML >KVI08768 pep supercontig:CcrdV1:scaffold_293:51449:58126:1 gene:Ccrd_012881 transcript:KVI08768 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic MTASAKSHWKKAAKLTVALFFRGFNTSRCKTAAKLAVARIKLLRNKRQVVVRQMRRDIALLLQSGQDATARIRVEHVMREQNILAANEFIELFCELIVQRLSIIAKQRECPADLKEGISSLIFAAPRCSEIPELVALRDIFEKKYGKDFVGAATDLRPDCGVNRMLIDKLSVRTPAGEVKLKVLKEIAKEYQIEWDTTESETELLKPPEKLIEGPQTFVSASSMPVKLASEQYVQPNNPPPQYVQPNIPPPQYVQPNNPPPCRLVSAEAAAESAKMAIAAAEAAAYLASKDSNQSTQQHGFTNDSRYVKNFDLVSSSPAINFTKPSSERSHVSSDSTKYNRRHSYNASGVKFDESDCDEQPEIDDSKMYRRHTYNAPPPGSSVKFDESESECDEEIEMDHDHDHGPPNRPAPRAPPMKQGQQRVHPKLPDYDTLAARFEALKHQHK >KVI08745 pep supercontig:CcrdV1:scaffold_293:204561:206843:1 gene:Ccrd_012866 transcript:KVI08745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MADKQPVSSEPPFPTEKLDDSILQNKYLWLTLVICSIIFFVSLLLCVCKKKKVLTAFRQRIMNNNDKMDAKELMLRKFKVEELEIATNHFAKECLIGSGAFGNVYKGTFEENITLAIKMPHDESYTSIKEFRNEVRLISKVKHRNLVGLVGYCEQTGRRGVQILVYEYVPNGSLLEHIVAHLHEGIKPSVIHRDIKPSNILIGDGFEAKVSDFGLVRLGPAGDNSYVSSQIKGTPGYLDPAYCTSFHLSPFSDVYSFGVILLQLISARPAVDTNRSRSGYHIIEWVRPHLEQGRVEEILDTNLLLEPCNMEIMLKMGLLGLRCVVKEPKQRPTMTQVFKELEAALRSADGFVHRQLPPRSLEASTGRRSLELQDHQVVEHDSSISVDGVGLQRFRVDMESVSLQSTSLRCLEADSFVFHVDDEGKAVEEMGLCMDEYLSMPRD >KVI08758 pep supercontig:CcrdV1:scaffold_293:112371:119127:-1 gene:Ccrd_012874 transcript:KVI08758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MATFFNLSSPPSMVFSHGKLRSCHPPFSFAFPFATELKSDRGWGNGRLVSLQVKCLNDPTRDATESEPTSLSSGSSTSTGISSYSWCAGLGGLGFLETGYLTYSKLTGSDAFCPIGGGSCGDILNSNYAVVFGTLFVYIPIFLVLKTTNSFDLGSSYSLFYFSKNDSGSLGRIVLVGSRSIAATEVKGMELLQTSIPLPLIGMVAYGVVAALALKLAAKDLPSGIDESNGGLILLGTTTSMATASAYFLYILSTQFPGASCSYCLASVLLSFSLFFTTAKILGWQKIREELGLQLCISGLVLAALSNSYSASSLPPARFGDKFLPFSPTEIKAPSSPLAIKLAAHLRSIGAKMYGAFWCSHCLEQKQMFGREAAKLLDYVECFPDGYKTGTELGKECSKIKIEGFPMWVINGKVLKGYQEFPDLAKESGFEAGEFSQELAELAKQSAEANSQPS >KVI08753 pep supercontig:CcrdV1:scaffold_293:301949:309557:-1 gene:Ccrd_012855 transcript:KVI08753 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MTSFRRRVFDRIHAAVSSWEKNHSPCRRKGQHRDVEQEELQCTYTNCLSSYYSVFVARLAIMVMLAILIGLLTLLTWHFTKVYTRTSLNALAYGLRYELLQRPVLRMWGILNSTVEITTAQVKLSEYVIRKYSKPENQAQQVELYEVMRDVTWALFASHKALNSITIKYKNGFVQAFHRDPRDNSTYYIYSDLTNYTMTGPYDVNLSSSSHQGWNDQTIHSNISAIWYREPLNPDTGEKIGKQKAIPPDELINIAGISQVPYGAASWHVAVSKFTHSPLLSAAMPVRDVSQGSIVAVVGVTTALYSVGQLMKELVGFHSGHIYLTSQEGWLLATSSTTPLLRNSSTGPKLMMAVDSQDQVIQTGAKWLLKAYGNKTPPNHEVHIENAKLGNQLYYIDSFFLNLKRLPMVGVLIIPRKYIMGKVDERAFKTLMILISASVCILVIGCVCIFVLTNGVSKEMKLRAELISHLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILMSDECLTNEQYATISQIRRCSTALLRLLNNILDISKVESGKLVLEEAEFDMGRELEGLVDMFSVQCKNHNVETVIDLSDDMPKVVQGDSARVVQIFANLISNSIKFTTSGYIILRGWCEDPRSFIDDENFPLDRKAPWSAPKNKLIRQGSQEKRSCKDNKVILWFEVDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVNKMGGQIRVVKKNGPGTLMQLYLLLGTPVDIPQDNYKLKYADHNLKVVLALNGSMGRVILSRWLFKIGVPTWDASEWNELTHILQELFIPTRYAQNLTYECLKVESISKQEMEASVFIVVIDIGLLNISTDIWKEQLNFLDKFNRRAKFAWVLNHDTSNTIKMELHNRGHLLMVNEPLYRAKMIQIMEAAIKESCLEQQRKMISLRHAIVGGEAHECLEIDPIHSDLESSNGSEKSEINSSGNFQVEGTQRVLSSSRYGTVNDCFLELTEVCSERKKLDMNETFPVAVSSSHNSNSVELMNGGGSQEKGFQYAAKAMNGQKSLEGVRILLAEDTPILQRVATIMLEKMGATVVVVGDGLQAVGALQVLHEADKCKESPLEGGTTTQTKIRDPPPYDLILMDCQMPKMDGYEATKAIRRAEMGTELHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDCKLMASTILSLTKLQPNSHIISSRKQSTT >KVI08760 pep supercontig:CcrdV1:scaffold_293:131923:132858:1 gene:Ccrd_012872 transcript:KVI08760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHTPQGIIPFFSTLLDSCSSTRNLHKLKKLHGRMIISGISRHDFIRAKLVSSYSVCAQFHEASIIFSITNRQSTFLYNSLIRAFSSVNRFSDSLLLFRDMLRAGKALDRHTLPPVLKSCAGVPDFHLGRNVHVLVFVNGFDSDIANSNSLVTMYSKCGDLGSAQKVFDQMPERNVVSCSAMMSGYALYGRCKEVFELFDRMILDGLQPDGPIFTAVLAACSHGGLVEKGKEYLQIMKDFGLRPGLEHCTCMVDMLGRAGRLEEAEAIVEGMDAAPDEVLWSALLAACKTYGKVEVAERLADKIYARRLVLT >KVI08762 pep supercontig:CcrdV1:scaffold_293:158506:158886:1 gene:Ccrd_012870 transcript:KVI08762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MASIYTCNECGTNLNLHTANLFPPDFYFEAGNKGTLSFAAVDSSKFRFEKEDKIKPFFETVNYWGIQRKRTKMICNSCGRLVGYVYDDGPPMMSGTGQFGFGPSQAIPRAPRYRFKIKALRITSET >KVI08769 pep supercontig:CcrdV1:scaffold_293:61118:70076:1 gene:Ccrd_012880 transcript:KVI08769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MEKGGGDDNSGGGGGDGGGIVIDWYAMEKIHGRGSSMCSCVIKGEMQSISDTSWVPRSEFSFLRHVARNRRRKILLRRLWIRSCSRSPLPLSSRSWMVETWFPGQPLARARDEGKTLDDTGSDLLVSRQLMWDLDFENKFGSEERTCAIKKGAGPYGPFGRTDQPVEEPWIFESSVLLRSVRPEDSPSGVTERDKISDDLDVNVAHSNSLTHSTRDRENTASFLHNRIFKPRASKNEYPVEEPWLLQSSLVLHGYDDRTNIEISRNERSLENEAKNLEPENQHPQIAELLSFGEGIWESVGDDTENQETCNEFEEVRVDVSLDDESRVAMQDSVAKVILINSSLCTMQRIAVMENEKLVEILLEPVKNNVQSDSVYLGVVTKFVPHMGGAFVNIGCPRPSLMDTNHNWEPFIFPPFRRRIKQRNVNGSLLVSEQVKIDCIQEIDIRDDSARTMLYDYGEHDMDDELDESEDFSERVSSAVGSGKQINGKRSLHLYNQHTENSKGSNTDESNWGPVQKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWVLMTRFNSIHVSKKIYGLERTRLRVIAKTLQPPGFGLTVRTVAAGHSFEELQKDLDGLLSTWKDITEQAKSAALAADEGVEGAIPVMLHRAMGQTHSVVQDLFNDKVKRMVVDSPQTYHEKRSCAENLGVQETWISWLSFYLLGQLGKRTILFSIRGSSYLNANIKSFWRVQFGLNDRIFMFAVIYVQVTNYLQEIDPDLCDRVELYSKKNPLFDEYEIEEELNNMLSKRLCRNCNFCHSRFDSQIFFFCRVPLSNGGYLVIEQTEALVSVDVNGGQCMLGHGTSQEKAILEVNLAAAKQIAREIRLRDIGGIIVVDFIDMLDESNKRLVYEEVRKAVDRDRSMVKISELSRHGLMEITRKRVRPSVTFMISEPCSCCQATGRVEALETSFSKIEHGICRLLALMERPNLGSPKSWPKFILRVDRYMCSYLTSGKRTRIGILSSSLKVWILLKVARGLTRGAFELKPLTEGTDHGDDDRVAVLTLSPTQAMDAPRRKITIFPIQIRGK >KVI08766 pep supercontig:CcrdV1:scaffold_293:29322:33295:-1 gene:Ccrd_012883 transcript:KVI08766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIRDLGLFVDGQMIANEWIDHSNVTTDLQQTSRFVGERSKLEWQTNLEDHQCICRASSAETNHCKWSQQMAWPPQPRSASGLGREASAGRRQAEAEPQRANQGQRRRQGDEEEELIPGYWHFWHE >KVI08759 pep supercontig:CcrdV1:scaffold_293:101749:103987:-1 gene:Ccrd_012875 transcript:KVI08759 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MSEQLSPEQIKLIDKFNLFKIKGRDKRGRKILRIIGKHFPARSLSVDVLKKYLEVKIFPKLERPFVVVYVHTDVNKSENFPGISSLRSVYDGIPVNVKQNLEAVYFVHPDLQSRIFLATFGRFIFTGGLYAKLKYVSRLGYLWEHVKRNEIEIPEFVYDHDEDLEYRPMMDYGLESDHPRVYGAPAVDSSVAMYSMRCIS >KVI08748 pep supercontig:CcrdV1:scaffold_293:9000:10448:1 gene:Ccrd_012886 transcript:KVI08748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGKIPPSLRTGKNLGLPIRSVVKNVRSSPQEAESGLESKSSAHHSRRKPKLITEKPPKFINGATSHPSPAPPITFTSPDISAAKTLFNSLVATTRSSLLINNRLCNAILQSFSSVSSNIQDSIVLLNHMTKTYPSFSPDKFSYHILLCQSCKKPDSDLSNVNQTLDLMASNGCEPDKVTTDIAVRTLCSTGHEEHAIELIKKLSQVQFTKPDTYTYNFLVKRLVRTRTLSSVSLFIKEMKEGFHVNPDLVTYTILIDNVCNGKNLREAMRLLDVLKEEGFKPDCYVYNTIMKGYCMLSHGGEVLRVYKKMIEEEIEPDLVTYNTLIYGLSKSGKVKEAIKFLHEMAKMGHFPDVVTYTSLMNGLCREGNALGAVELLGEMENKGCDPNECTYNTLLHGLCKARQLDKGVELYGVMKENDMKLESGSYGTFLRALCRNNRVAEAYEVFDYAIESKSLTDVTAYSTLESTLKWLKKAKEQGLAV >KVI08763 pep supercontig:CcrdV1:scaffold_293:134914:141783:-1 gene:Ccrd_012871 transcript:KVI08763 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MADNSSARIVFLHGDLFLKIIEARNLPNMDVVTGHILRCVPFDSCPEDKSPPGTDDLKLRSSGRKIIASDPYVKVSVPQAAVARTRVLKNSKNPRWDERFKIPLAHELVYLEFEVKDDDIFGADLIGTVSIRAHEIATGNVISDWFPLKNSRKDSQLRLEMKFVSCDKNPLYRHGIAGDPDLKGVRDTYFPVRKGSQVTLYQDAHIRPESKMPKIELEGGKAFEHNSCWEDICHAISSAHHMIYIVGWSVFHKIKLIREPNRPLPRGGELTLGELLKYKSEEGVRVLLLVWDDKTSHSKLFVNTNGVMQTHDEETRKFFKHSSVQCVLSPRYGSSKLGLFKQQVVGGAFTHHQKCVLVDTQAYGNNRKISAFIGGLDLCDGRYDTPEHRLFHDLDTIFKDDVHQPTIPVGTRAPRQPWHDLHCKIDGPAAYDVLLNFEQRWRKATRWREFALIAKRMAHYQDDALLKIDRISWIDSPTNPTPITGDHTVVPEDDPLIHVTTEDDPDNWHVQTKVFKQHISKQSDQLNISSISKTNISSDHRMHGHMKTRCMLSCQLLGADNLIPMELAQKIASKIRSKERFAVYVVIPMWPEGIPTSATVQEILYWQTMQMMYNVVAQAIKSMQLDAHPQDYLNFYCLGKREEVPFRMNPSSADDKVSDSQKFKRFMIYVHAKGMIVDDEYVIIGSANINQRSLAGSKDTEIAMGSYQPHHTWAAKKRHPYGQVYGYRMSLWAEQLGLRTLEKPYKEPETLECVKIVNKIAEDNWKRYTDENFRTLQGHLLRYPLQVDAGGNVNFLPGYENFPDVGGKVMGAHSPTLPDILTT >KVI08743 pep supercontig:CcrdV1:scaffold_293:208323:210504:-1 gene:Ccrd_012864 transcript:KVI08743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKFIVNDTINVKTLLDELSKEVGCRVKIGNFLRVEGQPDGPKGSGMVQPLFNSFIDFSSFPNPNGLKKGPPGYAGFPDPENPFSRPKKYCFPMEPGLYQSWNLRCSAKPMSAISITNRVPAGGMTPVWNHGRAVSAGMRPSFPKISGAMAPAAPSMANRPLMTSP >KVI08755 pep supercontig:CcrdV1:scaffold_293:284814:292705:-1 gene:Ccrd_012857 transcript:KVI08755 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGCCYSRLERDEMVSRCKARKRYMKQFVKARHAFSASHSMYLRSLRTTGSALLQFATAETTLHHPQHRHSAPTLPSPPPPQTPTPHAPPPPPMSPTSETWTTSTTNTASTPLPPPPPPPSSTWDFWDPFMPSSTRSGTVDDEEWEDRSTTIASETAVTTTTVAPPPSGVSGFSKISASTATTSEMALVVSTKIKDLVEIIKELDEYFLQAADSGGKLSALLEVPACTFPGQRSSGKIHEYGKNLSPLFGSWSSTSKMNMLGKLGCDEMVGDPVVGGGGGGSHCSTVERLYAWEKKLCQEVKNVESLKIEHGKRVEQLRKMEVKRADYMKTEKAKKEVEKLESRMMVSSQAIESTSHEIVKLREEELYPQLVELVKGLMIMWRSLYESHQVQMHIVQQLKYLHLVPSTDPTSEIHRQAVLQLEVEVQQWHISFCNLIKSQRDYVQSLTGWLRLSLFQFGKTSLSQTKQDSAIYTLCEEWHLVVDNAPDKVASEGIKALLTAIHAIVVQQAEEQKQKKRSESAFKELEKKMVELRALERKFGPFSGSSSGRDPVREKRGKVESLRAKTEEERGKFEKSIGVTRAMTLSNLQIGLPHVFQAVTGFANVCTHGFESVYNHAKRPENVQDKSREKEGRKGGEREFISILHHPPNVSTALFPGETEDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELEHYAPGVPIVLVGTKLDLRDDKQFFEDHPGATPITAAQGEELMQTIGAPEYIECSSKTQLNVKQVFDAAIKVVLQPPKAKKKKGKGQKACSIL >KVI08764 pep supercontig:CcrdV1:scaffold_293:84982:90340:1 gene:Ccrd_012878 transcript:KVI08764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MDDSTELKCPDNNTGNPALTMTTQVAAILVLSHVFQIFLKPLGQPAPLAQILAGFVLGPSGLSRSPRIHVFFFQNFAVDFYESLALYCRISIMFLMGLEMDVPYLMRNLRPASIIAFGGCFMCTVFAAAISYFVYDETGSRGSHFTLALVITVILANTASPIVFRLAADLKFATSDIGRLAISSSLIGDMYAVFILVLVSGEKHKRTVNGWVLMAFCSLLLLVGIIIFTTHLVNWLNRRNRNQKNLQALEVMIICLVILVAAMTLETMGFSSIIACFLMGSTFPRGGKSARTLLAILTYMVHNFIFPIYFGYTGFKADTTYINELKKIGIVVMVIVLSIGGKILGTLSACRYLKTPLNEGVLLAVLMNMKGHVLTSAIFYNLMVSAVVISSLISAALIGFLVRRESNTIGLKHIPMEFHSPDKELKLLACVHSRHPVNTMVRLIVSLRGSDDVPISPYLMHLIELPEKIKKSSSYYEPEEDEFSDDEDYCSNDVVEINEAVDIFIEETQMMIHQVQTIAPFFSMYQDVCDFADDIRASFIVLPFHKHQRIDGKFENDKEGVRTTNQKVLRNAQCSVAMLVDRGHTTTGTQAAGSESLQQIATLFFGGPDDREALGFSKRLSTHHHTSLTIVRFLPTSAKDPNVRINVDQKDDDKYVENGVQTGMILRDMAETYSMFVVGKGGRGDCLLTTGISDWEECPELGVVGDFLASSEFDISGSVLVVQQHR >KVI08741 pep supercontig:CcrdV1:scaffold_293:231157:234237:1 gene:Ccrd_012862 transcript:KVI08741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEFYPYPSRSSPSSSQISSFTFGNLVLKVKESFSSSVCAIVGNVFSAIFTFFFALVGTLLGALTGALIGQETESGFVRGAAIGAISGAVFSIEVFESSLLLWQSDESGFECLLYLVDVIVSLLSGRLVRERIGPAMLSAVQSQMIAVETSFDVVSNIFDIGGSKGLPEYSVEKIPKITVTSDNNVDDSGERVSCSVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLVRHGSCPLCRRDL >KVI08738 pep supercontig:CcrdV1:scaffold_293:235803:240134:-1 gene:Ccrd_012861 transcript:KVI08738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MLSKLINILKACWRPSSDRYYDGGSDAVGRQDGLLWYNDIGKHLNGDYSMAVVQANMLLEDQSQIESGSLSFLDSGPYGTFIGVYDGHGGPETSRYVNDHLFQNLKRFTSEQNSMSVDVIRKAFQATEEGFLSVVAKQWTVKPQLAAVGSCCLAGVICNGTLFIANAGDSRAVLGRTVKATGEVIGIQLSMEHNASIESVRQELHNLHPDDPQIVVLKHNVWRVKGIIQISRSIGDVYLKKAEFNREPLYAKFRLRDPMRRPILSADPSISVHEIQPNDQFLIFASDGLWEHLSNQDAVDIVQNHPHNGSARRLVKTALQEAAKKREMRYTDLKKIDRGVRRHFHDDITVVVVFLDSNLVSKVSSWKGPTLSVKGGGINISRKTPATPPPPSTATDHGGT >KVI08756 pep supercontig:CcrdV1:scaffold_293:95477:98241:-1 gene:Ccrd_012876 transcript:KVI08756 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1990 MVFLSWIRPSSKEQKDCINKSGTFNYDGKYRGATAKPLSMLKEDKELSRDGFLINHSKILVGSGSDAYEKGKIALQNWRHFGLSWTFVDPKTPIDNGVKFCVCVKELLPWVMMPLQVVYVNETRSRKSAVASFGFAGGTLRGHLLAGEERFSIEMDGNKQVWYEVLSFSKPAHVLSVIGYPYVVLSQKYFAHRSSDAVRKFVSNK >KVI08750 pep supercontig:CcrdV1:scaffold_293:22153:32540:1 gene:Ccrd_012884 transcript:KVI08750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MAAASKFSIQCQTKSISLPCRSHPTTLRIEELLNRIKTTSASSSSSRPSAEIITSGLSQLTELYKCMDDLLNSSTIRVLMARQQNEKWVDDLIDESVKFLDICGSIWDMLSQIKEQIRDLQCALRRRNGELSSENGIAKYVCFRKKMKKDVKGLVASLKQVENMTSGVSVVVDSDSHQLAAVMKAVLGVGEMTISVFESLLMFFSMPAVSKTNRWSFVVSKLIHKGMVACEGQQKQGTVNELERIDAALQSLCKYRSSSEGGNVQTAQSRLELLGAQIDSMDSGLECMFRSHPSTLRIEQELNAAKTNAMVAKASAETICSGLSQLMLLYKCMEDLLNASATQVLIARQKNVKFVEDLIDESMKFLDVCGSIGDMVTQMKEHIRDLHCALRRRKGEFSSENSIMKYNCFRKKMKKDIKGLVASLKQVDNIACDGFLVVDSDHHQLAAVIKAVIGVSEITVSVFESLLSFFSLPISKPNRWSLVVSKLIHKGMVSCEDQEEQGVLNELGSIDAALQTLCKYGSSTQLDDIQIAKRRLERVLSELECMETRLGCMFRHLIRTRATLLNIVSQKMAVSSKFSIRSQVKSISLPCRSHPSTLRIEQELNTAKTDAVAAKPSAETICSGLSQLMKLYICIDDLLNLSATQVLIARQQNVKFVEDLIDESVKFLDVCGSIRDMVTQMKEHIRDLHCALRRRKGELSSENSIMKYNCFRKKMKKDVKGLVASLKQVDNNMTGDGSFVVGDSDDYQLAAVMKAVIGVSEMTVSVFESLLSFFSLSASKPNRWSLVVSKLIHKGTVACEDQQEQGVLYELDSIDAALQTLCKYGSSTQLDNMQIAKCRLERVEAQLECMETRLECTFRHLIRTRATLLNIISQ >KVI08757 pep supercontig:CcrdV1:scaffold_293:92633:97198:1 gene:Ccrd_012877 transcript:KVI08757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAMASRTRNPELLVVCIALFGVLTTAAKGTASKTMGPVFIFGDSTVDVGTNNHLNCTARPLPFLALVEDHSNFTGAILNGVNFASGGAGLAKDIGQVFGEVISLEEQIQQFATVRGNITALLGESRGDLLLQSSMYILSIGSNDIMTYIFTHSMTPELFITNLTDTYAIHIKNLHNMGARKFGILSVAAIGCCPMARAYNGGPCGDEPNDLARAFYVSVQSLLQNFSSTLEGFKQKREARTSKCPLSVPPAKPKEATADLRLLVSLTYTTCKGIMTHGSNSFTQTQNFTPLSIGVFGSTNVQLNPKCLSNIQTKKQTST >KVI08746 pep supercontig:CcrdV1:scaffold_293:163372:165643:1 gene:Ccrd_012869 transcript:KVI08746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MASKGRLHPRGPSRNSSSPTLIFSILIICLFFILILLALGILSIPSTSRNYPNAHDLSSIVHRITNADRSEGSDGRVDHWAEVISWEPRAVIYHNFLSQDECEHLIKIAKPHMEKSTVVDSVTGKSKDSRVRTSSGTFLERGQDETVRAIEKRIADFTFLPVEHGEGLQVLHYEVGQKYEPHYDYFLDDYNTKNGGQRMATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDASPDPSSLHGGCPVIKGNKWSSTKWIRINPYNA >KVI08751 pep supercontig:CcrdV1:scaffold_293:279493:282230:1 gene:Ccrd_012858 transcript:KVI08751 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGKVSPKEKMSKTSKQTSKQKRRIHGSNKYLKPGALAQLRNSKASASKSCTDIGKKKVDVMDEEKTDRDILFQDKCGNDIPIILSPEKFRYNPVAGPVDLLKENNLQRTPRTPRPEGNGSDYDSRLESLPMELLVKILCHLHHDQLRAVFHVSERVRKAVVIARQFHFNYTTPDRSRQEILCTSTPLPTEHWPFLSTPKAPRHGPRPPSRLKLTEMRQIAAVLFQESSAFPPRYIVPSLLPKPICKSFASNRVLFYDEELELCQAVAQNKLR >KVI08747 pep supercontig:CcrdV1:scaffold_293:166799:180108:-1 gene:Ccrd_012868 transcript:KVI08747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase large subunit, alpha/beta/alpha MKTLEKTGGGEFGKYYSLPSLNDTRIDRLPFSIRILLESALRNCDEFQVTTKDVENILDWQNTSPRKVEIPFKPARVLLQVRQGVTSLHFICNHMNDFTGVPTIVDLAGMRNAMDKLGGDPSKINPLVPVDLVIDHSVQVDVYKSENALQANMELELERNKERYSFLKWGANAFDNMLVVPPGAGIVHQVNLEYLARVVFNTNGILYPDTVFGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQAMSMVLPGVVGFELSGGLKDGVTATDLVLTVAKMLRQHGVVGNFVEFHDSKLRDLAGEGMSEIALPDRATIANMSPEYGATMGFFPVDHPEREMVFSSHLKLDLQDVKPCVSGPKRYYLVVAENSYWYPPWLSHVPHDQVLLNEMKADWHACLDNKVGFKIPAQLRHGDIVIAAITSCTNTSNPTVMLQAGLLAKKACDLGVEVKPWIKTSLGPGSKVVTKYLEKSGLLRYLKQLCFHIVGYGCTTCIGNSGDLDESVASAITENGIVDIDFETEPIALSRDGMEIFLRDIWPSSEEVADIVQSNVLPDMFKSVYETITEGQPTWNNLHVPSSRLYKWDARSTYIHEPPNLKDISMSPPGPCKVQDAYCLLTLGDSITTDHISPGGSIHKDSPAAKYLMELGVDKRDFNSYGSRRGNDEVMVRGTFANIRLVNKLLEGEVGPKTIHIPSGEKLSVFDAAMRYKGEGQDTIVLAGAEYGTGSARDWAAKGPKLMGVKAVIAKSFERIHRSNLAGMGIIPLCFSPGEDAETLELTGRERYTIDLPKDINKITPGQEIIVKTDNRKSFKCTLRFDTEVELAYFDHGDILQYVIRSFIGSKTGERACKSN >KVI08752 pep supercontig:CcrdV1:scaffold_293:259907:268924:1 gene:Ccrd_012859 transcript:KVI08752 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Lupus La MAAMNVQSGDGGLNSPTTQRGVASAWSQIVRGGGGESEVVSPVLVATVGSAPAVPVPAPASPSWSSFQEHASNFSPDWSPSKVVQEAVSSPDDSGTEGQPDGSDNSGGSNASKKPVWNRPSNGVVEVVSPVMGAAWPALGESTKASPKSSSSESLKALSDGPLPPALQVTGNSSPSQKQASANNVNSTSTPNHVAPARQRSMKRGGGISSANVSANGVVSQQPPINQDSVAETLHSTSGKPGTAAEQPSPRDHTHKDSQRGGFGSQSHSGNDHHHQRGSYRRGNGGQHLRDGSYHHNYGGKRDHDRGNQEWNQHSRSFNNRDTHLQSQRGFSRGYIRPSVHTSAPFIPPPMPVPVQSFGNNMMYPDVASPVIYVPGPPPPDSLRAMPFVAPLPPPMYFAVPDPQLHAKIVSQIDYYFSNENLVKDTYLRRNMDEHGWVPISLIAGFKKVLYLTDNVQLILDAMRTSTIVEVQGDKIRRRNDWMRWIMPAAVAAAAHVQYSNPSSPQAVGRSPNHEGLASQLEGVALHEATRVPVETNFSRSNSEEFTGGEETATIEVSGFGHAAAA >KVI08767 pep supercontig:CcrdV1:scaffold_293:41789:48337:-1 gene:Ccrd_012882 transcript:KVI08767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSSRTRENDDQESLETRNNCLKQILMSISSNPNYEPTRQNSFEAEQGVTGTPAFPGFWYWYEDFVEPRYEDEWIVDLTCYEV >KVI08761 pep supercontig:CcrdV1:scaffold_293:124058:129540:1 gene:Ccrd_012873 transcript:KVI08761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VESCLDATTLEEAKIKFRDLGFLSATKWLTRLEEGIHAPLCMKHHPGPGPGPGLDLDQDPGQGQEAGLYRDQDQEVVAEAINPGNTLYVTGLSTRVTQDQLEEHFSKEGKVANCFLVVEPRSRISRGFAFITMETLEDANRCIKHLNQSVLEHRQITVERSRRKRPRTPTPGHYLGLKNTRDTGYRGDRGRYRGGSGRDDYGYRRSPRRSPPPYRGGRNYSPPRRSPPPYRGGRDYSPPRRSPPPYRGGRDYSPRRSPYYGGRSRRERTRSPPYSPYRSPERHYGRR >KVI08742 pep supercontig:CcrdV1:scaffold_293:207678:208950:1 gene:Ccrd_012865 transcript:KVI08742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKASVILIGYATCKSPIGTPDLQVEDEAATNRVGPQTSLCYRCVPFFELRVAVAVAGIEEDGNTSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEVINGRFAMLGAAGAIAPEIFGKLGLIPAETALPWFQTGVIPPAGTYSYWADPYTLFVMEMALMGFAEHRRFQDWYNPGSMGKQYFLGLEKGFSGSGNPAYPGGPFFNPLGFGKDEKSMKELKLKEIKNGRLAMLAVLGYFIQGLVTGVGPYQNLLDHLADPVNNNVLTSLKFH >KVH87583 pep supercontig:CcrdV1:scaffold_2931:19077:36050:-1 gene:Ccrd_025138 transcript:KVH87583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MKYNQGALLPWKIIQLPHGELVGLFFLRQNPESIENLFFCLNRYYSIPIGRKNRITPWGYPALGKRSRKRNKYSDNLILRRRTLTAKEKVSRFIAITNSRIEGFNPQ >KVI06805 pep supercontig:CcrdV1:scaffold_2934:14386:18657:-1 gene:Ccrd_014840 transcript:KVI06805 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGLTSNEKSLHIVMFPWLAMGHLIPFLHFSKILAEKGHKISYISTPRNLSRLPKIPPKLSHIINLVSLPFPKVESLPELAESSMDIPHQKAQFLKIAFDLLESPLVTFLETTTPRVDWIIFDYASHWVPSVASKFGVSTAYFSLFTAATQAFLGPPSLLDGKIIRSTAEDFCQVPEWVPFESDIVYRLHEVTKYTEGAISNESGVSDTVRFLASVDGCDLVLFRTSFEFEPEWFNLVCELYQKPVIPIGVLPPKNDDFDDYENWDFVKKWLDEQKVGSVVFVALGSEATLSQLEIGELALGLEHSGLPFFWVLRKPITELTQTLPDGFRERVKGRGVVYLGWAPQVRILSHSSIGGFLTHCGWNSAIEGLAFGRVLIFFPVMNDQGLNVRLLSGKKLGVEIPRNEKDGSFTSDSVAKSVKLAMVSEGGEQIRKNAREMKGLWSVMVNTNVQRMSSQKTR >KVH87581 pep supercontig:CcrdV1:scaffold_2936:56394:62973:-1 gene:Ccrd_025141 transcript:KVH87581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MESKMDQYEMMEQIGRGAFGAAILVNHKLEKTKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDIAELMKKSTGQYFPEEKLCKWFTQILLAVEYLHSNYVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSCIGPLPPCYSPSL >KVH87580 pep supercontig:CcrdV1:scaffold_2936:43622:46121:-1 gene:Ccrd_025140 transcript:KVH87580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MLRKNPEHRPTASEILKHPYLQPYVEQYRSSIGLHITSPSKPLNSAHDIRKRRSESQSSNSSFSDKDSVISSEKNIQTGTCTCDHRATITNTVSGDDEHGIDPFLEGTEHDEIDACAVKMDEQEVAKTILNEPKSNIEAKQVKSVKHTKMAMKEGKIRENSSPMRGGRLKTTQHTDTETPSKLPKPTAVYPGWKASPETPPEKSNSKPRQEGNPPSGPARCMEDGLPANPRLQTPPSLLRRPSFPGRIKQLDIDAPDNVNISKKVEPIQNPVTMIVPGTTSQIPGRTKGLSELSQAPGMINSNDLIQTPGRTTGPCGSIQTPGPIIRDTEHTNAQQKLVSKIIQESRVGVPREKQIYSCNSVASSASIQGFEPCDDESTSTELVCPMHEQPNLTGSSHSQAPSSSDISSHQRKIPQSLFHGRIGDDNKSLDSKVADEISRSNVASDVLFPCILESGCDAISPSNTDSVSAGDDKFTVKEFVSHVAADTTSSIVPVSASQMNMLSDGISALQNPTIEKLAPPQLPPAAFGDVIHVIHHSSFRVGNEQFLVESVEKNLEVGKLMNMVRDEPEKTFASPRGSLEPSDRSEMSVKSEVPDSPCSKESSQIQTTYSSEQVKPNPPEIQEEMPPVKESLDITSTRQRAEALEGLLELSADLLQQNRLQELAVVLRPFGKDKVSPRETAIWLARSLKGMMIDDSNRSL >KVH87582 pep supercontig:CcrdV1:scaffold_2936:6508:33835:-1 gene:Ccrd_025139 transcript:KVH87582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQFHQHHHRLQISQMSITPISFSCNLSAATATLCLHSIVSKYFSTSIPKLCTSRSGKIEAISRVSADELKEQWLNSLTCHPLITETGNQIFQHEEGCGNGGILSRNVDSQFVIGIDPDVSGALAVLKLDDSARSAQGRSLSQSCEAQKQSWRSICIPTPEHSKVYDSPNVKVLVGNRVRRRLDTKAMVQLLRSLNLPIGTTAYIEQSLPFPADGKQGWWSGGFNYGLWIGVLVASEVSVVPVPSMRWKQEFKLCGNGSTKVGLRLCSLLHMEKA >KVH87576 pep supercontig:CcrdV1:scaffold_2937:48317:52477:1 gene:Ccrd_025145 transcript:KVH87576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T1A, proteasome beta-subunit MGVGFETVPFLTTFILLPKTSPLFPYSSGFFSQIFCESPTRLIDPQLVSSSLLPANLLILGVSYLKMPSETMDVPKTGFSFDLCRRNDMLAKKGLKSPSYLKTGTTIVGLIFENGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDNISSQLKLHRYHTGRESRVVTALTLLKSHLFRYQGHVSAALVLGGVDVTGPHLHTIYPHGSTDTLPYATMGSGSLAAMAIFESEYREGLTRDEGVNLVTKAICSGIFNDLGSGSNVDVCVIEKGKKEYLRNHLTPNPRTYISSRGYTFSKKAEVLLTKITPLKELVEVVEVGGDAMEE >KVH87573 pep supercontig:CcrdV1:scaffold_2937:7111:30219:-1 gene:Ccrd_025142 transcript:KVH87573 gene_biotype:protein_coding transcript_biotype:protein_coding description:DBC1/CARP1-like protein GYKGFSEVSHRLSGTHNNKRSDFSTHLLPSIALVGNGQRLRRPWRLQFVKEFLLHIRSMYHPRGNNAYGQGQQQQQQQQQQSYSGQSAFGQNLGPDGGDSQMSMSSRHSAMLGGHPSAGAHYGGQYTSMYGSVSLSSALQATGASAKGSGSSALEGRSAYGSSMQESPKFASGDYSVLNKKYGQKGEQMFTDKLSDYPPGDRRQYVERPSVYSVRDSQNEPTARFSDSVAFGHHQHQPDIYDRLDAASALRKELLQAHTLQSSSIEGSSRQADYIAARTATVRHAGQEFLPYSGRMDHDPNTLSMMSGLSHRSQHAPSILGAAPRRDVDDLLYPPSSANSGYGVSLPPGRDYASGKGLHTTPLEPDYPGGILARAGYARLDDRTEVVRHQQRPRDHFDGSHHVLKLRIGVVLLLKKNEENMSASLVDVERDYLSIDKRYPRLFTSPECSKVIVNWSRENLKIPLNVPVSFEHDFVQDDKETQQKEASTAKMVDDPVKSEHGSTRWNAKVILMSGLSRNALEELSSERDYEDRIPHFCNMLRFACLKNGNALMAIGGLWETVDGNDPSVDRSTLVQTALRYAKDLTGLDLKNCQHWNPFLEIHYDRVGKDGLFSHKEVTVLFIPDLSDCLPSVDAWRDQWLAHKKAIAERERRHALKREISQGKKEGLKDKEPGTTKDLKNDAKPEKKKASGLSGSASKLIEKAKGKVKESGKEGVQSNTVMEKKGGVETIGEGDNSEKINPIEVVPVQTTGSGKKKVIRKVVKKKVAEKDKAGKAAEQSDTLVPKKVGETTTNTVAANRDEEASGNPSAVKTFTRKKVTKKVPVTKAVKKEDEGTQSEVIPVKEQENSENKPKNADAGSASGKTTIKKKIIKRVTKRKVVAKVANNKDNVAEEAGITTGEQNLTLGNKESEVKVESNESVSKTKAPSVAPEKNKNGGDLVKNEIKGENNREKEEKKGKVDSGSSSKMKSDNKKLKDGEKSKEGKVRKDNDGKAESKGKEVKDKKKDEEPPRHPGLLLQTKENNSSKVSDFYTIIFTMKCFFITLGYEYVHHAIVISVTVAFIVTFTGFPPGL >KVH87579 pep supercontig:CcrdV1:scaffold_2937:65210:68904:-1 gene:Ccrd_025148 transcript:KVH87579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDYWKRRGLVQLRKLDDSMAQAMWVASSPQEWRERGKKLYYENNFVMATMCFERAGDKMWEKLAKASGLRTSAEQMRGMNPEAASSYLREAATMFESIGKFEPAASCYCDLGEYERAGRIYLDKFGQTEAAAECFTLAGCYSDAAEAYAKGDKFSNCLSVCKKGKLYGKGMQYIEHWKEHANVQNKELEQIKQDFLESCALDYHKRKDLISMMKFVRAFCSMQTKRAFLRSVGRLDELLLLEEESGSFVEAAELVRSWGDVLKEADLLEKAGHYKDATLLILWYVFFSSVWGNGSKGWPLKQFAQKEHLCKKAKSLSENDTDNFYDFVCRELRILSDQQSSLPELKKHLQASQRHESLRGEMVSNRKILDAHLKLNSSKYDWDDELPSDLTQYAENKIFWNRVSVKTLVFYWNMWKDHVVDIFQSLETFDGGEANEYEGHIEFILNYFGLRKQCVNGNVVYLLVSKDADWIKNGGHKGLHQDGKRLTLDSRQLVFAIRFYWQLELVSVGIKVLETLGALHIMSQGSAFRKGTCLLHIFEVSKFLLDCSYLNLTYSNKQTLENYLGFSTIYFDLVFPLDWRSSISADFVSLKETDVSVNLLNEIIRFMIKGNLTYLEIGRVMMICLGSRKPTALYNIIHTGVEWNLSWKSFVEKFQNGCWEDVYVALQNALRDTYRANWRLPGYISPHSFVYLLDRLFFMASFSSGIIFTTKSSFVEWFTHHHFAPNTALSNPPDESFFVVMVREILYNQEETVAWIKKSDMNPRFYHPVLVLKLVMILCLICLKVSDCSEVLRDLLFGMNNIAYLLPKEFLGGLLKRRKSRYLNLNADVVAEAFLTIEDPLLIVSTENASPRIHAPCAMFVDLRRSRDEIMNVLFPKKNTHSDSTSEAPSSNPLPDSNFNVDPADGCKGELVMKWNILDDISEVINGQKGEIQSSFSDYAMIKKELDKIVHAMATALTNAKTCAGEYATLLREVRCAFDELELLSCWLDTSGLEVNLVFSSDETKSLQEVVEGLQSRRPMMDDFLNQFGMREEPQKLVSESRNTSRWQWLRSFIGSGSGAGKTQGW >KVH87578 pep supercontig:CcrdV1:scaffold_2937:60638:63862:1 gene:Ccrd_025147 transcript:KVH87578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MVGGATHQQPQPSQLPPPSSLSSIAPAPTTEEEEFLKRNTDCVYFLASPLTCKKGSECEYRHSDIARVNPRDCWYWLNGNCLNPKCGFRHPVRYILCCFQPLDGLLGAEVPTPVGPSIPQAPVTPTPPYAMSKQGVACIFFQKGFCLKGHLCPFLHGPPNMVNNKVVQPVQPNSVTKPTKMASAGPEKSIQEPKFMQQESVQNPVEFLPPQGKQVPRMAAAPAPARNGGGGGGGGAMKKNIAPPPEEPPRYRPASVVPPPVMNEFPPSRSNHGVYETHYVSDNDGILNGKDVDEYSREPTPGFDVLVDNELGDSEYYPNEEQFGRSRGHDFDIGRSTDYDVDRDMYSERRDYDHYNDEGYAWEDHRTSSERVLGEASNFGRKRFPRDDSPDQFDKSDLRHRISKQRRGNVGGGLRSVVSSEHLRDSRADRMPRTDSHHHHYHRRLDPGSLSSRLRGRIKVPGRSSSPGNENELMVEREIDMGRQHRSRYSPGRPPHVSSNHSRLRDRIKGRSIEDFNDHKGSHGRREMASDNGNEFSGPKRLSELKSGDDHQSLGKRKYPRVESPQSDGNLSFEGPKPLSEILKRKRGGSVVSCVNNEDNNSNGNNEKGKDIPLETMVHSNKEEPVEKQSGSMDGGDSLNCDVNELEKKAGSADEDAMLDQELEAYDGRDGDYDYEQMDGDGEEYNLEEGEEYLEEDDDDGGKKEKEAYS >KVH87577 pep supercontig:CcrdV1:scaffold_2937:54474:59583:1 gene:Ccrd_025146 transcript:KVH87577 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1084 MGRALLGWYDDAVVIYALKDAYSWWDEFNHSHVWQDWIFHVLAALYGIVTLVALVQLIRIQLRVPEYGWTTQKVFHFLNVLVNGVRCVIFVFHRDVQHLRPEIVQHVLLDVPSLAFFTTYALLVLFWAEIYYQARGVSTDGIRPTFFAINGIIYAIQIVLWIIIWWKPIPLLVILSKIFFAGVSLFAAMGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTSICFACFLVRCIMMCFNAFDEAANLDLMDHPVLNFIYYLLVEILPSSLVLFILRKLPPKQVITPYHPIH >KVH87574 pep supercontig:CcrdV1:scaffold_2937:2111:3818:1 gene:Ccrd_025143 transcript:KVH87574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MAEDNEWVKNVSEELKQMGDSSSEMEQWKKRSIYRVPSCVTDLNKKAYQPQSVSFGPYHFGEPNLEAMEENKHRALLHFLKRYKKPFKCYVDAVMEVVDDLRHSYSSLDQKWNQDTCGFVKMMILDGCFMLEILRTATTQVGDSENPDDDVDDYASNDPIFSNHGKLYIMPYLKRDMLMLENQLPMLLLNKIVAVAKDEKDPNQHDDEFVNKMMQKFCSPNTRITKMGKCLHPLDVYRKGLLWENPHHKKKPITKSYHRLVIEEGEEIVRSATELYEAGIRFKKTKSRSLKGISFHGGVLKLPPVMVDDATESLFLNLIAFERLHVGAGNEVTSYIFFMDNIIDHANDVNLLHSQGIIQNAIGSNKAVAKLFNSLSKDITLEPESELDIVHNQVHNYCKKPWNEWRANLIHTYFRSPWAILSVFAAVLLFALTIVQTVYTVFPHSGS >KVH87575 pep supercontig:CcrdV1:scaffold_2937:38683:46703:1 gene:Ccrd_025144 transcript:KVH87575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDTGFHHQHTFALNQQAISFQSSARGSKSEMIMMGDFHGMNGTAGMLFSGNSGTVNSSSAFTRTGTSCDSLVVDSVPELKHRGSLAVEWSVEEQYKLEEALPQYANEPGVIRYVKIAATLRNKTVRDVALRVRWLARKRRKHEELNLGKKLKDKKDKLVESTSKPSIPSISTFNVAPFSVLMNNQVQGDGNHAEALQGSIRHLLEQNSQVLGQISTNICALKDNIDLFIHMKNNITTILNDMRYMPGPPLTVSLNVDLANSILHTKSQTMMFTSSSGMHMKQEPRCW >KVI03358 pep supercontig:CcrdV1:scaffold_2939:46917:48989:1 gene:Ccrd_018345 transcript:KVI03358 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K9 methyltransferase, plant MEDNLGSDSVPSGPIDKSRVLNVKPLRCLVPIFPSGSSATPQSSPFAFVSPTGSFPPGVGHFYPFATNESQRQANGPNHGRSYPIPSPVPLNSFRTPASAATNGGKGTSKRSSKNHGSYNDEYIQNDGFENGFIVDMGDSSDAIRQKRKIRKSMRGTQAVAVSSSEVDIDPLVNHLLRSFNLVDIDPSRQAESDNDLVQRVVIVYNLLRRKIIQLDDSKGVIPGISRRADLRSGTILMNKGARANTKRRVGAVSGVNVGDVFFFRMELCLVGLHAPIMAGIDYLSFKVSGDEEPIAVSIVSSGGYEDDGDDGEVLVYSGQGGVQRSDKLLMDQKLERGNLALEKSLHRANEVRVVRGLKDVASATGKVYVYDGVYKIHESWIEKGKSGCNVFKYKLIRVSGQPEGFTLWKSIQQWRDGVTTRVGVILPDLTSGAENVPICLVNDVDDEKGPAYFTYSPSLKYSKPYSSSKSSLNCRCSNGCQPATNCPCIERNGGYLPYSTAGVLLSHNLLVHECGSSCLCPPSCRNRVSQVGLKLHLEVFKTKDKGWGLRSWDPIRAGAFICEYAGEVVENGNDSDDNYIFDATRSFEPLEPVPTDEPVKLPFSLIVSAKNKGNVGRFMNHSCSPNVYWQPILRENNRESYLNVGFYAFKHIPPMQELTFNYGIPRDQKPGPRRNKCLCGSTKCKGYFY >KVI03357 pep supercontig:CcrdV1:scaffold_2939:1924:2769:-1 gene:Ccrd_018344 transcript:KVI03357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MDQSRSYWIQKDRTCLNSHVLSSFGDSWEEQAFAEDAAGPLGGCIWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLKQISSPKANQVLEPNSSLSRCMLQNPNEICNPNFDLDHTLFSSSSPRPSTVSILTPFTCSFAQEDQKGSTPVLSWSKFESRKRFHLDDLGNQDKSCTKVLEANSTTSKKSNHQIEVHFSGNLNSLVRRRCETPIYNDKDEPNDGLFSKRRRTDDDAHSFFAKNSDTMVNGDEAESLLPVERSPNSTLENLDLELRLGDRPK >KVH91011 pep supercontig:CcrdV1:scaffold_294:38764:43737:1 gene:Ccrd_006951 transcript:KVH91011 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K9 methyltransferase, plant MASLIDLNLYPDPPNTATIATGYATTAATLITPKIEPKLEPLDQPLDVPPQPYLASIPIPNPNPNPTFTLIPQHPTLVPDSNLFGAHPEVDQNNVYSEFHRISELFRTAFAKNMDKYDDIQASDPDSQAIVSVPAENQLSPVVVSSRRKYPARSSELVRVTNLGIQDERYFRDVVRKTRMIYDSLRVLVVMEDDKRRSFGIARCPRARGDLKAASVMKDRGLWLNRDKRIVGAIPGVHIGDVFFFRMELCVVGMHGQAQAGIDYLTSSQSSNGEPIATSVIVSGGYEDDEDAGDVIVYTGHGGQDKNSRQVVHQKLEGGNLAMERSMHYGIEVRVIRGFRYEGSASGKVYVYDGLYKIVEAWFDVGKSGFGVFKFKLVRMENQSEMGSATLKFAENLRTRPLEVRPVGYVSFDISMNKEKAPVFLFNDIDGNHEPMYYDYLVTTVSPPFVYHLGGKAGGCNCVSGCSHDCFCAKKNGGEFAYDSNGLLVRGKPLIFECGPHCRCPPSCQNRVSQNGLRNRFEVFRSRETGWGVRSLDLIQAGSFICEYTGVVLTREQAQLFTMNGDSLVYPNRFGERWAEWGDLSQIFSDYVRPSYPSVPPLDFAMDVSRMRNLACYMSHSSCPNVLVQLVLYDHSNFAFPHLMLFAMENIPPLRELSLDYGASDEWMGKLSICN >KVH91031 pep supercontig:CcrdV1:scaffold_294:32706:33699:-1 gene:Ccrd_006949 transcript:KVH91031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase MTNNSGIGSAPFGVMKIDEEGRIIEFAEKPKGEKLKAMKVDTTILGLDEERAKEMPYIASMGIYEDKFPGXNDFGSEVIPGATSIGLRALIYD >KVH91010 pep supercontig:CcrdV1:scaffold_294:35162:35794:-1 gene:Ccrd_006950 transcript:KVH91010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLETTPPPPPPATASPRISFSGDFLDDANFICITPQDKEKEIKTRTGEFEFLSGDLSSPATMLTADELFFEGKLLPFWQNEKLNKINIKPEKVVAKEAVTMKEDENNNNNKMSWFIDEDPSPRPPKCTVLWKELLRLKKQRTSSVLSPSSSTSSNSSSNSIDDQDSSKKEKQQQVKRIKQGLERTRSSGIKIRPMINPVNLISRFSIT >KVH91006 pep supercontig:CcrdV1:scaffold_294:48079:49298:-1 gene:Ccrd_006954 transcript:KVH91006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein NHPLYHYSISQIYLEIKNHGFDLIHTEKKRKEKKRRRKTTIISQMCSMKPLLLISLFILLSVDQAISAPDNTNLVYKGCAKQPLSDPNGVYSSALSAIFGTLIQQSSKAKFFKTTSGNGQASVSGLFQCRGDLSNVDCYTCVSRLPILMDKLCGKTVAARIQLLGCYMLYEVSGFAQISGMELLYKTCGSSNAGGSGFQERRDSAFSSLESVVGSASGGFYTTTYESVYVLGQCQGDLGTSDCGNCVKSAVQRAQVECGSSISGQIYLHRCFISYNYYPNGTPKPKNPSASASSSSSSSYSSPSSSSSSSSSSSGSNTGKTVAIILGGAAGVGFLIVFLLMARNAMKKHDDY >KVH91018 pep supercontig:CcrdV1:scaffold_294:93603:101342:1 gene:Ccrd_006962 transcript:KVH91018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELSYHLALSVRISLIAGQVSVHGGERSGFGGSTDNESLVSYPFGISSVKLHSSVYVPSFMIVIMSKDKDEIKSKLLVNGNQEKDLIDEEIVDESEKLLLPKKGGLSTNSGKKQKVQWNDDNGDKLTEVLEYQPSEASDIDEEDSDACICNIM >KVH91003 pep supercontig:CcrdV1:scaffold_294:232215:235137:-1 gene:Ccrd_006976 transcript:KVH91003 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MQAGEGTPSVMGSRPMESSIIPYSGHQNMSKQRTSSLLSPIMLLTGHQSAVYTMKFNPAGTMIASGSHDREIFVWHVSGECKNFMVLKGHKNAVLDLEWTSDGSQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDMRQRGAIQTFPDKYXVXXVGFSDASDKIYSGGIDNDVKVWDLRRNEVTMTLQGHQNMITGMQLSPDGSYLLTNGMDCTLRIWDMRPYAPQNRCVKIMEGHQHNVEQNLLKCGWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHSGSVNECVFHPSQPIIGSCSSDKQIYLGEI >KVH91023 pep supercontig:CcrdV1:scaffold_294:275732:292675:-1 gene:Ccrd_006979 transcript:KVH91023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MASRAILRQRRLLSDYLDVSVRGLTNYSESHDCIPTLDHPFKPLNEDTAFTWNQESDNFYQLRLFTPDKFYAITTRHGKLGSMFPMRVGWVSQSIRYSTTVGQSNLGSEDEDNKHRLDKKRKEASPEECDQAVEGLSSAKAKAKAKQVTESHKDAKSILQRTWAMLLGIGPALRAVASMSREEWAKKLTHWKNDFVSTLKHYWLGIKLLGVDVRISSRLLLKLANGKGLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLNARIEYAKFLQDTVKEMAKEIQNSRSGEIKKTAEDLDEFLNKARVRTGAVVSNEEILGFAKLFNDELTLDNISRPRLMNMCKYMGIQPYGTDAYLRYMLRKRLQWIKNDDKMIQAEGGVDALSEAELREDCRERGMLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRSFTVSGKLRPEEVVRAALSSLPDEVVDTVGVTALPSEDSVSERKRKLEFLEMQEELIKEEEEKEEEEQAKMMDSVGSKEDATLKEMIDVTASEAQEQARARALDKQEQLCKVSEALAVLASASSVNREREEFLRLVNKEAVRCYLIFLCFQINFYHSIMDKEGTDGGKEAMKAYKAARKESENSADEPVADEVSSALINRVDAMLQNLEKEIDDVDAKIGDCWRMLDRDYDGKVTPEEVASAAMYLKDTLGKEGVQELISNLSKDKEGKILVEDIVKLGSRAEDVDGSFHSPEWHAARLASLKTSHTVTWEEYKKKQKTVESGLTFVPLRWKFDHAGGGNDGGGGSCGILRKKAFPCLTAFLFFFSRSFFPYDGSPEEEMKKGELEADKDRMMREYRAQLDAERASKLAHGRNHSKSKSTQRKDKDKDLKKRTNKKRKHLRRSSESSSSSSSSESSSSDDDSRESRRSKRKRKDKRHRSKSKHDEEADGPLPLSRFFGSTKS >KVH91009 pep supercontig:CcrdV1:scaffold_294:77808:81654:1 gene:Ccrd_006957 transcript:KVH91009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-SET domain-containing protein MAPSGVTWKRTAKACKAMKPFGISGEIVKSTLKRLLEAYEKNWTYIEEDNYRVLIEAIFEPEVPKVLKQDKFGTFLSCMKTMDTTKIDFHLRDESEEESEPLKKKARLGSQSRSSSPAGTSIPCLSKYASEMQQMVEPDSGIIDIYPPGRSCENDSLPKSLVEGNKSSALAYNNLDNKGNENENVSPCSSEIEIASFFNGEVKLSFSICKPPPIFCIPSLEAVMKQVEDRYRELFQGLGTEFSLFGLLQDICASFMEQWNASEGNKQNRSPTFDNLKEPEKQVDQSGLSNRLVAHTNPIDGPIQIPRAVVSSAFNHLHCVKVLHSDGNYFSGRLRELQMLDIDEFSGSSSLTIFPKENSSVYLCSNHHSVADITRGLEKQEISLINEFNDEMLPAFTYIPKNVNYKTANVNFMLSRVSDGNCFSNCFGDCLYPEVPCDCAAETGGEVAYTTEGLVKKKFLKRYTSISLNPKKMNYFYCDNCPLERMTKRAQSGSCRGHLVRKFIKECWYKCGCVLNCGNRVVQIGIKAKLQRNEQNKDEKHTYPVVLDANWSSEGFLKNEEALCLDATSFGNVARFINHMLFLLPLCHILLLEVCLIGRCVDANMVEIPVEVESPDHHYYHVMLLYL >KVH91020 pep supercontig:CcrdV1:scaffold_294:127114:127679:1 gene:Ccrd_006967 transcript:KVH91020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVSFFEVEKPETVVSRWSRARTRAAKIDPRHHYGHNLQFYYMPNGFIARVDSPFSTGKIKPTLMIDNSVLKTYERGQSSNCSYYISGSTYGKGK >KVH91028 pep supercontig:CcrdV1:scaffold_294:197867:201445:1 gene:Ccrd_006971 transcript:KVH91028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MAPTPCAKSNQAGLLTPSSKTHMKTPQSKHRLNFSNSTKPSPNPNSAALHQPADHPVEVIGRIRDYPDRKDKPISVLQVNSDAHSVRLRTEIGYRDFTLDGISLSEDEDLDGFYKNFVQSRINGVKLGDKCTIMMYGPTGSGKSHTMFGCAKQQGIVYKSLRDILGNGEDEQKVGVGTFVQVSVLEIYNEEIYDLLSTNTSNASGFSIGWSRPNASKVKLEVMGKKAKNATFISGTEAGKISKEIQKVEKRRIVKSTFCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQIGLEAKMQTGKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEMHKTISTLEYGAKAKCIVRGPHTPIKDKGAEDSASDVILGSRIAAMDQFIYKLQVENKIREKEKIEAHKQLMKKEEEIAALRAKLEEAEEKKALAGTSEEEINIKVNERTNMLRSELEKKIEECQKMADQVVEMERRKMEEKISQQQEELEMLRRRLEEIESELTRSRCIKDNENGNRNVSSSMEGSSFAKRLMGIYADEDPGMEKSMDLDKSLDMDMGKRDHKTNKSSIQAILGYPFMDNLKNEEYDDVFVPNSTSKAFLSTVFEEDEEEEDRDKAVDDEVQKEVIEEKTVYSVKSPNNDFNFDIDSLTPSLDAECGQDTTSSRHLRIQNIFTLCGNYRELSQHTATPLTTESPAVDKPENKQMAQVVCDSNSKENCSPLRSSNDKSELVEVVVKWEAASIENGGKVITTLRLVKDATLADLRKLIEIYLAADNQAFTFLALGGDPTGTPVAKEEEAWVLASKLPTCSNNGQKRSQHLACLRSAKKVDLNHIPFSSLENKLPFSSLENKIPLTLLENKSAERPSFLIV >KVH91005 pep supercontig:CcrdV1:scaffold_294:183023:188769:1 gene:Ccrd_006970 transcript:KVH91005 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS, C-terminal MVKFSKQLQAQLIPEWKDAFVNYWQLKKHVKKVKLSRISKLSQTTAYNHDYGLSMFDPVRSFFSRLSCNATDDQPAGQILQVKNTLSEPEPDEAEDETELVDHLYSEEDEVKVFFEKLDEELDKVNQFYSNKESEFLERGEMLNKQLQILLDLQQVLDCRRRSRRLNSSGFLRSYSSSARNSDSDGFDHFLHVETPTEFGESLGEQTDDVISALEKNGINLVGSAKSKKAAGKMGMRIDIPATTPTRTITAVTSMLWEDLVNNPKKEGGGGEFLNRKKLQCAEKMIRGAFVELYRGLGLLKTYSSLNMVAFVKILKKFDKVSNQQSSTTYLKAVKRSHFISSDKVVRLMDEVESSFTKHFAKDDRKKAMKFLRPRQHKDSHMVTFFVGLFTGSFVTLFTVYAILAHISGMFSPGTETDYVETIYPVFSMFALLSLHIFMYGCNLFLWKATRINYHFIFEFQAATALKYRDAFLICTCMMTAVVSAMVLHLILVSNGFSHTQVDTIPGILLLIFIGLLVCPLNMLYRPTRYCFLRVIRNIVFSPLYKVLMVDFFMADQLTSQIPLLRHMESTACYFLAGSFKTHEYQTCKSGKLYRELAYVISFAPYYWRAMQCARRWFDECDVNHLANLGKYVSAMVAAGARLTYARQETHLWLIIVLVTSLIATIYQLYWDFVKDWGLLDSKSKNLWLRDELVLKKRGFYYIAIALNFVLRVAWVETVLQFKVGLFESRLLEFCLASLEVIRRGHWNYYRLENEHLNNVGRFRAVKTVPLPFRETDSDG >KVH91039 pep supercontig:CcrdV1:scaffold_294:296784:298184:-1 gene:Ccrd_006980 transcript:KVH91039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MVMIKHSITLLFVVFAFHYSSSTKVTYNVLKFGAKGNGLLDSRNAFLKAWGLACASTNPAIIYVPIGRYLIASAITFTGQGCKSKSITINIDGTLVAPSTNYYAIGNAQVWIKFYRVNHVTISGGTLDAQGAPLWACKSSGKITCPKGATTLGFYHSQNIVIRKLRSLNSQMFHILLYACNNAKLQGVSVSAPGLSPNTDGIHLTYSTGITILNSKISTGDDCVSIGPGNSNIWIEKVVCGPGHGISIGSLGWELEEAGVQNVTVKTTRFIGTQNGVRIKAWARRSNGFVKDVVFQHATMINVQNPILIDANYCPNNNNCPNQVSGIKISNVVYEDVHGTSATRVAVKFDCSKGKPCNGIKLKDVNLIYGGQPAASSCSYVAGIASGLLRPTSCL >KVH91014 pep supercontig:CcrdV1:scaffold_294:84507:86859:1 gene:Ccrd_006958 transcript:KVH91014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KVH91032 pep supercontig:CcrdV1:scaffold_294:128644:130199:1 gene:Ccrd_006968 transcript:KVH91032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MEFTHLKNLKNDNNNIIIRIRISRMWESLNNKKGGELISLDMILIDEEASESDYENLIHANIWSGLVPKFRTLLHEGVLYEIKNFKVVPSVGNFRPLANDIKIIFQKFTSLKKLEEDTVSKPKNGFQFISAGLVHSRVNDDTILXDVIGCIQAVGHIETVGVGWKKRYVEIITDYSITTRVALWGKLAEDFDATAVKMKAATGPVILIVTCTRVKTFQGVVYFATTSASKIFINLRINYVSFLIERFTTVANGVHFIENTDEKKRRDEDMHLERMMINDLLCATWDKDMKVPFIIVRGTITCIIPSLGWFYKDAKFATNN >KVH91008 pep supercontig:CcrdV1:scaffold_294:72463:76581:1 gene:Ccrd_006956 transcript:KVH91008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MSDKMDNAIVHAKIVGYNNGSPLQAPNKDFESSKEDCLSEIMPREVNPGDVLPSSLKKLQISDFSTQKLFLQHMSGLKKRIPKQIMSLEEKYLRHCLELIHTSAMRANSWPMPSKVNLLPDGFSSRVVGGGSGCDALFLFSSGAENIVISSSSMDPIVGSITGSTSMINLLSSPLLCQLGASDDDINYGNTSAMDVREPLSSVGTSSLGGLSLDSSEGLQKKRVVPEDQNFGSEPVHKRLVSLSSTNSTFSDPSSSSSSSSSSSSSSAYCQGMLHCSWNNGFPRYVFSVEDQREVYTTNLSKVESLRDEGLDYIYMFHSRAGKRENEIHDEESDLVGKMSVSTSFTLCPFGTEIMETQFSLCATGHHSEGGLHTLNQTVRKNKGISKKVANIFRGNHSYRQGTSSKFDGSFVIQDKSLEPSKEGDVFLESSFPSNLELAAIVVKDHIPCSQKKVEVGGWGLKFLKEDGHRKSNASPATQSIPCEPCHHCSSMDVLVPADFHGGAKTKSGGPSSLVERWRSGGSCDCGGWDIGCPLTVLNAGPNNKDDLYQVGVFGKSNSFELFTKGCKQSMPVIEVKNVHDGLYYIQYQSALSALQSLSIAVAIVHSHSPTLKPKVYRN >KVH91024 pep supercontig:CcrdV1:scaffold_294:216626:225035:-1 gene:Ccrd_006974 transcript:KVH91024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase A YDIANSLDLDLDLERPYRNLKSPFIKESHTDNRSGVKISHRSAHTADCRAAMASLIHKHLFPLCKSKSNFYPDIPFVNRPGLSFSLAPKKPSSSSCNPIFCKAVSLKPQEPQIEGLNIADDVTQVLLILKGFDCKTFMSLVLTFFFLMKKQLIGKTPMVYLNDIAKGSVANIAAKLEIMEPCCSVKDRIGNSMIADAEERGLITPGKSILVEPTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLKAFGADLVLTESSKGMKGAVQKAEEIVNSTPHAYMLQQFDNPANPKVLSSFSVHYETTGPEIWEDTKGKVDIFVAGIGTGGTISGVGRFLKNQNPDIKVIGVEPTESNILSGGKPGPHKIQGIGAGFVPGNLHQDVLDEVIEISSDESVETAKQLALQEGLLVGISSGAAAAAAIKVGKRPENAGKLIAVCISSLCSLALVSGIYPPFFFSQSGKSVRQCKQAESWKKKKKKKKKKRNTNTNPKPKMMDADMSCKNLPNLVSSFVDTFVDFCVTGIFLPDAPSPPLLQTSFPSPHRLIAIGDLHGDLLKSKQALRLAGLIDSNDRWSGGSSTLVQVGDVLDRGGQELKILYLLEKLKREAVKSGGNVITMNGNHEIMNVDADFRYVTPSGLDEFTNWADWFCIGNNIKNLCHGLEKPKDLYDGIHSTSFARVKQEYVKGFRSRVAALRPQGPIATRFLSKNLTVVVVGESVFVHGGVLPKHVVYGLERINEEVRDWMTGLKPRVGSSLVRGRNSLVWLRIFSNEVAKDCDCTMLEHVLSTIPGARRMIMGHTIQEDGINGACDNRAIRIDVGMSKGCINGLAEVLEITENSGVRILTSNPIMMYDKHDSLSIDSSIPIPKQVEVEA >KVH91004 pep supercontig:CcrdV1:scaffold_294:236012:242746:-1 gene:Ccrd_006977 transcript:KVH91004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MEMQLDRMRRQRQSSHTILRLTSHLSPLVALTPDPPPTETLKFDITMQPVCSTIPNSYSNSQVCVYGGGCRHFSLPHKAFTIKYIMANDAISRLSNKLHPQGLPFKTQAATYFVQRDEQLNSLRLADQFVEETRSLPRPFYLRNTVIATNLPNDPASASDSLKPDLYSEYLSKTDTEDVFAGINESLSALVCNTDIAITNTLKTITSSVDLASKGVNDAIDISFNNLKLSFSTTLSGLSNNSKGVSSKAAVIAVDGLRHAIISVEELLTLWTTFVVYVYASAKDMLPPELHNVLNSSEERVFNVLRPFGAAFQQVYTVLEGFETSLGINPSDPVVPFVLFLGTSTILWISYWILTYAGYAGDLSPKLTLELLNGKESVALIDDFRERDGIPDLRRTARFRYASVAFPEVDANVKKLLKGGKDLDDALIAAVIRSLKVVREGSKVIVMDVDGSRSKGIARSLRKVEGRTSVQTKSYIQAWSITLRPYLLQGGFRSWVLEGLRIKEPKPETTLTILNEEAEAILEGTTPLQVIGYGVGFLAATYSLLEWEKTLQLIAVIGLGQLGGEAIAWAAGKLETNRNGLPTSPSSVNIQNRVLQAAAKHESQPPESEEPQDTLSRSTTQNPDLSEA >KVH91036 pep supercontig:CcrdV1:scaffold_294:28090:29095:1 gene:Ccrd_006948 transcript:KVH91036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MYWGVMGGAEERWRKGPWTPEEDRLLVHHVNLHGEGRWNSVATLSEAHGIWSTIARSLPGRTDNEIKNHWRTHFKKKSKVSLDESTKLKTKLLKRQKFQQEQRLQQQQLLDQVQQNEADMKKIMSLVVEESTESSPTMVVREEEEEEEESLIHGMILLNGWFYAPAADHQSSMINQDVGMWDGLWNLDDVHGTNFSATYHQAPCLNY >KVH91016 pep supercontig:CcrdV1:scaffold_294:132934:146344:-1 gene:Ccrd_006969 transcript:KVH91016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPATLSLLSFHTLFLSLVFARNVVDFSHNHDDKLLISGGKGGGFGFGGGFGGGSGFGGGGGGGGLGGGHAIGGKGGVGGGIGGGFGGGIGKGGGIGGGHGKGVGVGGGIGKGGGFGGGIGKGGGVGGGIGKGGGFGGGVGGGIGKGGGLGGGIVKGGGLGGGIGKGGGLGGVGGGIGKGGGVQGGIGKGGGFGGGIGKGGGVGGGIGKGGGVGGGIGKGGGVGGGIGKGGGFGGGIGKGGGFGGGIGKGGGFGGGIGKGGGVGKGGGFGGGIGKGRGFGGGIGKGGGFGGGIGKGGGFGGGIGKGGGFGGGIGKGGGVGGGIGKGGGVGGGCEHVLVTPVNVQYLELTRQTVPFYARVRLEREYWS >KVH91021 pep supercontig:CcrdV1:scaffold_294:117791:122961:-1 gene:Ccrd_006966 transcript:KVH91021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MVRENVEQGREGYTEDGTVDLRGNPILRSKRGAWTACSFVVVYEVFERMAYYGISSNLIIYLTTKLRQGTVRSSNNVTNWVGTIWMTPILGAYLADAVLGRYWTFLISAFIYLAGMCLLTLAVSVSGLKPPTCDSGTDCKKASTLQLAVFFGALYTLAVGTGGTKPNISTIGADQFDDFDPKEKAQKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWSLGYGLPTLGLLISILIFLAGTPFYRHKIPTGSPFTKMVRVIMVALRNWNLPLPTDPKHLYELDLLEYSKKGKYRIDSTPTLSVLNKAACVRTDAISPPLMPCSVTEVEETKQILRMIPILIATVVPSTMIAQISTLFVKQGTTLERNIGSFEIPPASLAGFVTLSMLIFVVLYDRIFVRIMAKWTKNPRGITLLQRMGTGMVIHIIIMVVASVTDRHRLSVAKDHGVVENGKQVPLSILVLLPQFILMGMADAFLEVAKIEFFYDQAPESMKSLGTSYSMTSLGIGSFFSSFLLSTVSRVTKRNGHKGWILNNLNDSHLDYYYAFFAVLNLFNFIFFLAMAKFYVYKAEVSDSMVILGQELKSTTTTDHHVVAEKEAASRFNLT >KVH91029 pep supercontig:CcrdV1:scaffold_294:10984:12471:-1 gene:Ccrd_006947 transcript:KVH91029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MASPTCSPDTLAAMFGANTTNATAAAAFICDHFNTVSTKFTDTTYAVDTTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGTPSNGFIGKHNFALQSIPSSVFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWFWSVDGWASATNLDNLFLGSGVIDFAGSGVVHMVGGVAGLYGALIEGPRIGRFDHSGRSIALRGHSASLVVLGTFLLWFGWYGFNPGSFTKILSPYESGHYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRIMSGHWNVTDVCNGLLGGFAAITAGCSVVDPWAAVICGFVAACVLTGCNKLAEKLKYDDPLEAAQLHGGCGAWGIIFTALFAKEKYVNEVYPGKAGRPYGLFMGGGGKLLAAHVIQIVVIFGFVSATMGPLFLILNKLKLLRISAEDETAGMDMTRHGGFAYVYHDDDDQKSGIPMRKIEPAASN >KVH91025 pep supercontig:CcrdV1:scaffold_294:231054:231563:-1 gene:Ccrd_006975 transcript:KVH91025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSHYKTHKLFLLCNYVLLGAASSCIFLTLSLRLFPSLAGSSLILLHIITIAGAIAGCNATKWKWYAAHMVATVLTAIFQGSVSVLIFTTTSNFLGALKSYVREDDAAVILKMAGGLCILMFCLEWLVLTLAFFLRYNAFVEGGSVQTNCGKVEDEEDTKNWPWPFQV >KVH91026 pep supercontig:CcrdV1:scaffold_294:203253:210308:1 gene:Ccrd_006972 transcript:KVH91026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 ARCVADTSRLFKLFVLLGPDRKGLSCENGVICKIEEASVRSAPPTLRAFACSASAWLRCCVWERRIYYSILLLLLHYKSNDNFMASLCAGAEYLLQIVYGAIPKEYLEGKTPVSSAEMAVHILNYLYEKLNDACLVQGGEEDSYRMLLYVFVGCLLPYIEVLDSWIFEGILDDPFEEMFFFANKAIAIDEAEFWEKSYLLRAVQYKKLGVAHITNQFPLTQKKMPGRGATAASKTKGQNERDLVVCPLFFKDIAKEIISAGKSLQLIQHVPITSLALLGKHYENEQCMTQLSLSEIFCLSLTALIGHGDHMSEYFWQNGDFVSAIESYAGTEKLEGNNKSIQGVSCLEKIWYKFLVDALPAKRESEDVNHLVDMNEESVELGVSFEPLVSSFCPENPTITVCQKVLQANGGDWNSLNLSKNYILPPLNDEELRKAIFGGKSKELMTDKGTNYACGFPFGSSEKVRAQHDIKEVEALFLFPTILPSFKEDLIMSELLPFQKNSTLLSKVLGWIQTVEPKSTPLPVVILRECLTFYIKKQVDHIGNQILSKLLCDWKLMDELGVLRDVYLLGSGDLLQHFLTVVFNKLDKGESWDDDFELNTVLQESIRNSADGTVLSSPDSLVVSLTKTDGTSSSGQQTTSFLVSSPRKSRAQVSGINDLDSLKFTYKVSWPLELIANAEALKKYNQVMNFLLRVKRAKFVLDKARRWMWKERGTTTVNRKHRWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAGSLDEVIEVHEAYLLSIQRQCFVVPDKLWALIASRINSILGLALDFYSVQQTLSSGGAVSAIKARCEKEVDRIEKQFDDCIAFLLRVLSFKLNVGQFPHLADLVTRINYNNFYMSDTGNVITAPGSDSINFKLAKTISV >KVH91033 pep supercontig:CcrdV1:scaffold_294:91889:93213:1 gene:Ccrd_006961 transcript:KVH91033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF716, TMEM45 MPEHFIFVFAGVAFLIEYLMNGKGIVGLGELEYSLLGGLSLVCAAACFFLSIRPSAYFADFFLSSGLVLKGTWVLQVGLSLYTDAFAFKGCGKVVIAPAQGNTDVKCDLEEDKLRGMALMNLLFVGHAIMVLIVCFVLLGLLSRKKVRSSDPPMMAQLDSDRMLMHPLPPLEME >KVH91027 pep supercontig:CcrdV1:scaffold_294:213528:216088:1 gene:Ccrd_006973 transcript:KVH91027 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKKGTWFSAIKRLFTSNSKLNNEPKQNKARFKHGSFFPRFREPSSIEKILGEIDQQHNLHLLQQSQPPSSTPTITSPTLVHHEITEPPLQRLSATRIQAAFRGYMARRSFNSKPLTTGLLRLQALVRGQHVKRQTVNAMKQMQLLSLEMGDEYWDDSLITKEEREARLQRKVEAVIKREKAMAYAYSHQLWKTTPNSAQNSIRSGGYPWWWSRLERQFPCDVKNNQFASTPSRATPVSPRLIKKQNNQSPMSSRSMVPPRTRQRMRYSMKDDDSLMSCPAFSVPNYMSPTVSAKAKARPTSNPKDRMPSSPGSERRFSFPPTPNSNGSLNWKKGSGSSRKHKSPGSIGELSMDSAISVERRKAFNRFV >KVH91007 pep supercontig:CcrdV1:scaffold_294:58075:59551:1 gene:Ccrd_006955 transcript:KVH91007 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein EKVSSPLSAQILEFYESELFPETLQNLEVASTSNCCYEDHHHHQSYSSYTTTTTNLSFPAPIDDINATKPPLVDTNSFSIIFEDDNDINLDFTSTNHYQFGHQDQFDLALLQLPLATDLPYPHPSDHVVPVMGPAAACDQHDCLSSMPPSKFMRFNNPSSPANFSFMDPSINSYNYLSGNSNPPLPAAVESPSGIFNGNNLFLGNIEIQPHELDFKGDIFCPDSLPPPYNSNELEALSNESQHHLVNEGGSCATPLALEITSLEAETLRVANKLSTQERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNEEFGENNRTTCSNHEEDTDEDVKSIHVVVKEEEDNLESSEMFAHIQSWI >KVH91030 pep supercontig:CcrdV1:scaffold_294:3108:5663:-1 gene:Ccrd_006946 transcript:KVH91030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MAGLFEKQTEAYLDARPTYPSHYYSMLANRTSSHSLAWDVGTGNGQAAIGGSKCPFLCLCLCLCHHNNSNYNISSHLISSHPLQVAHHYQQVIATDISQAQLKLAKPHPRVRYLHTPLSLSDDDLVKLIGGENSVDLVTVAQAVHWFDLPRFYSVVHRVLRKPDGVFAVWGYNDFDITPEIDAALKGFHDTTLPYWNDNIKHVFDGYQSLAFPFEDMGLGREGKPLKVDIPKQLSFEGVLGMLKSWSAVVTAKEKGVDLLCESVVEGLETVWGGSKRIRHGAYKGFMLAGKPHLDATRNSMAGLFDKQAHDYLAARPTYPPHWFSLLAPHTSSHSRAWDIATGNGQAAIAVAEHYQQVIATDVSQAQLKLAKPHPRVRYLHTPLSLSDDELVQLIGGENSVDLVTVAQALHWFHLPRLYSVVNRVLRKPNGVFAVWGYNGLTVTPEIDAAFKRFHDTTIPYWKENVKHLFADYRTLAFPFQDIGLGSEGRPLKLAIPKQRSFEEILGLFRSWTAVVTAKERGVDLLPESVIEQLENVWGGPKVARPVVYKAFMLAGKPHS >KVH91022 pep supercontig:CcrdV1:scaffold_294:250497:272900:1 gene:Ccrd_006978 transcript:KVH91022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptojanin, N-terminal GGLRATSVVVLTLDSSEVYIVVSLSSRNDTQVIFVDPTTGSLRHDWKLGYDVFNSQDEALNYVTNGSRSNCKSITYARAILGYAVLGSFALLLVATKLTASIPNLPGDGCVYTVSESQWIKVLLQNPQPQGKGEVKNIQELAELDIDGKHYFCETRDITRPFPSHTTVRNPDEEFVWNGWFSNPFRRIGLEHHCVILLQGFAESRNFGSLGQQEGIVALIARRSRLHPGTRYLARGINSCYSTGNEVECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDHDPYKGSARYYQRLTNRYDKRNLDIVGTNQKRIPLVPIVCINLLRNGEGKSESILVQHFEESMNHVRSTGELPNTRVHLINYDWHASIKLKGEQRTIEGLWYLLKAPTISIGISEGDYLPSRQRMKDCQGEVIYNDDLVGAFCIRLHQNGVIRFNCADSLDRTNAASYFGALQVFTEQCRRLGISLDSDLAIGYQSANNYGGYTAPLPPGWEKRSDAVTGKSYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILSPVCQLADLFLIAGDIHATLYTGSKAMHSQILSIFSEEAGKFKQFSVAQNMKITLQRRYKNAVVDSSRQKQLEMFLGLRLFKHLPSLPVQPLHVPSRPFGCLLKPVASILPSSDDGGSLLSFKQKDLIWGASIPQCANGTSIAIPIPGPTSSEDMAVTGAGARLHVQDTISLPFLYDFEELEGELDFLTRVVVLTFYPAVSARTPITLGEVEILGISLPWRDLFASDGPGMRLWEHINKVKKDTNPLPSDVNSNPFAVSLTNDVLPPAKSEKSTSTWVDLLSGDDIISGSISQPVPEAALHDPFLNPFHDHDEANDSPKVSVQDKVPTECGPQQYISCYKMLTASHVFLFVEKIDVSSLNKRFLLGFYMCNLIKVNGLLQANKLGFAEAMKLEIERLRLNLSAAERDRALLSIGIDPATINPNALLEESYIGSLCRAANALALLGHISLEDKTSGAIGLGSIDDNINIDFWNINKIGEGCCGGSCQVRAEPLTSTSSSLSMFSCSECRRKVCRVCCAGRGALLLGSYSSRELPSYNGGSSYTDSLNRSVTTDGIICKLCCHDTVLDALILDYVRVLVSQRRSTRSDLAAYKALDQAVGRDYLPGRTMKSARHGTKVVRHLLKGEESLAEFPFGSILHSIESAPGSAPLLSLLAPLDTVSQESYWKAPPNTSSAEFVIVLGNLSNVSGVVLVLSPCGYSMSDSPTVSKFMPGFYVKYQLRWQFLWKWSSGLLDVQWLAYDNVCKVFTVQIWASNKLQKEERTIMGNWDVRSLITSSPELYGPEKPGDDVLIPRHINFSFRNSVCCRMIWIKLSIQKAGSSSVNLEKDFDLLSLDENPFSDLNRRSSLGGPLESDPCLHAKRIIVVGNPVKIDAGLSISQSSDQISVRNWLEKAPPLNRFKVPVEAERLIDNDLVLEQYLSPATPTLEGFRLDGFSAIKPRVTHSPSSDVNIWDSSSVVWEDRFISAAVLYLQVSVLQDNRNMVVVAEYRLPEVKAGTPMYFDFPRPVTTRRVSFRLVGDIAAFADDPADQDDSDIRGRPLATGLSLSNRIKLYYYADPYELGKWASLSAIDKLQFTFHQWENIPANGEDHVHLTKELLGSCDSIEWQVDELEKTISVAARDPAWFGINEVELGKRRRWTSIARTQVGNVKKAVGGKDLNGKSISNKIGERRELMRVPHSKQQDRTPQYIAGENDDFISSESDRQMLLIKQQDEELDEMSEGIQRIGNVGLTIHEELLAQVTIILAGPIYSIQIGKCSEEKSGYGNEEGWYEGSVDDDIIPTSFIYRLIYFSFLYLEPARNNCTWDMEEAEDECGVEIGGQFNSIHETLLLSIMAHWVTISTATPSPCTGIAFKNSQQSVSSRRDVGLRLVGAVFGYGLIGTNKSADAAARRPPPTPLTEKKDPNISGVLAKVIASKKRKEAMKESMAQLREKGKLINPAAAE >KVH91019 pep supercontig:CcrdV1:scaffold_294:105779:111508:-1 gene:Ccrd_006964 transcript:KVH91019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase LPASFFLFIINPRTKNFPFCCSNLIPIPSYVRLKRSIEMRVAVVGGGISGLVSAYVLSKGVEVVLYEKEEYLGGHAKTVTMNGVDLDLGFMVFNRVTYPNMMEFFEMLGVDIEISDMSFSVSLDDGNGCEWGSRNGLSSLFAQKRNILNPYFWQMIREITKFKDDVLRYLEEAEQNQDMSYNQTLGEFIKSHGYSDLFQKAYIVPICSSIWSCPAQGVMSFSAFSVLSFCRNHHLLQLLGRPQWFTVKCRSQTYVKKVKEALENRGCQIRTGCVVQSVSKLDDDCVIICKDGSEERYSGCIIATHAPDTLKMLGEEATHDERRILGSFNYVYSLCTLGTTDPDGNNKPEKGSDIFLHRDKSLMPQNPSAWSSWNFLGTVDNRPCLTYWLNVLQNIDDHKGLPFLVTLNPPGTPEKMLLKWTTGHPVPSVAATKASFELHHIQGKRGIWFCGAYQGYGFHEDGLKAGMLAASDILNISCQLLNNPTHMVPSLMETGARLFVVRFLQDYIVIGSLILLEEGGTMFTFEGTRKKSPLKVYLKVHNPQFYWKIVTQADLGLADAYINGDFSFIDKKEGLLNMFMVNFLMNGFLLVYINLSRNSITLPFALFLRFSFLTEMYKLVPPHPPKVLRFVAHFTHSNALFRGWWTPMFLTAAVASAKYFCHHLSRQNSLTQARRNISRHYDLSNELFALFLDDTMTYSCAIFKARVDKNHEVLEIGCGWGTLAIEIVKRTGCKYTGITLSEEQLKYAKTKVKEAGLQDHIRFLLCDYRQLPHTYKYDRIISCEMLEAVGHEYMEEFFRCCESVLADDGLFVLQFISIPDGRYDEYRRSSDFIKEYIFPGGCLPSLSRVTSAMAASSRLCVEQVENIGIHYYQTLRCWRTNFMEKQSNILALGFNQKFIRTWEYYFDYCAAGFKTHTLGDYQVVFSRPGNIAALGTEPITLTSVFSLN >KVH91012 pep supercontig:CcrdV1:scaffold_294:44295:46845:1 gene:Ccrd_006952 transcript:KVH91012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLHTEPSFSIYADEDGCLENQKGDLGTKTLEGMDSCGFTFAKRSMGLIVEGDEDDLHKFKNLGTEEENAKDQIEPPSPKMYLATGLGIDGMGGFNESGDVEEEYYYKMMMSEGDLTGAEDYYFRATIKDPNDGEILMQYAKLVWELHRDQDRALSYFERAVYAAPGDCNILAAYASFLWDIDQVQDESYSGRRKLTTTCCNWAWG >KVH91035 pep supercontig:CcrdV1:scaffold_294:114143:117454:1 gene:Ccrd_006965 transcript:KVH91035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mammalian uncoordinated homology 13, domain 2 MEDHLHLHLHLSWPFGTLQSLDPDDIRMTAYEIFFTSCRSSPGFGGRNAIQFYSSETTAEAAQGSPSKAGHGVGMAVTSKIKRALGLKMLRRSPSSRRSNSCGSNPLSPGNSTSGIGFSTVPGSRSRRPFTSAEIMRQQMKVSEASDNRLRKTLMRTLVGQMGRRAETIILPLELIRHLKPSEFNDAHEYHVWQKRQLHILEAGLLLHPSIPLDKSNTFAMHLRDIIRTSDLKPIDIGKNSETMRTLCNCVVSLAWRSADGSPADTCHWADGFPFNVNLYVALLHSIFDLKDEPCILDEVDELLELMKKTWTTFGINKPIHDLCFTWILFQQYVMTGHSENDLLSASLTMLTEVANDAKKVDREPVYVQILSSVLTSITTWCDKQLMDYHQTFSTDNIGVMEHILPLLFTATRILEDVTAVTSDSAGNKVDQYTRSSLKNAFSKMVGNRNVISRSTPSHQVRETLVGLADETEELAFKEKKSFSVVLKKWHPISAGVAAVTLHSCYGVLLKQYLACNSDITHDMLTVLQRADKLEKALVNLVVEDSVECEDGGKTVVREMHPYEVDSIVLKFLRQSINGRLKKVKDVLQRAKETETWNPKSKTEPYAQSAVELMKQSRDVLVSFFEIPIAISQDLVQEFADGIEKILRHYTTFVASCGSKQNYIPTLPPLTRCSRGSKFMKLWKKATPCAVAAGMSPYHLGLEEEGNHPRPSTSRGTQRLYIRLNTLHYLISQLNTLDKCFSISSNKIVPASHQHQQQQQQNHRHRHPHFEQTRSAIMAATQHVAEVAAYRLIFLDSNSVLYGSLYVGHVVNARISPALRVLKQNLTLLSAIVTERAQPLAIKEVMKASFEAYLMVLIAGGSTRCFTRADHEMIEDDLKHLKRVFANYGEGLILEDVVDKEAETVEGVVDLMGRSSEQLVEDFTVVACEASGIGVGSGQTLPMPPTTGRWSSSDPNTMLRVLCHRKDRVANLFLKRTFHLAKRA >KVH91038 pep supercontig:CcrdV1:scaffold_294:299325:304874:-1 gene:Ccrd_006981 transcript:KVH91038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESVIDFKALYSKKKLYDSAFLLPAKCDINKVISAEETDNRDMLESVSSDPSSLIPSTAADSIQPQPSASSRRPSIRVTTEFDSDTSLFFHKVSCKLFHNLAKLKLCFQNNNEGHVSHPQLTFTSKHLSCRYDLHEYNALLNGSFEIAPGLQLTAAHDVKAQLGEVTMAADLAPACKLELTSPFPSVGLPKTTLRFPFGEVSLEENEDLEEEDASPKLSVSGIFKGQVLNGICNAQYRDDNLNLRYSYKDELTTFIPSISLPSNALSFSFKRRFGPSDKLSYSYYFDTNCWSAVYKHTIGKEYKVKAGYDSEVQLVLAKKGIAMTRCLTLKTEDGCCAIEEHKESRSSAIEIFHQEKGGRLIIRLPFTTMAVLLSTGAWIRLKVGEEDGKAKTAPMKMKVQLMLQVPQDDVRSSALMFRVKKRWDI >KVH91013 pep supercontig:CcrdV1:scaffold_294:44913:46850:-1 gene:Ccrd_006953 transcript:KVH91013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NVDQAEKKVLPKVLFGSPPSLTATKINLSFTRIFLFKSFSFFFFFFFFYGVSDLQMYPKVKVKVKVKVMVRQEEQLPELHIQSLSLYHHHHPSKSPDAGHNLNTKVNTNKPTSLLLRPRAVLSSPENDAMHATKNKTETHHSGFKNHNLRQNRHVKCNKSYQLENKNKSSSWEQ >KVH91017 pep supercontig:CcrdV1:scaffold_294:101782:104264:1 gene:Ccrd_006963 transcript:KVH91017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDSDQGKLFIGGISWETSEEKLKDYFGNYGEILQTVVMRDKISGRPRGFGFVVFADPSVLDTVLQEKHTIDGRTVEAKRALSREEQQVSKAGNASAGRSFGGGGGNMRTKKIFVGGLPPTLSENGFRQYFEAYGQVTDIVVMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLNGKQVEVKRALPKDANPGGGGGGRSMGGGASGGGGNYQGYGASGGNSGSYESRMDSNRYMQSQNAGGGFPPYGSSGYGTPGYGYGPGSNGMGYNGYGSYGGGNPGYGGPAGAPYGNPNVPSAGYASGPSGAARSSWNAQPSSGYGGAGAYGNAPWGASVAAGGGGGSQATAPTGQSPSGAAGYGNQGYGYGGGYGGNDGSYGNPAGYGAVGGRIGSAPNSNSTAGVGPGGDMQSGGGSYMGSGYGDANGNSGYGNSGWRADPSQASGNYGVQGNGGQGGYGGYGGAPTRQA >KVH91037 pep supercontig:CcrdV1:scaffold_294:305481:312210:1 gene:Ccrd_006982 transcript:KVH91037 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MTPKTLSVTSFSPFLLPHQFQTPKFCNSSRPIISCRAIISQQNQTPLSVSESQGTGAAAPTRGDIFLERQQLLAESALDIEKKKKKKRKEKTSSSLKAATSTYSCYGCGAPLQISEMDAPGYVEPETYELKKKHHQLRTILCGRCRLLSHGHMVTAVGGNGGYAGGKQFVTAEELREKLRHLRLEKALIVKLVDIVDFNGSFLAHVRDLAGANPIILVVTKVDLLPKETDFNCVGDWVVEATMRKKLNVLSVHLTSSKSLVGIAGVVSEIQKEKKGRDVYILGAANVGKSAFINALLRMLSHKDPVAAMARKYKPIQSAVPGTTVGPIQINAFLGGGKVYDTPGVHLHHRQAAVIHSQDLPALAPRSRLRGQSFTKQLVLDHWVSEKMEFNGLTGFSIFWGGLVRIDVLKALPESCLTFYGPKALKLHIVPSNKADEFYKKEVGVLLSPPSGEQVADWVGLETVRQLKIRFDDTKRPACDVAISGLGWITVEPVSQTLKEASLNLVEEEAMEISLDVHVPKPVEIFIRPPLPVGKLGGEWYQYRDLTEKEEETRPKWYF >KVH91034 pep supercontig:CcrdV1:scaffold_294:90920:91746:-1 gene:Ccrd_006960 transcript:KVH91034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSITATAFYSSFHGSWGNSIAGEDYPTMLAKTTTTWVRVGNPLRFGPMMGNVNEGKGLFARVVVVTRNIVGKKRFNQLRGKAIALHSQVITEFCKSIGADSKQRQGLIRLAKKNGERLGFLA >KVH91015 pep supercontig:CcrdV1:scaffold_294:87547:90689:1 gene:Ccrd_006959 transcript:KVH91015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4E (eIF-4E), conserved site-containing protein MATDGAAVEITEKQSHKLERKWTFWFDNQSKPKQGAAWDNNLRNGYTFETVQEFWCLYDQVFKPSKLPANADFHLFKAGIEPKWEDPECASGGRWTVTSSRKPILETMWLETLMALIGEQFDEADEICGVVASVRQRQDKLSLWTKNAANEAAQVESILILVNEGSGYRCWIWRNHDVQAAMMILRREHQKVDITYKVMLKISYPQMSKGFADLLQTKWHYADLLHAQSEVIQSFGKVPLLCDLMLMVVAAMECKYEHDESDDLSLSF >KVH87572 pep supercontig:CcrdV1:scaffold_2941:49837:53763:-1 gene:Ccrd_025149 transcript:KVH87572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MAIITEEPDTSRSSPKKPKLKPSSPSSIPNPFTFWFYFTISISLLTLVFVTISSLTTQQDPKTWFLTLPTNLRNHYSNGRIIKVQATPHSDSIEVFTTQDGPIDSSRNVLIVHGLGCSSYIFSGVVKSLGKKGVHAVALDLPGSGFSDKYETVTEEKVIGGFGRLLELYNEIKEKGLFWGFDQLVEKGYVNYDYEENEIRLSKVESLKAIEVGPEEMGRVLGQVIDTMGLAPVDLVLHDSAFSLGANWVAKNLGLVSSVTLVDSTSNQTAFPLWVLKFPVVREVVSGFGFVFKNVIQACCSKSGGGSDAESHRLLLKGRNGLKPVVGMGKKINSSFNIAEWGKLDGVKDLPMQVIWSEQWIKQGEQVAGELTQATFVTHSGGRWPQDDTADELSESIYEFVSRLAKPVKVAMKKERIPERIRQMVDEATANVHHHGIGGHDHSHGHGYSQEVGYPSAYGLGNEFS >KVI08027 pep supercontig:CcrdV1:scaffold_2943:55448:58875:-1 gene:Ccrd_013607 transcript:KVI08027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 21, chloroplast MAAAQMTTAASSSVFLPSFEGLRPSTLKASSVSFRMGGGLTLGSTRGLVVKAAATVAPKYTTLKPLGDRVLVKIKAAEEKTTGGILLPSTAQSKPQGGEVVAVGDGRTIGQKKVDIGVKTGTPVVYSKYAGTEVEFNGSNHLLLKEDDIVGILETDDVKDLKPLNDRVLIKVVEAEQTTAGGLLLTQTTKEKPSIGTVIAVGPGPLDEEGHRKELTVCAGNTVLFSKYAGNDFKGSDGSDYIALRASDVMAVLP >KVH97730 pep supercontig:CcrdV1:scaffold_2944:30249:32879:1 gene:Ccrd_000161 transcript:KVH97730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MAVATIFLLLLTLSTTSDSAPTFTASIPANFTASHFQFIDQSGDFLRSINRTYTAAIANPQPTSPSFYLLIYHSQSHTVVWSANRNNPISNSGQLRLSNTGLAIYDDSSVRVWSTPPIASTVASLQMLESGNLVLVDRFNSTLWQSFDYPTDTVVSGQRFPVGGSLIASKSLGDFSEGDYTFEMTAGDGILQWQSLTYYRLLMDSKSIKNSNQPFSYLMVNGSGFYLFGDPGSGLAAQVLITAATGDSDYRILKMSTDGYLIVMRYTNNVWVTDFTTPADDSCRTPSRCGKLGVCSTGGDCSCPPGFRGDRSSSGCSPSDSSLSLPESCGGNRTQRRSNSSENYIYIQLGNEMKYFSIGFINPIKEGVDLSSCEDLCSANCSCLGFFHGNPSGSCYLLENHLGSVTSSSNNGVGDPLGFIKAISSSSSSRNVDDNSNSDFPVIALVLLPASGVLLISIFTIWILRRRRRSKKMVLRSKKSMNNSFSGELEVFSIAGLPVRLTHGDLVEATANFGTQIGSGGFGTVYKGVLRDKTVVAVKKITALGAQGKKEFGTEIAIIGNIHHVNLVKLKGFCAQGSERFLVYEYMSRGSLDRTLFGSGPPLEWQERFEIALGTARGLAYLHNGCEHKIIHCDVKPENILLNDNMQVKISDFGLSKLLSPEQSGLFTTMRGTRGYLAPEWLTNAAISDKTDVYSYGMVLLELIQGRKNCVQATNHSSGNPTSSTDGRSSGSSGSRNHPRPRSFYFPLHALEMHEECRYLELVEPRLAGRVAKDDAEKLVKVALCCLHEDPSLRPTMANVVGMLEGVLAVGEPRLECLNFLRFYGRRFTEASMVESGAEAEIPDGVMVANNDNSSSATASLNSFSYMSSQQVSGPR >KVH97728 pep supercontig:CcrdV1:scaffold_2944:41344:45003:-1 gene:Ccrd_000163 transcript:KVH97728 gene_biotype:protein_coding transcript_biotype:protein_coding description:t-SNARE MSEVFEGYERQYCELSTNLSRKCSAAASLVDEEQKSKEIEELQSGIDDGDVLIRKMDLEARSLQPGVKAMLLAKLREYKSDLTKLKREVKKLASAKSNQTAHEELLESGMANAHMAAADQRDRLAMSTERLNQSSERIKESRRAVFETEELGVSILQDLHQQRETLLNSHTKLHGVDDAIDKSKKVLTAMSRRMSKNKWILGSVIGALVLAIIFILYFKLSH >KVH97731 pep supercontig:CcrdV1:scaffold_2944:24623:28263:1 gene:Ccrd_000160 transcript:KVH97731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQRFAVCIVVIIGLLSTIAQSIRFELESGHTKCIAEDIKSNSMTVGHYSIVNPNEGQPLPESHRITLKVTSAYGNSYHSAENVQSGQFAFQAVEAGDYMACFFAIDHQPALKIPIEFDWRSGVAAKDWSNVAKKGDEL >KVH97726 pep supercontig:CcrdV1:scaffold_2944:15042:19380:-1 gene:Ccrd_000159 transcript:KVH97726 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MDVRSENSGGQVVRWAKIQGQVIQRTRLHVWFIRVCSSIVVWTCLVQILAVGELWQPKLLTSFSNRMNDPGRLSIQVEKTISSLPPLPPSRNYTSNGFLRVSCNGGLNQMRAAICDMVTVACLLNLTLVVPELDKTSFWADPSDFEDIFDVGHFINSLRNEIRIVKRLPKRFNRKYGFQPLIMPPVSWSSKKYYLEQILPLFSKHKVIRFNRTDTRLANNGIPLDLQRLRCRVNFQALKFTPRIEALGDKLVHILREKGPFVALHLRYEMDMYAFPWWREKEIVSEERRSQGLCPLTPEEVALVLRAMDFDKNTQIYIASGEIYGGQKRLATLRTTFPQIVKKETLLDPEELKQFQNHSSQMAALDFIVSVASNIFVPSYDGNMAKLVEGHRRYLGFKETIRLDRKRVVELIDLHHNGTLSWTEFSGAIKMAHERRRGQPDDRRIINEKPKEEDYFYANPQECLCNATSCGNDI >KVH97729 pep supercontig:CcrdV1:scaffold_2944:35890:40760:-1 gene:Ccrd_000162 transcript:KVH97729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESLSSVSTSIVLPPGTPLRHRHHHHRRRIYLRSSSFSISCFHPDHRHRSRSISSVTFSNPILKPPGSRVDVMICKLNAVGKGGVGGSKGEDEEEEDEEVERALRLDGTIPGSSNEFVEQVSSRAYDMRRHLQQTFDSSSYDVLEANPWREDSKAVYVLTRRENQLCTMKTRMNRSEVERELGMLFSKGRKLRNQTKKPAATKFQMLVEDVRDGVLVFEDESEAAKYCDLLQGGGQGCEGVAEIEASSVFDLCQKMRALAVLFRRGRTPPLPESLKLNLSARKRSLEDQDD >KVH97727 pep supercontig:CcrdV1:scaffold_2944:49717:62310:1 gene:Ccrd_000164 transcript:KVH97727 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MIVSGLLTSVGINFALCIIFFVLYSVLRKQPGNYKVYAPRLLAEGKTQRPSRFKLYRLLPTAGWLKSAWQPSEEQLLSYSGLDAVVFMRIIIFSFKIFTVAGFIGIFILIPVNCSGNQLQDIDFANITNNSLELFSISNVNNGSNSLWIHLGAVYVLTIIACYLLYTEYKYISSKRIAYFCASELQPHQFTILVSNIPVPSGSSIGNSVENFFTEYHPVTYLSNVVVHRKSKIWTLTNAAKDLYRMIINFRKVPTKPQFIRDGFLEHEVNLINHHEKKLDDQEANVRTDDSREEVRAAFVSFKSRYGAAIALHMLQANNPTQWLTEQAPEPQDVYWPFFSTTFMGRWISKLTVIGSCMLVTVLFLIPVFIVQGLTNLAQLETYFPFLKGILTMSIVSQVITGYLPNLILQLSLKIVPPIMKIISSAQGYISISEIERSACHKVIWFTVWNVFFANVLSASAFRLLFIFLEVKDIPSKLAVYVPSQASFFIAYVVTLGWTSTSSELFRVVPFIGSLLCKPFARNSDDDVKVPSFPYHQDIPKILFFGLLGFTYFFLAPLIIPFLLGYFSLAYIIYRNQLLNVYAPKYESGGKFWPTVHDTTIFSLVLMQFIAFGIFTLKKLPYATTVTIPLPVLTLLFNEYCRKRFLPIFMAYSTETLLKKDREDQHHPAMPRFLEQLRAAYQDPALVPANYNANHDRLVEPLITTAEIRG >KVH87570 pep supercontig:CcrdV1:scaffold_2946:42440:62934:-1 gene:Ccrd_025151 transcript:KVH87570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease MHSANAQRWLTSPEQVVASGDLHWDALLQANLQAVPAKCCACSLWIITCRTAIVLGTAIPLGLFIIWNAVILGTITAPEAGMDKITDPLQELLATNGVVGPIVGAFSLLAIATSYIGFVLGLTDFLSDLLKLPSDRSRPLPYLLTLFPPLILSLLDPDIFFKALDFAGTYGVLVLFGVVPAAMSWSDRYTSSSVSSNLQQLVPGGRFTLSLVIGGAGYVIISELIQNLMIKTNIS >KVH87571 pep supercontig:CcrdV1:scaffold_2946:4022:12379:1 gene:Ccrd_025150 transcript:KVH87571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MASMMQPQIILLKEGTDTSQGKPQLISNINACMAVADVVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDVVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSYRIASFMVDFLNSYPCSILHMNIYDLGAIEKIKELAVSIEGKSSDEKRSLLAKCAATTLSSKLIGGEKEFFASMVVDAVFAIGNDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVQSGAKIVLSRLAIGDLATQYFADRDIFCAGRVAEDDLHRVAAATGGTVQTSVNNVIDEILGSCEVFEEKQVGGERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMELSRYLWQHARNIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALAAGWSFAIYFKNKNKKSFSSEGALYGVDINTGGISDSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAGGMGRGRGGGMRGGRGRGMRRR >KVH87569 pep supercontig:CcrdV1:scaffold_2947:934:28343:1 gene:Ccrd_025152 transcript:KVH87569 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDQENVVLQPHVLIFPIPFQGPVNCALKLAELLCLSGIHVTFLNTHHIHRPLLRHTXVLSRFSRYPNFQFQTIPDGVEHDKPVSRDGFMEVMTAVDAVSKPVFREMMISGSLSRXSERPVTVMIPDACFSFAVDVGIETSTPVICFETVSPCCLWSCFLNLPTLIEAGEVPFKGIETSTPVVCFETVSPCCLWSCFLNLPTLIEAGEVPFKGDDLDELVKSVPGTENILRRRDLASFCRSNDISTPVIQLILKEARAIPRAQGPVNCALKLAELLCLSGIHVTFLNTHHIHRPLLRHTQVLSRFNRYPNFRFETIPDGLEHENPVSGDRFEEVMDAINAVSKPLFQEMMVSGHLSSKSERPVTVIIPDGVFNFAVEIGLELSIPVISFETISPCSLWTSNLNLPALIEAGEVPFKGNDLDELVKNVPGAQNILRRRDLAPYCRLSDLSDPEIQRTLREARTIPLAQGLILNTFEHLDVLLLPHMRNLCPNIYTIGPLHSLHKARLTADTEQSSPETTFSNSVWEEDRSCLSWLDKHPPKTVVYVSFGSLSSTTVNQLLEIWHGLVNSGKPFLWVRRPGSITGGYDQTQLPSELIDHTARMGCIVDWAPQEEVLAHQAVGVFLTHSGWNSTMESIVEGVPMICWPFYVDQFVNSRFVEEVWKVGIDMKDKCDMVIVEKAIRDVMDVRQDMFTRSARCWANLSKESISEDTGHVNSMLKLTELLLTAGLHITFLISAKDHDRLFRYTTVHGHLNSYSGFRFHVIHGLYEGPVDTGDKLTILFDSLSKVTTPLLRNMLLDSPVACLIADGIMAFPLDAAKGTGVPVFFFRTVSACTDMDKQIVNVKGMEGFLRQRDLPSFFRSDVNNVTLQQIANVTRRTQESHALILNTFDELEGPILSEIRKYCPNIYTIGPLHAHLKSTSSNNLFEEDKTCIGWLDQQSPKSVLYVSFGSITTLTREQLIEFWHGLVNSGKRFLWVIREDLLLNHGGDNKVPSEVKDVTRERGYIVGWVPQQEVLAHPAISAFLTHNGWNSTLESIVEGVPMVSWPFFADQQINSRFVEAVWKLGLDMKDTCDKTIIAKMIKEVMDVKIEDFTKSANRMAKLARECVSKGGSSYRNLDRLIKDIKEMSVRSTDIQVN >KVH87568 pep supercontig:CcrdV1:scaffold_2947:48779:50268:-1 gene:Ccrd_025153 transcript:KVH87568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKENLFNAPYFTSESDDEDAVITALTRRFSRSASIQQPLYILKEKRVFSASPESTLGWPLSTPSRPPFLKADEDAWNLIYAAAGQVARMKMRMSIATATAGVVAHKNNRGLPGASRAFAHPHCSSWGTSDGDEFFRQRQFCRKRGGNESCGDRSPSFPQSAWPPPQQHRPNQPKPCNVPVMKPVVVGGSGGGCDGGGGGKRECAGTGVFLPRRYCNKPPESRKRLACSPANLPARMIQSSSITPPDEIEARINGGFIPQYGNKWQGVGSSSGGATTTSRAASRNQWRTTQKCSSLKNGPTENGKE >KVH96710 pep supercontig:CcrdV1:scaffold_2949:24458:28439:-1 gene:Ccrd_001200 transcript:KVH96710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MLEKLIAEEAITPNGFTHINNPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTTKEVRKRVYVCPEKSCVHNHPSRALGDLTGIKKHFCRKHGEKKWKCAKCSKCYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEETARVTAASHFNNVTPAAVGNVNYHFVGPPVLAGPTMAQHFSSIFKPISANRPENHLDSTRQGALSLWTSTDNNNDNNDHDNLQDMHQLDPVLYADPHQNHPPPSHYQSNWGVFGVKTTSDHEVNVSAPSLFSTQNESHQTHPTSSMSATALLQKAAQMGSTSSATTNHSSFLGSFGLKANSNITTATATLVQNENKFCGLYGANPMMNGVLGNDLENEFSSLEQLQMYPPSKRRHIQIDQEHHSGNNGGQTRDFLGVGIQPICHPRMRFDHV >KVH91167 pep supercontig:CcrdV1:scaffold_295:123966:139462:-1 gene:Ccrd_006827 transcript:KVH91167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNVMRRLKSIASGRSSVSDPGGDSSIKRVKVEQEVESHVIGGPQSVDQRTDDVEQHMDATSTDMAASTSNTSSIAKPEKSDFDELPKEMHEMKIKEDKSEDKLLVKQEIEATVVNGNGTEKGQIIVTTAKCLETGESVAIKKVLQDKRYKNRELQIMRLLDHPNVVPLKNCFYSTTEKNEVYLNVVLEYVPETVYRVSRHYSRMTQHIPLIYVQLYTYQVNPHTHQLKLCDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTNAIDMWSAGCVLAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPHYTEFNFCVHSNKKKENSSSCNSNKPVCSK >KVH91166 pep supercontig:CcrdV1:scaffold_295:153936:161136:1 gene:Ccrd_006826 transcript:KVH91166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYENRKKGLIPINSLPPVREATKLEMETRIDFVRWLDIDVVLKILMCLNDPADLIRASAQLCTKTFPQLASITHVTEPSDNSDDPSGGTSEDSIFRREHRAYASLFQAVASFPARFCIASPVSASSTDNYPEESIVNTLDSRDQILVRASYWSSKGHDDPELPETLIYKLNANFCPCSKWGLQSTHQDLCDSEWVILNRDFLDSQEDANDKFVWTYTSQTFPMAQENRVQWFKLPEPVLCIGGFLQIDVAHVQAIGCQLSPAFSVDISEPSNTISLEYNSKEFMRISQDPSALGTNLLPVQPPRGFIWENLQEFVDMIQLQQNVMEFAWINDDFEMDEFDPVALMM >KVH91151 pep supercontig:CcrdV1:scaffold_295:18313:18657:1 gene:Ccrd_006833 transcript:KVH91151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLAQRVMDNFCAGVSASTVYKWNKLCVNNVDEDVGVMTRQSVDDPGEPPGIVSSAATSVWLPMSPQRLFNFLREERLRSEWDILSNEGPMQKMAISPRAKITATASPSFVLA >KVH91158 pep supercontig:CcrdV1:scaffold_295:113919:118359:-1 gene:Ccrd_006828 transcript:KVH91158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSNNEITVLELAILALEIDSLHLQPRALLIFTRIICCHSSTQNPRIYWPFHSIEEHPSSIRVSFLTPFGYFHKFTTFSAIGSTPLLMSMEIPSHPPTSSSEEHTNTSNIDATPLLSTTTSAISDQIFRSRQFVRGPPSLRGAARFLRRASSRRMLREPSMRVRESAAEQIEERQSDWAYSRPVVILDLIWNLAFVVVSISVLIMSRKESPVMPLRSWIVGYGLQCLVHMGCVYVEYKIRYQRRVAEFGSGSRVEVGNGHSSASSISVNDGGENVDGGSASVAKHLESANTMFSFIWWIVGFYWEGATKDDIDRLPKYQFKRINDFEKRSEEIQEAFGGLMIECDTDAPIEHALPREDAWLYINATCPLCKFNILKNGNQGSDDV >KVH91165 pep supercontig:CcrdV1:scaffold_295:161738:165674:-1 gene:Ccrd_006825 transcript:KVH91165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MVEDHDKENKVMVDLRSTEEWLSYANDLVSVAVEKARAVNGFPGRWKTIVSKLEQIPSRLSDLSSHPCFSKNKLCIEQLQAVSKTLTESIEMAEKCSKDRHEGKLRMQSDLDALLGKIDLNLRDCGILIKTGVLGEVALSGCTLSGFEGTSLGSSNVTELLARLQIGHLEAKHKALDSLVDAMKEDEKTVLPLLGRSSISALVQLLTATSPRIREKTVTLICTLAESGGCDDLLVSEGVLPPLIRLLESGSSVGREKSAIALEKLSVSAEIGQSIAGHGGVQPLAEVCCSGDSVSQASAACTLKNLSVVLDLRQTLAEDGIVKIMIDVLDSGLLLVSKEHAAECLWNFSSGNDDLKRLVINEGGVRSLLSYLDGGLPPEPAIRTIRNLIGSVSVETLISLGLLHRLIHVLTSGTTGAQKAATETLCRISDSPETKKLVGESGCIPILIKLLEAKPNEVWEVAVQAVASLMTVPRNAREVKRDTRSVPSLVQLLDPSPQNTAKKYAVCCLGVLSTSNKCRKLMIAYGAIGYLKKLTEMDIIGSKKLLETLQRGKFRSLFGKI >KVH91153 pep supercontig:CcrdV1:scaffold_295:95520:98905:1 gene:Ccrd_006831 transcript:KVH91153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGEETKTELDLGTIVVDDDVKRQNFPDNFLFGVGTSAHQVEGAWNVDGKGLSIWDCFALRNPDKISGGANACVTVASYSRMKEDVQLLKKMGVNSYRFSISWPRILPGGKVSMGKNQEGINYYNRLIDELLANGIEPFVTLFHWDLPNALEEEYMGFLSSKVVADFVDYVDICFWEFGDRVKHWLTVNEPHMFTYNGYVTGTFAPGRGANCKDSDLETEPYTVAYNLLNCHAAAYRKYEKDYKSFQKGKVGITLDLNFSKPYRGPSNVEDVKAVEYASDFVNGWFLEPLTKGAWPENMQKFATTPTANYPKGRTLPEFSEEQRIKLIDSYDFLGINYYTAFFVQYQAPSASIPPGYTRDCHFVASGSPDMNDTAKTYQEVRDDTYRMEYIRKHLIAIRTAIRNNVDVMGYYVWSFMDSFEWSSGYKDRFGLIYVDYVNDLQRYPKNSALWYKKFLSEKKVVLLKRARVDDEKEDEATDMVSEPEKPSEVVPKLKKAKA >KVH91160 pep supercontig:CcrdV1:scaffold_295:219496:229360:-1 gene:Ccrd_006820 transcript:KVH91160 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MENNYNQSSQYNPYPYGYHPNSAPYPPPSNYPQYPPPNSAPYPPYPQPYPYQSPPPPPAPPGGPPPQPHPVQSEYWYPPPQPYPALPLPYPYPTYGYPPPPPSHYHQESGGGGGDGGGVSGSNPAAIQRPSLYPGEEDISSSSSNPYYPPIDHHLAHLSLSENVPSAPASPPAPAAAETAPPAVTPIYQSVSAKYESESSFYTHRPSDSFSSFEGSYSHSGPLELSQSPTFGHTPSFIDPENSEKFQMVPFGTKKALKVLLLHGNLDIWIHDARNLPNMDMFHKTMGDVFNRLPGSKVKITSDPYVSIGITNAVIGRTYVISNSENPIWKQHFNVPVAHHAAEIHFLVKDSDVVGSQLIGVVAIPVENIYSGSRIEGFFPLINTNGRPCKSGAGLRLTMQYTSMANLSLYHNGIGAGPQYFGVPGTYFPLRRGGRVTLYQDAHVHHGSLPDFKLEQGMHYVHGTCWMDIFDAISKARHLIYITGWSVWHKVKLVRQVPGTPEFTLGDLLKLKSQEGVRAKLKLNNFQDGLMATHDEETRHFFKNSSVQVLLVPRMAGKRHSWIKKQEVGTIYTHHQKTVIVDADAGYGRKRIVAFVGGLDLCDGRYDSPQHPLFRTLSTVHADDFHNPTFTGNLVGCPREPWHDMHSKIDGPAAYDVMTNFEERWLKASKPHGLKKLKTTYDDALLRIERVPEILGVNDQPCLSDQDPEGWHVQIFRSIDSNSVKGFPKDPREATTKNLVCGKNVMIDMSIHSAYVKAIRSAQHFIYIENQYFIGSSYNWSSYKDLGANNLIPMEIALKIASKIKAHERFAVYVVIPMWPEGVPTGSATQRILFWQNKTMQMMYETIHKALIEVGLEDAFAPQDFLNFFCLGNRESAGMIVDDEYVIIGSANINQRSLEGTRDTEIAMGAYQPHHTWAKKLSSPKGQVYGYRMSLWAEHIGLVDDLFTQPETIECVRRVRSLSEANWKQFAADEVSDMRGHLLKYPVEVDRRGKVRSLPGVENFPDVGGQIIGSFLGIQENLTI >KVH91157 pep supercontig:CcrdV1:scaffold_295:296819:305757:-1 gene:Ccrd_006816 transcript:KVH91157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MQKSVSSSSPAAISSTSSEASGEIEREIDTFWSNLMVQFVIKLTRVMKTLERYQRCCFNPQDNNNERETQSWYQEVSKLKAKFESLQRTQRHLLGEDLGPLSVKELHNLEKQLEGALTQARQRKTQIMVEQMEELRRKVQPVHAWGRIISSEEHGW >KVH91164 pep supercontig:CcrdV1:scaffold_295:173399:179790:-1 gene:Ccrd_006824 transcript:KVH91164 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease, C-terminal domain-containing protein MGVGGNFWDLLKPYARTEGFDFLRNKRVAIDLSYWIVQHETAIKAHTRNPHLRLTFFRTINLFSKFGAFPVFVADGTPSPLKSQARIMRFLQASGIDLTSVQLADGTSVERNRKASGEAEGLCAQLNREGREPFECYQMSDVEGGLGLKRNHLIAIALLVGNDHDLKGVQGIGIETALSFVKLFGSGNTLTMTYVDDSSHNSDVNTTRMRVSHCSLCGHPGSKRSHLKDSCECCSSSTIKGCTQKPIGFTCDCSSCDMDKKEKEQTKKEAWKTRVCKKIAAEPNFPNDAIIQMYLSNNHSSFTDAEPFISWKNPSTEMLVDYVAYKLNWEPSFIRQKLFPLLSTVFLRNITKNQETDLLFGQYEFDFIQRTKMRFGHTFYVVIWKKSAKTVDNRIYEAHAEEEAEVEEDLDEVDHVVDIFDEPDVTNVRVDNGCLTTDENRDLVMAAYPEKVDQFMQEKESKEMKSRKKSRAKSPS >KVH91162 pep supercontig:CcrdV1:scaffold_295:202772:209360:1 gene:Ccrd_006822 transcript:KVH91162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion-transporting ATPase-like domain-containing protein MAGQEEIPEATIQNVLEQESLKWVFVGGKGGVGKTTCSSIISILLASVRSSVLIISTDPAHNLSDAFQQRFTKAPTLVNGFTNLYAMEVDPTVEAEETGSSEGMDGFLSDLANSIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLAKMMSLKSKFGGLLGQMTRMFGVGEEFGEDAILGRLEGVESKLLKARMKMQQKYLDQFYMLYDDFYITKLPLLPQEVCGVEALKAFSHHFLKPYQPLLTRGTVEELELRVSKLQQLLKDAESELERAKKGKQPLNP >KVH91159 pep supercontig:CcrdV1:scaffold_295:111001:111459:1 gene:Ccrd_006829 transcript:KVH91159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRHSRDIELTIISAESLSLTGNRRVKKNPFVVIKIESNADEATEMDTGNGPNPTWNQKFFMNMPMNARFLTLEVRCRKFSGDHLIGSAWVPTSDFDGGYFPMNYLHLLSYRLRDRNGERNGIINISIKINNNGQRISQGVAVGVPLHLRY >KVH91163 pep supercontig:CcrdV1:scaffold_295:189677:194008:1 gene:Ccrd_006823 transcript:KVH91163 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MKNNEEQARSLFGISLSVRPKWQQFLICSSGFFFGYLVNGVCEVISAKTLEYVYNRLQFSYGWYFTFVQGWVYLGLIYLQGFTTKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMIMGAFIPGLRRKYPPHEYVSALLLVVGLILFTLADANTSPNFSIIGVLMVSGALVMDSFLGNFQEAIFTMNPDTTQITTARKAVTLLLSYMIFTKPLTEQHCTGLIMISMGIVLKMVPENKPTSVKINEVSPLSSNRNRMENDEEDQRPLV >KVH91156 pep supercontig:CcrdV1:scaffold_295:280067:285218:1 gene:Ccrd_006817 transcript:KVH91156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box QVTFSKRRNGLLKKAFELSVLCDAEIALIIFSPRSKLYEFASSSMKETIERYRSHHLRQLATGMAKQIELLEVWDQPPLKNYYTLNNSWRGVYALLGQERLMQVYYEQIQQLHAKEQTLASENATLNE >KVH91152 pep supercontig:CcrdV1:scaffold_295:64112:67125:1 gene:Ccrd_006832 transcript:KVH91152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGEETMIDFDHTPLLDDGLKRQNFPSNFLFGVATSAHQVEGAWNVDGKGLSIWDCFALRNPDKISGGANACVTVDSYSRMKEDVQLLKKMGVNSYRFSISWPRILPGGKISMGKNQEGINYYNKLIDELLANGIEPFATIFHWDLPNALEEEYMGFLSSKVVADFVDYADICFWEFGDRVKNWLTVNEPHMFTYNGYVTGTFAPGRGANCKDSDVETEPYTVAYNLLNCHAAAYRKYEKDYKSFQKGKVGITLDLSFCKPFRGPSNDEDVKAVQYAYDFGNGWFLEPLVKGKWPENMQKFATTPTANYPKGRTLPEFSDDQLTKLIDSYDFLGINYYTAFYIQYQAPSVDIPPGYTRDGRFKASGNGKLRNDSNGDPIGKQAYVDPTNPMLSWVYLCPDELTELLYLVKNTYNVSKPIIITENGSPEMLDTGKTYQEVRDDTYRMEYIKKHLIAIRTAIRNKVNVTGYYAWSFMDSFEWSSGYKDRFGLIYVDYVNNLQRYPKNSALWYKKFLSENVLKRSMDGEKVDGTGFEAEKAIEVNPKLKKAKA >KVH91154 pep supercontig:CcrdV1:scaffold_295:100960:109792:-1 gene:Ccrd_006830 transcript:KVH91154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MVTSLSHRCSTALRDSISIPGSTTVFNHLPPPLFLRVQSPTGGSAGGGGIQFSKLRCSAAGSETGFFTKLGRLIKEKAKKDVEKIFSGGFSKTRDNLAVIDELLLYWNLSDTDRVLDELEEDALKNSVLDLLTKKGAKTELRLGFRKPAVIMIVGVNGGKLAHRLKGEGASVLMAAGDTFRAAASDQLEIWAERTGCEIVSAEKEKAKASSGRQLDRKWNLHQNVTNSVFVLVIFLSQAVKKGKEQGVDIVLCDTSGRLHTNYSLMEELIACKKAVAKVVPGAPNEILLVLDGTTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPAREKRMNIKRSVPFGTEAQEDYA >KVH91161 pep supercontig:CcrdV1:scaffold_295:213053:214229:-1 gene:Ccrd_006821 transcript:KVH91161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKEVFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRVNYGNFMHGLLKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVPPTPKKQGLSILV >KVH91155 pep supercontig:CcrdV1:scaffold_295:246299:251932:1 gene:Ccrd_006818 transcript:KVH91155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLRRSSGRRLFSTTATAAPSFSNLYTKYSFTPPPSLHENPKNPNTQTHHADNSNKKKKKPLYRPPSSLDRSGQKPVKSDLPFDFRFSYTESSPAVRPIGLREPKYSPFGPERVDRVWTGVCAPAVDPMAGKEEAEKLEVKRKKIRERIQGQELTNAERIALVERFGKNKTKRQINLGRDGLTHNMLNDIHNNWKQAEAVRIKCMGVPTIDMKNVCTQLEDKAFGKIIHRHGGSLILYRGRNYNPKKRPVIPLMLWKPQEPVYPKLIKTTIEGLSIEETKEMRKKGDAFLVEELVRIDCRGLEKKDYKKIGCKLRKEQVVIWRGKNYKPKEGGCFLEDRQSFEGRGTDPCHGIQDGGHGQTAFDSGDDD >KVI03525 pep supercontig:CcrdV1:scaffold_2953:45613:46659:-1 gene:Ccrd_018176 transcript:KVI03525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEKYQRIKQIGRGSYGVVWKAKNLQNGEVVAIKKLMNERFNSMEECMKLREVKALLRMKNHPNFVKLIEVVRENSILFMVFEYMEGGSLFNKMIRTTNPFSETEIRNLCFQVFQGLAYMHDTVGYFHRDLKPENLLVSKDVIKIADLGQARRINGGPPYTDYVTTRWYRAPEVLLSAEIYDSSVDMWAMGAIMFELFTLRPLFQGASSAGVLDEICSVIGSPTETTWSLGLYFAGMISYQFPEFPGVDLSTLLPSASPEAVDLITKLVSWNPCWRPTAEEVLEHPFFHGCASSSSGKVITSPELPMEMVFEQESLKSWESFEIGSERSATPTTEDLVSMLPENPFEFM >KVI03523 pep supercontig:CcrdV1:scaffold_2953:36151:38268:1 gene:Ccrd_018175 transcript:KVI03523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MKDLGFCMGLGTRNLEDLHFKNMRREKDDDGHRKTDERISSSSSSDPSPPPPPPLQLDLLPFSPVLRSAPPPPSHRCFPWLSKNLRADPGSQTKPVKALDVKPLAAVSDQDNGEERVADESSPNNSNSGTSSFQMDFSSIFKSSNSGRSFPSRKRDLELATVNLELIDVSERDQRASSRGGGMSDEDENGLTRKKLRLTKEQSAFLEDSFKEHSTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTDENRRLQKELQELRALKTSQPFYMQMPATTLTMCPSCERVATTTTAAPTTATTSRSQQPATTGSHRH >KVI03524 pep supercontig:CcrdV1:scaffold_2953:58128:62389:1 gene:Ccrd_018177 transcript:KVI03524 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEGGMVTPGFRFYPTEEELITFYLKHTIEGTPRLLQAINRVIPHLHVYDFYPWDLPQYAGELCKGDPEQWFFFIPRQEKETRGGRTSRLTKSGYWKATGSPSVVYSSGNRRIAIKRTMVFYNGRAPTGKKTKWKMNEYKAFQEEVSSTTKPKIKLMQELSICRVYIKSNCLRAFDRRPSGVVVTEPRPIQQSLHNNDHHATTLTYSNHRAAIERTICSSDNNYNSSQDHTSSSGLMREFDDQPLWDWEEHYYSLL >KVI04285 pep supercontig:CcrdV1:scaffold_2954:2341:3171:1 gene:Ccrd_017404 transcript:KVI04285 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MASLDEFSALDLIRQHLLIDDLSFLQTYSVLADHEHEPTVGFPLTSSSSSSSSFKSSVIAQIQTNDPVSDHPFEFGVDPLPMLRTEKTSNNGFNERKTSVNMSFPFHPPTIVKSGVVFKEKDFEDRKHYRGVRQRPWGKFAAEIRDPSKKGTRVWLGTYDTAVEAAKAYDRAAFKLRGNKAILNFPLEIGNSDEAETETKVVRSNSRKRAAGKSEVEVNETRKEVKVEPETADSRAALTPTSWTAVLDGGDGNDIFEVRSSSPYPSIDFTSGCMVT >KVH97337 pep supercontig:CcrdV1:scaffold_2956:11636:39066:-1 gene:Ccrd_000560 transcript:KVH97337 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MIGEGKVVCVTGASGFIASWLVKQPLDRGYSVHATVRSLDDHDPRVSGSQLLFPASVPDFQLLASVSNFYFQLLSANLTEEGSFESAVKGCECLFHTASPVLMEVDDPQGQLLDPAVKGTLDVLKSAAKVPCMKRVIFTSSMAAVVFGGKLLEAGVVVDETWVSDPVICEQKKLWYALSKILAEDAAVKFSKENGLELVVINPGYVIGPFLQPTLNLTSEGIMSLIKTGKEVFSDGIYRLVDVRDVANAHILAFENPEANDDPXKTQHLLALDGAVERLSLFEANLTEEGSFESAVNGCECLFHTASPVIFAVDDPQAQLLDPAVKGTLDVLNSAAKVPSLKKVILTSSMAAVVFGGRLLEAGVVVDETWFSDPVICKQNKSWYSLSKTLAEDVATKFSKDNGLDLVVINPCYVIGPFLQPTINLTSEGIMSLIKSGKELYPDPDGIYRLVDVRDVANAHILAFENPEANDDPXKTQHLLALDGAVERLSLFEANLTEEGSFESAVNGCECLFHTASPVLFAVDDPQAQLLDPAVKGTLNVLNSAAKVPSLKKVILTSSISAVVFGAKLLEGGVVVDETWFSDPVMCKQNKSWYSLSKILAEDVATKFSKDNGLELVVINPGYVIGPFLQPTINHTSKGIISLIKSGTELYPDPDGIYILVDVRDVANAHILAFENPEANGRYCMVGAVTHYSEMMKILDKFYPALGHSKRYEEGKCVVAMPYDVSRAKAEGLGVDFTPLEVSIKDTVESLKEKKLLSF >KVH97336 pep supercontig:CcrdV1:scaffold_2956:40613:42095:-1 gene:Ccrd_000561 transcript:KVH97336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaF, reaction centre subunit III MSFTISTSFFQPLDLKSSHFGSRSKLTSTGIVCSGAGAEDKSKVTDGNASLKALSAALALSSILVSSTVPALPAMADISGLTPCKDSKQFAKREKQQLKKLESSLKLYAPDSAPALAIKATMEKTKRRFDNYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAIRDEKKPTQKEIIIDVPLASRLVFRGFSWPVAAYREFLNGELIDPTV >KVH97334 pep supercontig:CcrdV1:scaffold_2956:67324:71499:1 gene:Ccrd_000563 transcript:KVH97334 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold MVPEFINYAKQRGIHFFPIDVSNPLTDQGPFDCIIHKLYGHEWNLNLQHFTINHPNATVIDPPSAIQRLHNRISMLEPISQLAIPHLNIPNQILVPDVVSLTSLSAINDLSFPVIAKPLLADGGSNAHDMSLVFNNEGLTKGFELEPPMILQQFVNHGGVIFKVYVADDYVKCVKRSSLPDVSEETMEKMGSECGGVMKFSQISGAVTSMSGDEKVTMPAPEFIEAVAEGLRVALGLHLFNFDMIKDDKRDEYLVIDINYFPGYEKLPCYEMVFSSFPDLKSPTHLFQI >KVH97335 pep supercontig:CcrdV1:scaffold_2956:47784:62965:1 gene:Ccrd_000562 transcript:KVH97335 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MNAASLLASAAINIGLAVVVLCLFSILRKQHSNANIYYPRRLSLHHPISFDRSFTLQRFLPSLDWIRDAVRVTEDEILSSSGLDALVVIRFFKFGLAILSPFCLYFFKRVFVFLPCDTVGIVIKFFVVCSVVGLMVLLPLNCSVSPGLSSSSSSMNSFTISNIPRGSNRLWVHFSSLCFISLSGIYLLHKEYKAVLVKRVQQLRNLRHQPSQVTVLVRQIPLCDEHKAFSCSVDHFFSKYHPHAYHSYQILYTAKHLEELPVAFVAFRSRWDAALASQTQQHPNPLLWITQMAPEPRDVLWKNLSIPYKHLVLYRTGVFVAELLFTIFFAIPVTAVQGIAQFEKLKKWFPPAMAVQLIPGLSSVITGYLPSVILSGFVYIVPYVMKVMARVAGYVSRSEQEQKASSMVFYFLMGNVFFMSVLSGSLLDQIGKSFINPRDVPSRLAKAVSVQGDFFMTYILTSGLSGFSLEILQPGLLTWDTMKLHTWGRGKKKTNYLYSFPYYRVLPFVELFVLVGVVYAVIAPLLLPFLVVYFLLGYVVFINQMHDVYETTYETCGQYWPHIHHHVVLAIIIMQITMIGLFGLKSKPSASIATIPLLVVTVAYNEYCKF >KVH97333 pep supercontig:CcrdV1:scaffold_2956:1598:10487:1 gene:Ccrd_000559 transcript:KVH97333 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein GHKKWITGISWEPAHLKAPCRRFVSSSKDGDARIWDVTLRKTVIILSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTRKQYSSPEEMKEAALERYNKMKGNAPERLVSGSDDFTMFLWEPSVSKHPKTRMTGHQQLVNHVYFSPDGQWIASASFDKSVKLWNGITGKFVAAFRGHVGPVYQIRFELRSLLYVININDVQLLTNCMVWDVRTQKLKQDLPGHADEVFAVDWSPDGEKVASGGRDRVLKLWMS >KVI07994 pep supercontig:CcrdV1:scaffold_2958:49388:53408:-1 gene:Ccrd_013641 transcript:KVI07994 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase MPIEMPKGLPFSVDTWTPCSNRKRHRFLTHAHKDHSQGISTHASFPIYATRITKTLTLNYYPQLNESLFVEIEIGQSIAIDDPVETFTVTAFDANHCPGAVMFLFEGTFGNILHTGDCRLTPECLQRLPEKYLGKIPREPKCRLDYVFLDCTFGSFSSKMPSKHVGIRQVVSPDKCVACFHLLKMVVIVITSSFNMKEKEVIDCIWKHPDACVVYLTCDLLGQEEILVNVCQTFGSKIFVDKENYPECFQSLSLIVPDILSQDPSSRFHIFDGFPRLPERAEAKLAEARANFQPEPLIIRPSAQWYVFEGVSSEAEKRKNGQFKEATKDQFGIWHVCYSIHSSRDELEWALKLLAPRWVVSTTPECRAMELSYVKSHCSYSNLASDDPLWKLLDIDVEAPFINEDVQDVSISSSPSLLERSSGARSESSQSFVSNKEILTASSPGKKPSVTLFGRARLGLGNSTVVYEELVKPETTYQNEYIEVQCKNEVGSDRDVSEGTCDESKSKELTYSSPSGLSKGVGENIRKLYRGMHVPVPKPLPSLVDLLNDIKRSKRKFMS >KVI07993 pep supercontig:CcrdV1:scaffold_2958:48068:50465:1 gene:Ccrd_013640 transcript:KVI07993 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit O MTMAYSSSSLSSFPYTKPHTPPPTSTKKGLLPATAGGRGGRDGGNLRFSIKAVKSPESADPPATTTETETETPAAAPKPPKKPVYSRLDYIYEDRGEVLDIRIFETGEYALIAWIGVPTAPAWLPTDMLIKSDKLDYERI >KVI07992 pep supercontig:CcrdV1:scaffold_2958:56300:63194:-1 gene:Ccrd_013642 transcript:KVI07992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MATCTSQSHSVYSGLTQRPGAAGPGPAVLHCLLLFGGSHTRSSFLQLFFPFIISSILHKNPFATFCRTFLDPQKMKSKFIEKNMPAWFGRKSTKNKDNQQQRQRDQEQKLQDNPFFRNNYQGLNSQPSPIKNDNDKKHKTSFDDVLLAHREFSPSSSSSTPLAGAGTYGTSSGFSGFDSDRIAHPLPQPSISPTTSIGIVDHHGSGSVSSSGGSSVSSADEHNLDHGQLGVFRSPGPGSRVGATTSPLHPRFGSTCLDSPNKKLDDGRSECHPLPLPPGSPTSPSSALSSIRTCSSPTSPSSVLSNMKTLSVMENSSCQSSKWKKGKLLGRGTFGHVYLGFNSESGQMCAIKEVRVVVDDQSSKECLKQLNQEITLLSQLSHPNIVQYYGSELAEESLSVYLEYVSGGSIHKLLQEYGPFREPVIQNYTRQVLCGLAYLHGRNTVHSSCGQITNCTSMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWGQYEGVAAIFKIGNSKDMPEIPEHLSNDAKSFIKQCLQRDPSLRPSASKLLDHPFVRDQATSRVANVSLTKEAFPFTFDGSRTPTASEMHSNRTNINSFEGPVATASRGVMLSPRRNTRTIVSLPVSPTSSPLRQYGSAYRSCYMPTPPPSYTMIGQNNHSLNDVLAVPSRPNAKTTLDPWYEIPQAKPHTPTRSPRSRLI >KVH94388 pep supercontig:CcrdV1:scaffold_296:244645:248397:1 gene:Ccrd_003549 transcript:KVH94388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MDDASRTSTPCLDMEGSTLENDILGSKLYISLGLKGQMNQNPGNPKALSFISSLLQKSVEKNETMLQTTQTKDELITVFHGSRAPTLTIQQYVDRIFKYSRCSPSCFIVAYVYIDRFIRSGNIVVTSLNVHRLLITSVMLAAKFIDDE >KVH94410 pep supercontig:CcrdV1:scaffold_296:305860:311908:1 gene:Ccrd_003554 transcript:KVH94410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MLHSMDDGETTMSAYDTAWVALVQDVNGSGRPQFPSCLEWIVNNQLPDGSWGEGLIFLAHDRIINTIPKSIMHKVPTTLLHSLEGVPNLEWEKLVKLQCKDGSFLFSPSSTAFALMQTKDEKCLQYLTNIVTKFNGGVPTAYPLDLFEHIWVVDRLQRLGISRYFKSEIEDCVQYIYRLLRMHGYEISPDVFQQFEKDGKFVCFVGQTTQATTGMFNLLRASQVLFPREKILDDAKKFSYNYLKEKQSTNELLDKWIIAKDLPGEVGYALDVPWMGNVSNNKYLEMAKLDYNNCLAMHQLEWNTMQQWYVDFNIGRFRVSNITSLLVSYYLAAASVFEPERSKERIAWAKTTTLVDAISSLFDSLQLSKEQRRDFVDEFRNTPSSLRPARWQRGDAMEGHALLIVQTVSLIDGRWTSKELLAHPQYQRLSSVTNNLCHEISQSHKSNENRKTCFENETTYRTQEFRMQELVQLVLGNSPDDLDRDLKQLFLTVAKTFFYKSYYDPVTINAHISKVLFETVI >KVH94411 pep supercontig:CcrdV1:scaffold_296:232342:237004:1 gene:Ccrd_003546 transcript:KVH94411 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MATLLSSSTSSLLFSNLSPPSLSPISLSLSLSFSSSASLSSSISLSSLSKRLSLSSKRLSLSSFTVNAAAAASEKKKVLIVNTNSGGHAVIGFYFAKQLLGCGHDVTILTVGDESSDKMKKPPFSRFSHKKAQTDAGRKKPGPGIMLQEIVDGGGKTVWGDVGAIGTVLEGLTFDVVLDNNGKDLDAVRPVADWAKSSGVGQFLFISSAGIYKQTEEPPHVEGDAVKADAGHVAVEKYLSEVFDNWASFRPQYMIGSGNNKDCEEWFFDRKPVPIPGSGMQLTNISHVKDLSSMLTKAVENPTAASGNIFNCVSDRAVTLDGMAKLCAKAAGLPVEIVHYDPKTIGSDAKKAFPFRNMHFYAEPRAAKDILGWQSATNLPEDLKERFEEYVKIGRDKKAMTFDIDDKIIESLKVPVSV >KVH94400 pep supercontig:CcrdV1:scaffold_296:94584:95894:1 gene:Ccrd_003533 transcript:KVH94400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MASSNVQLLVFSVFLFLSLSFAQPSFRPDALVVPVRKDAATGQYVTQINQKTPLVPENLVVDLGGKFLWVDCDNNYISSTYRPARCRSALCSLAGADGCGDCFGSARPGCNNDTCGVSPYNPIIRTATSGELATDLVQIRSTDGSNPGRPVNISRFLFSCAPTFLLQGLANGVSGMAGLGRTRIALPTQLAAAFSFDRKFAICLSSSTTSDGVVFFGDGPYNFLPNIDVSQSLMRTRLIINPVSTTGASSPGEPSAEYFIGVSSIRVNSKSLSLNASLLSIDNQGNGGTKISTVNPYTILETSIYNTLTAAFISEAAIRNITRVPSVAPFDVCFSTSNVLSTRVGPAVPSIELVLENQNVVWMITGSNSMVQVNDNVLCLGIINGGSNPRTSIVIGGYQLENNLLQFDLATSNLGFSSTLLGRQTTCANFNFTSNA >KVH94392 pep supercontig:CcrdV1:scaffold_296:204609:208791:-1 gene:Ccrd_003543 transcript:KVH94392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMIRLARRSLSAVRYLSTSAVAEVSKAPYPAVITSATRKNAGGGRDTLGRRLLSLVYTKRSAVIAIKKWKEEGHLVRKYELNRIVRELRKLKQGDYAVHLDLIAKIRGINSAEKFFEDLPDRMKGQPTCTALLHTYVQHKDASKAEALTKKMSECGFLKYPLPFNHMISLYISNGQFQKVPEILHELKMNTSPDIVTYNLWLTACNAQGDTETAEKIFLELKKTKVNPDWVTFSLLTSMYIKNSRFEDALLSLKEMEKMVSRKVRVAYSSVISLYTGLGKKEDVHRIWKKMKSTFHKLNDAEYNCMISSLLKLNDLEEAKKLYTEWESVSSTGDSRIPNLILAAYVNRNEMKMAEDFFTKRIVEKGIVPSYTSWELLTCGYSHMNQMNKALECFKKALGSVKKWDFDEKIVEKVYGMVEEHGNVEGAEELLTVLRNAGHVSTEIYNLLLRTYAKAGKMPIIVAERMKKDNVPLNEETHELIKITSKLSQVGSLEHGLMASGLVQ >KVH94407 pep supercontig:CcrdV1:scaffold_296:275053:276000:-1 gene:Ccrd_003552 transcript:KVH94407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGIFTEKPTTVGMLLCTLMMIELGARVVMVTGKQYKVGDSAGWTTKPNSTYLSWASSKNFRVEDVLWFEYNATIDNVIRVSLSDFRSCNTSAPIKTFNSGNDSFTIKAPGHYYFTSGFSGHCKAGQKLDVRVLKTSQNATQPSGRTPSPSPSDLVSSSPLESKETAPSPTENSATSTHDPMWLLMTIGVAFNGILVLVHGCV >KVH94406 pep supercontig:CcrdV1:scaffold_296:284823:292448:1 gene:Ccrd_003553 transcript:KVH94406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor MAMSSLSPATSSVRSICQQPRITAKLRTPPKSLLSLQGNLLSWSSSANYVKLRARNTIVVKGLPQKRNATIKCATMEEIEAEKFLIEKNARERMEKAIDSVLSNFNSIRTGRSNPAMLDKVEELSKIVSKQAEEGKVAIRNIRRDAIKSYDKLEKEKKLSEDNVKDLSVDLQKVTDEYMKKIDTIFKQKEKELLTV >KVH94408 pep supercontig:CcrdV1:scaffold_296:270961:272337:-1 gene:Ccrd_003551 transcript:KVH94408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MAMEISKVTLEIFSKLEKQWLFHCEGSKKTRVLSIDGGGTTGIVSGASLIHLEDQIQAKTGDSNARIVDFFDIIAGTGIGALLAVMLNADDGNGRPLFTARDAVKFVSDRQTELYKVKNVGIFRRRRLFSGKSMDKVLKEVLTRDDGKVLTLKDTCKPLLVPCFDLNSSAPFVFSRADASQSASYDFELWKVCRATSADPSMLKPFPLTSVDGKTSCLGVDGGLVMNNPTAIAVTHALHNKRDFPSLTGVEDLVVISMGNGPLSTTSQRKLDRHGQCLSSSVVDIVLAGVSDTVDQMLGNAFCWNHTDYVRIQANCYTKGTVGPTMEEVLMERGVESLPFGAKRLLTETNGQRIEGFVQRLVASRRSSLPPSPCKDAAVTPLVHGR >KVH94385 pep supercontig:CcrdV1:scaffold_296:26210:41442:1 gene:Ccrd_003529 transcript:KVH94385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MGATSSIAGKSSVHDFTVKDSRGKDVDLSIYKGKVLLIVNVKVNGKDSEPLYEYLKANGGGFMGTAIKWNFTKFLISKKGKLSALRVNGHNPGNKILHVGKNKKHERPSGGTHQSNFELTSRENVDSIGVMASSAVCINSHMRESNYYTA >KVH94389 pep supercontig:CcrdV1:scaffold_296:179045:183733:-1 gene:Ccrd_003540 transcript:KVH94389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDRELVEQEGRSEMEFTDLQISADSIDVSVMFHVVMEILGFVLFMHQQIPAVLQDEAVLAQTEMKASQRRVQNGRKREVKHGIKRFEKLMKTVASIQTALQLVFSEMPHIETVILVFGGSPVRPRHVYELCFSHGSDVLSEARPSKLFLLVKASCSFNMPLHFLPKRDFRYNKKTMPTRLRIKCRNQDREIHALNHDAQPADSDSMSNDFIWFQCRHVIKGLPLKTSPDE >KVH94399 pep supercontig:CcrdV1:scaffold_296:33394:44632:-1 gene:Ccrd_003530 transcript:KVH94399 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF21 MSRRRGDVGISLSSPPLPSGFKRELKLAFNINVKPWVRSTLINARELGKLCRSPEVQKGRVFGRDAREWGPARVLRLGFEKVGYGLRSHVLLSFLNTEVISLSLLLQPLSLCSLYYRRRRTSELQSKITLCNSLIHAEIKYKMLNILTLALAAGAGASRKSYVTDPNDIEFGNIWWFVYAGVSCLLVLFAGIMSGLTLGLMSLGVVELEILQRSGTNREKKQAEYYLLLLVGHFRSTLRQMTLIQIFAFLAAAILPVVQKQHQLLVTLLLCNAAAMEVIPQAISTRYGLAVGANFVGLVRVLMIICYPIAYPVLDVLIGHNDALFRRAQLKALVSIHGQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILAKGHSRVPVYSGNPKNIIGLLLLGQKQRLQLALFPLGKSPGKFVFGVPADLPLYDILNEFQKGSSHMAAVVKVKSKEPLPADVRDRKLVEKNETGHSQLTKPLLPKLEEELDFVVNVEKTLKPTVQHTGGITKSLTCTAEDLEDGEVIGIITLEDVFEELLQEEIVDETDVYVDVHKRIRVAAAAAAASFVARTPSTRRLAAQRPTGVKEDKDKPPALIG >KVH94391 pep supercontig:CcrdV1:scaffold_296:199856:203838:-1 gene:Ccrd_003542 transcript:KVH94391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLSSVADLEHPSNNCIPLLHFHHPAFTINHRPPLPFTSLSPFFSHTVAVLQIETMIIEEHKAVDVEDDNDNEGLSWLPICILNDVNRRYEEREDDLRFSSKRRSYDKRRYRPAPPPLPDRKSSAAGRSPLLPSQPHSKPRYPMAGGPGMQAIFLQSGRRSSGTGVFLPHVTTEKDGEITKKPVFAPILLPARVVQVLNLNVHALSAQKKPRDIYIDTKHDGFKNKKINKTSNENSKIFLPKEWPY >KVH94393 pep supercontig:CcrdV1:scaffold_296:213263:225944:-1 gene:Ccrd_003544 transcript:KVH94393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MVSLLHHSISFHLPSHRPLFTHHHHHFRPPSLFQLHTRRYTTSITNCIEKNGSFLSSSKQDQQPQRTLFPGGYKRPEIKIPNVVLQLDPEDVLDDGNRVVEVVDEAVSGLVGIVVLNSGEGSGRNLYDAACLLKSVIRDRAYLLIAERVDISTAVNASGVVVSDQGLPAIVARNTIMDSKSDSVFLPLIGRKVQTSNAAMNDSSFEGADFLIYGNDGGESIEELSVSVINQIKIPTFVTVDSVDKDKLFNDISYTLQSGASGLVVSLEGLKLLGNDLLNKIYSMQASNKKPEALRDGLELEMANGFSGEKGFTGFAKLEDREAMEEVSLLSDAVSHLSEPFLLVIVGEFNSGKSTFINALLGRKYLKDGVVPTTNEITFLRYSEFDSNEQQRCERHPDGQYICYLPSPILEHMIIVDTPGTNVILQRQQRLTEEFVPRADLLLFLISADRPLTESEVAFLRYTQKWKKKVVFVLNKSDIYQNPTELKEAIGFIKENTQKLLSTEVMLFPVSARSALKRKLLTMSDVGQGHEESSGNDSYWEMTSFYELEKYLYSFLDGSTSTGIERMKLKLETPIAIAEQLLSASQKIVEQDCQQAKRDLISINELVSSVKDYASTMETESIYWKRRSLSLVDKTKARVVELIMSTLRLSNLDIVVSYVFRGGNTSPMPAAASRLLEEYVVWLQSNNAREIKLYEETFEKRWASFIDSGNRFQSETRKLVERKNEFSIELIKDFSSAAASKLFEQEIREVFLGTFGGIGTASLLASLLTSVLPTTLEDLLALGLCSAGGYIAVANFPVRRQKVVEKVNRTADGLARKLEEAMQRDLLETTKSLENYVKLVGKPYQDLAQSRLDELVMIQEKLTKMEERIKALQSDVQNLHMSS >KVH94397 pep supercontig:CcrdV1:scaffold_296:154861:158164:1 gene:Ccrd_003538 transcript:KVH94397 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MTQLEFLKKFKRLKPSELGCFCISLCFILCLFFLDYRSVSRGFRVRGWFGFSGSGSGSGRELVGFLETGRGECNIFDGNWVWDERYPLYESKDCLLLDDGFRCSENGRIDRFYTKWRWQPKYCNLPRFDAVTMLERLRNRRLVFVGDSIGRNQWESLLCLLSTAVSNKSSIYEVNGRPITKHTGFLVFKFADFNCTIEYYRAPYLVLQSRPPAGSPKEVRTTLKLDQMDWFAGKWKGADVIVFNTGHWWNSEKTTREGGDWKSGGSCHLETLPDLSSSPVSSGAMFFPNIFGSVLSERQNESAFIAKLELLDVTYMSSKRKDGHASLYYLGPDAGGPAPLRRQDCSHWCLPGVPDSWNELLYAVFLKHEYSIAATASAPSSIDLE >KVH94387 pep supercontig:CcrdV1:scaffold_296:238323:242341:-1 gene:Ccrd_003548 transcript:KVH94387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MDSTAATRLRSIKLWPPSHSTRLVLVERIVTNLTTPSILSRKYGLLSKEEAEEEAKQIESSAFATANLHFEKEPDGDGGSAVQLYAKESSKLMVEAVKKGPKSNGIEEAISEPVTADHESVFFDISGGRRAFIEAEEAKELLKPLSDPGNKYRKICFSNRSFGLDAAHVAAPILSSLKGQLTEVDLSDFIAGRPEAEALEVMTMFSAALSGSELRYLNLSNNALGEKGVRAFNQLLSSQDNLEELYLMNDGISEEAAKAVCELIPSTKKLKILHFHNNMTGDEGAIAIAGLVKESPILEDFRCSSTRVDSEGGVTLSEALGTCTHLKKLDLRDNMFGVEAGVALSKSVPVFVNLTEVYLSYLNLEDEGALALVNALKDSASKLEVLEMAGNDISSEAAPAFAACITAKKLTLTKLNLSENELKDEGAIVIAKALEDEFGQLTEVDLSTNAIKRAGARRLSQAVVGKRGFKLLNINGNFISDEGIEDVKEIFKSFSSVLGPLDENDPDGEEYNEEDDGDAEDGGDNEDELEAKLKDLEIKQDE >KVH94394 pep supercontig:CcrdV1:scaffold_296:227854:230741:-1 gene:Ccrd_003545 transcript:KVH94394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASMESQSPNPLIKESNTIMSSCSSPIISPVSDKLFWSNLRNRIDTLLENRKDQSLNYEEGRNRSKRLKEDSLLLLRGFDSVASSLSQLSNNLDNALQNSSKDEDEVEDDQNLESNKRGLKRKMESEEASDENQREFGKLNRAKNIAISMAKKAGFLAREVKLMKSDLCFIQERCSILEEENRRLRDGFVKGVPPEEDDLVRLQLEALLAEKSRLANDNANLTRENQCLRQLVEYHQLTSRQEEEEVVEDDYEDVIRGVCLDFSSPPPTPRMPENLAGDGVVKNPQIVTDL >KVH94395 pep supercontig:CcrdV1:scaffold_296:235236:236060:-1 gene:Ccrd_003547 transcript:KVH94395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTISTGKPAALAHNLAIPSSVTARSLTQLKIFPLAAVGFSTAFVSIDDKSLTWEMFSLLLPEPIMYCGRKLAQLSKTSERYFSTAT >KVH94409 pep supercontig:CcrdV1:scaffold_296:248885:258648:-1 gene:Ccrd_003550 transcript:KVH94409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEDRRRHEQPTNSVRRSLKRKLQDVLIEDRHICFSEDVSQQDLLSEIRAQVEVLELTYSSVEADRALAKRSINILSELAKNEDIVNLIVESGAVPVLVQHLQEPQLVKEAVAGLRPYEHEVEKGSAFTLGLLAIKPEHQQFIVDAGALPHLVALLKRRRDDQNVRTVNGAIRKAADAITNLAHENSSIKTCVRVEGGIPPLVELLESTDVKVQRAAAGALRTLAFKNDQNKTQIVDCNALPKLVLMLRSEDAAIHYEAVGVIGNLVHSSRDIKKEVLLAGALQPVIELLSSTCSETQREAALLLGQFATADTECKVHIAQRGAVGPLIEMLQSPDAQLREMSAFALGRLAQVIMLVFLFIYQDTHNQAGIAYGGGIVPLLKLLDSRNGTLQHNAAFALYGLADNEDNIADLIRVGGVQKLLDGEFVVQPTRECVAKTLKRLEEKIHGRVLSHLLYLMHKSEITIQRRVALALAHLASPDDQKSIFVDGNGLDLLLELLQSAKPKHQKASCVALCKLAEKASTLSPMDAGPSSPISQANGSMLTEFVCLLLLMHFGQCSMVVTGYIYTGSVEVNLRIAQDLLRAADQYLLEGLKRLCEYSIAQNIRVENVALMYDLSESFNAVTLKDACILFVLEHLDKLDVKPWYASLLQRILPEMRNYFVRTFTRPVETDLRQ >KVH94401 pep supercontig:CcrdV1:scaffold_296:58317:59306:-1 gene:Ccrd_003532 transcript:KVH94401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase MTMMMCPQAQASFLQIPTGGMDLSALRCRPQKEKVVVVMGATGTGKSRLSIDLATRYPAEIINSDKMQVYESLDIVTNKITDEECDGVPHHLLGIVDPEADFTAGNFVSTASLTMKSIVGRGKLPIIAGGSNSFIEALIDDQNYEFQSRYEVCFLWVDVAMXVLHRFVSDRVDRMVAAGXVEEVRXMYXPBADYSKGIRRAIGVPEFDSYFRAEYSNSGDKRTRAKLLEDAINETKINTCKLACRQIGKIHRLRNVKGWKIHRLDATTVFQKRGREADETWAEMVAGPGSVIVNQFLYNFGHSRSFATTADSGGRAISRAAAAMVAAAL >KVH94403 pep supercontig:CcrdV1:scaffold_296:103440:114909:-1 gene:Ccrd_003534 transcript:KVH94403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVVVCDAWYVIVDRAFGVLEFQFFPSSWIYISPKLSVDVGNQHMDLNASPLPEEDDSIRSDTVKTQFAGQERLTGLQTMDQDRRNGGSKRRYVDVDPMHMSQPSKRSQGFQSRDNEFYDRNSLPPGWLDCPPYGDAVSFLIPSKVPLGESFNDKVTSDKRYSPQQAIRQQRRLGRELGLVIDLTNTNRYYRESDWTKEGIEYVKIRCAGRDAVPDNEAVENFMQEVTRFNSQHAHSNKYVFVHCTHGHNRTGYMIVHFLIRAESLSVTEAINRFSEARPPGIYKQDYIDDLYDIFGEQKPITCVCPQTPEWKRSPDRDDDATAGLQDNDVQAGQMTNLFGESEMENAMGAGEVANAMVPSEMTNDDILGDNVPYNQIETMRQFCNQALKLNVRGRGKPQFPGSHPVSLSRDNLQLLRQRYYYATWKADGTRYMMLITWNGCYLIDRNFQFRSVELRFPCRSVSESAAINTHHYTLLDGEMIIDTDPSTHKQERRYLIYDLIAINKVSLIEILYMKLNIGLSMSLQRPFSERWMILENEVIKPRNSERDRLFKSRNPYYRYDLEPFRVRRKDFYLLSAVSKLLKEFIPRLSHASDGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFLFEVGNNNRHMLCLNERGRRKTMDGNRVVFRDPSIDVFSLSGKIIECSWDSEEDVMRSIKDNITEEVVLNEINDIIRLPMYADRIKTDCRTFEKNGRRK >KVH94404 pep supercontig:CcrdV1:scaffold_296:143402:146298:1 gene:Ccrd_003537 transcript:KVH94404 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGTLSSDLFYDILKRLDGATLASASCACAAFSSMSKEERLWEDVCSSMWPSTKRDDVKNLISSIGGFKKFYADCFPLIVNTEVPEFRWSDYPEYPEELTEAEYYGDIDEFENVSPSDFVSIVDIRYKDKTICSKVIWGIPNANGFNGWFSNCPFRIDLFTFSGGVTLSVVDGLPPVTSMERERKDGKLWQELRDGIRLSWIVVNTKAKQAANLSSWSPLGGQRHWPTDNDFLLRFGSILPAKDILPCQMVECILAMKFRVTEASGVHTTLELTELCMQLGDMDGAHVNGRNSLLVLKEALSCNRSRNYNLALESCHLYSKVQNEMKEEKMRYESMLDRLFILGGITAFVTLCCYFLRAFVS >KVH94390 pep supercontig:CcrdV1:scaffold_296:185020:197816:-1 gene:Ccrd_003541 transcript:KVH94390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MNLQMQSINPWFSNVNSSSAYYFAPQRGSRFSGGRAHSFRLWRISCARRCSLKANNLEHHKSHFVNLEKPFCSSADHNSSKFIYNHPRRSDMRIQAASSGSLNHELIMQTSENEFEVSSYNAENLQHIPKYENKFLIFVRLGSVINKAAESFFKSEIRRRLFITAALLVISRVGYFIPLPGFDRRLVPENYLSFVSGSVGMLSAPVQAQIYFAQGLIMHGFTISFLFHVSLIYELGELAPELKLSLFQLGISPQIGASIVMQVLCYVVPSLVKLRKEGLDANEKIKSYIWWMSLGFAILEALIISYFSLSYSIYAANASQRVKHVMLTTMLLVCGAMTTTWICDKISDSGFGHGSSLLICVNILTGYIETLHKMLSQLSGSAVGWGPYIFAVSGVFIVVTMWAVIVTQGCRKIKLQYYGFKLASSTREGSPVTEVEQYIPFNINPSGMQPVLTTSYLLGFPAIVAREILNPESSLGAAPWVYYTIYAFFVFLFNIFDIANMPKEIAEYLNKMGARIPNIKPGKATVEYLAKIQASTRGLLLSILATSSTILDHYLRKTNEGFSIGFTSVLIIIVSSIQRNAKFKQSFKTLWRMKVEKSGPLIQPLAGKPYEKIPAWWMRCNELKKQEDISVGRNQDFVYECRFSGPMLL >KVH94402 pep supercontig:CcrdV1:scaffold_296:119659:126572:1 gene:Ccrd_003535 transcript:KVH94402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein VTRAKALKLQNPHLPPSRSPANCKSSVSSSFSPAMKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPMGRGRGRGRGRGRGRGR >KVH94405 pep supercontig:CcrdV1:scaffold_296:127550:128963:1 gene:Ccrd_003536 transcript:KVH94405 gene_biotype:protein_coding transcript_biotype:protein_coding description:OEP7 [Source:Projected from Arabidopsis thaliana (AT3G52420) UniProtKB/TrEMBL;Acc:A0A178VGL9] MAKGESTPLKSMVVVFGAVAFGWAAIELAFKPWLNKARASMDKSDPTRDPDDVDVDTANKPPEAPEAATPSSDSGNTP >KVH94386 pep supercontig:CcrdV1:scaffold_296:2948:4198:1 gene:Ccrd_003527 transcript:KVH94386 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MEDLDQFDVIVIGAGVMGSSTAYQAAKTGKRTLLIEQFDFLHYRGSSHGESRTIRATYPESYYCRMAMESEKLWMDAESEIGYKVYFKSQQLDLGPANNSSLMALISNCEEHSINHQILDRHQTEKHFSGRIELPDDWIAVVTDLGGVLKPTKSVAMFQTLAIKHGAVLKDRTEIVEIVSDEDRGGVLVSSRSGEVYSGKKCVVTAGAWVSKLVQKVSNGGIILPIQPLETTVFHWKIKKGHELDYTIGAGFPSFASYGEPYIYGTPALEFPDLIKVAVHGGRECEPDKRTWGVDAEETMIGHLKEWIKGRFGDRVNWEDGPVMIQSCLYSMTPDEDYIIDFLGGGFDEDVVVAGGFSGHGFKMAPLVGKILVDLAVGGKVAAEKYEAEIKHLKLGRFEGNPKGNKKGFEDQVRLK >KVH94396 pep supercontig:CcrdV1:scaffold_296:163664:177310:-1 gene:Ccrd_003539 transcript:KVH94396 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase, C-terminal MKFQIEDVTVYFPYDHIYPEQYSYMIELKRALDAKGHCLLEMPTGTGKTIALLSLITSYTLSKPSNPVKLLYCTRTVHEMEKTLAELKLLHKYQLDNIGPSARMLALGLSSRKNLCVNPNVVSAENRDSVDAGCRKLTASWVRALAIENPNIPTCSFFESYEKAGSDAVLPPGVYTLQDLRVFGKEKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQRESVVVFDEAHNIDNVCIEALIGDTWLANPALPDDILKEAVPGNIRRAEHFLSVLRRLVQYLKGRLETENVEKEGPVTFVNSVNSHVGIDQKMLKFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLVGTYTRGFSIIIEPYDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFNPVEIMQRKLVFIETQDVVETTLALDNYRKACDCGRGAVFFSVARILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYTRHDKRSKLPGWILSHLRDAHLNLSTDMAVHIAREFLRKMAQPYDKTGGGGKKTLLSQDDLEKMNGVEGMMF >KVH94384 pep supercontig:CcrdV1:scaffold_296:6202:22099:-1 gene:Ccrd_003528 transcript:KVH94384 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein MAPSRRKGASKAAAAAAACRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSADWKKVLVFFFGTQQIAFCNPSDVEAFTEEKKESLLSKRHGKGADFVRAVREIIDSYEELKKQERQVNGVNTIGQATSTNGVKSEECIASSGSKDEALTPTVDSCSKMSDSPKRNDGPCDGDAMTTEDVLHKGDKMSIDPTGHLAVTKAPLPTTYSRKKYSSTRASTMHGRIPSARRSRSSVRAESCRFQNVPEGIEMNNGNLSNVSRDGAQRRTKRVRTSPGSSDGPDKDLPLASNASPEENGSEIVTVDSDTKSFNEGNCVQSGYKLMEHDYVTECSGGDMQLSQTLEFQNKAVIVKKKRKPSRKRAITVTTEFPDRINKQSCGVEMDKSEPIQHADLEKSSGKYTKEDGDEHLPLVKRARVRMGRTSSSMEEPVIRPKQDCAVLSDGEDMSAEGNSSAGREESDALFMLSKCSVNKPPLWEANKHNKHFGCLADGEAALPPSKRLHRALEAMSANVAEEKQVSPEGPSAMKTIINGSLSSSIDCFNLAIEIKETDQPEVQKVIVLGNGDLAPPLDRNETTDKINGCNQPSRTSNSPEDGILKDDNVKPVEISDSKDAVILSSCVKTVEVLQSPKPSSDAIEKKESLPECNNTTAVANESEFETSELIELSKKDPSDVSGVSSDPISSNVENGVISHDDANLLMQSSPQKSCNMADNPFEDDSNGNAILEDTEATKRSNMEVDDDPPSLTTVKELKTVAPGPELSNSTSIFEDGLSHKIESGTRTSSPPNDAFDSTARASPPNTSICNISTSDNSNFLENSGCCSPAVHLYHEKPKHASNKWSTISEANAALTSFEASLAALTRTKKSIDRATRIAIDCAKFGIAVKVVEAIARSLEAEPSLHKRVDLFFLVDSIAQCSRGLRGDVGGLYPSAIQAVLPRLLLAAAPPGSSALENRRQCLKACVLKLWQERKILPEPMIRHHIRELDSLNNMSSRISNSRRPFRNERAFDDPVREVEGMLVDEYGSIQLSGFCMPTMLKEGKEGSDSDVEGFEAVTPEHNLEISEERDGVPTPAADKHTHVLEDVDGELEMEDVAPSREAEITDSTNNGLGKVAIHPPLFAPPLPKDLPPSSPPLPNSPPPPPPPSALPPPPPASLPPPPPASLPPPPPPVLPPPPPASLPPPPPASLPPPPPATLPAPPPATLPAPPPLPETVGNFSDANLPESSNSCSYGSLPVPRPPLQAANNVHLRPPHPTPSSQFSYFQSDREIPPPSYPGRFHFVNSTDTGNFHSPCHPDGPRARYPPNVYGGPPCEPPMSANTWHYPVRPTNHREMTPHRPYPEAPVPMATRGPNFWRPR >KVH94398 pep supercontig:CcrdV1:scaffold_296:49562:50122:1 gene:Ccrd_003531 transcript:KVH94398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVISILKLALFMLVLVLHPSSEGQLVPLVPVTPFAPRPLCNFQMALANQACAYLPFVQVPPQAPRAPMAPPPPSSDEDGEDHRHGRRHRHDHDHDDDNDNEHDHQHDHKRGHGHKHHHHHRHHRHRDTPIEQQCCKWLSQVDDQCVCELLVRLPPFLARPVHRYSVLVGGSCNITYSCGSRLRV >KVH96327 pep supercontig:CcrdV1:scaffold_2960:24154:25037:-1 gene:Ccrd_001589 transcript:KVH96327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22, bacterial/chloroplast-type MSSYEPCRPSTWGGEGRAPIGRKTPQPPRVILHLEKEVEKGISIVIIGFFVAVEEAVTRSLKKSFCSEYFIKKINKLNRKAEKEIIITWSXASTIIPTMVGHTIAIHNGKEHLPIYITDRMEANFMLNKITTKVYALGQHISMSAHKARRVIDQIRGRSYEETLIILELMLYRACYPIFKLVYSAATNASFNMGSNETNLVISKAEVNEGTTIKRLKPRAQGHSYPIQKPTYHITI >KVH96326 pep supercontig:CcrdV1:scaffold_2960:11398:11631:-1 gene:Ccrd_001588 transcript:KVH96326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMGFKEHLRTKAGLSG >KVH96325 pep supercontig:CcrdV1:scaffold_2960:5575:5832:-1 gene:Ccrd_001587 transcript:KVH96325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPQSWSVTLENLCNGCVISNVKLTCKGFQSDTKINPDTLYYDGDLCIINNLQPIYPGDRITFLYGRASGQYPFQLTAQREACS >KVH96328 pep supercontig:CcrdV1:scaffold_2960:25099:29896:-1 gene:Ccrd_001590 transcript:KVH96328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MSLLECSPSKVGHLRYHHLHPEIRMLWKKLVQFGKGFWLIKKEESTSTDMPLGTTIHNIEITLGEGGQLARAAGVVVKLIAKKGKSATLKLPFREVRLISKNCSSTVGQVGILG >KVH93417 pep supercontig:CcrdV1:scaffold_2962:34205:36527:-1 gene:Ccrd_004533 transcript:KVH93417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLTLKDIANITIPVEQVIDILVESYKSSEIYMEVQSEVASICGQEGDLIEKKRSLRASSITQCMVLAKRSFINMYRDVGYYWLRLGIYIALGFALGTVFYNIGSGFGSLNARLSLLMFVSSFLTILAIGGFPSFVEEMKVFQWERLNGHYRIGSFVISHAISSAPYLLLISLIPGVIAYSLAGLQREYERFIYFSLILFASMLLVECLMMIVATIMPNLLMGIISSAGIQGLMILSAGFFRLPNDLPHIFWRYPMYYISFHRYALQGLYKNEFEGLEFPEYVGGPPTIDGKMILKGVLQIETGYSKWIDLGILFGMVFVYRVLLFCTIKTTERVKPIVRAFLLTSTFRN >KVH93415 pep supercontig:CcrdV1:scaffold_2962:16516:18668:1 gene:Ccrd_004531 transcript:KVH93415 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II MTTIGIGSSSFSSTSLLKPQPHHHHHHILLKPTPSRTPKPKPISAVRATEKLPAKWNPDSWKSKPALQIPDYPDKDQLESVLKTLESYPPIVFAGEARKLEERLGEASRGDAFLLQGGDCAESFKEFKTDNIRDTFRLLLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDSFEEKDGVKLPSYRGDNINGDAFDQKSRIPDPNRMIQAYTQSVSTLNILRAFATGGYAAMQRVNHWNLDFTEHSEQGDRYRELAHRVDEAMGFMAAAGLTIDHPIMTTTPFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMVWVGERTRQLDGAHVSDKMDPNQLVKLIEILNPRNKPGRITVISRMGADNTRVKLPHLIRAVRGAGQIVTWVSDPMHGNTIKAPAGLKTRSFDAIRAEVTAFFDVHDQEGSFPGGVHLEMTGQNVTECVGGARTITYNDLSSRYHTHCDPRLNASQSLELAFIIAERLRKGRLRRGISSTGNFVR >KVH93418 pep supercontig:CcrdV1:scaffold_2962:50161:53151:-1 gene:Ccrd_004534 transcript:KVH93418 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter, conserved site-containing protein MGSFINNNGVNPEPDEEGERCIEMVESESLVIKKRSGAYLTWEKLEVAVSSSNGRGKDGFQSILTGATGYAKPGEIVAIMGPSGWQLASNTRNTGRVLINGRKIRLTYGTMAYMTQEQALTWTLTVKETIHYSAELQLPKLMPKSEKRERADRTIREMGLQDSVNTRIGGWGIKGLSGGQKRRVSICLQLLTHPKLLLLDEPTSGLDSAASYYVMNQIVKLTQQYKMTVLAAIHQPSTRVFGLFNNLCLLSLGKTIYFGPTFAASQFFAVNGLPCPDQESPADHYLMTINTDFDEVRRT >KVH93414 pep supercontig:CcrdV1:scaffold_2962:13487:15748:-1 gene:Ccrd_004530 transcript:KVH93414 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-nitropropane dioxygenase, NPD MGWKGILGFEYGIVQAPLGPDISGPELVAAVANAGAIGILRAPDWESPHYLRELIRKTRTLTDKPFGVGIVLAFPHEQNLKCVLEEKVAILQLYWGEVTKDIIGSFEEAQLAADAGVDAIIVQGHEAGGHVIGQEALIALVPRIVDLVASRGIPVIAAGGIVDERGYVAALALGAKGVCLGTRFLATEESNAHPMYKRKVVEMNKTEYTNVFGRARWPGAPHRVLKTPFFMKWRNLPSNENEANQPEKDIRVFAGTVPNKTTTGDIESMVMYAGEGVGLINDILPAAQVVKRFVEGAQILIQQNFTS >KVH93419 pep supercontig:CcrdV1:scaffold_2962:5093:12792:1 gene:Ccrd_004529 transcript:KVH93419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ MAIVPGGSTWVARWGVQPQLVSRASLTSKSFMHLPLVPINTRELASPCSAFCSQGSLHALCDMGSFGKSHHRRGGRLVVRAESDFYSVLGVSKNSSKAEIKSENGVLLVEKSNSVNPFSAYRKLARSYHPDVNKEPGAEQKFKDISNAYEVLSDDEKRSIYDRYGEAGLKGAGVGTGDFSNPFDLFESLFDGLGGMGGMGGMGGRSQRNRATQGEDQGYNLVLNFKEAIFGVEKEIEVTRLESCGTCNGSGAKPGTNASKCTTCGGQGQVISSARTPLGVFQQVMTCSSCNGSGEISTPCNTCSGDGRVRKSKRISLKVPAGVDAGSRLRVRSEGNAGRKGGPPGDLFVMIDVLSDPVLKRDDTNILYTCKITYIDAILGTTMKVPTVDGMVDLKIPSGTQPGTTLVMAKKGVPVLNKNNMRGDQLVRVQVEIPKRLSGDERKLIEELSNLKKGKVPNSSSI >KVH93416 pep supercontig:CcrdV1:scaffold_2962:18766:30738:-1 gene:Ccrd_004532 transcript:KVH93416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEEGDVDMVAADSGAELGDMKKRLKEMEDEAAALREMQAKVEKEMGATATQDPATATANQANREEVDARSVFVGNVEEQLLALLLGDDESLAGTLRLGVDYSCTPEEVQQHFQACGTVNRVTIRSNKFGQPKGYAYVEFVEPEAVQEAILLNESELHGRQLKVK >KVI03034 pep supercontig:CcrdV1:scaffold_2964:12543:14185:1 gene:Ccrd_018673 transcript:KVI03034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MTSQLSLFSMIVLLLTVGTSAGDIAIYWRQNDGDGTLAEMCSTGNYDYVNLTNGCTNLTSDIKSCQAKGIKVMLTIGGAAGSYYLTSAADAKQVATYLWNNFLGGKSTTCPLPDAQWTSDIPTTKILLGLPIAPAGSGYIPVGDLTSQVLPAIKGSAKYGGVMLWSKYYDDQTGYSSSIKSHV >KVI03032 pep supercontig:CcrdV1:scaffold_2964:25664:46584:1 gene:Ccrd_018675 transcript:KVI03032 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP/TepA MKQTPDTCSGKCEKTTPGQISIKRKKVVAMKVSFVGYRANLSIILQRTGKPLWVVSEDMERDVFMSATEAQAYGIVDLVAVE >KVI03033 pep supercontig:CcrdV1:scaffold_2964:3349:4410:-1 gene:Ccrd_018672 transcript:KVI03033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLGKQIHPRQIILFAAGMLVIGSTSYDIHRSIKNNETPPSKEQIQAMEDYLASKRRRG >KVI03031 pep supercontig:CcrdV1:scaffold_2964:19279:27433:-1 gene:Ccrd_018674 transcript:KVI03031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MESFDPPFQEFYKKLFDDEVTIKNDTNVLDVVEERELPLIDLSRLNIEQEEEICKREIAKASQEWGFFQVINHGISSQLLEKMRYEQVKVFKRPFQDKANGHPDLNFSAGSYRWGTPSANCLRQIAWSEAFHVPLADISTTGDLSTLRTTMKLLATKISDLAQKLSEILAEQLGHKSDLFKEKCSPTSCYLRMNRYPVCPISSQVFGLMPHTDSDFLTILYQDQIGGLQLVKDGKWITVKPNPKTLIINIGDLFQAWSNDVYKSVEHRVVTNKHVERFSTAYFFCPSYETMIQSCIENSVYRRFSFGEFRQHVQDDVNMLGYKIGLP >KVH92127 pep supercontig:CcrdV1:scaffold_2966:26902:29181:1 gene:Ccrd_005842 transcript:KVH92127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MAKLTEPSAANITRTDSSSWGLNLGFFTLAVVSIAVLVVQINTFDAVTYPMHEFGKPMLVAARKNAESLSGLEKIGLGQLIGAEDIAYESKSGVLYTGCEDGWIKRIMLNNSVVENWVHTGGRPLGIAIADSDNICLQGLLKASRDGELELLTSEADGVKLGFTDGVAVAKNGMVYFTDASYKYSIHEAIYDFLGGRPHGRLLSYNPSTKQTNVLARDLYFANGVELSPDQDFVIFCETFMMRCSRYYLQGEKKGSIDVFADNLPGFPDNIRYDGDGRYWLAIPWDNSLLTKFIQTYPFARKILTFTLKHLHKMPELMKFGGVIALDLEGNPIGGYYDDTWKMTTSGVKIGEHLYMGSITKSHILRLSLAQNPLLVTTS >KVH92126 pep supercontig:CcrdV1:scaffold_2966:31331:37946:-1 gene:Ccrd_005843 transcript:KVH92126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAFPSSSSFHSCHGTSTSTSATSSYFPSSQTTSHRHRHRHRHRHHHHTTKTHLLSSSISASKPLQPFLLSLSLPNPPLHFTSLRKTSNHSFITVKTRVSDADNQLDTRSSPSSEIDCVGTGTDVECVVPSDGKGDYGVTESETVSLINVVMEWTLLVSPFFFWGTAMVAMKEVLPKTGPLFVSSFRLIPSGLLLVAFAASRGRKIPSGLNAWLSIALFAVVDATCFQGFLAKGLEKTAAGLGSVIIDSQPLTVAVLASLIYGESIGLVGIAGLVLGVVGLLLLEHMVLGGIPLAALSILAHDPAVNGGLNELTTNDLFALLYTSVFGSAISYGLYFFNATRGSLTKLSSLTFLTPIFLYLGETFSPIQLTGAIVTVAAIYMLSSLPAYSYLEETSTKTTLSTDSNRLAEFGSTEGDFRLKRWLLAKLLLSEDSCSSVVAEPPLLTVM >KVH92125 pep supercontig:CcrdV1:scaffold_2966:46682:55155:1 gene:Ccrd_005844 transcript:KVH92125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MLGGPGLESVVDQIISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVIGELDEELDAGLDLSELRAHPLKPVIH >KVH92128 pep supercontig:CcrdV1:scaffold_2966:8257:16365:1 gene:Ccrd_005841 transcript:KVH92128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MAKITEVPRPNPTQKAIGSWGLSLVLFPLAIVGIAVLVVQLDTYETVAYPMHELGEPMFVTARENVGTVNSGLEKIGSGQLVGAEDIAYETKSGLLYTGCEDGWIKRVKLTDSVADSVVENWVHTGGRPIGIAMDESGDVFVADAYKGLLKVSSDGKLELLTHEAEGVKFGMPDGVAVAKNGMVYFTDATYKYDFPGAVNDIYEGRPHGRLLSYDPSTKETKVLARDLYFANGVEISPDQDFVIFCETFMRRCPRYYLQGEKKGSIDVFVDNLPGLPDNIRYDGDGHYWLGIPWGNSLLTKFIQTYPFARKILAFIFKHLHKMPELMKFGGVIALDLEGNTIRGYYDDSWKMTTSGLKIGEHLYMGSIIRPHILRLNLTQNPLPVSTTNTTRKGNGWWGSGLVFFSFVVVSIMLLVVMLNKSKTVTYQMYKFSKTIFLTDRKNTRSLSSLEKIGLDELIGAEDIAYDSNSGVVYKGCEDGWIKRVMLNYSVVENWVHTGGRPIGIAIDDFGDVYVADAFKGLLKVSVDGELELLADEAEGVKFGLIDGIVVAKNGMVYFTDVAYKYGLHQWFHDFLEGRPHGRLLSYDPSTKQTYVILRDYYFANGVELSLNQDFVIFCETFMRKCSRYYLQGEKKGSIDVFVDNLLGVPDNIRYDGDGHYWLAIPWDDPFSLKFIQTYPFIRKILAFTIKYLHKMPDS >KVH92124 pep supercontig:CcrdV1:scaffold_2966:47214:68946:-1 gene:Ccrd_005845 transcript:KVH92124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family HTAILSKEFDENEANIILLDVTALSLDIEGAGGTMTVLIPTYSMFPCVQEGLYSTFSDNQRSVSIQIPSTKHHESKHINQRSMWEQQRHVKDERGLAAVRTENISGKNDRSEHQMCDEALEFAVCIRVPLGINEIFAQMDKEWNLMVLFYVIDELKEEQHGYYFVVGGITPTPLGEEALVVGALVAPAVKA >KVH91316 pep supercontig:CcrdV1:scaffold_2967:63307:64130:1 gene:Ccrd_006670 transcript:KVH91316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFNACLDDDCEGIGLVKEVENKIRPMGTNVSSPGYQDGPIIDVPNLDGIEKGNVNCSQDGPFVTVSSKDLKTEKFDLNASPKSNAFTDVEEGQKEEENVLVGSVGESDTPLDDYDYELEDLHTWTDLHLKRRRKNGARSKKVLEVEDSNTEAVGLDRVIEEVSIVDIESERLFASRWRRSSIMLKFLSINVNGLGGGVKRKWLKELKQDNRVNFLCIRESRISSFPKEFLCSSVWGGG >KVH91311 pep supercontig:CcrdV1:scaffold_2967:3983:5533:1 gene:Ccrd_006663 transcript:KVH91311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSSLQVRPESQKVQMASGGQDLILALKETIRYGIFLGTFAGTFVSVDELIAGCFGRHLPLSNNKMEGFISRGSSWTFNVPHWIQHTTYKLGIWQFIFLCVQLPWHHNKRFGCICKPLTWAHGDLFLMCLSSTQILYLSVMCFVHPILLTSVTNRLIQFFSWTCP >KVH91313 pep supercontig:CcrdV1:scaffold_2967:28780:29004:1 gene:Ccrd_006667 transcript:KVH91313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSTAYVFLVALVIFVVSDPIKAGRVLHEKDRLIDVKSGNMLVFQSLPRGPVPPAGPSGCTYIPGSHGPPCP >KVH91312 pep supercontig:CcrdV1:scaffold_2967:17686:24547:1 gene:Ccrd_006666 transcript:KVH91312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGDKKLNSDIVVYTILMDGACKCGKLDIARNLFNDLRRFATRLMIGGFCREGLVGEAKDLFLRMXERGCPPNSATYNVLIXGLLKNKQHDSIEMLLQEMDGHGFSLAASTLAMLLANTTARPFDAHLLKLIGKLVPKEGMLLVLPAYGLKRGVVPNVFTFSTILNGLILEDRILEAERLFKKXTFSTILNGLILEDRILEAERLFKKVIKEKLCDPDVVTYNTMIKGLCKFGNNDTAISLLRMMNERGCKPTVVTYDTIIDSLCKDQMIDDALKLFHEMIFHKDVLPSVFTYSSLIRSLCILGRWDDASRMFKEMEKDERLSPDVVTFNILIDALCKEGKVEDAEVLINTMVERGKDPNIVTYSSFIDGYCLRGEMTKAREVFDSMRIRGLVPDVVTYSSLLNGYCKQMKVNEALHLFHEITEKGMRPDVVTYSTMLQGLFRVGRCKAAHELFTEMLAHNQIPDACTYRIILEGLCNNHLIEEAISLFCWMGDNKLNSDIFVYNILMDGACKCRKLDIXRDLFNYLSVKGLQHNVVSFNWFLSGRSNEGSKIKELFGQMSERGCLPNSATYNALIRGLLNNKQHDTIETLLQEMDGHGFLVEASTFALLLVKINARSFNASLLKLIGKLVPKEGVDAPHFIELITAEDRNDDG >KVH91310 pep supercontig:CcrdV1:scaffold_2967:32586:33706:-1 gene:Ccrd_006668 transcript:KVH91310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MASNCEQVEQGKRSWPELVGKKGEHAEAIIEKENPSVNAEIIPEGIVIAQIYICNRVVVWVNKKGIVISTPSIG >KVH91315 pep supercontig:CcrdV1:scaffold_2967:16471:17664:1 gene:Ccrd_006665 transcript:KVH91315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLENVTKLDDVLKLFDEMTQRQSLPSVYKFTKLLQVVTRRKQYSCSIDLFKQMVAISVAVNEYTIATVIKCYCQLFHTSEGFAVVAYGLKRGVLPDVWIFTILLDGLILEDRNLEAERLFKKLIKEKLCEPNEVLYNTMIKGLCKFGNYDTAIALLKMMNERGCKPTVVTYNTIIDGLCKDQXIDDALKLFKEMIVHKGILPDVVTYNPLIGGLRNLXHWDDASKMLKEMEVDERISPDVVTFNILVDAFCKEGKVEDAEVLMNVMVEIGKDPNXVTYNSLIDGYCLRGEMTKAREAFDSMSIRGLVPNIVTCNSLLNGYCKEMKIDEALHLFHEITENGMKPDVVTYNTMLQGLFRVGHCKAAHKLFNEMRARNQILKKCTYRIILEGLCNNHLVE >KVH91314 pep supercontig:CcrdV1:scaffold_2967:5540:6162:1 gene:Ccrd_006664 transcript:KVH91314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPFPNQEWNLIGEQGNNDQIPKVADFLGVSKSENSSDHVAYNDIQVCCLKFKTRTLSAMPTSYELPENASTLQSLTLSMGSGKRSTCETSIGENLYNFEFCFIKSIRKTFMTLKFVS >KVI06296 pep supercontig:CcrdV1:scaffold_297:166626:167120:-1 gene:Ccrd_015362 transcript:KVI06296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MAEYHRQPHNYGYQQQHHPMDTTKGQHYNQQSTSKLLAVLTLFPVGGVCFLLAGLTLTVTLIGIAIATPVFVIFSPILVPAALSIALAITGFITSGAFGITALSSLTYIFNYFRKTGGSSSSGSGVSLQDSMDYAKRRAQDTAGYVGEKVKDVGQRTQDSAARA >KVI06281 pep supercontig:CcrdV1:scaffold_297:83895:88729:-1 gene:Ccrd_015356 transcript:KVI06281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MCVLILVWKVVIRCKPDMKGTVRYLAGIAGQSGYGSKSTAEQVTEHCSSLPPNLTAIVTGATSGIGAETARVLAKRGVRIVIPARDLRKAAQVKENIQKEHPKAEIIILEIDLSSFASIKKFCSEFLFLGLPLNILINNAGKFSQKLEFSEDKFEMTFATNYLGHFLLTELLLEKMIETATQTGVQGRIVNVSSVIHGWVNKDHFSFNRMLQPKYYNATRAYAQSKLANIMHANEIARQIKERNANVTINAVHPGIVKTGIIRDHKGVITDSLFFVASKLLKSTSQGASTTCYVALNSKTEGMSGRYFVDCNESRCSTLANDDSEAHKLWKQTRALIHKRLRAN >KVI06284 pep supercontig:CcrdV1:scaffold_297:47031:50433:-1 gene:Ccrd_015355 transcript:KVI06284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFQLPCSLFLLLTLLLLVPSCFSLTSQGQALLSWRKSLKGPNLQALDNWDSTNETPCAWFGITCDVNKNVVELSLSYVDLLGTIPSDFSSLLTLKKLLLSGTNLTGSIPKAIGSLRDLTYLDLSDNGLTGEIPKELCDLQKLVELYINTNKLQGSIPVEIGNLKNLVVLTCYDNQLGGSIPTTIGELKNLQVIRAGGNKNIEGPLPDEIGNCTGLVMLGVAETSLSGFLPPTIGYLKKLQTLAIYTTLLSGQIPAELGDCTDLQNIYLYENSLSGSVPSTLGNLKNLKNLLLWQNNLVGIIPPELGNCLQLVLIDISMNTLTGVIPVTFGNLSSLQELQLSVNQISGSIPTQLGNCTSLTHIELDNNQITGTIPSEIGNFENLTLLFLWQNHLEGAIPSSISSCQNLEAIDFSQNSLTGPIPKGLFNLQNLNKLLLLANDLSGQIPPEIGNCSSLIRFRANDNHLIGSIPPQIGKLKNLNFLDLGSNRLTGVIPPEISGCQNLTFLDLHFNSISGSLPASINKIVSLQLVDVSENQIEGTLSPSIGLLISLNKLVLRRNRLSGPIPSEIGSCMNLQLLDLSSNQLSGEIPANLGKLPALEIALNLSCNKLSGKIPSEFDALDKLGVLDLSYNQLSGDLQNLVDLENLVALNISHNDFKGHVPDTPFFSKLPLSVLSNNPSLCFAGNECTADKGSASRRSKSAKVAMVVLIGVAIVLFLSAFYIILAGKIRSRGRDLDDADVEMGPPWEITLYQKLDLSIADMAKYLTPDNVVGRGRSGVVYRANIPSGFPIAVKRFQLSENYSADAFSSEIATLARIRHRNIVRLLGWAANGKNKLLLYDFLPNGTLGTLLHDGSVEVVEWETRFKIALGVAEGLAYLHHDCVPPILHRDVKVENILLGDRNDACLADFGLARLVEDDNNSYSAKPQFAGSYGYMAPGKPIILSYRVGYTDFVRFALLLVSKKDPVDIIDRKLHGNPDSQIQEMLQALGISLLCTSNRPEDRPTMKDVVALLREIRHEPSTTNESHKETNKSRNDSSVPGLYSSSSVTPAQLLIKGSSNCSLAYSS >KVI06291 pep supercontig:CcrdV1:scaffold_297:232093:232493:1 gene:Ccrd_015367 transcript:KVI06291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSDSVRWTTSFIMSAAILEFLLWTILSHLDALVTNYGDKDFAAVVEECHRVSPDVKIRVVLFPVVSLKTISLVSSADSLVDFLVSSSLQDPMVANMSQKERNNV >KVI06282 pep supercontig:CcrdV1:scaffold_297:100026:106813:-1 gene:Ccrd_015357 transcript:KVI06282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISDSLTSFTGITFPKALFPTQKLIGNKSIYYRKSWLVFEKISRTRPQYFVREGYGAKHAISVGRGNHELSFNNDVKEPFWGLKSLFAFLAEQPSQLKYIEWPGFQNTVKTASLTLVLVAMLIVVLSSADSGLWYLLVKILRRPA >KVI06299 pep supercontig:CcrdV1:scaffold_297:267958:271986:1 gene:Ccrd_015369 transcript:KVI06299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MASSSIVIKVKFGETLRRFSALVHDKELALDVVMLRAKIRSLFGFDSDVDFTLTYVDEDGDEVTLADDDDIHDVVRQSLNPLRITVKLNNGKSDEPSGTSTPLRSLNSGVSEILKSVPEPFRXVLAKLPLDLASKASSSAPGIAELVEKLTKTYLNQLSDPIASPKAPTPGXGSATVNGZKXKNSESSNVNGRKGKTSGPSVVKDSKVPVDPELIKSKSKKKEEQVQKVNEGVKFKDVQPPRAVDLNVPYFDYEAFQTPFXTNKGVEGSNGRTYSQVVSDLPSMKNKDTTDGSSEKKNAGFAAPCLDYLKQYIQDHNVTEMGGLSSADIPKATDDYSGSSSGWAQGMLNATNQCPFSGMPLPNDLSLHAYQPSRGPWRRSYNHGNGIGNIFHRGVRCDGCGVHPITGPRFKSKVKEDYDLCSVCFAGMGNVADYIRLDRPANLVRHHMPFKGFHDPSLRIPPPTLPHALRAPGTKLPRSKLDSRFILDVNVLDGTLMAPFTAFTKIWRMRNNGTVIWPRGSQLQWIGGDRLSNSRSVEIEIPADGLPVDKELDVAVDFMAPELPGRYISYWRMASPSGQKFGQRVWVLIQVDTSMKDLGETSINLNLPPVMRNAEVVDQNQVMDSNGVLPENNFIRVTDSEDWQPKDQEMNFPINDTLIIDNNNNKKDGESSTGADSPPPLGPLVSSLLYPDIASATSSPPVGPAVSSALYPTVGGADTGSSPDQIIYPGIEYSEAGPSAPSPTAAVVVQPSGSASAEVADDRESALLMELEEMGFRQADLNKEILRTNNYNLEKSVDELCGVSEWDPMLEELQEMGFADNEANRRLLKKNNGSIKGVVMDLINGERA >KVI06302 pep supercontig:CcrdV1:scaffold_297:283473:293768:1 gene:Ccrd_015372 transcript:KVI06302 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEISVQNVTNGGISHDQTGIVPEPGVASSPAPTMAEDKFLVSEVEVLVIIVVEVSLKPSSTARIEDVKLAVERMLEKRSLSYVDGPIDIPLSDTFLVDNVQRICICDTEEWVGNHDILLFWQVKPLVHVFQLSEEGPSEDLDGDSQLSSFSEWALPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRFSSRYPHCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQDMVEEENNLIFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRAGILSSSQDGDHVILLSYAGLKDKLASATTENSQSHWDISKYLLEAAEACEGLSGRSLRKLPFLTHAALVDSKCHDPTKFLRTMINTISRER >KVI06301 pep supercontig:CcrdV1:scaffold_297:307516:311124:-1 gene:Ccrd_015375 transcript:KVI06301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 MQSFCGRIRLLTKTAIKSPPSFDLPSSRVCSSEPNDFLHFSRLFPSFFETKNYGSLRELPASRIGTGVPSVASAYRESRPGFFSRTQLVPHLTTRGITTSDDSQKSNPQDASASVTGVAPRIKFKRLDKTARHIMQAGNPTILDKEAVEEVRTHREIPDVRPGYIIQLRLEVPENKRRVSTVKGIVIARRNAGLNSTIRLRRLVAGVGVESLLPLYSPNIKEIKVLDKKKVRRAKLYYLRDKMNALRK >KVI06285 pep supercontig:CcrdV1:scaffold_297:12345:14685:-1 gene:Ccrd_015352 transcript:KVI06285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory factor, effector, bacterial MGKRNLSLSTIVNLVCVLGLTNAYESPEYTVIHSESEFEIRLYTESVWMTAPVKEISFRKATNDGFHRLFQYIQGANLNNSRVSMTVPVLTSLVPGAGPLGSSAYVVHFYLPTKFQATPPLPLPELNLLPDSWTSCCKAVRQFSGFARDKNTVKEAENLATSLSRSPWANSTSSSSKFAYSIAQYNSPFKFIGRVNEVWVDIDGCEPTMLATY >KVI06294 pep supercontig:CcrdV1:scaffold_297:176339:183253:-1 gene:Ccrd_015364 transcript:KVI06294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MASASQKASHSCVDSLPIRRQIVPASASAAADVVVVEDWNNDDRPLPIKNSVITPTDPSANASTKGIQVLTRDQTSHPLDPLSAAEIKVAVATVRAAGATPEVRDGMRFVEVVLSEPDKNVVALADAYFFPPFQPSLLPRSKGGAVIPTRLPPRRARLVVYNKKTNETSVWTVELSEVHATTRGGHHRGKVISSRVVLDVQPPMDAVEYAECEAVVKDYPPFREAMKKRGIEDMDLVMVDAWCVGYHSDADAPSRRLAKPLIFCRTESDSPMENGYARPVEGIYVLVDMQNMVVLEFEDRKLVPLPPADPLRNYTPGHTRGGVDRSDVKPLQILQPHGPSFRVNGHYVEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFIGGVETIENCVCMHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKAGEAYNQVVEVDVKVEEPGKDNVHNNAFYTQETLLKSESQAMRDCNPLSARHWIVKNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYASGEDFPGGEFPNQNPRVGEGLASWVQQNRSLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFMLQPHGFFNCSPAVDVPPGACESDVKDSSSHVKDAIAPKAVSNGLIAAKL >KVI06286 pep supercontig:CcrdV1:scaffold_297:726:3206:-1 gene:Ccrd_015350 transcript:KVI06286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLSSTTLHHFCKLEHVLASTTLHHFCTIFIFALLAKKSAHFTISFVPNLSFMHLQNNVLASNIVICVVFYICHQISGICRCKNVADGTSNEKKMIEAGITPNAVTWSSLISACAKAGLVDQAFVLFEEMVLANCMPNSQCCNVLLYACVKAFQYBRAFRLFQSWKRNSFQMAFGDNYIANRQSLSKGGLQIDMKVPFKPTTSTYNVLMKACGTDHLRAKALMDEMKRFGLXPNHISWSTLIGVYGGSGDVKSAVQVKSRSEVLMQILETMRESGVQPDVVAYTAAIRVTYNTLLRARTRYGSLKEVQQCLSIYQDMRKAGNIALKTSALGKGIGIIASLVGVISSLHPNPTEPDFHVYTVLHVQIPTEIRINVENPFSPRSNLDKKTPPPEDQQFCRG >KVI06287 pep supercontig:CcrdV1:scaffold_297:10322:12078:1 gene:Ccrd_015351 transcript:KVI06287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MDPKSPSKTKLPTLGFIRHFRAFKLAIAIVIIVLLILIGFYLVRNPGFNRNSSYFTVVLDCGSSGTRVNVYEWMMSNDGIITNSNWELPILLHSFPDNSTKIEDQKDGCAYHCMQTEPGLDSFVGNASGVKESLEPLIQRAEKWVPQERYKDTPIFVLATAGLRRLNQEVAMGVLDDIEVVVKLHQFRYRKDWIRVLSGREEAYYGWIALNYHMDVFRNSSRLATLGLLDLGGSSLQVATEIEDPTMDDHHDGVFRSKIGSFEQPILAASLPAFGLNKAFDRTVLMLLRERGLETSEISHPCLGHGFTQNYTCRDCFIDGNSPTQMRESDKFSLHLVGEPNWEKCKRLARAVAINSSSSSDWSKLPDDSNCTRLSSMSDENILKMVGGSHSVARFHALSGFFAVYNLLNLKASANLSMIWEKGQQLCSRSLNMPTKQKYADFLCFRVPYMVSLVENTLCIGDRDIVFGPGELSWTLGAVLVEGKDVWLSDASRARNIIPDLRFRRVILSPYFLFVLLAFLLFIVYRSQIKLPMPGRKAASLPSYVGPKRRPA >KVI06305 pep supercontig:CcrdV1:scaffold_297:277496:279589:-1 gene:Ccrd_015371 transcript:KVI06305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTVISSAGITATKPIIITPFPDNPKHLILEQCKTVKDLNQIHAHLIKTRFISSPSVAENFLEAAAIILHHQPMDYALSVFEKSNEPNSSAYNIMIRGLILKNFPHEAVLMFKKMNENSVQPDEFTVLTACGRVGALELGEWIKEYIEANRLKGNATLVTALIDMYAKCGQVDTARSLFDQMSRRDVVAWSAMISGYNQASRCKEALSLFHDMQKANVEPNEVTMVSVLSSCAELGALATGKWVHFYIKKKKLTLTVTLGTALLVFYAKCGSIENLIEVFEQMPRKNVLSWTVLIQGLANNGQGNRALKYFDLMLAERIEPNDVTFIGVLCACSHAGLVDKGRGLFVSMNRDFEIEPRIEHYGCMVDILGRAGLLDEAYQFIQNMPMKPNAVIWRTLLASCKVHKSVRIGEESLKQIMSLEPVHSGDYLLLSSIYASVGRSEDALRVMGEMKKNGIKKSPGCSSIEVDGLIHELFAEETAHPESEKIYAATEKMMKQIKLAGYVPNTAEARLEADEYDKEASLSHHSEKLAIAFALIKTFPGTPIRISKNLRVCTDCHEAIKIISKVYDREIIVRDRTRFHHFKDGSCSCNDYW >KVI06290 pep supercontig:CcrdV1:scaffold_297:26149:29517:1 gene:Ccrd_015353 transcript:KVI06290 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MPPSSSFSTSKSPSTKTSIIFTFVSILLLFVPSVVSLPKNETAFRPMQELKKLKRIRTHLKKINKPSIKTIQAFFLFTFIHFLSPDGDLIDCVLSHLQPAFDHPKLKGQKLLEPPLERPNGHNSSDETIETFQLWAQSNEFCPEGTIPIRRTTEKDVLRASSLQRFGRKIRGRVRHDTTSGGHEHAVAFVNGDQYYGAKASINVWTPMVTDPYEFSLSQLWVISGSFGNDLNTVEAGWQVSPELYGDGYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAIGAAVSPRSSYKGKQFDIGIMIWKMGSGHFADEGFGKASYFRNLKVVDWDNSLLPLTNLHLLADHPNCYDIKAGKNNVWGNYIYYGGPGKNGRCQ >KVI06289 pep supercontig:CcrdV1:scaffold_297:127327:129996:1 gene:Ccrd_015359 transcript:KVI06289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MPPSSSNGALNGVPNGGVCSSVSLEGTQFLANGHPFLTHVPLNIISAPAPFHSKDLTRNIIGSFVGFDADKPRSRHVAPIGKLRSIAFMSIFRFKVWWSTNWIGNNGKDMEYETQMMLLDKSDLGRPYVLLLPLLEGPFGASLQPGNDDDVDICMDSGSNLSVRSTFRSCVYIHVGTNPYQMVKDAIRVIKNHLGTFNLLEDKTVPXVVDTFGWCTWDAFYLKVHPQGIREGVQGLAAGGCPPGLVLIDDGWQSISCTDNPDDQQEGMNYTVAGEQMPCRLLKFEENYKFRDYQSKRVPYKKGMGAFVSDLKEEFKSIEHVYVWHTLCGYWSGLRENFPGMSPENCEVVEPRMMREGLQTTMEDLAVDQIARHGVGVVSPDKVGDMYEGLHSHLEATGIDGAKVDVIQVLEMLGAKFGGRIMLAKAYYKALTASMKKHLKGNCVIASMEHCNDFMYLGTEAIALGRVGDDFWCTDPNVEHWLQGLHMVHCAYNSLWMGNIIQPDWDMFQSTHPSAEFHAASRAISGGPIYVSDSVGHHDFVLLRKLVLPDGSILRCRSYALPTRDSLFVNPSHDGKSMLKIWNLNKFTGVLGAFNCQGGGWCSKSRQNKIVFKAKCMVTCLVSPKDIEWSNGSGKNSVPIKGVGVFAVYMHRQKTLKLLKGTESLEISLPPFNYELLTVSPVKVVGTKAVEFAPIGLVNMMNSGGAIEWLNYDGENVVEVGVRGCGKMVVYASEKPRACLVNGVGIDFGYNGRMVIVDVAWLGSRGLSELKYMF >KVI06303 pep supercontig:CcrdV1:scaffold_297:301355:303536:1 gene:Ccrd_015373 transcript:KVI06303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought induced 19/ RING finger protein 114 MEMDFWGSRAHSAKHHHHHHHHFHTLQSGRLNNADNHPMMDGEDDVRAWFPCPFCYVEIEIPVLCCHLQEEHCFDLKNAVFPSTLYLLINHLTFYNQSHFDLNRNGSVCPICAANLGKDAIAHFTSHHVHSVKRRRKSQKSGLWSNGMNIAREMTDRGNMQETTPDPLSAFFIKEAEEPKDHEEKSHRAAFVQQLIFSTIF >KVI06304 pep supercontig:CcrdV1:scaffold_297:274438:276522:1 gene:Ccrd_015370 transcript:KVI06304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MREVATWSRVQHKNLAKFIGACKVPMMVIVTEILTGGSLRKYMLNKRPGSLDMHVAIGFALDIARAMECLHSHGIIHRDLKPENLVLTKDQRTLKLVDFGLAREESVTEMMTAETGTYRWMAPENVRPSLENLPEDLAIILSSCWMEDPDARPNFSQIIQMLLHYFSTILPSKSMIPSRLFTDENAPMSPDSPGTSALMAVCNYTGDTPRGEMENKPKGFFFCFNQCY >KVI06292 pep supercontig:CcrdV1:scaffold_297:217012:222176:-1 gene:Ccrd_015366 transcript:KVI06292 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MNRYDARVVDAGSYHQRRSDFMGLPPMGPPAVGAGTGGAVPNYVRGGPAPFRGPPTGPPSSVGGGRGVGSGFPPFEPGVRGGFDVGAGGPVGGRGFGSVRGGRGGGGRMSNGGFDGGRGRGGRGFGSGRGGSRGGGGRGFDRGSRGGARDGGRGFGSGGRGGGRSFDGGRGGGRGGRGGGSKGDLDNIALPKQDFGNLVPFEKSFYIESPSVRAMTDQEVALYRGRREITVEGLDVPKPIRMFHEAGFPGNQSASRDIAAQGWPMALKGRDLIGIAETGSGKTLSYLLPALVHVSAQPPLAHGEGPIVLVLAPTRELAVQIQQEAGKFGSHSNIRSTCIYGGAPKGPQIRDLQRGVEIVIGTPGRLIDMLEARHTNLRRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTLYWSATWPKEVESLARQFLRNPYKVIIGSAVLKANQSINQVIEVVTEVEKYNRLIRLLKEMMDGSRILIFVETKKGCDQVTRQLRMDGWPALSIHGDKSQDERDWVLAEFKSGRSLIMTATDVAARGLDVKDIKCVINYDFPSSLEDYVHRIGRTGRAGAKGIAITFFTHSNSKHARELIKILQEAGQVVPVALSSMTRSMGPGGGSGGNFRSRGRGGFGGNRGMISGSNTIPLGSKTH >KVI06295 pep supercontig:CcrdV1:scaffold_297:171822:174559:-1 gene:Ccrd_015363 transcript:KVI06295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMISLKFQPSIPQVLPYPYPFSSKPKFLTLRSHISSAKNHDDGIPTDLVKTLAKFKSRHNFIRVLEVSRKSDHPFAGSRLLLLDAPGNIHSISFLLKLLTGTYFDVFATFPPILPPGPLGILGFGAGSAAKLILELYPQGVVHGWELDPAVIAVGREFFGLSKLERQFPDRLFIYVGNALSANMDSGFSGLLVDLFSKGCVIPELQDPDTWMKMKKKLKEGGRIMVNVGGSCVEAEDSRRDGKVIMQETLKAMEKVFPGEVWVLNLGSNDDDSSIAVTGSLPNLDLWKKDLPKSLRLSLHSVDSFTTSLSIVKSKSKSLFSRTRRLSGRRTLALRLSGRRPSPFAASPFAALPFAVSPSAPLP >KVI06297 pep supercontig:CcrdV1:scaffold_297:162167:166485:1 gene:Ccrd_015361 transcript:KVI06297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase-related protein 2 [Source:Projected from Arabidopsis thaliana (AT4G24670) UniProtKB/Swiss-Prot;Acc:Q94A02] MVDFVVFLKNVLVVSLAMNIGLIWKISYNNEETLSSKWSSWGNLQQKLHFHAFGCNGDRREEEEAQISKNTQLLSTTSSASASAPAPPEIPDGGESVVINLDHGDPTMYESFWKQKGKEITVVTSGWQHISYFSDVKNVCWFLEPQLVSAIRRLHKVVGNAITEGRHIVVGTGSSQLYQAALYALSPPNAPQPMNVVSAAPFYSSYPLMTDYLKSGLHKWAGDANEFNKEEPYIELVTSPNNPDGFTKQAVVKGDKGILIHDLAYYWPQYTPIILPADYDIMLFTVSKSTGHAGTRIGWALVKDREVAKKMTTFIEINTIGVSKDSQIRAAKILQAVSDSCDHANQKEAAESFFDYSYKHMEERWKKLREAVNKTQLFSLPSFSMETCCFSGRTFGQLPAFAWLKCEGGEVGDCESFLRKHKIYTRGGKHFGASSEYTRISMLSRDSEFKLFTERLPAIVS >KVI06300 pep supercontig:CcrdV1:scaffold_297:303876:305342:-1 gene:Ccrd_015374 transcript:KVI06300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein MASISAAATAAASSKPPFSFASPSSSSSSSTPLFTLSKPTTKLVLSSSFISKSTTNLFLHTPSSSSAAPSHRRRSLTVRAARGKYERTKPHVNIGTIGHVDHGKTTLTAALTMALASTGGGVAKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHVLLAKQVGVPNMVVFLNKQDQVDDEELLELVELEVRDLLSSYEFPGDDIPIISGSALLALEALTENPKITKGENKWVDKIYELMAAVDEYIPIPQRQTELPFLCAIEDVFSITGRGTVATGRVERGTVRVGESVEIVGLKDTRTTIVTGVEMFQKILDEALAGDNVGLLLRGIQKIDIQRGMVLAKPGSITPHTKFEALVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVNSIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSIIE >KVI06293 pep supercontig:CcrdV1:scaffold_297:212575:213612:-1 gene:Ccrd_015365 transcript:KVI06293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15, conserved site-containing protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHCPIVNVDKLWSMVPQDVKEKASADKVPVVDVTQHGYFKVLGKGMVPPSHPMVVKAKLISKTAEKKIKEAGGSVLLTA >KVI06288 pep supercontig:CcrdV1:scaffold_297:109546:115108:-1 gene:Ccrd_015358 transcript:KVI06288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MAPSLRKGALNDLQNEAVLSSVSLEGTHFLANGHPFLTNVPRNIISTPAPFTSKDVTRNIIGSFVGFHTDKLRSRHVAPIGKLRNIPFMSLFRFKVWWTTHWIGNNGKDVEFETQMMLLDKSDLGHPYVLLLPLLEGPFGASLQPGNDDDVDVCVDSGSSLAVGSTFRSCVYIHVGIDPYQVVKDAMRVIKNHLGTFNLLEDKTVPAVVDTFGWCTWDAFYLKVHPQGIREGVQGLAAGGCPPGLVLIDDGWQSISCTDNPDDQQEGMNYTVAGEQMPCRLLKFEENYKFRDYQSKRVPYKKGMGAFVSDLKEEFKSIEHVYVWHTLCGYWSGLRENFPGMSPENCEVVEPRMMREGLQTTMEDLAVDQIARHGVGVVSPDKVGDMYEGLHSHLEAVGIDGAKVDAIQISELVGEKFGGRIALGKAYYKALTLSMRKHFKGNCVIASMEQCNDFMYLGTEAIALGRVGDDFWCTDPNGDPYWLQGLHMVHCAYNSLWMGNIIQPDWDMFQSTHPTAEFHAASRAISGGLVYVSDRVGHHDFVLLRTLVLPDGSILRCQSYALPTRDSLFLNPSHDGKSVLKIWNLNKFTGVLGAFNCQGGGWCPKSRQNKLHFEAKCTLTCLASPKDIEWSNKSGRSFIPIQGVGAFAVYMNRRRTMKILKFTDSLEIALSPYNYELLTVSPVRVVGRKAVEFAPIGLVNMLNSGGAIESVKYDGENVVEIVVRGCGKMAIYASEKPRACLLDGVGIEFGYDERMVIVDVAWPGSCGLSELRYLF >KVI06298 pep supercontig:CcrdV1:scaffold_297:147608:151896:1 gene:Ccrd_015360 transcript:KVI06298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1421 MEHYKSRNSDFFHFTNPHDDVSELDDEDYDELQYYDVDVDDDDDVDDRSCNFSFHTFSSLGVTPAPLPNFQTNGLMNQNDTVTTSSMEELGTFDNGALIFQLDNIMKNLSDNLQHRIEGIDTQISRLEDETCKIHKYVEDVKDSTERYHGTTHRKLRQMHSILQEVQDGVLFLRDKHEIAETRLQLAKLQGSKVKRSQQWVSGGTL >KVI06283 pep supercontig:CcrdV1:scaffold_297:32168:42772:-1 gene:Ccrd_015354 transcript:KVI06283 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MGLNIGGVVEKVNGHELSYSTFVEKYLSKNEPVVITGFMDDWRACRDWVFDDGRPNLQFISSNFGDSKVQVADCGSREFTEQKRFEMSVSEFIDQWLEFSLLEHGNHPPSKLKGKTLLYLKDWHFVKEYPEYTAYTTPLFFLDDWLNLYLDHYHMHEDPDTNQKRDDLRCSDYRFVYMGAKGTWTPFHADVFRSYSWSANVCGKKQWYFLSPGQRHLVFDRNMKSSVYDIFEEVSETIFPNFKKTIWLECTQDQNEIIFVPSGWFHQVHNLEDTISINHNWFNAYNLSWVWDLLLGDYKEATEYIEDVKDICDDFEGLCQRNLAANTGMNFYDFFNFMVRFSFANILQLCHLAGSSAFAKWRSSCKAQHFIFNLESTKDIAIKMKFTCLSEIHNFSLDFRSILEEPAFLELCFQLERTGAFIHDQHAPIDNTKKYSMDDLVDLGFCNLFSTVVCNPEDLVILIDRTLQNLIILPWERSRMAGVNEIEFPASL >KVH96097 pep supercontig:CcrdV1:scaffold_2974:49472:53448:1 gene:Ccrd_001818 transcript:KVH96097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDPPVMMNGGGFRSGNTGSSLCNLTEIWPFPINLAGGGGGGNAASYGMGQLGDHAGSTSAFVDNRDHVAMDDPMVVDQRGNSNQSKKRREDDDSSKGVSTSSRSNGNGMLDSDGKRLKTLALESENSESKPEVERSSGKRAENNAKPSEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQQQVEFLSMKLEAVTSRSHPSPQGFPSKDFGQQTFDMAGVAFGSQPTREFSRGSSPEWLHMQIGGSFERTT >KVH96098 pep supercontig:CcrdV1:scaffold_2974:9675:10928:1 gene:Ccrd_001816 transcript:KVH96098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MPLNTNIRPSSTTNLAFVSLIILLFSPRTTSQACKSSCGAIPVKYPFGTGPGCGDPRFQTRVTCNNQHLTFITHTGCYPITAIDYSNQIIFITDPTMSTCACTQPSKGFSLDWDAPFSFHDDTVFSLINCDASTSPVFKSDGRNDSLSPVCDSESGSRVCGLLNSCQAVSRLDIPVSTCCVYTPVDLGPSFEMDLQKLKCDSYSGLYGFSGHADNPDSWKYGIAIKYKFNFNNEYPELCANCEKTNGVCGYNGPYNSLICNCHSGINATSDCSFEATWNGSRRFLPFSNGAFWIYALAWILIWRMA >KVH96099 pep supercontig:CcrdV1:scaffold_2974:12676:17174:-1 gene:Ccrd_001817 transcript:KVH96099 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MGACLSTPESCVGGRIRSSKRKFRKRKKDLKRRVSTRLSDQPKSLPSDRSLVNPTFRGGSIDEFFYDSAAVLESDCSEEDFHSVLDDVVSLNGSEGASRASIASLRDVNHGDGESRRSSVHPEEMNPRSRSDGPNNDFQPVYIDEISSSVDDNAGRENDLLDCGVIPGNCLPCLAATVPSVEKRRSLSSSPPSARKKAVHKLSFKWRDGHPNANIFSSKMHLQRPIAGSQVPFCPVEKTVLDSWSHVEPKTFRVRGVNYLRDKKKEHAPNYAAYYPFGVDVFLSQRKIDHIARFVELPVVGSSSTELPSILVVNVQVPLYPAAFFQGEIDGEGMNVVLYFKLSDSYSKELSSQFQDNMRRILDDEIEKVKGFPVDTLVPFRERLKILGRVVNVEDLQLSAPERKLMHAYNEKPVLSRPQHEFYQGENYFEIDLDMHRFSYISRKGFEAFQDRLKNCILDGNKVEELPEQILCCVRLNGIDRMRYQMLGLNQEPL >KVI11152 pep supercontig:CcrdV1:scaffold_2978:29177:29961:1 gene:Ccrd_010438 transcript:KVI11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 MYRTFQRDSASSILSKWNLFQSYIPWFFTSTGNKYLIFLLLDTFSDLSSILSSSQKFILCMHQIYHGEFFRKLFLSSTMNLISEFSSKCFHNLLMFEEMIHRNNESSMISTHLTNVREFLYAILFLLLVVSYRQSSKKVKSFIITSSMIKLQKLLDRYPTSEPSSFWLKNIFLVVLKQLGDCLLLELLGGGPAYRVKSIRSKKKYLNINLIYIIDLISIIKSHQSNHIFEKYETSKSYK >KVI11154 pep supercontig:CcrdV1:scaffold_2978:33687:35469:1 gene:Ccrd_010441 transcript:KVI11154 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, insert domain-containing protein MDQSPGLRIYSNFQIIPRILGGLGGVILSTSRGIMTDREAQLERIAGEIGEGLIIESLPNDMFQVMFQERSDIVLYGYFQEIKSKLKPYNLSTPKQRFERLDDKIWKKTILKNGLHGRIGLHKSTRKVPKEVIHIQANVRGRVVSWSSAGTCGFRGTRRGMSFAAQTAVGNANAIVDQGMKGAKIMIKGPGLIRDAALLAISRSAILLIFVRDVTPMTHNGQANTIGITMRRDLLGEIEGTCITHAKSEKISHEYPTIMGIQESIHENLMNLKKSY >KVI11155 pep supercontig:CcrdV1:scaffold_2978:36628:56893:1 gene:Ccrd_010442 transcript:KVI11155 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase MGKKYHSSNISKGDANGSASSPIIDGRTLSPIFSKKMNNLYILEEDYDEEEEEEFDNNRWNLVTDAKSCYGLLFAPFATKVPMVPVHMWLPEAHAGPYITQKSYLEKGGQLARATSVVVKLIAKEGKSATLQLTSRKVRLISKNCSATVGQVENVGVNQKSLGRVGSKHWLELNLKAIFQPRRFRDAANNQRMASAFPCVDPISMGT >KVI11150 pep supercontig:CcrdV1:scaffold_2978:32389:33271:1 gene:Ccrd_010440 transcript:KVI11150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKALGTKMKSAINARIFTSITSSFFYFTITRDLIP >KVI11151 pep supercontig:CcrdV1:scaffold_2978:27152:29141:1 gene:Ccrd_010437 transcript:KVI11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 MLQNTVSINPISSDSGCNMVLKDEPDMDSSNKISFSNKNTFFDLFYLFHDRNRGGYTLHHDFESEERLQEMENLFTLLITEPDLVYHKRFSFSIDSNGMDPKQFLNGVFNSRIRKERVQITCGNDLEEPKPKIVVFDSNNIMEAVNQYRLIRNLTQIQHTTHRKEYSELLNLMKYTINQHLTNLKKSQKRWFDPLTFFLEPRDPGIGILIDIDTNGPLRAKTSRNVWNISFLSGRCSIDYLLNNIRLIGLRLSTKKICLSHFISFCPRCFFLSNSLSFLFVSFRNIPIQRSEIYINELKGPNDPQFLESTDTNLSDSEGKNLYQYLNFXSNMGLIHTPCSEKYLPSEKRKKN >KVI11153 pep supercontig:CcrdV1:scaffold_2978:30045:31355:1 gene:Ccrd_010439 transcript:KVI11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKGIDQILLSLTYSDHLSKNDSGYQMIKQPRAIYLQYLVDIHKKCILNYEFNTKSLAKRRIFLAHYQTITYSQTSCAANSFHFPSHGKPFSLCLALSISRDNLMIGSIEIGRSYLVKYLAKNSYLPFIMVFLKKVLDNKSKGFDDIDIDEIDNIGANYDIGSSYDIDVSDEIDASDNILDMVLQLLITMNVLTMDMVPEVEDQLYITL >KVI02140 pep supercontig:CcrdV1:scaffold_298:228164:228673:1 gene:Ccrd_019570 transcript:KVI02140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MATITLLHPYLLMILFSTAVATITTPPQTRQATTIKQFLDSHNVVRAKHNLPLLVWNTRLANFAKWHANTRRGDCALDHSVGDLGENIFWGQGRQWKVAEAVGSWAFQEKYYDSTHNACLPNTDCLHYTQMVWKSTKYVGCAKTKCNSGDTYVVCEYYPHGNVIGQRPY >KVI02153 pep supercontig:CcrdV1:scaffold_298:304713:305708:1 gene:Ccrd_019563 transcript:KVI02153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRKRIESIRIEQRTMNVSNVDVFDEFVNVVLHHQVAYCISCISRVNKNKFPLPNNDISLLMGSCSLAMASDSSPLQDFYVAAPKRRGSITIVNAVFDSNPVIVRDLLAKAFQMDKNVVYQIQSKF >KVI02136 pep supercontig:CcrdV1:scaffold_298:172619:176648:1 gene:Ccrd_019578 transcript:KVI02136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIQCNVCEAAEATVLCCADEAALCWTCDEKVHAANKLASKHQRVPLSTSNTQMPKCDICQEAVGYFFCLEDRALFCRKCDVAIHTVNSLVSSHQRFLLTGVKVGLEAADPGVSSSTGKSHSCDKIPEAEKLQSLQGRSVPMSATSQFNKVMPVQAAGTVDFLFPKMPFAGGSSAESIQQWQFDDFLGLSDFSQSYNYIDNGSSKADSGKFGESDGSPILRDMEVELDGEDCLGQVPDSSWAVPQISSPPTASGLCWPKDLPHHQHQIDSAAFVPDVCYLPVPNFYGSQQNTGTLKRRRHL >KVI02144 pep supercontig:CcrdV1:scaffold_298:194576:200245:-1 gene:Ccrd_019574 transcript:KVI02144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGLDLADRLTQGFTGLIQSHITPPSFTWPNPQPPKLFDVEFPSHNFVTRDLGLVIEKSGYGVNGVSAIFDIGSRLGKAGVDFGANLNGVVQQFFRRLPVPLFRHEEDQDTVVLRMDSGDQRTGDLGINTQVHEDIGVLSKRLKDFGYNETEKMKDESEEEEVSGFNSKLARFSGKPQGILNLSSTFDSRTHDVESSLVARGDFWRVEASRGSSTSGNVNPSLFLVQLGPVLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGVSTSAFLPLFGGLLQAQGRYPGEMRFSFSCKNNWGTCITPMVQWPDRSFTMGFEQALAWKRSGLMMRPTVRFSLHPTVGGSNPGVKAELVHSVNEKLNLIGGYSLTSHPSAFASLSVGRSKWNGDVGRTGIVLKVETPLGNVGRPSFSVQLNSGLEF >KVI02148 pep supercontig:CcrdV1:scaffold_298:4110:5930:-1 gene:Ccrd_019592 transcript:KVI02148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase, central domain-containing protein MASFSSAAVPSAAPATTNKTNTLPPSPLFSKTSSHRFKTHANQTLRFKISCNAAANDDDKSLNNSEILNLTPKRSPDMQNVDRRNLLLGIGGLYGAANYMTSIPSAFAAPITAPDNISDCIAANAGISDKKDAARTLACCPPVLSESAPKRFVLPKGAVTRVRPAAQRVTDEYLAKYNEALQKMRDLPDDDPRSFKQQAMIHCAYCNGSYRQKEQDGKPRKEIQIHNSWLFFPFHRWYLYFYERILGKLIDDPKFAIPYWNWDSPTGMTIPAMFEPDPAKGDPRKNPIFNAYRDAKHLPPVVVDIDYNGKERGASCIDQISINLAAMYKQMISSATDPISFFGGEYKAGDDPVNMGSPLIGSIESGCHTAVHRWVGNSRMPNNEDMGNFYSAGYDPIFYAHHANVDRMWKIWKGLGIKGHHDPTSEDWLEASYVFYDENKELVRVYNKDCVDTEPMGYEYETSRIPWSRNRPIPRTKKPKIAARSAGRVRKVEDVKFPLKVDRIVKVLVKRPARNRSKEEKEKEKEILFMDGIRFDSETFVKFDVYVDDKDDEPATTAAESEFAGSFAQLPHNHTDKMFITSAARFGLTELLEDIEAEDDDSVLVTI >KVI02131 pep supercontig:CcrdV1:scaffold_298:104018:110624:-1 gene:Ccrd_019585 transcript:KVI02131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKMTMEEMRTICPQPLDVLSDSESVRWLNHTMERIWPVCMEEIVSQKILLPIIPWFLQKYKPWTAKEAVIQTIYLGRSPPMFTEMRVCRQSTGDDHLVLELGMNFQTADDMNGVLAVKLTKRLGFGMWAKMHLTVGVKFLPRWPFLGRLRVCFVEPPYFQMTVKPIFAYGLDVTELPGIAGWLDNLLTLAFEETLVEINDLRDGQRHELWLPLHSMKTGRLHIAIRVTQVDGKLTKSSAPETAKKGASSGELFEKSTPVEDDFEPVDVKGQQQTSIWVHHPGTEIPQVWEPRKGKKRVQKDSESVGSSTKSGSYLDDASCSDSSLEGKKTNSKNRVKRGLSKIGSVLNRTLKAQSGKSRSLKKMDTNNNWDLQSPSPHENVKAVNANEATVNLVMEEPKMEVRQSPEGSYSNSPDKRKVKDVAKSILKHAGNSARSMKYVFTPKGSKIRCDTDLAVESDSSFEDSIPPPFYEPEEMSPSVSDTKSEDVSGLMDSVGTADEVYEDSL >KVI02154 pep supercontig:CcrdV1:scaffold_298:310122:316005:1 gene:Ccrd_019562 transcript:KVI02154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MTSRLFLLSLHMGSCSLAMASYSSPLQDFCVVVPKRRVFVNGEVCKDPKIVRADNFFFSGLHLMRDTSNAVGSNVTVVTVAELPGLNTFGISMARIDFALLVFVNGKVLTLHTHTPPKATEILTVLEGNIEVRFVTSNPENYLISKDLQKGDVFLFPQGLVHFHRNIGNNYAVVIAALSSHNPGAITIVNAMFDSNPDIARDLLAKAFQMDKNVVY >KVI02130 pep supercontig:CcrdV1:scaffold_298:102566:104974:1 gene:Ccrd_019586 transcript:KVI02130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MITRSIIASTAKLRKIYQLNWYHSAASIDSSTKPHPFNLLDHKDWLSPNEVVKIFETLKDPNSTLTVLNQLSKRKDYKPNEALYASVIDNLSKAKNFDGIEQVMKLIKLEKGCRLSDGFFYNVIRIYGLGAGRINRAIDTLFDMPSYGCWPTPKTFNFVLNLLVNTKQFDVIHEVFMAAGKLGVEIDACCLNIMIKGLCKNGDVNAALQVFDEFPKQNCKPNVRTFSALIHGLCESGRIEEAFSFLEKMETEGVDPDTILINILISGLRKNGRIQESIELFNRMLLKGCEPIPSSYQEVLYALLDSRKYAEAINLTQKMSSKKMVPSFESYKLMISGLCEENLMSDVNLVLKQMIENGFVPKMAMWRQILQCMLSGKTTSYSV >KVI02139 pep supercontig:CcrdV1:scaffold_298:212609:216289:1 gene:Ccrd_019573 transcript:KVI02139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ysc84 actin-binding domain-containing protein MDQPDVVRYPLIHLHDYEPKIFPPKGSEGVNEYSNGGGSCDPRTEEMPVLEAKKTKTIVGSRVGSDTHEDSNDDHEKKESENFYYDPPITEDTGVWIPVSVPPMSESQREEWNRGIRMNTNYIRDVDMDWDQFVAEDKELTMWDVVLDMLLAAGGRVRSITSGDSYAYQISWLSDQLLEHTWKEVSHNLTEVNAGGIRELLEADPPKWLADSAAAYCMLCNVRFHPIMCSRHHCRFCGGIFCNECSKGRSLLPIKFRHENPQRVCDVCCVRLESIQPYLMEQVSRAVQVPTQDVTDLSTLRSWLNFPWGQTMEYEIYKAANTIHGYFKVGSLSPEKAIPNSILKKAKGLAILTVAKVGMMVTYNVGTGLVVARREDGSWSPPSAISSFGMGWGAQVGGEVMDFIIVLRNSDAVKTFGGNAHLSVGAGASAAAGIVGRAAEADFRAGDGAFVGCSVEGSIVTTRTQENCRFYGNPSIKTSDILLGSLPRPPAAAILYDALSKLYMKVGRR >KVI02126 pep supercontig:CcrdV1:scaffold_298:111717:114110:-1 gene:Ccrd_019584 transcript:KVI02126 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVSKVVIAIVVAIGVMIVRKVLNWAWLKPKKLEKWLRDEGYKGNSYKVVVGDMEELSTMMMEAKSKAMPITHDITSHVLPFDHHIISKYGKRSFMWFGPTPRIYITDPELIKEILWRPNEFQKPHPEPIRDSIIAGLLASEDMNDKWQLLTAETGVMEVDVWPFIDNLAGDIISRTAFGSCYEEGRKIFRIQKEQTYLKAIDIGEHGDNDLLGILLESNSKEIEEDGIGMSMEDVIEECKLFYLAGSETTSNLIVWTMVCLSLHQEWQIRARQEVQQVFGTEELNFDGLKHLKIVTMILNEVLRLYPPAVMVTRATSKETKLGNMMIPSGVHITIPIIYVHHDREIWGEDATEFKPERFSEGVANATKTGGPSAFLPFTSGPRVCIGQNFAMIEAKTAIAKILQRFSFQLSPSYTHSPFPVFTLPPRFGAHLILRSI >KVI02134 pep supercontig:CcrdV1:scaffold_298:139275:143002:1 gene:Ccrd_019581 transcript:KVI02134 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MATYTPKNILITGAAGFIASHVANRLIRSYPDYKIVVLDKLDYCSNLKNLNPSRSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETEEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKRERRVIDVAKDMCKLFNMDPEASIKFVENRPFNDQRYFLDDEKLKSLGWSERTRWEDGLKKTIEWYTSNPNWWGDVSGALLPHPRMLMMPGGVDRLVDGPENAEFDSDDVANSGSQIGIVVTTPKSSSTSTKKPSLKFLIYGRTGWIGGLLGKLCEKQKIPYEYGRGRLEDRSQLLVDIQTVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVSGTLNLADVCREHGLLMMNFATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSFYSKTKAMVITIS >KVI02133 pep supercontig:CcrdV1:scaffold_298:135763:136976:1 gene:Ccrd_019582 transcript:KVI02133 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MPSKFFAYLFLLSSFLSVGMFGSVYGDKKTWCVAKPSSSEAMLLENVNYACSQVDCGILAKGGACYLPDNLINHASIAMNIYYQCKGRNSWNCHFGNSGLITITDPSYGGCHYT >KVI02142 pep supercontig:CcrdV1:scaffold_298:185447:188420:1 gene:Ccrd_019576 transcript:KVI02142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 INWTSPARKPYPPSLNKEHQRRRLPAEENQHQMAMAYATSSSVMFASSPSSLNNNNNNRSPVLFGFSTMAASASSPKNIVGARTNRNFQIRCEDQKVQQRAFAPVEQRWMFTDSDFTGPDVWNKTWYPKAEDHVNTAKTWYVVDATDKILGRLASTIAIHIRGKNLPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYRRHSGRPGGMTVETFDQLQQRIPERIIEHAVRGMLPKGRVSSHFLNKLGRDLFTHLKVYVGPDHPHQAQMPMDLPIRDKRIQRQT >KVI02147 pep supercontig:CcrdV1:scaffold_298:20092:21909:-1 gene:Ccrd_019591 transcript:KVI02147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase, central domain-containing protein MASFSFSTLPTTFSGSPSFSKASSHRFKVSCNAASGDTNHPETTPKLITSLDMTHNVDRRNLLLGLGGLYGAANFTSLPSAFATPIAAPDNIADCVTASSNLQNPTDVVRGLACCPPALSSKPEIYTLPVNPVTRIRPAAQRATPEYIEKYKAAIQEMRKLPDDHPHSFKQQAMIHCAYCNGGYNQEQSGHPELQLQIHNSWLFFPFHRWYLYFYERILGKLINDPTFAIPYWNWDHPTGMMLPAMFEDADPDTTPKQNPIFDPYRDVTHLPPAILDAEYAGANTGADCVNQISSNLASMYRQMITNATDTTSFFGGEFVSGDNPLQADTLIAGSIESGIHTAMHRWVGNPRMANNEDMGNFYSAGYDPVFYVHHANIDRMWKIWKDLGIPGHVEPTSDDWNNASYVFYDENERLVRVFNKDSIDIGRMGYDYERSSVPWLQSRPVAHAKRSKAAAQSVGTVKKVEDVEFPVKLDQVVKVLVKRPATNRTKEDKEKAHEILLLNGIKFDGEKFVKFDVFVNDVDDGIETTAAESEFAGSFAQLPHGGHSEKMLMTSGARFGITELLEDIEAEDDEYVLVNLVPKIGCDDATVSEIKIELVPIVQT >KVI02151 pep supercontig:CcrdV1:scaffold_298:268942:271383:1 gene:Ccrd_019567 transcript:KVI02151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4e, central MLDKLGGAFVNVVSIPKTNENFRLLNDIKGRFKLHSIRDEEAKDLTSSGACDPLCSVDETSSQEYEATYQPKTDLLKAVAIFGAXATGTVAINHSWVAANQDLAMALLFGIGYXGIIFEESLAFNKSGVGLXMAAPSTDIAVAELTHASAEVSEIVFFLLGAMTIVEIIDAHQGFKLVTDNITTRKPRSLLWVAACYSHDGQVHENPNCNHDMVPPVVAMD >KVI02143 pep supercontig:CcrdV1:scaffold_298:177995:181675:-1 gene:Ccrd_019577 transcript:KVI02143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, TMEM19 MATTPLTTIPITLSSLTLRRRRCTNFSSFFRPAKKPLICTFTSNLVKASAMESSFSSTRVQSSGGSSVPDLGLVQRAIQLAQLSPPTWQSAIFSNLVIFLLGSPILVTGLSLSGIGAAFLLGTLTWRGFGPSGFLLVATYFIIGTAVTKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCALLSIYGVGGKPFSRLWELGFVASFCTKLSDTIKVAEAVICVLASQIANVGESVIGAALQEKEGFKWLNNDAVNVINISLGSMLAILIQQVVLQNWIT >KVI02132 pep supercontig:CcrdV1:scaffold_298:124932:130133:1 gene:Ccrd_019583 transcript:KVI02132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MSKVVVVVVLPCFDSREISKGDCGLSPFDSFFYSVSFWGSLDLFPETVIRPCGINLNCGTITMQQDPRRKNPKEVEFFTEYGESNRYKILEIIGKGSYGVVCSAVDTHTGEKVAIKKITDIFEHASDAVRILREIKLLRLLRHPDIVEIKRIMLPPSRRAFKDIFVVFELMESDLHQVIKANDDLTHEHHRFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDAPTAVLWTDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAEVLTGRPLFPGKSVVHQLELITDLLGTPSADIISGVRNDKARKYLMDMRKKQPVPFSQKFVNALADPYFKGLAKLEREPSCQSISKLEFEFERRRVTKEDIRELIFWEILEYHPQLLKDHMAGNESTNFLYPSAIGQFRRQFAYLEENSGKNGPVMPPERKHVSLPRSSVNSGMIASRPQQNVVAFDNRRVMETAISGGRVIDTSVNVYKAAAAAAVTRPPPRMPTAKQGRIIGPVLPYEEVYDPRVVVKNAVAPPVALPPQYVYMTTNGGDEGNIHTTEASRDSSSVPHPHPHPQTYPYPHPHPQVVGAAKLSGGIVIDLNSNMYYSQTHAHSHVNPHGMASQQSSSERIAIAQTQFGHVAGVAAAAAAHTVVQIGLS >KVI02135 pep supercontig:CcrdV1:scaffold_298:146015:155596:-1 gene:Ccrd_019580 transcript:KVI02135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MPGNKYNGNTSVPTSRIERLLRERELRKNSKASYSNEVTGNDAFEFEPRLKEGDNLVEQYLEGASAAREGWEKPDGGLFTQRLLVVANRLPVSAVRRGEESWSLEVSAGGLVSALLGVKEVEAKWIGWAGVNVPDEPGQRALTKALAEKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKDHNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALENPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQYWRDKVVLLQIAVPTRTDVPEYQKLTSQDRSLDFQALCALYAVTDIAIVTSLRDGMNLVSYEFVACQDAKRGVLILIEAQQRIRQVPPALPVEEAIECYLQSSNRLVILGFSATLTEPVDTPDRRGGDQIREMDLKLHPELKESLIKLCNDPQTTVVVLSGSDRTVLDDNFGEYNMWLAAENGMFLRSTRGTWMTTMPEHSNMEWVDNVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRAAGVTKGITIVRILGEIVHSKSISSPIDYVLCIGHFLGKDEDIYTFFEPELPSNGMGIPRPKLNDGAKPKPPGDRKAGKSGWKSCQKRPPQSPDNKRTSNNNRNYGSENGGKRSSTTPDKVSWNVLDLKADNYFSCAVGRTRTNARYLLPTSDDVVSFLKDLAQAS >KVI02155 pep supercontig:CcrdV1:scaffold_298:229849:235292:1 gene:Ccrd_019569 transcript:KVI02155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C26 MSHFVKNRTVYNHDHGIATGHRRDQLIHVKTPPRVASDLRPPSSPMTPPQQPPLPPPSTVTQHSSTSDSASTSSATPSDMWNYLWIPFLVSLCKEVSSGKTSSEPTILLPSDSDSRLSSTFPTPESKLNFRPIIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPPEILQSKLNLVNGVLFTGGWAKNGLYFEVVEGIFKQVLKKNDAGDHFPLLAICLGFELLTMIVSKNNKILEEFSASDQASTIQFMKNIDIEGTVFQRFPAELLAKLSTDRLVMQNHKYGISPETFQENKNLSDFFKILTTSTDEDNKVYVSTAQSQRYPKNAFEWGLSKIPHSDEAVQVTQHVANFFVSEARKSLNRPPVRKVLDNLIYNYSPTFCGKAGKGYDEVYIFTENLARI >KVI02129 pep supercontig:CcrdV1:scaffold_298:93330:97623:-1 gene:Ccrd_019587 transcript:KVI02129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MYIFLLTFPQKYYIRKMARDGVPVIDMQKVEGLGEELVRACVEWGCFRMINHGVAMELMAEMKATAASLLDLPEEIKQRTVHTEQGKGYVERNQGTPFFETLSVDEISSPGEFCDRLDASPHQREVIYKYIKAIRELAGLLGRKLMEGSGLAGDLFDGWCCQLRMNKYHYCPESVGLSGVGMHSDPTFLTILQDDENVNGLQVVDKYSGEFAPVDPVPGSLAVNVGDIAKAWSNGRFCNVKHRVRCFEPKTRYSIVLFVLGPNDTKVEAPSELVDSDHPRLYVPIDIKEYRHVRTSKRLHTGDALDIFSTTAT >KVI02141 pep supercontig:CcrdV1:scaffold_298:223014:225911:-1 gene:Ccrd_019571 transcript:KVI02141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSKTLRSHQIRTLSNLSHTSLSHLPLNPKQHHTEPHLISSTIPTSIFSRNISLSSQFRHEFATQNPNHNSKKPLEAFFTEAIGLSKPDTEDGHDEKKELKKSLQKLEEELRRSKKDPSKETTTSSNSFSKKPLETLFTEAIGLSKIGEEIDINEIENDGRESQKGLKTLSSFFLSNDQRGKLIKESKKLEESMDFKQLSSDMAEFAAYLHSKGYLKTANFLQNNKFDVSCFENSFGRDYLKFAAENFARDHREIHKWLPDKDLKTVAQFGCPSLGRKNIFSAKSMRLFYGIQEETVCNKCVLKESCKFVNQSVWKKGAKNMDLIAVMRVVTLYGLEAIPTQLEVPDDVKNAVNRLLKEVVRLSKIES >KVI02127 pep supercontig:CcrdV1:scaffold_298:36176:38248:-1 gene:Ccrd_019589 transcript:KVI02127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MVAISKPPIELFFSKPSKPTNHNPLSFPTIPLIDLSKSDSKHLLVKACQEFGFFKVVNHGVPMQFINKLESEATKFFSSPLSLKQKAGPPNPFGYGNRRLGQNGDFGWVEYLLLNAKLDSDHKNPPFSVFEENPEDFQCVVNDYVTAVKKMTCEILELLADEMELKPRNVLSKLLMDEQSDSVFRVNYYPPCPELQEPETKGKKLIGFGEHTDPQIISVLRSNNTSGLEISLKDGSWMSVPPDSSSFFINVGDSLQVMTNGRFKSVKHRVVANSSKSRLSMIYFGGPPLSEKIAPLDSLMVGEEASLYKEFTWYEYRKSAFNSRLSDNRLSLFEKIATS >KVI02128 pep supercontig:CcrdV1:scaffold_298:87249:94359:1 gene:Ccrd_019588 transcript:KVI02128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNAASGDVQVFAEISLWAEIGHEYAGGDMLQPRETDLPALFLVLVVLPLVAYILLGKWSEVSKKKDRISELAQIAAEEAFRAEAMAAAAAVIIPIVPVSKSSNGFHKCSRCFGPAKTRCSRCKSVRYCSGKCQIIHWRQVHKQECQVLDYNSSCASSNSASNEDSVDEQFLFGEKLDSQFSESNMKPLGNEKAAADDIPVEICSTSYDNIPLKGTSIRYKPKRSHSVLVKEDIPEKSNADVLKSDNQHGNVCNITSKFGFSDSEKPVQNGANSLDVEKESEDGWSLPKSETIADYETYGTRCSERSQAKKSGMVKPTSHSTGTKMHKSTKSTVKMSRDRSCSERGSMDQIADAGDSRAGCVNPLPHEGNGIVNKGFMKIIGLKRSSKHERVEHSEVHSERHKKIKMLFPYEEFVKFFRCEAFDLLPRGLVNCGNRSHSRACCAKSWCLICELEHHAVMLCDNEEPLSLSRILLHMRSVNNQIGDGSQEDAHEFLRLLVTSMQSICLEGLGGEAVVDLKLQETTFIQHTCAAYVRAQKQLAIHEAPNILTIVLKRFQEGNYGKINKCITFPDMLDMIPYMTGTDDVPPLYMLYGVVVHLDTMNASFSGHYISSSPRPLKTGKPKASGFEKHRMPKSPNPTSDQIQESKDFQAGSNRNRPPSCVDFSDANSSDWSSIFTSSDDASFTTESTRDSFSTIDHTDNDPISSIFNTIYAPPDYTASCSRYSRSRPHLTSKPYQDGEPPYRLPNVRSSDSSFHCRLYMKYESDHKDAISRTSGHCTL >KVI02156 pep supercontig:CcrdV1:scaffold_298:253053:263655:1 gene:Ccrd_019568 transcript:KVI02156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 LSSQNPGAITIANAVFGSNPDIPRDILAKAFQVDKNIFSAFVFTVFVNGEFCKDPALVVADDFFFSGLDVMGDTNNAVGSNVTAVTVVQLPGLNTLGISLARIDFAPGGINPPHTHPRASEILTVIEGTLEVGFVTSNPENRLIARKLQRGDVFVFPQGLIHFQSNIGDCNAVAIAGLGSQNPGVITIANAVFGSNPDIDENILARAFQLHTSCHXFLTIPKFIKKLKFPTFSTMTSRLFLFGLLVVSCSLAMASDPSPLQDFCVAEPKSRVFVNGEVCKDPKRVRANDFFFSGLHRMGDTSNAVGSNVTXVTVAELPGLNTFGISMARIDFAPWGINPPHTHPRATEILTVLEGNIEVGFVTSNTENRLITKKLRKGDVFVFPQGLVHFQRNAGNDNALVIAALSSQNPGAITIANAVFGSDPDIDEDILAKAFQVDKKDDDSVFPQGLIHFQRIFGNGYALAIAILSSQNPGAITIANAVFGSNPNIVEDILVKAFQLDKNVVLRPLTEMGH >KVI02146 pep supercontig:CcrdV1:scaffold_298:25273:33724:-1 gene:Ccrd_019590 transcript:KVI02146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ic MENPPVEEVESLSLNSKDESSSSNSSTTQMSVEEKFRIVRSIGEECIQEEELLNLLAKKPQIICYDGFEPSGRMHIAQGVMKTINVNKLTSAGCKVKIWIADWFAQLNNKMGGDLGRIQTVGRYLIEIWKAAGMNLEGGQVEFLWSSEEINSRAHEYWPLVMDIARRNKLPRIMRCCQIMGRNEQEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLLQGQEKMSKSDSSSAIFMEDEEAEVNLKIKKAYCPPNVVAGNPCLEYIKYIVFPWFNEFKVERKAENGGEKSFTSYEELVADYEKGELHPADLKPALSKALNGILQPVRDHFKNDENAKALLKRVKGFKVTK >KVI02152 pep supercontig:CcrdV1:scaffold_298:276070:285192:1 gene:Ccrd_019566 transcript:KVI02152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MGDTSMGVGSNVTAVTVAELPGLNTFGISMARIDFAPDGINPPHTHPRATEILVVMSGSLEVGFVTSNQLDNRLLTKVLEIGDVFVFPQGLIHFQRNVGHGYAVAIAGLSSQNPGVITIANAVFGSNPDINADILAKAFQVDKNVNKFPLPNNDISFVFLIGLLMGSCSLAMASDSNPLQDFCVAVPKRRVFVNGEVCKDPKLVRADDFFFSGLHLMRDTSNAVGSNVTTVTVAELPRLNTFGISMARIDFAPSDINPPHTHTPPRATKILTVLEGNIKVGFVTSNPENRLITKDLQKGDVFVFPQGLVHFQRNIGNIYALVIAALSSHNLGAITIVNAVFDSNPDISKDLLVKAFQILPFRKTSFHFSTMASRLLLVGLFFVASCSLALASDPSPLQDFCVADPNSPDPSPLQDFCVAEPKSRVFVNGEVCKDPKRVRANDFFFSGLHQLPGLNTFGISMARIDFAPWGINPPHTHPRATEILTVLEGNIEVGFVTSNTENRLITKKLRKGDVFVFPQGLVHFQRNAGNDNALVIAALSSQNPGAITIANAVFGSDPDIDEDILAKAFQVDKKVVRQIQSKF >KVI02150 pep supercontig:CcrdV1:scaffold_298:299714:301765:1 gene:Ccrd_019564 transcript:KVI02150 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupin domain-containing protein MASRLLLIGLFFVASCSLVLASDPSPLQDFCVADPKSRVLVNGVVCKDPNLVKADDFLFRGLHLMGDTSMGVGSNVTAVTVAELPGLNTFGISMARIDFAPQVFVNGEVCKDPKLVRADDFFFSGVHLMEIHQMRLGLT >KVI02137 pep supercontig:CcrdV1:scaffold_298:166547:170378:1 gene:Ccrd_019579 transcript:KVI02137 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MGRRRLLLLLKPLDVYSFHQSNGLYRVTNPKVLQYLDNRCRVHKDAIEFCQDILRRKSVDWDAVFRSHLSRPIQNVDLVVTIGGDGTLLRASHFLNDSIPLLGVNSDPTQPQEVQEFGDEFDATRSSGYLCAATVKNFEQILNNILEDRTAPSEVSRMSIHVNSIPLSTYALNDILLADPCPASVSRIRKDGLSSSSLVNCRSSGLRVSTATGSTAAMLSAGGFAMPALSKDLQYMVREPISPTAANLGLMHGSIKPEQSMDIDWYTKEGIIYIDGFHIVHPIQHGDTIQLSSRAPNLKIFLPPHLLPSKI >KVI02145 pep supercontig:CcrdV1:scaffold_298:188134:190628:-1 gene:Ccrd_019575 transcript:KVI02145 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MFKTTLCSALLFLLTIFKPQAHVHGVETTPPSNDCDFFKGSWFIDRSYPLYNGSGCPFVNPGLNCQKNGRIDKRYLSFRWQPHRCQLARFNGEEFLRRNRGKKIMFVGDSLSSNQWQSLACMLYHTVPRSNHIFVNQGPLSNLSFPEYGVSVMYLKNGFLVDLVVEKRGRILKLDSISRCSKWEGVDVLIFNSYHWWTHSGRKKTWDYYQVGEEIFRDMERMDAYKIALTTWAKWVDSYVDPKKTRVFFQGISAVHDLGQDWNEPTVRNCEGQTLPIRGLHYPGKRYPGEQVVKDVLAKMKNPAYLLDITLLTQLRKDGHPSKYGDEGVDCSHWCLAGVPDTWNQILYNILLRS >KVI02138 pep supercontig:CcrdV1:scaffold_298:217856:220557:-1 gene:Ccrd_019572 transcript:KVI02138 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MSGTRMPTWKERENNKRRERRRRAISAKIFAGLRMYGNYKLPKHCDNNEVLKALADEAGWTVETDGTTYPSPGSSYQPSPYTSYNQSPPSSSIASPTSSPFAVNPHPDSLIPWLKNLSSSSSSNSSKLPHVYIHNGSISAPVTPPTSSPSAQSPPRIKTDSAWGAPHFSYLPSSTPPSPARQTLPNYEWFSGIQVPQSGPTSPTFSLVAANPFGFREAGVGHGGSRMWTPGQSGTCSPAIPQGFDNNADIPMSEVVSDEFAFGRVKPWQGERIHEEC >KVI02149 pep supercontig:CcrdV1:scaffold_298:285270:285971:1 gene:Ccrd_019565 transcript:KVI02149 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like jelly roll fold MCYPIPLRDFCVAAPNRRVFVNGELCKDLKLVQADDFFFSGLHLMGDTSNTVGSNVTVVTAILKSVLSHLTLKTLSSPKDFKRMMIPFSHKA >KVH87567 pep supercontig:CcrdV1:scaffold_2980:6252:35909:1 gene:Ccrd_025154 transcript:KVH87567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MVEENKRRKISDMAEDPDSDEEPGEVIESAPPLRVGEERVFNSTGLKKKLLRRGVGFETPECGDEPTANNNFLFRLFEWIQFIMWGLCLMGLHLSPLEIKGNPSPSRLDKIFFSTENTSPNPLFFSPPFLTFSSLKKIFISKANPKNQVCSGFDQAVLTMKKGEIALFTLPPQLGFGAAGTDGVPPNSFIYFEVELISWITVVDVCKDGGILKRVVKKGEQTGQPGDLDEVKVRYVVTLLDGVTVAESPNEGVEFYLKDGHFCMALPKAIKTMTREEKADLIVQPQYAFGGGVTTLPNGCSPIPPDSALRVALELLSFKPVVDVTNDLKVVKKILKEGEGALTANEGASVIIRYRAMLENGTVFEKKGYDGETSLSFITDEEQVIAGLDRAVATMKKGEKATLTIGHEYGYGSKEVMCDLAVIPPFSTLVYEVEVIDFEKVTYQQRSPHIPLVLPPKLELDDKDLPSPKEIYAVRTVVQDGKSVEQWLIRWQGQSREEAMWEDANNICTVDVRLCLPNSHDCLSHLVTTCQEKAPWEMANHERIEEAGKKKEEGNVLFKNGKYQRAAKKYDKAVDYVSEEGLLGDDVQKLVKSLRVSCWLNGAACSLKLNDYQEAIKLCSKAVSLDYFGRQQAFCCCLSYSKFCCCCLLAARVQAKDSIRFAALEHYTRIFMLKFQQVLDVEFYNVKALYRRAQAYMKTYDLQLAELDIKKALEADPQSREVKSIQTTLKQLQAESNKRDLKLYTNMFARMAKDCSLGTTKRPKLEKVDKDQRDGIMEMELEKVDSEMAVDSSS >KVI03178 pep supercontig:CcrdV1:scaffold_2984:22696:30746:-1 gene:Ccrd_018527 transcript:KVI03178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/sulfate symporter MSNPPPEPPNPTTVIAIPTPTPTPTHSTSPRRSFTFRNWPGAKPIPLALSISVGVIVCFAIPRPSQVSRKAWQLFSIFLTTIAGLILGPLPVGAWAFVCLTISVVTKTLSFASAFAAFTNEVIWLIVASFFFSRGFVKTGLGDRMAMYFVRWLGKSTLGLAYGLAICEAIISPAMPSTTARAGGIFLPIINSLAIAGESRPKDGSARKLGAYLVQSQLQSSSSSSALFLTAAAQNMLCIKLADSLGVTIKDPWITWFKASCFPALVSLMLTPLIVYKIFPPETKHTPDAPILAKTKLEEMGPVTSNEWIMLGTMLVTVALWISGESLNISSVVAAMLGLSILLILGVLTWDDCLSEKSAWDTLAWFGVLIGMATQLTVLGVVPWISTCVANFLKSLSVGWQLALLLLQAVYFFIHYLFAGQTAHVGALYSAFLSMHLTSKVPGSLSALALAYNTNLFGALTHYSSGQAAVYYGAGYVRLPDVFKLGIVMAIINTTIWGVIGASWWKILGLY >KVH87566 pep supercontig:CcrdV1:scaffold_2985:5110:5912:1 gene:Ccrd_025155 transcript:KVH87566 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MCAKVGVDRPTSNKGFWAELLGIGEQFSSLGIVAPAPTFSSRKPPLPSTHRKRCSADRPTASLHGSGRENHSVSRSGCRCCKRRKIGSKREIRRVPIIGSKVTSIPXDDYSWKKYGEKKIDGSPYPRVYYKCNTGKGCPARKGVELALNDSKMLLVTYDGEHQHRHHHAPTPVPTSLTGLAVQSK >KVH96796 pep supercontig:CcrdV1:scaffold_2986:17707:21990:1 gene:Ccrd_001111 transcript:KVH96796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 YRKGKHVILNFHHSINTIQNPSRSDYLYNKTLFLSLPHSHPSPPPLSFAAAAAAAAAAAAMASERKQSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKEDSMKWFQVKYEGVILNKSQQIGA >KVH96797 pep supercontig:CcrdV1:scaffold_2986:49877:52063:1 gene:Ccrd_001112 transcript:KVH96797 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAHGFTSLMVDQEDHENSPENSEDSPSSGMFNDTKTTSTSSPKRSRRSIQKRVVSVPIREIEGSRLKGETNAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTMVMVTYSCDHNHPWPASRNNNHHHNSTVSPPPASTATITEEAPVSNTDDIDQEEDQDPPHDLSKPSTAEPDEKFTSLEVGPGASFITTTDGFGWFSDLESTSSTMLESPLMMAGDMVGDADMAMIFSIREEDESLFADLGELPECTTVFRRREVINEEEHHRRPCKLAPWCGTKG >KVH87564 pep supercontig:CcrdV1:scaffold_2987:22527:22991:-1 gene:Ccrd_025159 transcript:KVH87564 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MARAENLEVFNVLNGAKAQWYHFTAIVIAGMGFFTEAYDLFYISLVTKMLSRSYYTKPGAIKPESLPPNFSATVNGVALIGTLAGQLFFEWLGDKLGPKKVYGITLVLMCLSSISSSLSFGNKPKTRPSLKAARKQGRREDLEENFLHGQDGSV >KVH87562 pep supercontig:CcrdV1:scaffold_2987:12914:13924:1 gene:Ccrd_025157 transcript:KVH87562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MENIHVKKGDVETIQMIQFLVAKEKERRNHRKKLSPSIYIVDSTLRNLSPNSFKPRVVAIGPLHKEDEELQDIESHKVTYLLDLFLRLPSMPDRKMDECIQRVYAKVEQIRACYAGEMKDYDDGEVAKMMVIDGCFVLEFILRKFCQPADAKPSGVSVSRFHSVEELTNAGVNFKRNQDERWPLAMEFKATWFPCFLWGWGKPTLTMPVLLIADRTESVLRNLIAYEQCSPLSDTLHHMLLPWIC >KVH87561 pep supercontig:CcrdV1:scaffold_2987:43616:45658:1 gene:Ccrd_025160 transcript:KVH87561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQEGNSGSVVGIRGEFELTYPMPSVDAEVGGGPRDKTKWWHRSTHQNKTKWWHRSTHRNKTKWWQYRNLQRDKTVVSIGPLHSKDEMVQEFEAQKATYLHHLLDRFDFPPRQILDACLQRVNASIHKIRACYGGMKTYTDEVELAKMMVMDACFILEFLFPTEEHEVLISRNVILEQSIFHDLVLLENQIPFFVLQDIFDCTLSKLPTWPSLCLASEVLKRLQFLNPFKVSGNDVVGTTPHHILGLLQTYFHPAQNIPTTCPTFPMSNHYATELDKAGVRFKPNNNGNWPMAIDFSSSRLECFRWWWGNRTLRMPALCIDDNTELFLRNIIAYEQCTPDVPDYVTSYACAIDMLVDTKEDLSKLVESKVLSNDLGSNQEATNMLNRISKQVVFAEFYYMEQWKQLDMYYNGYWPKNVARLKRIYFSSPWNIIALLAEIT >KVH87565 pep supercontig:CcrdV1:scaffold_2987:18467:18679:1 gene:Ccrd_025158 transcript:KVH87565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLQWGNRKRLRCVKVKESTVMNGKSDGNGILVKKKITSQRVDRRVVNEQDSHHPLPSLHASSPQRLNR >KVH87563 pep supercontig:CcrdV1:scaffold_2987:4400:6668:1 gene:Ccrd_025156 transcript:KVH87563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MATIDVKKGDVETKQMIQVLVEKEKERRNHRNNNAPSIYIVDSILRNLSPNSFEPCVVAIGPLHKEDEKLQDMESHKVTYLLDLFLRLPSMPDRKMDECIERVYANVEQIRACYAGKMEAYDDCQVAKMMVIDGCFVLEFILRKFCQPADAKPSGVSVSRFHSVEELTNAGVNFKRNQDKRWPLAMEFKASWFPCFGWAWGKPTFTMPVLYIEDHTESVLRNLIAYEQCSPLSDTYITSYAVAMGMLVDTQEDIVKMVDSKVLINNLGTNRDAANMINNICENVVLQDFCYSQQCNQVGSYYDHCWPKYIAPNMAGLRRTYFSSPWNFVALVAGIILFGLTVIQTYYTEIDFVEEQMADNIHPGLVIAGNRVRKRSERIMIKNYFSKFKNTAANPVVLDEKDLDYHVVNPQAVQSNERIIPVQETDTLLRFR >KVI08033 pep supercontig:CcrdV1:scaffold_2989:25964:41279:1 gene:Ccrd_013601 transcript:KVI08033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indigoidine synthase A-like protein MEMRKWMIIEMNHWYLDHGVRGSGFRRRPRDGQEKEERERQSSEDSPGGGNCKFFHNSRRGASAMAHNAFSRLVNLHRHFNITKSGTKGGNENAAPGTIKISHDVSTALSNGKAVVALESTIISHGMPYPQNLETAKELEAILKENGAVPATIAILDGIPCIGLSAEELEMLAKLGPKARKISRRDIAYVVTLDISSDLTELGKTPIAVVSAGVKSILDIPKTLEYMETQGVCVVSYKTDDFPAFFTEKSGCKSMPLRAVKARLVPLDQRPLEVNRKLDLKSGILIAVPIPKEHSVSGNVIESAIQTALREAGDKGITGSAETPYLLSRVNDLTGGASLESNIALVKNNAVLAAQIAVSLAKIEDHGHRKGAGAADS >KVI04093 pep supercontig:CcrdV1:scaffold_299:71171:80167:1 gene:Ccrd_017609 transcript:KVI04093 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MTMPVVDAEYLKEIETARRELRAFISSKKCAPIMLRLAWHDAGTYDATTKTGGPNGSIRNEEEFSHGSNNGLKIAIDFCEEIKSKHPRITYADLYQLAGVVAVEVTGGPTVDFVPGRKDSRISPKEGRLPNANKGVPHLRDIFYRMGLSDKDIVALSGGHTLGKAHADRSGFDGPWTREPLKFDNSYFVELLKGESEGLLKLPTDVALLEDPGFRHYVDLYAKDEDAFFADYAVSHKKLSELGFTPRSSSCKLDSVVLAQSAFGVVVATAVVIVGYIYESRKRMK >KVI04099 pep supercontig:CcrdV1:scaffold_299:112561:114885:1 gene:Ccrd_017607 transcript:KVI04099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MALLLCSLFVVVFFFFFVAGEAVVSDPTVKTYIFRVDAQSKPSIFPTHYHWYTSEFTESATILHVYDTVFRGFSASLTSSQASVLGKNPSVLAVFEDRRRELHTTRSPQFVGLRNQRGLWSESDYGSDVIIGVFDTGIWPERRSFSDLNLGPVPKRWKGVCQTGGRFTSRNCNRKIVGARFFSKGHEAAGGMGGGIGFGGAINDTVEFRSPRDADGHGTHTASTAAGRYVFKASMAGYAAGIAKGVAPKARLAVYKVCWKGSGCFDSDILAAFDAAVNDGVDVISISIGGGDGISSPYYLDPIAIGAYGAVSRGVFVSSSAGNDGPNGMSVTNLAPWLTTVGAGTIDRNFPAEVILGDGRKLAGVSLYSGPAISGKKYPLVYPGKSGILSASLCMDNALDPNLVKGKIVICDRGSSPRVAKGLVVKKAGGVGMILSNGVSNGEGLVGDAHLIPACSVGSDEGDVIKAYAATGRATATINFKGTVLGIKPAPVVASFSGRGPNGMNPEILKPDLIAPGVNILAAWTDAVGPTGLDSDGRKTEFNILSGTSMSCPHVSGAAALLKSAHPDWSPAAIKSAIMTTASTVNKQLQPMTDESTGNATTPYDFGAGHLNLDLAMDPGLVYDLTNEDYVSFLCSIGYGPKTIQVITRSPAACPMRRKPVPENLNYPSIAAMFSTGKKGVLSKTMVRRVRNVGDVNSVYTVKVEAPKDVTVVVRPGKLVFSDKVRELSYYVTVKIDRSNLVMGISGAIFGSVSWVDGKHVVRSPLVVTQLDAL >KVI04101 pep supercontig:CcrdV1:scaffold_299:140144:148456:-1 gene:Ccrd_017605 transcript:KVI04101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MYEKEEEPESDMGREIWNLLRPRFLIIFLIGSVLVYLAFSSFSQQEEETVEEVHEITHRVFLDVDIDKQRLVPTSQAETAGRIVLGLYGEVVPKTVENFRSLCTGELGKGASGKPLHYKGTPFHRIIPGFMIQGGDIVYRDGRGNESIYGGTFRDENFKIKHSHAVKVTYLPKHSMIRLGLDGEHVVFGKVIEGMDNVYAIEGGAGTYSGKPRKKVVISDSGEIPKNEWNGETRSTVTES >KVI04087 pep supercontig:CcrdV1:scaffold_299:264241:265959:-1 gene:Ccrd_017599 transcript:KVI04087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase C, phosphatidylinositol-specific, X domain-containing protein MYHLYEPNGFHPPVVLVMGAQWSKQIQRRKAVIAEKKQLRQLQERCGCEYPGSDWHPADRKNWMSGLDPEKVQVNKIVWPGTHNSATDKIGIKIITRPFAQCQYISVYQQLVLGARVLDIRVEENGNVCHGILTTYGVDVVIEDVKKFIAETKSEIVILEIRTEFGRKDPPAFEKYLQERLGELLIHQDDDVFEKTIAEILPRRIICVWKAHGSSEAKAGSPLWNSEKLPVFPSETWQLLQDKLIWKAK >KVI04097 pep supercontig:CcrdV1:scaffold_299:250242:252188:-1 gene:Ccrd_017601 transcript:KVI04097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MADIVKQIIARPIQLADQIIKTSEYVCSFKQDCGEIKNKTEKLVGLLRQAARASNDLYERPTRRIMEDTEQVLDKALHLVMKCSRNGLRRVFKIIPNGLPRKTSQQIENSIGDVSWLLRVSTPADDRDDEYLGLPPIAANEPILCLIWEQIAIMCSGTVEERVDGAASLVSLARDNERYGKLIIEEGGIPPLLRLAKEGNSEGQENAARAIGLLGRDPESVEHILNAGVCSAFMKILKFAEMKVQVVVAWAVSELATNYPKCQEHFMQNNVIRLLVGHLAFETIQEHSRYAIASKQNMSIHTVVMANSETNPNKNNRDGNEDVEKCQLLHPAGSKTSDMHNVVTNTVTMKSRKIHNDRAHSRSTSTRPNKHQPSRNNRKHITLTGISIREREAEDPSTKAEMKAMAARALWHLCAGNVSICKSITESRALLCFAVLLERGEYEIQYNSAMALTEITAVAEQHVELRHSGFKPTSPAARAVIDQFLKIIKHANSDFLIQSIQAIGHLARTFRATETRIIPPLVKLLEDTETHVSTEATITLIKFACTDNFLHVTHCKSILDAGGVKHLIQLTYFGEQMAQFPALILLCYLSIHVPDSEILAQDKVLVVLEWALKQDHFTKDSSLETLINEGKQRLEIYQSRNIRGSSIR >KVI04092 pep supercontig:CcrdV1:scaffold_299:81180:83996:-1 gene:Ccrd_017608 transcript:KVI04092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/spartin-associated MASKSSTNPKNLYPQVDQSNPYSNSSFSSSNPSAPSMYPSLKTKDLAENLFPDTGEQQPAAFESSEEVVVKIPDSIVHLIDKQQSIELASGVFEIIRLRQGGNVVAVLARIGTEIQWPLAKDEAAVKLDGSHYFFNLRVPSQPGDGVEPDDLINYGLTITGKGSEELDRVLEEYSAFSVKEVERGVAVVVEGGSPEAKAAAYWTTLAPNVEDYSGSVAKMIAAGSGQLIKGILWCGDVTVDRLKWGNEFLKKRMKSGPKSEVSPEALKRMKRVKKLTKMSENVATGLLSGVVKVSGFFTSSIVNSKPGKKFFNLLPGEIVLASLDGFNKVCDAVEVAGRSVMSTTSTVTTDLVSHKHGEDAAKVTSEGMGAAGHAIGTAWAVFKIRKALNPKSVVKPTTLVKAAASRSGSSKSENVK >KVI04081 pep supercontig:CcrdV1:scaffold_299:7266:9074:1 gene:Ccrd_017614 transcript:KVI04081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MEALHTPFVSHPFAIHSRTKTLKSTQVSVVSVLTTEESRITQRRQNQWRSPTIGECRAVLQAISSPFDSRKPSLDRFSLLEKVFNLLDKAIIKFIDPPLDLSVDPSYVLANNFAPVRELSPTECEIVHGSIPSCLDGAYIRNGPNPQFVPNGPHHFLDGDGMLHSIRISDGRATFCSRYVKTNKYLFEHHVRSYVVPNVIGAMQGLGPFMARAALFVARVVSGEYDIGKGIGVCNTNLAFFGGRLYALCESDLPYEIKVEKDGDVITLGSHDFEGKLSMNMTAHPKIDPETKEAFAFRYWSTRPYLTYFRFDANGNKQPDVPIFSMKQPSLTHDLAITQKYAIICDIQLGADPMNMIRGGNLVSVDPTKVPRIGVLPRYAKDESDMKWFEVPGFNIFHAVNAWDEKDENGGDVVVLVAPNILTVEHFLERVDLIQASMEKVTINFRTGVVSRHTMSADNLEFPVINPAYVGKKNRYVYAAISEETPIKSRMMRTTGVAKLDIAASEDSNGGHDHTVGRRMYGDNCFGGEAFFIAREPENPNSEEDDGYLVSYVHNENSGESRFLVMDAGSPDLEVVAAVKLPQRVPYGLHGMFIRQKDLNQI >KVI04089 pep supercontig:CcrdV1:scaffold_299:274310:281614:-1 gene:Ccrd_017597 transcript:KVI04089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MVAPMVTTFLMLFLATRAWGQTTDDVSWAKRVDTGSQVVTTMQFYFHDTLSGSNPSAIKVAGPQSSATSPGGGFGQVMMIDDPLTEGPDKKSKLIGHARGLYGQAAQNELGLIMVLNYGFTDGMYKDSSFSLLSLNPVMQTVREMTIVGGTGLFRLARGYALAQTYWIDASTGDAIVGYNRESKLLILCTIFMAFPLVHSISEGPKEVQQWFKELPIKQEKVTKLHFYFHDTTTGPAQTAHQIAESNISSTTITQFGRTFMFDNPLTVEPDVRSVRIGKGQGFFGAASFEEPRFLMNLNFVFTSGRYNGSTLQFLGMNPILHRVREMSIVGGTGAFRLARGIATAQTYFLNDTSSIVEYNLVV >KVI04095 pep supercontig:CcrdV1:scaffold_299:219398:225399:1 gene:Ccrd_017603 transcript:KVI04095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MEAEGKPKNVKNTISNFFWNGGSAYDAWFSCSSNQVLLTLPYSFSQLGMLSGILFQVFYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGKHWRNVGLGFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLTVASLLHGQVEGVKHTGPAKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLWATAYVLTLTLPSAAAVYWAFGDSLLNHSNAFALLPRSPWRNMAVILMLIHQGLCRLLMQFITFGFACTPLYFVWEKAIGMHECKSMCKRAAARLPVVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMFTFRSPAAREVCMLGEHDKLHTPNRYIRDLHQVLSMPATTVATIATPERHQSYTFSDDRPPPPVVGWHWASQPLNPASVPPCKIVNGISM >KVI04098 pep supercontig:CcrdV1:scaffold_299:131844:134220:-1 gene:Ccrd_017606 transcript:KVI04098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MVYLYKLHTQITQTQRATIPFHAHFSSHANAAVNQTLPSRTTVTGGRTKRSTTMAMAFKMATTGMWVADECKNSFMEMKWKKVHRYIVFKIDEKSKLVTVDKVGDAGEGYSDLTASLPDDDCRYAVFDFDFVTVDNCRKSKIFFIAWAPSASKIRAKMLYATSKDGLRRVLDGIHYEVQATEPSEMGFDIIQDRAK >KVI04094 pep supercontig:CcrdV1:scaffold_299:229551:230457:-1 gene:Ccrd_017602 transcript:KVI04094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-shock conserved site-containing protein MADEGARKSGTVKWFNDTKGFGFITPDDGGEDLFVHQSSIRAEGFRSLGDGETVEYVIENGSDGRTKAVDVTGPEEGPVQGSTRGGGGGGGGRGGGDRYGGGGGGDRYGGGDRYGGGGYNSGGGRGGRGGGGYGGGGGGNACFKCGESGHMARDCYQGGGGGGDWGFFEKDRLGFLLERIDSGFVDD >KVI04100 pep supercontig:CcrdV1:scaffold_299:193599:198001:1 gene:Ccrd_017604 transcript:KVI04100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MGFLLSPTSPAGKWMGFVTAVWVQAISGNNYTFSNYSDALKSLMALTQLQLNNLSVAKDVGKAFGILAGLASDRISPAALLLIGSIEGFIGYGVQWLVVSQRIQPLPYWQMCIFLCMGGNSTTWMNTAILVTCIRNFRKNRGPVAGILKGYVGLSTAIFTDICTALFNNDPARFLLMLAVVPFVVCLFAIVFLREIPPSSTAAEEKSETRYFNIFNVLAVVIAVYLLTFDITGDHGTKLSQAFSIILLILLASPLAVPVYLAVQNLIRSNPKTLDVESTPTTEPLLIKEEEEQQQTIETVAEESKEESSTAVEKIPPVIGEEHTVFEALKTVDFWILFISFLCGVGTGLAVQNNMGQMGLALGYADVSIFVSLTSIWGFFGRILSGSVSEYFIKKAGTPRPLWNAASQILMAVGYVLMAIAMPGSLYIGSIVVGICYGVRLAITVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGFLYDHEATTSASGGNTCIGAHCYRLVFIVMAFACAIGFALDVWLAFRTKALYNRIYTNRKSKKSLAAANGSH >KVI04091 pep supercontig:CcrdV1:scaffold_299:287818:290443:-1 gene:Ccrd_017595 transcript:KVI04091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLVTTLLIVGAAIGGANGDAMVSGSVFCDQCKDGQVTLFDYPLTGYGQPTVIGEVTTNWLGGYVMRFEGAPDMGGCRAQVSGSGQGCGAVAGPSRGLNLVFQMFDTEIYTVDPLISQPAQPMSFCPRSSSPLPKPVTPALPPPAKLPPTPRPPQLPPLPRLPPTPSCYWRVLSPDLKVAVVFGPLAGRKYGTDLTLRGSMTGRADPYKTLLREATTALLNSYNSIEFPYHPLDVVQRLNYALIGGSTRQVLMTALRFLKANSGQPGNITCKFTTCK >KVI04090 pep supercontig:CcrdV1:scaffold_299:296620:304656:-1 gene:Ccrd_017594 transcript:KVI04090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MGVSDNSTGLILAVLSSGFIGTSFILKKKGLKRAAASTGFGAVIVGEAANFVAYAYAPAVLVTPLGALSIIVVSIKAIGIAIKLTLEGVSQVAYPQTWVFVTVAVLCVITQLNYLNKALDTFNTAIVSPIYYVMFTSLTIVASVIMFKDWKDQSVSDIASEICGFITVLSGTIVLHSTREQEPSVAPGTVTWYDQDPIKTVEEDEHLITIHNSDYFD >KVI04082 pep supercontig:CcrdV1:scaffold_299:36112:43145:-1 gene:Ccrd_017611 transcript:KVI04082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELRHYAPGVPIILVGTKLDLRDDKQFLNDHPGAVPITTAQGEELKKLIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKRKGQRACSIL >KVI04085 pep supercontig:CcrdV1:scaffold_299:29586:34611:1 gene:Ccrd_017612 transcript:KVI04085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGLQMFMDSLKKLIHGNHHPLINNNPMVLSERHQFQLLYQELASIIQILSNIHQHHPHLYELKKVRDLKGRFKDAAEKAQDMIDLFISAVHLTNIGLSPRSDVFQISLDLNNVMRSIKSIKVEFMTINIDNIKMDSSSRIDHLKTQSADEDDAGRSTSSTRSHLGTRKPLEEIIVGLDRDAKIVRDKIAEDTKQLSVISIVGMGGLGKTTLATKLFNDCFFKYHFHVRAWVTVSQTYKKRHLLIQILTSMGVQDLEKKNESKLREKLHKNLIGRRYLIVIDDIWSIEAWDELKLFLPHENTGSRILLTSRLNEVALHVKPHGFVHSLSYLTEEESWELLKQKVFHGDECPEXLIKPGMXIAKKCQGLPLSLVVMAGVLAKEPMXKDLWEKIACSIGSYIVNDHKGNLETLALSYHHLPHRLRECFLYLGGFPEDFGFVVEKLIWLWVAEGFIEEAENRSLEDIAKAYLMDLINRNLVIAAKRNVIGDVKACKLHDLVRELCLQKAKEERFFLKIDSPRLSSQLREVITGSPRHVFTNEDISIVNFTFFPTQTIQSLLCFHKKIRSIEVIACSFLLLKVLDLQSYLLNDFAQELVLLVHLRYLAIWYSSKFPYSICKLWNLQTLILQTDPFVSERFAHNIQELVNLRHLWSNTLLYLSYIGKPMNLQSISNVVFKDGVDNLQKCFPSIKKLALSCFKDDGYHFELLPYLETLKLRGSGLRQNHILFPATLKKLTLVFCFLPWSHMSIIQSLPNLEVLKLKFDAFTGTQWDSCEQQFRQLKLLTLQSINIKHWEASTTSFPCLKRLSLLNCYNLEEIPLEIGEIATLELIETDSRSNSVVESVERIQQEQRDAGNNELNITVDGMELSFYLSRHEGSKSE >KVI04083 pep supercontig:CcrdV1:scaffold_299:50360:64226:-1 gene:Ccrd_017610 transcript:KVI04083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo METRSRKRAEATSSAPSSSSSGGPTTRSNKRTRLSSTTSSASPAAAAAASNVVVQSVCTRSRASNMDTNTNEPFASGSGSGSGRTRRSGKNMNNPNVGLDKDNLDKGKEKENEVRVRHRDRVNNRDNNLGLDKDRGGADDEDDEDNDSDGGGVGMLHQNLTSASSAFQGLLRKLGAGLDDLLPSSAMASVSSSHQNGRLKKILSGLRADGEEGKQVEALTQLCYMLIIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYYDFFSTGVQRVALSTAANMCKKLPPDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIVEAFAASSDKQDELCNHGLVTQAASLISTSSSGGGQASLSPSTYTGLIRLLSTCASGSPLGSKTLLLLGISGILKDILSSSGLAATMSVSPALSRPPEQIFEIVNLTNELLPPLPQGIISLPASTSLFVKGSLSKKSPVGGSGKQEDNSGGTPEISGREKLLTEQPELLQQFGMDLLPVLIQMYGSSVNEPVRHKCLSVIEKLMYFSTADMIQSLLGVTNISSFLAGVLAWKDPQVLLPSLQIADILMEKLPETFSKLFVREGVVHAVDTLILAGSSGSALSQPLSTEKDNDSTPGSSSRSRRYRRRIGTSNADIPSAEDHKNSVPAVGSPPNSVEVPTVNSSLRMAVSASAKAFKDKYFPSVPGAAEVGVTDDLLHLKNLCTKLGASADEHKIMSKGKSKASVPRLVDFSPSKEENLLRVITEMLAELSKGDGVSTFEFIGSGVVDALLNYLSCGCFSKERVAEANLPKLRQQAIRRYKALIAVALPSDFDESKLAPMSVLVQKLQNALTSLERFPVMLSHSSRSSTGNARLSSGLSASPRSFKLRLCRAHGEKSLRDYSSNVLLIDPLASLAAVEDFLWPRVQRSESSHKPSASVGNSDSGNAPLGGSASSPSSTPSATRRHSTRSRSSINIGNSAGKDTPVEKNASSSKGKGKAVLKPAQDEGRGPHTRNAARRRGASDKDTSMKSVDNESTSEDEDVDISLVEIDDALVIEDDEISEDDDDDHDNALVLRGDSGAVYMPYVHDVKLPDSAEDSPAGPATSDSQTNPACGSSSKGTPAQGSDSTEFRGGNSFGSRGAMSFAAAAMAGLASANGRGIGGGRDRHGQALFGSNDSPRLIFSSGGKQLNRHLTIYQAVQQHLVLDEVDDERYNSSDFVSSDGSRLWSDIYTVTYHRADSQSDRTSVGNVNSAKTTRSSKAGSTSNSNADSPMHQMSLLDSLLQGELPCDLEKNNPAYNILALLRVLEGLNQLAPRLRVQAVIDSFSEGKFSSLNELNVTGPRVPADEFINSKLTPKLSGQIRDVLALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALHRLQQQQGADGHGSMNERELRVGPLPRQKVRVSRNRILDSATKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQRADLRMWRSNSLDVSMAMEVEPEGKKDGKTNDSGPASGRGAGNFIIAPLGLFPSPWPVAADSSGGGQFAKVIEYFRLLGCVMAKALQDGRLLDLPLSTAFYKLVLGQELDLHDVSSFDAELGKTLQELQALVCRKQYLESMPGHDRNEIHDLKFRGAPIEDLCLDFTLPGYPDFVLKPGDEDVTIDNLEEYVSLVVAATVKTGIMRQMDAFRAGFNQVFDVLALQIFSPNELDYLLCGHRDLWEADKLAEHIKFDHGYTSKSPAVVNLLEIMGEFNSEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKLSSTASNTATNAAGLSEPADDDLPSVMTCANYLKLPPYSTKEIMHKQLLYAISEGQGSFDLS >KVI04084 pep supercontig:CcrdV1:scaffold_299:16124:18847:1 gene:Ccrd_017613 transcript:KVI04084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MTYTALQMLMDNLKHLIYGNNDPLIHRNPSILSQRPQFQLLHEELGSIIQTLFNIHEYHHLHELQNVKDLMKRFKDAAEEAQDIIDIFLSALHFRNIGFPPTSDVFNTSVNLENVMRSIESIKMELMTINIDDMKMDSSPSPRIDRLNAQSASGTSGTRNPLGAKKPLEEIVVGLDRDVELIRDKLSEDTKQLGVVSIVGMGGLGKTTLATKVFNDRFIVYHFHVRAWATVSQTYIKRDFLIQILASMGVPQDLEEASNSKLREMLHKHLMGKRYLIVIDDIWSTEAWDDLKSFFPHDNTGSRILLTSRVNEVALHAKPHGFVYSLPYLTEEESWGLLCKKVFHGDECPEWLITPGMQIAKKCHGLPLSVVVMAGVLAKEAISKDFWEKIASCVGSYIVGDQKEHLETLALSYHHLPDHLRECFLYLGGFPEDFGFVVERLIWLWVAEGFIEGAGNQSLEDTAKAYLMDLVDRNLVIVAERKYDGDLEACKLHDLVRELCVEKAKQERFFLKLDRLPLSSQLLEVMTPYRQCRVFTNQNIDNVDFAHPPFCTPSMRSLLCFRKSYTRFEIGEYIYSFALLRVLDLQKCKLRCYPQGMALLIHLRYLAFWHSSFPSSVCNLLSLQTLILKTSYGRTTLPSNISDLINLRHLWSNQELFLPAIEKPMNLQSISNVVLGDGVDNFGKYFPSIKKLEYTLFSGEERRFESLPYLEALKLTVKYHNRNNIEAEPIFLQIRVWFPATLKKLTLVRCFLPWSDMSTIQPLPSLEVLKLKYKSFVGTHWHAGEQQFRQLKFLTLERLDIKQWEAYSTSFPCLKRLAVWSCGDLEGIPLEIGEIATLELIETGSRNYSIVESIKRIQEEQDAEGNTQLQITVDGMDLSFYLSGQWKDDDNRRIHSSFVEFYNENDL >KVI04086 pep supercontig:CcrdV1:scaffold_299:269785:271593:-1 gene:Ccrd_017598 transcript:KVI04086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPSLKPTNYNQIQRSCVIQSLLKLCSEGLLTEAVKSLNNLASKGLRLDTRSLAFLLQACSKYRSLKEGKWVHVHMNYTGRKRPGTFLSNQLIYMYSECGDWVGARRVFDKMSLRNLYSWNNMLSGYAKLRMMKPARSLFDQMQEKDVVSWNTMVIGYAQDGNCGEALKLYKELTMLNIRLNEFSFSGVLTVCVKTKELGLTRQVHCQVFGIGFLSNLVLCSSMIDCYAKCGEMSDARKLFDEMPKRDVLAWTTMVSGYAKWGDMELARGLFDDMPEKNPVSWTSLISGYSRNSSGHEALELFTDMMARGVKPNQFTFSSALCACASVASIKNGKQIHAFLIRTCFTPNAIVVSSLIDMYSKCGDLGLGLLVFKLMGSKHHDTILWNTMISAMAQHGHGEAAIELFSNMVRAKVKPDRITFVIILNACSHSGLVQEGRHFFNTLMHEHNIVPDHEHYACLIDLLGRAGCFDEMVNELKKMPFKPDARVWKALLGVCRIHGNIELGRKVAQRFIELEPRSSVGYVLLAGIYAASGRWESVAKVRKIMNKRDVRKERGVSWLENENKLHSFMVSDKSHTSNDEIESVLEILATQMEDDDDSFPDS >KVI04096 pep supercontig:CcrdV1:scaffold_299:259630:264232:1 gene:Ccrd_017600 transcript:KVI04096 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MGKKRRRGEEKRSIHPRNKYSDNPPDFGLLASLYPSFQSYVFYSREGKPRIDWKDFNATRELTRVLLLHDHSLTWWIPDGQLCPTVPNRSNYIHWIEDLLSSDTIPREKDVVKGVDIGTGANCIYPLLGASLLGWSFVGTDVTDVALEWAERNVKNNPQISELIEIRKVDFDEKLSDQNTKNGESCMGMSRNTELSTSSSVDLCSGEKKNYHGPPILLGVVKAGEKFDFCMCNPPFFETMEESGLNPNTSCGGTPAEMVCPGGEQAFICRIIQDSVQLKQSFRWYTSMVGKKSSLKSLIAKLREVGVTVVKTTEFVQGQTCRWGIAWSFVPPTKKIISSHLASKNVLTFMLEGIQRQFSAFHVLQSVESFFQASGASCKSNAASFHVDVFEQIPGTLLVRGSLKPGESSLPGLLSSIFQQLEDALRKEFFPEKVSTHTSKNHA >KVI04088 pep supercontig:CcrdV1:scaffold_299:284629:286955:-1 gene:Ccrd_017596 transcript:KVI04088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MSLSMFSSLFFFLLALSTCHAHKEATINELRVAFNQNRVNSRQLVEFYLGEIKKLNPILKGVIEVNPDALYEADRADRERAAKVPRSTVGLQGIPVLLKDIIATKDKLNTTSGSFALLGSVVPRDAGNPYVLSASPCGSSSGPAISVAANLAAVSIGTETDGSILCPAGFNSVVGIKPTVGLTSRAGVVPISPPICRTVSDAVYVLETIAGFDYNDGEATQRASQYIPNGGYAQFLKLDGIKGKRIGVVRNQFFVFANGSNLRQIFESHLQTLRQKGAILIDNLEIPGLDTIFNATASGEAVATIAEFKIAINAYLKALVTSPVPEATNGIGDLEKAAIANMAKLTRDGYEKMMAENHLDAVVTPGPNISLVLAIGGFPGISVPAAYDNKGVP >KVH98726 pep supercontig:CcrdV1:scaffold_2990:31072:69923:1 gene:Ccrd_023047 transcript:KVH98726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCTGWFEKYSLYAKMITWFKLPGGLFKTWKDKECKELHRAVTRLLRTCSEQEKTIEGLREGLSEEVRKKGSVENKFDYNQQSKLQIEHLRLTGIEQTLRKEVESYRLEVDSLRHENINLLHSLKGSSQDSGFSTFKLDQELWSCVHCFQNQGTCLINDNVQLCSKLLE >KVI01426 pep supercontig:CcrdV1:scaffold_2991:1626:3929:-1 gene:Ccrd_020303 transcript:KVI01426 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MSSCLSGGTGRAYGFDIENIVKCPSTTSSRTSHSSSPSSTLSESSNSPIAISNRKPRTPRKRPNQTYNEAAVLLSIACPKVFSTKNLTKKTNNLSKFTGQHGNSLNEPPELLLPFPVIENSGFLLHQPIMEKPSSLFESKVASSCESPREIEYRNCNSGSDSMELCDGYQDDFDTESMLDEEIEGGIDSIMGSCNSTIQNDESTNKSCLNSKTCYGYPMGLGFGGNLEFNFSFGMRNGVRALKNGDDGNWWSFPTVNVVNISPPVVATAVAPAKIKKAPVEQKKKKVEELLKMSESESGRGNLNQGEENSSPEIGSRLLLKLNYDDVLSVWSDKGSPLPEEISGSESPGGDIHAKLARIDLFSENGALREASVMRYKEKKRTRLFSKKIRYQVRKVNADRRPRSKGRFVRRPNSPTCEDT >KVH87560 pep supercontig:CcrdV1:scaffold_2992:37404:37934:1 gene:Ccrd_025161 transcript:KVH87560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAIKLSSLFTIVFLLLVLQETILFSAMADMKFIQDTCKSTPNYDLCVKTILADPKSQDADLTNLALIVVNAIKEKGINTINYVKSLESDRPELKSPLEYCANVYNAIVTADVPEAVTALTQGNPKFAEDGVADCAVESQACESTFGQYGQASPMTNMNKDMGDLANVARALIRMLL >KVH87559 pep supercontig:CcrdV1:scaffold_2994:37160:37570:-1 gene:Ccrd_025162 transcript:KVH87559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer beta subunit (COPB1) MYDEAYVTVYHYDSVLDVTVINQSKVTLQNLCLELVIIGDLKLGELSQNYTLSLESSKQIKANIKVSSTETGVILENILSGFEVYIVGSDIRIGCIPWPSAIFRVNLVTSLSQPRPFSCLNLDNSLSRFGLIAQRL >KVH87558 pep supercontig:CcrdV1:scaffold_2996:16606:32612:-1 gene:Ccrd_025163 transcript:KVH87558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 subunit B1 MLIVGEAIDDSEYACQTNCKSKRCSEDPEKKMSLEEKEHLPGFYYDAEKNRYFPIKSPIPGRNASSASTKAQKAPSKMNQFKYGEMGKGSKVVKMLHVRELCGNSVSCNKRKVNFEEQYQKIRASKPMIWKYEGTRRITDAALEHICLDIQTPGGITRSEILLAGVGYVGQRANNAMQCMPDLVQPLNIEKQTASLKSPGLLWRPMGAVVEMLSSVSCIKISRNYHPQATDIDSSVPHALVTTLGSETSGGSVYMMNLSEPLEYGLGIAMLSGRFDEISRIKSTVWAADSNSDGNQAVIGTNIGAALVHIESGRRSWICRSKSDVLSLQFDCSGNIVLCGFRNGAIVTVDTRQKPEDLHDRLPKHQIPFPSFKTSASSSGRGQKHEKQWFELRGNIHHSEMISMPSSVSCLAALKQYDQYFLASSMDGTVRLYDHRLTQRGAVQFYDGNVNSHTRVQIGVDPSERFFMSGGEDFCLRVWSIKSGEMLYQDKFMNSVPSVVCWPRVWFGGSQSFRVVHLPNSSPDMFSAGQLTEM >KVH87557 pep supercontig:CcrdV1:scaffold_2997:38700:39092:1 gene:Ccrd_025164 transcript:KVH87557 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MALEWVVLGYAAAAEAVMVLLLTVPGLGPLRKGLVAVIRNLLKPFLSXVPFCLFLFMDIYWKYENXPSCASDSCTPTEHLRHQKSIMKSQRNMLLIISALVFYWLLYSVTHLVVKIDQLNSKVEKLKNKE >KVI06607 pep supercontig:CcrdV1:scaffold_2999:50649:52747:1 gene:Ccrd_015043 transcript:KVI06607 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFHLWLPTTVATIFSVILVAFLIHVVKGKWAKRGKKIEPPQAKGAWPIIGHLHLLGGSRLPHMVLGDMADKYGPIFTIKLGIHKVLVVSDGETSKECFTTNDKIFATRPKSEAVKLMAYNYGAFGFTPYGDYWRQVRKTMMLEVFSQRQVEMLGHVRVSELRASIKDIFDAWVENNDGEHSDKVMKVEMSQWFGNLMVNIIVRLISGKRFSPKDEEGIRFQKVVKKFFXLMGAFVVSDFIPYLKCLDVGGYIKDMKKTAEDFDNIFDGWLKEHKTKRVRDQQREGSQVFMSVLIAILEGASEEDFPGFDHDTVIKAACQQLFVAGLDTTALTLTWALSLLLNNPKALKIAHDEIDEHVGRDRLVEESDLKNLVYLDAIIKETLRLYPAAPLSVPHESMEDCVVGGYNIPKGTRLLVNLWKLHRDPNIWSDPLEFRPERFLTSHKDIDVKGKHFELLPFGSGRRICPAILLALRSVRVTLASLIQLFVLQKPSNEPIDMTESPGMTNNKATPLVVLLSPRLSPGMYCFGS >KVI12531 pep supercontig:CcrdV1:scaffold_3:939936:944187:1 gene:Ccrd_009069 transcript:KVI12531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase fold MKGRKKKKELSFISLNGHQSHRHHHPTITLPPLPLLPLRILLSCLLLRHHLHRPPPMKRLVVLLFLVAVSNGIELYKGEEGECNIDRHLIPRPHSVSILEFGAVADGKTVNTVAFQNAIFYLKSFTDKGGAQLYVPSGKWLTGSFNLTSHLTLFLEKDADIDHWKVIDPLPSYGRGIDLPGQRYRSDNGTIDGQGFVWWDSFDSNNLTHSRPHIVEFINSKDIVISNITFLNPPAWTIHPAYCSNVLVQNITALSPAESPYTSGIVPGSGLALGSEMSGGISEVLVENVKLRDSITGIAFLTSKGRGGYIKDVVVTDIDFLNIILAIKLTGDCTSHPDDKYDPEALPIVNGITFNNMVGMNITTAGNFSGILESPFTGICLSNISFTLNPEPSSSSQPWVCSNVSGFSENVSPIPCFELSNSSAVCSTLSSSHKLVAVL >KVI12482 pep supercontig:CcrdV1:scaffold_3:904986:905850:1 gene:Ccrd_009073 transcript:KVI12482 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MCGGAILADLIPRSRRLNPTDVWPNSNIYPKLNPFQSEFNHFVREIPPRGGHEDNRSGKKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRNEFLPAMVEEKMTKTKAEAELEENEVQKLSEELMAYESYMKFYEIPYLEGQAVEAPANPDGVVGGGSIELWSFDDVL >KVI12506 pep supercontig:CcrdV1:scaffold_3:654945:659680:-1 gene:Ccrd_009097 transcript:KVI12506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQDSGDTKQSTADMTQFVQTLLQQMMGERINELEQSINDLRTEMGAEGSPSPLSTSKKPDEPKPDEGSA >KVI12496 pep supercontig:CcrdV1:scaffold_3:226191:227267:-1 gene:Ccrd_009131 transcript:KVI12496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAYRRSYSLSLPIGLLSLFLIINFVHLAAIPSASAAATTTNVRLSVTRKPSKSLPVFREAPAFRNGEECKKDLIHVAMTLDANYLRGTVAAVLSILQHSFCPENIFFHFLWSHYDKEIYNNIKNTFPYLRFKVYRFDTNRVSGKISKSIRQALDQPLNYARIYLADILPMNVNRVIYLDSDIVVVDDIEKLWKVDLEGKVLAAPEYCQANFKRYFTDDFWADPELSNTFKGRNPCYFNTGVMVVDIQKWREGGYSYKVEEWMTIQKQKRIYHLGSLPPFLLVFAGHIKGIDHRWNQHGLGGDNIEGKCRGLHPGPISLLHWSGKGKPWLRLDSRKPCPVDHLWAPYDLYLSKKPILDE >KVI12519 pep supercontig:CcrdV1:scaffold_3:436944:440434:1 gene:Ccrd_009117 transcript:KVI12519 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MVKMGYSFPDDVLEHIFSFITSDKDRNVVSLVCKSWYEIERWCRRRIFVGNCYAVSPGMVIRRFPDVRSVELKGKPHFADFNLVPDGWGGYVYPWIAEFSRAYPWLEEIRLKRMVVTDESLELISKSFKSFKVLVLSSCEGFSTDGLAAIAANCRNLRELDLQESEVEDLSGHWLSHFPDTFTSLESINMACLGSEVSFSALERLVACSPNLKTLRLNRAVPLDKLSTLLHRAPQLVELGTGAYSAEVRPDTYSRLAEAFSCCKKLKGLSGFWDVVPSYLPAVYSVCSRLTSLNLSYSSIRSPDITKIISECRNLQRLWVLDYIEDTGLYALSISCKDLEELRVFPSDPYVGDANVSLTERGLVSVCRGCPKLQSVLYFCRQMSNSALFAIARNRPNLTCFRLCIIEPQAPDYLTFEPLDAGFGAIVEHCKELRRLSLSGFLTDRVFEYIGTHAKRLEMLSIAFAGDSDLGLHSVLSGCDSLRKLEIRDCPFGDKALLANVAKLETMRSLWMSSCSVSFGACKLLSQMMPRLNVEVIDERDCSDSKADGCLVEKLYVYRTVAGPRIDMPDFIWTMDQDRAYRSRNRLSD >KVI12428 pep supercontig:CcrdV1:scaffold_3:756074:761085:-1 gene:Ccrd_009087 transcript:KVI12428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, N-terminal FQEYIRNSRGVQLFTCKWLPVSPPKALVFLCHGCGTKLASYGYAVFGIDYEGHGRSMGARCYIKKFDHIVADCSNYFKYISGQEDYKNKRRFLYGESMGGAVSLLISEKVKPHPMVISMLTRVEDVIPRWKIVPTKDVIDSAFKDPIKREEVRLIFAIGEFADTVTDPEVSRALYEQASSKDKTIKLYPGMWHGLTSGEPDQNIDIVFSDIISWLDKRCDDDYITDSSGAATVIDDIELVPSPMVEISKKKLRQSGSYLCGWKGRRMHHHSAM >KVI12486 pep supercontig:CcrdV1:scaffold_3:879898:888605:-1 gene:Ccrd_009074 transcript:KVI12486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MATTKLSRTHSMRERVEDTLSAHRNEIVSLLSRYVAQGKAILQPHHLLDELENIIGDDISRKKLSDGPFGEVLKTAQEGIVLPPFVAFAVRPRPGVWEYVRVDAYQLSVEQLTASEYLVFKEELVGQYNSTYVLELDFEPFNASFPRPTRSSSIGNGVQFLNRHLSSSMFRSKDCLEPLLDFLRTHRHDGHVMMLNDRIHSMPRLQSSLAKAEDYLSKLPSDTAYSEFQYELQGMGFERGWGNNAERILGMMHLLSDILQAPDPSILETFLGRIPMVFNVVILSIHGYFGQANVLGLPDTGGQIVYILDQVRALENEMLLKLKHQGLDIKPKILIVTRLIPDAKGTSCNQRLERVSGTEHTHILRVPFRTDKGILRKWISRFDVWPYLEKFAEDAASEISAELHGAPDLIIGNYSDGNLVASLLSYKMGVTQCNIAHALEKTKYPDSDLYWKKFDEKYHFSCQFTADLLAMNNADFIITSTYQEIAGTKNTVGQYESHSSFTLPGLYRVVHGIDVFDPKFNIVSPGADMTIYFSYTEKDKRLTSLHSTIAKLLYDPEQNEDHVGNLSDQSKPMIFSMARLDRVKNITGLVEWYAKNTKLRELANLVVVAGYNNVKRSSDREEISEIERMHDLFKKYKLDGQVRWISAQTNRAQNGEVYRYIADGRGIFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEDGVSGFHIDPYHPDSAAVTMANFFQKCKEDPNYWVHMEDLLRTFNDISWGLQLLEVASVPRAIDDEA >KVI12476 pep supercontig:CcrdV1:scaffold_3:1146142:1147790:1 gene:Ccrd_009046 transcript:KVI12476 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MLGEEARMLLGFFPNSSPSSSQVKAAYKKKAWETHPDRFPLSQRSLAESNFKLISEAYTCLQSGSGVSRQVSASGTNSWVVRSGVPRAHGGRRNHALIGIPFLFIVLGTVALGGSSATRAYRRQKEAYPSHNPFLP >KVI12504 pep supercontig:CcrdV1:scaffold_3:645708:650394:1 gene:Ccrd_009098 transcript:KVI12504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MPSSTNLLLLQLVISALMLSATAGTTTGDEFTWTSKQIGGCRGSIAECMGLGGGEMEMESESSRRILATTDYISYEALQGNNIPCSQRGASYYNCQSGGQANPYQRGCSFVISALMLSAFIMSPAAAGTIAGDEFTWISKQVGGGGCTGSIAECMGGGEMEMESESTRRILATTNYISYGALQGNNIPCSQRGASYYNCQSGGQANPYQRGCSTITRCQR >KVI12475 pep supercontig:CcrdV1:scaffold_3:543988:548564:-1 gene:Ccrd_009109 transcript:KVI12475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKSTTLKRSRSGSSGRETNKKMKGSLARHLSVHLGQIALKPPIHQNNNIPSRFQNLLDEEAHNTSESVPSTSSLTSATVLDFDSDVNVDDKDFILSQDFFCTPDYITPEAPPLPVCNELEELPCPKSPEKLKSVRSKRQREALLELEDDPLIPVATETDNQVAGEPHINKQGYVSQSAVALRCRVMPPPCMRNPYIKDASENDIDPFGDRRSKCAVFLPVAFGGDGLSRYRTDFHEIEQIGTGNFSRVFKVLKRIDGCMYAVKCSTRKLYLDTERQKALMEVQALAALGYHDNIVGYNTSWFENEQLYIQMELCDHSLSINHSSRLCLEGEVLEAMHQIAKALQFIHEKGIVHLDVKPENIYVKNGVYKLGDFGCASLLDGSLAIEEGDARYMPQEILNDNYDHLDKVDIFSLGATIYELIRGSTLPESGPYFQNLREGKLPLLPGHSVQFQNVLKAMLDPDPVRRPSAKELVVNPIFSRLNKSPKASKPNS >KVI12469 pep supercontig:CcrdV1:scaffold_3:605263:606772:1 gene:Ccrd_009105 transcript:KVI12469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAIKVYGSMLSTATLRVLLCLTEKDLDFELINIDLAAGEHKMPHMLSRNPFGQIPAFEDGDIKLFESRAIIKYIVRTYVNKGTDLVSNDPKKKAIETMWMEVESQTFDPATVGLAWELCMKRFLFGKKGNQTIVKEEEKRLERLLDVYESRLSESKYLGGDSFSLADLNHIPMIKFLMDTQTKEVFEAREHTSAWIADILSRPACLKVFGIPAY >KVI12508 pep supercontig:CcrdV1:scaffold_3:704303:711278:-1 gene:Ccrd_009091 transcript:KVI12508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSRSSRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGNSSSTDRYSSHGGGRGGSGGGRGGGVSRRSDYRVMITGLPSSASWQDLKDHMRRAGDVCFSQVFREGGGTTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRGVIRVKEYDSSHSRSRSRSRSKSRGYSKSRSRSRSKSPKVKSSRRSRSHSRSGSPRSRSGSKPRSLSRSPSRSRSPLPSRPKRVSKSPKRRSPSKSRSPSRSRSRSRSKSLSR >KVI12511 pep supercontig:CcrdV1:scaffold_3:1172189:1178734:1 gene:Ccrd_009041 transcript:KVI12511 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase 1-associated 1 MDAKDILGLPKISLPIPQEKKSRPQKDSQRKPDGISREVYALTGGVPPLMPSVDVNQLKKRHQPENEKITWEWLAFTNSARKDNLQLYHWVRVVNGTPPTGDYSFAKYNKSVDVVKYTDEEYEKHLTDPGQMVNALCFTVYCNPLSILVLLISYILAIWVRERAWTKEETDQLFDLCERFDLRFVVIADRFSSSRSVEELKNRFYSVSRAILIARAPSPADVSGHPLVKEPYNISQEIERKRALSMVLSQTKHQERKDAEAAQDAELPVTSSISPEGVEKTTAPVDTASPSNMQVSAGVAPLMSMADNAAAAAASLRMLRVYLRTYALDQMVQAASSSAGLRTIKRVEQFLQELKVNLKPKVPTKAVCAEHLELRKEILTLLNLQKLLQNKEEGSSYRESSYTETPDTPKRLQRGMDQDRTFIPDSVSFGAMSAERVGKRDQKRKGPGREAPSSPAQSKRPRKLKATDS >KVI12452 pep supercontig:CcrdV1:scaffold_3:1071208:1072653:-1 gene:Ccrd_009056 transcript:KVI12452 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4149 KSVLGKVYDQFNLLRLLLFPLDFEIFQIQSVSQTKIMAWMTRFLTAVAFLAIGVIFSPETFGSKSAKITTFVKLAHLLCFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFMMVGICCAVSVGCFGYTHPWKSSSTAEKYQLGFLLSAFAFNLTNLFVFTPMTIEMMKQRHKVERESNIGEEVGWTKNQEVAKVNPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLAGKIDL >KVI12495 pep supercontig:CcrdV1:scaffold_3:216981:225295:1 gene:Ccrd_009132 transcript:KVI12495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATGVSSSPDRVPMMMPVQPAMMMPRGFAEDAIISWFRGEFAAANAIIDALCGHLSQLEGGRCEYESVFAAIHQRRLNWIPILQMQKFFSIADVTLELQKVVEEKTRLGGIDEIEEVNISSPVKEKNLEITHECIEGNGNGDVEIVDDDATRDDSPISEITDTGSQEIHSLAENNEICSNQEEDWEARRAQIKMTKGFVAKESVNVVRGLKLYEEILNDTELSKLHAYVNELRVAGQNGELSGGSRETFIKYNQQSKAIKRELIQFGAPIFGQIKDEAASKSQDSHIEPIPAPLEDVIDHLIQYHLISESRRPNSCIISFFDEGEFSQPFLKPPHLEQPISTLLLSESKMAFGRTLVCDNDGNYKGPLMLSLKEGSLLVMRGNSADMARHVMCQSPTKRISVTFFKVRSDTYENNSSTTTTMSGAMTVWQPTIPTPNGTLKGSETTGIIPKWGVLRAPQLLMLAPARPMVMSPRRLPRGGTGVFLPWSVGSRKPAKHLPPRAQRGRLLVADAHRVEPTSDLGISVA >KVI12505 pep supercontig:CcrdV1:scaffold_3:661715:668106:-1 gene:Ccrd_009096 transcript:KVI12505 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MEATDMDIEVTQEQILDSCSSSKRFALKNSIQTNFGDDYVFQVVPKEDWTSMAVSLSSNTVKLYSPATGQYVGECCRGEHSDSINHILFSGNILHTCSSDGTLRAWDTRSYQQILFWDRRSKKQVACLEESHMDDVTQVHFIPDQQNKLLSASIDGLICTFDTSGEINDDDHLESVINVETSIGKVGLFGGSYDKLWCLTHIETLSIWDLTESRLEANFEDARPLASNSWALDQVDYLVDCHYSAADDRLWVIGGTNGGNLGYFPVAYGGGRRSILSPEAVLHDGHTGVVRSVCMRSGMNQTQGIFGWTGGEDGRLCCWLSDDSCDVNHSWISTSLVEKHPKNRRRKRHQPY >KVI12490 pep supercontig:CcrdV1:scaffold_3:148543:150995:-1 gene:Ccrd_009137 transcript:KVI12490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MGGNHGRPRPTASFLVQRSRLQSRSWHLLTQSIPIDQRAVVRAFLNQKIQIPSRNCFNQSFLIKGMFFQSHTICSCFNASRNSIGIRVWYQFTASISSLKVVWRKDQKLDQAIQNDKSWRQCAKVVKEVLNEPGQVIPLRYLEKRRERLRLPIKIQTFLSRNPGLFDVYYDRIKPKTEPVKFLRFSDRLQRVLDEEERIYLENEPLIVAKLCKLLMMAKNNVVNAEKLVHVKREFGFPNDLMVNLIPKYPEHFKLIGSPGEGNSFLELVSWNPIFAKSVIEQRADEESELTGIKIRPSFNWKLPKGFLIRKEMREWIRDWMELPYISPYDDASNFDQASQEMEKRTVGVFHELLSLSLYKRIPVPILGKFTEEYRFSNAFSSVFTRHSGIFYMSLKGGIKTAMLREAYKGDELIDRDPLLEINDNFIELLAEGHEQREEQLKLQKEAVQNDMEMVAMRNNPELTESEELYSS >KVI12541 pep supercontig:CcrdV1:scaffold_3:91772:94725:1 gene:Ccrd_009144 transcript:KVI12541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MSTTMDISSENEPSPNHEKQSKLFFLSNVRYFIDKHHEQHAKKVIHSIKVGTALVLVSLIYLLDPLFEQVGENAMWAIMTVVVVYDFYAGATLSKGLLRGIGTISGGGLGCLAAILSEDFGKTGNTIVVGTSVFIFGALATYCRMIPSIKKKYDYGFLIFILTFSLVAVSGLRADKISELARERLTTIGMGFAICIITSFLIFPMWAGEELHHSTSSKFNKLACCIEECMEAYFSDSEKEGRQSINMSSCKSILHSKSSDESLANFARWEPWHGSFGFYYPWEKYLQIGELLRELASIILLQQVIKEKFNNVGISLGLTMHELGESIMKMRRGQEKVLMLPGLQSIELELSILSTSELQAIENVEALPIANFLFLLMEIVDKVKVIAKEVEELGQVAGFQSK >KVI12492 pep supercontig:CcrdV1:scaffold_3:157724:159693:-1 gene:Ccrd_009135 transcript:KVI12492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKANPGAKGAALYHMENVCTSCTHFHSDPDSPNSKMNSLIKQWNQQANNLSYSHEKHWNRLAQCSHLGYSVIP >KVI12499 pep supercontig:CcrdV1:scaffold_3:1168159:1169536:-1 gene:Ccrd_009042 transcript:KVI12499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MMNQQSWILWLWMLFGISMSTKPLLINFGDSNSDTGGVLAGAGLPIALPHGITFFHRGTGRFGDGRLILDFFCEHLNLSYFCPYLDSLAPNFTSGVNFAVAGAMTLPQFVPFQLDVQVHQFAHFKNHSLELLLSLGGSGNFINQDGFHSALYMIDIGQNDLLVALYASNLSYAPVAAKVPSFIAEIKLAIQNLYESGGRKFWIHNTGPLGCAPKELALHVHNETDVDRIGCFRVHNELAKLFNKGLHRMCIELRRALQDAAIVYVDIYTIKYNLFANPSKYGFVEPFKGCCGSGGPPNNYNVKATCGQPGYDICRNVTSAIVWDGVHYTEAANRHAVIKTRRLLVKLYFYYLPLKECRF >KVI12498 pep supercontig:CcrdV1:scaffold_3:1163033:1168370:1 gene:Ccrd_009043 transcript:KVI12498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MASLPASGVREPTGTTLAAQTLPNEMNDMKIRDDRTISYMAERVVGHGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRILDHPNVVALKHCFFSTTENEQLYLNLVLEYVPETVHRVIKHYNKMNQRMPMIYVKLYGYQIFRALAYIHGSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSGGCVLAELLLGQITLVHNYENGCDNQRNKLMGHTTYVALIMAFIDLMGHKTYLLVVFIDLMGHTTYLLVVFIDLMKAYGRLPIQCNFGGVDREVEDQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEALIHPFFDELRDPATRLPNGRFLPPLFNFKPHELKGVAVEMLTKLVPEHARKHCAFLGL >KVI12451 pep supercontig:CcrdV1:scaffold_3:1077527:1089273:1 gene:Ccrd_009055 transcript:KVI12451 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MENTQSSPFGRPSQVFHGIRFLLLGFDPLKKPEVLRKLVNGGGVDASQYGPNCTHVIVDKLTYDDPICVAARRDGKILVSGLWVDHSFDVGVPVDTTSVMYKPVRDLNGITGAKSLVICLTGYQREDREDIMTMVELMGAHFSKPLIANRVTHLICYKFEGEKYLLAKKMKRIKLINHRWLEDCGYELEMEAEAKDSEEEAEGFATRHHEGKMASPHHSLMLKQEVTRSQSNTSASKRLGNAADMVSMTMKSTSDQFPNAREIKSNHPLVLGFSNTENVIGVTGEPSKVFEGTASGSAAQNETDAASIAANKSPLDEAGKVISASYSRKVPRMTSAEIDITSNKGSAKKLGKLNLSEAFNTSSSLVEKVNDGSETVLAGIRTPSNGPAVCLGGEQYGSSTGKRKMDVACGSSKLQRMSQNDGTPNRESTHVDSAFQELKKHSQAEMSNHLSSEADIPYLNDRAAASPAGIPPSNITGRKSSSSKGKSVTCDVPIYKTSAPELGQGENLDEVRRPLKESSEPSSVTKFNDRDADMVRLECAMPGAQKLEHVMQRLEESSPSAVRSDLEKSSTPYLEINELGAGSNSKPVKRKSVGKKLSAPNQNPGTKKTVNQKGSIYLKNSEPKNNAKTSTVGVQGLADNENLLKYQMFERAPLAAKSGPEMGMNGDLQIQNMSGNKSSYIDDETEPPEDKEEAGKEKHEGVEPEKTVDIDEKAKEDLHDIDENNDKDADTTDCENVMPIRDKVLVEKSDDTKNPVNDKTSKGRKLPLTKKAKKRTALSVKEVTDRKAAKKKELASDNDNEKAKVREEATPLRTVKTKRKVNDLENFVEVERAEGGLNDPEHMSEEKHDNGTEHANSPSNMDDVQAGKHADTENVVNKKAAKKNKCPPSKTRKDVALSVKEVTDSKAVSKKGKPTSSRTVKTCDMEAQKENRLIPVDQSTCISNRQVLKSATELPEKINPKPDQAKSDPMAGSLSRAEPMWFILSGHKLQRREFQQIIRRLKGRVCRVSHQWSYQATHFIVPDPMRRTEKFFAAAASGRWILKTDYLSASNEAGKFLAEEPYEWHRNGLSEDGQINLEAPRKWRLLKEKTGHGAFYGMRIIIYGECISPPLDTLKRAVKAGDGTILATSPPYTRFLNSGIDFAIVSPGMPHVDIWVQEFLRHEIPCISADYLVEYVCKPGFPLERHVQYDTDAWAERSYNNLKNRLREEMNEARTPESNDVACEVCGLRDRGEEMLICGNESGSSGCGGGTHIDCCDPPLEDVPEEDWFCPRCRKSSTSNKKKSSKRKGK >KVI12464 pep supercontig:CcrdV1:scaffold_3:1252458:1252826:1 gene:Ccrd_009029 transcript:KVI12464 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function CP12 MATISGVSLSILPKVLSSDSPKGLKSPWVKMPTATSTRMRSGRMYVRAAPEGLSEKIASSIESAKESCAEDPASGECVAAWDEVEELSAASSHARDKAKDTDPLETYCKDNPETDECRTYED >KVI12459 pep supercontig:CcrdV1:scaffold_3:782708:791296:-1 gene:Ccrd_009084 transcript:KVI12459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 MLHELLLALLGYTGDLIVDEREHQLSVGLSPDESISDRCTFKLASDISFIEPSERDIIEKMITLGFYYRELDRFATKSRNLSWIRSGNEAPMSRTSVLLKEKMENQSVYRRAIANGIVEVLSVYRSAVLHMEQKLLSDPLPILATISQGLNKFFVLLPPLYELILEIERDGICGGRLLNLLHKRCHCGVPELQTCTRRLLWHGHQVMYNQLASWMVYGILHDQYGEFFICEQEGNLERDSSHTNMTEKLARMSVNDGSLSDWHLGFHISLDMLPEYIPMHVAESILFAGKAIRVLRNPSSAFRSVDALSHQARHKKLMGDELLPQSEADKIESMLKNLKESSEFHKRSFEGAVDSIRAIAASHLWQLVVVRADLNGHLRAIKDYFLLAKGDFFQSFLEESRQLMRLPPRQSTAEADLMVPFRLAVTKTISDDDKFFSRVTLRMPAIGTNIKSSKIDVSKSKYADGDSSVLSDSLLEMSLDGWDGIALEYSIDWPLQLFFTQEVLSRWSIHFLKQHNLRPFMGRYRRIFQYLLRLKRTQMELEKSWESVMQQDHSNFSKRHTERVNGSTSQQRRQCFRPMWRESRDFAELVDFHQEILDGIMKLCLQFCWKIENQESNASTIELERITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNFNSYFEQTAQGVMNVIRPRSTIPVLKR >KVI12446 pep supercontig:CcrdV1:scaffold_3:1124062:1127592:-1 gene:Ccrd_009050 transcript:KVI12446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding-like domain-containing protein MRVGVRVLCRSLGLSFNPSRNASNHRHSSFSKTYPFSFSPFSTVAELQPQSSVELIKIMEHRLSAIEHRSAYLQSIINQPEASPTEYSRANKELQSLNAKMDLISKLRTIQKEIESLKSLMADCQEDKDLQAMACEELQQALKEEQCLHNLLLKSLLPKDDADERDCILEVRAGTGGDEASLFAMDIFKMYERYSLKKGWKFEVVDVTDSNMKGYKEATAAISGADVYGKLKFESGVHRVQRVPITEKAGRVHTSAVSVAILPQADEVDVELRNEQLRIDTYRSGGSGGQHANTTNSAVRITHIPSGLTVAIQDERSQHMNKAKALKVLCARLYEMERCRVHNSRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRIGFTHHSIGDVMQGESLDYFIDALLLQQEMDAVAALSAT >KVI12533 pep supercontig:CcrdV1:scaffold_3:245393:250314:-1 gene:Ccrd_009129 transcript:KVI12533 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type MEKGSANGSSAVKKSPKSSAKKSRGPPQSSVDTFAVQCNDCFKWRTLATEEEFEEYRSKQSEDPFVCSKREGIVCDSPADIEYDSSRTWVMDKPNIPKTPKGFQRVIVLRRDYSKMDVQYVTPDGTRIRAAPGIIAYLKEHPEYNYASPSDFCFTSPKVMSDTIPEHIERKNPGSSIKKPKKSS >KVI12522 pep supercontig:CcrdV1:scaffold_3:410305:413499:-1 gene:Ccrd_009118 transcript:KVI12522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSFMFQTAVTSNLDSVRRPEIYSRTFTIGGSPARNVHVTSHLKNRRFISVQTRCSFSNSGSDGKGEEELEGSVEEVTIPKAWLNSAKALEESEWLRVTLHKWLDNEYCPEPTNVDISNVAASSYYKSLTENQTDLGDILLRMAMELESISYKESFHGAFSSANAAVNLILERLVQE >KVI12450 pep supercontig:CcrdV1:scaffold_3:1092076:1094518:-1 gene:Ccrd_009054 transcript:KVI12450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVDHCLSSTMPASPSHASLEKKHWWLTNKKIVDKYVKDARTLIASQEHSDIASALNLLDAALALSPRFEPALELKARSLLYLRRYKQVADMLQDYIPSLKMSSDDSSTSSSSSSLSSDNNSQPLSRERVKLLSSPNHDEPSFKCFSVSDLKKKVRAGLGKNCDKEGQWRYLVLGQACCHLGLMEDAMALLQTGKRLASAAFRRESICWSDDSFSFSAEIFTDGNQPQTPPRTESESIGHLLGHIKLLVRRKTAAIAALEAGLYSEAIRHFSKIVDGRRGAPQGFLAECYMHRASAYQSSGRIAEAIADCNRTLALDPSCIEALSTRASLFETIRCLPDSLHDLEHLKLLYNSILRDRKLPGPAWKRQNVRYREIPGKLCSLGSKSQELKQRVASGETGNVDYHSLIGLRRGCSRSELERAHLLLTLRHKPDKSTSFIDRCEFADELDMDSIRDRAKMSALLLYRLIQRGYTNVMGTIIEEEVAEKERKKALQHDNQEATRVKMTLGIEEQNQNCENKKPAPVYQGVFCRDLAVVGNLLSQAGFNRPIPVKYEDVDVDVDVDVDVDVDVDVDVDVDVDVHAMGVCSFDV >KVI12463 pep supercontig:CcrdV1:scaffold_3:634418:642930:1 gene:Ccrd_009099 transcript:KVI12463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRQPESVIIGFSWPVRMSLHRLSQLRSRKEIARSKADANTAPRRRTWWRLINPASSWQYMLWLLSSHKMASFGLTKVRRSRSSAMDGISLGSPPDVPELQKTIMEAAFWTHDGKSKYKRPIITPLNSN >KVI12477 pep supercontig:CcrdV1:scaffold_3:1148324:1150211:-1 gene:Ccrd_009045 transcript:KVI12477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MANKNGLVLSSVALILLVACALGIIAAMHFKSVQQEEQEEDDETTTSVKAVTVVCQPTQYKDACQRTLAPLANHSKATPKDYLLASIRSAKLEVHKVLQAAANSSDNIPPQDRARIHECTKLLSFAIQDLESTVITMETKNRTLGDHIKDLRNRLTAVYSFQSQCKATINKSGHKYKSLILEFMLNSTQLSDNALAIASGFSEIIKELNIDQFAFSLDDLNLQYSRRLLQSQDGTTSLPTLLPARDSRRPGSAPAPAPGPGPEAKPDIVVAKDGSGRFMTIGEAVATYKTPLPPGKQRYIIYVKAGEYNEQVVIQRHQENVYIFGDGDGTIVTCDKSAVKHKLETPYTATFDRFIAAEGKGFMAKSMTFRNTAGPEGEQAVALRVQSEGAVIYLCKIEGYLDTLLCQNYRQFYRECVITGTIDFIFGEGSAVIQKSEIVVRKPKASQNNLVIVADGNEKPYQIGGLVLHNCTVRLDKDMEMEVDKGKYNIYLGRPWMPSSTSAIMESDLGGFLKPEGYVPWPQAQNEKTCRFFEYNNRGAGATSNNRVKWITLNILTDPAQAQPYTVATFIDGKQWLQQQQKNIGAPFYLGFIHS >KVI12536 pep supercontig:CcrdV1:scaffold_3:109819:112378:-1 gene:Ccrd_009142 transcript:KVI12536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MNLVRCLMKLNKELVSIELKNRIVDDGTVTGADISMNTHLKTVKLALKKKNPVSLDHLSIRGNNIRSYIFFILKLGNLE >KVI12543 pep supercontig:CcrdV1:scaffold_3:1197702:1203508:1 gene:Ccrd_009037 transcript:KVI12543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDQHREYRSSWSYDNSVPPLPYHDFNHGGGFSNYNHPGRYQNHYQDVATEPTDSFGTAFVGRKRQFSQSAHGPPEYNDGGSCAKLYVRGVPREVTEQDIRSIFGKHGNIIEVVLFKELKSLHEQECCFVKYAKIEEATQAIRALHNHHTFPGGMRPIEVKYASKKQERPGCSRSVIGCLRTHECKVFVGFLNKQASKAEITEIFSPYGCVEDVFLLRDEYKQNRGIGFISFSHKDMAAAAIIALNGKYVMKGCDQPLVVRFADPKKPKTGEYRSAPYVSDPVGQTSLPNSSHMSSQTGSEPPSVSSTCSVATSSEMSNPPDCDWSEHVCPDGNAYYYNCVTCESRWEKPEGYGFHEQQVEKCNQQPWRHA >KVI12470 pep supercontig:CcrdV1:scaffold_3:625955:627304:1 gene:Ccrd_009102 transcript:KVI12470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MAKPSVLRHNATSGHLDYQTWILKVYIHCEGCKKKVFKVLQSIDGVYKTEIDSKQHKAIVSGSVDGNTLVQKLLKSGKHAEILPESFEATPAADSGSEKSKKKKQINKQKDGVTKGDDKENVNDEKKEESEATTTDGSDAGNSQEVSQPPVAGGDANGNGGGGKKKKNKKTKGDKKDGDTPPNGETDGATTGNAPANTGVTRENVEAFMEKLNLNPPINQMVYARPYDLPPYHNYYPTPAYGMSYNTSYPSTESSYYTPPVYGYAQSHPSVYYPPPPPPWYYPRSAFDDENQDGRVCTIM >KVI12550 pep supercontig:CcrdV1:scaffold_3:1193842:1197266:-1 gene:Ccrd_009038 transcript:KVI12550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANREDLDIDDDFSELYKEYTGPPRTNTTDPTKTNKTSHAASDEEEETRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPQVKALFTEKVISRIEKDIGCKIKMEEKFMIVSGKDRLILAKGVDAVHKIKHEGDKKVGSSSNIARSRSPEGRSPITSRMGRSDSQRSNPSPRNSSHYNQRFGRQEKIIEERVREDLQKLPRGSPQAYGNDGARGRSTHSKSPARPPYTGGAHSSYDGHSHSRAVHRNKGWDADKRGPDLQSSSKGDYSTFPQTLEDLHLEYKKDAMEMTKIRDKEEDEENNRHRETVREMRENYMKRFAMLRAMHAKQWEEFLQLDAQQREQRVRQHMPTSGFDGYKQDSYSEYDNAVGNPYAANMQMDLRVRYSDVDNYPSSRSHDNYGDFQRQRHEEYGKAYNRF >KVI12544 pep supercontig:CcrdV1:scaffold_3:1220441:1225900:1 gene:Ccrd_009034 transcript:KVI12544 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MSKRKFGFEGFGINKQSTYSFERPQPTQRLYVPPSSRGGGHDHYEDTDLDNIDYEDPTHNNEGGAAGADDGEIDPLDAFMEGIHEEMRAAPPPNPKEKADKYRDDDEDDPMESFLRAKKDVGLTLASEALHAGYNSDEEVYAAAKAVDAGMLEYDSDDNPVVLDKKKIEPIAALDHSSIDYEPFNKDFYEEKPSISGMSEQDVAEYRKSLAIRVSGFDVPRPIKTFAEAGFSVELMKAIAKQAYEKPTPIQCQSLPIVLSGRDVIGIAKTGSGKTAAFILPMIVHIMDQPELAKEEGPIGVVCAPTRELAHQIYLEAKKFAKANDIRVSAVYGGMSKLEQFKELKSGCEIVVATPGRLIDMLKMKALTMSRATYLVLDEADRMFDLGFESQIRSIVGQIRPDRQTLLFSATMPRKIEKLAREILTDPVRVTVGEIGMANEDITQEVQVLSADTEKLLWLLEKLPGLIDNGDVLVFASKKATVDEIENQLSQKGFKVAALHGDKDQASRMETLQKFKAGIYHVLVATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGTAYTLITHKEARFAGELVNSLIAAGQNVSMELMDLAMKDGKFRSKRDARKGGGKRAKGRGGGNRGVRGVDFGLGIGYSSESKNTSSSAPPGRTSAVNSLRTGVMAQFKSSFVAASSNSQNQGLNNDSGNKKMVLPGFVSGGSIGGDVRTLPSQASASGSTPTPTGNTSGQKREHGSQGSSERSRERPRERRRPSGWDR >KVI12430 pep supercontig:CcrdV1:scaffold_3:812818:816048:1 gene:Ccrd_009083 transcript:KVI12430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQVVIINPSFTIPSTAHACSGATAIKFVSVYSVVNLVIDDFITELKRRIDDVMYIGLSGLILNPWRLCIATVACMSSPYSINAIPGFASTILTSLNPWYCLNNICNIIDDV >KVI12493 pep supercontig:CcrdV1:scaffold_3:161896:164751:-1 gene:Ccrd_009134 transcript:KVI12493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MGGSSSVPEKSIHEFTVKVVLFHFTHTDFTLFLFMDFGFYCVKTAQDSKGKDVDLSIYKGKVLLVVNVASKCGFTNANYPKLTELYKKYKSEGSILGSSIKWNFTKFLVDKEGRVIGRYGTTTSPLSIEGDIKKALAKQ >KVI12478 pep supercontig:CcrdV1:scaffold_3:1152159:1162166:1 gene:Ccrd_009044 transcript:KVI12478 gene_biotype:protein_coding transcript_biotype:protein_coding description:START-like domain-containing protein MSSTAAVFSVSASSSLEPLGTILTIDRLLLPSSSSPFLGISLRRRSLRSIPHGIGRSRTFKPRRSNLIMEWQDCTVKMEIDVPISIAYDCYSDREAIPRWMPFISSVKIFEDKPELSRWSLKYKAFGRDLEFSWIARNMQPIPNQKIHWRSLEGLPNRGAVRFFPKGSSSCLVELTVSYEVPQLLAPVASALQPFLENLLGRGLESAVIFMMIVELVKIMTVVFILVNQLISNGWDELFEHSQLVKSYLAMGILKHYWVDTVHPVASFYTPWMTLYLNVTINYLILTLIYELHSIFLHVKKVRRMKWQVCEIGMVP >KVI12535 pep supercontig:CcrdV1:scaffold_3:20423:20936:-1 gene:Ccrd_009149 transcript:KVI12535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLQSIFSPVKILWRRIQSVHTRRRKRMQFDRGKGLYVLYEDVKCCSCEDVQMLWSMVVGPEAGFSI >KVI12467 pep supercontig:CcrdV1:scaffold_3:592338:593745:1 gene:Ccrd_009106 transcript:KVI12467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAIKVYGSMISTATLRVLLCLAEKDLDYELIFIDLATGEHKSPHMLSNNPFGQIPAFEDGDIKLIESRAIMQYIVRKYADKGTELMSSDPKKMAEQSVWMEVESQKFEPATTKLIWELCMKRFLFGKKGDDAVVAELLPALGRLLDVYEARLSESKYLGGDGFSLADLYHVPVIKFLTEAETKMKKLFHARPHVSAWVTDVLSRPACLKVFCKHAYCKGG >KVI12514 pep supercontig:CcrdV1:scaffold_3:532718:542692:1 gene:Ccrd_009110 transcript:KVI12514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MAISNANHQLALVVSSNTTTSYEGLLESQKELLSKQIYELQNIVSKQCKLTGVNPLSQEMAAGALSIKIGKRPRDLLNPKAIKYMQSIFSVKDEISKKEIRAISALFGLTATQVRDFFTGQRSRIRRFIRLSREKAIQSAEDVQEQDGSLSSNLDAQNHPVPLNSMGPPSIEAPSCSTQDEVLPDTDDSDKYFIDNIFSLLRKEETFSGQVKLMEWILQIKNASVLYWFLTNGGVMILATWLSQAAIEEQTTVLHTILRVLCHLPLHKSLPAHMSAILQSVNKLRFYRGPDISNRAKSLLSRWSKMFARSQAMRRPNANISPGAPYLLQENSENSRNLGSSQSIKLLTAPSDESNIKLLRDTRERRKVQLVEQPGQKTAGRGPQVTRVVSTTQGRPLSADDIQKAKMRAQFMRSKYGESYVNPRVKTEVSRAASTSQAAMTPPSSKAAPIHPKVEEPATSTSGMTQSAAKSDFQPKAEEHKKPAILASADEVREATVFGKGNMELEEPVWKKCKRFQISWIDKEWSVCSGENSKEVDVQNNRIKREKEVFYERVLEIPSNPKEPWDLEMDYDDSLTPEIPTEQLPDDDDDNMVSERVGMTPTQMNIDSDVASGYAAGTSTNGGDNNNRSMPEPDLELLAVLLKNPEIVFALTAGQGGNLNGEQMVKLLDAIKANAARGGSIGSLVNGLVEKKAEEKVEVSLPSPTPSSNPVTSGWRPESAKNQFSRQSMTVNGEAYAIPAVNFQETGLLTPATITQQAFAPINHQRFPDLPLDQRNVSDFVPDRRSIPTAVSGLPFRGAATANLTQQSISPSIHQRFSDMVPDHGNIPAAGHGPPSSGGYFPSTHGGSQISSQRRVISEPRQSLVQSWSGRESLGSSPYSPAERNSNLNTYSTQEFMHPPPDLRAGPSWGRNGGHRDEPGFESWSPDNSPVRSHEYVDSWDYSDPPNRSYNNYRLDMSAMQHDPSRYRDRGGRNDGSRWRDRRR >KVI12489 pep supercontig:CcrdV1:scaffold_3:147917:148510:-1 gene:Ccrd_009138 transcript:KVI12489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNVLKWASFTLFLVFHPQIERPLVATVPIPPFAPRPLCNFQLALANQACAYLPSSLVSPPPPPPPFAAVDDECVCELLGRLPPFLARPIHEYTVRVAGSCNTTYSCGPGLLRL >KVI12546 pep supercontig:CcrdV1:scaffold_3:1227716:1239017:1 gene:Ccrd_009032 transcript:KVI12546 gene_biotype:protein_coding transcript_biotype:protein_coding description:CXC domain-containing protein MDSSSMDMAMPMSMSISNSMSLSTTNLHGEQVYGDLGILAFKISQMKEQIQAERVLLIKEKVEKNRERLASDVAQLLSLAVLRTDGSAKEISGEGKMLSSRIKNPLSILTGPSQASGDDNSSTQEVAISISAKLPVVDKIPPYTTWIFLDRNQRMADDQSVVGRRRIYYDQNGSEALICSDSEEEITEPEEEKHEFSEAENRIIRMVCQDYEPSEEVLEILAQSIGGNISEIHEAYHMLKTEDETLNQDVSVSADLGQDRSISLDKSLSASLDSLDNLFCRRCLTERQYHPLESQENVKPCSDHCYLQFQTVKKYSDRPDVLNEKHTRTSDECPHEIHNSAEPGKRKVSKQTTTMLEEPKHVTDDQQESSLKKQKRLSIPDTVSMTIEDAQVSNDKVMVVDVTTPNQDELQMIPTDEAISFGVPNSTSRCVSADVIEDSSTGVHLLKQASNQKQIGGSPINSEWKPLEKELYMKGLEIFGRNRYKISHLSIILVSLICLIARNLLSSLKTCIEVANYMYDSGAATSQRSFTACSMSDCNERADADLKELEVPSRSRLLRRKGRAKKLKYSWKSAVHPSLWRRIADGKNQSCKQYIPCGCKSMCGKECPCLDNATCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGEGHCGNMRLLLRQQQRILLAKSNVAGWGAFLKNSVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQAILLSFSISEQLPCLQYVLDAYRKGDKLKFANHSSNPNCYAKVMMVAGDHRVGIFAKEHIEAGEELFYDYRYGPDEAPIWARKPDGSKGDDLLVPQGRAKKQ >KVI12542 pep supercontig:CcrdV1:scaffold_3:55318:72098:-1 gene:Ccrd_009145 transcript:KVI12542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSGDSAAATTISSHRSAARVVVVGDQATGKSSLIATVASDTFPETISPVLPPTRLPADYFPDGIPVTIIDTPSSLEDKPKVEEELKRADAVVITYACDQPETLTRVQTYWLPEIRRLKVKVPVIVVGCMLDLRDEHYPINLELEMGPIMQQFREIETCLECSAANLVQVAEVFYYAQKAVLHPTAPLFDQESQTLKPRCIRALKRIFILCDHDMDSALNDSELNEFQVRCFNVPLQPSEIVDVKRVVQEKVPEGVNYLGITLEGFLFLHALFIEKGRLETTWTVLREFGYNDDVELEKENIPVPSKKAPDQCVELTREAVDFLKGIFNLFDSNHDGALQDSELDELFSTAPESPWNDAPYKDSVETTELGDLKLSAFLCQWVLMTLLDPFQSLAYLIYVGYTGDPAKALRVTRKRSLNVKKQQTDRHVFQCFVFGPKNAGKSALLSSFVGRPFQNKYNLTSNQCYTVNTVDQLRGVNKTLVLHEIQEGDFKECLSNKESLAACDVAAFVYDSSAEYSLKRASELLTDVARHGEDSGYGAMKIDAPIRISVKEKHMNNVFHRIVNAAEQCHLGVPETEHGRNKKHFQQLVNRSLILASDTLMRI >KVI12517 pep supercontig:CcrdV1:scaffold_3:450980:452404:1 gene:Ccrd_009115 transcript:KVI12517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MAMVEGLVAEKPVVIFSKSSCCMCHTIKTLIYSYGVNPTVYELDSHPNGQQLERQLNALGCKPSVPAVFVGQDLIGGAKEMMTLHMQRYLARILTRYSPEDCHANLIAILNQLFPLISGDLCCVQSRADS >KVI12433 pep supercontig:CcrdV1:scaffold_3:673892:680676:-1 gene:Ccrd_009094 transcript:KVI12433 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX, N-terminal MKFCKKYQEYMQTQAQKKLPAVGFKNLKKILKRCRTDTILSQNSHRSQSSSPHSSSDISHDHHCPVCDGTFFPSLLKEMSAVVGCFNDRARKLLDVHLATGFRKYFAWCRDRLQGNHGGLVREGKDLIHYCKQGQAFRSQVQSMHLEILQSPWLCELIAFHINLRETNVDSRKASELFEGCSLVFNDGKPSLSCELSDTFKLEIDLTCSICLDTVFDSVSLTCGHIFCYMCACKSGSITIVDGLEAADPTSKCPLCREAGVYEGALHLDELNILLKRRCPEYWEERLKNERVERIRQAKEHWESQSRAFLGI >KVI12551 pep supercontig:CcrdV1:scaffold_3:1183645:1192427:1 gene:Ccrd_009039 transcript:KVI12551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MDSHSPYSFLTKGSQVEVSSDEDGLTGAWFVATVIHPPPSVSTSKKNKPPNHHTRNNLVYVEYHNLLSEDGSSRRLREYANVSYIRPSPHPDTNAPAPNFQLNDLVDAFYRDAWWTGTISAVVDDSNFIVAFQNPPDQIQFHSSDLRVHRKWVAGRWIQPEKQRTAGLMFTAGKKVEVSFEREDLRDVWFPATVLKDSGNNCFLVEYQQPGIGDEAALHKVTVDYLHIRPSPPHLRDKNFVLLEKVDAYFDFGWWSGVITKELADNRYNVFFKHAKKEREFIYSRVRPHMEWKGGKWFNTSQRTEKAFVHGEFNSVKGDVDGRTIGSLMDRQIEQTTPSIGKQSTVATSIMKRTKQTTLDSNDKNSLPSKRLNNEILSDDPSLSKMAPCKKSNNPPVGQSEGFDSEASVINDQAFGKTENLSHGKKVYSGSGLTFQLLQRSKRGKGTELKSPTMDSSRKKVRLADEPRSLKALGKGAKGDPVQNGTQENVEREHVSKDLVLPVVIGLKCNVMTISGGKKLQQLSSERMPNVAEGETKQSSISLAPLTVVNEEGKEGDADTVAPKRKRGRPPKLQAISPKTPVAVNHQEGGVGSSAVSVVEVTQRKEVGSPTSSGTKALEENQAPVKEQLGFDVEQDAIKQNMSTVMEILSTSKDKLRNENVGQDRNSQRGKKKYSSIKGKRGKRRTISINTESPAEDCQGASKEKADGCVEKASAKNTEASVGKSLDMMSDDQPLSRWFGGMQSPMAEGMLEQSSKASERRLEIVTCENAIILPFVRSTPLWQTIESMEAFRMIPQKPHFRPLLEGVKESAREGLAIGSMVTFSSVVEKTCGLRFDDGRSGIEDCLETLVELESHGFEVEVIRDRLTGLLLIKDKEEELEERWKGVGEKIEELKLEEKRMDEEIGEIDREIKELEERRRHLLLKKERRDSEVGVMKAAMEQEMRQVGVEFDGLAAAPL >KVI12435 pep supercontig:CcrdV1:scaffold_3:267984:271553:1 gene:Ccrd_009128 transcript:KVI12435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAEMKAKLNWPEEEDGELDSDYDWDCSSVSGLRKLMTDGLHSCFGNAVKKIVENGYPEQVAEFVVLKSGPFFGSKDMVSVIVDRALNSLRTKGCHDSKFYIFEELHTLVEFMILEMVTMLREVKPFLSVREALWTLLICDLNVIHASEAELDPMKFFIDSVKAAHEIETSSDSESQNQEILASNVEKQDMVVKSLKSSPKSSKSGKRIEDKCPSCRKWCSGNSHKKDAVREKMFRFEKPYKGRMSKKALKEKLAAWGDLVSDKKHPSCESSSGTKKTPTLALKETEETAKATPKPEPVAPVAAPEPTKTVQHYLASIPYDESKGEHVPRDVKDKILLAAVDQVLALHKELRDWDDWANLKVMQVAKRLSQDRAELNKLRAEKEEAEKMMKDKQVAEENNMRKLSEMTTALNNANYQIEMASSAVVRLKSEKIILKKEMEAARIRSSIEAKRLEAALQKEQEAYKKSQSFGTEKSLLEEELKALKHEVVPIQRSAEKAKDLLSQTQIRLANEEKETAKVVLKYESLKRERELIEEMAKSEEEMVVEKAEKKLQKFENEMKRIQYEISAMKLEQETKKIAAMRTGMNWPVFEGSKSSEILRNNNKNGNLRQKKDRECVMCLTEEMTVVLVPCGHQVLCADCNVIHEQNGMKDCPSCRTPIQKRINARFAKS >KVI12429 pep supercontig:CcrdV1:scaffold_3:776480:784991:1 gene:Ccrd_009085 transcript:KVI12429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase MEIRCFLVRCFLPTPPLIRHQSLFWESTNNSSRRNNKNGGLKVTAKSNIHEDGDHDHPFFQSAFSRASYRFHETLRPEPLFVDPYAGCFVPPNLELDETTKQKMHHYCIGTRFIDDQLLSVTKGVDGAKQVVLFTDGMDTRAYRLNWPSSTVIYDVSPQAVFKKASEKLKDVGAKIPRSCLLVHVPLESSDMQQVLRDKGFNGCRPKHLQFSDDQKNLSDLAALPIPMTSPIPFVSALIGVNTERSYDTCP >KVI12447 pep supercontig:CcrdV1:scaffold_3:1113344:1123432:-1 gene:Ccrd_009051 transcript:KVI12447 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein Msh6 MAFRRPSNGRSPLVNPQRQITSFFSKSPSSTSSTSPLLSNSKPKPKPKPTPTTPSPPLTKRTNNPPLLLTPNPIHGHELVDRRIRVYWPLDKTWYEGRVTSFHKTSGKHLVQYDDAEEELLDLSKEKIELLNDHPKRFRRLRRFSIQDQDDDAGGPGQGNVDKNAESGGDDSADEDWGIHVEKEVIGDETDDLDLVDEDEENTVAVKPDLKKRKSSGMKLDSAKKIKTESFMDLSRASLEPINNINNNFASGKTSAFVDNVLVGDKAERFTTREEEKFKFIGKDRRDAKKRSPGDENYDPRTLYLPPHFLKSLTGGQMGKFYELFEMDAHVGAKELDLQYMKGDQPHCGFPEKNFALNVGKLACKGYRVLVVEQTETPDQLERRNKEHGSKDKVVKREICAVITKGTLTDGEMLSANPDASYLFAVSECYQPSGKQHDDRVYGVCVVDVATGKIIIGQFEDDLECSVLSCLLSQLRPVEIIKPIKLLSPETERVLLRQTRSPVVNELVPLEEFWDAEKTICEIKEIYQRISNQSVKLSLNESIPCASKDCLPEGLSELMAAGKIGCYALSALGGILFYLKKAFLDEALLRFAKFELLPCSGSSEFTTKPYMILDAAALENLEVFENSGSGDAKGTLYDQLNRCVTAFGKRLLKAWLARPLYHIDSIWERQEAVAGVKGVNLPHALEFRKQLSMLPDMERLLAHIFSCSEANGRNSSKVVLYEDAAKKQLQEFIMVLSGCELIINACSSLGVIMENSDSRLLHHLLTPGKGLPDVYAVLRHFKDAFDWMEAKNSGRIIPRDGVDKEYDTACSMVTDIEWSLTKHLKEQRKLLGDPSINYVTVGKVSYLLEVPESLCGNVPCDYELQSSKKGFSRYWTPAIKNYIKKLSEAESEKESKFKSIMQRLIGRFCEHHVSWRQLISTAAELDVLISIAIASDLYEGPTCRPLIVDPSIEDEAPFLAAKNLGHPVLRNDSLGDATFVSNDVCIGGSNHARFILLTGPNMGGKSTLLRQVGADVPAERFKMSPVDRIFVRMGAKDHIMAGQSTFLTELLETASMLSSATHNSLVALDELGRGTATSDGQAIAASVLEHLVNKVQCRGLFSTHYHHLALDYQRIPKVSLCHMACQVGNGVGGLEEVTFLYKLTLGACPKSYGVNVARLAGKFLSPPLIF >KVI12549 pep supercontig:CcrdV1:scaffold_3:1237694:1239840:-1 gene:Ccrd_009031 transcript:KVI12549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MALCSTKFLLSLVLLSAIPLAIIITLESAAPTAHYYQFHSTGWFRESSKWDQVNSRFIVSFTDGGGLGVVRVPEDHNPDLVLEEIPVVKNTDAVGNGTCGVFIDRPRNRVVVAIADVFGNTYSAVAAYDMDSWKRLFFTHLPSSEDGKSMADDVTVDAQGNAYITDAKGTQIWKVGVDGQILSVIKSPLFHAKEWYHNFFTLNGIVYHPNGYLIVGHTIAGILFKVEINNGNRVALVKVDTRLTVADGLELLSPTKLVVAGANGVKLVESNDDWTTASVIGRSPVLKHRMATAAMVKDGKVYINHALGMGYPKKKHVFVEAVFS >KVI12443 pep supercontig:CcrdV1:scaffold_3:325763:339832:-1 gene:Ccrd_009123 transcript:KVI12443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAKEDVPLRQKQPIDLVSAVKELHMLSSQELGKLIRDADNDTIQWTTSNGSSNQVDVENLARFLALHLIAKLLSSKRDEEFFRYLLGGIRLLHGLCDLAPRNSKIEQVLLDDVKVSEQMFDLIFYMIIVLGDSKQKFITSSHLVLLHSALLACSLYLLTAFVSSQWHELALVLLAHPKVDTFMAVAFAAVHIDIQFLQARLLAQYTDAGIQSNLAEVNRLCQHCEASLQFLQSLCQQRFFRERLVKNKELCGEGGILLLAHDIMKLPFCEESYLMAVVSRLKSKVLSILLHLCEVESVSFLDVAASTTGGLNLAKSTIFQVLELLKTMFRGDLNGLAAFSDKTYPRGLLQLNAMRLTEILSDDSNFRSYITLNFTEVLTMIFLLPHAEFLSSWCSSESPPSEEDATLDYDSLAAAGWVLGVLPSSDVPESTFNACRVPRTSYAYQRTSLLVKVVANLTCFIPDLCKEEKDLFLNTFLQCLQKLLPNLLYGAPNDAEAERAAIVIQNLRSLLIHAGSLVPGFLNEDDVQLLRLFIEQLERPINRESNIDRVKEGNGRGPDSPDAGSRGGNHNKGMSVDSALEEGNQLNLNGNEDPSNSLPRQDQRTDVAQNFATRGVHESDENAQNVETNGLEFLQQSNDHIRVAEDERIENVQSEEKQLRKRKRNIMNYMQITMIEQALQNEPDMQRKAASIQLWADKLSLHVSKLARAAAKDIRVPSGGDTTFTDKQGGSGTDPVSDSPESPDEFFAPSPSAPQLQICSNRNPEGVSVRYEQGQYVVLTDGQGEEIGKGYVHQASGNWSGSNLDESGLCVLDVTYLKVDKWANLPHPCDATGNSFGHAEQILGLKRVCAHAWALKLFPIGVVAATDE >KVI12516 pep supercontig:CcrdV1:scaffold_3:499863:514006:1 gene:Ccrd_009112 transcript:KVI12516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CW-type MISVGSRDGRKGLSSRYGVEGGEMDLETELEEGEAYFQNNNDDDDDSTIDPDVALSYLDEKLQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPACPHPKTPPKVTTNTASVFPIDLQIEGGRQNSVSISNASQSTRHGPVSASGPSVPAPRGPPMIGKMKQEGHVSSAKAGDKFASNGQPPVNNFANASDHKSLKVRIRVGSDNLTTRKNAEIYSGLGLDVSPSSSLEASPVDSDDFCHVPRDSPCDGSPTSRLLSPLPDDLLFLTEKEKWEDSSCGSVHKRSQESFLTVNRFDSKADRNIICEKKPKSSDDNFVSVDPTNGNNVCSEKDGVTKKEVNMDNLSCEELVSNALKLPLLSNPNGNNVLDFRKGSAFKGGSSSIPKEESSDPVMTHDDSHVEKPYRKDGPVGNANSDDKYSGFPTKSDSDVSRGGKILDSGLVKPSKPKTAQKATSREKDGVKLATGKETSSSGGKKKPKGSQSLENGFQSVEVSKSCLKNDSYAKSRNNACGSKVEDLKINNGKVRETYKDFFGELDPELDEFDDMGLEEKPFGDKPKDYRITEKGTLESNNSSKERLNGRKGPKPSSSAYPGVGPHTTFTTGNAPVSDAASAVAAVEDWVCCDKCEKWRLLPPGVNPGSLPEKPGMNRCSISQEETTKAITSRFLGPAPMIQGSQPVHPGGPQSGAMSIDALHLDQRHQPFGPPMGVKKKHGTKDPPNESKPDRPSLSSNSTKKNLHASNKTRSLNGANPSSFELEFQDSGHSSNLIAEKQKFKHKEKKKLRENVVDEGNNAYQLKIRNKRETSQDYIRDSKKVKTEDNHGTDKDRTFDHDGAVFKEIKSSVSDIPVGVSRKDHREYDERPKDSKPDSKVLGINLKNQNGIFHMVKREERDVAKKRKSSEFEDAQVNVEEMSESGQRKAKKVKVPDSRKEERGRNVKDEQVKSLDIMDSCKRDVAMHASLAATSSSSKVSGSHKTKPNNQEAKGSPVESVSSSPLRISNPDKLVSSRKNVEAVDNCRDAVSPKKDWDDDRGSYRSSTFKKEDVVESIEKGLGHLSSNNGKAEIMPSLEFETLHVGGDGVDALDQGGQYSNGVGQGHNEERRNNDQSHATGSRARKNGKGSSSRSKDKIRSSKFDIKISERMNENTDVPTHEEKSKGGRNKSQEKLSINSDKFEKGSVSKKESSGKHLSESAKRDAQLKHGHHDDVISIPDIKQNPPTERDGKRFSKRDVSGRGKPLSLPPSGKAQNETARPPQPILVSQKENAGNIDAVEGSDSASKGLKQKKGRDPISQNGSQSMNVRHPTPNRHKGRDHDAPSPLRRESSNQAATAVKEAKALKHMADRLKNSGSNHESNSLYFQAALKFLYGSSLLESCNSESGKHGDIIPSMGMYSSTAKLCEYVAHEYEKSKEMAAAALAYKLAEVAYLKVVYSSHTGASKDRQELQTSLQIGPTGESPSSSASDIDNLNNPAAVDKATLPKGVNDPQNGGNHIIAARNKPNFLRILNFAQDVNFAMEASRRSQSAFAASNSPPEHKEVIKPALDFNFQDVEGLLQLVRVAMEVISR >KVI12488 pep supercontig:CcrdV1:scaffold_3:142075:143073:1 gene:Ccrd_009139 transcript:KVI12488 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MMIVCKQIQAPVMQLPISNGGMDLSVLRCRPQKEKVVVVMGATGTGKSRLSIDIATRFTAEIINSDKIQLYEGLDIVTNKISEDECVGVPHHLLGIVDPESDFTSANFASTALLAMKSIVGRGKLPIIAGGSNSFIEALVDDENYEFRSRYDVCFLWVDVAMLVLHRFVSDRVDRMVAAGMVEEVRNMYNPDADYSKGIRRAIGKKGRDADEAWAELVAGPAAVIVNEFLYSFDHSRAFAATAEGGGRVIREAEMGAAMAAATH >KVI12520 pep supercontig:CcrdV1:scaffold_3:447940:448239:-1 gene:Ccrd_009116 transcript:KVI12520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKDLASKKAAVIFTKSTCCMCHSIKALFYELGASPAIHEVDHDAELEWALRRLGCNPEVPAVFVGGKYIGSAKDVISLHVDGSLKQKLIDARAIWF >KVI12545 pep supercontig:CcrdV1:scaffold_3:1208681:1213795:-1 gene:Ccrd_009035 transcript:KVI12545 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAPA-1-like conserved region MRERRKGREEYGWGSDPGFGSNPRNKITHRVSVGTTPNIMDEFGGPRFEGVGNTIRRKRTQTSRRPKPEVQALPVNHDQASLSSTPPSDDTSKISSDENAVDANPRRKVFNLNQCASVGSSATRTEGEYSHKRVQTEDGRYNSSLHSNGGLTDANEEAQSGLIQKQHANSRASDDIGGNENKVKKVKLKVGGITRTIQPKSSLATIGSSKNAGCSDVPRPRPKLILQDNSDEDDSSPLGKKIGLQGIPWKDFSRGGFSLGRENSLMGKTTERNSGGKQGEKSERVRKSKRVPKKRLLDGDFDEEEDDEIRYLEKLKTVKVAAACRDFDDDSSKKHRSLSRVSKGGKSENSEDPIPLRLGRDIKKSKSDSVSVDTDLEEEDLVSDNENEGNKAKKLRKDSPDSPTETKRETSLTSRQRALLSGRDSASAASQIEFPNGLPPAPPRKQKEKLTEVEQQLKKAEAAERRRIQNEKAARESEAEAIRKILGQDSSRKKREDKLKKRQEELAQEKAANAKMLGPSTIRTVISPTGTVVAFADDIVIPHQGKNVLGRLVTMHTSIEIPNPSFLFAASNAIRLFMNGCRLTMGASNVAFYMMKKVH >KVI12521 pep supercontig:CcrdV1:scaffold_3:385846:399248:-1 gene:Ccrd_009119 transcript:KVI12521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MGFREKLLVCATIESETKEEMVKSMEIAKEEGADLVELCMESISHISDVELVLKQRTLPAIVSFSKTKIVVLHDFMLMVGSSVHNFRLNSQKISCKGDSKHKCLQVLRLAVELDVEFVEIDFELASDMINEVMQKRENSKIIVSSYVKCGIHSKEKLGNLLICLQSTGADIVKLVTEVAYITDVAPVFHVLTLSQVPVIVRAVGDRGLISQLLGPKYGAFMVSGSLGGKSIPGLPPLLSIKNIYKLDNVDVDTKVFGVVSNPVGHSKGPLLHNPAFRFCGYNGIYVPLLVDNIKEFLRVFSCSDFAGFSIGLPHKEAAVACCDEVDPLAKISNGEEPNVSPIAGRLFVLVGAGGAGRALAFGAKSKGARVVIFNRNFGRGESLAQAVSGEALPIDHLDAFCPENGMILANCSAIGMEPDENLRSYDLVFDAVYTPRNTRLLQEAVEVGVTVVSGVEMFIRQALGQFKLFTNGLAPEDFMRKVVLEQF >KVI12453 pep supercontig:CcrdV1:scaffold_3:1066971:1070180:1 gene:Ccrd_009057 transcript:KVI12453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MDGICLKPGFIGITTTPITAAGDIRKNPSQITTAISRTTASAISSEKPSVSGSPSPRTTSPWGFSLKFPLTSLFVGNRNRYDALAVDDAVSVDRKEETKEEIESDGKNENWVLKILHVRSMWNETKDSRIKEEDQQGENLSVDHEFNHQDEVSLDEEECDACRVDDDDDDKIEFDKNSFSKLLRRVSLAEARLYCQMSYLGSLAYSIPKIKAGNLLKHYKLRFVTSSLEKKAQLAAKAGVQEGEDDTKTKKDQTLKAEDEIVSLDGVQEDEQIKETIEIEGEKRGGNLISASTAYHIAASAASYLHSQTMNIIPFQSSENNKGDDRISMTTDSVTAVVAAKEEVKQAVADDLNSMHSSPCDWFICDDDESSTRYFVIQGSESLASWQANLLFEPIQFEGLDVIVHRGIYEAAKGIYEQMLPEVHAHLQSHGDCAKFRFTGHSLGGSLSLLVNLMLLIRGQVPRSSLLPVITFGAPSVMCGGDRLLHKLGLPRSHLQAITMHRDIVPRAFSCKYPSRVAELLKAVNGKFRNHPCLDNQNLLYAPMGEFLILQPDAKLSPSHDLLPSGSGLYILSSPVSDVMEAEKQMRAAQSVFLNTPHPLEILSDRSAYGSEGAIQRDHDMESYLVSVQSVIRQELNRVRKTRREQRRRAWWPLVVRHDENERSHQLTGVLQTGSESLKRFTRLVASEHMNLLVILLLPARLLVVEACNVMRLG >KVI12465 pep supercontig:CcrdV1:scaffold_3:1253877:1255193:-1 gene:Ccrd_009028 transcript:KVI12465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MASPKSGSDGDPRYANLDERKRKRMISNRESARRSRAKKQICLDELLGQINHLQNDNNTIMRKIDGATHMFVGVASQNNVLRAQLTELTDRLHSLNSVLHIAQEVSGLAMDIPEVPDTLLEPWKLPCPTHPITASNLNIGHGPRTWVHRDKETL >KVI12518 pep supercontig:CcrdV1:scaffold_3:458504:469806:1 gene:Ccrd_009114 transcript:KVI12518 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF255 MTTRNLLVRSATHCSINSYFGTNPHTFNSMLRRLLSSSSKFIHINPNSPFKFRPIFLLPSSSLNSPIRVFAMAGKDQTFATSTSGQQSHQNKHTNRLASEHSPYLLQHAHNPVNWYPWGEEAFKQARERDVPIFLSIGYSTCHWCHVMEVESFEDDGVDREERPDVDKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWESKKDVLVKSGTFAIEQLSEALSSSAISDKLSDGLAQTALRECTKALAESYDPKFGGFGSAPKFPRPSEPQSMIYRSKKLEESGKTGEAEEYLKMVSFSLQCMARGQLANVYLDVFSITKDVFYSRVARDILDYLRRDMIGPNGEIFSAEDADSAESENSKKKKEGAFYVWTNSEVDDIVGDHATHFKEHYYVKKSGNCDLSQMSDPHNEFEGKNVLIERNSASVMASKFGMPLENYHEILGVSRKKLFDARSKRPRPHLDDKVIVSWNGLAISSFARASKILKNEPDGTKFHFPPEDYMQVAKRALSFIKENLYDQQSRRLQHSFRNGPSKAPGFLDDYAFLISGLLDVYEYGGEISWLSWALELQETQDEVFLDKTGGGYFNTTGEDESVLLRVKEDHDGAEPSGNSAVFEKRLKEAPVAVPLMCCAADMLSVPSKKQVVLVGKKATPEMEGMLVSVHASYDLNKTIGFWKEKNPNVAAMAKSNVVAEKAVALVCRDFACKAPITDPRSLEASLLKGKA >KVI12538 pep supercontig:CcrdV1:scaffold_3:119800:127662:-1 gene:Ccrd_009140 transcript:KVI12538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSHTSRSIYAFYNYKSRNSDFVEFFEGGQSETLEAYLQQNPLIFIYLVSDLYSLLIFNKISAFVITESATKDFSIHSIMATPDVLQADQDLFSSLSLFDHPKGDAKSRGLAIEKKIEYLESLNGRVSNRRARRWINDRILLELVPRLSGDEIRGLFAPPPWGDDVPLSPFCMTNVGEWDKFRNIDMDKEAGAIKALKGSSSKKKNRRDPDKVAVLTAWHRVDCRTREAFRRSALPELVNGYEECIRAYISETGNNEGLVLYIQDPFHRLLLHGVCEFYNLVSVTDTETKGRKVTKIKKKKCAAGNMEVPNMSLCQFLKMAKEGFCFMVVDLSVNASMKAEKIDLSIVVYGFVVLVLGPPLPFRSTVRSFGFILIGFPLMLIDSTPMKYASSERMVLVVIGYEKIGV >KVI12479 pep supercontig:CcrdV1:scaffold_3:836864:839269:-1 gene:Ccrd_009078 transcript:KVI12479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex subunit Sec15-like protein MRRKVVVATAENGDTGDKLDQLLLSSAICNGEDLSPFIRKAFASGKPETLLHHLRHFGRSKESEIEEVCKVHYQDFIMAVDDLRSLLSGVESLKSSISNSNYQLQSVAGPLLTSLDSFIEARNKCQNITLAIESLRICVRLMELCSRVNFHLSKNNFYMALKCIDSIERDFIHKTSSSTLRRMLEKKIPAIRAHIERRISKEFGDWLVEIRTVSRNLGQVAIGQASAGRQREEELRIRQRQAEEQSRLSLRDAVYALEEEDDDGYFTGNEGNDFYGNGGNANSTLGFDLTPLYRSYHIHQTLGLEDGFKKYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGGLITKMEVENLWDTAVSKMCSVLEDQFSRMRTANHLLLIKDYVSLLGVTLRRYGYSVDALLDVLNKHRDKYHELLLSDCQKQIAEALAADKFEQMWMNKEYEYSMNVLSFQIQTSDIVPAFPYIAPFSSTVPDCCRIVRSFIEDSVSFMSHGGQLDFFDVVKKYLDRLLTEVLDDGLLKLISTSISGVNQAMVVAANMAVLERACDFFFRHAAKLSGVPLRVQILPGQVLKRVLQDVLSHISETIVNFLVGESVKRFSLNAVMGIDVDIKLLESFAENQAPLVSEEEAIQLKKALVEARQLVNLLLSNNPENFLNPVIRERSYNALDYKKVAIISEKLKDPSERLFGTFGSRGYKQNPKKKSLDSLIKRLKDVN >KVI12515 pep supercontig:CcrdV1:scaffold_3:471612:485479:1 gene:Ccrd_009113 transcript:KVI12515 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, conserved site-containing protein MVGRRKPLVLSSTQLLLNSVLNSSNKEKINGIDDARINKYSGSDGDGVAPAMLQLRVGILRSSENKLGQKVTSLDDAALIGLSTSLLKRLSITSGSLVIVKNVDSQIRRVSQVVVLDPPNLHDVSSNDESFSYDSSYAMLLFPSYSFPTNHRVPILTEVAYVSPLLAYNLGLHTSCLRLLLHHGDEKLASLFEVNGKSEDNGEPNEGFSINLHIEPLTKPLRYASHLRVSFVKIPECGTIGSLIGSSSIEAEDRQEKIDLALNEYFAIDRYLARAEPSDEPVLRINRTETALVLAASVPSAIPPDLLIAGKRGFAPLHQDAVKTLASIITPTLCPSALSSKFRVAVLLFGLPVASSERKTSTMLAQAFSAARRYSPTILLLRHFDAFSNLSSNDGSPNDQVGVHSEVASVIREFTEPFSPNEDYYEDEEAEMGPLTEEQRVEMLSQSLQHIPELLPDTSPEDLVKDMVGQTSGFMPRDIRALIADASSTLIPTNISSFEKDKPPKDVSSTNSKEFMVKALERSKKRNASALGTPKVPNVKWEDVGGLEDLPLLHKELFSSGLRKRSGVLLYGPPARGASGDSGGVMDRVVSQMLAEIDGLNDSSQDLFIIGASNRPDLIDAALLRPGRFDKLLYVGVTTDPSYRERVLKALTRKFKLHEDVSLYSIAEKCPPNFTAADADPTSVKDEVDSVVVEYEDFVMVLRDLCPSLSLAELKKYELLRDQFEGTSSK >KVI12466 pep supercontig:CcrdV1:scaffold_3:568936:581489:1 gene:Ccrd_009107 transcript:KVI12466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAIKLYGFVGSNATFRALASLYEKDVDFELVTVNMAAWEHRRPRFLARNPFGQVPALEDGDVTLFESRAITQYIARKYPNKGTELIMNDPLKMAIQTVWMEVENQKFDPVSWKLMEIAMHRVRGGEEVVAELEKKLSDVLDVYETRLTETKYLGGDSFTLADLHHTPNVYYLMMTRSKRLFDARPHVRAWAADILSRPAWXRKLVNCCATMAIKLYGAVGSSPTFRALAALIEKDLDFEFIPPFGQIPVFEDGDLKVFVESQKFEPASARLVWELALKPILGLETDDGVVPEFEEKLTQVLDVYEQRLTESKYLGGDIFTLADLHHLPNVHYLMGTKAKSLFHARPHVSAWASDILSRPAWVKVRNSIYNLRGRKPTITTTMALKLHGLVGSNATFRSMVALIEKDLDFEFVPVDFASREHKTPQFLARNPFGQVPAFEDGDLKLFESRAITKYVAEVYANKGTNLTFSDPKKLAIQTVWMEVEGQKFDPASAKLVWELALKPIFGQKTDDAVVAEFEGKLAQVLDVYENRLTESKYLGGDIFTLADLHHLPNIHYLMGTKVKSLFHARPHVGAWCDDILSRPAWLKVVDLLPK >KVI12481 pep supercontig:CcrdV1:scaffold_3:913459:917562:1 gene:Ccrd_009072 transcript:KVI12481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19/L19e MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGSPPLLFEVEFWSAVIPPPIGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKLARREERLAQGPQEKVPAPAAQPAQASKKSRK >KVI12510 pep supercontig:CcrdV1:scaffold_3:688426:696305:1 gene:Ccrd_009093 transcript:KVI12510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MKSMVASKNTYADVVDNLSSPALGCSSSVPCSICFDLVIDDGERSTAKLHCGHKFHLDCIGSAFNSKGTMQCPNCRKVESGRWLFADGSAHAVFETGAQARVPNEGPHDLSYSRMPFGFQWCPFSGFTVHSSIEGIPPFRRPLSLRFVILHLTKKLFISSLLSSFLVTNFQGNHAMITEHTTPPSLAHSYISFFQPNEHVGNSNFHRPLNAISAPRNDLHAANFQHPSWGWNCHYLPYNADRDYIDHGDLAPVHPATMRSARAPADAVARSFSLLHPSHYTQQPVSRSGGSSVASSQSRERIQILHTSYHLEQPSDTSNMLSSTNGFRRFSGARSLPMVLPAVRIRHDHRGSHGFYVREAESSYNYAHERDHSSHFPVIRESFHHH >KVI12437 pep supercontig:CcrdV1:scaffold_3:287044:302233:1 gene:Ccrd_009125 transcript:KVI12437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MHVEFAVIKHIIVETVWMLVTVAAKHAYVFLQGLLDTKMNALAIGIGKHMMNAQMHVEFVVPKLIILEIVLIHVSVAVRHVYVFLQGFLETKMNALAIGIGKHKMAGGEGSLTPDRVFGNKDECPCYRDRKTQDGIPKCP >KVI12484 pep supercontig:CcrdV1:scaffold_3:917986:923340:-1 gene:Ccrd_009071 transcript:KVI12484 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAF domain-containing protein MDSCDCFEPQWPADELLVKYQYISDFFIAFAYFSIPLELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSSHSKTVAIVMTVAKLSTAFVSCVTALMLVHIIPDLLSVKTRELFLKQRTEDLGREMGLIIKQEETGRHVRMLTHEIRSTLDRHTILKTTLIELGRTLDLEECVLWMPSRKGMILQLSHSLHNLIPFGSTVPINLPIITKVFNSAEVIRIPHNCPLARIRTPVGTYSPPEVVAVRVPLLHLSNFEIDNWPDNSAKSYAVMVLILPLNGVRKWRDHELELVTVVADQVAVALSHAAILEESMRARDQLMDQNFALNLARQEAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRAMIETILKSSNLLAALVNDVLDLSRLEDGSLELENEVFNLHGLFKEVIGLINPIASVKNTSMTLNCDLDLPPYGIGDEKRLMQIILNVAGNAVKFTKGGYVSIQASVKDSGSGIKQQDIPHIFTKFSEPRSASNRTTNGAGL >KVI12524 pep supercontig:CcrdV1:scaffold_3:1015434:1015832:1 gene:Ccrd_009064 transcript:KVI12524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRTRVYKGVKRYWGRRHYERLGGKNREESGTKRRKRFWRIRITPKLKLRYSPRKFIVGIRDGYMRMMMKMANSPVVAGSGGYGEGIARFGMKPVKEYDEKIIIEIYKSLAMRQAHQMVPIDAPHISLSR >KVI12436 pep supercontig:CcrdV1:scaffold_3:309356:325783:1 gene:Ccrd_009124 transcript:KVI12436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MDSKSSLHPAPTYFPLETHWDTDDDAPGPRCGHTLTAVAPTKSHGPRLILFGGATAIEGGASSANPGIRLAGVTNSVHSYDLLTKKWTRLLQFYIVLAFISNNLLRNQMLICGYFFRMRPAGEAPSPRAAHAAAAVGTMVVYQGGIGPAGHSTDDLFVLDLTNDKYKWHRVVVQGQGPGPRYGHAMDLVAHRYLVTVSGNDGKKVLSDAWALDTAQKPYAWLRLNTDGDKPSARMYATASARSDGGALRGGRVVEGEAAIAVFDSAAGVWLDRHGLVTSSHSSNGQTDDPSLELMHRCRHAISSVGSRIFVYGGLRGDVLLDDFLGAENSPFHSDANNPGLTSERGSNMTTSKCTFDPISHDDGPESTLPADLSVDKESTHRLAEASAPNSVWQAAQTHMATPKEMMISSANSQVAGTNSDEAIGNMGGLVRQLSLDHFENESRRMIPFHNDISNPAKRFMRQKSPQGLHKKVISALLKPQNWKPPANRKFFLDSYEVGELCYAAEQIFMHEPTVLQLGAPIKIHDPENFHLIRGNHEAADINALFGFRLECIERMGEHDGIWAWQRFNQLFNHLPLAALIENKIICMHGGIGRSIHLVEQIERLERPITMDAGSIVRSHGKR >KVI12529 pep supercontig:CcrdV1:scaffold_3:1024546:1025022:1 gene:Ccrd_009063 transcript:KVI12529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFSPFSFILTLLIIAGTTNFPPPATARIASYSRDEASCTMCDECENPCQLSPPLPSPPPPVPSDTKCPPPPSPPPSSGGNSPSFVPYYSPPPPPATPAGGYGYTTPPPPNPILPYFPFYYYNPPPPGQNSLSLQLQINCFHMVFPFYFLIFLLLLV >KVI12438 pep supercontig:CcrdV1:scaffold_3:282517:284309:1 gene:Ccrd_009126 transcript:KVI12438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNASIITFLIFHYMKILDKFMLITSSDIGSIFLARIQVLVSEITQVNDLKSSQVIVGEPPPNFTVL >KVI12503 pep supercontig:CcrdV1:scaffold_3:1130835:1133870:1 gene:Ccrd_009048 transcript:KVI12503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase TRM13 MESRCKFWMPKKKRFCAIPRLSNSEFCGNHSKRSDDTWVPCSIDPSHSVLESNLQTHLKRCPLLKHKNSLALQPFYKKGINGGHDHHEPDVTSESKRMAVHSMTAPQFIKLIAKIKSVHASICNHIHDSFNLPEACKIWINRSIDWKIPFQEKHAIQQASILGNLEKLGAFKSSTTGLSEEELYCNNSSADPSDSPAVVEFGAGRGYLTQMLADCYGVSKVFLIERKSYKLKVSGADRSLRQKESLMLERLRIDIEDLNLNAIGSLQGLPFLAIGKHLCGPATDMTLRCCVGEHGRESKGVAATSYLRGVSIATCCHHLCQWKHYINRGFFSSLGMTKDEFHAITWFTSWAVDADHGSDDGVEKSESDEAVLGNEKVLGMLSAKERGVLGFMCKDIIDTGRLMWMRENGLESELVKYVPSNISPENHLLIGKCD >KVI12509 pep supercontig:CcrdV1:scaffold_3:700442:701470:-1 gene:Ccrd_009092 transcript:KVI12509 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSETEAENVFSYLSDDVVLNIFFKLVDDPRNWSRLACVCTKFSFLIRTICCKTKCHQAIPAVVSDLLPPNASIMSTVVPPGGWLSLHKLAVCCPGLVHSGVLLENSDFGLERDLGPDENYYEPNLFQISKSQPSPSCSTTVDDKIKEAKAAVRGSDCSWSLYDDLYLDTVYNNPSKSQDQAEMRDEDPKTDPAVVKSACDFAVCKRRKICRSLTSHLASEAWNFSREQGNKLLASRFRGDCLYICDWPGCVHTEEKRNYMLFRGVFKNFKKSRVWRTINDGNRSKIDLNCAFCTSNEVWELHSAFCLRPAYGFHDDGEPVVRAYVCENGHVSGAWTDWPLYT >KVI12534 pep supercontig:CcrdV1:scaffold_3:27129:33527:1 gene:Ccrd_009148 transcript:KVI12534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MKDFPSCFGENGVQVAEASPSSGDPITPTTTTRIAQNLVTCVYQCRMLNSSCFIITITWTKSLMGQSLSVRIDDSDHHSLCKLDIKPWLFSKRRGFRNLEVGSNLAPNGVLISKRAHVFGKKAYSTRAQFCGRGQIHDIVVECDTMGANDSYLLIRIDGNPVMQVKCLRWKFRGNYTILVDGLPVEVYWDVHSWLFEKLMGNAVFLFQTCLSSEKLWSSEDPSWLRAKCKFPFLKSPFRQATTSFIFIHRRIEEKESEMTTIRRFCCDDLLRFSSVNLDHLTETFNMSFYMTYLARWPDYFHVAEAPGNRVMGYIMGKVEGQGESWHGHVTAVTVAPEFRRQQLAKKLMNLLEDISDKIYLQRGHLKLEYIIYRRVLRYYSGEEDGLDMRKALSRDVEKKSIIPLKRPVMPDELEYD >KVI12501 pep supercontig:CcrdV1:scaffold_3:1134421:1144655:1 gene:Ccrd_009047 transcript:KVI12501 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MSMESGQYLGEISALCFLHLPPPSLPYLFAGSLLNFIFNLQFLAIGCTNNTVYFWDTFTSTISYEVSSPDRCLLYTMRMWGHKIDSLLVASGTIFNEIIVWKVVCGHSPPCLDSPSKANDRSYEAVLVCRLAGHEGSIFRITWSLDGSKLVSVSDDRSARIWEVLSGKRDSNNVAEGTVSPSTGPVLFGHSARVWDCCMSDSLIITVGEDCTCRVWGLDGTQLRMIKEHIGRGVWRCLYDSRSSLLVTAGFDSAIKVHQLHSSLSMGLERRNGVEEYDGKQIFTIGIPNSKQTPLLDRYIKCQEYKSEYVRCMHFASEEALYVATNNGFLYLAEISDTGDVVWTQLFQSSEEIPIVCMSAFAGNTPENSSSVENWIALGDGKGRLTVVGVVDIRMPKVNLSFTWPAEAERQLLGTYWCKSLGHSKEIANFTVSRFTQIFLLLLLYRYIFTSDPRGRLKLWNICNTLHSISEYEGHTNASLVAEFASCFPIRIVCLDASFQEEVLVCGDLRGNLVLFPLLRDLLHGTHVASVAHISPLDYFKGAHGISTVKSVSIHGSKSSNVELHSVWSAIQDFFYHASNIFLSDKSMELVKTSVSSFITGGDGCICYMEYDRCKQRMEFIGMKQVKELSLVESLFHNDNPDNNSGTGNYAIGFASADFIIWNLSTDTKVAVIPCGGWRRPHTYFLGDTPEMNNCFAFVKDEVIYMHKQLVPTSDRIYPQNLHLQFHGREMHSLCFIVDYTQFSSDEKLGHLFESSFIATGCEDGTVRLTSIIVLFNDADVHRYSSGADNWSASKLLGEHVGGSAVRSLCSVLKVHTVVDDTVAMPNKQGITLDDQEDQFLLISVGAKRVLTAWKRKISSGPKSEEALQSTGRPNNCENNQSGGSSSMSFQWLSSDFPTRSSGTNLKGSKEKITANLDNVSIVSSDRASDSLQTKFCCSDDHENDWRYLAVTAFLVKFLGSRTSVCFIVVSCSDATVTLRALVLPHRLWFDIALLVPVASPVLSLQHVVVPELTSLKDKSQIRSLFIVISGSTDGSISFWDLTETVEIFMRKVSVLQKEDCSNFQKRPRTGRGSQGGRRWRSLDISKEKPAVDTKTLENDMQGQTSSNIGCAERSMATSQEIDMIWPFHHVNNVHQSGVNCLHVSYATRDCESCIVISGGDDQALHCFCFDVMGPALQHYSQKKKYQIVISHAAKIASAHSSAIKGVWTDGKWVFSTGLDQRVRCWLVSVDGKLSEHAHLIVSVPEPEALDVRVCGRYENQSLFCGLFHHLLLMHDGVALRNRYQIAVAGRGMQMLEFVAPADTGDERLV >KVI12457 pep supercontig:CcrdV1:scaffold_3:44943:46571:1 gene:Ccrd_009146 transcript:KVI12457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MELTAQHRFHSIKLWPPSPSTRQVLVDRIIRNLTTPSILSRKYGLLTKEEAEEDAKRIESAAFATANQHFEKEPDGDGSSAVHIYAKESSTLMVEAVKRVPIVKEEEEAVPMSETATITVTADHETLFDISGASRDFLKAEEAEELLKPLQEPGNKYKKIRFSNRSFGLDAARVAVPVLVSLKDQLTEVDLSDFVAGRPEEEALEVMSLFSSALEGSDLRYLNLSDNALGEKGIRAFDKLLRSQSNLEELYLINNGISEEAAKAVCELIPSTKKLKILHFHNNMTGDEGAVAISELLQRSPMLEDFRCSSARVGSEGGVPLAEALATCTHLKKLDLCDNMFGVEAGIALSKSISVFTNLNEIYLSYLNLEDEGTLALANALKESAPSLQVIDMAGNEITSESAPALAACLMAKKGSLTKINLSENELADEGTIVIAKALEAEFPRLTEVDLSTNGIRRAGARVLAQAVVGKPGFKLLNINGNFLSDEGVDDVKNMFKNSPGVLGPLDENDPEGQTFDGSDEETDGDDELGSKLKELQIKQEE >KVI12526 pep supercontig:CcrdV1:scaffold_3:979630:985978:-1 gene:Ccrd_009066 transcript:KVI12526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought induced 19/ RING finger protein 114 MRNSIGDRDSFHCRLLKQTTRLPLPVDSYIDLEDSEEEEEESNLEIGCPYCSEGFDALGLCVHLEDQHPLEIKTGRKLHDDESFSIISLLRRKLQEHSRSLQKESTSVASSTNAATDPLLLSFVYNPSQSHEPEVVQLDSSAEPGSSKMLSKDYTLESDIPGCSLTCKDHEEKALKSDFIQTILFSTIILV >KVI12532 pep supercontig:CcrdV1:scaffold_3:945926:950283:-1 gene:Ccrd_009068 transcript:KVI12532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Programmed cell death protein 2, C-terminal MSRELSRILNDDSLDKFTTMRISSLDGDEDEEFAFDNDDDDDEEEEDEDEEQAPVVLGFVEKPKHEWSLLRHLFPSKAGGTPAWLDPINLPSGKSCLCDICGEPLRFLLQAYAPLSAKDSTFHRTLFVFMCPSMSCLLQDQHEQWKHRPEKGSRSIKVFRCQLARNNEFYSTEPPENNGTDKPLGRAAPLCGWCGTWKGDKVCSNCKRARYCSKMHQTIHWSSAHKSRCRTSDLPLQASNSGSTNSASNVTWPEYEIINEDESEFDIEMSNSDAFGKALHTNNEDEGDAKSWASFQKRISRAPDQVLRYSRHETSKPLWPMLTVPVAAIDLLNFRYGTSFRLLLSAHLEFFSVSQFHINPSLVQILPQLLYFFDVKNDANSLDWATIVVYTCEASCNGSLAYEEEFAWVQLTPQSR >KVI12548 pep supercontig:CcrdV1:scaffold_3:1240372:1247676:-1 gene:Ccrd_009030 transcript:KVI12548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S9, prolyl oligopeptidase, catalytic domain-containing protein MRLHKVYHHLLSHTSPPFLLHPSSTLFSSSSPSFLFSKSCRISPSTTPSTLNPPHQPFLQPMSVSRLHNLAPIGAIATENVAASSSPFSNATPTPEDDDELNSGYRLPPPEIRDIVDAPPLPALSFSPQRDKILFLKRRSLPPLSELAKPEDKLAGIRIDGKSNTRSRMSFYTGIGIHDLRDDGTLGPEKLVHGFPDGSKLNFVTWSTDGRHLAFSIRQEQEDDGGNKLRVWVADVETGKAKPLFQSPNVFLNAIFDNYVWVNNSTLLVCTIPASRGSPPKKPLVPSGPKIQSNEQKSVVQVRTFQDLLKDEYDEDLFEYYATSQLVLVSLDGTVKLFGEPALYTSLDPSPDEKYILLSSVHRPFSFTVPCGRFPKKVDLWSADGNLMKTLCDLPVAEDIPIAFNSVRKGMRSLNWRADKPSTLYWVETQDGGDAKVEVSPRDIVYTQDADATQGEEPKIFYELDLRYGGISWCNDSLALIYESWYKTRRVRTWLVSPGFNETPRLLFDRSSEDVYSDPGSPMLRRTPAGTYVIAKFKKEDNEGTYLLLNGSGATPEGNIPFLDLFNIGSGEKERIWQSDKEKYYESVVALMSDQNAGDLYVNQLKVLTSKESKTENTQYYIQRWPDRKACQITSFPHPYPQLASLQKEMVRYQRKDGVQLTATLYLPPGYDPSRDGPLPCLLWSYPGEFKNKEAAGQVRGSPNEYAILSGPTIPIIGEGKEEANDSYVEQLVGSAEAAVEEVIRRGVAHPDKIAIGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWDATDTYIKMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVVLPFESHGYASRESIMHVLWETDRWLDKFCVSNSCSEEGGSSEDKVIASGGVAAEADPDIGLDDDDSLHFTTRSSL >KVI12458 pep supercontig:CcrdV1:scaffold_3:772503:775380:1 gene:Ccrd_009086 transcript:KVI12458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22e MSRGAGGAGAKGGKKKGATFVIDCGKPVEDKIMEIASLEKFLQERIKVGGKAGNLGDSVTISREKNKISVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >KVI12502 pep supercontig:CcrdV1:scaffold_3:1128498:1130252:-1 gene:Ccrd_009049 transcript:KVI12502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MANKIIMSLVSLVLVVGAVLGVVALVVKSGGDSDKNVSTSTKNSVCKPTEYKDACNKVLADVDKNSSATHEDYIIASIRATADELQKALEKASDAKKEKDQDSLSHRDLESCEKMLGYATDELQQVLKVVSETQATSLVEQIDPILVWLTAVRAYQTTCIDEIRDEKLKEDMQRGLAISNELTFNAQKIFYNVIEIFKDIGIDLGDFKIPSTGHRRLLDELHEIEHSGFPAWVPTTDRKLLGAKSKAKKPKGQAIFKTPPPPPLPATVTPNAVVAQDGSGKFKGIKQALAAYPPNQQGRYIIYIKAGIYNEGQIIIEKTQSNVYMYGDGRDKTIITGSLNFAIAKIGTSQTATVAALGERFMAKGICFRNTIGPAGHQAVAFRSQSPHTVMMDCSFEGYQDTLYYHTHDQFYKNCAISGTVDFIFGTGRAFIQDSQIFVNKPDKNQANMVTADGRMKFEEAGGVVLHNCKIMASAELAPEKAQIATYLGRPWKASATSVIMLCDIGDMVRPEGWTPWESPEGKNNHMTCMFREYGNKGPGSNTAGRVKWPSVKVIQNEREAIGFTAGTFMPWLPQYGVNANLGL >KVI12455 pep supercontig:CcrdV1:scaffold_3:14499:18846:-1 gene:Ccrd_009150 transcript:KVI12455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MADTKAVTIRTRKFMTNRLLSRKQFVVDVLHPGRPNVSKAELKEKLGRIYDVKDPSSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRSKKIRGVKKTKAGDAAKKKK >KVI12441 pep supercontig:CcrdV1:scaffold_3:364977:369454:-1 gene:Ccrd_009121 transcript:KVI12441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MCIFIFPRKFYPFPQTGLQPAKTQSVERESSRSQRERERERGRERETALMAEGHMPQKHSDESVKLFVGQVPKHMTEAQLIAMFKEFALVDEVNIIKDKATRASRGCCFVICPSREEADKAVDAFHNKSTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVSEGEVSALFSQYGTLKDLQILRGSQQTSKGCAFVKYETKEQAVAAIEDLNGKHKMEGSTVPLVVKWADTEKERQARKAQKAQSLASTLANVDPTQHPSLFGALPMGYMSPYNGYGYQATGTYGLMQYRLPPMQNQPPFTNLSPNQANALRGGSPRNYAVPPAGYMGSAYPAMPRVQYPLAYPGGMRPFSGPPSPVPPEVAKNHIATSSTVSASATDHVEEFGDEELANAFQAFGRVLSSKVFVDKATGVSKCFGFVSYDSPTAAQSAINTMNGYQLGGKKLKVQLKRDNKQNKAY >KVI12530 pep supercontig:CcrdV1:scaffold_3:1027243:1028229:-1 gene:Ccrd_009062 transcript:KVI12530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MDLKDKSSVVAQEEEEIITESGSSALISSNQTKCCTDCHTTRTPLWRGGPAGPKSLCNACGIKYNKKRRAVMVGFDKNGRRTSKSAKRKGDLKMKMKMKMRLMMINKKQEQRKRSSYERGKPWWNKLREEEQAAILLMAISCGGSLYS >KVI12497 pep supercontig:CcrdV1:scaffold_3:228393:245131:1 gene:Ccrd_009130 transcript:KVI12497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Piezo family MGRFIGGFVLPLLLLTAALLNWSLISLGNLLASLLILFTPPKRGFQSRGRTLLWFIIFFSFLVILSQVTFLITWAIVCGSCNEEEPWWAKLLGFLIMETWRSPIVIYLLIVQLLVAITAFIELHESRLGLFTQTSTLFGSFSLAFNRIGSHVKVAFCLLLPAVQLVVGISYSSWVSLPFFVCSCVGLVDWSLTSNFHGLFRWWRPLWMYGGFSIFLLYIYQLPLGFPKFFSMIGEFIGLYKISATSEWTQICSCISLVIFCFMLSFVKFDLEEMDSIMSISEGNLTEHLLPLKDSFFVRESRSGVRHTNVLLRGAVFRTFSINFFTYGVPDMDIWEMVGLWHYSIPGFFMLAQFCLGVLVALGNLVNNSVFLYISDEDHQTSNGDPTPEVKEETKVLIVATIAWGLRKCSRAIMLVLIFLIAMKPGFIHAIYMIFFFFYLLSHDIGNKVRQTLLLLCEIHFALLYSLQITPIYRALEKSGSISREILSQLGLHEHNTAWDFVEIAFLACFCAVHKHGYKLLFSFSAIVQHTPCPPVGFSILKAERKVASYLSAIGQKFLSLYRSLGTYIAFVTILITVYMARPNFISFGFIFLLLFWITGRQLVEKTKRRLWFPLKAYSIMMFILIYSLSIFPTFEAWVSTKVDLYANLGYNPQASLLENVSESLAITIVMQLYSYERRQSRYRTLEDPNRMQFGIIGFIRRLLIWHSQKILFAAMFYAAISPISAFGFLYLLGIVVCSILPKASRVPSKTFLVYTGFLVTIEYLFQMLGKYAEMFPDQKLHSLSVFLGLKVYEPGIWGVEAGLRAKVLVVAACTLQYNVFYWLEMMPSWLSGVGQWEEPCPLFFSEQDVLPVVNTPNQETDPRKGIRTSSSWPSVSDAATKIGVPESSSRRYLFGFIWGSGNESHKWNKKRVLDLRKERFEMQKTSLKVYLKFWMENMFILFGLEITMIALLLASFALLNGISMLYIASLAACVLLGRQFIRKGWPVFVFLFATVLVLEYFAIWRNKIPSSKPPPSENAMHCHDCWRRSDMYFSYCRDCWLGLTVDDPRVLTSYFFVFMLACFKLRADRFGSFSGSFTYRQMISQRKNAFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLALILITGTFEYDILHLGYLAFALIFFRMRLTILKKKNQIFKWLRIYNFAVIVLSLAYQSPFVGAFNDGKCETVDYIYEVIGFYKYDYGFRITSRSALVEIIIFILVSLQSYMFASEEFDYVFRYLEAEQIGAIVREQEKKAAWKTAQLQYIREAEEMKRQRNLQVEKMKSEMLDLQIQLQSMDPAPAPGPIASSDYSPHREGLRRRRSTDPTALNLDNEDGTPNKQKSDAFVDSLFPFESLSTLGSPKKTSLCEITEFEEDALEIQKKNKLPKRENSLISAVQLIGDGVSQVQSIGNQAVTNLANYFNIPHEDSDSDLDIPSSSVKIEKQSDPEHQNDGYMAFNSSNSMHSDRSRTNSDSPSIHIAQIFRHIWAQMRSNNDVVCYCCFLLVFLWNFSLLSMVYLAALFLYALCVNTGPSYTFWVVMLIYTEFYILIQYMYQIIIQHCDFTIQLAFLPELGFPSRKISSSFVISLLPLFLVYLFTLIQSSITAKDSELVSSTEFSSLTRGIVNQNELLLSSSWRENAQKIVQLTKNSAKLIIRNCTRYWKSLTQEAESPPYLVQVSIDVHLWPEDGIQPSRIESGINELLRFVHDERCKESDPLTCPCASSVQVQSIERSKESSNIALAVFEVVYASPREECTLTERYKSLTPAADVAKEITEAQRAKLFEKVGFPYSIISVIGGGKREIDLYAYTFGADLAVFFLVAIFYQSVIKNKSELLDVYQLEDQFPKEFVFILMVIFFLIVLDRIIYLCSFATGKVIFYICNLVLFTYSVTAYAWTTKPSQQNAAGFALRALEDINASLYLVKCDSDLNRANHKQGEKQTRVTKFCNGICLFFILMCVIWAPMLFDIKTESGKLMLYQTTLCAITPWTEFNSSVVLDPHGYLESYNVNDIQLICCQADANSLWLVPDVIQSRFSQSLDKGMDMKFSWVLARDRPKNKEVVMYGQNLVPSDLPDPSQVRQVLEGSSNSFRINNTYPRYFRVTGSGDVRPFDQEANGVDGMLVLNRGESEWWSFFDISLLNSSVCGDLMGPMAIVVSEETPLGRFIRLQCSDLRMRIPFENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTNPD >KVI12472 pep supercontig:CcrdV1:scaffold_3:632359:635985:-1 gene:Ccrd_009100 transcript:KVI12472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKLEYKGKEALKGIQKLTAEAEKVQEDLLKAILTRNKKTEYLQKHMKGLSTDDVLEFKQKVPVITYKDIYPYIQRIANGTSGGEPKLMPSIAEDLDRRTFVYNLIMPIMNQYVTGLDEGKAMLLYFVKAEMSTPCGLPARTVLTSYYKSKHFKCRSSDPYNDHTSPNEAILCDDSNQSMYCQLLAGLINRHHVLRLGAVFASALLRAISFLERNWLSLCSDIRTGQLNPMITDSGCRSAMSTMLKLADPHLADEIENICSRRSWKGIVCDLWPKAKYIEAVVTGSMSQYIPALEYYSRGKLPLVCTMYASSECYFGVNLKPLCDPANVAFTLLPNMAYYEFIPLDENETYGNDDEEIPSDKLVELVLVKVGLNRYRIGDVLQVTGFHNQTPQFRFICRRNVILSIDNDKTNEEDLHKSIMAAKRLLEPYNALLVEYTSYADTSSVPGHYVIYWEIKHCTPSVLDKVSSPLGPKVLQDCCIAMEEDLDYIYRRCRTNDKSIGPLEIRVVKPGTFESLMDLFIKQGASINQYKTPRCIKSDAALKLLNSSVKAYFFSPRDPTWNP >KVI12512 pep supercontig:CcrdV1:scaffold_3:845590:848416:1 gene:Ccrd_009077 transcript:KVI12512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e MGIDLVAGGKSKKTKRTAPRSDDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKINKPPISLSRLIRYMSGKEDKIAVIVGTVTDDVRVHEIPCMKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNCREAVRHFGKAPGVPHSHTKPYVRAKGRKFEKARGRRNSRGFRN >KVI12473 pep supercontig:CcrdV1:scaffold_3:631501:634390:1 gene:Ccrd_009101 transcript:KVI12473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLVVIYATTMINDANPFTSYQYISLHPAGSFTFTSFHPSIRLNTPWSFILINGCTLFYKQVHQGLESAWLHNANLKWSNRLVISATSPNDIPPADEPKLWGLVMKSSYLEHISNTIPYSRAGMYWDIDPVTTASIYLALGHKSQTIPFHDRRLQMFSISSAR >KVI12480 pep supercontig:CcrdV1:scaffold_3:830513:831025:-1 gene:Ccrd_009079 transcript:KVI12480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MRSDLLRRTTTASSPPTRFLLLSSFFLLHLISSSATDTPTAYDELLEYDFPVGLLPQGVTGYELNKSTGEFKAYMKESCSFKIQGYNLKYKSTISGIIEKGKLKNLKGINVKILVVWLNIVEVSRHGDQIDFSVGIMSAGFGVSNFNESPQCGCGFDCNGMVSNQFLMSS >KVI12547 pep supercontig:CcrdV1:scaffold_3:1225102:1228111:-1 gene:Ccrd_009033 transcript:KVI12547 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4033 MINIKEVKAQLKSWSRDVENQEEKKKERRQTVVDRDIELDIDIDIGIAISIEEESMIDRTGVNSNGERENITNEVGWDSEKPGYDGLIDVANRLMLARTNSQTQEAAVRILRSLFPPLLLELYKILIAPIAQGKLAALMVARVTALSCQWLMGSCTVNSVDGSSLPTGVFVERCKYLEESKCVGICINTCKLPTQEFFMDYMGVPLLMEPNFNDYSCQFKFGVLPPQQDATLKEPCLEVCPHKNEIKCPKA >KVI12540 pep supercontig:CcrdV1:scaffold_3:36363:40701:1 gene:Ccrd_009147 transcript:KVI12540 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, orthogonal bundle domain-containing protein MAIHLSGVFFNKSSISSPVFQHQRRPPLTVFAAASASASAAHPPSSPPTIQIVGGKSASWYGNGDMNSSNAVDCFKDGYDWADLENDLYHWTKTLRPVQWYPGHIAKTERELKEQLKLMDVVIEMDSWLGNRKRILVLNREDMISTADRNAWADYYGRQGIKVVFSNGKLGMGAMKLGRLAKSVAAERRMCPAAPRPGVTRSLKWVRFGSDLELLDSPGIIPMRMSDQTAAIKLAICDDIGEKSYDVTDVAAVLVQMLSKLPAANNNVLWERYKIDTDGRCGKT >KVI12460 pep supercontig:CcrdV1:scaffold_3:815796:822530:-1 gene:Ccrd_009082 transcript:KVI12460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMAVGSDVPPNNTIYINNLNEKTKLDELKKSLQAVFSQFGEIIEILAFKTLKHKGQAWVVFEDISSATSALKQMQGFPFYDKPMRIQYAKTKSDIIAKADGTFVPRERRKRHDDKGKKRKGQHDANQAALSQIPSSYMGGGGKSGIAEAPAPPNNILFIQNLPHQTSSMMLQMLFRQYQGFKEVRMVEAKPGIAFIEYGDDMQATVAMHSLQGFKINPDSPMYITYAKK >KVI12525 pep supercontig:CcrdV1:scaffold_3:951659:959757:-1 gene:Ccrd_009067 transcript:KVI12525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MMAAEGALSFSVASVMEDVLHQHDNRPRDLDLDSRRAEEAATRRYEATAWLRKMIGVVGARDLPAQPSEEEFRLALRSGLILCYVINKVDQGAVPKVVESPCDSAAVPDGAALSIYQCFENVRNFLVAVEQMGLPTFEASDLEQGGKSSRIVNCILALKSYNEWKQTGGNGAWKFGGNLKPITFGKNFIRKNSEPFKNSLSRNMSMNETSMSTQLVETENSKMPNSSLNMLVRAVLSDKKPDEVPMLIESVLSKVMEEFEHRIASQFELLEDKKVAIVEKEQNFCKNSISDEERKRRRLKYQMAFDGQERNIKELKQILSTTKAGMQFMQMKFHEEIQNFGLHIHGLASAASGYHRVLEENRKLYNQVQDLKGNIRVYCRVRPSLGGRLDSQSVVDNIEEGMITINTPAKYGKGCRSFNFNKVFGPSATQAEVFADTRPLVRSVLDGYNVCIFAYGQTGSGKTHTMTGPKDLTENSQGVNYRALSDLFFLAEQRKDTLQYDVSVQMIEIYNEQVRDLLVTEGSERVDKSEVAGDRLKEAQHINKSLSALGDAKTLMFVHISPELNAVGETLSTLKFAERVATVELGAAQVHKDSSDVKDLKEQIANLKAALAKKEGDQEHKVSGSPAGKLSSRSPPNLQRGESYPEPKSRRKPRADVANFELLANSPPWPPISSPGETYLEDDRDIGSGDWIDKVMVNKQDAAARCWEAENAAGMSDAFYQKLYSEQSYNNLFQGGNGFDVATTDGADELDAATSDSSEPDLLWQFNSSKHPTLTNGTMSRFNNNSNAKPTKNPDMSSRSMIPRIGGSASRKATTVGSQPNRGGRPVTGVDMKKKSGNRK >KVI12439 pep supercontig:CcrdV1:scaffold_3:280147:282483:1 gene:Ccrd_009127 transcript:KVI12439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MNHFYPVVKEEYPSAVSSADQPVMADMPQPMEGLHDAGPPPFLTKIYEMVDDQSMDHIVSWSREGQSFVVWDPHAFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDLWEFANEGFLRGQRHMLKNIKRRKTPPQALVPQQATAPCRDHGKLRIDNEVKRLKRDKQILMMELVRLRQQQQNTRSHLQAMELRLQGTEKKQQKMMGFLAKAMQNPDFVQKLVRHGKRKDLEETIIKKRRRPIDHGEGSKLIKAEPEESEMSELDALALEMQGFGRAKRNQEETETPNDLDGFEGGDRELDEEFWEELFNEEFGITGNEG >KVI12442 pep supercontig:CcrdV1:scaffold_3:355489:360064:1 gene:Ccrd_009122 transcript:KVI12442 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MTGMVMLTRGAGCGGGGGGGGGGGGGTKGSASEEEQNQLSLVALLLAALRKSMVACRVDQRDDQNSGVTALQQMEIGWPTNVQHLTHVTFDRFHGFLGLPVEFEVEIPCRVPSASVSVFGVSAESMQCSYDSRGNSAEGIFRINAENSKEEEVRDQLNRGMVPEDIEVHCLAGLIKAWFRELPCGVLDGLSPEEVLQCNTEEQCSELVKHLKPTETALLNWAVDLMTDVVEKEESNKMNARNIAMVFSPNMTQMSDPLTALMHAVQVMNLLKTLITKTLREREECATTDGGCSPMSYGSDRQSDDSQEEMETSCEFTRQQPSSEDENENYEDEVESLSEIEESFLKQLIENENAKDCFKKELGNLVKQQRTSPTSGFDFQEESSVMTDGYGSGVSVSPEVGTEVEMVNKIVECVK >KVI12461 pep supercontig:CcrdV1:scaffold_3:822407:825989:1 gene:Ccrd_009081 transcript:KVI12461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFGGTSLPTAISAIFLDSSYRIRFGEERPQRIILLAAAEDSSEKLQRSSSSMARSISNAKLVSSFIVDQCSVVARRGYAAASQGGVSENVRSSGIGMMKKVGEESTRSTPWVPDPVTGYYKPEGHVNQVDAAELRELLLKKKTRGN >KVI12449 pep supercontig:CcrdV1:scaffold_3:1102094:1109298:1 gene:Ccrd_009053 transcript:KVI12449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDENLSAVPFLILGNKIDIPYAASEDELRYHLGLTGVTTGKGKVNLQDSSVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KVI12523 pep supercontig:CcrdV1:scaffold_3:991001:993083:-1 gene:Ccrd_009065 transcript:KVI12523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MDIAFIILLSLISIPTTLSQSPTCNGISISYIYNSGFQIPPATLPINQPYNFQSTLTLTNAAQDRLKSWRLFVAFQHREFLVSSSNAVLADGTSLPANVTDGAVFAGFPVTDLKTAVETAGDFNQMQARIQLVGTQFGSAPPNIPLPSTMYLVNDGFLCSDPSTPVSRSAITKKRKKKGFDSCILNQRLIYSANNETHVCCEKDPNATPSTTVEEPFEVRQEGDVIIMYDVISSQETEYMAQVTVSNHNPLSRLDYWQLSWDWMRDEFIYAMKGAYPSLIDTNACIFGRQGEFYKELDFSKALNCERRPTIVDLPVDKTNDTQLGLVPFCCRNGTLLPPSMDPSKSRSAFMMQVFKMPPDLNRTQLTPPQNWRINGTMNPDYQCGQPVRVSPTLFPNPSGLTSDSTAVASWQVVCNITRSIPDTPKCCVSFSAFFNESVVPCTTCACGCDTEDTCSMTTPALLLPTDALLVPFENRTDKAAKFAKDNDRTLPALMPCPDNCGVSINWHLLSDFKDGWTARMTIFNWGETSFADWFAVMELGKSMGGFEDVYSFNGSRLLGSSNTIFVQGLPGLNYIVAEKDGKNPKKDPRLPGTQQSVISFTKKNIPGLNVARGDGFPNKIYFNGEECSVPSVLPITNHGPRMAVTFGSGLVTLILLFLGYLL >KVI12445 pep supercontig:CcrdV1:scaffold_3:1048364:1051353:1 gene:Ccrd_009059 transcript:KVI12445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLYGLYIPDWGFEVMSENLSLSVPEYGKETQIVHCGVRGSLEPPCNAVGLIDRLLLGESHLYKNPVYKRTKECSANSPDYGPLPPNAPAWCLAPFDPEGLLSSLMAAITCFLGLQYGHVMVHYKGHLQRIIIWLVCSSSLLILGYVLMVLGVPLSKPLYTISYMCITEGASGILLIAIFYIVDVIHIQKPTILFQWMGMNALIVYALAACDIFPAALQGFYWRTPENNLVNGTESLIEAAVESERWGKLVFVFLEILFWGVVAGFLHIKGIYIKL >KVI12485 pep supercontig:CcrdV1:scaffold_3:860964:864667:1 gene:Ccrd_009076 transcript:KVI12485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTNLTLKRRRLFLLLLPFSALLLLSSFFIFTNHHPPLSSFPNKPTSHLHIHKRLQTQTANLQCDGALYHDLCVSTLTRILPDLTSTSLPEIISATVKETITDVRSTDFNVTAIRRKLPHLTVYEIRALEDCHTLFLETVSELKSAVTDLSKSPAKKYNDLQSMLSAAMTNQATCLDGFEGTKSQVKISRHFRKALRGISRQVSNSLALLKKINGTTSEGKSAESLPGYGRMSGGYPDWVKRKDRRLLQLATNETVYDLVVAKDGSGNFTTIGEALNAAPNSSTTRFVIYIKSGAYYEYLEVINKKRMIMLVGDGIGKTLIKGNRSVVDGWTTFRSATVIAVGANFIAKGITFENYAGPSKHQAVALRSGSDFSVFYQCSFVAYQDTLYVHTLRQFYRECDVYGTIDFVFGNAAVVFQKSNFYARQPNPNQKNIFTAQGRDDPNQNTGISILECKIAAGSELIPNQTMFKSYLGRPWKLYSRTMIMRSYIGDLIDPVGYLEWDGDFALDTLDYGEYMNRGPGSNTSARVTWPGYRVTMNSTEASQFTVGNFIQGGEWLNDTGIPYYLDLEQSLIVSK >KVI12462 pep supercontig:CcrdV1:scaffold_3:828297:828791:1 gene:Ccrd_009080 transcript:KVI12462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MSSPATILCFFILLLISAAITVAEKPTVYEVLKQYDFPAGLLPTGVTDYTLNNSTGEFEVMLGETCSFSVDGYDLKYKSTISGVISKDKLRKLKGVSVKLLFIWVDIVEVSRDEDELDFSVGILSAGFDIEGFEESPECGCGFDCNGLEIEGEKKSEVDDLFTY >KVI12507 pep supercontig:CcrdV1:scaffold_3:715763:731968:1 gene:Ccrd_009090 transcript:KVI12507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MPGPSTSLASQSSSPPSSSPSSENFRDLPIMSLRSKIVEKIMENRVTLIVGETGCAVLGTSTNAPTVMLASFVATPIERKSSQVPQFLLEENIEPILCTQPRRFAVVAVAKMVAKARNCIAGGEVGYHIGHSKVMSASSKIIFKTAGVLLEELREKGMNALKYKVIILDEVHERSVESDLVLVCVKQFLIKNSGLSYWWQTSVKREWFLGKLREKKMLKMSGEKAWMSWVVELLDMSSESLSIKYCSGPSPSSEAVMNDEVHKLIHNLVLHIHKNETDMEKSILIFLPTYFALEQQWHALSRFSSDFRIHILHSSIDTEQALNAMRILKTHRKVILATNIAESSVTIPKVAYVIDSCRSLQVYWDANRKIESSEIVWVSESQAEQRRGRTGRTCDGEVYRLVTESFFGQLEKYETPSILKLSLRQQKVMDPPRSSVVDDALDLLVHIRALERTSPRGRFEPTFYGRLLSSFALSFDASMLILKFGEEGMLREGIIIGILMDTQPLPIVRPFGQEALFSKFINNYYNEDSKITGLMGRKEVIFMANFCAYQFWQHESILHSVHRYRPTFLATSSGLPTYYTPYEFQHKCLIMVEDSEDEDSDTLATDNDLINPINGTKKCTTLPFVGADGFNKKEDVSGSQQIFNTYDAAEASVCVYFVNGTCNKGSQCAFSHSLDARKPVCKFFLSLQGCRNGNSCFFSHSSSQVSLPRSGQGLCVAEDVPADASLLLSLFPNPENGCILIFDDFDLQFSSNFAKIYNPSSIVCTTAAVKSSIDPYLLQDISVVCELSHPDETIISKSAKNTIPWNEVKCAVWIPKLGNDLENREEQKGLIKTFFEYLAIRILGDTLYEVQVILVENLARENFFYLMESFAFDEYSFGKVYGKMSSRAMQASKPIVYAFELHPPTDIQFGDYTSVLHKQLHE >KVI12483 pep supercontig:CcrdV1:scaffold_3:925349:934605:-1 gene:Ccrd_009070 transcript:KVI12483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarase C, C-terminal MAMVQAYRRISGGSPIVADSLRFTTCWRHFSTSFREERDTFGPIQVPSDKLWGAQTQRSLQNFEIGGDRERMPEPIIRSFGILKKCAAKVNMEYGLDPSIGKAIMQAAQEVAEGKLNDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPKLKQLHTSLQAKTTEFSDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIERVMCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVADETRLPFVTAENNGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMAIGNHVALTVGGSNGHFELNSIRLLADASASFEKNCVRGIQANRDRISKLLHEKIGYDNAAAVAKTAHKEGSTLKEAALKLGVLTSEEFDQLVVPEKMIGPSD >KVI12487 pep supercontig:CcrdV1:scaffold_3:875882:878669:1 gene:Ccrd_009075 transcript:KVI12487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MATSFLHHLLLLLTLCSAAATAHRHAGVASHNYRDALTKSLIFFEGQRSGKLPPNQRITWRKNSGLSDGAAMKVDLVGGYYDAGDNIKFGFPMAFTTTMLSWSVGDATKDHACWERPEDMDTPRTVVKIDRNTPGTEVAAETAAALAAASLVFRKSDRSYSKVLLKRAIRVFEFADKFRGSYSNGLKKFVCPYYCSYSGYEDELLWGAAWLQKATRSPKYLNYIQANGQTLGADEIQKVQSLHDYKGHADNFICSLIPGAPSSQSTYTPGGLLFRMDDSNMQYVTSASFLLVTYAKYLTQARKVVNCGGSVVTPKRLRSIAKKQVDYLLGDNPLKMSYMVGYSPRYPKRIHHRGSSLPSMSAHPAKIACGSGFSIMHSEIPNPNILVGAVVGGPDEHDHFPDVRSDYFQSEPATYINAPLVGALSYLAHSFGQL >KVI12471 pep supercontig:CcrdV1:scaffold_3:612367:615506:1 gene:Ccrd_009103 transcript:KVI12471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dephospho-CoA kinase MRIIGLTGGIATGKSTVSNLFKGNGFPVVDADVVARKSSVVSRLISLPFDVNSFCCLCWFTSQDVLKKGSGGWRKVVAAFGEDILQENGEVDRPKLGQIVFTDPSKRQLLNRILAPYISYGILWEVFKLWMKGCKIIILDVPLLFEAKIDRWTKPIIVVWVDPETQLQRLMARDRTSAQDAQNRINAQMPLDVKRTKADIVIDNNGSLEDLNESFRKIIDQITKPLTWTEFWLSRQGAIVAFLSIFSGVFGCKKLLARL >KVI12539 pep supercontig:CcrdV1:scaffold_3:116131:119909:1 gene:Ccrd_009141 transcript:KVI12539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MSFLHFTLFVFVFIFFHSFNLIDSSSTAFVAPVEKDESTELYIISIFIRTPLQSADLILDLGGKLSWIDCTTGVYTSTTHHYIPCGTDLCDSIGSIACSNCFRPSGPGCHNNSCGLFPENPVIRNSILAQALVDSAALTSTDGRSPGQLGVVPNFVLSCSDDSLLQGLPKGVSGLAGLGRSNVSLPAQVSSVYASPNIFALCLPNPSSPLPGSAFFNFAGPYYFSPGIDLSSHLVYTPLILNPVGFTMITYNRQPSDEYFIGVTSIAVNGKPVEFNHNLLKIDGNGFGGTRLSTVTPYTVLETSIYKAFTKAFINESAALNLTETDPVDPFDVCYAACDVLSTRLGPAFPTIDLVMHSDDVFWRIFGANSMVKVATENGDRWCLGFVNGGDDPRTSVVIGGHQMEDNLLQFDLVSERLGFSSSVLVHNTNCAGFNFTEDPPVSDFRSFHSRRRLAPPPVPLFLHCVFPARRRLPALRSWTTPNRTISSRESTSPIILTTHQSSRTQSQPTPYALKMHISLESNQSTSTENQLE >KVI12491 pep supercontig:CcrdV1:scaffold_3:155786:159472:1 gene:Ccrd_009136 transcript:KVI12491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQNAFEVEMVNHEDDSAVIVSSPQICGSQFLDLAIEEARNNKKTLISSMDSVIGFMKEVECEEEAAEQAKEEAAKYSLHILAKVDELKQAQQLAKETNAMVHAREVYAQKAVLATELEELQLRVSSLIKKELADNEKLENERFAREALAKVEEVVEESKRLKQEAVENSKELDLSFSSQTSILSSSSSLKFLGTPYEPRFEIMESLNTPDESIERGDSNVSHENSSDYLLVDSTVLSKNSSSNLENQDQNGSVCRKCRHSVNRIKKRIKVSKEKVILNMFRIKNLKNKAMKKWIELNKDAVTGFCQDDFATDYRADDFDDDKESDGCFNALRVDELEAAMEAST >KVI12448 pep supercontig:CcrdV1:scaffold_3:1110907:1114810:1 gene:Ccrd_009052 transcript:KVI12448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3675 MMNIFCIQHLRSFVAEFVMKQSSKPLNPWKLHVLVLEPSRDCVQRWCDEKGNTICEICLQKFEPGYSLSPLKKASQHIDDTRLTIRESSEVPRTDENLETVNIAIAGDEEESLVEQDYAAECSSAADTAASMCRTLALIFTVLLLVRHSIGVLMGETGDYPFTLLTVSALIKASGILLPMYIIMRIIDVVHNSITCQYQEPNNTTMSLEDGGHHS >KVI12537 pep supercontig:CcrdV1:scaffold_3:99630:104105:-1 gene:Ccrd_009143 transcript:KVI12537 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDTLNSDLFYDILRRLDGATLASAACACVAFSAISKEERLWEDVCSSMWPSTKREDVKSLISSIGGFKKFYADCFPLIVNKDVPEVRWRDYPEEWSEDEYYSDDDDELENVSPSDFVSIVDIRYKEKTICSKVVWGIPNASAYDRWFSDCPFRIDLFTYSVRNDDDHPGEVTLSVSDGLPPVTSIEKERKDGKLWHELRDGIRLSWIIVNPKAKQSANLSSWSALDGQRHWPTNKDFMLHFGTVVPAKDILPCRVVKCIIGMKFRVSHVEGSGTHTRLELTEVCLQLGDMEGGHVNGRKSLMVLKEALRCDRSKNYGLVVESCELYARVQSEIKEEKIRYENRLEKMCVFGGIMSLIVLCCYFM >KVI12474 pep supercontig:CcrdV1:scaffold_3:557909:563539:1 gene:Ccrd_009108 transcript:KVI12474 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MATVSPFSSPTWPSPNPNSSSNPHPEEGKDHPNTLAGDIHAGLSSKLPPIRTNPNQQQRISYNHDGEEGICAATQPNSAPAAIGRTLNSNVNLIIPNFNNRDSAPSLLSLFHVSFNQDNGCFACGINQGFRIYNCDPFREIFRRDFENGGGIGIVEMLFRCNILALVGGGPHPQYPLNKVMIWDDHQGRCIGELSFRSEVRGVRLRRDRIVVVLEQKIFVYNFTDLMLLHQIETFGNPKGLCEVSQGSGNFVLVCLGLRKGQVRVEHYASKRTKFILAHDSRIACFTLAQDGNMLATASNKGTLVRIFNTHDGTLLHEVRRGADRAEIYSLAFSSMAEWLAVSSDKGTIHVFSLTTMDNQDHNAAVSPSSSPRALIKGTQVHISYEMGEVCFGVMPKYFSSVWSLAQFRLVEGYQYIAAFGHQKNTVVILGLDGSFYRCKFDPKTGGEMRQLEYHNFAKPDDSF >KVI12440 pep supercontig:CcrdV1:scaffold_3:377627:380840:-1 gene:Ccrd_009120 transcript:KVI12440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLTNLFKPAPLVHSNPQSVVHEPRRPSEQESMHFSTPALASPPLLLTEKEYRSYGLRGERHKHLTPVGPSAYDPYRTDQERECIHPDTLFLGRPSEQESMQFLKPVVASPPHLLTEQEYRSFGLRGERHKNLTPVGPPAYDPYRTDQERERIHTDTLLVSRPPGQESMQFLKPTVASPPLLLTEHEYRSYGLRGERHKNLISVGPPAYDPYRTDQERERIHPDTLLVSRPPEQESIQFSKPTVASSPLLLTEHEYRSYGLRGERHKNLTPIGPPAYDSYRTDQEREVAPPDALFLSERDYRTYGLKGRQENPTSSTPNIDTSNHATSFYPSDPYNSYNQNLSFVDRYLPQPPAAPSSVYGYDSMEDRRFHQETILPDRVERLYSANGSEYNQIEHQRGGELEIRSAPVSSRYAFAGPSVIYR >KVI12431 pep supercontig:CcrdV1:scaffold_3:743043:747425:1 gene:Ccrd_009089 transcript:KVI12431 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGSELTYRGHETQSMADSYSPKLKKPWPAVTRVVRYMLKEQRLVFILVGIAIATVFFGLRSATTISSGRITDAYSVSEAVQMMNPRRSVYPTGFDSFNLGGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGNKDNVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKYNPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFRPNTEDDPHKRKPDITKAKELLGWKPKVPLRKGLPMMVSDFRQRIFGDQKNIGATATTTSTTE >KVI12513 pep supercontig:CcrdV1:scaffold_3:515313:526207:-1 gene:Ccrd_009111 transcript:KVI12513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin homology MTMSQMKTIELDEGWNFMQTGIKKLKKILEGHPEPQFSSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEDYISSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRESVYEETKGKARDAVIALIDQEREGEQIDRALLKNVLDIYVEIGMGQMDFYVNDFETDMLTDSAAYYSRKASNWIVEDCCPDYMLKAEDCLRKEKERVSHYLHSSSEPKLLENVQNELLVIYSSQLLEKEHSGCRTLLRDDKVDDLSRMYRLFSKIPKGLDPVANMFKQHVTAEGMALVQQAEDAASNKALKEAFEVFCNKIVAGCSSAELLASYCDNILKKGGSEKLSDEAIEETLDKVVKLLAYISDKDLFAEFYRKKLSRRLLFDKSANDDHERLILSKLKQQCGGQFTSKMEGMVTDLALAKENHSQFNDYLSNNAFANPGIDLTVTVLTTGFWPSYKSSDLSLPEEMVKCVEVFKEFYQTKTKHRKLTWIYSLGTCNVNGKFDQKTIELILGTYQAAALLLFNASDRLSYSDIKTQLNLADEDVVRLLQSLSCAKYKILTKEPSTKTVSETDHFQFNSKFTDRMRRIRIPLPPVDERKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLSRMFKTSRRIFCLILRQSRRGSKILSHENTWKETKRTQICSNISLDLKKANLHGSARIPQSHFVATERRRARFHQVFNGNE >KVI12432 pep supercontig:CcrdV1:scaffold_3:750181:755050:1 gene:Ccrd_009088 transcript:KVI12432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MSRPMEEDGQQTKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREFWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KVI12444 pep supercontig:CcrdV1:scaffold_3:1053183:1060637:1 gene:Ccrd_009058 transcript:KVI12444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGGVSETNTTSNLSESESVHASIIPSPSSSTVASPTGKRSRDPEDEVYVDNLHSHKRYLSEIMASSLNGLSVVDPLPENLMDSPARSDSMFYLRDEVPYSPMSEDLDECRYYESNASQPDSQPTSPVSPYRFQRLASTFSAGPSATVTASQARQRGSESEGRFPSSPSDICHSADLRRAALLRSVQMRTHPSQFDASFSPMQDMKSLVQEEIDHECSMHHEKSCRVLSMNMKGDDDEATDH >KVI12456 pep supercontig:CcrdV1:scaffold_3:2002:2581:-1 gene:Ccrd_009152 transcript:KVI12456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSSNAIVFEQMEEAIASETATAMSEMPAEHVPCGSNAPSCDDLAHGHESESFKDVGVDQLVEPELGIENLGKNRFDLNLQPPATDTDMQTMDDDSISNDCKNRCELSDSMAHDPKNCSAQSLVLVDYCNMGDVWGAYLIQDIGLMIQLR >KVI12527 pep supercontig:CcrdV1:scaffold_3:1030643:1034659:1 gene:Ccrd_009061 transcript:KVI12527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLSSVAKALKPSPIQQLSYLAERTNALNLAEGFPDFPAPPHVKAAAVSAITSDFNQYRHVQGICDLLADKMKQMHGLDILPFAVARLRHLLLQLLNPGDEVILFDPSFETYETCILMAGGVPVYVALEPPCWTLDQVKLLGVFTAKTKALLLHSPHNPTGKVFNMDELEIIAEYCRTKDCIAITDEVYEHVTYDSESHISLASLPGMQKRTIITSSLSKTYSVTAIRSIHTKVTDSAPAPFQEAALTALTSPQEYYKSLRKDYESKRDFVFKLLSEVGFEVQFMPKGSFFIFAAIPETCKLNDVEFVEELIKQAGVVAVPGCGFFHRSHYSRENVGSYQYHNRYIRFAFCKGATTLTSAAHEIQQLIDASGRLKLF >KVI12500 pep supercontig:CcrdV1:scaffold_3:1179082:1180592:1 gene:Ccrd_009040 transcript:KVI12500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulin-induced protein family MAATMNLLVGSSTRFRFRLHPMNPIHIHTHTRKPFVSCCHNQKSIWPSVSVCLFGCGFLVGPLIDGIHSRVNLVVYENGSIDIGPLHTNIWVPPLLGLFYATVGLLQLFLDQKSRPPPENGLGKVLASLLALVVFIDLSAELYKAGVEDDVEAYILFGCAELVWLAFDRSRVGFALACLVGIACPLAEIPIMKDA >KVI12454 pep supercontig:CcrdV1:scaffold_3:3780:11797:-1 gene:Ccrd_009151 transcript:KVI12454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MRLRSSELSTTEEVKSDSYVENLDAIDEKRSPLKLLVDGIVDKGAKKAENKECVDNAVDDLIVCVKEGNESVLDSGLKENKRSSVLVSGHMPQYKEVMECNNWSEDIEPVSVVDDDNVVLHNSLYDCENAVVSTDGGRGREGEGGWEKKNLGNQTMEETSYDADMMVKLKVDEADEAFTAVDGNCEGTGKRKRGRKRKILVSSECNGNLKSKMEKIEKRGVEISGRVLRSRSMTMSGGVKVADSGLNESVAGFKRKMEAECLDQTELQKAVNESIQMTGRLQKKQKGRGRPPKVQGEAVFKRKIKAECLSQHELQKRRGRPPKTKGEATPLYVVYDDTVSVKLERHGRPPKVQDEELVLEGIQKSEDGDMVMKNLKRRGRPPKKQKRMMVKKTNNQLEVKVVKEGPKIHDKQKGNASEESNDHTMAEDTVKGHNTGKDIVNEPLKSSIGGAERRKKQQLVRDQIVSMLMKAGWTIEYRPRLQREYLDAVYVDPNGGTHWSITKAYRSLKKRSEDGHADSKEVSAFTPIPDEEMSVLFKLITKVRSDKNKKKNKRGKNDCKAKIVFSGEAPPKKKPGKKSKDGIKRKEVHSSAVKLASKSSGVKVKGDKSRQRQPKKSQKGRPSRKPRLVARSSNEGLDQGNDGCTMYSVKRNLLSWMIDLGVIMPGGKVQYGEGRRRSGLSEGMVTCDGIQCSCCNEIMDISSFVSHRGGRLTLALNNIYFQHGSSLLKCLLDSWRKEEESSSIRFNYVDINGDDPNDDTCNICGDGGDLTCCDGCPSTFHHSCLDIKSFPSGDWHCIYCSCKFCGLAAGGASQMDDSHHAFNSEMLSCCLCEEKCMQSDFVIKDNNDVHQLCLQEEDAINADSSHLSFCGRKCQELFEQLQTYLGVKFELDEGYSWTLLHRSDVSQDSTFLDDPLKVECNSKLALAFAVMDECFVPIMDERSGVNTIHNVFFMICRLPDSVCSNLRLKWRSRSNFRRLNYSGFFTAILEKGDELVSAASIRIHGHRLAEMPFIGTRHMYRRQGMCRRLLDAIENALSCLGVGELVIPAIPELFKTWTKVFGFKPLEESKRRAMKGMSMMVFPGTDMLHKPLLSNQFADQNLGSAA >KVI12494 pep supercontig:CcrdV1:scaffold_3:178590:198912:1 gene:Ccrd_009133 transcript:KVI12494 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MATVSDVTVSAAINSLSAVLFLVAFAILRLQPMNDRVYFSKCLKIFIPIAALALSVLLPVNYTDRNFEIMSTKVVYNANKLAKIVNQKKDLHNRLIYYTNKYERKHDKRPTTKVRGSKLSRYLSFCVICSFITFRFEVAERERVIGDPKSVVPAAFVSFKSRWGAAVCAQTQQTRNPIHWLTTWAPQPRDVYWDNLAIPFIELNMRRLLIAAAVIGLTFFFMIPIAFVQTLANIESIEKVVPFLKPLINKGPIKSVIQGFLPGIVLKIFILILPMIVMAMSKIEGFVAISTLEARSAAKFHLFLIVNVFFGSIVAGTVLQQLEEFLNQKPADIPRIIGVSIPMKATFFMTYIMVDGWAGIAAEILRLIPLIIFHLKNIFLVKTEKDREEAMNPGSLAWPVTEPLSAGGNSEHERCSTLDTFSSRVAFFKDVAVFDDFEDYEYPLVATKRSSRNGSQIGTDQGSPSVDLTRNKNMIFGYGLNAVGFLNPFLRLKIFVPIALLAFAVLLPVNYTGENFKIVSLNMKDITFGEIDKFSISNVPAASKRLIAHIAMAYVFTFWTCYVLYKEYKIVLVRNVPPDPDESVSDHVDHFFRVNHPDQYLSHQVVYNANKLAKMVAQKKHLHNRLIYYTNKHERNPDKRPTTKVSAFVSFRSRWGAAVCAQTQQTRNPTRWLTDWAPEPRDVYWDNLAIPFVELNVRRLLMAGALFGLTFCFIVPIALVQTLANIESIEKVVPFLKPLINKRSIKSVIQGFLPGIVLKLFLIILPMILMAMSKIEGFTALSALEARSAGKFHLFLLNIFLVKTEKDREEAMDPGSLLWPVTEPRMQLYFLLGLVYSTVTPILLPFIIIINVYHQKYESAASFWPDVNRRILIGLTISQFTLLGLLSTKNATNSTPFLLVLPVLTFWFHRFCKNRFESAFRKFPLQDAMIKDTLERATEPHLDLKSYLQDAYIHPVFKDGEIDTSMDVYDDDDYPVVATRRSSRKGSQVGTREGSPDERSGILAV >KVI12528 pep supercontig:CcrdV1:scaffold_3:1034994:1037476:1 gene:Ccrd_009060 transcript:KVI12528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1624 MSSMMVVTETDERSPLLQNSSHHAGEITSSASPLPDVEDGPSSATRPANSTGMKQRLVSLDVFRGLTVALMILVDDAGGAFPSINHSPWFGVTLADFVMPFFLFSVGISVSLVFKKVSSKPAATKKVVLRTIKLFLFGLILQGGYFHGRDDLTYGVDVNQIRWMGVLQRISIGYLLASITEIWCVGNNDVDSAIAFGKKYYMQ >KVI12434 pep supercontig:CcrdV1:scaffold_3:671099:673332:1 gene:Ccrd_009095 transcript:KVI12434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRRKYKRSRTKVRVGLPKKNPHVFKPAFSLPPKFRSIVDLSKTKWDDQGSVLENYRSFGVVSNPNLLGVRSRTSKIIESESLQLPPPKSDGPISEFEPIDSGSELEEDDVKSALGKKRADGKTAPLQPLTAMQRLHIGALMEKYGDDYQSMFMDTKLNKLQHSVATLEKLCKRYHMYKDKNPMLVPL >KVI12468 pep supercontig:CcrdV1:scaffold_3:610551:611040:-1 gene:Ccrd_009104 transcript:KVI12468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNYWELDVILGTSFINMYVKCGRIQDSLFLFLTMKDKNVFTWNSIIKGLAMDKNVEKALWWFSRMKHEKGIKPDEVTLIVVSTKYQTLRLFGRSFSAFGSFKRGHGSHKGDAFLSLVKVYGELFWLVVELMGTRNG >KVI10690 pep supercontig:CcrdV1:scaffold_30:643475:656150:1 gene:Ccrd_010905 transcript:KVI10690 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ-containing protein, X-domain-containing protein MVKDTAYYDILGVAPDASAADIKKAYYLKVGLLIIHAKMVHPDKNPGDPKAAQNFQAIGEAYQVLSDPQKREAYDTNGKDGVRQEAMVDPAAVFGMVFGSDMFEDYIGELYMATVQTVELEETSKEPEVRRTKIQERMKVLQKERETKLIAILKDRLRPFVEGQVEEFVAWATSEATRLSEANNHLCTFVTTKLVVLLASFEDFIAIYLKFINHVKNVIGKSATMEEQIQALEKKIEEQNKRFDNQSKKFEELMQLMRDMQTRDHQSRNIGSSELNSNQRTLGYVPKLEFPKFELTLDFGLKSVCKYFSLCKIPECQRVDVASLNMVDKAGNWVSSYLSVRTNADWNNFVIDLTARFKDEYGMNVAEQFNKHQQHDFLEVYIDEFENLRAIMLQNSQIPTSWTPTSAECFPPSSLLLPPTPPLDHPHWLALVASSDMLVPLEVSCLVSAFGEAMLHTIGYIYTRQAARELGRDKRYMNVPFLAEWVRDKGHQIKSQVSAASGAVVLIHTMEELKKLNESQAKEEEIIKTIENKKDAMFNSLWQLNVVDIETTLSHVCQAVLRDPTVSKDTLKQWARAMKKLGTIFQGAKSNYRRDNSLRVASPAASSSSSK >KVI10720 pep supercontig:CcrdV1:scaffold_30:104850:114774:1 gene:Ccrd_010870 transcript:KVI10720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organ specific protein MKSPLSFLLLFSLIMIVITIDARPNPKVYWEDAFVRRGTSSSPLPTKKSDCHTLAETRSHRKSSNEEFKTRPDISVDDNDVNQDSSSNDFEPRPNISVYDDGASLKDKKMFEEDFEPRPNISAYDNDASSKRRKTFDEEFEPRPNISAYDNDAGSERKKTFKEDFEPRPNISAYDNDAGSERKKTFDEKFEPRPNISAYDNDVSLKGTKTFDKEFEPRPNVSVYDNDVDLKGKKTSNEEFEPRPNISVYDNGVGLKGKKTFDEEFEPRPSVTAYDDGVGVKGKKTHDKDFEPRPNIATTVDARQDPFVRRATSTTPLPMKKRHCHVSEKATDRKSFHEEFEQRPNISVNDNEVSPGDNNKDFEPRPNVLVYDDSISLKGKKTFEPKSDISVDYNSAYIKSKKTFEEEFEPRPNSSVYDDGGKKTFDEEFEPRPNSSVYDDGGKKTFDEEFEPRPNSSVYDEGFEPKPNV >KVI10689 pep supercontig:CcrdV1:scaffold_30:630539:636461:1 gene:Ccrd_010904 transcript:KVI10689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta2-adaptin/TBP, C-terminal domain-containing protein MADQVLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKNQQ >KVI10717 pep supercontig:CcrdV1:scaffold_30:168424:176656:-1 gene:Ccrd_010875 transcript:KVI10717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAQFVKKDDDRDEEAEYSPFLGIEKGAVLQEARVFNDPQLDSRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDIGLRRMVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDVYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESSMNNQSGERPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESGVDRLMKQITNFMSDIADEFKIVVVDAIRSLCLKFPLKYRTLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGVEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGAMVDSLKPRIFVLLRRCLYDNDDEVRDRATLYLNTLGGDGSVIETDKDVKEFLFGSLDIPLVNLETSLKNYEPTEEPFDISSVPKEVKSQPLAEKKAAGKKSTALGAPPASLTSASSAPVELTEAETEYAVNVVKHIFDRHVVFQYNCTNTIPEQLLENVVVVVDASEAEEFAELATKPLKALPYDTPGQTFVAFEKPEGVPAVGRFSNVLKFIVKEVDPSTGEAEDDGVEDEYQLEDSEIVAADYMLKVRVSNFRNAWESLGPDFERVDEYGLGPRESLSEAVNAVINLLGMQPCEGTEVVAANSRSHACLLSGVYIGNVKVLVRLSFGVDSAKEVAMKLAVRSEDESVSDAIHEIVAN >KVI10699 pep supercontig:CcrdV1:scaffold_30:413175:413883:-1 gene:Ccrd_010891 transcript:KVI10699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MAVSRFLHLKPTPPPPEGGQPTPPEGGQPTPSPPEGEQPAPPPPEKGKLNPFEAGQPYPPECRIPPQDKLAAEELSRDINNNNFNESITIAKICEQPSKTWFGNCTDTQKCDKQCIEWEDARHGACRQRETKFMCFCYFSCGPNDWRPPVPPDCPRLPPKYVEGRLSS >KVI10714 pep supercontig:CcrdV1:scaffold_30:237137:240297:-1 gene:Ccrd_010878 transcript:KVI10714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCRSPLMSGARKIAAQTKLTSRTLNPKCVPISPFSSSTTAVPRAASRIVGALGMVESMMPLHSAIASARLKSSLAVNSTYWSWLSQGTYIIFFSSNRVLEHHCDKVCKDEHMEVCFKL >KVI10710 pep supercontig:CcrdV1:scaffold_30:739067:744406:1 gene:Ccrd_010912 transcript:KVI10710 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MADQTIEKCSSEVGDDEKKQRIKKNVTEDPETFSCMLQPCPANSDPNYVGIRRLLLFGKAESGVLRRKDWRCNGKGYVAYRNFINRPDNWINSQIPSRGSTPGASGRWIHSPSQLSLALEMESLNGSKDLRESQTLSRTVSFGANSSDFEYPRRKAEPAYSFVGMHCIFDECKAMVLCAVTVIKFGHMSSDILAYGATDGTLTVCTVSEPPSVMKKLTGHSKDVTGWISWMIILSPDACKNPFKMEKYTFSWSTSLYYTFNEAQKCKKSNKNRHYWKDQADYVLNFDFTLNNQYIASSSLDKTVRVWDIPKGLCIRVIYGVASQLCIRFHPVNNNFLSVGNANREASVFNFSTGRLISKIVVDSEITALDYDHTGQFIFCGDTQGSIYTVKVNSHQGTLSRSHRTRTSSKHKSEFTTIQYRSFSLLTQGPVLLSLTRDGSLSFFSVSLEMQGYLTLRCSLQLAPRLHSIRASFCPLLSLEKGEYIVIGSEDATVYFYDLTRLKNTCVNKLQGHGYPVIAVAWNHGENLLASSDFGGTVIVWKREKTKQKM >KVI10729 pep supercontig:CcrdV1:scaffold_30:347853:350756:-1 gene:Ccrd_010886 transcript:KVI10729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGERFLQKKKELQAKLVSQDNSWRVVFFRFWSCCSTKMATEKSQVPSAPAPAMDSCRKKKSDDATFLQDVKDHIDEFIHASMDEHKTCFKKSISKMFGMTKIVAERNAAENKGIESSLPLRTVVSD >KVI10712 pep supercontig:CcrdV1:scaffold_30:724300:732663:1 gene:Ccrd_010910 transcript:KVI10712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MAAISASKWVSLLLTSLLLCIKLTQCSVIYDKKSLIINGQRRLLFSGSIHYPRSTPDKAGMYMHLRIGPFVCAEWNFGGFPVWLKYVPGISFRTDNEPFKMAMQKFTTKIVQMMKNEKLFESQGGPIILSQIENEYGAESKAFGAAGHAYLTWAAKMAVGLDTETKPTMWTEAWTGWFSDFGGPLPHRPVQDLAFAVARFIQKGGSFTNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLLRQPKYNHLRELHKAIKLSERAILSADPAFVSLGSYEQAHVFSSKTGGCAAFLANYHINSSATVVFRNNHYHLLPWSISILPDCKNVAFNTAQVGRTSRVHMSPTNVNRLSWQAFSEDISSADSDSKMTVSGLLDQLSVTRDTSDYLWYSTSPKVMLYMSSSMANTQFTGNPHLHAGMNKISLLSVAVGLQNNGPHFELWKTGVLGPVVLNGLGHGSRDMSPQKWSYQVGLKGESKNLATPTGISSVDWVESSFADNHRPLTWYKAYFNAPEGAEPLAIDMKRMGKGQVWINGQSIGRYWTKHATGSCTPCSYAGTYRPVPCPSILVEADPEFDSRVRGNRWRCIHDIAYEKIDKLRCVYGRKLYTCCKFEIIVNCYKRALQ >KVI10721 pep supercontig:CcrdV1:scaffold_30:133625:141075:1 gene:Ccrd_010871 transcript:KVI10721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEEKHNSPATATEAATPSSAELPSSRRRGGGLKRKASILSNSNTSTPPPSLSSKRQAREKPSPVLFPPIHNGPCTRARQQPNYADSTSFWDAVIVNGEGEGSMLGTPVAAKVEEELNARKEAWEALEAKMEAEYDHLKARDANSQVVPISCGWFSWTKVHQLEEKAMPSFFNGEVENRTPELYMEIRNSIMKRFHANPNTEIEAKDLSEISIGELDAKEEVMEFLEYWGLINYHPFPEADITSSMGDAEEAAKVNSLIQNLYEFEVEQLCPPAAPRTSLATLAVPSRLPESMITDESVQPEGPSVEYHCNSCAADCSRKRYHCQKQADFDLCTDCFNDGKFDSDMSPSDFIIMEPADAAGASSGKWTDQETLLLLEALELFSENWNEIAEHVATKTKAQCILHFVQMPIEDTFRDCDDEDDASNKEKAEKKDASPDENDEKKEVDASPEENVDKVEVDVNLKEKTDAVAIKNDTPLGDSPDMKETDDPKALLNTDALPPDDAPSNNDEPDPSPMDISESADPDKLKDNQENGVNIAVKALREAFDAVGSRPSHDGKLSFADAGNPVMAMAAFLSRLVDPNIVTASARTSLKSVSSGGTGLQLSARHSFVIEHPTDEKKPDNTERDVQEKVEQEGMKDKTDSSRKQEEEKSSLILSDSSVNDDNDKEGKKESSVSHGEKKNPIPAEVVLPKKGKNVGRKGISASSKKDKDPITEKAIIAAETNEQTDQIENPSSSKKESEGSADGGPPLGVSRSTEAPKDEEMVSKSLPSETAEPHQSNTSLAENTANSEEAGAKDCKNVNKHPLDTKDDPDMHKLTSAAVTAISAAALHKLETKLAFFTEMDGVVARVREQMERSKQRLYHERAQIIAARLGMSSAASTRPMPHPLPNRGGMPFPNAVQRPPSPMSMTPQRPPLSRPMMAPTPFSNPIIPSTVAGNSAQISNNPDKLSSAILHIGKAMKLRIWIDLKIDILGVYGQKVDCLLEYYYLVK >KVI10707 pep supercontig:CcrdV1:scaffold_30:768302:770749:-1 gene:Ccrd_010915 transcript:KVI10707 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MGESPGGTKIWVPKVDSKICPKVGDVFSSVESVEKMYRKYGDVAGFDIRMGTKKLNSLGGVQTRYFICSKEGNPTKKEFDSLEVSSGDRKRRNTNFKRTGCKACLKVHYVKESGRYEVYHFIEGHNHMLCCSDEKMFTRSRRQLDYKDRRNVYHASSSKVGITQSRRMQLAMNGGLVASGGTARDHMNFRRDIMLFVGNKDAQITTIPKLLSPSKIEAQAAKVYTKTIFFDVQKEMNKAVWFCGVVEVVEVGDKMIYSNTHKNKNSEVKATYKVVHDVRDESFECSCNHFVRNGILCRHAFKVMLNSEVQSIPEKYILPRWRRELVPIELMSARVRYGEMDVEKQALINQAISMFDVIIGRVRNDKGALTEFVNQLEHLGDEISADVPILTVTEQKRNDIEELLCVTEPESVDVLPPTGVRNKGCGTGKRLVGVSERVSMN >KVI10722 pep supercontig:CcrdV1:scaffold_30:142467:151834:-1 gene:Ccrd_010872 transcript:KVI10722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MPSRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQESTCWSLEVGHFLEVRSLGCSSYFEVSKGFLYSDAAVELIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVQKSFDSLNNWREEFLIQASPSDPENFPFVVLGNKVSEKKARAWCASKGNIPYFETSAKEGIDVEEAFQVISKNALTIGDEEEIYVPDTIDVGSNSQQRASGCEC >KVI10725 pep supercontig:CcrdV1:scaffold_30:390461:394444:-1 gene:Ccrd_010888 transcript:KVI10725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 MEGTGGANGASAATLDQWRQFSRLFQFYLDKTTPHALYRWIGTAILAFLFMLRVYYIQGFYIITYGLGIYILNLLIGFLSPLVDPEVDPTDGPMLPTKGSDEFKPFIRRLPEFKFWYAVTKAFVISFLMTFFSMFDVPVFWPILLCYWFVLFTLTMKRQIMHMIKYKYVPFSIGKQKYSGKKSSSAGSSNGGSRAD >KVI10702 pep supercontig:CcrdV1:scaffold_30:474135:484273:-1 gene:Ccrd_010894 transcript:KVI10702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MIKRSVSSFAFLLLLSVLTISEIEAAPAPAPKKLCEKPSKTWSGKCEDAKCDKKCISWEGAAAKPKLCEKPSKSIWFGKCDQKKCDSRCKFWERAVHGTCLVRQANAASCFCYFHCPKDKSPPPPKAAPPPSDGGSSPPPPPPADGGSPPPPADGGGSPPPPADGGGSQPPPAEGGGSQPPPADGGSQPPPADGGGSQPPPADGGGSQPPPAEGGGSPPPPADGGGSQPSSKHETRKAKLKVKA >KVI10693 pep supercontig:CcrdV1:scaffold_30:577278:581340:1 gene:Ccrd_010901 transcript:KVI10693 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MNLCSRQFNKGLKFDTAIYVTAIMTAATSVGLEKTDVENCEEKKAKLSFKRRAINASNKFRTSFGKKSRKNGKVTSVVVDEHDAEELKAVDAFRQALILEELLPAKHDDYHMMLRFLKARKFDIEKTKQMWADMIKWRKDYGTDTIMEDFDFKEKEDVVKHYPQGHHGVDKDGRPVYIEQLGKVDATKIMQATTLERYVKYHGLKSMNKSARELIQRLQNIDGNNYPETLCRMYIINAGSGFRLLWNTVKSFLDPKTTSKIHLLEMIDASELPEFLGGTCTCADKGGCMRSDKGPWQDPEIIKMVRNGQHKCSKNAIQEEKVVDRAKPKQKLAIPKNTDYHPIHNACKSSDGLGNQLLTGMMTLVMGVMTMVRMTHNMPKKLIDATLYSSSIHDDDNMVKRHPSPYKLKAPAVSPVEYLSMMKRLGDLEEKVIVLDNQPVEMSLEKENMLNMTLSRVEALEIELAATKKVLQTL >KVI10688 pep supercontig:CcrdV1:scaffold_30:700350:710272:1 gene:Ccrd_010909 transcript:KVI10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGKNRKMKQDAGGGSQHSTATVFVNNMPYTFSNSQASFSLEETFSDVGPIRRCFMVTQKGSTEHRGFGFVQFAVTEDANRAIELKNGSSVGGRTIGVKHATHRAPLEQRRSKGNEGKVVKLKKAVTPLKTERVEDISPIKNLPSDSQEKGKIVKSKKAATPLKTERVEGVSSKKQRVARTVVIGGILNDDMAEDVHRLARECGPVSSITYPLPKDEIAHHGLLQDGCRLGASSIAYASVKLARACVAKLHQKTLKGGTVWARQFGGEGSKVQKWKLIIRNLPFQANVNEIREMFSTAGFVWDVFIPKKPDSGLSKGFAFVKFTCKQDAENAIQKLNGKSFGKRPIAVDWAVPKKIYTAGSQITIKDGQKESDEEDSSSDLEDDLVELDKNTQHSHGAAVVPDGSDSVEEEVNFDEEADVAKKVLNNFLSSLNEPTASVNGDIILPQRKQDEETINVHNKLSDGPTTVPHVSKPESLTKTENTNFKPAESEEDLQRTLFICNLPFDATTDEVKQRFSAFGEVQSFVPVLHPITKRPRGTGFLKFITMDAADAAFSAATAVTSLGIILKGRQLKVLKALNKKAAHDKEVEKTKKEEHDHRNLYLAKEGVILEGTPSAQGVSDSDMSKRRSLEQKKETKLQSPNFHVSRTRLIMYNVPKSMNEKQLKRLCIEAVTSRATKQKPTIRQIKLLKDSNKGKEISKNHSRGVAFIEFTEHQHALVALRVLNNNPETFTSEHRPIVEFALDNVQTLRQRNDKIEAQQHGFSNDAHGSGKNANFHKPVNQPNDESKKRKTRGPTKESRNDKTVETEGAASEGGKPAKKYKTPLKKLTDSKDQLAVQEEKRPNGRSAAMEGTTSGSARPTEIIKKRSRDPKEPQDEETGSMKRRKNRQNKDPLGQDTVDKLDILIEQYRSKFSGNRTDRNDGQKQGTRRLG >KVI10686 pep supercontig:CcrdV1:scaffold_30:9695:15961:1 gene:Ccrd_010865 transcript:KVI10686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIVDALDNAYQEFVGAVASTLEAKEASGGQVTVATDAALENLKQRWELFRVACDQAEEFLESVKLRIASECLVDEATGSVAGKPVTPGLPPISAVRLEQMSKAVRWLVIELQQGSGAGNLSTPNHSSAPFDARFHEDSTQ >KVI10705 pep supercontig:CcrdV1:scaffold_30:560124:560780:1 gene:Ccrd_010899 transcript:KVI10705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSINVKMEKANAILRFHRLQKITTLFRFVEMFVFLILISRFSSQLPFAVRISADHFRGISFAVFSPRFVFVIGNVIVLILLFKSRVVENGDGDGKVDFYDEYVRRCEKSVVNTSSISTTAIIPSKEKKICRSQSENLMIVERRDDQTHRKLQRSVTERKMSKKLDRGGGETAAQEKSCAVEDELSSEEFRRTVEAFITRQQQSLRDEELSPVAYIGA >KVI10713 pep supercontig:CcrdV1:scaffold_30:661769:662637:1 gene:Ccrd_010906 transcript:KVI10713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVNMGLKICLLTSLILASLVSSSSAARCGSPSGFPMAKLGATDQNQKSLYSEDDHEILKRDEIHERLLRVNTKDYGRPDPAPTFVKPPFKLIPN >KVI10694 pep supercontig:CcrdV1:scaffold_30:606086:606879:1 gene:Ccrd_010902 transcript:KVI10694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MSQILSELFVSGLITIWVLVIFTNFCLEICNFSSSNFGTLMASSSGTTTSSGGSYLHEEIDDRKKRRMVSNRESARRSRMRKQKHLDDLMTQLSQLRKENNQIISSISMTTQHYMTVEAENSVLGAQVAELSHRLQSLNEIITFMKQPIDTGIGCGFDEDQYDGSGSGSGSGAGGSEFGDEFMYNSLSHLYACQPILASADMIMY >KVI10685 pep supercontig:CcrdV1:scaffold_30:20031:22860:-1 gene:Ccrd_010866 transcript:KVI10685 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein MHTHTKLKFCSCREIIDKNPKNDRNEENRIKVYGTNEVDDLDPALRVFFDVNDLYKGKNMWIYFAINDPSTTPRLLAREQADSIPFSSSNLPYLLEFLSLAGDSPQAKAMEMTLKQCELEPTAGEVRFCATSLESMLDSTRRILGEIKLKALTTKIHDLLGSNHTALQRYTFLKEPLELHVHDMVACHTMAYPYAVYYCHGQKGSNRAFEISLGGENGDRVDAIAVCHMNTSMWDPDHVAFRVLGGHPGSSPVCHFLPADNIAWIPSS >KVI10730 pep supercontig:CcrdV1:scaffold_30:255257:260523:-1 gene:Ccrd_010881 transcript:KVI10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MIAMGSRFVVLLLLLLSLLLQSTLEQRQPPPAVARFRAERAALLQLRSSLGLRSKQWPLKSNPCLNWVGILCQNGSVIGINISGFKRTRIGRRNPQFSVDALANLTNLVSFNASRFTLPGSIPDGLGLQLQTLQVLDLRFCQISGAIPFTFGNLSNLNQLYLSDNSLTGTIPSSLGQLFRLSLLDLSRNSLTGLIPASFGSLLNLTSLDMSSNYLSGVIPEAFGNLPNLRFLNLSGNSLSSPIPTQLGNITSLVVLDLSSNTFSGTLPSEFARLRNLQRLIIGNNLFLGNLSGDLFSPRNELQFLVVDHNNFTGNLPDALWSLPNLSFLDASDNNFTGFLPNLSLNANVTSAVFNLSHNMFYGGFTSVLRRFSSVDLSYNYLQGTVPDYARGIASLNRNCLRNSTTQRSVRECATFYSKMNLPFDNFGFPNGTIPPPRSGHKSNRRVIILAAVLGGVGLIVLLAIVVILVIVCCRRRRTTTQRGTGVGPAPPAAAGPPPMGVSLDLSSLGEAFTYQQILAATRDFSEANLIKNGHSGDLFRGVLEGGIPVVIKRFDVRSGAKDSYMLELDLFSKISHPRLVPLLGHCLENENGKFLIYKYMPNGDLSSSLYRKMDSEDDSLQSLDWITRLKIALGAAEGLSYLHHECNPPLVHRDVQASSILLDDKYEVRLGSLSEVCSQEGDSHSNRFTRLLRLPQTSEQGASGVATATCAYDVYCFGKVLMELVTGKMGISASNDPNTKDLLEGMLPYINIYDKELVTNIVDPSLIIDEDLLEEVWAMAVVARSCLNPKPTRRPLMRYILKALENPLKVVREESGSSARLRTPSSRGSWNAALFSSWRNSSTDMAAGAAAAGAGPSSLKQSGTTRSHSQGSGHNGGGDHSSSNRKQSKDVFPEPLDVQDEDRSDDEY >KVI10711 pep supercontig:CcrdV1:scaffold_30:733897:736364:1 gene:Ccrd_010911 transcript:KVI10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MANGRTLDSYTVNGVDKSIRPGDCVLMRPHDPSKPSYIAKVEKIVSDDRGSNVKVHVRWYYRPEEVVGGRKQFHGTKEVFLSDHYDVQGADTIEGKCKVHTFKNYTKLDAIGNDDFYSRFQYYSSTGDFNPDRIAVYCKCEMPYNPDDLMIQCDGCRDWFHPACIDMTVEEATQMEHFLCQSCLSEEQKVLQNSHAISRPAVMKTQSAGERDVQ >KVI10718 pep supercontig:CcrdV1:scaffold_30:182732:192475:-1 gene:Ccrd_010876 transcript:KVI10718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate cyclase-associated CAP MDERLIQRLESAIARLESISLGSQPQAVAFDAASVSSEPSIVAFEDLVAEYVGKVTDAAGKIGGQVQDITNVVSEAFSAEKELLMRIKQTQKPDARGMAEFLRPLNEKLTEANSMSEGRRSDFFNHLRAASESLTALAWIAYTGKNCGMSMPIGHVEECWQSAEFYSNKVLIEYRNKDPDHVEWVKALKELYVPGLRDFVKTHYPLGPVWSATGATVATSSKPGAPAPPGPPPASLSSSGSSTSSSSQPKKGMAAVFQEISSKPVTAGSFPFTVIDSQFHVSGLRKVTDDMKTKNRADRAGFVSSGEKQVRKSAPAAAKVGPPKLELVMGRKWVVENQIGSKDLVIDECDPRQTVYIFGCKDSVLQIHGKVNNITVDKCTKMGVVFMDVVAAFEIVNCSSVEVQCQSSITTAKASEVNVMVPGDDPAADLVEHALPQQYIHTYKDGQFLTTPVSHSGG >KVI10727 pep supercontig:CcrdV1:scaffold_30:337919:338992:1 gene:Ccrd_010884 transcript:KVI10727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WHLAQCLHVDQVHGLTSRTRPSKRRSLCLTRTPLTAGTMSQRPFLGKRHRKWRGIFNSLWRISTASRVVEFLSLNTGLLKGHKIMNVSMKASMDDGQVAITKIQRSSSSVQLIVTSMGESLD >KVI10696 pep supercontig:CcrdV1:scaffold_30:440296:442061:-1 gene:Ccrd_010892 transcript:KVI10696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin ITIVNGDICEKPSKTWFGKCVDTRKCDKQCIEWEDANHGACHERESKYMCYCYYDCRPRGKKKPPPPPKGQQPAPSPPKDGKPTPPGGGQPAPPEGGKPTPPGGGQPSPSPPEGGQPTPPPEGGKPTPPGGGKPAPSPPEGGQPTPPEGGQPAPSPPEGGKPTPPEGGQPAPSPPKGGQPAPSPPEGGKPTPPEGGQPAPSPPEEGGKPTPPEGGQPAPSPPEGGKPTPPEGGQPTPPPEGGQPAPSPPEGGKPTPPEGGQPAPSPPEGGQPSPSPPEGGKPTPPEGGQPAPSPPEGGQPSPPPPEGGQPSPPPPEGGQPTPPPPKGGQPAPPPKDGKPTPPGGGKPNPPECRIPPRDKFEAEELRRDINNNFNELRKYVKNQARRGSDCALTQKSATNNVSIGKAQGMELVINVNLNT >KVI10723 pep supercontig:CcrdV1:scaffold_30:156032:159498:1 gene:Ccrd_010873 transcript:KVI10723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSHSQDSSKSLPSFKDSGSSSANKNNNKNGSLSSSANKHKSSSSDKSNNDNKKPQNKNKSNKKKHNDDKSSSPALPRSLSSSHFALYPPLNSSSSEKYGSSSYNINNVIITGAAIGAVLLLLLMIACTVCCCHRKKKRQRYDNNRNNPSEIKVDTNNGYYSTQHAGNQLHEHGDLHLKMPPQPHSNPRSSDQQGWVAAPPPPPLGMVGSNEKNVFTYDDLVAATKEFDSSLLLGQGGFGYVHKGVLPNGKEVAVKSLKLGSGQGEHEFQTEVETISRIHHRHLVSLVGYCIAGQQRMLVYEFIPNKTLEYHLHGEGCPFMDCSTRFKLALGAAKGFAYLHEDCNPRIVHRNIKAANILLDEHYEAKVVDFGLTKLSSEIITHVSTRVMGTFGYLAPEYASMGKLTEKSDVYSYGVVLLELITGRRPINRNSDEGSLIDWARPILMHASDGGSLEKMVDPRIKENYNREKMLRMVACAAACIRHSPRIRPKMSQIVRILEGKVSFGDLKR >KVI10683 pep supercontig:CcrdV1:scaffold_30:66288:67259:-1 gene:Ccrd_010868 transcript:KVI10683 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein MAHGFASCLLILHLLLALHAEGREAIRSDVIRLNTMDDDAHTIHHHDHMHQHHGHPSSLNQIDPQVMVFFKLQDLTVGQVMPIYFPNRHISQSHLLPKHEADNIPFSFTEFPNLLRLFSFSQHSPQAKAMENTLKECAIKPIKGETKTCATSLESAHEFALEIFGSDTQVKTLTTTHLKNSRIGLLQNYKVIEILQTIPSPKLVACHTLPYPYAVFYCHSQQSENKVVMVSLEGEDGDLVEALGVCHMDTSQWNHDHVSFRVLGVEPGTTPVCHFFPSDNFVLLPFSATM >KVI10716 pep supercontig:CcrdV1:scaffold_30:166480:168538:1 gene:Ccrd_010874 transcript:KVI10716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MHLLLLPPTLCSLSPLPPHRHLHFRTRHRQNLRYLKTLGITPPDFHSPQTLSQILSTLNYFKSKGFSEPDFPRIAFLSPHLFTPTFDPIAIEPVFDFLTVDLAASPEEIRGLILKCPHILESDPDLCLKPTLSYLKKLGIKQLKSPTTLNAHLLDTRVEKLEEKMRFLRSVGFSVEESRRICGRFPAIFGYGIEHNLRPKFEYLRKEMKRNGREEVNKFPQYFGFSLEKRIKPRYLHLKQRNVDGSD >KVI10708 pep supercontig:CcrdV1:scaffold_30:753530:758046:-1 gene:Ccrd_010914 transcript:KVI10708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MGIHWCSVIVSVLGFLVLESVLFVDGGLTSSFIRKVEKTIDMPLDSDVFREPPGYNAPQQVHITQGDHVGKAVIVSWVTMEEPGSTTVVYWSENNKKKFIAKGIVTTYIFYNYISGFIHHCNISDLEYDTKYYYEVGIGHTTRTFWFTTPPKVGPDVPYTFGLIGDLGQSFDSNATLTHYEMNPTQGKTVLFVGDISYADNYPDHDNTRWDSWGRFAERNTAYQPWIWTAGNHEIDFAPEIGETEPFKPYTHRYQVPFEASQSTSPFWYSIKRASAYIIVLSSYSAYGKYTPQYEWLEHELPKVNRSETPWLIVMTHSPLYNSYIYHYMEGESMRVLFEPWFVKYKVDVVFSGHVHAYERSERISNIEYDIVNGNYGHAIFDIKNKTHAYHSWHRNQDGYAVVADSMWFYNRYWYHHEQHASSK >KVI10732 pep supercontig:CcrdV1:scaffold_30:320447:321223:1 gene:Ccrd_010883 transcript:KVI10732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MASADVEFRCFVGGLAWATTDQSLEEAFSQYGEILESKIINDRETGRSRGFGFVTFKDEQAMRDAIEGMNGQSLDGRNITVNEAQSRGSGGGGRREGGGGGYGGGGGGYGRREGGGGGYGGGGGGYGGNGGGYGGGRDRGYGGGGGGYSRGGGAPEGNWRN >KVI10703 pep supercontig:CcrdV1:scaffold_30:492279:494188:-1 gene:Ccrd_010895 transcript:KVI10703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRSLPMDSAGAGYGVSDEEEEFEDTNDDLDKGNNDEFILSDGTSGSETADSPEVAFPMAQQNRIIKSMKQMQESEKARAL >KVI10724 pep supercontig:CcrdV1:scaffold_30:396405:408390:1 gene:Ccrd_010889 transcript:KVI10724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MNQWDYKVLWSFGLACLDIHALRLKKDLHNHIFLSLLVVGDWVTAILSLAAACSSAEVMKAKAAFFSSIGSLIHLPFTPIP >KVI10704 pep supercontig:CcrdV1:scaffold_30:546079:546537:-1 gene:Ccrd_010898 transcript:KVI10704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MAKNSVAFFAFILILFVLAISEQIYVRRQARHGREGATTQGSGHCDNQCKSLEGAAHGACHDRDNKKMCFCYFNCAKLADQKLGGKLKPNGEEAIKP >KVI10691 pep supercontig:CcrdV1:scaffold_30:674120:680236:1 gene:Ccrd_010907 transcript:KVI10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGSACCVAARDRTITDQSVSDVMPRNDRYSPSWSFRWDNRGRVAGEESSMNCFPDGAGVNDRLDNKSHTTVETAYATEQGSPLDSSRSLAWQKSPPSEENGVPQSGEFILMKKYMIYHVAIFLFFRLSILSIITHELGKESRRTPVASELCPTKILTPTHSVSSFSASPLSSSQGHLLPLTSLTPSRWPRRSPGHHLLRQVSDSRIRGIMSPNFSISEEGSPFMHPGWSSKSNRGSHGGSSDGWSIPAFPDLATTSNRDRWSFDSDSLSFSRDRISRSSGRVSSSPSIDMQICGVCSKLLTEKSAWRGQKGIVANGYPIVAVLICGHVYHAECLESMTPEIHKYDPACPICTFGEKKVLKLSEKALATDMDLKAKISKKLRNRVVDGDSVVFKSSVSRMSSSSSVKCSTGHGKPFLRRHFSFGSKWNRSLSDNSCRKKRFFWSKE >KVI10719 pep supercontig:CcrdV1:scaffold_30:198772:205079:-1 gene:Ccrd_010877 transcript:KVI10719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAASGNTSSTAGGVSLTSKTPILGQRLYVIIAITVVVVTVILVVIFLCIRRNLNTKRRRRMRVKHSSGLLPLVSAAINVESIEKTSKISVFEKDDDEKEEIKPIIKVVEGKIGNTNSGSNESGTSRSEGASSSVSAESLSNLGWGKWYSLNEIEIATNNFADENVIGEGGYGIVYKSVLRDDSVVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLMGFCADGAKRLLVYEYVDNGNLEQWLHGDVGPISPLTWDIRMKIAVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRKWNAKVSDFGLAKLLGPEKSYVTTRVMGTFGLMNLVDWFKGMVASRRGEELLDPKISVQPSPRALKRVLLVCLRCIDMDANKRPKMGQIVHMLEADEFPFRTEPRPPRDTAASKAALAN >KVI10700 pep supercontig:CcrdV1:scaffold_30:505784:508983:-1 gene:Ccrd_010896 transcript:KVI10700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MTKRSGFFFAFLLLLFVFALSEIEEAAATPKLCEKPSKTSFGKCDEQKCDKKCISWEGAVHGACHAREAKSGCFCYFDHFTEKKTLLPPHKPPPHKPQSPHKLPPPHKPPPSHKPPPPHKPPPPHKPPPSHKPLPPHKPPPKKPSPPHGHHISPHRISRSPPPHKPPPPHKPLPPHKPPPPHKPPPPHKPPPSPPPPPKAKPPPPPKAKPPPPKAKPPPPPKAKPSPSSPPKAKPPPPKSKPPPPKDKATPPPKDDSSPPPKDESPPPPPDGGSSPPPPPDGGSSPPSPPDGGSSPPPPPEDGGPSPPPPEGGPPPPPPEGGSPPPPPEDGSSPPPPPEGGSSPPPPQDGPSPPPPPEDGSSPAPPPQDASPSQPPPPPPKDTLPPPLPPPSPEDGPPPPPPPEDGSPPPPPEDAPPPLSPPEDGLLPLPPPEEGLPLPPSPPPEEGSPLPPPKTDLFRLLKRGHRHLLRLIDDCWLLSKKKGFRWIMIPTGT >KVI10728 pep supercontig:CcrdV1:scaffold_30:385266:387573:1 gene:Ccrd_010887 transcript:KVI10728 gene_biotype:protein_coding transcript_biotype:protein_coding description:CIB1 [Source:Projected from Arabidopsis thaliana (AT4G34530) UniProtKB/TrEMBL;Acc:A0A178V495] MNGASPECHNNIPGNTTGGGGGEVSVFERQQARMKWQQQQQMLFNGNDHQVPNMLSAMQPSGLIGNFAIKPDPGIENGWPDFSYGDQLGYGSGFDHMNQNTHSRMTSFRPPLMADQTILQKNSSSASLSPKKRKAHENQKLKVVSEENGVKEKELKGCSDGDSTETSSKEKPKLTEAKKQEYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEMFVSGEVSAVGYSSEMANSTYLQLNSLLEMGTNPIDMVLRSIGSPVSIPETFVVSSCFNQIQPTVTWDADLQNLYRMELQHDTSTIPFQTQKFTGSYEGSNLKMEM >KVI10682 pep supercontig:CcrdV1:scaffold_30:79905:84015:1 gene:Ccrd_010869 transcript:KVI10682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organ specific protein MVLELGDYDLGRPNPVEYKQESFVGGDTQVSPLPTKKTHCHTLTDTKDLKISFNHKHVNSDGGYNDQTTFDKDFEPRPDATVYDNGAGLKGTRSFDKDFEPRPDATVYDNGTGLKGTRSFDKDFEPRPDATVYDNGTGLRGKRSFDKDFEPRPDATVYDNETGLKGTRSSAEDKVIASVTGFNV >KVI10698 pep supercontig:CcrdV1:scaffold_30:402634:403974:-1 gene:Ccrd_010890 transcript:KVI10698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MAKQWVSFFALAFIVFVLAISETQTVKGELCEKASKTWSGNCGNTKHCDDQCKSWEGAAHGACHVRNGKHMCFCYFNSCAEADKLSEDQIEAGKLAFEKAEKLDRDVKKAVPNVDHP >KVI10715 pep supercontig:CcrdV1:scaffold_30:240410:245305:1 gene:Ccrd_010879 transcript:KVI10715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILARKSISALRYRNLCQLLMHTVLRRCPLTPPASRLVGRRKVVAGQALQGPNFHGTISGARSFATKHSFSTDKDDEEREKLAKEISKDWSSVFERSINTLFLTELVRGLSLTLKYFFEPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKICEAVSSTSVNIAVAEKIALCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >KVI10731 pep supercontig:CcrdV1:scaffold_30:246975:254729:1 gene:Ccrd_010880 transcript:KVI10731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex, sigma subunit MIRAVIVMNDQGKPRLVKFYEHQPVEKQQEIIRSIYGVLCSRAENVSNFVQADSTFGPDTRLVYKTFATLYFIVIFDDSENELAILDLMQVFVETLDKCFSNVCELDIVFNFNKVHTILDEIILGGQVIEMSSSEVVKAVDGISWLEKNPNSIIRVPKSISGWQGR >KVI10695 pep supercontig:CcrdV1:scaffold_30:619043:622237:-1 gene:Ccrd_010903 transcript:KVI10695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEITTMAQATQLHAQFLKYPQTDPQNLSKIFNFTALSPSGNLTYARHILNSLQTPTNSYFHNTMIRAYSDSPDPIQSISLFLTLHNCEDPLSPTPDILRACADTGALSVGIKLNEYVNGKGTGLKKNVVTALIDMYSKCGCIDSAMRIFNEAVNKDVYVWTAMIAGLASHGLCREAIALFDRMEIVDLKPDEKTMTAVLSACRNMGWVDKGLTYFKNIKKVYNVRPTVQHYGCIVDLLARAGRLEDAQEFIKSMPIEPDAVLWRSLIWACKVHGDTQRVEHLIKHVEGSKMDSTDDCGTYVLLGNVYASKGKWKNKAKVRRLMNQKGLVKPSGHSRIEIDGVIYEFMAGSTCHFEAESIYKKLDEIEEELRGNGYYPKLSEVLLEIDDEEKASQLLHHSEKLAVSFGLIKAKPGTIIRIVKNLRSCEDCHSFMKYISSVYQREILIRDRIRFHHFRNGECSCGDYWQYVSLWVYFDLMDVPEM >KVI10733 pep supercontig:CcrdV1:scaffold_30:306748:307661:1 gene:Ccrd_010882 transcript:KVI10733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADVEYRCFVGGLAWATSDRSLEEAFSQYGEIIDSKIINDRETGRSRGFGFVTFKDEQSLRDAIEGMNGQSLDGRNITVNEAQSRSGGGGGGGRREGGGGYGGGGRREGGGGGYGGGGYGGGRDRGYGGDDGGSRYSRGGGGSEGNWRN >KVI10687 pep supercontig:CcrdV1:scaffold_30:682701:693607:-1 gene:Ccrd_010908 transcript:KVI10687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor biosynthesis, MoeB MLMFGEEQVGWRNRRSLDSKRPQTQTVCLRLLLFFLPLVKLKVGRREAKRSGRSFGALSFNFRPFSPSKILIPLSLLRHYAISSTTMASGQHLSAIKGAKVLMVGAGGIGCELLKTLALSGFHDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVAREAVLRFRPQISITPYHANVKDSDFNVDFFKQFSVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHIKGRTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVRSNDSASSSDHSEDVFERKKDEDIEQYGKRIYDHVFGHNIESALSNEETWKNRNKPRPIYVRDGLSDDLLQQNGNVDKIPATDNSSALSAMAYLGLKNPQDIWSLKENSRIFFAALKLFFSKRQKEIGNLSFDKDDHLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLQNDSKSCRMTYCLEHPSRKMLLMPVEPFEPNKACYVCSETPLVLEVNTHRAKLRDVVEKIVTSKLGMNLPLITHGSGLLYEVGDDLEEDMVANYAANLEKVLSELPYAITGGTMIQIEDLQQELVCNINIKHREEFDEEKEPDGMVLHGWTQAPAVKNKDTTTNTTSSNNGASTSSTSTLVPNAMEEDDELTILETGIETIAAGKKRKLSDVSNSETPVPADEKRAKKLAEQVEDESDVIVMLDDANDEKISR >KVI10701 pep supercontig:CcrdV1:scaffold_30:519141:519991:-1 gene:Ccrd_010897 transcript:KVI10701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MAKNSVAFFALLLLICILTISEFAVVKGELCEKASKTWSGNCGNTRHCDDQCKAWEGAAHGACHTRNKKHMCFCYFNCPKAEKLAQDKLKAEELARDKVEAKEVPHFKHPIEPIHHP >KVI10684 pep supercontig:CcrdV1:scaffold_30:56112:57007:1 gene:Ccrd_010867 transcript:KVI10684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organ specific protein MMKFLSTFLILFSLLLIVSSNEARSIPDEYWRSIMNDEPMPKAIQDVLPLEDVNKVNKDQFVRNFDLKPNLIIYHSHVMYSQKNHHIVSSSSSTSSSSSFSNELN >KVI10697 pep supercontig:CcrdV1:scaffold_30:465500:466266:-1 gene:Ccrd_010893 transcript:KVI10697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDAFNYKQFSTVKGKICEKPSKTWFGKCQDTTKCDKQCIEWEDAKHGACHERESKLMCFCYYNCGPPKNTPPGTPPSPPPPPGSPPPPPEGGQPPPEGGQPPPEGGQPPPAEGGQPPPAEGGQPPPA >KVI10709 pep supercontig:CcrdV1:scaffold_30:748986:750029:-1 gene:Ccrd_010913 transcript:KVI10709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MRSPDPSKPSYIAKVKKIVSDYNGDNVKVHVRWYYRPEEVVGGRKKFHGNKEVFLSDHRDVQAVDAIEGRCKIHTFKNYRKLETVGNDDFYSRLQYCSSTARFSPDRIAVYCICEMPYNPDEFMIQCDGCHDWFHPACIDMTVEAAREMEHFLCQSCLS >KVI10726 pep supercontig:CcrdV1:scaffold_30:343230:346541:-1 gene:Ccrd_010885 transcript:KVI10726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9 MVNLQYGRSILRQTKILKDTTLFKNCDCELHHPLFYAGQGVRYRKLEVILTTVSETVKVAPGHFRNHLMPKLLAVPNIDKFAYLISEQRKLYQRKEVEEVKVVPKTEEDTMKEYRTAARRLENARLVLRRFIKIDTELRSPVDKDELISEVARQLGVHIEPENLQLTSPLSTLGEFEVPLRLPKSLPLPEGKVQWTLDVKIRKK >KVI10706 pep supercontig:CcrdV1:scaffold_30:775968:781112:-1 gene:Ccrd_010916 transcript:KVI10706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein VHITQGDHEGKAVIVSWVTADEPGSSTVIYWPEDGTQKDQATGQITTYNYYNYTSGDLGQTYDSNTTLTHYEMNPEKGESVLYVGDLSYADHYPFHDNRRWDSWGRFVERSVAYQPWIWTAGNHELDYVPSLVSNRKLNKTTIVLNGTNFDFITISGNMNLVIGFPYMRNRNKSKVGVILGESKPFKPFLHRYRVPFEASGSTEPLWYSIKRASAYIIVLSSYSAYGTYTPQYMWLMEELPKVNRSETPWLIVLMHSPWYNSNTYHYMEGETMRVMFESWFVQYKVDVVFAGHERISNIAYNIVNGECTPVHDQNAPVYITIGDGGNIEGLATEMTDPQPKYSAFREASFGHATMAIKNRTHAYYSWHRNVDGYAVKSDSM >KVI10692 pep supercontig:CcrdV1:scaffold_30:568692:573978:-1 gene:Ccrd_010900 transcript:KVI10692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine racemase/group IV decarboxylase, C-terminal MAVAYSSSHLQLQPLSFTKTLNRSSNSITPKTLVSFKPNSKTLGIRAIISQTPPETQKFEHCFTKKDDGYLYCENLKVQEVMEAVERRPFYLYSKPQITRNVEAYKDALEGLNSVIGYAIKANNNFMILQHLRSLGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKLLEDLVLAAQEGVFVNIDSEFDLDNIVSAARIAGKKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKSYPDELKLVGAHCHLGSTITKVRELVLSRNLNLIIEPGRSLIANTCCFVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPPPPDSAVSTFDVVGPVCESADFLGKNRELPAPARDSGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVAKIRHGETFEDHMRYFEGLSL >KVI03570 pep supercontig:CcrdV1:scaffold_300:116419:117864:1 gene:Ccrd_018133 transcript:KVI03570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASKKLPRPPPLCRPPPSPTTTNLFPPPPPPPPDTSLTVLPTLSLPSSTSTTQSQLTNFINTHLKSNLTPNDLLSFLKNHLHHHPKFAXLDLHVFRHAATLDSFRHDHSTYEWMVRTLAITHRLDSLSPVLDFIVSNPCPCSDGIFSCSRTEPIFRFAINSFCNVGRFDDALHAFDNMRKLIDGKPDVAIYNIMIHSFVKHEKFEKGLEFYGRMIKDRVKPDVVTFNILINGYFRNSKFGLALEVFKEMRAKGCVPNVVTFNTLIKGFFRERKFKEGIGMAYEMIELGCGLSVVTCEILLDGLTKEGRVFEACDLLLDISRKGVLPTKFDYFGLIERLCDKGNVVKAGLIVDEVWEKGNAPSTITCTTLIEGLRRVRNTEAAFRLMDKMLKESIIPDSVTFNCLLGDMCNSGRTVEANKLRMLASKKGLCLDEVTYNILVSGYSKEGKRKEGEVIVDEMLDKEFIPDIVTYNRLMKKLGNG >KVI03573 pep supercontig:CcrdV1:scaffold_300:120840:126535:-1 gene:Ccrd_018134 transcript:KVI03573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAINAVPKVTLIKYSAPDCKITFGMKLCYGEAGGYGGHNSMMIASEILRRVTLWPPQYDESCKLCSGESYCLSFASMVESVGDLKGGGLPYDGCQRFESAYLQLVNLADTKLYGSTQFFRFGGSIYDLLFMDIDKIHPFSSTLEWHNLKIAL >KVI03575 pep supercontig:CcrdV1:scaffold_300:135687:142535:1 gene:Ccrd_018136 transcript:KVI03575 gene_biotype:protein_coding transcript_biotype:protein_coding description:FeS cluster biogenesis MALSRSFIQRVSPFFAARIRHNSSLLSSASSSALAKEPQSSSVSPPEGDTVRLSDNCIRRMKELQDDETKEKMLRLSIEAGGCSGFQYNFELEEKPKDDDRVFERDGVKLVVDTISLGFVKGATVDYVEELIRSGFQVAENPSAVGGCSCKSSFMVK >KVI03558 pep supercontig:CcrdV1:scaffold_300:2748:4351:-1 gene:Ccrd_018123 transcript:KVI03558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase MARRSQRVASRRNRTSAGGPEPSHPDANEGEVDPSILAAVNQAVSTLLPSIVAQVVEAAQQHSTGGPPPVLETLGAIHIVSLCCNDTFDENTPPTDDPEYISSLAALIFKGMQGGDDDAVWLMQALLHSVPIGKMIVLDLFAEVNPIWTTSNQFYGVPCIWKVKSFASKLCMI >KVI03555 pep supercontig:CcrdV1:scaffold_300:24282:27959:-1 gene:Ccrd_018126 transcript:KVI03555 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAGRKRRRLKLLAVTFKDDTRVSTKGNIEQEEQEAWSFLPVELLEFIISQLTLKDNIRTSAVCKQWFSVALSVRVVNKPPWLMYFPKLGHRFEFYDPSQRKTYSLELPELHGCRICYNKDGWLLLYKPRTQRVLFFNPFTREMIKLPRFEMTYQIVAFSTSPKSPNCIVFTVKHVSPTVVAISTCHPGATAWTTVNYHNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYDPQELTWTIRIVPPPRCPDNFFVKNWWKGKFMAEHRGDIFVIYTCYSENPIIYKLDQANKEWVEMKTLEGVTLFASFLSSHARTDLLGMMRNSVYFSKVRFYGKRCISYSLDHHRYYPRKQCHDWGEQDPFESIWVEPPEDVSTFNWED >KVI03566 pep supercontig:CcrdV1:scaffold_300:307622:309758:-1 gene:Ccrd_018146 transcript:KVI03566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 MLTATASQHPHRSALATLRYSFFWLLIALLILYLLYSSKLLLNQTECGHFSNHLSTTENLQNATDLAGISSPIPRFDTELKHIVFGIAASSGLWRWRKEYIKLWWRPGETRGAVWIDNQVRMKKNESLPEIHVSRDTSKFRYTNRQGTRSAIRISRVVSETMKLGLEDVRWLVMGDDDTVFILENLVRILSKYNHEQYYYIGSSSESHVQNIFFSYTMAYGGAGFAISYPLALELEKMQDRCIQRYPSLYGSDDRIQACMAELNFDVYGNLLGLLGSHPVAPLVSLHHLDIVDPVFPGMSRTESLRHLLEAAKYDSASIAQQSICYDKTREWSILVSWGFAIQIVRGMVSPRELDFPTRTFLNWYKKLDYMAYAFNTRPVTRHPCQKAFVFYMSRTMYDKARKKIIGIYTLHNERHPTCRWKMESPEKIDNIVVLKSPDNHRWQKKGTMYLWVDGCRKGEVVEWQSSKSVP >KVI03554 pep supercontig:CcrdV1:scaffold_300:207985:213285:-1 gene:Ccrd_018143 transcript:KVI03554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MVVAEIFLGAFVAVLFEKLASAELIRLAQSEGIDVQLNKWKDTLSQIQAVLHLAYDIDDLLDDLATEAMRRRLEEESYANTSTSKVFKIIPTFTKFTPHAIMYGRKMSSKLNVVTTKLCDLVEEKNMLGLNNNVERSNRASRRLEETSLVDVSKIVGRERDKEALLRKLLGNDPCSEIFSIVSIVGLGGIGKTTLAQVLYNEKKVKDHFELMAWVCVSDEFDIFNISKTILQAVGGEDKRFVDLNQLQVALTERISKKRFLFVLDDVWNEDYKEWELLQRPFLVGGPGSKIILTTQKTKVASMMDSVQAYPLELLSNEEALSLFAQHALGKQNFDSHPTLQLHGEGIMMKCGGLPLALITLGRVLRTKANDEEWEELLNSEIWNLHNESKILPALRLSYYDLPPHLKQLFAYCSLFPKDYIFDKDELVLLWMAEGFLYRSNGNMSMENLGRECFKELQSRSFFQHSSNHKSQYIMHDLINDLATSVAGEFFFTLDDKMNVYEKNEALQKFHHVSFVRQKYGVYKYFKALQRSRRLRTFLAVPVNSRYGFHLSNKVLFELIPKLRFLRVLSLTSYSIKEVPQSIGSLKHIRYLNFSKTDITCLPEQVGDLYNLQSLLVSHCLELSSLPDSCVKLINLRHLDISDTPKLNKMPLGIGGLTSLQTLSKVSIGRANGFKVSDLKGLPHLQGRVSIQGLHTVTNAIHAKEANLLQKKGLCDLEMEWSDVFDGSRNEIIEYGVLKGLRPYDKLRSLKISYYMGIKFPSWVGDSSFVCLNQLTLRGCKSCTSLPTLGHLRSLQKLFVEDMSGLKRLGLELLGASNSSHDIAFPSLEVLKFRDMQGWEIWSTDGGEGDGVARSYPCLREISMINCPKLNAVEIELIPSLRVLDIQGCSVAVLRSMVGVSPSILRLTMVNVEGLTQLDEDVLEHLGAVEQIWFRSCHDLRYLWESELEACKILVSLWDLRVEFCQKLVSLVEKEGDSGISLKSLRHVYIDNCPKLRSYNCPYSIEKLVIDHCPLVTSLTFSAVHDLTSTMKILDINSSHNLEVSWLLNNFLSSLGSLCINSVANVRSFPEGCLVHLTSLIVSNCGDIESIPENGFGFLPVLCLRSLHIHNCKNLKSFPHEHLQSLSSLEKLWIRDCPSMDYSFPCGLWPPNLSFLSIGDLKKPMSEWGLQNFPTSLLELCIVGKNSGIVSFATTEDVRRNSNNTTTSLSLFLPPSLTYLRVNGFMELESLSKGLQHLTYLEKVATLSCPKLRDLPETLLPLLSFLLVKSCPKLEKRCSKKGVFIVERAAILVCGMVGKGKWPETVDKNYLVKLWKMMSQVLELVARNLEEELLKFCPHSAK >KVI03559 pep supercontig:CcrdV1:scaffold_300:47173:48036:1 gene:Ccrd_018128 transcript:KVI03559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDTETIVPVGGAGDRKIGSETLEDGEYKAEVDPDIPDSSQFVPPESFWLSKDSEFDWFDRNAFLERKESTKASNSKNLNPNVNPTYSHSNSQRFSVNLKSKAAILGLPKTQRNTHVESKYRQCKPANIRLFPKRSSSGGRAPGAVPVTEPSSPKVSCIGRVRSKRCRSRRRSSVAATRSEKPVAVIQPEKTSKGQKKGIISRITSLFRSEGSRRRKNNKSSVKLNEHLEKSASRRSSVTVKPVNSEPATPSAPPALGGMNRFASGRRSESWTDNIDIAGRRSTS >KVI03568 pep supercontig:CcrdV1:scaffold_300:99561:104322:1 gene:Ccrd_018131 transcript:KVI03568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAGAEIFLSAFVTVLFEKLASADLIRFARSEGIHVQLXKWNNTLSQIQAVLVDASQKHLGDRAVQLWLNKLQHLAYDIDDFLDDLATEAMQRRLKEESYANTSTASKVLKVIPKVSNFSPHAIMYGRKMSSKLDXVTTKLRDLLEEKNSLGLNINVERSNRASRRSKETSLVEVSKIVGREGDKXALLRKLTLXNESCSENVSVVSIVGLGGVGKTTLARVLYNEKKVKDHFELMAWVCVSDEFDVLNISNTIFEAISGEDKRFEDLNKLQESLSEKLSKKRFLLVLDDVWNEDYTEWELLQRPFVVGAPGSKIVVTTRKTTVASVMDSVQAYPMKLLSNEEALSLLAQHALGKQNFDSHPTLKFHGEGIMKKCXGLPLALVTVGRVLRRKTSDEEWEEVLNSEIWNLQYKDKILPALRLSYYDLPPYLKQMFAYCCLFPKDYVFHKVELVLLWMAEGFLQESNGSKSMENLGSECFEELQSRSFFQHVGNHNXSLYTMHDMINDLATSVAGEFFFALDDKXNAYDKNEALEKFRHLSFVCPKYGVYRKFKALQKARRLRTFLALPVRVGSLHLSNNVLFELVPRLQFLRVLSLAKYSITKVPQSIGSLKHMRYLNFSGTCITCLPEQVGNLHNLQSLLVSDCVRLSSLPDNIVKLKDLRHIYINNTPKLNKMPFGIGELTGLRTLSKVIVGEANGFNIYNLKGLLHLQGKLSIQGLHTVTNAIHAKDADLLQKKGLEELEMEWGDVFDDSRNESIEYDVLERLRPYDKLTSLLISNYMGRKFPSXVGDPSFVYLTGITLRGCRSCTSLPTLGHLRSLKWLYVESMSGLKRLGLEFLGTSNSRHGIAFPSLKVLKFINMHEWEVWSSNVGGKDGNGTVGSYPCLREICIMDCPKLNAVEIELIPSLGRVDIQRCSVAVLRSMVVVSPSILKLTMTSIKGLTHLHGDVLXHLGAVKYLLIRGCDELRYLWESKPVVCENLKSLRDLRIESCKNLVSLVDKEANLGISWKFIRQVCITDCXKLVSYNCPNRVXRLFISQCHLMTSLTFPTEHDLPSTMKTLSIRSPHTLDTTWLLNNYLSSLRSLSIFDMPNVRSLPEGCLLHLTKLHIEGCENIESIPEKGVVSLSLLVPPSLTYLGVCCFMELESVSKGLQHLTCLERLSFXSCPKLKDLPAETMLLLLSSLYVXDCPKLEKKCDSKKGKYWPIISQIPHLHVGPSQISGWWPGIFLIDDDGIN >KVI03567 pep supercontig:CcrdV1:scaffold_300:35434:36585:-1 gene:Ccrd_018127 transcript:KVI03567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCLSSKQIKIDRKRDAFYGTNGGGDHINHVVSLTSSTYGALKLDHDQPPPPRPPEKVYPSCRSIKEELGPPEIINAWELMEDLQELVPVLIPPDKSARFLGGFAEIDAKTPLKFLNQMGSPKMLQKFSGKENNSPKTRVLKASSLPPNLRISKKGSPNCVKSRGSPVDSGLILLRRRNLGPLFDPNHVGSFKKEQITQTVPGTPATQKSRNFIDSNSILELYEKKSPLGGSAANAVVIYTTTLRGIRKTFEDCNTVRRIIKSHGIRMFERDVSMDSGFKEELRKLMGKKEVKVPVVLVKRRLIGGCDEIVKLEEEGKLGILLDGIPPAAAHGCKGCAGEHFIVCSVCNGGCMLMGRDGKKTLKCRGCNENGLVQCPICCEIL >KVI03562 pep supercontig:CcrdV1:scaffold_300:187846:193076:-1 gene:Ccrd_018141 transcript:KVI03562 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MANKVIGEMGLPKSIGNIFAARNIITAKDALSLTEFELMELLDVDLAEVMCAVALISEIASPPYQTVQSLLEQRMQNEYLTGHLPTHLKGLDAALSGGIPFGALTELVGPAGIGKSQFCLKISLLATLPSCYGGLDGHVIYIDVESKFSSRRLIEIGLNSFPEIFCLEGMAKEMAGRITVLRPGSLTEFTESLQKIKFSILQQQVKLLVIDSMAALVSGEYEQGPPRQHPLGWHISLVKSLAEFSRIPVVMTNQVRSRSTSEISQYSFQAESRVGVIEDTANFDSHLVAALGIHWAHAVTIRLVLESRSGQRFIKVAKSPMSPPLAFPFEVTSSGILLLNDDGVEMTGPQINAIDHQGHSDIICHRDER >KVI03572 pep supercontig:CcrdV1:scaffold_300:124178:134141:1 gene:Ccrd_018135 transcript:KVI03572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTCFTITPKSHPNPSSIFSLKPSSQFHYSLRAHNDVFHRELLRFERHHSGSNSNSRSFVSEIRAGFNVPSVEATARIRPGKVIESDKLSADVRKRTMDAVDKCGKRVTVGDVASKAGIKLTEAQKALQALAADTNGFLEVSDEGDVLYVFPKDYRTNLAAKSLRIKLEPLFEKAKSGAEYLIRVTFGTALIASIVIVYTTIIAIISSSSEEDNRGRRRGRSFDSGFSFYLSPADLFWYWDPYYYRRRRVRKEDNGMNFIESVFSFVFGDGDPNEGIEEERWKLIGQYIASNGGVVTAEELAPYLDVQNAEKTDDDDSYILPVLLRFDGQPEVDEEGNILYRFPSLQRTATSQRSGRKEYVGRKWTEWVGGVDKFFKEKKWDFSKISNTERAMVAGLGALNLFGVIVLGTMLKNLTVTPSGFISFVSEIFPVLQTNAEIDKRNQAREQRARALELPDVSLRRKILSARDMSQRTVIGEDRIVYTTEKDIFEQEYDTKEWDRRFKEIEKSD >KVI03564 pep supercontig:CcrdV1:scaffold_300:222161:230836:-1 gene:Ccrd_018144 transcript:KVI03564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MANKLISEMGLQIDWEYIRCSGYKYCKGVAYAGKKVTPCSELVGSKPVSPKPPNVTSPNNRCRHQISNKESSVIVLALSEGIFSELQKWNSTLSQIQAVIVDAGQKHLREIAVQLWLNKLQYLAYEIDDVLDDLTTEAMQRQLKEESYACTSASKLSKIIPAWCTNFAPRNIVYGRKMSSKLDEITTKLRHLVEEKNILGLNSNVERSNRASRRLEETSLVDVSGIVGREGDKDALLRNLLGNDSCSENVSILSIVGLGGIGKTTLAQVLYKEKKVKDHFELMAWVSVSDEFDVFNISKAILQAVGGEDKMFANLNLLQVALTEKLLKKRFLLVLDDVWNEDYKEWELLQRPFLAGAFGSKIIVTTRKTTVASMMDSVQAYPLKLLSNEEALSLFSQHALGKQNFDSHPTLKLHGEGIMKKCGGLPLALIAVGRVLRTKQNDEEWEELLNSEIWNFQSESKILPALRLSYYDLPPHLKQMFAYCSLFPKDYVFQNDELVLLWMAEGFLYESKGSKSMENLGRECFEELKSRSFFQPSSNDKSRCTMHDLINDLAMSIAREFFFTLDDKMNVYDKIGALEKFHHLSFIRQEYGVYRKFKALERASRLRSFLAVSVTLDAWQSFSLSNKVLFELLPQLRFLRVLSLTNYSITEVPQSIGSLKHMRYLNFSKTNITCLPEQVGDLYNLQSLLVSGCVSLSSLPESCWKLINLRHLDINDTPRLTKMPFGIGGLTSLQTLSKVIIAGANGFKISDLKGLSHLQGQLSIKGLQKVPNAIQAKEANLLQKKGLCDLETEWSDVLDDSRNEINDYEVLEVLRPHDKLKSLKILYYMGMEFPSWVGDASFGCLTQLTLRGCKSCTCLPTLGHLRSLQKLFIESMSGLKRLGSELLGHADSFCHGIAFPSLELLEFKDMQGWEIWSTNDGGKDGTGGSFPCLRKICIRNCPKLAVVAIESIPSLQVLYIRGCSVAVLRSMVGVSPSILRLTMVNVEGLTQLHGILEHLRAVEYLCVRECDELRYLWESTSDACKILVNLYDLKLVSCQQLVSLGEKEVDLGISLKSVNVVLYDCPGLVSYNCPNSIEKLVIHDCDSATSLTFPARHDLPSTMKILDIMSSHNLEVSWLFNSFLSSLEYLSIDEVPNLRSFPEGCLVHLTRLTICGCDNIELIPGDGFGFLPHLCLRYLHINNCKNLKSFPYVHLQSLTSLEELLINDCPSMDYSFPCGLWPPNLSKLVIGGLKKPMSEWGLQNFPTSLDKLYIQGQNSGVVSFATAEDVWNSSNTSSLPFLLPSSLTFIQFRDFMDLESLSEGLRHLICLEELVIRSCPKLGDLPETLLPLLSCLLVISCPKLGKKCRNRKGNYWPIISQIPSLRVHG >KVI03571 pep supercontig:CcrdV1:scaffold_300:107337:112037:-1 gene:Ccrd_018132 transcript:KVI03571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAGAEIFLSAFVTVLFEKLASADLIRFARSEGIHVQLKKWNNTLSQIQAVLVDASQKHLGDRAVQLWLNKLQHLAYDIDDFLDDLATEAMQRRLKEESYANTSTASKVLKVIPKVSNFSPHAIMYGRKMSSKLDXVTTKLRDLLEEKNSLGLNINVERSNRASRRSKETSLVEVSKIVGREGDKEALLXKLTLXNESCSENVSVVSIVGLGGVGKTTLARVLYNEKKVKDHFELMAWVCVSDEFDVLNISNTILEAVSGEDKRFEDLNKLQESLSEKLSKKRFLLVLDDVWNEDYXEWELLQRPFVVGAPGSKILVTTRKTTVASVMDSVQAYPMKLLSNDEALSLLAQHALGKQNFDSHPTLKFHGEGIMKKCGGLPLALITVGRVLRRKAYDEEWEELLNSEIWNLQYEDKILPALRLSYYDLPPYLKQMFAYCCLFPKDYVFEKDELVLLWMAEGFLQESNGSKSMENLGRECFEELQSRSFFQHLSNDNSSLYTMHDLINDLATSVAGEFFFALDDKMNVYDKNEALEKFHHFSFVCQKYGVYRKFKALQRARRLRTFLALSVRVDSFHLSNKVLFELVPQLQFLRVLSLAKYSITEVPQSIGSLKHMRYLNFSGTWITCLPEQVGDLHNLQSLMVSGCVRLSSLPDSIVKLKNLRHIYIDNTPKLNKMPLGIGGLTGLRTLSKVIVGEANGFKISDLKGLVHLQGTLFIQGLHKVINAIHAKDADLLQKKGLVELEMEWGDVFDDSRNESIEYDVLEGLRPYDKLTSLVISNYMGMKFPSWIGDPSFVYLTGLTLHGCRSCTCLPTLGHLRSLKWLFVISMSGLKRLSWEFLGTSNSCHGIAFPSLEVLKFMDMQEWEVWSSNDGDKDGTVGSYPCLREIYIIDCPKLNAVEIELIPSLGLLDIQRCSVAVIRSMVVVSPSILTLTMTSIKGLTYLRGDVLEHLGAVKNLLIRGCDELRYLWESKPEACENLKSLRDLRVESCKNLVSLVDKEANLGISSKFIIQVFISDCPKLVSYNCPNRVERLFISQCDLMTSLNFPTEQNLPSTLKHLGIRACHTLEMSWHLINYLSSLGSLAINGLSNVRSLPEGCLLHLTNLRIEGVVSFSLFVPPSLTHLGVGCFMELESVSKGLQHLTCLQQLSFKSCPKLKDLPAETILLLLSSLHVDNCPKLEKRCDSKKGKYWPIISQIPYLHVGRSQIILDVKTQRQRAEL >KVI03557 pep supercontig:CcrdV1:scaffold_300:12481:22466:1 gene:Ccrd_018125 transcript:KVI03557 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEKKKKIKPLEEAVGGGGLSLIVRREQQEPDRFQDDSSDSTTIVIFFFTQNRTEEIEPTSNSPFTNYGNHKPFKFNSISCSIKLSTSLNSIKPYVQSEWKSIVKGWICSGVSVYSLSRIVPRVGKFSAVMNVESLRQEGLIIGVLFLVRLVSSYLQQSLLWEASLRSVYKMRVCVFERVLQRDLGFLEGGSGKSVGDVSYRITAEASDVADTIYALLNTIVPSSLQLLAMATQMLVISRALSLVSALVIPLIALVSAYFGEELREISNKANFSIAAISAYLNEVLPSILFVKANNAEYSELMRFERLAHADLYGRLNKKRMKALVPQMVQATFYGVLLLIFIGSLMAASDFLDFSGVVSFITSLVLLIEPIQEVGKAYNELKQGEPAIERLFQLSSFKPKVIEEINEVHVESVAGEVKFCEVSFRYGDSLPFILNKLDLHVKAGETVALVGPSGGGKTTLVKLLLRLYDPLCGHILIDNRDIRSISLESLRTHVGLVTQDTTLFSGTIAENIGYRDLMTKIDMERVMLAAQTANADEFVGTLSEGYATNIGPRGSLLSGGQRQRLAIARVLYQNPSILVLDEATSALDTRSEMLVIVIAHRLETVLMAERVFLLNDGKLQEISRSSLLVEQHDSLASSGLVI >KVI03560 pep supercontig:CcrdV1:scaffold_300:57314:65364:-1 gene:Ccrd_018129 transcript:KVI03560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin active site-containing protein MAGVLNFTSLPPVSRPLWRQHKAKIPSSSRVSVIRSSTEVSETSSTPSISIKEEPQLGFSVITPPPNFKAPEPKRFAVRPDKVIDVVTASLNLLFRLGTGVFVSGYSVSFVPKNEIPSSQYGLEVAGFVAKESSKLGPRPEKPIEIYEFEGCPFCRKVREIVAVLDLDVLYYPCPRNGPNFRPKVGQMGGKQMFPYMVDPNTGVSMYESDDIIKYLAQKYGDGTVPLSLSLGLLTTLTAGFAMIGRMGKGSIYTKSKMPAQPLEVWSYEASPFSKLVREVLVELELPHILHSCARGSPKRQELFARVGNFQAPYLEDPNTGVKMFESAEIIDYLRATYVL >KVI03563 pep supercontig:CcrdV1:scaffold_300:196494:199208:-1 gene:Ccrd_018142 transcript:KVI03563 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MPSSIFFFVHLALFLGNSVKGSDVPYVKDSHDFERMELDREKEELFPRIHRTLIEYFHYKLTEFDSIDPPTTALPSAPITNPVTAPAVTTPAVTTPPVNSAPGIVTVPGANPVTNPINTPVTNPVTTPSTNPTPSPPSGGSIMPPPSTSGGSIMPPPSTSGGGGGGGRWCIAKNGASQAAVQSALDYACGIGGADCSTIQQGASCYDPPTLENHASYAFNSYYQKNPVPTSCDFGGAAVITTNNPSTGSCVFPASSSSSSSTSTPTSPITQAPVNPTSLPTPNTSSSSSSSSSSSSGMQSPPPGFSLGNPDPTGTGLGSFGASPPLMNTASVSNNLPPFIAIVASIITSKLM >KVI03569 pep supercontig:CcrdV1:scaffold_300:90493:92899:1 gene:Ccrd_018130 transcript:KVI03569 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein Rad51, C-terminal KLTLLTEFHSYGIDPPLSVDYKIPTSGHCISRSGNADASISHLPLTLFQKGHLPMHIKGLDAALSGGIPFHALTELFCLKISLLTTLPSCYGGLDGRVIHIDVESKFSSRRLIIEIGLNSFPKIFCLEGRQEMAGRITVLRPGSLTEYTESLRKIKVLILQHQVKLLIMDSMAALVSGEYEQVPPRQHPLGWHISLIKSLAEFSRIPVANDRWQSLQCRHLLHSLLKVTSSGILLLNDDGVEKTGPQINAIDHQGLF >KVI03561 pep supercontig:CcrdV1:scaffold_300:179832:186661:1 gene:Ccrd_018140 transcript:KVI03561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ MATCISQLSMPLETRDSKGVLTVFRRRVPMENHMGRAGFLKSNDRKHDIASYAHQIRCSANSHSINQFNNKDSFLNLCPEVYLLRGQGNNAVISPQENGSGRIITDNLRDSAIASNYNEAKIKVIGVGGGGSNAVNRMIENAMKGVEFWIVNTDVQAMRMSPVFPQQRLQIGEELTRGLGAGGNPDVGMNAAKESTQSIEEALYGSDMVFVTAGMGGGTGTGAAPVIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIASLRENVDTLIVIPNDKLLTAISPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTGTGKTRARDAALNAIQSPLLDLGIERATGIVNTAAEVIYDLVDPTANLIFGAVIDPSISGQVSITLIATGFKRQEESDGLPLQADVAGINRRSPTFTEGSSVEIPEFLRKKGRSRYPRA >KVI03577 pep supercontig:CcrdV1:scaffold_300:163146:173578:-1 gene:Ccrd_018138 transcript:KVI03577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDNTEGRCPACRTPYNKEKIVGTASKCERLVTGMSVEKKQKSQKGKIKSSEGRKQLGSVRVIQRNLVYIVGLPLNLADEDLLQQKEYFGQYGKVLKVSISRTAAGAIQQFANSTCSVYITYSKEEEAVRSIQSAHGFVLEGRPLRACFGTTKYCHAWLRNAPCTNADCLYLHEFGPQEDSFTKDEIISAYTRWEMVMRTLTWLVIGVLEDIRERNRVQQITGATTDMQRRSGNLLPPPADDHSNNSTSPWAKPISKSTTNNPANGMKVSPPNSSSGRSQQADPCSTTLSFSSAVVSPLSHGDEIIKKHSEEIHTFQEVNPGSMKKVVGTDHRRTLSETVVTSQLPSSSTSSSQLYTTSPKEKNISGNVVPDFSSSSEISRHYSNPVLDKDLNLADEGRIHNLSSIDKNQRLQLCESEQFAESLVPNEAEIPAKLTMDDSIRKEQSDLVSNLQKKIAQVAISEAEEDLLSFNDQRLRDTEVVTQTGYIQNLPHFSSQHTHFSSNGSLDPPVDQNLEKAPQHAFNGYSSTLVGNSSVDISNGHSSFHGTEGGRRQMGMFEEATPAKGAHSSALDLGESSIISNILSMEFDPWDESLTSPQNLAKFLGGSDKQPESHRVLSSRKTQNSNQSRFAFARQDECTDQGSSYAPNLSDFGRGLEHKNFGPGFGGNNSSYYDDKRSNGNGFSSFSYEDSDNFATNYSPMSENKISGNHMFNTSPILRKAHQASAPVNIGGVSDFEFMDPAILAVGGRVPSGLTSPSFDMKSNFHPQPNSFENDVRLQLLMQRSFSQQSPRFTELGDGYPQHTDSYGIPSRIVEQPLSNNLSPYQQTDFHQPRSSLMSNGHWNGWNEVQGGNDIAIAELLRNERLGFNRYYSGHEDTKFQLPTSGGASYEMEDPVSKLEWICC >KVI03556 pep supercontig:CcrdV1:scaffold_300:7393:9303:-1 gene:Ccrd_018124 transcript:KVI03556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTRQSSIFHSTNSLLSHHLRIIVPSRTHTTCTSWNTQLRQLTKDGNYQECLNLYRQMLRFGASPNAFTFPVVLKSCAVLSLPISGTQLHGHVIITGCHSEPFVQTGLISLYGKCCFIEDARKLFDESPQSQTLTVCYNALVAGYTRNGQFLTGYQFFSRMRSLGVRIDAVTMLGLIPGLTDPGQLKFAESLHAFVAKYGLDLDFCVRNCLLTMYVRCGSNELARKFFDDMPVKELATWNAMISGYAQNGYATEALELYQKFESSGMDPDPITLVGVLSSCAHLGAQKIGVDIEKKIQNSSYKHNLFLNNALINMYARCGNLVKAHEIFDSLLEKNLVSWTAIIGGYGMHGQGDTAVQLFDEMIRCNIRPDGPVFVSVLSACSHAGLTDVGLGYFDAMKMNYGLSPGPEHYSCVVDLLGRAGRLDDAQKFIKTMPMKPDGAVWGALLGACKIHKNVELAELAFDHVIELEPTNIGYYVLLSNLYTEVRNTDGILRIRVMMRERRLRKDPGYSYVEHKGKTNLFVAGDHNHPQTEEIYAMLDRLDELVNDSHVNNRNGERTNDEVSGLVHSERLAIAFALLSTDIGQDIVVIKNLRVCGDCHVFIKSVSKVVDRRFVIRDPTRFHHFKDGVCSCKDYW >KVI03576 pep supercontig:CcrdV1:scaffold_300:175165:176069:-1 gene:Ccrd_018139 transcript:KVI03576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYWCLKKWKGTTKKREVRIKILFTSLSHIDGQNPLFPRILEHEEFLYKIETSAERPCSPCFHWRMQTMCSLKYTMVHIGSLAPWFTLDPLPKSTLLHLYKFASSVVESPQVQELLVVQGTLEGDSFIHEVAL >KVI03574 pep supercontig:CcrdV1:scaffold_300:143469:149722:-1 gene:Ccrd_018137 transcript:KVI03574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MSKLGSEAVREAITQITTEAKEKNRKFTETIELQIGLKNYDPQKDKRFSGTVKLPHIPRPKLKVCMLGDAQHAQKIGLEYMDVEGLKKLNKNKKLVKKLAKKHQAFLASESVIKQIPRLLGPGKFPTLVTHQESLESKVNEIKATVKFQLKKVLCMGVAVGNCSMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKTTMGKPVRVF >KVI03565 pep supercontig:CcrdV1:scaffold_300:298432:303546:1 gene:Ccrd_018145 transcript:KVI03565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCAGGDSSTKRSSKNKRIENNTHNDQSNTKTSDTKKVDPCNEVKVKKENVQDAIQPSVVEFGEKSKTSGEGGPINKARTFTYAQLVSATDNFKAAYFLGEGGFGKVFKGKLEDSDQIVAIKQLDPDGLQGIREFVVEVLTLSMADHPNLVKLIGYCAEGEQRLLVYEYMSLDHRAHRKRLDWNTRMKIAAGAARGLEYLHDKMNPPVIYRDLKGSNILLGEDYHAKLSDFGLAKVGPLGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDNTRPAAEQNLVAWVSYLHMILLLLFRLQKAARPLFKDRRKFAHMADPVLEGEYPVRGLYQALAIAAMCVQEQPNMRPLIADVVTALNYLASQKYDPLTNPSSRRGSRGQRSSDEKKLDV >KVI07186 pep supercontig:CcrdV1:scaffold_3001:20239:29003:1 gene:Ccrd_014455 transcript:KVI07186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MVLQLAVKRLKSINAKAEMEFAVEVEILGRVRHKNLLGLRGFYAGGDERLIVYDYMPNHSLLTHLHGQLAADCLLDWSRRMNIAIGSAEGLAYLHHEVTPHIIHRDIKASNVLLDSDFQAKVADFGFAKLIPDGVTHMTTKVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISAKKPLEKLPGGIKRDIIQWATPFVQKDTYEHIADPRLKGRFDLVQLKVVVKIALACTDSNPENRPSMIELDALKSTIL >KVI07185 pep supercontig:CcrdV1:scaffold_3001:19810:20176:1 gene:Ccrd_014454 transcript:KVI07185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MKKMLKNCFCCTFKEDQDPKISPQKNRDYPWNMYTLKELVHATNSFHNDNKIGEGGFGSVYWGRTSKGAEAIHYPYSFRYRNF >KVI07187 pep supercontig:CcrdV1:scaffold_3001:9919:12376:1 gene:Ccrd_014453 transcript:KVI07187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MRRVYGSRRSLMPIDEDIDSPLKCRERRRRRIEMRRRLASVTAVAGADNWEAQKFAALEKENEDKNAVPSSSSAPITSLTSNKETPASPAYGFMSVVGRARVMEDEISVRTNLCRPEINDHGPVHFFAVFDGHGGHHVSALCKEKMHVIMEEELMRVKATGGEVEELWRSAINRSFERMDEMAKSLCQCDGLENSRVCRFHPQLSLVGSTAVVSLLTPEYIIVANCGDSRAVLCHNGKAVPLSVDHKVCRGDRLLKHVVTWEPEYSFRKRNGGDTSLILASDGLWDVLSNEMSCEVVRKCQQEDHEAVSASASAAALLVRLAVGRRSSDNISVVVVDLRD >KVI07184 pep supercontig:CcrdV1:scaffold_3001:35057:35686:1 gene:Ccrd_014456 transcript:KVI07184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MESALDVGILGRDRHKNLLEIRGFHAWGDQRIIVYDYMHNHSLLTHLHGQLAANCLLDWPQRMNIAIGSAEGLADINANNVLLDSEYLAKVADFMMAKLVPDGVTHMSTRVKGSLGYLAPDYAMWGKVSRRSDVYSFGLLLLEILSAKKPLEKLPEGFNLHLVEWATPLVEHDAYDQLADS >KVH87555 pep supercontig:CcrdV1:scaffold_3005:45332:52607:-1 gene:Ccrd_025167 transcript:KVH87555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MDVHESDRSSNNPRPPSDLKESHIRSQSPTLTRIPSSNSTETSAVSSSTPHRSVSSEKRRHATLPSPWGLPTAAVHGVGAVARKVISNRLTESLWPSRRSSSSSHLQSDASSIPNAKRDNFSNESLSDHTSKTSLNVANEKTAKLPVSSKPTPEREKTHLKGKKSKPLGGSHVRPINQHRRPRKTNPNVIDQSENLKPSDGSDVSTINSNVIDQSENAKCPDGSDVNKIGSNVIDESENSKPPDGSDVNTKNSNVIDESENSKPPDGSDVNTTASNVIDESENLKPSDGSHVSTAGSNVIDQPENSKILDDPHVRSVNQNRWPSAIDSNVIGRSVNSKPPDSSHVRLVNQHRWSETIRSNVSDQSENSKAPDGSHGRLVNQHRWPGTIGSNVLNRNINPSNEVVKTCFTPDTGVNLKKMLPNYANKPIQESSSDAMNLLSSSLVDDGRLKADKSERISASVADDDRVNPSPTIQEVDPLLPSKETDLSLATREVNPSLITRGGSPFPATRGVTPSLATREVSLTPTIKGTSLTPPERGVSPTPNAKSVSPPPENNTPITPSSPRQSYNSNTVSNSMGIGPYPIKEISLAPTRGVSPTPLKEMSHSLSTRGVSPSPSGRGVNTTSTRPSSPHQSCDSNTVPIFTEIDPSPSTRGGSHRSRRSSGSRLFFSSSILSMFTKYADRQKEKNKEDARRLDLLRNIQVQWQFVNASAEAVLDLQRVTAENSLFDMWRTILELWDSVAAMKMDINQLILQLKLYAILFRQMAYIDEWGLIQREYESALSVTTTDLYARTLRLPTTEGVQVDVKALKLAVSSVVQLMQTATSSIESTLSKLDGTHILASELAKIVSHERFLLDECEIFLASAAPLQIEECSLRSQLIQSKRE >KVH87553 pep supercontig:CcrdV1:scaffold_3005:65069:69991:1 gene:Ccrd_025168 transcript:KVH87553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MQADQTVISLRPGGGNRGRTTGPRFDSSAFGSSDLPILRPHGGAGAPSLAAFKTGDSRFEVRERVRYTRDQLLQLRKVVDVPEEILKVKKEVDAEFFGDDQNWTKAEANLPSLPQARYSELDNRDWRGRSGPTSVEERSWDAGRDNRDSGRFDNRQQDTNHYNRHDQLNSQFGRAQTPNQGSGPTPALIKAEVPWSARRGTLSENDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITTADILKGVISLIFDKAVLEPTFCPMYAQLCSDLNGKLPPFPSDEPGGKEITFKRVLLNNCQEAFEGADNLRQEIRQMTAPEQEAERRDKERIVKLRTLGNIRLIGELLKQKMVPERIVHHIVQVLVQTLFVFRSSLELACGQNIST >KVH87554 pep supercontig:CcrdV1:scaffold_3005:33867:35641:-1 gene:Ccrd_025166 transcript:KVH87554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHFLPNGFKKFVVHNAKEVEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >KVH87556 pep supercontig:CcrdV1:scaffold_3005:22381:25332:-1 gene:Ccrd_025165 transcript:KVH87556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MEATAKPPAPSLSLPWKTRIALSVLSTFTDASCRKNGTVNRRLLNLFDFRSPPTSKSTNGIMSHDVVVDKTRNLWFRVYVPTQHDVEDLPVIVFFHGGGFVFLSPDVMAYDAVCRRFARKVPAVVVSVNYRLAPEHRYPSQHEDCFDVLKFLDDEENRSKSLPENANLRRCFLVGDSAGGNLAHHVTQRACEFRFRRLQVIGVVAIQPFFGGEERIDSETRLDGTPIVSVKRTDWMWNAFLPKGEGFNRDHPIINVSGPKAVDLSKIDFPATMVVIAGFDALHDWQKRYYEWMEKSGKEVYLVEYPNMCHAFYIFPELPESTQLIGQVREFIHKVSSNVGSLLTN >KVH87552 pep supercontig:CcrdV1:scaffold_3008:28867:31893:1 gene:Ccrd_025169 transcript:KVH87552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MHLYTRISHPLPLSVIIFICCSINFVSGIPRRNNAETRCLDRDQRALLQFKQALVDDYSLLRSWQDGRDCCHWRGVGCNNGTGEVVRLDLSGIWSEELDQILGLSGEIDSSLLLLRSLRYLDLSGNSFTRIPDFIGSLTKLQHLKLAQIEFGSPKVPDQLGNLSDLQTLDLASSSVFIKNTNWLTRFSSLKYLNLSYIDLSESLGLLNNAIRLPSLVELHLVNCLLPNTSNSFFRDPITNLSDGFVILDLNSNYLPASTIYPWLFNFSGSLTDINLSDNALLGTIPEALVTFKNLRNLDLTSNGLEGGIPTSFGNLGNLSSLLLAGNNLKQDLPSFFDNLSGPAYRSLQVLDLSENQLSGSLPDFTTFTALKELYLGDNQLNGSFPDKFEQSSKLSILDLADNRIKGVLPDLLAFASLKELYLERNLLNGTLAERLAPLSKLESLGASSNFFQGTISEMHISDLSRLKYLDLSYNSLDIKIGSNWSPNFQLDVISLSSCKLGNSFPEWLQTQKNFSVLDISNAGINDAVPSWFWASLIPGLRYLNLSSNQIHGMVPDLRFSGGVKPLIDMSSNNFSGNLPFFPVDTLALMLNDNMFSGPITSLCNLTILNRLDLSNNKLSGELPNCWNNFDRLVILNLENNGFTGTVPDSIGSLQVVDLLSMRGNSLTGKLPSSLRNCRSLRLLDLGENELSGKIPEWIGESLSMLLVLSLPSNRFNGTIPTSLCKIKEIQILDLSVNDISGSIPKCLNNISGMVMRENRSPDASIKYNAIGLERTRLTFRAIYVFKALLQWKGRQSEYQKTLGLVTSLDLSSNRLTGEIPGEITSLLALIALNLSRNTLTGPVPEDIGRLRRLDFLDLSRNDLIGGIPTSLSQLSNLGVLDLSFNNLSGRIPKSTQLQSFDVSSYTGNPTLCGVPLPDGCPGDHPSRGNEDVDEQESDDDKLTRNGFYVSIVVGFAFGFWGVCGPLVLKDSWRHAFCKFVNAVNDRVLVTLETSFARLRNQTMSNVH >KVH87551 pep supercontig:CcrdV1:scaffold_3009:64534:65409:1 gene:Ccrd_025172 transcript:KVH87551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class I, active site-containing protein LKTAIIEGDVVGGTCVNRGCVPSKALIAVSGCMQELQNEHHMNDHALKLETVPEWIVIVGSGYIGLEFNDVYTTLGS >KVH87550 pep supercontig:CcrdV1:scaffold_3009:20110:20461:-1 gene:Ccrd_025170 transcript:KVH87550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MEQEVAKVTIARAIKLPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLTPECNFWWTKRLSRKHSCYLMFTPDGCLESG >KVH87549 pep supercontig:CcrdV1:scaffold_3009:40643:47067:1 gene:Ccrd_025171 transcript:KVH87549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MSENGQREDPLIKPNGVDSDDDDTVCLGESFFINDNYELTTFTFGSHVLQLLCLQSASTDFDLTGQLVWPGARLLNEYLSNNAEILQGCSAIELGSGVGITGILCSKFCRAVMLTDHNDEVLKAWLQYVIFLHKHLNSVPLLFNTVQQLLCLRGKKQCRFILAYVSRSKMMDVMVTNEAIQHGLKIHEVDGTRCVIANLEGVIYEIIL >KVI05323 pep supercontig:CcrdV1:scaffold_301:248747:252490:-1 gene:Ccrd_016343 transcript:KVI05323 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase MSPAPVIGVAVFLLKGNTVLLGRRRTSIGHNTYALPGGHLEFGESFEECAAREVKEETGLDIKDIQLLTVTNNLFSEAAKRVHVVAVIMRAFLSDPDQLPQNMEPDKCHGWDWYDWTNLPQPLFQPLETMVQGGFSPFPTDLK >KVI05334 pep supercontig:CcrdV1:scaffold_301:193467:194690:1 gene:Ccrd_016337 transcript:KVI05334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MDYMFPSRNTETLLVSSDKLTNHENVNSSHEEEPPLFLQFPSPFLDMDTTTILPNLHQQHHLSTHGCRTTTVSSLNKPMKLSNATSNPLALASKGKCVRKRRSVGKKDRHSKIHTAQGLRDRRMRLSVHTARKFFDLNDLLGFDKASKTIEWLFSKSKKAIEEVTESFQSDDAAQAAVCGETIESESPLSACENESVNDIITATDKGENLELQSDQIRDTNSRKWMEIYPSVRESKGKAREESRERMVIKHLEKPKQLFEADPEVHLNQPQLGFSENPDNHNIEESPCFPLEYSNTYHFLKQLHLDNTVSKTNTYLGNITSISSTNCSMFDHYNKNIAEPPAGWLNSRNTFLGFLGGWDSGSSRMESGNPLTVPNIAPLKGSTNGENPNSSIISSDFVNFHSQNQGQ >KVI05320 pep supercontig:CcrdV1:scaffold_301:223792:229103:-1 gene:Ccrd_016340 transcript:KVI05320 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-layer homology domain-containing protein MCSSSSLSTFLPIHLPRRNRLFLKISHPFPILTDEGVLRPRNPRGRRLISLSASITRDNLHLSWVSSDRRDAEDYNGWAILEETVSAKKHKEGLPTFLVFGIGTSIVALLAVFARFTLSRKGRVLRFASPFHVSVDDKSLSSEDTSKVAEAPNEPLMENVYEEVDEAAEVIDHVKWEEGKPGRVVVPVSADATQQEALLWLKNLKIIDDEVNADELCTRREYARWLVLVNSRLERYQLLHAFGSTNPKNRIIPSIALAGSITNAFDDVRGEDPDFEYIQALAEAGVVLSKLSGKNLTSDLDSSKSSGGVNFFPERLISREDLIGWRAKLEYEVMPGVNEEILRNKIGFLDARDLKSDVLPGLFMDMLANDKSIMRKVFGQGKRFQPGKPCTKAQAAVALTSGRITESIHHEFLKLEAEKSSRQFAMKEIKTELLERGEIQKYWEKKLEDENSRRLEVEVAYLEALKDLEHHKIDQDNAVAAYLKEKAALDCQKQLLSRLKEEVDEMNRRLTNERDNYVDKQHKIHNTVGELEVKLERILDSKSILEAELEALRILRSWIEEEAKKGEARTKVLEEVGRRWKWGSRS >KVI05322 pep supercontig:CcrdV1:scaffold_301:238542:244201:1 gene:Ccrd_016342 transcript:KVI05322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAITNGQILVSEETNKSNTPLMMAFTRKSAANFVIVMIVMLSLIGKCVNGGNGEYEGVGGGAPMVKTEKEALYSAIQGFVGEWWNGSDLYPDPCGWTPIQGISCDIFDGFWYVTDLNIGSVDDNFLACAPYVEFRPHLFQLNHLKSLAFFHCFVAPIPIPIPSGNWPALSGSLESLEFRSNLGLEGKIPADFGQLRKLQSLVLTENGLSGGLPENIGNLTRLKRLVLSGNGFTGEIRDSYGYLSELLILDLSRNSLSGSLPLTFGGEISNLKNLTLLDLSNNKISGGLIKSIQEMYSLEELVLSRNPIGDDLMNIEWQNLQGLMVLDLSSTQLTGGIPESLSQLKKLRFLGLNNNNLSGNLSPKLAELPNLSSLYVYGNHLTGELKFSQRFYGKMGRRFGAWNNSNLCFSVGLKPTSFEPFGVKACQEVMTSEVSFRDSGSKIVNGNKNRVPHFKTSLSSTRCTFNSRWCMFWMIILFNFFM >KVI05333 pep supercontig:CcrdV1:scaffold_301:106083:111997:1 gene:Ccrd_016334 transcript:KVI05333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATLAAAGGVGLGSVSVKLHSLNSLPPCPFLGKKFKFRQESTSHGSKFTILRPNSRSTIVAGVELVDFVHNVFVGVGVGLPCTVMECGDMIYRSTLPRSNALTLTIPGAVLALGTLSYLWATPGVAPGFWDMFVLAFVERLFRPTYKKDDFVLGKKLGEGAFGVVYRVSSTKKPNSKVESMILGEVQDLPKGLERENRIIQTVLRQLLFALDGLHSTGIVHRDIKPQNVIFSEGEQDISFYILTFHIRYLTHNSMHLLVSKSGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVAAALSPVLWQLNLPDRFDIYSTGLILLQMAFPSFRSDSGLIQFNRQLKRCDYDLIAWRKSVEPRANSDLRRGFELLDLDGGIGWELLTSMVRYKARQRVSAKAALAHPYFDKEGLLALSIMQNLRLQFFRATQQDYSEAARWVVELMARSGTKKDGGFTEAELQQLREIRPSKKGNPQRNALASVLRFQKKILKTLNESMNELSQQGKSMWWSRWIPREE >KVI05327 pep supercontig:CcrdV1:scaffold_301:298768:300346:-1 gene:Ccrd_016347 transcript:KVI05327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMAFTRTSVEDVVVVVVMIVILSLIGICVNGENGEYEGVEGGAPMVKTEQEALYSAIQGFVGQWWNGSYLYPDPCGWTPIQGVSCDIFDGFWYVTDLNIGSVDDNSLACAPYVEFRPHLFQLNHLKSLAFFHCFVAPIPIPSGNWAAFSGSLESLEFRSNLGLTGNIPPDFGQLEKLQSLVVIENGLSGGLPENIGNLTRLKRLVLSGNGFTGEIGDSYGYLSELLILDLSRNSLSGSLPLTFGGLTSLLKLDLSENQLEGRIPSEISNLKNLTLLDLSNNKISGGLTKSIQEMCSLQELVLSRNPIGDDLMNIEWQNLQGLMVLDLSSTRLMGGIPESLSQLKKLRFLGLHDNHLSSNLSSKLAELPDLTSLYVYGNNLTGELKFTRGFYGKMGRRFGAWNNSNLCIPVDFIPTKFSPYGIKACQEVTMSEVSFRDSGSKMVNENHNLDSHFTTSLSRSKYKSDMTWCVFMIIILLINLFM >KVI05328 pep supercontig:CcrdV1:scaffold_301:308854:313735:-1 gene:Ccrd_016348 transcript:KVI05328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MHKSFTKPTRVYLSKMQSHILPISISNPFLSNRASSSSIIPISAAAQAGAVFINSDNYDGDDLAHSSIEDGKKVETKPLLPRVSSHDSISSTRLPSTSTGSVSFQKRRGTRTASESNLSDLRGGRRRFLCHNAGRTDGDTFSITRFGSKVLGYIGSGYRVITGFLALQCYGILIMPGVLQVAYYYYFSSQVRRDIVFGDQPRNKLDIYLPKNDGKNDDPKPVIAFITGGAWVIGYKAWGSLLGLHLSGTDVIVACIDYRNFPKATISDMVEDASRGISFVCNNISEYGGDPNRIYLMGQSAGAHIAACALVDQAIKESDVTQSTTWSVSQIKAYFGLSGGYNLFNLADHLNTRGPYKSIFLSIMGGEESLSRYSPEIVVQDPKNKNAVSLLPPVILFHGTADYSIPADSSKTFVDTLQRLGVKADLMLYKGKTHTDVFVQDPMRGDDKLFDDLVAIVHAGDEEARRKQVNAPPRRRLVPEFMLKLAAKISPF >KVI05324 pep supercontig:CcrdV1:scaffold_301:262869:277724:1 gene:Ccrd_016344 transcript:KVI05324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase MTDITDDIAEEISFQSYDDDLRLLFSLLHDVLQREVGPNIMEKLEHTRTLSQSACLIRAAGIEGTAEVLEKQLASELSKMTLEEALKLARVFSHFLSLMGIAETHHRSRKSKNVAQASKSCDDTFNQLIQGGISPDVLYDTVCNQAVEIVLTAHPTQINRRTLQYKHIRISHLLEFNDRKDLTQEDQDTLIEDLVREITSIWQTDELRRHKPTPLDEARAGLNYVEQSLWKAIPHYLRRLSNALKKHTGRPLPLTCTPIRFGSWMGGDRDGNPNVTSKVTRDVSLLSRWMAIDLYTREVDNLRFELSMNQCSNGLSSLANEIIDKENSEEDRHMSWSHMPKHHNHHVHGLPTQLPAQADVPSCTDCNEVESHYPRLDVPGSEYKPLKVHINENQSNATIGQQPSTPKSGSFSPNQLLGQRKVYPETQGARASFRKLLEPSMPQKSAISPYRIVLGDVKEKLRNTRRRLEQLLEDLPCDQEPGDYYDTPDQLLQPLMLCYESLQSCGSGILADGRLADLIRRVSVFGMVLMKLDLRQESGRHSETLDAITKYLDMGTYSEWDEKKRIDFLTRELKGKRPLVPPTIQVSSEVKEVLDTFRVSAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVAGEQGRPCVGGLLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHVIKNHSGHQEVMVGYSDSGKDAGRFAAAWELYKAQEDVVAACKEYGIKVTLFHGRGGSIGRGGGPTHLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPGMAVRQLEIYTTAVLLATLCPPKPPRDENWRNLMEEISNLSCKSYRSTVYENPEFLTYFQEATPQAELGHLNIGSRPTRRKSTVGIGDLRAIPWIFAWTQTRFVLPAWLGVGAGLKGVCEKGGAEELKAAYEEWPFFQSTLDLIEMVLGKADIPIVKHYDEVLVNECRRGLGDELRKELLSTEKYVLVVTGHEKLSENNKSLRKLIESRLPYLNPLNMLQVEILKRLRSDTENHKLRDALLITINGIATGMRNTG >KVI05325 pep supercontig:CcrdV1:scaffold_301:279267:284277:-1 gene:Ccrd_016345 transcript:KVI05325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAMAKRRFAILPKLTGTLSSPSSISTPMFLASNSNNGGVESDDAEEHLRKIHILVQQGQTQTAKNLIKSLITSKSIFTTPSDIYARYKENRKVFSSLILSVCAEANLPNEAVDLYMLIRKDGVFPSLVSFNLLLECLVSSGQYRRVLDLFDHVLGSGIRVDKFTFGKAVQSAVKMGDMRRGMGLMDCMRINGKMNVGKFVYNVLIGGLCKEKRVMDARKLFDEMLERGVMPDKITYNTMIDGYCKVRNLDEAFRIREKMKASNIDANLVTYNTLLNGLCKGKRMDEAKRVWKDMQVHGFVPDGFSYSFMFDGFLRSGDLDSCMALYEQIYKDGIHTNGYTCGILLNGLCKGGKTDKAEEILVKLMENGLVPTEVIFNTIVDGYCREGNTEMALLTMEKMEFYGLNPSCSTFNSVINHYCQSGNFVKAEEWMKKVAEMGVSPDVATYNILLDGFGRSFQFDKCFQVLEQMESNGLKSNAISYGSLINNLCKDRRVAEAEVVFRDMIGRGVLPNTNVYNMLINGYCSGGKIQDAFKIFDEMLRNDVVPSLVTYNTLINGLCKMGRLEEAEELASKIKNGGLEPDVITYNCLISGYSTMGDAQMCLELYEKMKASRIEPTINTFHPLIVGCKNLGLMLVEKLIKEMSHMNLTLDRVLYNEIIHCYAEHGFVEKTFALYHEMVDNGILPDRMTYNCLIIGYLKEGNLQKSKDLVYKMKAKGLVPKADTYEILIKGLCNINKFSEAYIWYREMIANGFLPCVGAANHLLTGLTEEGRFQEVQLMRSEMSMKILDCSRAALDGLAAEDLGAEGFVASLTGFSILTDAIGSFKLG >KVI05336 pep supercontig:CcrdV1:scaffold_301:214800:219622:-1 gene:Ccrd_016339 transcript:KVI05336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT3G25690) UniProtKB/TrEMBL;Acc:A0A1I9LT48] MIVRLGFLVAASIAAYAVKQVNVKSPPSSAPLIKSGGNDESTSEQHQREEEEKQHNKNSLGETEHVEEEEEKEEVKLISGLINVQPSNSDFEDDILPEFENLLSGEIDIPYPGDKYDTGTGAKAEKDRAYETELAINANELERLRHLVKELEEREVKLEGELLEYYGLKEQESDIVELQRQLKIKTVEIDMLNITINSLQTERKKLQEEVLHGASYKKELEAARNKIKELQRQFQLEANQTKGQLLLLKQQVGILQTKEQDAFKKDTDIDKKLKTLKELEVDVVELKRKNRELQHEKRQLVVKLDAAESRIATLSCTTEHTNDDLLKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYETPAGRTSARDLNKNLSPRSQEKAKQLMLEYAGSERGQGGDTDLESNFSQPSSPGSEDFDTASIDSSMSRYSSFSKKPSLIQKLKKWGKSKEDSSALSSPARSFSGGSPRVSISQKPRGPLEALMLRNAGESVAITTFGEGDQDSINSPETPNLPRINTGNAPTDLNNVASSFHLMSKSVEGVLDEKYPAYKDRHKLALEREKKIKEKADQARAVRFGDTSSFKPPNNSRPVSLPPKLAQVKERVVIPANTSGDQPTDGIVTSSPSVSKMQFAHIEKRPPRTARPPPRPTGGSPATTASPSGGISGGLPPPPPPPPGAPPPPPPPGGPPRPPPPPGSLAKGAGGGDKVHRAPELVEFYQSLMKREAKKDTSIVSASAASTADARSNMIGEIENRSTFLLAVKADVETQGDFVESLASEVRAASFTDIADLLTFVNWLDEELSFLVDERAVLKHFDWPEGKADAFREAAFEYQDLMKLEKQVSNFVDDPSLSCEAALKKMYKLLENVYALLRTRDMAMSRYKEFGIPVNWLQDSGVVGKVWSIWKIIYKRNRTQRLKGKHFLQIKLSSVQLARKYMKRVASELDGLEGPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRMNKQSTDEGEA >KVI05332 pep supercontig:CcrdV1:scaffold_301:135727:137358:-1 gene:Ccrd_016335 transcript:KVI05332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHRPSSWTTLSPPLHFNHLLPCPTGDHPFLLPPPPSSNSPPSSLSSHGGFFKRVVDGLQFAYEGSTSTSTSTTPPSTHHHLGFQVVQHGSDPFGIQPETGNKIMTAQEMVDAKAVAASKSHSEAERRRRERINNHLTKLRSLLPNTTKTDKASLLAEVIQHVKELKHQTSIIAEQVPVPTEINELTIDNTSDEEGRFVIRASLCCEDRSDLLPDLIKTLKMLRLRTLKAEITTLGRRVKNVLFVTGEEDHYISNGDTQMVNQWISVIEEALKAVVEKTDDGDDSSFGSVKRQRMNK >KVI05329 pep supercontig:CcrdV1:scaffold_301:7591:9640:-1 gene:Ccrd_016331 transcript:KVI05329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVMNNDPSDVRDKMQKYQEYIGALEEERRKIRVFERELPLCLELVSKAIERCRQEMSRDCFNVQSDQYSEQTSNEGPVLEEFIPIKRNLFTNEDEGEGQQQQEQQLSHKSKNICSQDKSSPFSLKPDWLTSAQLSIQSPDPPIEEDLLSKKLFATEVNRNGYGDYHPFKKKKSSCAALTTGVEKSLTCAAMVPTAAVSSSVDTDGGGGDGGGGGSKGEDKGKSNIKERRCWSTELHRRFLHALQQLGGAHVATPKQIRELMKVDGLTNDEIKSHLQKYRLHTRRLNPTIHNNDPHTAQLVVVGRIWMPPLEYTRKAASFPPSGNTNNAKVVYAPIASLPPSITASRYKTRQCKQQSNYEEKGSQSHSNSPSTTTISPAF >KVI05330 pep supercontig:CcrdV1:scaffold_301:101580:102584:1 gene:Ccrd_016333 transcript:KVI05330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MPPTFHLLATTVLLLLLLHHRILATDLPEFQEAPAFRNGEECNTTRIHIAMTLDATYLRGSIAGVFSVVQHATCPENIIFHFLTTHRLSSSLRHTITTTFPYLKFHLHHFHTDLVKNKISISIRRALDQPLNYARIYLSHLLPDTVHRVIYFDSDLIVVDDVVNLWEINLNSHVLGAPEYCHANFTHYFTPKFWSNPHFSGVFNHRDTKPCYFNTGVMVIDLMKWRRHKITEKLEKWMEIQKKYRIYELGSLPPFLLVFAGDVEGVEHRWNQHGLGGDNVKGLCRDLHPGPVSLLHWSGKGKPWLRLNSKRPCPLDQLWAPYDLFKHAPLISDS >KVI05335 pep supercontig:CcrdV1:scaffold_301:162472:163803:-1 gene:Ccrd_016336 transcript:KVI05335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIILLAFTHICHSATINSDNHHFLKLPLLHINPLQSPSQAFSSDAARLSSLLSNLHRKPNLPVTSGAYAGAGQYFVTLHLGTPPQPLLLIADTGSDLIWVTCSACRDDCSVTRPAHSAFLARHSSSYWLHHCFDPACRLVPHPRPPVACNHTRLHTPCRYEYSYSDGSITNGFFAKETTSFNSSTGKLLQHDSLAFGCGFKISGPSVSGPSFNGAQGVMGLGRGPISFVTQLGRRFGNKFSYCLKDYTIAPPPTSYLLIGSTTGNSRFRYTPLLTNPLSFTFYYIGIQNVYVDNLKLRVSPSVWEMDKLGNGGTIVDSGTTLTFLPDTAYRHVLAAIRRRVKLPTPAGSPPNFDLCFNVSGIRRPSLPKLSFKLVGNSVFSPPVGNYFIDTSENVKCLALQPVTSPGGFSVIGNLMQQGFLFEFDIGRSQLGFSRSGCSRA >KVI05321 pep supercontig:CcrdV1:scaffold_301:230141:238252:-1 gene:Ccrd_016341 transcript:KVI05321 gene_biotype:protein_coding transcript_biotype:protein_coding description:DFDF domain-containing protein MAAESSRSSSSTADSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGPQLMPSDKVYEYILFRGSDIKDLQVKSSLPVQPIQQINSDPAIIQSHYPRPPPTSSSLPAAASNSLPDPSIHNAQLGHPGSTLQGVLPLYQPGGNLGSWGPMPPPPNANSSGLAMPMYWPGFYAPPSGIPQLHQQSLLRPPHGLSVPPLQQPMHYPTYNASLPGGAPSLPASNMPEYPSHLSMTSMNSANIASTASLGSTLPSSLPPGPPSVSATDTLPSLMQNKPSNSVVPTAPLSGSLQSLSLLNTTDVNVTVPPISNKPNVPSEAHLRYTGMPYPIASVTGSNAVPAESPMPSLVTPGQLLQSGQTAVVSSQFTQAVHKDVEVVQVPTPSSEPSAPLPVPVPTEAQPPILPLPPQSRILPKTNGAPYQNRQGHNYRGRERGRGSGGSRPVMKFTEEFDFNAMNEKFNKDEIWGTLGKGNRSSPKENDGIASDEDEYEDENDADLPKLDAKAVYSKDDFFDTLSCNSLGNQSNNGRTRFSEQMKLDTETFGEFSRYRGGRGYRGGRSRGGGGYYGRGGYNSSYGGRGRGGRTPNPTRDY >KVI05337 pep supercontig:CcrdV1:scaffold_301:203266:209419:1 gene:Ccrd_016338 transcript:KVI05337 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MEHMCSSKREECLLIDPNKEKGHGILNSCHEEEPPLFRGFPSPFFDEISTTPMLIGSHTNQRHLICSPNKPLKNITHEPSSESNRLKKTSNVGKKDRHSKIHTAQGLRDRRMRLSLHIARKFFDLQDLLGFDKASKTIEWLFCKSNKAIKEVAENFNPQHTNQSLSVEMERMHSLMSECEAGFSSEIKAATDKLENTKEEIQNRESRRRVQNHYLARETRDQARARARDRTRERLMIKELEKSKQLFGRNPKDEIYKLGIGYAASLNDHNVEKLGYRSSPSQPIQQEASSPILEHSGTHHLLREHLQLANINVDSFENYSGSIVGASKYCSTLINHNTPAGWQNSRDGFLGIPGEWDADNFITESCNYGMVPLTVLWFVVTLKFVTSSHKH >KVI05326 pep supercontig:CcrdV1:scaffold_301:286574:293179:1 gene:Ccrd_016346 transcript:KVI05326 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSP, proline-rich MMLLTCMDIAISICGIAIPITFRERGATVDALHVMGADELDGKLPMEIGELGLRGTNHKDVMDGDRVHTTNCKAMPKETKNSQTSGSCFRKTWQVDDDQTSVQIIFKLLSRKSKKKLEELLHQWSEWHAQQCSPSEAIYYFLSTSTLRKSLQFMGIDLLNLFYNCQGFDEELESGVETYFPALNVSLDKSYIISFYMDDQVKKQKTEDSLFNKDSVPIYDRDFAFALTSGDGMANNESVLDILNASRCFNCEAYDHSLKECPKPFNKVVVNNARKLHQLKSKRPAGPYVLTRYYQDTPGGKFDGLSPGCLDSETRKLLGLGVREFDPPPWLNRMREMGYPPGYLEKVASTIDINRDQEHHIIVEDLEEDQPSGIVIYDNGDSKEENEECLNVNPTEPKRKMSVDFPGINAPIPVKADRSRWATPNLQPTGFNSCSNNIPLNYSYNPCQLPDYRQESSPFMSEYACNNRSYAPGFPLLSKHVGLAFVNEFDCNFGPVAYARDGCNTKSSVRGRLGKALFWTD >KVI05331 pep supercontig:CcrdV1:scaffold_301:24763:27419:-1 gene:Ccrd_016332 transcript:KVI05331 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDGSCTDDQSTTTETTSTVAAPVTTPPLIGSGGSVVLDLEGGLEAESRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEHEAAKAYDTAVQRFRGRDAVTNFKPLPADTDEAGLEASFLNSHSKAEIVDMLRKHTYNDELEQSKRSCSLHKTPFTDGFRSGHQLVNAREQLFEKTVTPSDVGKLNRLVIPKQHAEKHFPLQNGSTSKGVLLHFEDIGMKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTGPDKQLYIDWKVKNGSGGRQEKSEIGTSFHGYRVGLLFSCDELIWGYD >KVH99521 pep supercontig:CcrdV1:scaffold_3011:34498:36413:-1 gene:Ccrd_022244 transcript:KVH99521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGKIEVKRIENNTSRQVTFSKRRTGLLKKTHELSVLCDAQIGLIVFSSKGKLFEYTTHPLRLSGTPFWLSDYFLDTRKENLDGFPEFLLDLSKIFMAQIIDRYLKATGSRIPEHNNREQMHSELTRMKKETLNLQLSLQRYKGDDLSSAQLEELNQLEQQLEFSVQKVRARKLTNAAQIDHFNHKSLKWFTDDYISIFRSLLSLILKQLMGKQQEEINQQQAAAMTELKLVGQEHQLFEQFPFYGSEDQPNSVLQLAVNLPELQLHSYQYHLQPTQPNLQESTSAHHNIYGTCLLNLSCSSFLHPIFGTVKCRLISRFMIFRN >KVI00752 pep supercontig:CcrdV1:scaffold_3012:52665:53030:-1 gene:Ccrd_020995 transcript:KVI00752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSISPSFHTRTSKTTIQDLINPMNHQTRPTFVACGTRVPFDPQTDKEKEGNEKKPSLMEVFVGVEKLGKGIQDFLSPKRKGDWKDLVLMSLSFAVYVYISQKIVCAYCEWMSMFKHHW >KVI00753 pep supercontig:CcrdV1:scaffold_3012:54158:61153:-1 gene:Ccrd_020996 transcript:KVI00753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine repressor C-terminal-like domain-containing protein MATEQRQPLQSSTTDNIPPTTKVASKRGRTIEETFQKKTQLEHILLRPDTYIGSIEKHEQTLWVWEGDQMVKRPISYVPGLYKIFDEILVNAADNKQRDPKMDSVKVTIDVDKNLISVYNNGDGIPVEIHKEEQIYVPELIFGHLLTSSNYDDSIKKTTGGRNGYGAKLANIFSTEFTIETADGKRNRRYKQVFSNNMGNKSEPTITKCKSGENWTMVSFKPDLSKFGMECLEDDVVALMKKRVVDLAGCLGKSVKVELDGKRVPPKTFEDYVKLYLPSSADTLRLYEKVNERWEICVSMADGHFEQVSFVNNIATIKGGTHVDYITNQIANHLVAVVKKQNKHATLKAHNVKNYLWVFVNALIDNPAFDSQTKETLTIKQSSFGSTCELTPDFLKKVAKSDVVKRVVSWVQFKQQNDLKKTDGNKRGKLNIPKLEEANYAATSNSDNCTLILTEGDSAKALAMSGLSVVGQDFYGVFPLRGKLLNVREASPKQLQENAEIQNIKKILGLQQAKVYENVKSLRYGHLMIMADQDHDGSHIKGLLINFLHTFWPSLLKVPNFVLEFITPIVKATNKRTKNVLSFYTMPEYEGWKENLGHRAREYKIKYYKGLGTSNGKEGAEYFADLDKHKKDFVWADDEDGEAIELAFSKKKIEARKTWLRALQAGTYFDSKEKHIPYRDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCAFKKPIFQEVKVAQFSGYVSEHSAYHHGEQSLVSTIIGMAQNYVGSNNINLLYPSGQFGTRQMGGKDHASGRYIYTKLSPITRHLFQKSDELLLDYLNEDGQSIEPTWFMPIIPMALVNGSEGIGTGWSTFVPNYNPRDIIANLKRLLNNEPLVPMDPWYKWFKGTILKMTSKDTGYTTTGIVEEKEDEPNKLIISELPIRKWTQEYKEFLEAASLSGKDKEPFIEEYMAHNDDTTVNFEVIMSAEQMNNARQEGFLKKFKLTSNLNTSNMHLFDANGVIKKYDTPEQTLLHELRKALLQLENKVRFILEVMAGTIILNNRVIEDLYAELKDKGYTPLPKEAVLEASIAGAVDHVEGSEETDEATEEETSEVVIEEKYQATASKTIPGTEYDYLLSMELRTLTLKKKNQLCAERDTKKAIFDELTGTPSRSLWLRDLDALEKQLDEQDKRDAKDEAERRRQQEKAAKAGGGRNVRKPARKAVTKKATITAAVAEPMETSVSSAMETGNAPAVAKPRGRAAGSKKPPAKNKAKPTLIDEDDDEEIPSLADRLGKHNIQSEQEDDVIVELEDQLARHNIESSPDQSEVVVPNKKGPTKRGAAKKATITEISDDEDEMVMEDGSDFEVLSEAPVEKKKRGGGRKVVAATKPPTGATKKRGPAAKKSQQTVPDGQRLITEALKPAASPEKKVRKMRPSPFNKKSGSMLGRLSSSEEEMVAETSGEVAAPPPNARPQRVNRRKTTYVVSDSDESEEDKPSEDSEFDDDDDEDEE >KVI00754 pep supercontig:CcrdV1:scaffold_3012:6622:7794:-1 gene:Ccrd_020992 transcript:KVI00754 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIR synthase-related protein, C-terminal domain-containing protein IFDQIGNECPDVDDVLYLKAVFEVVQDLLTDKLISIGHDISDGGLIVSVLEMAFAELDLVLEISKANLDKLATAFVSHGVSADIIGQVTVEPRIGLEIDGITHLDEKTANLWDIWEETSFHLEKIQRLVASVISEKEGLKNRHEPAWGLSFTPRFTNEKHMSAITKLKVAVIREEGNNGDREMSVAFFASGFEPWDIIMSDLLNGSISLNEFRGIAFMGGFSYADLLYSTKGWAASVRFNQPLLKQFQKFYNRPNTFSIGICDGCQLMALLGWIPGPQVGGVLGNGDDPSQPRFVHNESCRFICRFTSVTIKQSPTIMFKGVEGSTLGVWAAHGEGKAYFPDNGILDQILSSDLTPVRYCDDDGKPT >KVI00755 pep supercontig:CcrdV1:scaffold_3012:28166:31550:1 gene:Ccrd_020993 transcript:KVI00755 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MFSRLYIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTSIGQRELPIPEHMDIFHLTREIEASDMSSLEAVMNFYERLDAMDASTAEKRAAEILNGLGFNKKMQEKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDFLNGVCTNIIHMQNKKLKMYTGNFDQYVQTRSELEENQMKQYKWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEVVTRDKVLVFQFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMSGELVPLDGMVRRHNHLRIAQFHQHLAEKLDLEMSALAYMMSEYPGNEEEKMRAAIGRFGLTGKAQVMPMKNLSDGQRSRVIFSWLAYRQPHMLLLDEPTNHLDIETIDSLADALNEWDGGMVLVSHDFRLINQVAHEIWVCENQAVTRWQGDIMGFKEHLRRKADPSG >KVI00751 pep supercontig:CcrdV1:scaffold_3012:44401:51601:1 gene:Ccrd_020994 transcript:KVI00751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongated TPR repeat-containing domain-containing protein MEEVQHHPIQTVGQDGEIESIPEGSSVTNGEPPQDGPDAKEEIVTEGSSFVHGGPSQDDNLPPKVDTAVESLHEKVTKQIIKEGHGDKPSKYSTCFLHYKAWTESTQHKFEDTWQELQLIEVVLGKEKKEMAGLAIGLSSMKSGERALLHVGWELGYGEEGSFSFPNVPPKADIIYEVELVGFDETKEGKARGDMTVEERISTADRRRMDGNALFKEEKLEEAMQQYEMAIAYMGDDFMFQLFGKYQDMALAVKNPCHLNIAACLIKLKRYEDAIAQCTIVLAEDQNNVKALFRRGKAKSELGQTDAAREDFLKARKLAPEDKAILKELRVLAEDDKMVYQKQKELYKGLFGPRPEPKHTKTGSSWLVFVWQWLLSLFHRLFRRERLKTD >KVH87548 pep supercontig:CcrdV1:scaffold_3014:41238:45637:1 gene:Ccrd_025173 transcript:KVH87548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGNVSMKTHKLPPPPALQLQTQSPEPPPRPIWQIIMVAAIAAGVQFGWALQLSLLTPYVQLLGIPHTWSSFIWLCGPISGMIVQPVVGYYSDGCTSRFGRRRPFIAGGAILVAFAVVLIGYAADIGVASGDKAGGKTAKPRAISVFVVGFWILDVANNFLQGPCRALLADLSGSNSGRIRTGNSLFAFFMAVGNVLGYAAGSYTHLYRFLPFTKTHACDIYCANLKTCFFISIALLMTITILALTMVKEEVFQPENIEEEKSSAPKRMVFFVEMIGALKEMSRPMWILLLVTCLNWIAWFPFLMFDTDWMGREVYGGKLGEGAMYNRGVRAGALGLMLNSVVSGVASLSISHLARICGGVKRLWGTVNLLLAVCLAMTILVTKVAESSRDFTTANGTATPLPPSAGVKGGALAVFAVLGIPLSVTFAVPCALASILSNNSNVGQGLSLGVLNLAIVLPQMVVSILSGPWDALFGGGNLPAFVVGAIAAAISGILAFTLLSSPPPDVVLAKVSEAAMH >KVH99511 pep supercontig:CcrdV1:scaffold_3015:2562:12504:-1 gene:Ccrd_022252 transcript:KVH99511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, beta-type subunit, conserved site-containing protein MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTISTDFQRIFKIHDKLFLGLSGLGSDAQTLYQRLVFRHKLYQLREERVMKPETFASLVSAVLYEKRFGPYFCQPVIAGLGDDDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKPDMEHEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVTERILKGRMD >KVH99510 pep supercontig:CcrdV1:scaffold_3015:13577:21273:-1 gene:Ccrd_022253 transcript:KVH99510 gene_biotype:protein_coding transcript_biotype:protein_coding description:PspA/IM30 MALRAPVAGLSIASTTLQDSSSRIGVCRVSTCRTSFFGSGVGALKVRGVRLAHPSSTRCNSHGGIRMNLFDRFTRVVKSYANALISTFEDPEKILEQAVTEMNDDLIKMRQATAQVLASQKRMENKYKSAEQASEDWYRRAQLALGKGDEDLAREALKRRKSYADNAASLKTQLDQQKGVVDNLVNNTRLLESKIQEAKSKKDTLKARAQSAKTATKVTEMLGNVNTSSALSAFEKMEEKALNQLTTDDLEGKFAMLESSSVDDDLASLKKELSGSTKKGELPPGRTAVGNSSGAAAYPFPDLEIEKELNELRQRSRDL >KVH99513 pep supercontig:CcrdV1:scaffold_3015:29700:43361:-1 gene:Ccrd_022254 transcript:KVH99513 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein NVEIGNGYGVPGGGAYYGASRSNTVSSGSNGNEKHESGQSISEQPKQKPNSNDLPEYLKQKLKARGILKDEPVKDDTSTVSDNKLKNQVRQSHEIKTPATLPPGWIESRDPTSGCVFYYNEKSGKSQWGRPTGTSLNSQPPSSTFLPDDWQEVLDETSGCKYYYNSKSNISQWEXPGSSQISALGHQGNIDSGDAAKPKSSDQSLEQKKCFGCGGWGVGLVQSWGYCCHCTRILNLPECQYLSMFAASQNQATNDATNTEDLGKGLSKPSRSTMKPPLGKGNKKDSKKRAYAEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQRKKPNSNYAPISKRGDGSDGLGDAD >KVH99512 pep supercontig:CcrdV1:scaffold_3015:49418:50597:-1 gene:Ccrd_022255 transcript:KVH99512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNYDQPLPPGVQCLPNSSQQLASSNLSAQHPPQSFQNFVNVHQHSYYAENNFYHIHPSNVGHTPPSGNSRPQYSPHHAPYAPSLSCQFPITYNTTTGSETSQIGGDSLEGNSKGLSGQLGCSEPLKAQQDHVSYEEQQGRAQEENGHRDTPNHSSMQFGNSNDIEIAAQDAVLREQEIVTQKVILDQREARAASGSHEENSDIFSGRHDPSAIKEHLLKITTDHRSQMALKRGKPASSE >KVI06804 pep supercontig:CcrdV1:scaffold_3016:39922:41457:-1 gene:Ccrd_014841 transcript:KVI06804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGTRDIRCANILVDVSGSVKLADFGLAKLTTSILLRNAYQYPSSLELESFQVHITLPSLSLRLYPQISPKDSVGGTQPTGILDYSPFDPTEIA >KVI11967 pep supercontig:CcrdV1:scaffold_3018:6080:6922:1 gene:Ccrd_009615 transcript:KVI11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSGLEIGLCFLFGCILFVLSAELYYLLWWKKRLSKNREDIESLHFSSSNTTLPSYISCCWETSKSPKTQDKDLQTHVKKRDSDMGSGQNLVSKGLGDESLDLELMRLHNLGGPPRFLFTINEETKEDLESERSKKGSRTRSLSDVLDTPDTPFLSPLASPPLKLPQPPNLEAYNHHGLNPLFESTEFTINKMGSSPPPTFKFLRDAEEKLLKRLMQTEGEKRESFKKMDQDSPRKTEEKDGGFVKLVVSEGGHQTHSKVLPLACSPSTTMAPVDEKW >KVI11965 pep supercontig:CcrdV1:scaffold_3018:53308:59503:-1 gene:Ccrd_009619 transcript:KVI11965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAEGEKGGLIQKLIDIVNEISAISDYRCTVKKLFSNLARRLKLLTPLFEEIRDIKEPLPDDSHQSLLSLMDAMESAKELLQIGSEGSKIYLVLERDEIMKKYQAVTSRLEQDLSSISIDILDISDEVKEQVALVLAQFRRAKGRIDAPDIELYEDLLSLYNKDNDVVEDPAVLRRLVEKLQLTEITNLTNESIALHEMVTASSGDPGESIEKMSMLLKRIKDFVQTESPYVGSPPGESSALPCCTDQGTLVKSPKNSTYERSCIEKWLEAGHHTCPKTQQNLTSTALIPNYVLRSLIAQWCETNGMEPPKRSGRSASACTPAERSMIECLLRKLNSISPDDQRTAAGEIRLLAKRNADNRVAVAEAGAIPLLTNLLTAPDSRTQEHAVTALLNLSICEDNKGSIVSSGAVPGIVQVLRKGSMEARENAAATLFSLSVIDENKVTIGSLGAIPPLVLLLSEGTQRGKKDAATALFNLCIFQGNKGRAVRAGVIPTLMELLTEPQGGMKDEALAILAILASHPEGKVAIGKAEAVPVLVEFMGSGSARNRENAAAVLVHLCSGDEKYLVEAQEVGVMGVLVDLLHNGTPRGKRKAGQLLEKMSRLVEEQK >KVI11966 pep supercontig:CcrdV1:scaffold_3018:14716:16134:-1 gene:Ccrd_009616 transcript:KVI11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMKNTIRDEKIGEKLNPADKKKIEDAIDETMTWLDGNQLGEADEFEDKMKELENVCNPIIAKMYQPGGRGAMNVDDFPPAAAGAAPKIEEVD >KVI11964 pep supercontig:CcrdV1:scaffold_3018:24113:37246:1 gene:Ccrd_009618 transcript:KVI11964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MVFSPVTPFQDHHDCHQQLQQSNHQQESGGVGENLNFWQPLAPPQHGGGGGGGGGGEGSIQLGSMVDQARLANPPLREPGLKCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNAPVEKEVTKAAETRNLRSRKGAKSISGSPSRCSTENIANQIQTMVFSSVTPFLDHHNWHQQLQQSNHQQGSGGGGENPNFQPPLAPPQHGGGGGGGEGSIRPGSMVDRARLAKLPMPEPGLNCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSNKSSRNSKSPSQKGAKSISGSPSRCSTENIATSAQLSHPPSLQLPFMNSYGGVAGNITSNLGGFHTQNEMGNFQLGTGSSNANNFSNILSIGSGESWRLPFLAGIEIPNSSNLFHYQNEGAVEAPSSMTENSQADPPVKVEANRGLNLSRQILGVSEHTNQQPWPVGNTWADFSGVNTSSTPTTHFI >KVH93390 pep supercontig:CcrdV1:scaffold_302:84306:91347:1 gene:Ccrd_004551 transcript:KVH93390 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor MDEEYDVVVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESSSLTLNQLWKRFRGDENPPESLGSSKEYNVDMIPKFMMANGILVRALIHTNVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSTLMGLFEKRRARKFFIYVQDYDENDPKSHEGLDLNQIPSKELIGYTYFPLCSIEACHKVIVLYAESLARFESGSPYIYPMYGLGELPQAFARLSAVYGGTYMLNKPQCKVEFDDSGKAVGVTSDGETAKCKKVVCDPSYIPDKVKKVGKVARAICIMSHPIPDTNDSHSAQVILPQKQLNRKSDMYLFCCSYSHNVAPQGKFISFVTTEAETDDPESELKPGVSLLGPVDEIFYETYDRLVPTNDGAGDNCFVSTSYDATTHFETTMKDVLAMYTKITGKELDLSVDLSAASASSGAEE >KVH93391 pep supercontig:CcrdV1:scaffold_302:304577:307545:1 gene:Ccrd_004567 transcript:KVH93391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MDPLSLSFCSTVSTRPPIPLPLSPPPSPPRVLSIRNDEKPQTITSTTKRSSQDRLKCPIITSNTKKRVRKSVKIDRLLLSMIFNAFDDIINKFIDPPIRDSVNPRHVLLDNFYPVDELPPTDCEVSAGMLPSCLDGVYVRNGPNPQFLPRGPYHLFDGDGMLHAIRIFKGKATLCSRYVKTNKYKIEKDAGFPMIPNVLSGFNGLTASVARMAVATLRVLAGHFDPSKGIGSANTSLAFFGNKLYALGESDLPYAVKLAPDGDIITLGRHDFHGKLSMRMTAHPKIDPVTKEAFGFRYSPISPFLTFFRFNEKGEKQADVPIFSMRTPCFLHDFAITKSYAIFSENQLKMDLFGNGPLIKADLREVPRVGVIRRDAKDESKMKWFEVSGWNILHTINAWEEDGGDTVVMVATNILSIEHLLERMDLMHASVEKLRINIKTGMVTRHPLSARNLEYIYCGIADQTPKISGVVKLDMALSEVQHQECIVACRMFGSGYFGGEPFFVAKELDNPDADEDDGYIVSLVHNENTGESRFLVMDAKSPTLEIVCVVKLPHRVPYGFHGLFVKENIKSARARE >KVH93397 pep supercontig:CcrdV1:scaffold_302:185754:197112:-1 gene:Ccrd_004561 transcript:KVH93397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MADEPVVPSSPQQPPPSSPSNSNAAPLGHNVIPIVNKLQDIFAQLGSSSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLLQTKRKPDGTDEEYGEFLHVPGKRFYNFNEIRNEIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARYRTIGVITKLDIMDRGTDARNFLLGKVIPLRLGYVGVVNRSQEDIMLNRTIKDALMAEEKFFRSRPVYSELADRCGVPQLAKKLNQILVQHIKTVLPGLKTRISAALVSVAKEHASYGEITESKAGMGALLLNILSKYSEAFSSMIEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLIRRQIARLLDPSLQCARFIYDELIKMSHRCMVNELQRFPILRKRMDDVIGNFLRDGLQPSETMIGHIVEMEDGLEPEKAPQSERGIKSRAILARPVNGIVTEQHNQPGSRAVGEVEKPTAGSGSWGISSIFGGSDSRTTVKENSTNKLFSEPVQAMDHAFSMIHLREPPTVLRPSDARSDQESIEIHVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRDDLFEQMLQEPDEVATKRKRTRDMLRVLQQAFRTLDELPLEAESVERGYSLTNNNDTTGLPKIHGLPTSSFYSSSSGSADSYTASPKNQRSRSKSSHSGELQSPFYGNNVESNGNGRNSLLGLYPTVDQ >KVH93402 pep supercontig:CcrdV1:scaffold_302:20877:22770:-1 gene:Ccrd_004547 transcript:KVH93402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVALPPCSYAAIELARRAATTMSVVAIGYPSSGKVEEVVKEAEEVAEVVEKVASTTEKLSAEVAEKLQKGQMKEIALEVEHVSSVAAKDAQMTQDFIHKVGDLKQDLKNLETMVEPVIDKIEHRK >KVH93387 pep supercontig:CcrdV1:scaffold_302:94879:98115:1 gene:Ccrd_004552 transcript:KVH93387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGKKFAEFLFDESDEVAEEKSRWKSTKSGKKKSRCGNSPVDTYEFLKSFAGGVKPKGKKSRNEPVIVHEVDEAVKERIFRSDAALQSSSSNNNSKAFSGSMCPDICTFYHGDSFNTPRSPKTATDRKPSDCTQRLHLADDESVSIISDEDNDNLSTMSSNDLADAE >KVH93388 pep supercontig:CcrdV1:scaffold_302:107921:115019:1 gene:Ccrd_004553 transcript:KVH93388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MVSSFFHDIFAQLSYSSYESFEDITYLEGDCDSISISKRDFQLLQPEKFINDTIVDFYIEYLKKKIKPADGRVHFFNSFFFRKLADFDENQLRLFDAEAAFQRVRKWTKKVNIFQKEYIFIPVNFRLHWSLIVICHPGEVVNFTDEELVNSLKVPCILHMDSIKGSHRGLENCIRCYLWEEWKERTSDAAEDISTKFMNLRFLHLEVPQQQNSYDCGLFMLHYMELFVKKAPINFNPLNNFISKAWFHPKEASLKRARIKSLIFELVKTNSQRVLSSGCNDKLSSKLKDVNEEEAEVQFLHETCDSKELFNGNISGTCANRSRDVNAKHMDINLLDSDTNGLRLLTSFEELQSHSEKFPETGDNGGSLIAANDQNHGQLVLYDPSQAVLSPIKESQETDSVTNAKSIKMDSFVACTEKCLNLLRLKESSKDDDNDDDVLETLVVEDSDECCSSSSSDDVYETCVVEDSDSDDLGESSCGIFSNQKKAISSSSSIRKDDGGKYLNGNRRRQPMGREARKRLRRSPSADLQMT >KVH93403 pep supercontig:CcrdV1:scaffold_302:15888:17147:-1 gene:Ccrd_004546 transcript:KVH93403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MEIKIRSIQSIKPSKPTPENLRNFRLSLLDQLASSYINLIFYYKASGEINISDRCTQLVKSLSEVLTLFYPLAGRITEDGLIVDCSDQGIKYLETQVSTRLDDFLEQGPKIDLVNQLIGAPDQVTTTLVIQVNVFDCGALVIGVSAAHKVTDTSNLVRFINEWASMNRTGESSGAFCPCIDNMASLFPAREISSSKYSLIPNDPEAIIVTKRFVFNGYTISKLRAKASSPNRKHSRVTLVASLIWKALISIDHVKSGSFRDCLLAPAINLRGKANSAISESSFGNVWTPYPIRFLQNKMEPEFVDLVNLIEDTTRNFITWLPKASSEEICTQAIACYAEAVEEVKQDKFAIFTSWCRFPIYEADFGWGKPYWASGTGSSIEIVTLMDDKHGDGIEAWVSLNEKDMYLLEQDEDLLAFTS >KVH93386 pep supercontig:CcrdV1:scaffold_302:139058:144421:1 gene:Ccrd_004555 transcript:KVH93386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSCVVSGWVVVGGGWYVVVAVGGGGKGDGWSKDGAGRNGWASSPSWLPFYALSLTSSPPSDRYSMDLERRRAELEALLIVDNPQLPSAKMLPRR >KVH93392 pep supercontig:CcrdV1:scaffold_302:286313:286864:-1 gene:Ccrd_004566 transcript:KVH93392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MIEKWEVVNETVNRKRNGGFDEEHDADKQEHYDEDEVFDVMTLRKLVKIERQRAHDANLELEKERMASTTAAEEAMAMILRLQNEKSVLEMESQQHRRLTHEKQLHDQEVIQSLRWIVLKHESERSILEDRLRLCQQRLKIYIDDDDDDGVDGCERINGSLSCPDGLDEGLISSLDLGLSPCW >KVH93400 pep supercontig:CcrdV1:scaffold_302:35299:39517:1 gene:Ccrd_004548 transcript:KVH93400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAAYSFHRKIMEETPNATICLIQCDPQQNLNGLCISLCSKVCPNVCNTIQSAPPPTDDYILIPPPIPHHSSLSLPLKISLVILVTTFSLFLLYSLYKLYTVWYRSRSRRRPPPPGNQETLDEFVDHDVLDHPIWYIRTIGLQPSVINAITVVKYSKTDGLIEGADCSVCLSEFQEDETLRLLPKCNHAFHISCIDTWLRSHTNCPLCRAGIVKNTTTSSSSDQNLDDSGQMEETQMAISIPEEVDGGQRLREEDHQGSELRIGVVDEEDLNNNNNKGEMVGGGGTSDFLIIRRAVSLNDYAACRIGSDVNNNNYYSDRHQVQIQPVEGDWMNSVKALAESPDLNSIPSLYAYSKNATDSPASDPQDPIPTIDFSLLTSADPDQRSQVIQELDKACKDWGFFQVINHGVPESLMKMVMEKAGEFFNLTGEEKQDFQEKDVLDPIRYGTSFNSKKDKVFCWRDFLKVIVHPEFHSPNTPLGFSEVLLEYSNRTREVVRGLLSGISTSLGLDQSYVEKALKLESGLQICIANLYPPCPQPEVAIGLPPHSDHGLLTLVINNGVSGLQIKHDGKWIDVKDTLPNSFLVNTADQLEIFSNGKYKSVEHRAVVNDVVTRISVVVANGPALDAVVRPADKLVDEERRPVAYIPMKYEEYLKMQQGNQINGKTCLDRVRV >KVH93389 pep supercontig:CcrdV1:scaffold_302:53149:61090:1 gene:Ccrd_004550 transcript:KVH93389 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MWDSESESTNYNGVLTLNHAVQTDRFEQRGRSWYVATHIPSDFLVQIGGFSFHLHKSPLLSKSGKMNRIIQESKEIDSKKVILDDLPGGAESFELAAKFCYGIAIDLTSTVIAGLRCAAEYLEMTEEFEEGNLIFKTEAFLNYVVMSSWKDSILVLKSCEKLSPWAENLQIVRRCSESVALKACAGHNRVRWKQTGKQDSSPSRSRVLPDWWCEDISILNIDHFVRVITAIKVKGMRYELIGSAIMHYATKSLPGMIKEGSTGSVEEGASSSNSATATGGDWNCRLHMIIAVNKGDHSSSPQGRCQRMIIESLISIIPSQNDSVSCSFLLRLLRLATMVDVAPALITELEKRVGMQLEQATLADLLIPSYNRSETMYDVDLFQRVLEHFLIQEKMEISSPRRQPMIDKNKGTRGGNDSSAKMKVARLVDGYLTEVSKDKNLSLPKFQVLVEALPESARSCDDGIYRAIDSYLKAHPMLQEHDRRRLCKVMDIQKLSLDACRHAAQNERLPLRVVVQVIFSEQIKLSNTIANTVYKASGEPNYQPIIPNRKTLLEETPQSFQDGWATAKKDITTLKFDVETVKTKYLELQHDIESLQRQYDKITKLKHRSAWSSGWKKLSKITKITTIEDTGIGSQGQAKTTLHKVHDLCCYNTLKGLKHAPNIVSVKEHSLHNLIVKSPSILHKWS >KVH93395 pep supercontig:CcrdV1:scaffold_302:220339:224255:-1 gene:Ccrd_004563 transcript:KVH93395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase type 1 MMRFAVLLCAEDSEYVKKKYGGYFGVFLRMLAEEGEIWDVFRVAAGQFPDDQQIGLYDGFVITGSCNDAHADDAWISQLLTLLNKLDSMNKKILGICFGHQILARALGGKVARAVSGWDIGVRTINFSSSTTIFANLEMPTSLSLIECHRDEVHELPLEAEVLAWSEKTRVEMFRYRDHIMGVQGHPEYTNDILLQLIDRLLQRNLIEESYAVEARANVEKVEPNREAWKELCTSFLKGRG >KVH93383 pep supercontig:CcrdV1:scaffold_302:146935:151268:-1 gene:Ccrd_004556 transcript:KVH93383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP15 MARDIEKGDASQPLANTATTSSSNTTGNNTRIRAKPDPILATCRCFSLVTVLASILCIVVNVISAVRSFRNVSDIFDGIFRCYAVGIAIFVIVAETEWSFIIKFWKVLEYWAGRGMLQIFVAVMTRAYPEVYGERHEVLLLRDIASYTLLSCGLIYVISGVLCIGFLKRARQNKAITTQQAIKDLEDLERRRAELEALLIVDNP >KVH93384 pep supercontig:CcrdV1:scaffold_302:153712:159447:1 gene:Ccrd_004557 transcript:KVH93384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MERKEEVGKENGNSEEGSQTLRDGSICGFESLSRLLESSLSPQAFKEVSRMLIGLNCGKALEPLEIVETAKGLSSQHDFDIQAFSFHADKELLREPRVVRVGLIQNSIAVPTTVPFLEQKKAIFEKLKPIIDAAGASGVNILCLQEAWMMPFAFCTREKRWCEFAEPIDGESTQYLQGFARKYNMVIVSPILERDLIHGETIWNTAVIIGNNGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLVFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHVSAPDASCTPSLSRNRDGLLISDMDLNLCRQLKDKWGFRMTARYELYADMLSRYVKPDFEPQIISDPLLHKNHL >KVH93399 pep supercontig:CcrdV1:scaffold_302:42021:46345:1 gene:Ccrd_004549 transcript:KVH93399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase AER61, uncharacterized MTALHTTTTTTKPEMPFHHKHSPRATATATTRFFSPKLSVYLCSICVTLFILFHIKILQTPLPSSPSSLSFLHNWQEKFTTADDADSGGSLCAQDLKSMSDKLRESVTFLPLKDLRYANAALVGHTWFMSSLYDTHEEGEVQYQQFPSESSNGRILCIKGHDKHDGSWNYYALAYPESLPKNATLVGGRTFVSYNHYDYGNIWHGLSAMVPFIAWHIKNGCALPTRWILYHWGEVRTGMSPWLSTLMEATFGGPMNIEKFDDGGDDQATVCYEEAVVMRHNEGGMSREKRMGVYDLVRCKARMMCNVELDRSDGGEIGLTLLMRTGPRSFRNETAVVEIFERECKKVESCRLRVAYSSNLTICDQVKLMGATDILVSPHGAQLTNLFLMDRNSSVMEFFPKGWLKLAGVGQLVYQWMASWSGMRHQGTWRDPNGDNCPYPDDDRRCMSIYKHGQIGYNETYFAYWTSKVLNEVKMRKFEEASKGNIIPTRCACS >KVH93393 pep supercontig:CcrdV1:scaffold_302:257735:280924:-1 gene:Ccrd_004565 transcript:KVH93393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MVKCGSYIPNPGNHHKVPRRIKEGCRNPNLQIILADHSTCGTCLVMDDYAIVSDTPQMLPPPPGSFLDREELIQHVGEFGVSQGYVVTIKQSKKEKVVVLGCQRGARRYKTLRPQTSAQGNSNSSTTSEPSWRQRYPPRVPNFIGGMFIDSQSRTSIDVINPATQQVVSQVPLTTGEEFRAAVFAAKCSLPSWRNTPVMTRQRIMFKFQELIRRDIDKIAQNITSEQGKTLKDARNEMLRGLEVVEHACGMTNLQTGEFVSNIRSGVDSYSLREPLGVCAGICPSNFPALVPLWVSLCFQLQWHAVIHLFLSPQRKIQVAMFSSCGHNVQIHQIYFSTLVLPVAMLLLRACMILAELAMEAGLPNGVLNIVHGTNDIVNAICDDDDIKAITFVGPDSSGSHIHARASSNGKRVQSNIGAKNHAIVMPDANMGATLDALAAAGFGAAGQRCSALSTVVFVGGSKSWEEKLVERAKALKVNVGTDTDADLGPVINKMAKEKVNRLIQTGIDVGARLILDGRHIEVPKYEMGNFVGPTILSDVTDGMECYKEEITGPVLLCLQADSLEEAISIVNRNRYGFGASIFTKNGASARKFQTEIEAGQVGVNVPVPSPLPFFSFTGTEASFSGDLNFPGKSGVHFYTQIKTVTQQWKEFRCNDEIPLEMPPTNFQSSDGTSLLLPTHNFPNTDDRGPLASPSDNLQCDNGPSFAMPHKDFQTGEAVSLGLQLRDFQNSDGVSPALLMSDGSQDPKFLSHFLNWSRNAQ >KVH93401 pep supercontig:CcrdV1:scaffold_302:7223:13633:1 gene:Ccrd_004545 transcript:KVH93401 gene_biotype:protein_coding transcript_biotype:protein_coding description:3,4-dihydroxy-2-butanone 4-phosphate synthase, RibB MASINMSSPSTSLLPSKMITNFSSYNGLRFVNLSSFNGQTTEAFLQLRCSKSKGDGKLRASLLPIDGVDGGFPVNHTSTNGVEKNGVSMSSGIVIQPDSIALGTLSAETTPSMNGFVTGADEYDLDQPTSGFSSIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAASCVTPEAMAFIVKHGTGIVCVSMKGEDLDRLELPLMIMRRSSLQHSQYQWYALFLLYLTFSLEIDKKATTIKALASKDSTPGDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGFDPVAVLCEIVDDDGSMARLPKLREFVQRENLKIISIADLIRYRRKTDKLVERASAARIPTTWGPFVAYCYRSILDGIEHIAMVKGDIGDGKDTLVRLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLEVAGRVPLLTPITKHNKRYLETKRAKMGHVYGNNNGLPNLITQNEKSTTQNPSV >KVH93385 pep supercontig:CcrdV1:scaffold_302:130673:133971:-1 gene:Ccrd_004554 transcript:KVH93385 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDSLPDAVVQYILSNMSNAKDVASCNCVSKKWKDSMPYIKSLYFPRSIFDNLKSGQIPDCIVLQIVSSIFQLEDFVVYCPFTSAGLASWLILVGPSLKHLELRMDNLVDQITSNDSSSKLECIEVARNLESLRLWGVLMVRAPKWDKFQKLHTLEIIGAKLEDSALAETLQATPNLTHLVLLGCEGLRTVWIELLQLEHCKLDFYGLGSCSLTLTAPKIEYLEVQGCSWIRVHETNCLKNLSIANNAGRVYMVDFGKLMALESLSIRGVQWCWDAISKILQLASEVKHLYMKVEFTGDFEALLPFPEIDFVDFFKSHPKLKTFDIHGAMFAALCQKNSLKNVDSSFMIYCLEEVVITVRSPLNAEQKMSTLESLVKFGKNLRKMKIKILRMKSGHSSADDFFEEICRFRYMNHKLISIE >KVH93381 pep supercontig:CcrdV1:scaffold_302:161691:169028:1 gene:Ccrd_004558 transcript:KVH93381 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MNESPIISLPIDAAAAAASRMFEPTSNSSTTTVNGGVKRIKNRQPPLTVPAGCTCFRLLCHASRIGGVIGKSGAIIKQLQLETSAKIRVEDPPPRSDDRVITVIANSSVNKRLSFGEDDNRDVSSEYNEVSAAQEALVRVFERILLVAAEADGGHFAPGGVVSCRLLTDKSVVGSVIGKGGIVIAKIRKDTGCKIRILVDDKLPSCALPTDEMVEIEGDVLAIKKALVAVSRCLQDCPHAHKARMAIGRSHHNVPRKTIPNGHTDFPPPRTQVLQPPPTTSADHHVGGHHPLSSDGGTIPSMDSITSQQEIVFRMLISNDRVGSLIGKSGTIIQALQNESGATITVGAPVSDCAERLITITTMESPESQISPAQNAVILVFNRFMETGSQKGLDQSSTGTPFSARILISPNQIGCLLGKGGSIIADMRKSTGAVIKIVGDHQVPKCASETDQVVLMTGDFVSVRDALYSVTGRLRNNLFSSKTSNGPGTRGTYARGNNYPPSGLPSDQHTTLTQSMDSLKLSNVVDRPPTPGQWQAQISAGRSAVVTNMSVEIIVPQNVIGSVYGENGSNLTRLRQISGAKVAVQKPHSGTTDRVVVISGTPDETQSAQSLLQAFILADQ >KVH93382 pep supercontig:CcrdV1:scaffold_302:166687:169028:-1 gene:Ccrd_004559 transcript:KVH93382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLINLFNFKGKFDQEDDIQKLVLAADDSTDCKYCISADRQGGGHFYRNVATDIFIFIIGKASLTLTRLIRNSLLLLVSKYECLKEALS >KVH93398 pep supercontig:CcrdV1:scaffold_302:176083:183895:1 gene:Ccrd_004560 transcript:KVH93398 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MGTNDCLRWKHHIRLGHQIEPGEILGPDSRIPIGDTIRAQVPTICSLSAFFPPLDVKKQGNLNDQHHRQASIYETVNLQPVNLHHHQQQGISINNNDNQHHIVEDVVVQEDDDVAGAEEESIDNPSQIRYDHHNPHHHHHQHHNIQNNGSLEGGMEEMSVQPHALYVPDSEIQPVSAGGAGGGGGADQLTLSFQGEVYVFDAVSPEKVQAVLLLLGGYEVPTGAPTMGMPPQNQRGLTEFPGRSSQPQRAASLSRFREKRKERCFDKKIRYSVRKEVALRMQRKKGQFTSSKAISDEAGSASSDWNGGPGQDEETTCRHCGISSKSTPMMRRGPSGPRTLCNACGLKWANKGVLRDLNKVSASGAPDPTGKAIEQSDGEANDTNAVNVNAIMVSNGGDNSAVTGER >KVH93394 pep supercontig:CcrdV1:scaffold_302:246161:256919:1 gene:Ccrd_004564 transcript:KVH93394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold VYSCLLSFPLFVPPPLRSSDLFNDGRTGLLIFTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGDVSIRGRILAGTCHSAKMIRKEAFQHSSAHFSLLSCERRRSCHCWTVPTVVEDGEVQCVEGDPGWIFWWWEEGVYRHIRTSLLSLSRKAHYLGTSPFNPLYRDTKTNVVLGFTLAFCHFLFLFVPPPKARISSTMAEQTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGDVSIRGRILAGTCHSAKMVRTIIVRRNYLHFVKKYQRQDRNHSYYSSLYEKRHSNIPAHISPCFRVKEGDHVTVGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGI >KVH93396 pep supercontig:CcrdV1:scaffold_302:212007:215390:1 gene:Ccrd_004562 transcript:KVH93396 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHCH-like protein MARRSSGGRSARAPARAPARNPSAPASRAPPPAPVQASSGGSMLGGIGSTIAQGLAFGTGSAVAHRAVDSIMGPRTIQHETVGAAAPDAATTNSVSDACGNHSKAFADCINSSGSDISKCQFYMDMLAECRRNSSLAV >KVH87546 pep supercontig:CcrdV1:scaffold_3022:10849:31744:-1 gene:Ccrd_025175 transcript:KVH87546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 MRELGLFASSEEEQEQERQDVVGSNAVNDGEDALDDDIVGNEDSEYYTLINQREIQQLKERSPLWDPFFL >KVH87547 pep supercontig:CcrdV1:scaffold_3022:10234:10559:-1 gene:Ccrd_025174 transcript:KVH87547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 MVNLFKIITYLQNTISIHQIRDVKFFPKDEPDMDNSNKISFLNKNPFLIYFIYSMTGTEEDTQERFQEMVDVFTLPITASDLVYHKRIAFSIDSYGLDPK >KVI00750 pep supercontig:CcrdV1:scaffold_3029:50685:61800:1 gene:Ccrd_020997 transcript:KVI00750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MTSIQNNQETIQPNCEALASGLPSNGHPQTQQCNGSPMEGFIEPKNDVYTHANFLELDRTVATELLESSIESNKKPAYLGSWSLHPDIKKPQLCQLNSIEGQFYPFLVNNRVQYAPFTMFPQSYPNDYQHQEFHYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNANFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFCEVFGGAKCNLKEAVQMAGLSWQGRAHCGLDDAKNTARLLALLMHKGFKFSITNSLMCQSTEHHAFTWKQQPVGHMPFPPYHHHLPHKPKDLHFFPLFYPCCHCGVKSSKGMVRKPGPKQGSCFFGCGNWTSARGARCQFFEWA >KVI01626 pep supercontig:CcrdV1:scaffold_303:2464:10326:1 gene:Ccrd_020096 transcript:KVI01626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAPGKHSKVDGRKSTNNYCSTVTLVAFVALCLVGVWMMTSSSVVPLQSLGTTQNNKNEVRTVITKSYDTEDSNDENSSNDDGTSTPDNSNSKQFEDNPGDLPEDATKGDSSSQQDKSNPVNNSKEDTEIHQEEKVTNSEDASTTKTANENEKGDAESKPEDGELKDEETRGDGDRNNSGGQENHEEGKEEKEVKQDREDKVDAPSIETLPAGAQSELLSETSVQNGSFSTQATESKNEKEGHKSPEPEKNNGYHWKLCNVTAGSDFIPCLDNLQAIKSLRSTKHYEHRERHCPENPPTCLVPLPEGYQRPIEWPTSREKIWYHNVPHTKLAELKGHQNWVKVTGEFLTFPGGGTQFKHGALHYIDFIQEAHIPFLVANGFTGKGAIGAGLRLCQADSISWEEWSIDKIVPEIGWGKRSRVILDVGCGVASFGGFLFDRDVLTMSLAPKDEHEAQVQFALERGIPALSAVMGTQRLPFPGRVFDIVHCARCRVPWHIEGGKLLLELNRLLRPGGFFVWSATPIYQKLPEDVGIWEAMTKLTKSMCWEVKGVGKDKINKVGVAVYQKPMSNECYEGRSQNEPPLCKESDDPNAAWKVPLQACMHEVPVAAPVRGSRWPEQWPSRVEKSPYWLLSSQVGVYGKAAPEDFTADFEHWKRVVTKSYMNGLGINWSTVRNVMDMRAIYGGFAAALKDKNIWVMNVVSVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKIKNKCNMTALVAEVDRILRPEGNLIVRDTVETINEVENMLRSMNWEVRLTYSKDKEGLLCVQKSMWRPVEVETIPYAVG >KVI01628 pep supercontig:CcrdV1:scaffold_303:48601:103776:1 gene:Ccrd_020098 transcript:KVI01628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAASTTWATLIFQKRNSMDARERRKFRKTVLNSSKQSLKSTSSNVEDNIPNSDRQLRSSSQRNNIPYHSENMDPNTCPQYEHTNIEHSSSTVTITNPLFDSSNDEELDHPVTTTNIIAGISKERLEIHTIADDITSKYVPPHIHDAKDKKFDYGT >KVI01627 pep supercontig:CcrdV1:scaffold_303:211721:244443:-1 gene:Ccrd_020099 transcript:KVI01627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein FFKTLAISLSTRVSNELGARKPQAAQLAARVVMFLAVIKGILGDPVPCETCDGNGISQFLVMAVKRIRKELKDICSAGSVTQDLWYLPGPDEKIEIAPYGLVENDSEHNICTMGRLKSDIYCFGVVLLETVTGLIAWGNKRREEQRLLSIVAHKGEIHKIIDPRLEQNYPEEGAFKCVALSLRCLANQPKDRPSSDEVLQNLKKIYADSMLVFGVNSGNKRNIISLVAIHCILAQGDSKLSNNTMGNNKFLDATKLPADDKDQRVKELKFADLETATNNFTALGEGGFGGMFLGSVDKNTFAPSTRGVGIAVKRHGVGSRQGHEKW >KVI08202 pep supercontig:CcrdV1:scaffold_3031:23393:59705:1 gene:Ccrd_013430 transcript:KVI08202 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MPESSYWEERLGRGAMEVCGGWKGNALDLLQENGYTNFLPLANSEKVLKEIGEQPLVEGIEDHLVEFSEAMRTVSKVLRQAAEGKASAQAEATEWKRKYEMERERSLQLEHKVLPSVDHKGNCNEQKVGNPMPETAEKSQRCCGENGICSHEVLRDREKDSYSNVQTKLTKKASFKLQWCSKGKKDDQHKHDIVSFEKGNITTAARSSKQISLKWESPPQTVLILAKPNSTSVKILCAEMVRWLKEKRKLNIYVEPRVRTELLSESSYYNFVQTWKDDKEIWRLHEIVDLVVTLGGDGTVLWAASKFKGPVPPIVPFSLDSERYRECLKSILEGPISITLRHRLQCHVVRDAASEFENEGPILVLNEVTVDRGISSFLTNLDCYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQAVVQKVPGILFTPICPHSLSFRPLILPEHVTLRIQVPLNSRGNAWASFDGKDRKQLAPGDALVCSMAAWPVPTACQGDSTSDFLNSIHDGLHWNLRKTQSFDGPQES >KVI08201 pep supercontig:CcrdV1:scaffold_3031:3299:23030:-1 gene:Ccrd_013429 transcript:KVI08201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit MPRNKSSPEHQMVKTSTKTDISICQTDIELVELRKEVGGTKERCFLSVKSSAKEHPASGAGPGADDWPILGFGRLEYTWKRDRGDVQKGSAEIFFRKVKFWKEDGEAEAPPVFNVDGVNYFHAKVVGLLFVATTRANVSPSLVLELLLRIARVTKDYLGILNEDSIRKNFVLMYELLDEVIDFGYVQTTSTEVLKSCLFNEPIMVDAARMPPLGPAALFMQGNKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEELNIGSSGRSLYGTRSSQFIHLSNTRMLLLENFEIYYTGSTGSGAVILDDCNFHESVHLDRFDVDRTLTLVPHDGEFPVMNYRMTQEFKPPFRINTLIEEAGSLKAEVILKVRAEFPQNITANTVVVQMPVPSYTSRISFEMESGAVGQVTDFKESNKKLEWGLKKAISLIVGGSEHTLRAKLTFSQDSHGNITKEAGPVSMTFTIPMYNCSRLQVTKLLSSPLDLTFLFLFGKIACKREILANCKEVQNIQSLPVGEICYPSQFICCSYMSLSLTHVVFSFCSLPLRL >KVI01620 pep supercontig:CcrdV1:scaffold_3032:11906:55858:1 gene:Ccrd_020105 transcript:KVI01620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup120/160 MAARSSLAGIEVPIIGSDSIKWFHVSVPSTSTSTSTPPSPPEPFAPPTEDASSCCNIGDPPTYFIWRINKTSPNILEIVEFCSFAEFPRVGLQIEFANALCPFALICKNEFSSSSGNPYMLYTLTAAGVAYLIRLTDITNYASCSVFPPNEVVELNIQSYCNYGAITATSATAGCLIIGGRDGSVGCFGLGILDPSVPGFLHELRDDSSFGRLWNLMSRKTIAAVKGLLCLEIQGKKLIFVLHLDGVLRVWDLLSCSRLLSYTMNASTLEGTTFTRLWAGETNNDTSTMPLAILHKINSEVDAEMISLYSLHFSLEGNTNLFLEPSTLNISLEEGALIDVKLSSNKIWILKEDGLLLHNLGSSESRYEQCFGLQEAFVAEQLFQSSEHSADDLFWLAHSLYPSAKEQISPHVSTIFLRMLFLPGIYHNSVLRATLQEHNKHLTDSEFHSLTADGMKKEIVSVIEHEGVSRSSLSLLYCWKNFCSHYLDNWCNKNAPCGLLLDSASGAIGLIRKSSVSVLRCLEDIELLAFGSFDELGEIASSRINLSGDLEREVLFEVLRCTSSLSQQLGKGAAALFYESFFSEPSMSPEDVVGCLLKTLETRSTSSIAALHVSEVGVDTAWEKEVGDHKILRKFSADMFLSLHGLYRKASMWDQVVDVILKYLNFLVPQKIEQKLDYEAIFDISACITVQVTSQVAKVMFESAVDMLLLLSYMVKLGGQINMLPDDVSRVQLELFPLIQEIITEWHIIHFFGTRPCESPAMEDFSSQLSLLQIDSSIDRRSWNDKLGKCDFTLAFILLLDHQSSFGDQSRVDSRHLPHPSSFIAPVRNFISWIVWGRSEDGSSSFSSRSTMLALILLKHGQFDAVECSIMTLSDIKKHTNFFFVLKHLLTLVNQNLLKEKLSSSIQGVNDEWCMLLHLLGCCLLAQAHRQTPRTSTDKKVHEAICCFFRYKASDMVIVSPELHLCMGLQSRCRVCHMNPDFLTLVSVTDHISPAAWKLHYYQWAMQIFEQYNMSAGACHFALAALEQVDEVLGLKDTYSGEDFLSESPTTAKGRLWANVFKFTLDLNDYYDAYCAIISNPDEESKYICLRRFIIVLYERGAIKVTFSILSVGCVSRILITFCRFSFFGWVGKILCDGQLPFIGLTEKVEQELAWKVCSSFYESIMVDVLLNIPSTCNSSGYIVQAERSDVSVKPNPYKLLYAFEMHQHNWRKAASYMYLHSIQLKSEAALKDYQLRSLALQERLNCLSATINALHLVRPTCAWINPLLQGKSIQKELYPSKKARITIPEQEANGHLSSQKLDSCMDIEKLENEFVLTSAEYLLSLANIKWTFTGIEKPPPNLVELLVQSNLYDMVFTVILNFFKGSALKRELERVFIAMSLKCCPSRENYKKHGLLLTSSKDEAIHDSHDIIPAAQHCKGNDQWDALEQYMEKYKCFHSRLPVVVAETLLYADRLIELPLWLVQMFKCTLKGNWGMAGAESSPASLFQLYVDYGRYAEATNLLLHYIESVAAVRPADVIRRKKTSAVWFPYTTIERLWCKLEELISSGHMVHQCEKLRTLLLAALLKHFKLNFLTCDSAKTNNISELGYFFLSKNG >KVI00749 pep supercontig:CcrdV1:scaffold_3033:38436:47013:1 gene:Ccrd_020998 transcript:KVI00749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MSYASAALGKTSSGNRKLKDLLQQRDNRTCADCGAPDPKWASANIGVFICLKCCGVHRSLGVHISKVLSVTLDAWTEGEIDAMIEVGGNASANSIYEAYIPEGIFKPKPDASQEHRSKFIRSKYELQEFMKPSLRILSSNSSSLKASFSRKIDSIPSAKGMVEFIGVLKITVQKGTDLAVRDMLTSDPYVKAQTSVRNSNLNPVWNEELMLSVPQNYGPVKLQVFDHDTFSADDIMGEAEIDIQPLITSAMAFEDAGILGNMQIGKWLKSYDNALSEDSIVHIIDGKVTQEVTLKLQNVESGEIDLRVEWMPLDQ >KVI11219 pep supercontig:CcrdV1:scaffold_3034:12668:14140:1 gene:Ccrd_010373 transcript:KVI11219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MTPALGDYAGEDLQPAVEKNLFGKYEVGKLLGYGAFAKVYQGWDIESEQTVAIKSINKQRIIKGGLTGHVKREISAMRRLRHPNIVRLQEVLANQKKIYFVLEFAKGGELFGKVAKSRFSEDLSRRYFQQLISAIGYCHSRGVYHRDLKPENLLLDDHWNLKVTDFGLSAVTEEVASDGLLHTMCGTPAYVAPEILAKKGYDGAKVDIWSCGIILYVLNAGYLPFNDPNLMVMYRKIYKGEFRVPKWTSPELKRFLSRLLDTNPHTRISVDEIIKDPWFRIGYKDARICSDQLEMKDGNLKSLNAFDIISFSSGYNLSGLFDDQDHGEMFLSRVAPEEIIGRVAEAAEEESLTVATRKKWCVKVDGGQYSNFTLTVEVKRLTEELVVVEVRWRECETEPGSEMWKDRLRPQLIGLIYQPDTPVAGD >KVH99890 pep supercontig:CcrdV1:scaffold_3036:36926:40489:-1 gene:Ccrd_021868 transcript:KVH99890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase, C-terminal-like protein MAVTFSDLHTEAGLKSLEAFLSGKTYISGDQITKDDVKVYAAVLDQPSADLYPTASKWYGCVASKLASSFPGQAVGVSIAVQATSAEAAPVKEAAKEPAAAAAADDDDDDLDLFGDETEEEKKAAEARDQAKASTKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVEIPGLLWGASKLVPVGYGIKKMTIMLTIVDDLVSVDDLIEERLTVEPINEYVQSCDIVAFNKI >KVH99889 pep supercontig:CcrdV1:scaffold_3036:45292:57831:1 gene:Ccrd_021869 transcript:KVH99889 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MNSSSSNSNHAFRNSNETNTILGFNSSPRAASRNPGGTAAAGSGSNLSRPRLLKMRRQASHNPRSNTTPGSRRAEEADAPLGFNPFRTESESVFGNSSSANVPKKSFSFGAGSRNNPNWNLGSQGGVSSAVLDDMRKSKTDGDNSFNATGGNAAFNFKVFESENKKNFDESMVDQLPKEINKLNIGGSSNLGSSKNLHDVNPMKSRFTNSFHNNVEAELQHEMHKMNLGNPGNVEWGNDNLKSFVFGKNSKMGEHLPRRSEPITPGKQQDEEKVKADLISDKMGELKVSGGGDDNSKSFVFGKNSATGGGFLGRSEPASLGKQRNEEDVKADLISDRMRELKVGGAGETSVFSTVFNDKMQSGTFMGKNFSFDHRNVEPKVYETPSSTHFGPFGNSPHTSRSERNAEYTFGSKMDNLGTPSLELKTPKGDLFSGVKSIPEAKKESFKDSRPKKKKGKLRKPVFGQLRPKQDFVFNQRSSLEIPESFEACSPMDVSPYHEPQADNYSRGTSVTSDDTSLMNDHNSMSSESHVNNSNATTDEDLLVATQRLDVNDVDVKCAIVKEEVSGAESFRSATENMEYSSDSFATADSEMSSTATSGRQEKEGTRLFKFGSKLENISKENFTFAASSSSQVLLSPDIRQHKKKHRLKTGQDSYSSTSDAKNTYASSSASEFFPISGNSSILSPGKTQKADVSKLSSKSEDNFKPINEQDSKQNLPEVSPSFQDSKHGTFSTASASIAAEETCEKWRLRGNQAYASGDLAKADDYYTQGLNSVSQTEKSKSCLRALMLCYSNRAATRIALGRMREALNDCLMAAAIDPNFLKVQVRAAHCYLAIGEVENASLQYMKCLQSGNDVCVDRKLLVEASEGLEKAQIVAECMKQYTELPRRTSDDLECALRVIDKALQISSYSEQLLQMKADILLMDRVICVVLQLRRYEQAIQMCEQTLSSAEMDTPRSSSSWRPSLIVKSYFYLGRLEEALEFIKKQESSGHITERYLFVCEDKELLFKSENMEVDIIMLLISRLGSMSLESLIPLAETVRELLSRKAAGNEAYKSGKYAEAVEHYTAALSCSVESRPFAAICFCNRAAAYRALGQIADAIADCSLAIALDPTYLKALSRRASYYEMIRDYGQAAIDLRRLVSLLTSQIEEKGILSGVLDKSSGMNELRQTQLRLYNIEEESRKEIPLNMYLILGVEPNAAASEAALSLSRSDNGDDGLWKEIAENVYKDADRLFKMIGEAYAVLSNPSKRSRYDVDEEMRNEANRFTRSNSGRFATDVQTPVFERSGSRRSQDSWRPYVYTQEKTTSSNRYSSRYP >KVH99894 pep supercontig:CcrdV1:scaffold_3036:246:2418:1 gene:Ccrd_021864 transcript:KVH99894 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease MYAGSFVLKFFSLWSSWAEHEDHGMDLSFNGLRSVFLKLINLTLSVVSNISAWRMYIVAPLTEELVFRSCMIPLLLCGGFKPYTVILLSPVFFSLAHLNHLLEFYMQQDTSLLKACMVVGFQLGYTVIFGSYASFLFVRTGHLAAPLVSHMFCNFMGLPAFFSQKTGMVSVGFVAGVLGFVYLLFPLTSPDLYNDRIDDCKCWHRYCDWS >KVH99895 pep supercontig:CcrdV1:scaffold_3036:61965:63745:1 gene:Ccrd_021870 transcript:KVH99895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MASKFMLLALLVNLLVFTDAQSVGVCYGRNGDGLPSEPDVVTLYKNNGITRMRIYDPBQNTLQALKGSNIEVMLGVPNDALQSLNDQNAATTWVRDNIQNYPDVKFRYVAVGNEVDPNKESGQYAGFVLPAMQNVHNAINAAGLGGQIKVSTATYTGLLATSSPPSNGAFDGNVRGFIEPIIRFLAQNNLPMLANIYPYFGNPSSNLPYALFTAXGPVVNDGXRQYSNLFDAMLDAHYAAQAPLGGEDVEIVVSESGWPSAGGDPVATVENAKTYNNNLIQHVKGTNGTPRKPGRSIETYIFAMFDENKKXGAETEKHFGIFSPNQQPKYEVNFN >KVH99892 pep supercontig:CcrdV1:scaffold_3036:21736:29292:1 gene:Ccrd_021866 transcript:KVH99892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin MRTSNHLIGMLNFLTFLLSVPILGGGIWLSTRANNTDCMSFLQWPLIVIGVAIMVVSLAGFAGACYRNTFLMYLYLWAMFFIIAVLIGFVIFAYVVTDKGSGRSVPNRAYSDYYLPDYSGWLKDRVASDSYWQKISSCVRDSKACRKTGRLSGCCKPPTECGFSYVNETTWNPINTALAVNNRDCLRWSNDQEQLCYACNSCKAGVLAGVKKSWRKVSIINIVVLVILVIAYVVACAAFRNNRRMDNDEPYGETRMEKSRPSWIRF >KVH99893 pep supercontig:CcrdV1:scaffold_3036:3327:16311:-1 gene:Ccrd_021865 transcript:KVH99893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MAATMDVEPEPSGAPTKGGWVTFPFLIATMAALTLAAGGWKNNIVVYLINEFNVRSIDAIQITNIVNGCTTLFTILGAIFADSFFGSFLVVSVSSLISLAGIILLTLTATLDPLYPAPCETGSSLCVGPSKMQLAILYTSLALSSVGLAGTRFTLGTMGADQFDKPKHQGIFFNWHFFTMYAVTLVSVIGIIYIEDNVSWGLAYGLCVMANVIGLAIFLLGKRYYRMLKPHVSPFTELTCVVIAAFQKRKVVLSLKSEDYRQEKHTGGTKPVGITPTTSFKFLNHAALLTQGDPTSNGSIKKPWNLCTVQQVEDLKTLIRISPLWSTGIFLCTPIAIQMNLIVLQALAMDRHLGPTYQIPAGTMQVFAMLSTSVSLALIDRFLLPTFQKLTRTTPTPLQRIGMGHTLTISSMAISALVESRRLATARTHKLHSNSIVPMSAFWMVPQLVVVGVADGLQLPGQVALYYQEFPKSLKSTAAAMVAMFMGIAFYMGTVVVDFLRKTTGWLPDGINDGRMDNVYWVLSVIGLINFGYYLEHMAATMDVEPQPSGAPTTKGGWITFPFLIATMAALTLAAGGWTNNIIVYLINEFNVKSIDAAQIANIVNGCTTLFPILGAIFADSFLGSFSVISVSSLISLAGILLLTLTATLDPLYPAPCETGSSLCVGPSKSQLAILYTSLALSSVGLAGTRFTLATMGADQFDKPKHQGVFFNWHFFTMYAGTLVSVVGIVYVEDNVSWGLGYGLCVVANVIGLAIFVLGKRYYRLLKPQVSPFTELACVVIAAFLKRKVALSQKSEDYRQKTHVGGTKPNPWNLCTVQQVEDLKILIRISPLWSTGILLCTPIAIQMSLIVLQALAMDRHLGPTFQIPAGTMMVFVMLSTSISLALIDRFLLPTFQKLTRTTPTPLQRIGIGHALTISSMAISALVESKRLATAQTHKLNGNSIVPMSAFWMVPQLVVVDSSPDDC >KVH99891 pep supercontig:CcrdV1:scaffold_3036:30478:36029:1 gene:Ccrd_021867 transcript:KVH99891 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit D, mitochondrial MSGVGKKVADVAFKASKSIDWDGMAKMIVTDEARKEFSSLRRAFEEVNSTLQTKFSQEPEPIDWEYYRKGLGSRIVDSYKEYYESVEIPKFVDKTTAEYKPKFDALLVELKEAEQKSLKESERLEKEIAEVQELKTKLSTMTADEYFEKHPELKKKFDDEIRNDYWGY >KVH93647 pep supercontig:CcrdV1:scaffold_3039:37386:54883:1 gene:Ccrd_004301 transcript:KVH93647 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MQIMKSLIIGSFPNKVSSYSPSLPDSYTSLISTGSFHVSLRASKLKQSQPNLVRYSNGSFNESSLLSRKCNTRHVANAVSEQPMEPDSTNPQNSLPNALNAFYRFSRPHTVIGTAIVAAFFMNIYIVGLNQLSDIEIDKVNKPYLPLASGEYSVQTGVIIVSSFAVMSFWLGWIVGSWPLFCALLISFLLGTAYSINVPMLRWKRFALVAAMCILATFVYGRLAVFPKSVIFATGFMSFFSVDIPDIVGDKIFGIQSFTVRLGQKRVFWICILLLEVAYGVAILVGASSPFLWSRYITILGHAILGLILWGRAKSTDLDSKSAITSFYMFIWQLFYAEYLLIPLVR >KVH94138 pep supercontig:CcrdV1:scaffold_304:86264:87031:1 gene:Ccrd_003796 transcript:KVH94138 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEIRSPMYVRSGVKFSEHVVTTNKFVHDEPTTTTTAALVKQKVVRITLTDPYATDSSGDDGGVVMVRRVKKHVFEINVNPPSSSNESRTRRRRVRRTGSVEKKYRGVRRRPWGRWAAEIRDPSRRKRVWLGTFDTPEEAATVYDNAAVMLKGHNAVTNFPTVSVTETVIVESKNPMVTTTTGDEEPVNDVVLSPTSVLPFDDKLPPFDGLGYCDVDAFGFHIDVPINFPEFFVPEKYSGEEFGDFDIDDFLVDVG >KVH94125 pep supercontig:CcrdV1:scaffold_304:110983:112290:1 gene:Ccrd_003799 transcript:KVH94125 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MSNRGMTKKFLISEMDRSVKRMLQLIEEEGDSFAKKAEIYYQKRPLLVAQVEDFYGMFRLLAERIENPAPGDVKRTVPTDLRKQNSGGISDFCSEPPSRMHSPTDNRLTRRLSGTRPGGFDFIGNKDGDENWMMESESDSDDSSIFNYSSASTYPSYRRLRRRINELESELRRVQIIQEVNGVASLQEELRLVKDKLRSSEEQIGKLKLKLAEKYESQRSNDKDSKTSSVCNDSDRVYGSDQSENRDVSKLEAQISRYKSSLTERDQEILKLRNQVTNLLKERAFRIKEWGLQHEMGMKRTEIEDEMGLKKTELEDEIEELKIERDGLVAKVSDLEEEMSLKDDQIEHMHQRLQKLQSQYEKSSRSIEELGCRSRELEQVIEKQQEMIEEAAEDKRQAVRQLCITLEHYRNAYQMLRQDLKEQRKPVKFRSSGAL >KVH94149 pep supercontig:CcrdV1:scaffold_304:207277:226492:1 gene:Ccrd_003808 transcript:KVH94149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloenzyme, LuxS/M16 peptidase-like protein MAVGGCTFSSDDIVVKSPNDRRLYRYIQLPNETVIVIVLQAAAAMCVGMGSFCDPLEAQGLAHFLEHMLFMGSAEFPDENEYDSYLSKHGGSSNAYTEVEHTCYHFEVKSEFLQGALRRFSQFFISPLVKTEAMDREVQAVDSGVFILLLFENSRNKKSLVDAMEKGINLRDQILKFYNDFYHGGLMKLVIIGGETLDVLESWVLELFSNVKTNNAIKSEVRQGIPIWSPGKIYRLEAVKDVHILDLSWTLPCLRKDYLKKAEDYLAHLIGHEGRGSLLFFLKAKGWATSISAGVGDDGMHRSSVAYIFGMSIHLTDSGLEKIYEIIGFVYQYLKLLQQVSPQEWIYRELQDIANMDFTFAEEQPQDEYAAELSENLLIYPPEHTIYGDYAYKVWDEEMVKHVLTFFTPDNMRTDILSKSFNKPEDVQCEPWFGSQYIEENIPQSLLELWRDPPEIDVSLHLPAKNEFIPQDFSIRANTVSCNSTSASPPKCILDEPLMKFWYKLDKTFQFPRANTYFRVTLNGAYRGLKNVLLTELFLNLLKDKLNDIASVAKLETSISLVSDKLELKVYGFNDKLPVLLSKILETAKSFLPTDERFVVIKEDMERTLRNVNMKPLNHSSYLRLQLYIEGLCHGNLLEEEAENISYIFRKYFSVQPLPSEMRHKESILCLPSNADLVRDVPVKNKLDTNSVVELYYQIEPEVESKLAKSKALVDLLDEIIEEPLFNQLRTKEQLGYVVDCSPRVTYRILGFCFRVQSSEYSPSGLDDESFQNFKSGLIAKLLEKDPSLAYETNRYWGQIIDQR >KVH94129 pep supercontig:CcrdV1:scaffold_304:65664:72725:1 gene:Ccrd_003793 transcript:KVH94129 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome subunit P45 MASTMVLEPKPSSSATPPPTLPNPYTMDSPLIDTEDDLYTRLKSLDRQIEFIEIQEEYVKDEQKNLKRELLRSQEEVKRIQSVPLVIGQFMEMIDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQITAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFDFYK >KVH94147 pep supercontig:CcrdV1:scaffold_304:191246:191752:-1 gene:Ccrd_003806 transcript:KVH94147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MIPATVVHRRILQTDVKVPPSAANGSSPDGSYTGGDDSFDNNMMIILAVLLCALICALGLNSIVRCFFRFGRTFVLENPGVQATTGVVASSGRKKVLLTEIPVVVYGPEMKIPATDCPICLGEFTEGEKMRMLPKCKHWFHVKCIDKWLLSHSSCPICRQLIFELDEA >KVH94126 pep supercontig:CcrdV1:scaffold_304:104420:107272:-1 gene:Ccrd_003798 transcript:KVH94126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSQMLIFIGLFLWGFLQIPNSNAFSEINPIYNVNLAPFIQRLSAYYCLQNVSSDCPGNFTLKIDGWLNISASETLAFCDGGCAQHTQAVLKCVWYVKDDFKFENKATIKNLNDTIISGCAQGFSGTSLHQGNGGSGTTTSVITTLCAMAFLALFYI >KVH94133 pep supercontig:CcrdV1:scaffold_304:309994:311310:-1 gene:Ccrd_003814 transcript:KVH94133 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF641, plant MEGAVKPTQPSSNISEIVNKFAKVCKFRSIGVFSSENPSHFHQQEFSNDVKECDNGIGKTNSDGAKVFPDLAEVCSRTSWSGHDDILKLFDILSELKLAYVQLQEAFIPYDSDKVRVADELVFSNIDGLCKIRRTIKEKQFQKSNSLSACLTLLRAETKVQERLLERLKSQTKKKDIEISYLKGELCDLDGKNRALMEEIKRRKREAIKRLNLASVESVVKEVSKGIHDFAKPLIALMKASGWDLDEAANSIQDSVKYSIRSHKKYAFEAYISRRMFYGFSSKSHNLDDVLRFNDPIDVLIEDPNGNFAEFCRTKYLLIVHPMIEASFFGNLDQRNFVSSGRHPITPFYQLFVKMARWVWLLQGIAASDPKSEMFVVNRGSEFSDDYMDRVEGCTDDLGSLDDHRGRYKVELIIMPGFRIGEKLIKSRVYVSKLNNTC >KVH94141 pep supercontig:CcrdV1:scaffold_304:130579:133751:1 gene:Ccrd_003800 transcript:KVH94141 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MPSKLANAVSILPSDQLRLHLTPSGYHFYKTAFPLSRSITTKLADNYHHLLAAIGHREITMDLLLLEKTLIGLFAAIIGAIVISKLRGKRFKLPPGPIPVPIFGNWLQVGDDLNHRNLTDLAKKFGQIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRYGWEAEAAAVVEDVKKNPAAATEGVVIRKRLQLMMYNNMFRIMFDRRFESEDDPLFLKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKLCKEIKEKRFQLFKDYFVDERKKLGSTKKMDNNQLKCAIDHILEAKEKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQSKLRHELDTKLGPGVQITEPDVQNLPYLQAVVKETLRLRMAIPLLVPHMNLNEAKLGGFDIPAESKILVNAWWLANNPDQWKKPEEFRPERFLEEEGKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSKIDTSEKGGQFSLHILKHSTVVAKPRSF >KVH94148 pep supercontig:CcrdV1:scaffold_304:235104:236683:1 gene:Ccrd_003809 transcript:KVH94148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MTSNTISLVFVLATTLLHTTTAAYGGWQSAHATFYGGGDASGTMGGACGYGNLYSEGYGTNTAALSTALFNNGLSCGGCYEMKCVNDPQWCLPRSVIITATNFCPPNFDLPSNNGGWCNPPLQHFDMAQPAFLHIAKYKAGIVPVSFRRVPCIKKGGIRFTIHGHSYFNLVLISNVGGAGDVQAVSIKGSKTGWQTMSRNWGQNWQSNSYLNGQSLSFRVTTSDGRTTTSYNVVRAGWQFGQTFQGGQY >KVH94140 pep supercontig:CcrdV1:scaffold_304:131002:133556:-1 gene:Ccrd_003801 transcript:KVH94140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDMQAKLSTFLTRIDLRLSRWRQQLEILHQTPERNPKNRQRQDYPRATPSPDAKRQVPEIIPVSLNLPFLFQEPLGPKLLGFLPLVGVVGEPPGVHQDLAFCRDVEATKLSLVERDRHSETEGFFDDSLEVREVLNVWLGDLDSSPTDEHTSNIDVLNNVKDIVFVDFSLLLGFKNVINGAFKLVVVHFLRASKLPIYLLPFINEVILEELKPFLFDFLAQFQIPSQKWPQNGDEITIVILEALCQSTPLTIQCLKLQEQWIIFTFKSPIEHDSKHVVIHHQLQPLPDHDTFRRRRWILLHILHDRSRLRLPPVSVLLNNFVGEERHRHDPSHLPPVLTVDSEHHILSLSSEYIEDDVSCSRSEFNSLRMEYFLSEFRR >KVH94127 pep supercontig:CcrdV1:scaffold_304:15190:30125:-1 gene:Ccrd_003791 transcript:KVH94127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSELRFSWFRVVWAMSLLLVVADSQSTHPTEVTALQVIRRSFDDPENSLSNWNRGDPCVSNWTGVLCFNTTMDDNYLHVQELQLLNLNLSGTLSPDLARLSYMEILDVMWNKIGGSIPKEIGELANLRLLLLNGNQLTGSLPEEIGHLPNLDRIQIDQNFISGPIPTSFANLNKTKHFHMNNNSLSGQIPPELSRLPDLVHLLLDNNNLSGYLPPELSELPKLLILQLDNNHFDGSIPPSYGNMVQLLKLSLRNCSLQGQIPNLSRIPKLAYIDLSNNNLNGSIPAMNLSDDITTIDLSRNRLTGTIPPSFSGLPVLQRLDMKNNRLSGISGSLDLPPNVNLRLQGNPACSNGSMEDFCGSSSALVSHLQSTPSNSTDNCEPQSCPTFYEYAPGSPVRCFCAAPLLIGYRLKSPGFSDFAAYFYPFEVYLTSGLEIKRFQLDLTYEWEKGPRLRMSLKIFPEFTGNNSNTFNSSEVLRIRDLFTQWEIPDNSIFGPYELLNFTLLDPYRDVIPTTTSSSSGVSKGVVAGIVIGAIIGAVLLSAFVSLYILRLNRRKYHAVSKRRHVSRASLKIEGVKSFTYEEMKHATKNFDVASEVGQGGYGKVYKAKGILYLHTEANPPIFHRDIKATNILLDSRLVAKVADFGLSRLAPVADLEGIVPGHVSTVVKGTPVSIVFF >KVH94142 pep supercontig:CcrdV1:scaffold_304:148212:153832:-1 gene:Ccrd_003803 transcript:KVH94142 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MDSSSSSVAVAVAMNEVKEEERPHVLVVDDSLVDRKIMEKLFTNSACKVTTADSGKKALELLGQGEDKHTDINHQGPKINLVVTDYCMPGMTGYDLLKKVKESSDIKEIPVIVVSSENVPTRIKKCLEEGAQEFILKPLKQADVKKLRCHMQFTKPMKGRLCMGRYTMKRTSDNK >KVH94128 pep supercontig:CcrdV1:scaffold_304:8614:11636:-1 gene:Ccrd_003790 transcript:KVH94128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDSKIRIYDVGMKRKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVSIGQVLLSVRCKDTNSQNAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYVQWKSENRIIFLDAMDLLQTVSLEELF >KVH94134 pep supercontig:CcrdV1:scaffold_304:291615:292619:-1 gene:Ccrd_003813 transcript:KVH94134 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding domain, ovate family-like protein MGNYRFRLSDMIPNAWFYKLRDMSKTKTSTNHNKKPPPSSAYHSSSTTTAPPHHHHFSHPRTSFYYTPKVNQFHNSPTSKLPHFPHDPPRKSSKRSRPHRKTIYRPSPKHTTSLAESTQTLRDFFHSPTTNTSLGSPPDPNSQSPSSESTQSGLATASWCTSCRVSSSTSDIIIDINDKSLAKKIDNSSGFDLSPEMELKLPPIITKPAKSTPRNRQTSLSGKKVKETNQTPARKSVTGVKLRPNSPKLAVSKRIVQKSAQKKTLSESFAIVKSSFDPQKDFMVSMMEMIVENNIRASKDLEDLLACYLALNSDEYHDVIVKAFEQIWFALPDL >KVH94144 pep supercontig:CcrdV1:scaffold_304:186644:188552:1 gene:Ccrd_003805 transcript:KVH94144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRETLAKARRELEELYLGVPDDSVNLTFQDFAEVSQNLSAGKKKPSPSAPMPTTKKMDPISESTTPKHHHFPPQHLAKIPSLDFSRGLEAASTTTAPSHHHHRVTADPPTLSLPPGSGYRHHGGHQVEEVVVRQHGNRGHDFYGHGDQHGMKSHGHGNHHGHHVMENSMAYDDMSMMSGMSMTSMYQEKTSRRRPGIPHSNICTVCSVYIYIFRNRCLVCGRVYCRQCLNIGMGEMTEGRKYIHRAGNTGYWSSCFSGYPNGVKIQELKWAEKGPRRSAENRSVMMSKSRSPLGPPRTPNRAHIPSGPPSFVTTPDYTPYATPTRHHLPF >KVH94135 pep supercontig:CcrdV1:scaffold_304:238750:245196:1 gene:Ccrd_003810 transcript:KVH94135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene isomerase MHLGSFSNCKGNMNLGFPNSQFLHDFKACQLGNSGFLYEPRCTKVRSSCFGSLKPLNYSVFCEPRWSNQSVSCSKPLKTQNYSGKEVGFLKTVEKKKNLLSVRVKSVIGVSKVVEKDDVAEVKMMDSGGGGGGYDAIVIGSGIGGLVAATQLAVKGAKVLVLEKYVIPGGSSGFYERDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGCKMEVIPDPTTVHFHLPNSLSVQVHRGYDDFISELTSKFPHEKEGILKFYNECWKVAYYLPQNAGAIARNFIKDPEVLAFIDAECFIVSTVSALQTPMINASMVLCDRHYGGINYPVGGVGGIATSLTEGLVDYGSEILYRANVGVRLSDGREFFAKTVISNATRWDTFGKLLKKQDLPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWKNLEEPYGSIFLSIPTILDPSLAPEGHYEAKKELVADKIISRLEKKLFPGLKSSIEFKEAINGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADVGLEKKSQVLDAGLLGLLGWLRSLA >KVH94130 pep supercontig:CcrdV1:scaffold_304:62156:64516:1 gene:Ccrd_003792 transcript:KVH94130 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MAAHAKNTNRVSLAMERTGQWVFSQDIPTDIVVQVGEAKFPLHKFMLVAKSNYIRRLILESKEPDMARLDLSSIPGGAEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLDMTDQYCDGNLAGRTDDFLVQVALTSLSGAVVVLKSCEDLLPIAEQINIVQRCVEVASAKACNEANFPSRSPPNWWTDELSIVHIKIFEKIIASMKSRGAKALTIASAIITYTERALPDLVRDQSGNSRKSSISMDSGTRNQQRKLLESIIAILPIENQRASFPISFLCCLLRTAIFLENDDIWKKQLEKRISAMLEHVTVDDLLVLSYTFDGETLYDLESVRRIISGFVEKEKSVSVFNGGDFREISSTAVLRVAKTVDAYLGEIATVAELSISKFNGIANLVPKNARKLDDDLYRAIDIYLKIVLHALYFDQLQIRSGKTENNTPDALSMRSQVQADVSLAKENEALKSELLQMKAYISDIQHKNQVGSTSARIGNPKKHRFFSSMSKTLGKLNPFKHGSKDTSNIVDDGDDVDHTKPRRRRFSIS >KVH94143 pep supercontig:CcrdV1:scaffold_304:139371:147921:1 gene:Ccrd_003802 transcript:KVH94143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFDTEKAILAASEIFWLCLNEEIFSPESQHRRGIWFLPKSTRQKQYFEERKRQQQHSSSGLESYSDDKVPCRPCHENNRSLDVLSLLNLTKNGQDLTSRFPEDCSSILPESLLSNLYQELRPITWRRRFLFDFSSSSHLDASKKNDDKEPKKMITDHVDHNLSVVDMLGDDGQNSISRGSLVHEDHVAFSVEGLGRVEMETPVHSPQQPTRNFSCGFPTPSKISKRSHSSKNLNCSLEDQFPELIMVGEESWLHDVKDFLSNDEFYDIRVEQDRYQWHEKSSFFGDKFLDDICGLSWKNWPSDLGSSMMNHMRSRNCDKPDFSFEGLHMQRRRGGAREAGSFNISELSSPYKHQREHGYDLSPSRFKTEGRLFSFGLDGDEDITRYAKFFGAHMQFAGKCLTNIDNGSYPTIDGYCEFSEMAHCSAWPSFATEDARDCLSLSSEDSCLSHTGDDLKKMHINNYLSMLELLNNTIMFLNVVWHEGTKNLSFNSTEKRKIRGHEADSRIPLDKFSTKKKTNTQEFCMKRLNTWQQESVICSRTRNRMSGLSTPGDDWLFGDQCNIENVKLGYANMNASETQKASPFGCKHWTEDPFESGFNPELYVDDKPSALRSEHDAVSDNFFSVKVASQQVNPGPSSPIHPNINVECGHQESFDDLLKEEKDSPKIQLSVSTRENKSIILPSISSMLHQHEENCHQSNYSSSTNGGPTDFLDSEDNHSHFEESKVKTPEIAPGPDAVLSPVCSEGGSSSVEMPGSWKVVMP >KVH94146 pep supercontig:CcrdV1:scaffold_304:199976:204373:-1 gene:Ccrd_003807 transcript:KVH94146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDIDVSGWILEFLLRQNSVDDRSLNDLIRVLPLPNNNPRLKKSLLLRKIESDIEKGTISERTFEFLEQIEELDVKEGEAEVSEAMKAAYCTVAMHCTVKFIEESAGDSKEEYASTVRRIWGGRIREMERSEVAERVGLVQDDLLLWMNDIEAGVLDADACENVLTMVKGLDVLEVLRGYVNEAKEKMGPSFLELACETILNDDALSKTMGLDEGAELNNQIKDDAPTVAPAITQNVDANADNNVKGNEALREPVLPRHKHVATRCSRGSGRETHRGAKIVDPMETFRNRYDSIPTPQVKKVQKDLKTSSFELRAVVQDPLLDALRYAESFKASTSGENMAQDHVVGNSNRINVEAPSSSNDRNCKASEANGDDGFCRDQNKRPKPSLMERNSTAHTLEWSDSIDSSSKDGSPARPHLPSPKKRAVSPLRIYKMEKLARKRKKKRWTTIEEDTLRTGILKYGKGNWKLILSTYRDIFEDRTEVDLKDKWRNLMR >KVH94139 pep supercontig:CcrdV1:scaffold_304:76327:80201:1 gene:Ccrd_003794 transcript:KVH94139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGEQFLMKLCRAQLLRVWPFFVILSLLPLACADLDSDRQALLSFAADVPHGPRLDWSNATDICTSWVGITCNSDATRVLTVRLPASGLNGPIPPNTLGELDALRVLSLRSNRLYGNLPSDLLSLPSLRSLFLQHNNFTSNIPAFFPRRLHILDLSFNSFTGNIPATIQYLTSLTGFYLQNNSISGPIPNVTFSKLKHINMSYNNLNGSIPSSFKTFPYSSFTGNPFLCGPPLKSCPLHPPSRPSPKRKFPFWAIIAIAVGGVVAGLLLVALFVFCFLKNKSSDESRVRRGKSPTDGRGENPRAEFGSGVVESENNRLVFFEGCSFNFDLDDLLRASAEVLGKGSFGTAYKAVLELEESMTVVVKRMKEVAVGRNDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYFQGGSLMSLLHGNNGVGRMPLDWENRVKIVLGTARGIAHIHSIGGPRFSHGNIKASNVLINQDMDGCISDIGLPPLMNTPSSSHTAGYRAPEVVETRKHSHKSDVYSFGVLLLEMLTGKQPIQSPGREDMVVDLPRWVQSVVREEWSAEVFDVELMRFHDIEEEMVQFLQIGLACVVRVPDNRPTIHEVVRMIEQTRLYDFENGAPSD >KVH94132 pep supercontig:CcrdV1:scaffold_304:2899:6668:1 gene:Ccrd_003789 transcript:KVH94132 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MAEEITSNSYEEARKQQLLENKKRFELDIGLPSVRRRSNSNSSWASYLARPLEEVKTASYEERVQAIRSAEKVQSNLQSEYPSFVKSMVRSHVYSCFWLGLPTSFCKKHLPKSTVSMVLENEDGNEYDAVFISERTGLSGGWRAFALEHKLDDGDALVFELVEPTRFKIYIVKAASDDNMSNVEKEAAETKETSSASKKRKKTLDSSAENGKKLKDVEEEAAETKETSTASKKRKKTLDSSAENGKEAAETKEISTAPKRRKATLESSTEKKGEKPKAGSHQTKKPKENVTATRRSTRKRNGQ >KVH94145 pep supercontig:CcrdV1:scaffold_304:168121:177992:1 gene:Ccrd_003804 transcript:KVH94145 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MSSSKICMNGLCGAKMTPQWKRGWPMKAGGFATLCYSCGMLVGMNSILLVQVIIKPTILSTIAEDNRKYEQLLQVTCPKQTAYEHVNYCERFHLNEPGWRECKFCDKPVHCGCVVSKYLHECLDLGGISCIKCIRARGTQALKPIQSYPSDIPNGFVPFSGTWHPSIIGKRMNGGASVDKGKLTQLSEAIEKHQPSPSSSSIGHQIRQDENRLPSGELGMGFSSSKDVGTIFPSSSSLFATPDNGRPDSGLKALYEAMPQPSLSYSLANALATTKSSVLSNPSGVVDGREPEKVPSFKQGQRSRLTFPKPSKSGVSIRSQSNKGMVSDNRVARPPAEGRGRNQLLPRYWPKITDQELLQISGDLNSNCTITPLFEKVLSASDAGRIGRLVLPKACAEAYFPAINQSEGLPIRIQDIKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVIFSRLDPGEKLVIGCRKAAKNSEHEGMRNGDSVQRPVIQEKKKTRNIGSKNKRLLMHNEDAMELKVTWEEAHELLRPSPTSKPTVSMIENCEFEEYDEPPVFGKKTIFTEHASGSQEQWGQCDSCSRWRRLPPDVLLPPKWTCSDNIWDPDRCSCSAPDEISTRDLERIFKVGKDLKKRKLAEGRVVEEPSGLDALATAAVLGESIGEFGESSAGPTTRHPRHRPGCTCIVCIQPPSGKGKHKPNCFCNVCLTVKRRFKTLMLRKKKRMSDREAEVVQKPLVALNNGMMSPGGRIIDQNGMEVEVGESSSSKGGGQLDLNCDPDKEEEMMAVDDGSGIIPLEWKNVLAGLVPCAMPKRTNEIEGRPPVEGDLNPSSSETIPTHPPTDETASPMDDDDAAAAAAAKVEMEKAVEDGFSHQPPSAAALRL >KVH94137 pep supercontig:CcrdV1:scaffold_304:98707:104647:1 gene:Ccrd_003797 transcript:KVH94137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 MAEEGNQIEVKDETPDFVFDGCNAVVPTHCHLHLAPFDPTKKKKKKKVVIQDPADDSVEQLVEKTESLSVSEGLEPTFTGLKKKKKKQVQTDLLEDDKENAEEDVDYPTGEDEEGEGIVLEQQKLPWEGTDRDYKYEELLGRVFHILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKSMHRQPEHAMTFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEKCGSGRSVAQIKAGFVARVGRRKAGT >KVH94131 pep supercontig:CcrdV1:scaffold_304:81502:83772:1 gene:Ccrd_003795 transcript:KVH94131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFQWQATIMGPSDSPYSGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYEATARSWTQKYAMG >KVH94136 pep supercontig:CcrdV1:scaffold_304:246252:254414:1 gene:Ccrd_003811 transcript:KVH94136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFVEILRSKPKLEVIHKEARKMGEGQRFQLGTVGALGLSVISSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHLALRMKFFEHKPFDSKAVMGFGILNGISIGLLNLSLGFNSVGFYQIFIPGSIIDDKTSNHPLHCTFGDSFLQQAIQMTNTIQKKFKVSSTQLLYQSCPYQALILFIVGPFLDALLTNQNVFAFKYTNQVLNESESDPLVSAEDGASVSNEGSPQKPPVWNSDKDLHA >KVI07973 pep supercontig:CcrdV1:scaffold_3040:17580:26593:1 gene:Ccrd_013661 transcript:KVI07973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MFPEMAMRDDQTQETLKSQEEHKGVKHMCEMGITRVPNKYVLPVFNRPYDSITVKPYDDDNLDLPIIDFAQLHGPNHAQLIASVAHACENYGFFQMINHGISSDVVRKMEDVGRRFFELPLEERKKYMSTDIHSLVRYGTSFNQTNDGVFCWRDFLKLVCNPDAYSQWPSSPSNFREMGVGYARKTKLLFQLLMEVILESLGLGLANTKKTPKTDQEFGQGNRGNKAEEYDDFRKDMEDGSQLMVVNCYPPCPEPELTFGMPPHSDYGFLTLLHQDEVEGLQILFKDRWVTVRPHPQSFVVNVGDHLEIFSNGRYKSVMHRVLVNSMKSRISVASLHSLPFATLIRPSPGLINDENPQRYKDTSFTDFVQYITTCDSKHKKFLDTRKICHNYL >KVH87545 pep supercontig:CcrdV1:scaffold_3041:17954:19375:1 gene:Ccrd_025176 transcript:KVH87545 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MVTPAMATDQNSVVVVMVPLPLHGHLNQLLHLSRLVASYNFPVHFVCTTTHCRQAKLRVQGWNPHTISTINFHDFPIPPYASPPPNPHSTNKFPSHLQPLCEAATHLRRPVATLLRKLSATTRRLVVIHDSLIGSVVQDFVSLPNAESYTFHSVSAFSIALFTSQKVGEQIQELVEPHALTKDLLSFEGCFTSEFKKFITVQHEYTKLSSGRIYNTCRIVEQPILDLLATDARNRNKLLWALGPFNPVDIKPTPLSRKDGGPGDRCLKWLHKQASNTVIFVSFGTTTSFSQEQILEIANGLEKSNHKFIWVLRDADKGDMFRDDLRRVELPEGYENRVKDRGLVVREWAPQLEILAHPSIGGFMSHCGWNSCVESMSMGVPIAAWPMHSDQPNNAVLVTKILKIGLLVKEWCRREQVVAAAAVETAVRRLMGSKEGQEMRKRAVAMGSRVRKSVKDGGITQMELESFIAHITR >KVH87544 pep supercontig:CcrdV1:scaffold_3041:50967:52539:1 gene:Ccrd_025177 transcript:KVH87544 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAAGDRNRTPAAVVMVPLPLQGHLNQLLHLSRLVSDQNIPVHFVSTTIHCRQAKLRVQGWDPLSVTSIHFHEFQTPPFVSPPPNPNSTTKFPSHLQPLCEAVVHLRHPVAALLRKLSYTTHRLVVIHDSLMGSVIQDFVSLPNSESYTFHSVSAFTMSMYTLGTIRKQLDKLTEPQTISKDHLSFEGCFTSEFKKFTSMQHEYAKLNSGRIYNSSKIIEQPMLELLENEEKKRNKKLWALGPFNPIDIKKTTKRGKDTNYRCLKWLDKQESNTVILVSFGTTTSLTKEQIIELAIGLEMSEQKFIWVMRDADKGNVFRDHITRLELPKGYEDRIKGRGLVVREWAPQLEILAHPATGGFMSHCGWNSCMESISMGVPIVAWPMHSDQPTNAVLVTKILKVGLAVKDWAWRDEVVVAADVEKAVKRLMGTKEGEEIRKRAVEMGGDSGAAVSR >KVI11422 pep supercontig:CcrdV1:scaffold_3042:45414:46793:-1 gene:Ccrd_010166 transcript:KVI11422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal CVCVCYILDSNIYIKLFTFLSILLTFKYIIKMKDEIILLDCWASSYGMSVKIALAEKGVEYECREENFQDKSPLLLQSNHIHKTIPVLVHNGKPICESLIIVRYIDEVWHDKSPLLPSDPHQKSQALFWADYIDKKVAKKELIEVLRNLERELGDKPYFGGENVGFVDVALVPFTSWFYTYETRGHFSIEAECPKLMSWAKRCISERKSIAKTLPHPHKIYEYALDLLRK >KVI11423 pep supercontig:CcrdV1:scaffold_3042:39949:42193:1 gene:Ccrd_010165 transcript:KVI11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDLLLVEKTLVGLFVAILGAIFISKLRGKRFKLPPGPFPVPIFGNWLQVGDDLNHRNLTDLAKKFGQIFLLRMGQRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRFGWEAEAAAVVDDVKKNPAAATEGIVIRRRLQLMMYNNMFRIMFDRRFESEDDPLFLKLKALNGERSRLAQSFDYNYGDFIPILRPFLKGYLKMCKEVKEKRLQLFKDYFVDERKKMGSTKSMDNNQIKCAIDHILEAQDKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQAKLRHELDTKLGPGVQVTEPDIQKLPYLQAVVKETLRLRMAIPLLVPHMNLHDAKLGSYDIPAESKILVNAWWLANNPEQWKKPEEFRPERFFEEESHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGMSKIDVKEKGGQFSLHILNHSTIVAKPRAL >KVH89147 pep supercontig:CcrdV1:scaffold_3044:27165:28826:1 gene:Ccrd_008868 transcript:KVH89147 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF125, transmembrane MAGNCGGATTTTTPLLPDVAALEKNSGGERPKEPWKGELVKSIVYAGLDAIITSFSLISSISAGRLSSAGRGGKGADGDEVGGHEPPKEPRTIVTPAVVNIFAKYGDIMVDEKMVQNGILSPDDGEKPWKKGLITFGAFLVFGSFPILAFIILIPFTRNDTHKFIGACILSALALAILGIAKAKIAGQNYWVSVGGTLFNGALAGFAAYGIGWLLRDVAGLED >KVH89148 pep supercontig:CcrdV1:scaffold_3044:53326:59443:-1 gene:Ccrd_008869 transcript:KVH89148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MVYLLETGCHQQSPSLSLFALVLPLPYVLFRPLSLRLSLSPSRVYICDFDSGFSSTHPQFYFAISLDLCTLEADNKYRVGVLHELLSLTLEKRALIHHIVEFKEELSLTKHTYSMLLKQPQSYYLARTEVNWVIFLKDAYGEDGVLVHKEPQLSPVHVAETALFAYPCCQRACVESVFWVMPLTRLVADALGVVTISLVAVLGLIGLLCILYSFYFRSHIRSQGYNRLGYFGGPWVVRIIFILFAIWWGFGEILRLEFLRTEGRVLHTLNLRWPDIVCKCYIMSNLGFAEPCLFLTLIFLLRASLQKTESGPLSQNWNFRTAIYILLCSFPMFALQMMVVLVGPHYKTNLGTDKWGHFFKITSEDDVTRCYYPLLSTIFLGLFATVLTLYLFWLGRRILSLVISKGMQRRIFVLIISISSFFPLRVLLLGLSVLSKPGETVFEVLAFLAFLSLLCCAGLGVCMLVYLPIADSLALKNLQDIEDRRRIIEEYNDTLSLIANQSPLDEFITSSGRNSVASAKQGSISFRTMERDDHSGGFVELSLFSPSQHSTPIGSPQLLGWPMLPPAAAAP >KVH89149 pep supercontig:CcrdV1:scaffold_3044:21479:26536:1 gene:Ccrd_008867 transcript:KVH89149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGLMQFPTCPQEAILLQQVITYHSADKPSELKAEEGYLEMSESCEFLKKQWPLMSGWKIQSCTLTTIINQIERRLRFITSARKA >KVI02323 pep supercontig:CcrdV1:scaffold_3047:1004:11405:1 gene:Ccrd_019389 transcript:KVI02323 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, core MEEVDETEAVPQNNLTNPVKEEDERNRGLIESDRVGISYYDSLTCELHVLELWEDGTAEFPLIDMGKILFYFSSYLHYLIQVVANFINSSTKFGETKFSSLERVKPLIIYTSTKSEESLLAALQPNDGANGMPTVKLMKSSIFSYEQAWHRLVYLRVSGMDDGLNIKERICFLSSMMDIESEVQVRASGGLLAILENERVVDTIEQNECGSVSITIDSVMQISLNNFLIVDSAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGKRLLRTWFLRPILDLDNLNGRLNIQISFFVSSDELLTSLRDTLKFVKDVPHILKKFNSPSSVCTCGDWTAFLKSLSALLHINKIFELGISENLQEHVNNLSIHIVEKVIGVIDVNRSKDKGYETTVKDGFCDELDELRQIYEELPEFLEEVLLVPIMNGVSYVSALELARLPYMSRNKLLPQIVYISQIGYLMCFFEEKPDEFILEKLEDYEFAFSEEDGDVRKFYYRNAKTRELDSLLGDIYHKILDMERAITRDLVSHILEFSMHLLKGINFAAELDCFLSLALVARQNNYVRPTLTAETVLDIRNGRHVLQEMTVDTFIPNDTKIIDYGNIHIITGPNYSGKSIYIKQVALIVFLAHVGSFVPADTAKVGLTDRIFCAMGSKVMTAEQSTFMIDLHQVGMMLRHATSRSLCLLDEFGKGTLTEDDFILYANNATISFLDGIGLLGGTIDYFTSMHAPPKVLICTHLTQIFADSHLSESNKVKYYTMSVLRPDNNSEDIEEI >KVI02324 pep supercontig:CcrdV1:scaffold_3047:26613:65185:1 gene:Ccrd_019392 transcript:KVI02324 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MHVEISGFKDSAHKEALSAIDSITTNSPEGIESSKKNSNDGQNGETDDISGTKAQLVQDEEREKGRVGFSVYWKYVTXAYGGALGPFIILAQILFQMFEIGSNYWMAWASPVSASDPARVTGSTLIAVYVALAVGCALCILTRGLLLATVAYKAATLLFHKMHLAIFRSPMSFFDSTPSRRILNRVRYAPHMPLVLRGLTCTFEGGKKTGIVGRTGSGKSTLIQTLFRLVEPSAGKILLDGINISTIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLEEYTDDKIWPTPNLKTLYVKKATDSLQLHMPVECLKLKYIYLRRVKWMTPDLRHTPNLETLKLVDCVDLVELLMPVECPKLISLELTHFELRTLDLGLIPNLKTLYVQKWNDFVELNIPVECLKLEYLNLRCVKWMTLDLGRTPNLKTLELVNCVDLVELHMPVERPKLISLELTHSKLRTLDLRRIPNLEKLSLRRCHDLVELQMPIECLNLITLDLSHSKVRTLDLGPTPNLKNLYLRNCYHLVELHVPVGGLKNLSILGLSGCFGFTSFDYTKKFRCFKFGYLEVGSLAEFHLRAELLDICPLHSKCTFPKFQFTCFFEEVVPSLIGNPENTSIGLRACANLISLSRRICGLQCVTKLTLEFSIQEAPKYLDRIECLEKLSLSFTDIKHLPDSICMLRHLKILRLEDCKLLEKLSEDLGQLECLEVLWLQGCTSLQGIPNNICNMKRLEYLNLNGCILVENLPEELGCIECLQDLDIEGTSVRHLPFSIVLLEEMRTTTRGLGAMVEFENSSWNDAYRSKENERNGWTDEKIVTLLXAVLGTLTWVLVSVYLHTLDSNSSTLKYPLVLRIWWVFFFSVSCYSLMVDYXYYKKTHDSLSMFFVSDSVSSFMGLFLCFVGLFHKTHEEARNHNLEEPLLNSSGSRARGEIASTYENASFFSLLTFSWMSSVIAKGNKKPLDLEDVPQLADIDSVRGVFPVLREKVESFSNENNQITTFGLTKALFYIVWKEVVITGFLGLASSLCGFVGPYLIDAFVQYLNGRRDFDNQGLVLVAAFTISKIVGCFTXRHWYFKLQQAGIRARSAIVAMIYQKGLTISGQSKLGNSSGEIINFMAVDAERVXDYAWYMHDFWLVFVQVGVALALLYRNLGLAAVASLVATILVLLANLPLGNLQEKLQDDLMKSKDKRMKATSEILRNMRILKLQGWEMKFLXKIIKLRDEEEGALKKXMYTLSMTSFLFWGAPIVVAVVTFATCLFAGIPLESGKVLSALATFKILQEPIYNLPDSISVFFQTKVSLERIATFLRLNDIDSNAIDKVPRGGSDTAIEIINGNFSWDVTSPKPTLNDINIRVNHGMRVAVCGTVGSGKSSLLSCILGEVSKISGSVKVAGTKAYVAQSPWIQSGKIEDNILFGREMDRERYEKVLEACSLKXDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECMLQFLVSKTVLRDGRITQAGRYNDILNSGSDFMELVGAHKEALSAIDSIGTNVEERIASSKENTNDNQNGKTDDISSTKAQLVQEEEREKGRVGFSVYWKYVTTAFGGALAPFIILAQIVFQILQIGSXYWMAWASPVSASDPAPVTGSTLIIVYVALAVGCALCILTRGLLLATVAYKAATILFHRMHLSIFRSPMSFFDSTPSGRILNRAAWQVIILFVPVGGMCIWLQQYYLPSAREMARLAGVCKGPVIQNFSETISGSTTIRSFDQEGRFQDANLKLNDDFSRPKFHGAAAKECEPPLIIESNRPNDQWPSQGEVDIRHLQVRYAPHMPLVLRGLTCTFSGGKKTGIVGRTGSGKSTLIQTLFRLVEPAAGQXLIDGINISTIGLHDLRSKLSIIPQDPTMFEGTIRSNLDPLEDYREWRKLECGSTAAGVSRACATQENQSLSLIDEYDSPKKLLEDRSSSFAKLVAEYSMRSNSRSDFTQLVGAHREALLKIDSAAKNSESDISCVVENQRTFQKNIQEEVINGQNSKPEGKKRQLVEQEERQKGKVGSLAYWKYITTAYGGALALLVLLAQILFELLQISGNYWMALASPASESSATRVGGSKLIIVYVGFGIGCSLCILARAMLVMKAGYETANQLFYKMHFCIFRAPMSFFDANPSGRVLXRVSTDQSLVDFTIPNTIILFVAVVIQLFGIVAVMSLAAWPVFLLFFPMVVISIRLQALDKCQIGDEVRKKEGQLDSTVTENGENWSTGQQQLVCLGRVLLKKSKILVLDEATASVDTATDNMIQKTLREHFSDSTVITIAHRITSVVNGDMVLVLNNESGLADLLSLKLSSVSLACGTLYDLFVGVLTEDQEIVRAVKDDLRAVKERDPACISYVHCFLNFKGFLGCQAYRIAHKLWSENRKSLAIFIQNRVSEVFGLDIHPGAKIGSGLMLDHATGVVIGETAVIGNNVSILHNVTLGGTGKSGGDRHPKIGDGVLIGAGSCILGNLRIGEGAKIGAGSVVLKDVPARTTAVGNPAKLIGGKENPVKVDKIPSLTMDHVQYVNGWSNYVI >KVI02325 pep supercontig:CcrdV1:scaffold_3047:20620:21573:1 gene:Ccrd_019390 transcript:KVI02325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MTACIHRCRPQVLEFESNHSYSNFCYSDQFSCKPISSFVHKHQDEDEDDDLWLKIRDEARSDIDQEPILANCYFTAILSHFSIESGLADLLSLKLSSVSLASGTLYDLFVGVLAEDQEIVRAVKDDLRAVKERDPACISYVHCFLNYKGFLGCQAHRIAHKLWSENRKSLAFLIQNRVSEVFGLDIHPGAKIGRGLMLDHATGVVIGETAVIGNNVSILHNVTLGGTGKSGGDRHPKIGDGVLIGAGSSILGNLRIGEGAKIGAGSVVLKDVPARTTAVGNPAKLIGGKENPVKVDKIPSLTMDHVQYVNGWSNYVI >KVI02326 pep supercontig:CcrdV1:scaffold_3047:24657:26519:1 gene:Ccrd_019391 transcript:KVI02326 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MFIVSDSVSSFMGLFLCFVGLFHKTXEEARNDDLEEPLLNSSGSRVGDEIASPYENASFFSLLTFSWMSSLIAKGNKKPLDLEDVPHLADIDNVSGVFPVLRDRVKSLSNGNNQITTFGLTKALLYIMWKEVVITGLLVLVYSLANFAGPYLIDSFVQYLNGHQDFEHQGYVLVAAFAVSKIVGSIAQRHWYFKLQQAGIRARSAIVAMIYQKGLTXSGHSKQGSSTGEIINLMAVDAERIGDYGWYMHDFWLVFVQVGVGLALLYRNLGLAAIAALVAMXFVLLANLPLGNLQEKLXDELMKSKDKRMKATSEILRNMRILKLQGWEMKFLSKIIKLRDEGERPLKRYVYTLSVNSFLFWGAPIVVAVITFATCLLVGIPLESGKVISTLATFQILEEPIYNLPDSISXFFQTKVSLDRIATFLRLNDIDSKAINKVPRSGSDMAVEIINGNFSWDVTSPNPTLNDINIRVNHGLRVAVCGTVGSGKSSLLSCILGEISKISGIVRVSGTKAYVAQSPWIQSGKIEENILFGRKMDRERYEKVLEACSLKKDLEILSFGDQTVIGESGINLSGGQKQRIQIARALYQDADIYLLDDPFSAVDAHTGSXLFKVSDPVLLL >KVH87543 pep supercontig:CcrdV1:scaffold_3049:26623:62120:1 gene:Ccrd_025178 transcript:KVH87543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAKPRIALFITLILIISDGLIFRILAEGVTFEEAKQLRNEVSGMFYHAFDGYMKYAFPRDELKPLSCEGEDTLGGYALTLIDSLDTLALLGDKERFSSSVEWISKNLRFDINKTVSLFETTIRILGGLLSAHLIASDYSTGMRVPSYDDELLHLAEDLARRLLPAFDTPTGIPFGSVNLLHGVDKNESKITSTAGGGTLTLEFGVLSRLTNDPIFEQVTKNSVRGIWACRSRINLVGAHIDVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYGAAMHYLFHDPWYVEVNMNSAALVWPLFNSLQAFWPGLQVLAGDIDPAIRTHTAFFSVWKRYGFTPEGFNLASFDVQHGQKSYPLRPELIESTYWLYKATRDPRYLDVGRDIVSSLQYGARCTCGYCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYIFSTEGHLFSMTPRISLVREHCSYFGAYCDFRPTSYSISNQITNNSSRFQRDVGSTSYVTHYGFHKSTTSISGIIKGVCPGLTQEQMYHLSYPPTDGQTHNANANANANANANANANPNPNANADPNANANANANANAIAD >KVI07830 pep supercontig:CcrdV1:scaffold_305:149371:155494:1 gene:Ccrd_013797 transcript:KVI07830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAATMSGTVNHRVCSSSSPSPSPLLVRSSGITWRSDNFERTRQQCRLSSFLCCSPVNRSSLWPGLSSTLSIASSRQQNCLQVVACVPPSSQPQTTTTFTSSTKLYVSGAYEFRVYTYNESDPVPKPSNAQVWNHCSLAPLSGLSFRTSEESLRNAFQSFGQLIEDIKISTKMSFTDVHSNTILQKMIKNMVIQFLDGRVIFVEFAKPRSQLPQGIKQDAKPYR >KVI07840 pep supercontig:CcrdV1:scaffold_305:227025:234715:-1 gene:Ccrd_013802 transcript:KVI07840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSRLSGNLLRTVLRRRSFCTADNIDGNLQSSKVQIFDRHLKRKQRDRAAWLINRKDSLVNTVADNLLDRLEDVKKSFPTTLCLGGSLEAIRRSVRGRGGIQRLVMMDSSHDMVKLCKDAEKDFSSDNIETSYIVGDEEYLPIQGSSVDLVISCLGLHWTNDLPGAMIQSRMALKPDGLFLAAIFGGETLKELRIACTIAHMEREGGVSPRVSPLAQVRDAGNLLTRAGFTLPGVDVDEYQVRYNSALELIEHLRAMGETNALFLKKDTALATAAVYESMFAAEDGTIPATFQVIYMTGWREHESQQKAKRRGSATVSFQDIQKEFGT >KVI07841 pep supercontig:CcrdV1:scaffold_305:242323:253394:1 gene:Ccrd_013803 transcript:KVI07841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTTTFHPFSSIYTHHTRSPISTNLAHCAVSTSSFRHSGARLLGFCPHAISTQDQGVFDSELHSVLQLATGSELYEVERILFGTRCNLFTLVYFSPLLKSIAKPSDIDYVMIEEDPEVREDFIRILESRFFFLAADARSSLRGWRPTYRNVLLGVRKELKVPCSTKLSTEDLEIEIFLHLLQEYSSEGSGNIVAKWGSSKHASDTQSSLEVGLSPWKVQAFAALGRGADEFFTTILKGGGMFTVGRLYNLVSSKLSGKVFLEAANYQIKKEVLKEGLAGAASRYLGVRSIMVLLGPMLWGTFLADLVIQMLGTDYARIVRAIYAFAQIRISRTYKLPSDSS >KVI07839 pep supercontig:CcrdV1:scaffold_305:209244:209843:-1 gene:Ccrd_013801 transcript:KVI07839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MMPKLTKGRQKIEMKKIPDERNLAVTFSKRYSGIFKKASELCTLCGVDIAILIFSPTKKAFSFGHPCVETIIDRYLAQHPPPSSSISQFLEFHRNANTQELTGQLANILRQLEAEKKTGEELDKMKKINQDRCWWEAPIDTLGVEQLEQLKMAMLELKKNAEKQAERLKVEAANRKPTIPISDSTEADAAGPSMSRDMD >KVI07835 pep supercontig:CcrdV1:scaffold_305:170754:175746:1 gene:Ccrd_013798 transcript:KVI07835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGSCFSAESRSPLPNSPTTMSLGVKKRKNSRKRPPGSRSSSFDHRREEQLHRIVGRMFLNGSSEVASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPLGHMVAKRVRDSLPLKLSAHWEVNLKGSNDVLREIVGSVNSEENSFNSVNDDPKASTNFEEIDNNPEIFQTLKDSFLKAFKVMDRELSMYANADCFCSGTTAVTLIKQGQHLIIGNIGDSRAVLCTRDKDNSLVAVQLTIWDVLTNEEVINIVASAESHSRAAQAVVESAVRAWRHKFPTSKVDDCAVVCLFLGLDATTSATKWKLEGKDVAERSVDVEEESSSGKDWSALEGVSRLNTLLTLPRFVPGEDEENHEPGEI >KVI07828 pep supercontig:CcrdV1:scaffold_305:120886:124864:1 gene:Ccrd_013795 transcript:KVI07828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase MAEQKPQLFELNNGKMKVIVSNYGCIITSLFVPDKDGKLGDVVLGFDSLEPYLKGAAPYFGCIVGRVANRIKEGKFTLNGTEYSLPINNGPNSLHGYPGDLSVTATYTLTSATALRLDMEAVPENKPTPVNLAQHTYWNLAGHNSGSILDHSAQIWAKYITPVDQHTIPTGEIKPVAGTPFDFTNEKKIGTSIHEVGLGYDHNYVLDCGEEKSGLKRAVKLKDPSSSRVLNLWTNAPGMQFYTANYVKGIVGKGGAVYEKHGGVCLETQGFPNAVNQANFPSVVVQPGGKYLHSMLYEFSVE >KVI07833 pep supercontig:CcrdV1:scaffold_305:55616:63242:1 gene:Ccrd_013792 transcript:KVI07833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit MDEMIDNGFPLTTEPNILRDMIAPPNIVSKMLSVVTGNSSNVSNTLPANSYLDFLLFSGGVLVKCEIFGVVEVNSHLSGIPDLTLSFANPSILNDVQFHPCVRFRPWESEQILSFVPPDGQFKLMTYRVKKLRNTPIYVKPQLSSESGTCRLSVMAGIRYDPGKPIDSIVVQFQLPPCVSSANLTANHGTVNILADKTCSWSIGRIPRNKAPQMSGTLVLEEGLKQLDVKPTFQVGFKIMGTALSGLKIGKLDLKNLPSPAHKGFRAQTDAGHYEVRS >KVI07829 pep supercontig:CcrdV1:scaffold_305:137897:146989:1 gene:Ccrd_013796 transcript:KVI07829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MEASEERVMPKKPTRAQIMQRKKRIDQLLRSAYSPDKDHLIEFSHFRHYNTTGLSLVLESGRGDKLSSNLKQYIQKLLKVNMEGPYGAEWPAEEKVKRKEMSSSEALYIFVRKVASTPDDAEASIIGFVQYRFTIEEEIPVLYVYELQLEPTYQGKGIGKFLMQLVELIACKNCMGAVVLTVQKRNSSAINFYLNKLRYNVSTISPSKVYQLIGVIGEEKSYEILCKTFDHEAKVVLEES >KVI07845 pep supercontig:CcrdV1:scaffold_305:274691:277710:-1 gene:Ccrd_013807 transcript:KVI07845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase MPDQPIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMSSINKNYVDMDEYPVTTELQNRCVNMIARLFHAPIGEDDTAVGVGTVGSSEAIMLAEVKVKEGYYVMDPVKAVELVDENTICVAAILGSTMTGEFEDVKLLNELLTKKNEETGWNVPIHVDAASGGFVAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRTKDDLPDELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYRDVMKNCNGNVTVLKEGLEKTGRFNIISKDVGIPLLAFSLKDSSRYTVFDISESLRRFGWIVPAYTMPPDAQHILVLRVVIREDFSHSLADRLIADIVKVVNEMEDAQSIASMSHAHDGTPSDRSTREMQRGITKYWRRFVHGKKTSSVC >KVI07842 pep supercontig:CcrdV1:scaffold_305:254439:257236:-1 gene:Ccrd_013804 transcript:KVI07842 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MDDLLGLLRIKVKRGIGLAIRDYKSSDPYVVIRLGAQKLKTGVVYKDLNPEWNEDMTLSISDPDAQVDLKVLDHDTFTRDDEMGDAGFDIKSFVEAQEMNTDTLQNGTVLKRIQPSDSNCLSEESCITWKNGKIVQNLLLKLRNVECGEVELELQWIEIPKS >KVI07838 pep supercontig:CcrdV1:scaffold_305:190922:202434:-1 gene:Ccrd_013800 transcript:KVI07838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVTGDRYLESLVKFVEKQVGPLIEGTLVLKLNPVGLHYVQSRLESLAELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRILTSLKVISVLPPPSSRDPTPVCLLPFGRLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTVNQDALRHVFASRIAEIEDSPQWKRLSFVSCAYNGLVLMDDSLRLLPVVETLDLSRNKFAKVDNLWRCSKLKHLDLGFNQLRTITSFSKVSCQIVKLVLRNNALTTMRGVENLKSLEGLDLSYNIISNFSELELLAGLPSLRSLWLEGNPVCSANWYRPQVYSFFSDPDKLILDGKKISTREGWKRQIIIASRHKQPASFGFYSPAKYEAEAEGEGSVNTKMKKLSRVASIDSEAASIIVYSDNDSGDDEDRIKQEITDSNKGAEIVDFINKLEFMKRERSDSWLEEFQRWMNHVSDDHVKSDDMQSGLALILGKEKDSRKKRRLKHFGKTSRYVSRSAEVFVEGHGMEVPESYYAYDDLSTDTVSQQYPNEVGESASSYLLNLAHEDGSISNHMKLKSHYDKGVDSTRSMKFGEEGTYSNNGSKVEMTSSNSSFVPLDTLDDIIEARSPSAYAGSPPHYQEDILHRRHNLEEEFLQLSAESYSVASSDSHTSDSGDDSFEIGSRIHNNESTADDLSRSMDNLSFIPSEDLHSNRMLDVAQFTKNGSCSLSPFTMNTFNTELRDSEQLGNNYEGSLHDGEIVGLVKQEANWLEKKMRKRKPKPRVISLTEKVTHTDDINDSVVRKSSHKLNYSANDVQEACRREAGMNDFQMLVSGIHSITAKGEILPEKNLSGPGTEEFILKNVKVDLADFGVQETCRQCVCCNCLLEEPSGCSKSGVSLLLSSEHKLYVLLLNGQHDGSGYIPSLVGCLGNEDVKEVLVGLGLQAVRVYTTRGARYMFITRSIEKSRLLISVLELFHSNAKKTGFPLLSLDKIQVALFERDVCGGSKTNILQYTMVLFWNSNFGEGVWFSRSLFVLGGHMLVCIEDVLQFGFDSQDTFTPYFSLDTCCSIVDAFEMVIDAKDGCVTLALKSVASEFIPWDVSERGSRSVAAMIWKLRWFSEDDLCKFVALVKALHAGFGSSSPLIVRYAS >KVI07843 pep supercontig:CcrdV1:scaffold_305:258682:260821:1 gene:Ccrd_013805 transcript:KVI07843 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAEDNPIGILRITVKRGIGLAIRDMKTSDPYAVIKMGKQKWKTRVIENDVYPEWNEEFSIPIHDPDVLIKLTQLRKNLPSGTILKKIPPGRANCLSEESHITWENGKVIQRMFLRLQNVECGEVELEFNGFDEPGASST >KVI07834 pep supercontig:CcrdV1:scaffold_305:71702:87059:-1 gene:Ccrd_013793 transcript:KVI07834 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II subunit A MRLRYAMVCSSNQNRSMEAHFILKREGFDVCSYGTGTHVKLPGPSLREPNVYEFGTPYKQMFDDLRRKDPELYKRNGILQMLKRNLAVKTAPQRWQENGPDGHFDVVFTFEEKVFDMVVEDLHTRDHILLKPVLVVNLEVKDNHDEAAVGARQTLALCQELEATDRWEDVIDDVISTFERQHRRKVVYAISFY >KVI07827 pep supercontig:CcrdV1:scaffold_305:108452:114816:1 gene:Ccrd_013794 transcript:KVI07827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MQQKGRSFNSRSRSFSRARVAIEGEEREIQKNYWVEHSVDLTVEAMMLDSMASDLDKEERPECILELGAGIGRFTGELAKKAGKVIALDFIESVVKKNESINGHHENVKFMCADVTSPDLNFPAESMDLIFSNWLLMYLSDKEVNIQPFTSKSSIIKLRIIMIVCIDVESIAERLLKWVKVGGHIFFRESCFHQSGDHKRKHNPTHYREPRFYTKLFKECHMSDASGNSYELSLVGSKCIGAYVRNKKNQNQICWIWQKVNSEDDKGFQRFLDNVQYKSSGILRYERIFGQGFVSTGGIDTTKEFVAQLDLKPGQKVLDVGCGIGGGDFYMAENFDVEVVGIDLSVNMISFALERAIGLQCSVEFEVADCTKKSYPDNTFDVIYSRDTILHIQDKPALFRTFYKWLKPGGKVLISDYCRKSGTPSQEFAEYIKQRGYDLHDVETYGQMLKDAGFGEVTAEDRTDLFQEVLKRELERVEKEKEEFIQDFSEEDYNDIVGGWKAKLVRTASGEQRWGLFIAKKK >KVI07831 pep supercontig:CcrdV1:scaffold_305:9358:15662:1 gene:Ccrd_013790 transcript:KVI07831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MASGDDEDQSQLKGFVIITLPPPDNPSLGKTITAFTIPNPSHPPSSPPPPPPPAAGRPPSQPPIQSSLHHGRRTLFTHPRRRISWTLSGIALFALLTFCVTQSPRNHFKLTSSEPSNEIDRDDDDDQSPNSFIFPLYPKLGFREKSKTRDMEVKLGKSSMFSSKGAVLPVDDGGLPTKVKWVSTVSNSALLPVNEDDLRDGLYYTQINVGSPPRPYFLDIDTGSDLTWIQCDAPCASCAKGAHPYYKPARGNILSSKDSFCFDVQHSSKAGYCESCHQCDYEIKYADHSSSLGHLLLCIRCAYDQQGILLNSLMKTDGILGLSRAKVSLPSQLAKQGIIDNVIGHCLTSDSTSGGYMFLGGDFVPQTKMSWVPMLNDASMNYLAEVARVTYGSKQLSLDRHKKGSGRMVFDSGSSYTYFTRQAYSDLTSMLKDVSRDGFIQDASDTTLPICWRSKSPIRSIKDVSKFFKPLTLQFVRKWWSISTNLHILPEGYLIISNKGNVCLGILDGSDLLEGSHILLGDISMRGNLIVYDNVKQRVGWMRSECVDLTNKYLPSF >KVI07844 pep supercontig:CcrdV1:scaffold_305:261675:270525:-1 gene:Ccrd_013806 transcript:KVI07844 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha/epsilon, subunit B MSGTMRNKVQRKCKMRGYTLKVEALNEILSFLSNYEDAEDEALDLLLDELQHQSLKSSILDKEPVQRVVSLLCEAGSAAEDNLSTSTTSSFSVIDAFLIPKFRYDPIRKMFCEHTGRLPIHGDAPAKAWLYRDRFLLLSQRLSRIPQFSSPSFNTELSEFGSCQISQIQSLVGRTGISWVMGVISQLEDGHFYLEDLTAAVEINLYKITTGYFSENTIVLAQGEMMLDGTFQVQTFGFPPLEDREKSASMFTGLDYFGGGTLPKEDTTMAKLETVLDGYEDVEVVPSLFVLMGNFCSHPFNLSYNSFSSPSTVLPRCALPKYITEELQKHIPNAIFASNPCRIKFYTQEIVFFRQDLLYRMRRSCLIPPSTEETSDPFEHLVATITHQSHLCPLPLSVQPIIWNYDHCLHLYPTPHTIVLGDNSEQKAFKYTDITCFNPGSFSNDSTFVVYRPCNREVELSALDA >KVI07832 pep supercontig:CcrdV1:scaffold_305:19779:25008:-1 gene:Ccrd_013791 transcript:KVI07832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKQGFLENIERERLISPSLEERDSVYGDVDDHHKVCGCSCFGSIVKKFKGLWNNLRWVVIRASEMGRSDPRKVVFSAKLGLALSLISLLIFWKEPLPDISEHSVWAILTVVVVFEFSIGATFSKGFNRGVGTLSAGGLALAMAELAHYCERFGSLATFAKLYPTMKAYEYGFRVFTLTYCYIMASGYRSNEFIHMAVSRFLLIALGAGVGLLVNIGIYPIWAGEDLHNLVVKNFIGVANSLEGCINQYLNCVEYKRIPSKILTYQAHEDRLYSGYRSAMGFAIWEPPHGAYKIYKYPWRNFVKVSGALRHCSFMVMALHGCVLSEIQAPADRRLVFQEELQRVGTAGAKVLRVLGNKVKKMEKLGSMNILDEVLKAAEDLQRKVDRKSYLLVDSNSWEIRKPPVEFNDPQDFLKMDGPREYHGFHSHSEALLDLGTSRSPESTNMPWEGTNGNKFKSLTRQFSYKPERMVIQDEADTYKSASVLSLVTFTSLLIEFVARLGNLVVAFEELSEKAKFKAPLPEGKIEDDICGIWGRLWKFFKPRNRNENLLV >KVI07837 pep supercontig:CcrdV1:scaffold_305:310031:311542:1 gene:Ccrd_013809 transcript:KVI07837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CINVRDIDSYAKIEAPAFDLGISPSKEAVIACIDSSKATGGQENVRSEIPKRDPKLSFKLRSPYXTRAVTFEVSSDERKLQDWILRGVGGIFEPVFMTTKGKAITRQTMQSLISQSVVGSEIIDVWSMVLNREERMRSNESPRRYFVPTDISMDAIIRDEDLNANQRYDRFRKNITCCMNNDIKLISMRNVDLVFFPIVEPSFYYVVLFDLKHPSIAIIDSQTCDGKVDDIYGSNTVGLQDMMIIHLLKEGHGAWKVYAEMDQDHIKTR >KVI07836 pep supercontig:CcrdV1:scaffold_305:179912:186963:1 gene:Ccrd_013799 transcript:KVI07836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MISLSDFYHVMTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNDPYKMNLRFIAADTLQKLVVLAVLAVWSNLSKRGCLEWSITLFSLSTLPNTLVMGIPLLKGMYGGDSGSLMVQIVVLQCIIWYTLMLFLFEFRGARLLISEQFPDTAGEIASIHVDSDIMSLDGRQVLETEAEIKEDGKLHITVRKSNASRSDVFSRRSQGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMAGGGRNSNFGANDVYGLSVSRGPTPRPSNYEEEGGGSTANKSRFHHGVLGGNYPAPNPGMFSPSTGKTVPPTLKKANGQKAEEGSNDLHMFVWSSSASPVSDVFAAREYGTLDPQTTKDVKPPISPVKSHGEEYLPRDEFTFGNNINIEGGKGKGGGGGKSGVKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWDVKMPAIIEKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYIFLGLGK >KVH96929 pep supercontig:CcrdV1:scaffold_3050:5978:22630:1 gene:Ccrd_000978 transcript:KVH96929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVFGSLFRMIMQKSNILIKSLSNNTTKTTQNWRTQIKHTHLISEISSILLQRHNWPSLLQTLNLKSKLTPSLFLQILNTTKTQPQISLDFFKWAIRNTCFQPDLSVQCRMTHLLIGSGLVNPSKPILNSLLQNNPPAQIVQSLFKSVEKDAVFSSSKSLVVLDSVLRWYCEKRLCFQALEAFNLARHLVKTEYSDGNELFSIGLYNTVLNALQENNEVKLGLCFYAVMIRHGVLIDRFTWRIVAKIFSKEGKVDAILRIIDMGMDDPLIYDSLIECCSEIGMFKVALHMLDEMSKRKLNPGFSTCVSILNGACRYKNDEVIKFAMESMAEKGYVSKPLREHNSLIRKLCEMKKAYAADMLFKKACVMQISLKTETYGFMLRALSMEGRVKKSIETYQIIEHKGVQMNPIFYLDFINILCNEDPSKDLNSLLIDMISRGFKPSPSALSKYITSQCKKHRWKEAEELADLALQKSILLEASCCGSLVKHYCKRARIDLAINLHDQIAKKELTLDSRTYNALLSGLLEVPRVEEAERIFDYMRIKNLLSSESYVIMINGFSRENELKKAMKLHDEMLELGLKPIAKTYKRLISHPLPPNPLTDTREVSSSPITLVEATLEPSGWGI >KVH87542 pep supercontig:CcrdV1:scaffold_3051:28181:30798:1 gene:Ccrd_025179 transcript:KVH87542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGASALASISSISPSLHSSHQPTSCVDSEDLGFGVLKPTSMALLNLLRYGADFDTVIDDDDDDDDDDDDDDEVQDPGSGEIDGEEKGPNRLANEEDDESHSQMKKQDKEENTF >KVH98450 pep supercontig:CcrdV1:scaffold_3053:32605:33675:-1 gene:Ccrd_023329 transcript:KVH98450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLDTVPQRYPCLVSTQLGVTSMVLEPSCWNQLPQENHLIGQGQGRMRWTTPQLHYIDALVNMVKPTLKGLYPVKLLSRFADVVEGARIVAASKEFWGY >KVH98449 pep supercontig:CcrdV1:scaffold_3053:17967:28827:-1 gene:Ccrd_023328 transcript:KVH98449 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 RASALQLISISCCSERRLQLKFLAFIFIVQDCTTLHLDLSSCSTNRQKRTMVSLLITLLAILVFFLFVLHTFRCFLTKTTVPLPPGPPGLPIIGNLHQLDTSHLPDHLWRLSKRYGPLMSLRLGCIRTLVVSSAEMAKEILKTNDLVFCTRPVLTGQQKFSYNNKDIAFSPYNEHWRQMKKICTLHLFSSKQVKSFRSVREEEVFDMIDTIKSRAATKQVVNLSETMMILTNNIISRVAFGKRLYAFDGEEKEVRRFHQLLLESQAMSVTFYYRDHFPLMGWALDKLNGSIDRLEKNFNDLDDFYQELIDEHLKRNRPKKMEDDMVDILLRLKQESDSSIDLTFDHIKAVLKNILFGGTDTSAATVVWAMTLLIKNHESLKKVQQEVRNVIGKKGKVQEDDLQKLYYLKAVIKETLRLYPVVPLLVPRESRDRCVLDGYEIPQGTLVYVNAWAIGRDPKCWENPEEFKPERFMGSSIDYKGTDFELIPFGSGRRVCPGMSLGVATVELTLSNLLYAFDWELLDGEKDINTLAALGIVYHKKNALQLVAKVYDYGCNKRECTTLQLDLSSSSTNRQKRTMVSLLITLSAILVFFLFVLYKFRCFLTKTTLPLPPGPPGLPIIGNLHQLDTSNLSDHLWRLSKCYGPLMSLRLGRIQTLVVSSAEMAKEILKTNDLVFCARPVLTGQQKFSYNCKDIAFSPYNEHWRQMRKICTLHLFSSKQVNSFRFVREEEVFDMIDTIKSRAANKQVVNLSETMMILTSNMISRVAFGKRPYAFDGERKEVRRFHQLLLESQAMFATFYYRDHFPLMGWALDKLNGSIARLEKNFNELDDFYQELMDEHLKRNRTNKMKDDMVDILLRLKQESEPSFELTFDHIKAVLMNILFGGTDTSAATVVWAMTLLIKNHESLKKVQQEVRNVVGKKGKVQEDDLQKLYYLKAVIKETLRLFPVAPLLVPRESRDRCVLDGYEIPXGTLLIPFGSGRRVCPGMSLGVATVELALSNLVYAFDWELPDGEKDIDTLAALGIVSHKKNALRLVARVYDYGCKS >KVH98451 pep supercontig:CcrdV1:scaffold_3053:4204:7224:-1 gene:Ccrd_023326 transcript:KVH98451 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MILTSNMISRVAFRKRPYTFDGEQKEVRRFHQLLLECQALLVTFYYRDHFPLMGWLDKLDGSIARLENTFNDLDEIYQKLIDEHLKRNRPDKVQDDMVDILLKLKQEYSNSSVELTFDHIKAVLTNILLGGTETSASVVVWAMTLLIKNPESLKKAQQEVRNVIGKKGKVQEDDLHKLHYLKAVIKETLRLYPVAPLLVPRESRDRCXLDGYEIPXGTLVYVNAWAVGRDPKRWENPEEFKPERFMGSSIDYKGTDFELIPFGSGRRVCPRMSLGVATVELALSNLVYAFDWELPDGEKDIDTSATLGIVSHKKNALRLVAEVRDYGCKIIKETLRLYPVAPLLVPRESRDRCILDGYDIPKGTLVHVNAWAVGRDPNCWENPEEFKPERFMGSNIDYKGTDFELIPFGSGRRVCPGMSLGVATVELSLSNLVYAFDWELPDGEKDTDTSATLGIVSHKKNALRLVAEVHDYGCKS >KVH98452 pep supercontig:CcrdV1:scaffold_3053:7289:7935:-1 gene:Ccrd_023327 transcript:KVH98452 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MRRCMTVDLMLLWAVAGKVVFDQNPLPLPPGPAGLPVIGNLHQLDTSNLSDHLWRLSKRFGPLMSLRLGRIQTLVVSSAEMAKEILKTNDLIFCTRPVLTGQQKFSYNNKDIAFSPYNEHWRQMRKLCTLHLFSSKQVNSFGSVREEEVF >KVH98447 pep supercontig:CcrdV1:scaffold_3053:53761:54231:-1 gene:Ccrd_023331 transcript:KVH98447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIIENDDGNSTGVKVKRFPAGALQAVTTLLLLCAKQAILVSKKLKSNSRISQGAPKKIISSISNKAIKLRHRKKKAGEKGAEYGDDGVWRREILMGDKCQPLDFSGVIYYDKDGNVLSELPMRSPRASPFPGYACTSPTKSSWTSPARRELPSQ >KVH98448 pep supercontig:CcrdV1:scaffold_3053:41970:45115:1 gene:Ccrd_023330 transcript:KVH98448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MEMEVRRIVVVVEEVEVARTALEWALHNVLRYGDLVTLLHVFPATNNSGGKSRNRKKLRLLRLKGFQLALSFKDICIHSFPNTKIEIVVTEGDEEGGRITDLVRQIGASTLVVGLHDQSFLYRLAMGQKNIGNNFNCKVLAIKQPTSPLTTSRPTPSVSDGSTSSSMDFSQIDISTLRSISNYMEIKEKKKRMP >KVH98453 pep supercontig:CcrdV1:scaffold_3053:1797:21612:1 gene:Ccrd_023325 transcript:KVH98453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQGRGVVGEIEGLLGRNMVVRGGVFGRCRSRSEEVEESDGKLWRKRWRIREKDPRAEAHSFYEKRSPESPKYRYPSLHQAIPSQKRKRDSRGITPPSQLLVTSPGILFDQTQMGSTRNHHNHELQPRVEAHSFYEKRSPESLKYQYPSLHQAIPSQKRKLDSRGLTPPLQLLIVELVKIIFLYFALLPDYIPHFLLSFLQRFRVVKSIFQSSNASIEFVKPPHQRKMISVIEGDEQSLTLQEQLMESPNFFLFTIKRQKIQRGFGCDQGARTLRVQNALRDAIGGLRGWRCRADAGFQLQEVRQVPVVEEEGFGQRPPIFGKEEETMIISIYKGRDNPSAADGLRFTIEVRKTHHVLPEWVFEDSRAFEDHLPMLVHEFLIEVIQFVKILFQSSNASIEFIQRPPHQRKMISLEILWYQSCQRLLLRERNETSSLAWMKRDEGCKSFSSVSSAHYMQKKQRGFGCDQGAGTSRVHNILRDAIGVRSEQQEMEIDCNAEAPLLMIDYYSFEEKEKEKEKISK >KVI11372 pep supercontig:CcrdV1:scaffold_3055:18083:25356:1 gene:Ccrd_010218 transcript:KVI11372 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MSEGQRFQLGTIGALGLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMNFFEHKPFDPKAVMGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFSKQFSKKIRFSLTVLLMGVGIATVTDLQLNVMGSVLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDALLTNQNVFAFKYTPQVLAFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILVAVVGMVLYSYFCTRDAQPKANEASTQLSQAKESEIDPLINVENGNESSPQKSAAWSSDKDLHA >KVI06870 pep supercontig:CcrdV1:scaffold_306:60867:62641:-1 gene:Ccrd_014773 transcript:KVI06870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MAGQTFTEEIDCGSFFDHIDDFIEFPPVTDTTLNSVNCESFSNIWTNNSDELQVSDPIFSDSNCASALSAELAVPYEDIVQLEWLSNFVEDSFSGGGMTINKENVSVKKEPLVVANYPFQMSSPVSVLESSSSSSSSSSCSGSGKLIPLSPTHRGPQRARSKRPRPPAFNPRAAIKLLSTSNLVPVPIPMESENPLESHSGGPDQKKRKKKPKKPDALFNPEDKTQNQSQNPGGQGVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVVEMRNKCMPDEVPEAELIPNMNPPMDYM >KVI06871 pep supercontig:CcrdV1:scaffold_306:199184:201043:1 gene:Ccrd_014772 transcript:KVI06871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIKSYSHLGNLLLTYDGKKSAGALPFDYKESLKPPRTMVAPICYAHLAAAQMAQFVKFDNMSNVASSHTGGEVQEVVVSIMYCIKRQDHEIDKTNHNGIDNSGTQKPWNQQDET >KVI06873 pep supercontig:CcrdV1:scaffold_306:5516:7735:-1 gene:Ccrd_014774 transcript:KVI06873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVMNYVKPPMNEGDKCLDSQLWHACAGGMVQMPPLNSKVFYFPQGHAEHAASGNVNFGDFPRIPSFILCRVSALRFMADADTDEVYAKIGLVPLRNQNDDDDDDNGILGFDQNKKKNEENPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTILAKDVHGQIWKFRHIYRGTPRRHLLTTGWSNFVNHKKLVAGDSVVFLRADSGELCIGIRRAKRGVLDSSLYGGGFSGESYKVLRNPKGKYSVESVIEAANLAVNGQPFEVFYHPRASTPEFCVRASIVKAAMRVQWCPGMRFKMAFETEDSSRISWFMGTISSLHVHDQIHWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVEMVSNIPAIHLSPFSPPRKKLRIPQPPDFPLCQLPITSNNIPIPTSIQGARHNSQFGSDQYNKTQSFPSGILNHDPLRRFMETTKENVSCLLTIGNSCSKKNNDDEEKDKKKPMFVLFGQPILTEQQLSESWSGVSDGSVVLENGPVETSSGPWFKEEKSEFGLRLVDF >KVI06872 pep supercontig:CcrdV1:scaffold_306:203140:205663:-1 gene:Ccrd_014771 transcript:KVI06872 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MASKWKKVKMALGSNLCVYVPTPDEDVSPPQSERCSDVALLSPATDQWTRGGSASLPWSSALRLSRSFNRSSKKCTICLASMKRGEGQAIFTAECSHSFHFQCIASNVKHGNQICPVCRAKWKQVPLQLDPSLGRTRINSGNWSQDNPVMTVIRQFPSRQNSNRRVSAPVFPPNEPAIFNDDEPLDVQTSNSNTSDGSSFERVMIKTYTEVPGVARLTAVDDFTVLIHLKAPVSNSGPTVSQANRIPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGPADRLAVIAFSSTARRLFSLRKMSDMGKQHALQAVNSLVANGGTNIAEGLRKGGKVMEDRREKNPVSSVILLSDGQDTYTVTSGPNPGGGQNRSNYQLLLPQSIHGGEGGSGIKIPVHAFGFGSDHDASSMHSISEISGGTFSFIETESVIQDAFAQCIGGLLSVVVKGLQVIVESSNPNVRLRSLKAGSYKNHLMPDRKSGCIDVGDLYADEERDFLVSVNIPTESVSHKETSLLKVNCYYNDPLTKETVKLVSEEITIKRPQNVGKETVISIEVDRQRNRLQSAEAMMEAKTVAEEGDLARAVLILEKFRKVLSETISAKSGDRLCMALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTEGSSLVQSYQTPSMTEMLSRSQAQLIIPASQPRPR >KVI01455 pep supercontig:CcrdV1:scaffold_3060:48121:66966:1 gene:Ccrd_020276 transcript:KVI01455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digestive organ expansion factor, predicted MTFKSVALDYMIGRFSRPRTNESPRRIMNKRARRNHADDEKVPDNPSSTSTSSEEHSNIASEEEQDEVVAYKEPSMYDSLLKTLGSASESIADAYKRRQRAEEGRSDTDEDQEDDLESLSVSEEDEDGSEDGSPGSALDLTDIDGSSLADIGGHIEDDDDEASDMDEEEEVTANGQSIAKESEISSSFSAHLDYKLLKDEVEILSRKKGKYKWEVDAANCKWVATRELILEDSYMNSPYGLNLKLYDHWLNNYEASGGHDLHSSRQRSFFSLCNSYRDILHHNKKPFYLKGREEDSSIMDAYLLHSLNHVFKTRDLVTKNDKKLATRKEAKHEEILDSENFLDQGFTRPKVNVEHIDRFSDGFGPGRSDEQQDEDGISKSWKTSKPSDFQALFGGNNNDHFMIGIKFTKKTIKLYSDFYTSDMIVASPLGLITKIGEAEVEKEKDVDYLSSIEVLIVDHADVIAMQNWSHVNTVVEQLNSIPSKQHGTDIMRIRPWYLDGQARFYRQTIILGSHVNPDINALFNNHCLNYEGRVKLSFEHKGVLPKVLLQVRQIYERIDTESIVDADDARLDYFKKKVFPKIKDSVQGGIMIFISSYFEFVRVRNFLKSQDASMYTKQSDISRSRVWFFQGKRKIMLYTERAHFYHRYKIVNMLEGSHSMNCTLERVVGTVPAKRMVTSDKCIFTFC >KVI01456 pep supercontig:CcrdV1:scaffold_3060:2726:5026:1 gene:Ccrd_020273 transcript:KVI01456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRFYSLLLLLLTLFISVAFLQICSAADDDSDVNDLVFENPRLRQAYIALQAWKSAIFSDPFNFTVNWTGPNVCSYGGVYCAPSPADPSIRVVAGIDLNHADIAGYLPTELGLLTDLALFHINSNRFCGTVPTSFKNLTLLHELDLSNNRFVGVFPTVVLSLPALKYLDLRFNEFEGSVPSKLFDKDLDAAFLNDNRFQFGIPSNLGNSPVSVLVLANNNLGGCIPASIGQMGGTLNEIILMNDNLTGCLPVQIGLLKEVTVFDVSFNNLQGPLPAAIAGMRSVEQLDVAHNRLTGVVPASICRLPRLQNFTYSFNYFTGDAPSCSAAGGGGGKAFDDSKNCIAGKANQRSARECSSRDARPVDCRKLQCGGSSSPSAPTPTTPTTPSVPTTPTAPTPTTPSVPTTPTAPTPTTPSVPTTPTAPTPTTPSVPTTPTAPTPTTPSVPTTPTAPTPTSPSVPTTPTAPTPTSPSVPTTPTAPTPTSPSVPTTPSAPRPSPPPPTSESSPSGKSHPPPPPPVATSHPPPLAPQPPSSSPIMPPPPTEGSSPPPHGSHGSPPHGSHGSPPHGSHGSPPHGHGSPPHGSHGSPPHGSYGSPPHSSHPLPPPPPPSSDSSPKTHFAPPPPTYQPSPPPPPQHGHSISPAPSPEEYSPPHGRTPSPPQTQPPPSHSLPPPPSSGCALPTPPPPPPPQQWHHPPSQTQSPPPPTNHHHPSPPPPPHQTQSPPPPHKHTYPSPPPPPSSDGTPLPPVIGVSYASPPPPVIPYY >KVI01454 pep supercontig:CcrdV1:scaffold_3060:50215:63933:-1 gene:Ccrd_020277 transcript:KVI01454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHFKKMSSRSRAWMVAGTVGLVEALKDQGFARWNFLISITTAASSDASSSSSSMCPPMSAKLDPSISVRSKALPGDPSSDPNHQKMEKLTVKLGASYHPHLLQKRLKILNHPPGLHLCHFYLLLRVAYIGWKQPTKIDKRNGQNTSLLIPGAGPITRSLLDL >KVI01453 pep supercontig:CcrdV1:scaffold_3060:5655:13609:-1 gene:Ccrd_020274 transcript:KVI01453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MEKRYPVATGGILHKIKKAACLDASSSDTGKGKSKSSNNKVSHGFHLVEGQSGHDMEDYHVAEYRTIKGHELGLFAIFDGHLGDCVPSYLKDNLFNNILGEPNFWENPEKAIKNAYRSTDKFILENSGDVPRVNGQLAVARAFGDQSLKAHLSSEPDTRHVPIDSTIELVILASDGLWKVMANHEAIEMVKSIKDPLAAAKRLTTEALARKSKDDISCIVIRFG >KVI01452 pep supercontig:CcrdV1:scaffold_3060:38119:39581:1 gene:Ccrd_020275 transcript:KVI01452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MARKTVIVNMIVLFFMVAAKADIISGDFNQQFDVTWGNGRGKIINNGRLVKLQLDKASGSGFKSKNQYLFGKIDMQIKVVPGNSAGTVTSFYVCYLSLYSTLYVDGTPIRQYKNMPSYNIPFPNGQPMWILSSIWDAEWATRGGLVKTDWRLAPFTSLYRNFKMQVCTQFSPPSCHQEPWMTDLLDKFSARKLKRVQKKYMIYNYCSDVRRFPLGLPAECKHS >KVH96737 pep supercontig:CcrdV1:scaffold_3062:32298:38835:-1 gene:Ccrd_001172 transcript:KVH96737 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MATLQDIGLAAAINVITALIFLIAFAFLRLQPFNDRVYFPKWYLKGLRTSPATSGAFVSKFINLDYRSYMKFLNWMPDALKMPEAELIDHAGLDSAVYLRIYLLGFYTHVIMAYAVTFWTCFSLKKEYETIANMRLHFLQSEKRRPDQFTVLVKNVPPDADESVSEAVEHFFLVVLNANKLADLVEEKKTKQNWLDYYQNKYSRNQSKRPMMKMGFLGLWGEKVDAIQHHISEIEKLSNETQQARNPTLWLTEWAPEPRDVFWQNLAIPYVSLTIRKLLMAVAFFFLTFFFIIPIAFVQSLANIEGIEKAAPFLKPIIEINTIKSFIQGFLPGIALKIFLILLPTILMTMSKFEGFLSISRLERRSASRYYLFNFVNVFLGSVIAGTVLEQLQTFLKQSVNKIPETIGVAIPMKATFFITYVMVDGWTGTAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIGFNTGEPQIQLYFLIGLIYAVVTPLLTPFILIINVYNQEYESSAAFWPDVHGRIIAALMISQLLLMGLLSTKEAATSTPFLLALPILTIGFHMYCKGRYEPAFIRYPLQEAMMKDTLERAREPNLNLKGYLQNAYVHPIFKSANSDSDDDYDDDDDDEASDQKWRKDNVLVPTKRQSRKNTPVPSKMSAGSSPALIEIQEKDKP >KVH87540 pep supercontig:CcrdV1:scaffold_3063:19227:21351:1 gene:Ccrd_025181 transcript:KVH87540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MTITLGKVTKDENGLFDIVDDWLKRDSFIFVGWSGLFLFPCAYFAVGGWFTGTTFYTHGLASSYLEDCNFLTARVSTPANRFHNWTLNPFHMMGFIGVLGAALLCAIHGPTVENTLFEDRNGANTFHAFNPTEAEETYSMVTANRFWSKIFRVAFFNKHWLHLFMLFVPVTSLWMSALESGEAYLSYSLVIISVFGFIASFFLGFNNTAYPIEFYGPTGPEAFQAQAFTFLVRDQRLGANVRSAQGATSLGIYLMQSSTGEVIFERETMRFWDLHAPWLDPPRGRNGLDLSRLKKKTYHLGKNVIL >KVH87539 pep supercontig:CcrdV1:scaffold_3063:15545:16291:1 gene:Ccrd_025180 transcript:KVH87539 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1/V1/A1 complex, alpha/beta subunit, C-terminal MTHIYDDPSKQVHDYRQMSLLLRRPPRHEAYSGDVFYLHSCFLERASKLCSSLGDGSMTALPIVETQTGDVSAYIPTNSGSTAQNKAMKQVACKLKLELAQFAKLEAFAQFASDIDKTTQNQLARGPRLRELLKQSQYAPLAVEEQILTIYTRTNDIHRGSRSHFDRSYLGTDGTFFVL >KVH87541 pep supercontig:CcrdV1:scaffold_3063:21467:22144:-1 gene:Ccrd_025182 transcript:KVH87541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLIIYDLLYYYPFPLYSYMDSREKRGYGKNRFTIMANSIFKSCCSCTSLSCVPQMPFEEEES >KVH99525 pep supercontig:CcrdV1:scaffold_3065:24542:38365:-1 gene:Ccrd_022239 transcript:KVH99525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family KRTCAP2 GFIANGLRVDVGACKTFPLSFXKRRSLVWILNIHQYRIQRFRKPMAESGNSMLYSLLLFVVTLSLQEMYRGKLASSELFTILGGLTSSLVFLFLLTFIGNYQETSGVKTGWGAVILAEAVALVAAGTVHRVCITTCFLFSAALLYEVNKISGVMISKAESKSRRH >KVH99523 pep supercontig:CcrdV1:scaffold_3065:51694:56832:-1 gene:Ccrd_022242 transcript:KVH99523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel VSPLTKTNSEDLGGCNSPGKPTAQSWSTIDFWALFLHPWGIAVCCSLQTLSLANNQLTGTLPNSLYDLAKLITFDVHKKRIKRYVENDQRSLPSGSSGAKTTVSLEESVNHVQAIADAACKINPDTIVLCHGAEFILKNTKGVYGFYGASSLERLPVEQAIKGTFEQYKSICLE >KVH99524 pep supercontig:CcrdV1:scaffold_3065:48493:49744:-1 gene:Ccrd_022241 transcript:KVH99524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MNFFLLLCLLILPSLSLSTNSTSCPIDFNYVNTFPWDTSACTATATATQNCCQTLRGLIGIGLADHLKKTSTFFLPNLQSSNSCLSDFQSHLSSVDVRQPFSDCLTTASEFVANPLNCAEIVTLSDWVKYAGPTTTLDSTCDEDLTGFLRCSSCLDAGMAVNARLVSLSQNSTKCFTFTALYVAGIVSSLGPEDIQTADCVLGIPLLKSRSNKRSKKTTIFAISGASVGVIMFLVVIFVYRKRNNNREQTAFHRDYVQNIRHRNLLPLRGFCATSNPMNGNEQFLVYNYMPNGSLHDHIFNKTTSNRRLSWPQRKSIILDGYLICTTGSNPLYFIAI >KVH99526 pep supercontig:CcrdV1:scaffold_3065:15792:23689:1 gene:Ccrd_022238 transcript:KVH99526 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III MANASWVFTPTVPNMNKMKISPSISISRSGFYGISKRVSCANAVQGVDKLSPSESQPTRLVGKGCKLVGCGSAVPTLQVSNDDLSKIVDTNDEWISVRTGIRNRRVLTGKDRMTGLAVEAAAKALEMAEVDPNDVDLVLLCTSTPDDLFGSAPQIQAALGCKGNPLAFDITAACSGFVLGLVSAACYIRAGGFKNVLVIGADALSRYACESEEDGLFGFDLHSDGEGNRHLNASIKENEADGSFGSNGSVLGFPPKGSSYSFVQMNGKEVFRFACRVVPQSIEIALQNAGMTRSSIDWLLLHQANQRILDGVATRLEIPTDRVISNLANYGNTSAASIPLALDEAVRSGKIKSGQTIATAGFGAGLTWGSAIIRWK >KVH99527 pep supercontig:CcrdV1:scaffold_3065:47903:48211:-1 gene:Ccrd_022240 transcript:KVH99527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSGRMDEVFDSMGEDETLPKGVMSRFVRIGLLCAHAMVALRPTSWEALKMLEGDIDIPRLPDRPLPLSHESFRLSFQRSNMSLWSSSEGSGASSSLNTRI >KVH87538 pep supercontig:CcrdV1:scaffold_3067:43773:59448:1 gene:Ccrd_025183 transcript:KVH87538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTPYESFFHKKMRNAWLYILEPPSNPGKHVTMFMHSCVVGEYLFHDEKVCVLRDDSASNTLSSLSSNEEVIEDFPLVEWITMERGKSITNLKIRYAGEQIQQGKLSAMIRRKETEWKIDDFSQITIERGRSITNLNTLCRRTNQQGKTKGKIDDFFSQAVGRIKSVQRGRPRKSPKGSVFSFTPDSKKRRGRALQMSGTDTTSSNARRPLEDTRDQSMS >KVH92320 pep supercontig:CcrdV1:scaffold_307:26771:27890:-1 gene:Ccrd_005644 transcript:KVH92320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNCNEIRNQGIIDKDSDMLRKTQDMQDSIKIRTEGKLFMHLTYMKLRFSFMKGG >KVH92303 pep supercontig:CcrdV1:scaffold_307:274426:276682:-1 gene:Ccrd_005658 transcript:KVH92303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMKMEMEEELVVRGRVEIDMRRPFKSVKEAVMLFGEKVLAGEVYAGQKLKQTETVERENNHQYRTKVGAIAAELEETKQTLERAKEEDTCMAYYLASLKQELEETKSELKQLKSRRDREPDHPKQSPPVVKEIEEIKFTGNPIRVGVNHPESDDELFGLEKKRSVKFASPPSLTKVMVEAPKMQETSPSSLKKKTLKKPLIPSLGGIFSKKKSKSLK >KVH92321 pep supercontig:CcrdV1:scaffold_307:111090:116205:-1 gene:Ccrd_005647 transcript:KVH92321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 90 MVMAACVGAGARLRSVQATNFPLLEGGFIATNASSSPFYRSCSRFYFFRRLSQLVPPNGKRLFLVDTLALVRRLEGEGVPSKQAEAITSAVIEVLNDSLENVAQSFVSKGEMQRIEMTQDGNLGKFKSRVQSSQENHFSLLQRETEKLKSDIEKMRNELRYEIDKVKAGQRLDLNLERGRTRDELSNQNQETANLTNKLDREIQLLRAEVEAAKYDVIKYCIGTLVSISAVGLAVLRILM >KVH92309 pep supercontig:CcrdV1:scaffold_307:195243:198083:1 gene:Ccrd_005652 transcript:KVH92309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSESSLSSSQKGEDGITIVSERFETMDPVLEKLKSLRTTTPILMPKLTKSDLTNVLVKKPPSSSDTGIVDPKVILDLFSTYQEWQEQQAHNINKRQEALEDKIKAIDALAIKLLKKYKSSASAVKTTSRHLSGVDQLQVEVGELKGRLTEVVNNCDSICKRIAVEGPESLHSSVKPFTSLSSVSKNPPLP >KVH92313 pep supercontig:CcrdV1:scaffold_307:306331:314018:-1 gene:Ccrd_005661 transcript:KVH92313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDQWKTKLELHQHQHIPNSCTEHREMTEVEAKDTSDARKVQKADREKLRRDRLNEQFIELGNVLDPERPKNDKASIIIDTIQVLKDLATEVNRLKADCAALSEESSELTQEKNELREEKSSLKSDIENLNAQYQQRVGVMYPWAAIDPSSVVMPPPYSYPVALPVPSGPVPMHPFPFFTNHNPPGAIPTSSPAFMSYPNLPTAHINQTIPVYALTSHSSSKQECGSKSSDRFRGSNDEKGDHSSNVGGKVNIGQP >KVH92319 pep supercontig:CcrdV1:scaffold_307:42976:44235:1 gene:Ccrd_005645 transcript:KVH92319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MASFFLLLIVFMVLCFHGHSITHWEDVQALKQFINGVHSDSMAPGSCLASWDFSVDPCDSLSGDKFTCGIRCDVVISGVTRVTELTLDQAGYTGSLASSWNLPYLQTLDLTNNYFTGSIPGSFSRLTRLQRLSLSSNSLNGSIPDSVGAFPDSLEELLLDNNNLQGPIPPTFNSLTSLKRLELQGNRLSGEFPELSQLSNLYFLDASNNAISGELPSTYPGNLIELTVRNNSLQGNIPASLLNNSVYLQVLDLSYNNLTGTLQPELFTHPSLEQLVLAYNRFRWVQVPGNWGRNSELIAVDLSNNDIHGFLPGFLGWMPKLSALSLENNKFSGMIPTQYALKAVTASPAGVAPFERLLLGGNYLFGLIPGPFLQLKSGSGVTIRLGDNCLYECPQSFYFCGGGVQKSLVECKTFSPVIP >KVH92306 pep supercontig:CcrdV1:scaffold_307:268415:272692:-1 gene:Ccrd_005657 transcript:KVH92306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGEDVEISTLNSQSSQTQSLSEHEMEHNNYPLDLLQGQLGEVTSCTHESSGDSKTELEVLWRRVKTTASLLTYLKSKARIMTIPNLALTSCGITYSDGAGFVDRNGTPMSNWSRNLDFSNVDCPDVETWIGINNQHDKQDGVYISEVLNSVQMITDVMESLLKRVLMAESETANEKHKVTLSQEEIIKKEIQIESMSEKLDEMDRFALDTNCILNEMRQWVDNLVEETSRQRQRATENEEELIRVKRDFESLKSYVSSLISVRETLVSSEKQFQTIEKLFERLVVKTTQLETEKKQKEAEVQKLMDENMRLNALLDKKEAQLVAMSEQCKLMALNASGI >KVH92304 pep supercontig:CcrdV1:scaffold_307:280913:282434:-1 gene:Ccrd_005659 transcript:KVH92304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLSSRRITRSQAMMALAAENTIPMSSRGENEESLKFAPKSRQKSNGGKHQEREKSALIDITNGSPIVGLAMGSLKTPSSTCSKKRMMIQNTQSKQATTPGSGESLLRGQVKTLLQKVEEEGVISKISFEHNPLIHKRFMNSPVAVLAPTPANTPQVYDFSTNNNASESFNVSPVAENFSFSQMLNEIIIEPNQEDKSDSDEKIVITRSLFMDFSEKFEGSDSPVQGSDASVWSVQVNASTSEEYKDEEDDEDYEDGDDVVVDELCEGISKISVNNDAKFTGKHIRFVYNSDGELEGEMESSSSSSSTKTASGILCLKGLPTPKGKHLRFPEENESEEERSV >KVH92323 pep supercontig:CcrdV1:scaffold_307:12053:13220:-1 gene:Ccrd_005642 transcript:KVH92323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase-like, N-terminal MDAFSTRYPPFQRSLYISSPPGNHHRFPNLQHHGGVACRLAMRFHNLQRITTALNLSHRNRIQLSLKSTIYLDLSFDFNSNSIMDGSGAKNEIRSGDGLSAVKDTKETEKLPEIPPPPEKPLPGDCCGSGCVRCVWDIYYEELEEYNKLCKGKSDATIGSKLLHSIEENTKFIISKSNLLLFPRLPDMKEI >KVH92315 pep supercontig:CcrdV1:scaffold_307:154769:158185:1 gene:Ccrd_005649 transcript:KVH92315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLQRRSPPFMAAAALLLFLLLLSGATLLIFAETLPEIEALVDFKLNLHDPLGALTSWDASTPAAPCDWRGVGCSGGHVTDLRLPRLQLRGVLSSKLGNLRMLRRLSLRSNNFNGSIPSSLSKCDLLQYVFLQYNSFSGNIPPEISNLNELLIFNVAGNNISGEVPDNLPSGLRYLDLSSNSLSGELPNNLSDLPDLQLINLSYNLFTGAIPERYGALQKLEFLWLDHNQLQGTLPSAIANCTSLVHFSADGNAIGGVIPAGIGSIPKLQVISLSNNNLTGLIPASIFCNVSAYPPSIKNVQLGFNAFTDIVPPPSASCFSVLQVLDLQQNQIHGGIPSWLTNLSTLTALDVSGNHLSGKIPTGIGNLWRLEELKLANNSLTGFFPSDIKQISNLRVLNLEGNLFTGEIPKFLSELRGLQMLYLGGNRFNGSIPPSFSNLPLLETLSLRDNSLTGNLPEDLMSMSNLTTLDLKGNRFSGELPVTIGNLKGLSVLNLGGNGFGGKIPGSIGSLYKLISLDLSKQNLNGELPLDLFGLPNLQVIALQENKFSGDVPEGFSSLLGLHYLNLSSNLFSGHIPSTFSFLKSLVVLSLSNNNVSGKIPPELGNCSDLQILDLGSNTLSGKIPADLSRLSHLTQLDLSRNNLSGEIPSEFSGIPSLDSVDLNSNHLSGNIPVTFSNLSNLASLDLSSNNLTGEIPANLTAISSLIYLNVSNNDLEGQIPEPLASRFNNGSLFVGNSGLCGKPLDRKCPGISSGSRKKMIWLIVAAAAGACFMAICCCFYTYSLFRWRKRIKERSKGAEKKRTSPQRSSGTRSSGENGGPKLVMFNNKITLAETLEATRQFDEENVLSRTRFGLIFKACYNDGMVLSIRRLQNGLLEESAFKKEAELLGKVRHRNLTVLRGYYAGPPDLRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSTATVHGDIKPQNVLFDADFEAHVSEFGLTPLAATRSPEATATSTSGSVGTLGYVSPEAILTKEVTDDSDVYSFGIVLLELLTGKKPVMFTQDEDIVKWVKRQLQRGQVSELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPHDRPSMADVVFMLEGCRVGPDIPSSAEPTSQPSPA >KVH92311 pep supercontig:CcrdV1:scaffold_307:169430:173562:-1 gene:Ccrd_005650 transcript:KVH92311 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KVH92310 pep supercontig:CcrdV1:scaffold_307:202101:203990:-1 gene:Ccrd_005653 transcript:KVH92310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTDNCQSATTANGGEAPKRKVALITGITGQDGSYLTELLLEKGYEVHGLIRRSSNFNTQRINHIYIDPHNTHKARMKLHYADLTDASSLRRWIDTISPDEIYNLAAQSHVAVSFEIPDYTADVVATGSLRLLEALRSHITTTGRTHVKYYQAGSSEMFGSTPPPQAEDTPFHPRSPYAASKCAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWLMLQQEKPDDYVVATEESHTVEEFLEKAFGYVGLNWKDHVEIDKRYFRPAEVDNLKGDSSKARKVLGWKPKVGFEQLVKMMVDEDIELAKREKVLVDAGYMDAQQQP >KVH92318 pep supercontig:CcrdV1:scaffold_307:15258:20539:-1 gene:Ccrd_005643 transcript:KVH92318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, C-terminal MAEEDHGVTRSLEELRQTFKSGRTRSIDWRKQQLRAILRLLHENEDVLFQSLESELGKHPVESYRDEIGVVKKSVDHALSCIDQWMSPKKGRLPLLFFPASGKVLPEPLGLVLIIGSWNFPITLTLDPLIGAISAGNTVVLKPSELAPKCASFLANKLPVYLDPKAIKVIEGGREVANQLLEYKWDKIFFTGSTKVGKIVMSAAAKHLTPVTLELGGKCPAILDSLPNSDMKIEQLKKTIKFFYGDDVTNLKNLAKIVNKTHFDRLRNLLEDPAVANCIVYGGSFDDTNLIIEPTILLDPPLDAEIMNEEIFGPLLPIITLENIEESIEFINSKPKPLALYAFTKNESFKKRILAETSSGSYSFDTFSHEKAVLERSFYFELEPRHPPWNNFKLDFLRLAYNFNYVGLVLHLLGLKRSSNA >KVH92314 pep supercontig:CcrdV1:scaffold_307:299970:306317:1 gene:Ccrd_005660 transcript:KVH92314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGGCFSTTKISGSNSNATSTTTSTTTVVHQQPHRRTTASAANKHDGGGSNFNKTKEGNRNHHHQQQQRTQLQSNNNKNKMKNKATSRRQNGIISCGKRTDFGYLKDFDQRYSIGKLLGHGQFGYTYVATDKANGDRVAVKKIDKNKMILPIAVEDVKREVRILQALSGHENVVQFHNAFEDDSYVYIAMELCEGGELLDRILSKKDSRYTEKDAAIVVRQMLKVAAQCHLHGLVHRDMKPEVFNNKDNKNSNLPLQNNFLFKSPKEDSHLKATDFGLSDFIRPGKKFTDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPNISTSARDFVKKLLVKDPRARLTAAQALCGNASEIPLDISVLSNMRQFVKYSRLKQFALRALASTLDEAELSDLKDQFQAIDIDKSGAISLEEMRQALAKDLPWKMKESRVLEILEAIDSNTDGLVDFTEFVAATLHVHQLEEHNNVKWQQLSQAAFEKFDVDRDGYITPEELKMHTGLRGSIDPILEEADIDKDGRISLPEFRRLLRTASMSSHTVSSPAAHRGLRKL >KVH92307 pep supercontig:CcrdV1:scaffold_307:245273:247201:1 gene:Ccrd_005654 transcript:KVH92307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGIPISLRFLGTSTATPFASSSILSSPLPEEVFDPASHIIQEKDLLRKSPNGSLRVLELIDDGLLEPDAKLYSQLLNRLTQLGKIKEGKMVHTHFMNSKFKHYVVIQNTVLNMYAKCDRLDDAREVFDKMPVKDMVTWTALITGYSQNDKPVEALVLFPQMLRLELKPNHFTFSSLLKAAGARADEKEGEQIHGYCLKYGYDSNVYVGSALVDMYCRYERMEEAHFVFDGLEHKNEVSWNSLIAAFARKNEGDKALQLFQKMQRDEYKPTHFTYSSIFSSCASTGSLEQGKWIHAHMLKSGLKLIAFIGNTLLDMYGKSGSFDDAIKVFDRLAKPDVVSWNSMLTAYAQHGLGIKTLQQFEKMLKTGIEPNAVTFLCVLTACSHGGLLEKGQHYFEMMKKFKIQPEVSHYVTMVDLLGRAGQFDRAQSFIRELPIEPTAAIWGALLGACRMHKKMELGVHAAERVFELDPYDSGPHILLYNIYASAGKWNEASEVRKFMKEIGVKKEPACSWVEIKNSMHMFVANDESHPQKDAIHKMWGEISERIKEIGYVPDTSHVLLFVNQQEREAKLQYHSEKLALTFALLKTPKGSTIRIKKNIRVCGDCHSAFKFVSQLIEREIVLRDTNRFHHFSRGSCSCGDYW >KVH92317 pep supercontig:CcrdV1:scaffold_307:3542:8981:1 gene:Ccrd_005641 transcript:KVH92317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAIGKPRANKRSSGGGSCSSTVTTIVLVSVCALGVWILTSNSTVSPQKTANRINNDSSFDSGRHSVKRHRKVPFDAMIAPREKLVDLPINTSDSEDTHYGFPTDVVEHDDGLKAGNDPATIRETSRDDKVEKDENSGGSGLTETEAEIKPESTSDVDDDAESLNDSDVGKQEAEEHQEEAREEQEMEISTSVIEDEQHGSEEEVQKTQKIQDSEDNTDYQHSEETTENEYDQQSDTEDKQTESGGEDDIQELEDNQEQEITDEEQEKRMENQQEEEEDEEEKEATPREDDGPIESHSIAAETRAQVESREARDIRKKMEQAKISIQSTIANTTTPKKPSKTVNSTMVKKHSSGVPNESENQTQVDIKVTADVDMYGYKWELCNVSTGTDYIPCLDNEIAIRNLHHWSPYEHQERHCPKEAPMCLVPLPKGYKTPIPWPEKKTLFDGKGSQNWVKVAGEFVTFPRGGAQFMHGCGVASFGGYLFDRDVLTMSFAPKDEHEAQTQFALERGIPAVSAVMGTQRLPFPSRVFDLVHCVRCKVPWHKEGGYFVWSAPPVYRTLEEDVQIWKEMSALTVAMCWELVTIKKDKLNVIGVAVYRKPDLNECYNERKKQQPPICRPDDDPDAAWYVPLQACMHKMPMMDTERGSHWPEEWPERVQKPPYWLNKSQIGIYGKAAPNDFNADYEHWKSVVSKSYMSDLGINWSNIRNVMDMRAVYGGFAAALKDLKLWVLNVVNMDSPDTLPIIFERGLFGIYHDWCKIAPVVAEIDRILRPGGNLIAWDESSMIREIEKFLKSLHWEVNSTLTNNQEGIISAQKTTWRPSTYAATS >KVH92312 pep supercontig:CcrdV1:scaffold_307:185904:191462:-1 gene:Ccrd_005651 transcript:KVH92312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MRTRNADNPKSPPPVKRTPPARKSATKTPLPLLDASQQSPSDSTITPKRASSTRGKAVATNDATATTPETPVEALKVQDDTVKVTPGKKPGTKRTVKRVVKRTVIRKKAPASAKSDDAKTQPASVAVEKDDTEVPVEKEVIDEVKDVAYAKQEELSPSDVKKSVEKEEHVDDTGVSQKGDKDVAEAEDNMKDVDESNDVQPSIENLESSLRQESSDITDVEKSLEHQEPELDEIENMETEEKAPEVQEPSLEKEEVDEKENKETDDDNSLEIQEPGIEVGKKEEKEKEEPDVKELVQNQEITMGVGLEDEPVDTEQVPTEIDEDKVELEDEPVDMEKNINEEPKGEEDPQERVCLSDEEKDKDIGTDAHEEDDEDELADEKVAEHGEVELEEDHAEFTAAAEERRRRKEFEIFVGGLDRDATEEDVKKAFANVGEVVEVRMHKELPTNKNKGYAFVRFAQKEHVARALSEMKNPVIHGKRCGTAPSEDNDTLFLGNICNTWTKEAIRQKLKDYGIEGVERITLVADPQHEGLSRGFAFLEFSGHPDAMLAYKRLQKPDAIFGHPERTAKVAFAEPLREPDPEVLAQVKSVFVDGLPPHWDEDTVREHLKGYGSIERIMLARNMSTAKRKDFGFIDFTTHEAAVACIDGINRRELGDEKMKVRARLSNPLPKTQAVKGGISGGFRIGQGSGATFPRAGRGFVRGEHPSNRMNFQRGRGFYQHGHGQTSRMGFAEDYPPPGPQPPFRGRQNFGRGGRWDNFRGPHPPSGQGTVPARFDLDRPRHGAIMDRDHPMPMRGQSFLPDEEFTRPYGGRHYEDSYIYGDTARGVKRPYFANQDPDYIEPSRGRPRLDYSDPAISVPGSRLRGGGSGVHSRDYYNYDYNGSYSSYYGGDRPYGGDRPYGGDRPYGGDRPYGGDRPYGSDRPYGGRYYY >KVH92308 pep supercontig:CcrdV1:scaffold_307:255944:261632:-1 gene:Ccrd_005655 transcript:KVH92308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MsrA LLNSGTKGNVKHIVSFNTTIPFRVPNRRSALIDRNLSPVNSPENHVETLMKIYHLQQILSYFFLGIIVSADVTLGIRFPNPISQVSGNPPDQLLKTAVFSLGSFWRSESVFGCLDGVVRTTVGYAGGSKSNPEYRSLGDHAESVQIEYDPRLINFRQLLEVFWSSHDSRQVFGQGPDVGNQYRSIIFTNGTDESRLAAVSKEREQTKSRSSIVTTQIQQLGTFYPAEPEHQLIGNLAEEELEKSRLASKLNGYAAELCPPRIQSRIDGKINEIIRKGWPILQDL >KVH92305 pep supercontig:CcrdV1:scaffold_307:267244:268084:1 gene:Ccrd_005656 transcript:KVH92305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAALKAQEHIVITDLRLRHTLWLGRVYGVLEAMTLGSDNRSFDLSSQLLESPQYHTMPATHKAQKDIVDTDVYFFRPYGFRILGDQEHGSSSM >KVH92322 pep supercontig:CcrdV1:scaffold_307:82455:84491:1 gene:Ccrd_005646 transcript:KVH92322 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDSIQIPISIYVIIALLTFSFLAWLRRKNLPPGPMGWPVIGNMLMMDQLTHRGLARLAEKYGGILHLKMGFRHTIAVSSPEIARQILQVQDNIFANRPATIAITYLTYDRVDMAFADYGPFWRQMRKLCVMKLFSRKRAESWDSVRDEVDSMVTTTATNSGLPVNLGELVFGLTHDIIYRAAFGSTSHEGKEEFIRILQEYTKLFGAFNLADFIPWLGFIDPAGLNTRLPAARAALDGFIDKIIDQHLAKEKKVGDENVDNDMVDEMLAFYSEEGKTNEAEDLQNAIKLTRDNIKAIIMDVMFGGTETVASAIEWAMTELMHTPEALKLVQQELTNVVGLDRRVEESDFEKLPYFKCVIKETLRLHPPIPVLLHQSSEATEVSGYHIPKGTRVMVNSYAINRDKNAWTDPNTFNPSRFLQNGAPDFRGSNYEFLPFGSGRRSCPGMQLGLYAMEMAVVHLLHCFNWELPDGMKPSEIDMGDVFGLTAPKAIRLVAVPTPRLLCPLY >KVH92316 pep supercontig:CcrdV1:scaffold_307:117521:128247:-1 gene:Ccrd_005648 transcript:KVH92316 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF-like protein MEPRNSAAESQTSDNQSQPIQELDGDGGSKMDGAPVAVDVVVAADADGGGVEAEVELGSGVGGAEMVKKKRGRPPRVQAKSPPAKMNKEEDEDVCFICFDGGSLVLCDRRGCPKAYHPACVKRDEAFFRSKAKWNCGWHICSRCQKAAHHMCYTCTYSLCKGCIKKADYVCVRDKGFCTVCMKTIMLIENDGQGKDEKAQVDFDDKTSWEYLFKVYWVYLKGKLSLTLDELTQAKSPSTGASTSSVTPSTCVHNGDNNLKSNMLDIPLRNLEASESKRRKTDEQIITPHKETVSMRKLGTDESSSVVACKDWATKELLDFVAHMKNGEASVLSQFDVQELMLEYIKRNNLRDPRKKSQIICDSRLKTLFGKPRVGHFEMLKLLEYHFFIKEDLPKNTINSIARQVDPDWNSDNMLTLGKDKKRKNNRKGEERPPQNKLDEYAAIDVHNMNLIYLRRKLMENLIEDSEAFHGKVVGSIVRIRISGSDQKHDMYRLVQVVGISKADAPYKIGDKSADDVLEVLNLDKKETVLIDTISNQDLSEDECRRLRQSIKCGLVKRFTVGEIQEKAVSLQSVRLNDWMEAEILRLNNLRDRAKHKKPKYSGVSRKNTNSLKKRAEFSNNIGRSWKNEQPESKATGRLNMAKDGHGSGSLVRPKNPVECNGSTINNRNHRTIGSSPLVNPASETATSYSMRNTTTSNDSDMDKVWHYRDPSGKVQGPFCMIQLQKWSTTGYFPVDMRIWMNREDESLLLSDVLKEQSQNSRLSENKTATDKVGNRIEGLTVRTANLLSTEKLPDDHGSSGQSSVQNWSSNGNILNRNVSNESTGQLNTLELASPTPVENDADIKRVSSIFDISDASLVDLPSPTPKKTSSHEEEKVQNGGAKEQPILPEILVQDARNFTSDQDVGGAQLLKTANEWSGYSPIQVKREEWNSGGVSVSVSAPQAEGDHVATTTSNIEQIVHLPPWNGVRETIEFSTLAEESVSDLLAEVDAMESQNGFPSPTSRRNGFVEDLFNGSFEEFSPTPDQSARSDGFSSIQADIQLPYQTAATTTTTTTAATDEHSEVTSQGNNGNVFDFVKSSPTLQPSFICPETKSENPPFKWPEMLPEAVSKDIRVGCHSSELQPPLHDVNNSAKDQERESGQPTTTKPNPSPELVPQLSQRTESEDRTDHIRSGGRMMVGGRKAGDGGGTENEQDGSFIHLLPPPPPPSLAIGFDKVYPRRVGSEATLGNMNIFIRPSQETVTRGSNPHLNVGRGGNIGWDPHQRMYGGERHNNNSPRERSYTGRQPSFGGGSGGGGGYLRPPPPPKGQRVCKFYESGRCKKGASCNYWHPLP >KVI03281 pep supercontig:CcrdV1:scaffold_3071:56148:58037:-1 gene:Ccrd_018422 transcript:KVI03281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSQSLLSLSSLPVPETHKSNAALKPTSETLGNDLYSFKTPFELKQIHAHIIKTNTSPSLLSLSLVASVCAFTPSFPYARKLIQISGKPENVVWNSCLKDFAESDRPIDAIRLFCRLCEYDVCLDAFTFSFLLKACTKISDGFDGRIKLHPNEVTVVAVLAACADIGALDLGRRIHDFSNESGFRTNIRISNTLIDMYIKCGCLDSARSVFNEMEQRTIVSWSAMIQGLAINGNGEEALNLFQEMIHLRIKPNGVTFIGLLHACSHMGMVKEGQRFFASMTKDYGIVPRIEHYGCMVDLLSRAGLLKKAREFITNMPIKPNGVVWGAFLGGCRVHKNIEMAEEAIEHLLELDPLNDGYYVVLSNIYAEAKRWEDAARVRKLMREKGVKKTPGSSSITIDGVTHEFVAGDEAHDQNKEIHQRWEKLLQEMKLRGYVPDTSVVLLDVEESEKVRFLFRHSEKLAVVFGLINTADGTPIRIMKNLRVCEDCHEALKIISEIVDREIVVRDRSRFHCFKNGMCSCKNYW >KVI03282 pep supercontig:CcrdV1:scaffold_3071:27729:32824:-1 gene:Ccrd_018421 transcript:KVI03282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRTNSYTNSYISSFVPNPIQEIRLKKSLKYWNLRSRIMVGPTIGIDLGTSYSCVGVWQHNQVEIISNDLGSRTTPSWVAFTDMERLVGEGAKNQATKDAGHVAGLNVLRIVNEPTAAAIAYGLEMRIDISRETNVLVFDLGGGTFDVSLVNIDENGKLEVKAVAGDTHLGGQDFDNKMVDHLIKEFKRKKKIDIQGNLKATGRLRVSCERAKRQLSSSIETIIEIDSLHKNVDFNTKISRAKFEHLNADFFNKCIKTVESCLKDAKMDKKDVDEVVLVGGSTRIPKIQQLLQEFFNGKELSKKIHADEAVAYGATVIAAKISGEISQKLKNLVFLDVTPLSLGVELFDGTMSVLIPRNTTIPANKGSTFHTSKDNQRSINFPVYQGERSRAKDNNRLGVFEVSVPPAPKGESEVKVVFNIDDDGILNCLGEEVSTGLKKSMIVTNDKGRLSKEEIKKMLKDAEEYKLDDQLYKKRVIARNALEKYIYEVSSKITTIGDTNKTRLHNEDMKKMEDAITDATESLDMDKLSEVDEYEKMLNQLQKLCVPIISKIE >KVI03283 pep supercontig:CcrdV1:scaffold_3071:3072:7393:1 gene:Ccrd_018420 transcript:KVI03283 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MDPISFNETFVKDIFDEIDGINGKRKGVVHDREFTTVTNDDVKYKPKVGDSFESVESAEKMYRKYANEVGFDVRLSNKKINKLGRITSQFYVCSKEGRPKVSGRTIRNSNIKRSGCGACLKIHLVKEPNQYEVYKFVEQHNHILFNKEEMRFSRSKRQLHYADHKNVFHGSSSKVGVTKSHRFIKAVKGGVDSSGGTVRDHQNFKRDMTYFVGNKDAQMLLNVMANRRKVTTKSTIPRLVSPCKLEAHAAEVYTRTIFFEIQKELRKAVWLCGWDGFTDIGETRVYIITHKNKASKVTTKYTVIKNKMENSYDCSCNCFVRNGISCRHALKVMLNDEVDKIPDKYILRRWRRDLVPVEWLPAHFRYGEVDAEKERLMSLAYSYFERILGRVQNEKDILSRFVDQLVDIELPLQSHTEETTSSIKEFLGVSQPETVDVLPPTGIRNKGCGTGKRLISAAEKAIPNGKKQNKKCRLCGQMATHDSRNCPKRDYI >KVH87537 pep supercontig:CcrdV1:scaffold_3072:56770:65140:-1 gene:Ccrd_025185 transcript:KVH87537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1350 MAIVSFGTSTCGSMELAAYSFSPRHAQRDVVYLKSLSSQWQQQRPWWFGFSCDKIRNLDASGRSLRMISSGYAGRDTYRMKKDNDDKMYRRLDSCLVIPPPKGKKPKAIIKFLGGAFIGAVPEVTYSYLLGLLANEGYLIISVPYNVTFDHSQAASEVYERFHSCLKLILTSGLPNDDLLAAELVDLPLYSVGHSNGALLQVLTGSYFSEKIPKNMMFTFKALCFKIYGTVLESDVISPLLWKANAIISYNNRPATEAVPYFEQLGPLVSQLMPVVEASPVSSMAKGAADAWRVLLDTAEAMTPDYDPEARVSLNKFVDQLPSVFNQVAQGISEFRPTPIENREFCKNSYNVQKTLLVKFNVDAIDETDLLEETLRPRVEAIGGTLDKVSLSGNHITPCIQEPKLQAGDVYTPADAIAQGLKTLTLNETRILARTVADWFNNINE >KVH87536 pep supercontig:CcrdV1:scaffold_3072:46170:55851:1 gene:Ccrd_025184 transcript:KVH87536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLRRRLNCCTWFKGISNSIDLDQPPDTSKGDGFPTDSPDEDTSSCCSSNNPSGSSFSQWTTMKRDEHGLDEWEYSDSPSPFIAKEKPSYAVQFSDVEVMKEKFAKLLLGEDTTGGRNGASTALALDKNLMMVINMSIFIFSYGICGWVGAVFGELWKLEPLPEEKRIKWRREMEWLLSPTNYMVQLIPAKQCGADGRILEASFPFPAQLFYFIFYNCGVPIMTPKARGDIHLNLPALRKLDSMLLETLDSMIDMEFWYEEGGSRAEGRSRNVKQSKRWWLPMPQVPIGGLSDGGRKKLLNQAKLVHQIFKAAKSINETILLEMPIPKIIAEALPKSGKASLGEDLYRILNRVPSSAAGMLKSLSLKSENNALDAINRLEAAIHAWKEKIEEHDAGKSPARTSWSFKDPILELDKMELLINRAEDLSREIHIRYPNLPQKFLDVMKIQYSKDVAHAILEAYSRVLGNLAFSILTRIGDICQEDVLSDPDSPMATNSVPGLMIHGMSGSNNTTLIDEMNSIEGKLGSLQAEEASILSDEPYTRSVTATPSRISGCCLGKEAYFMSPTATP >KVH87534 pep supercontig:CcrdV1:scaffold_3073:27867:28853:-1 gene:Ccrd_025187 transcript:KVH87534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESEPDNRFLIGYALPARKLGSFMVPTFINQAKDRGIDFVAIDVSKPLTDQSPFHCIVHKLYTDDWKLNLHDFTVSNPNTVIIDPPSAIERLQNRISMLEFVTELKIPNLSIPNQLLVEDSSSLSSVIATGKLNFPMIAKPVVVDGSAKSHKMSLVLNVEGLTKGLDLESPVVLQQFVNHGGVIFKVYVAGDYVKCVKRRSLPDISEEKLEKMSSESGGVICFSQISNSALAGDDNRSTEDSNEVKMPAPEFISETANCLRLALGLHLFNFDMIRDDKGSGYLVIDINYFPGYEKLPCYETVMTDFFLNLMKSHTVMKKTTVEGGSQ >KVH87533 pep supercontig:CcrdV1:scaffold_3073:16149:17456:-1 gene:Ccrd_025186 transcript:KVH87533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMHVTSNKDRFLWLKFAVERSKKSIQCRMQTIEKAVRKLHACIRYCEFRRPSGASNDDIVICTSQSNAEGRSKFQKGVDWNLFGILLKFSRSLKMVLTAQNKHQGHMSLDILRNLINQSPNQTSPSLSSFYLNLDDDDVMSGGSPSPGPVGMKKFKLKRKMDEQTSTIVNTIKEENKQLLEQLKKTSE >KVH87535 pep supercontig:CcrdV1:scaffold_3073:41315:45717:1 gene:Ccrd_025188 transcript:KVH87535 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MALSLLLSIFLLWSLVSTATAFNITKILDQHPDYAVFNQLLTKTHVAQEINKRKSITVLAVPNGAVSGITGQDEETLKVIMKIHVVLDYYDSAKIKKIKDDTTLTTLYQTTGSADKEEGFLRIIKDDNDIKLTSAVSGSSVTARVSKVVTSIPSDISVVEVSNAIMPPGLDGSPISPSPSSTSKHKSPSPSPNKSSSPKSSDAPDADDSKSAPSDSDAPAPSPKGSLNSVGGVATEINAVNYVSPRSWLATSHRA >KVH89295 pep supercontig:CcrdV1:scaffold_3074:42929:44883:-1 gene:Ccrd_008720 transcript:KVH89295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGPDYASPKRVMVCLLEKEIEFETVPVDLITGENRSPEFMKLQPFGSLPVIQDGDYTLFESRAIIRYYAEKYKSQGTNLLGKTIEDQGLVQQWLEVEAHNYHPPLNNLVLHILFSSVLGFRRDEQVIKESEEKLGKVLDVYEDRLSKSRYLAGDFFSLADLSHLPFTSYLVGGVGKEYMIKERKHVSGWWDEISSRPSWQKTDFK >KVH89294 pep supercontig:CcrdV1:scaffold_3074:12909:14537:1 gene:Ccrd_008719 transcript:KVH89294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNGKNPIDSSMPINSDEEDVESHGMDDTTGYTSNTDIQDALDQTNHSLSISLDGTNWFTPIVEEVVKPIIGSVYSSLDVAKSVYQKYAETAGFERDCDNFVGHGDAKVLVDLMTKKRDANHNFFFEYNCVGSELHTIFWVDEVARFNYSEFGDVISFDATFRTN >KVH89293 pep supercontig:CcrdV1:scaffold_3074:6101:10947:-1 gene:Ccrd_008718 transcript:KVH89293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MTEEGKSRGVAFTVGQQPSAPPQPQPPYYYGTFQGVVNHQQPYPPPPPTYQPSLGFPQPSPPTNIHINPYYAHGYQTVPGFVVAAGTPVVIERSLPCCGCGIGWFLFFIGFLFGAIPWYIGAFILLCVRVDYREKPGLVACTIAAFLAMIAVGLGVRRVTYHW >KVI06701 pep supercontig:CcrdV1:scaffold_3075:35245:42177:-1 gene:Ccrd_014943 transcript:KVI06701 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4094 MLKMRGKLVPGKIILMLCMASFLVGTLFTRHTWPYPPINNDLRFKNHQHKISLTIPRDCDHKRKLVEQSSGDIMGEVEKTHQAIRSLDKTISTLEMELEAARTSLTRAKFPSSNKTSSLQKAFVVIGINTAFSSKKRRDSVRETWMPTEQILTHPLVNACEYHWAKDPLAFPRAKLNKLAKEKGIIVRFVIGHSATPGGVLDRAIDAEEEKYQDFLRLNHVEGYHELSTKTRVYFSTAVSIWDAEFYVKVDDDVHVNLGMLVTALARYRSKPRTYIGCMKSGPVLFQKSVNFFSSFVYSYSFINFRMPSPKYNKIGTKYYCHVKNLVPFLSRRMLAKCLLETELSRGVRYHEPEYWKFGEEGNKYFRHATGQIYAISKDLAAYISSNSGILHRYANEDVSLGSWLIGLDVQHIDDRSIGICKSVERMKFVHDSCGEGDVVVWNRITHTPKYNIRVSWDELNYQGDIDDADKVIDPLLWTVPNATV >KVI06702 pep supercontig:CcrdV1:scaffold_3075:55707:58054:1 gene:Ccrd_014944 transcript:KVI06702 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MYRKYDDVAGFDIRLGSKKLNSLGGVQTRYFVCSREGNPPKKEFDSLEVSSGERKRRNTNLKRTGCKACLKVHYVKESGRYEVYHFIEGHNHMLCCSDEKMFTRSRRQLDYKDRRNVVHDVRDESFDCSCNHFVRNGILCRHAFKVMLNSEVQSIPEKYILPRWRRELVPNELMPARVRYGEMDVEKQALINQAISMFDLIIGRVRNDKGALTEFVEQLERLGDEILVDVPILTSTEQKRNDIQELLCVTEPESVDVLPPTGVRNKGCGTRKRLVGMSERVSMNA >KVI11270 pep supercontig:CcrdV1:scaffold_3078:52213:53024:1 gene:Ccrd_010321 transcript:KVI11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFTNMNRSRHCYHIKTSNATNKGTSNPTDFNALPEGVAGFGPVGRPIPPHREPLLHRRHQNHNRACQQDGTQRPIYVSVMDRFNVQSSYHLKDEEDFPPLSV >KVH91409 pep supercontig:CcrdV1:scaffold_3079:49489:64639:-1 gene:Ccrd_006568 transcript:KVH91409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MEYPSPSKDKAMSFWASPRAQMDNSASFDGFTRPDDPFNGFSGLMNFDTYAGWCTSPSAADQMVTSFALSAGYTPFDYVNLTEQVKGGFLPTDGEVTQNFINGERAVLPTIDTQYSLPLNSVDENDIGVGRGGNSCQQKNIQDTGNGIIHRPPVRSLAEKMLKALSLFKDSSGAGILAQVWVPIKEGDKYILSTSEQPYLLDQMLAGYREVSRGFTFSAEVKPGNFPGLPGRVFTSKVPEWTSNVAHYNKGEYLRIDHARNHEVRGSIALPVFEDDSFERSCCAVLELVTVKEKSDFSEEMDHVCRALEVILFNLVVINRKVLFPISGFFFTVNLKSVTPPRLYKQCLSKNQMAALGEITDVLRAVCHAHRLPVALTWIPCSYNEGVGDETIKVRVGGCNVNSAEKCILCIETDACYVNEREMQGFVQACSEHHLEEGQGIAGKALQSNHPFFFPDVKEYDISEYPLVQHARKYGLNAAVAIRLRSTYTGDDDYILEFFLPVNMKGSTEQQLLLNNLSSTMQRICRSLRTVSDAELSGVGGSDIGFKRGLDESRLPVELSRSCSEQKYVEGSSTPADQVSVNATDSSDARIGARPPGQKVGGSRKQSEKKRSTAEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPTTGGLVAAGSVIQDVDAQKSSMVSNNNPCDRNIDLATEGASPHPPPSSSCFNSENPTVKLEDESYMGVDKLRLSNSIPITTSIGEYSSDSLKMAAAMPWTFSTPSLKGCSRWSPIDGQLKVETSNRHFVSQSSSSLAAGDGMDTGEDIIDEHNQSSSSGMTESSNGSMMNGSSSSSPSFDGVKNPKAEMLFGDEGSKITVKATYKEDTVRFKYEPSSGWFQLYEEVAKRFKIQTGTFQLKYKDDEEEWVLLVCESDWHECLEILEFLGTRHVKFLVRDATFAMGSSGSSNCFLMGSS >KVI06667 pep supercontig:CcrdV1:scaffold_308:26255:27608:-1 gene:Ccrd_014981 transcript:KVI06667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding KKARCLKRSIKRQDLNSSFQTHLPPTLISLSLSRIISRTHQEDLKEGSIVMALMLDNSCEGILLSLDSHKSMPAPFLTKTYQLVDDPTTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTSQPHVGLNHHHFTGIGGGNGFFSYPLTRDSISPTDSDDHVCCDSPPPLSSSTTTGTASTAAGLFGIFPSNSISSNGRGNSVTALSEDNERLRRSNNMLMSELAHMRKLYNDVIYYVQNHVKPVAPSNSYPSSLLLPSTNGPLMMQKQQQQQQQQQQPTSFFNAGSNPNVTVVYPSKPQQLQLHDSTINNMVRDQDKVNVINRTKLFGVPLLSKKRLHPEYGSANTMVEAHKARLILEKDDLGLKLMPPSPC >KVH93596 pep supercontig:CcrdV1:scaffold_3081:14082:27263:-1 gene:Ccrd_004352 transcript:KVH93596 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOS1 [Source:Projected from Arabidopsis thaliana (AT3G23980) UniProtKB/TrEMBL;Acc:A0A178VL19] LEEFRKKKAAAKKAASSNAAPSANGDLHETKSSVSDTVAVADGTNDVGVGKNENKTIDVHETEPHSMYAIHANPISSSNKHSTSPGQTYSVDKKLEHDALYGSGSDLLAPQELKNAKLNAEYAVDIEKSRTFDHPEEDVHSSFNHFSNTRLEDALKNSRNDHLKDFTSTIPVTSQVPVTKSSPERSLGTSFANSSSQTDLLANGPTYRDYSSEVGKSPEVVLGHRYPSVSAVGDRNHNNLVDHPASENSSSFTVSTSFRTEAVPSHNQTSMSPSVAGRRSRPSFLDSIVSRNSSTSLSEADKANPFSSKVYPVEAPVSSDPKNFMNSSIANMGDLFRQGVNELDMERRNNFHSTKQNEDFAALEQVWSRYLCPMPASVVAQERQATSDSLTQQLLWVTPLCMVHIEDLTQEKFSLQRALEASQVLAESLATENSALTDSYNQQGGVVNQLKSDMERLQEEIKGLLVELEAVRSEYGNARLECNAADERAKLLASEVIGLEEKALRLRSNELKLERQLENLEAEMSSQKRRISSLEKERQDLQFTIDALQEEKKLLQSKLRKAPGIGNSVDTKKSQPAKKEASTSTDDLVNESEDPTPAIQSTLGENDASLQLQSGNFGLEVSSLSIPPDQMRMIQNINTLISEVISLLFTLSSAVNPIKKLAMEKEELTQALSTESSLCSKLKNLNKELSHKLEVQTQRLELLTSQSMVADNIPVRKSPPRTVVDNTPYADEGDEVVERVLGWIMKLFPGGPSKRQTKHL >KVH87531 pep supercontig:CcrdV1:scaffold_3083:17679:21238:1 gene:Ccrd_025190 transcript:KVH87531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYIWTVWTQRNHKTFRGTSKSDKDVCSDIQFTAYEWIRCRAKISKPFSWESWICNSMDAKVRNLVEEKGKIGEFEQRKNSNHFVLPVFYNVEPSDIRRHQKKFALQWYKKSAVWKEKGDLWNAALKEVATLPGFSRRGEPWRLEMVMVSSSPAISILLVPITCEISSVSSSPAISILLVSFSCIDENHSRLMLHRFSMNNEATDIKEIVGTLRNTLYPNSFSTPPRPIRQDNQTEKII >KVH87530 pep supercontig:CcrdV1:scaffold_3083:24865:27117:1 gene:Ccrd_025191 transcript:KVH87530 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC-like protein MLWSETEFLKEIIDNIYNKVARKTVNLPHNLTGMNARDKDISSWLEQSDVKVLAISGMGGSGKTTLAKYTVRLLSSMLNLQSSDFKTDSLTKMDNLKLLQLNDVHLTGSYEEFSEDLRWLCWRRFHLSAIPSGLFQGNLVTIDMRDSKLRVLQFLKTLDLQGSKYLSEIRDISRLPNLETLILCHCSELVHVCESIGSLMNLALLNMTGCESLLWSFYMDYGRELASTS >KVH87528 pep supercontig:CcrdV1:scaffold_3083:36048:36524:-1 gene:Ccrd_025193 transcript:KVH87528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHHQQHSISSQSSGFASLLSEDLSNLIHQQEHQEEELRCKLAEKKQQHYFVLIGAAKDSASQMIKGQGGEGREIRFVQADLEARAWQAKARAKEAVAAALQAQLQQGIITILNAMYNDSQKFQVLTDKAF >KVH87532 pep supercontig:CcrdV1:scaffold_3083:733:9976:1 gene:Ccrd_025189 transcript:KVH87532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDNLKLLQLNDVHLTGSYKGFSEDLRWLCWRRFHLTAIPSGLFQGNLVTIDMRDSKLEVLHYLKTLDLQGSKAFGRELASMSGGGSPQRTSFILPPPLVWLTLKGNSIPCTDFFPLSFNVQLKLQYLDLGGGVFESLPSYSRLKNLRVLDLSCCNFLKWLTCLPSALAELYVDFCGLLEKITFESHKFTLQEFGCHVSCDYDWAWFAKLSTNSGVAYIYNPHVFENPGVGAVGIWLSYWPIGSKLNVGDEVNVSIVDVVMNGTLKIGRFFQLGDNDIDDREDSSNKRSIIGVVIGDVYAFCTTLLLIKNSKGYSCSKHKTHQQRSNHIFLMATLHEVEFLKDIVDTVYNKLDRRQIYLPPNLIGMDARFQEINSWLLQPHANFLAICGMGGSGKXTLAQYIVYSNWQNFENISIVECIGSRCKERHDLLEVQEELLKDILGGKNRRIPSVCQGTYKIEEVLRTKKALIVLDDIVESSQLVTLLGTGNINKASKIIITTRDHNIEMDQLELLQINFVQFQQFTRDFAKNLRWLCWVGFNERSMPAHLCMGNMVALDMSYSCLEEFEPPMCHVLQSLKILNLKSSRYLNRIHNISRLPNLESLILWNCHRLHCVCKTIKYLTSLDLLDMRGCDELQSFIKLVPIAKSDEADLGHMKWLKEYQDHEXCLAGDDELMSGTSCQLQMLYEFNIMSTSLPDIKNPKMTAEFTSESSSFSFDVPLFPQNRMLKGLNVTFRYTISGEDLAWFAKISTSNGVDLMYNPKVFGKPTVGEIAIWLSYWPIGSKLHVGDKINVTIIVMKGLEICKCGASLVYADDEVINETMENNLEWVEILGGNYSGFQLSTGAYYLCRRDFFXLMEVDTLTSGWLSILVGDHTIDDTEVRGWRKTGRPQQSYQSFTELKIIRCIVYGPQSALLDQPLVASRSSRRVPSPWEVRRSYESPRASLAQGAYPSPSPLYYSHRLLASSVQIRPRLPLPYSPNQLISKLQSVLVFIFPPGSRFSLRLPLPKLALPLTNPNTDYKQRNLSHEMLFFTNFPELIF >KVH87529 pep supercontig:CcrdV1:scaffold_3083:27763:64192:1 gene:Ccrd_025192 transcript:KVH87529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYEFGIMSTSLPDIQDPNLINGCISKSPSLSFEVPSCPKNRRLIGMNISFKYTISGDYDWAWFAKISTNGGVAYIYNPYVFGNPGVGEVGIWLSYWPIGSKLNVGDEVNVSIVVMNGILKVHECGATLVYENEDDTLKINMQRDLSAFQLRTGAFYLCRRDFFKLMEIGRLIPGWLSHLRYEDGERPPKLQYLDLGGGWFESLPCYNHLENLRVLDLTLCRRLKWLVCLPSALAELYVYFCYSLEKITFESHQFTLQEFGYQGCIKLSEIEGFIKLVPVAKMDVTDLGHMTWLKEYQNHVVSLVGDDXLITTGRSKRIQMLYEFGIMSTSLPDIRDPNIMPKYISESPSLSFEVPSCPESMRLIGLNVCFKYTISGREWTWFAKISTTNXVDYMYNPHLFGDPGVGKVGIWLSFWPIGSKLVIXDKVNVSIIVMSGVLEVQEVGASLVYVNDDXTLENNTQWDLSAFQLTTGAFYLCRRDLFMLMEVGRLTRGWXSILVGNNIDDTAGLLYFPTLILHHDHGGDHCLPIEARDFNPQIFVFNYKIQLFIAFI >KVI04463 pep supercontig:CcrdV1:scaffold_3087:34375:41048:-1 gene:Ccrd_017220 transcript:KVI04463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSGRQKTKEENAPIALLSKHARPPSFCPGAKIHKQLTKQDLHSLSCKNVNPHKMQCPTNNFFRYNTTLCACNPGYFYNTTDDTCSPFTASSGDDFLVGSGVDYSINIPVNIFAFDTIKRFTQSQAVFLETTFVLVLCWLVFCFFVRFGKLGDGRTPWFQIRWWISRLDVAFATRHWLDDQKVVKKRKTELGGAFSIASWILFIGLFAALLYQVISTRTIEVHSVLASNAPDLASFNNDMEFNITTVSSMSCSNLQGLGTLVTGNPGFIDYRRSLLSTFVNFSCQNTTKGPTITLKCDDCHLVSDNIYISWQFVDLPNVPATAVGFEFKLTARDRQHKKHLSFVSGALKNGSTNSAKFVTYRGKDPNIVQFNLFPRIYRNKHDLKLIQPLFHEFIPGSSFDEASQLQASLQRSSDGLVNTMLYINFLSAYIVQIDNQNTLGPVGFLADLGGLYCVSIGIFFYFLVQRFRHEDDVMRSIRNRRKAQEQWDKLRKYVNFTWGRGLIPSEDGVFVEKACCNCFPNLKGGSSFNQRKQIRMGDLRAVKEIKEKSSMHSNNANTPRQEALTVIPLPPSLEMRAGSKISIPEIQKNLENIYEYNVMLREKLVIAQSTIQALTSKASASSSSDQIHQ >KVH87526 pep supercontig:CcrdV1:scaffold_3088:40808:43905:1 gene:Ccrd_025195 transcript:KVH87526 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MAITISFLLGIIGNLISILMFASPIGTFKRVIKIKSTEDYKGIPYITTLLSTSLWTFYGLMKPGGLLVVTVNAIGSGLQLIYVVLFLTYAPKRKRMQSLKLVAVLNIGFFGGVVAVTLGAFHGNERLLISGIICAGLTIGMTVIKTESVEYMPFFLSFFQFLNGGVWAAYSLLVKDIFIGVPNGIGFVLGSFQLILYARYKNKSSSQNSKEEMEEEGSVHLFKSKVEMQDVEPGPDEQTRTLGKVRSLPNPSRQHSFQRLAKINSLSPNKLRTSLSSESDVIKN >KVH87527 pep supercontig:CcrdV1:scaffold_3088:22947:26399:-1 gene:Ccrd_025194 transcript:KVH87527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLERESFSNLVKRLKLDCRVNYSIIGKLSTALNCGVLSFDPISGLYTIRLRLRRAGVLQFVASKL >KVI01641 pep supercontig:CcrdV1:scaffold_3089:24658:43419:-1 gene:Ccrd_020083 transcript:KVI01641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRMIDRLDMLTADVSDEDARDDGRIRRLVSCVFLILANVLAERLMFFSERFDPKLFITRIHQFTAAADLEAGALALKTDLIGRTQQRKQLVKENFDCFVSCKTTIDDIESKLQMIEDDPEGCGTAHLNTCIQGVNSLANRAFEPLLERQAQAEKIRAVQGVLQRFRTLFNLPSAIRGNISKGEYDLAVREYRKAKSIVLPSHGGMLKRVLEEVEKVIHEFKGMLYQSMEDPQIDLTNLENVVRLLLELEPESDPVRRYFSIQNRRIRCLLEKCTSDHESRMETLQNKLHEKALSDEKWKKIQQDLHESVSNADVDCSLRYPSNLFVDTQTSDSGSDELDALRGRYIRRLTAVLIHHIPSFWKVALSVSSGKFAKVSEQNISTPANMTEEKAGHPLEVASGMIHNTISAYESKVEVFSGNLGHIS >KVI01640 pep supercontig:CcrdV1:scaffold_3089:8917:16174:-1 gene:Ccrd_020082 transcript:KVI01640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSANFGKDGINYWLVSLVQVQNSFHDLEESNVLFPYMSDAIMDISKACQAFEAKEAAPTIAVEALRALLFGSTKIYILRLCSWMRTSIEEISKHESWVPVTVLERNKSPYAISFLPLAFRSVMVSAMDQIDMMMKYLRSEATSEDTLMLLQEAQESDIGGELGQNISNPPFQNGYPPVVEENSLHHRPGCITDPHQKLLMVLSNIGFAKDDLFHEMYNKYKHVWLQARCYVLLTDICMFEYVHILYQKIVINCCRGREDDDDDREELVVSFSVLEEKVLSKYTFAKVANYVRTAATNYLLDAGLQWGGAPAVKGVRDPAVELMHTLVVVHAEVFAGCEPLLDKTLGIVVEGLIDTLLSLFHEHKDTGLKLLDANGYCQLMLEVEYFETILNQYFTGDATESLKSLQGVLLEKATESNCSAEPSGDDAAADEKQTASPDDLIALAQQCSSELLQSELERTRINTACFMESFPLEFAPESVKVAYASFRGSMDASSGAFMAQAAVGSRQRWR >KVI01639 pep supercontig:CcrdV1:scaffold_3089:52806:55636:-1 gene:Ccrd_020084 transcript:KVI01639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESDDEEVQVGSKDKSRKSKRKSSKHVVNNLMHSLGSHIPKKGNKSKTQNRRIPEEEDDDDSEVEMLSISSEDEDSGIAQKAGAKKGSGTSGGGGKDDDTLWEGGEPTKWKQVNESELARRVREMRESKAAPVPQKLERKQTAAAKGLNNLQSLPRGMECIDPLGLGIIDNRTLRLIDERSLSSPSKSEKVDSDLR >KVI04313 pep supercontig:CcrdV1:scaffold_309:194357:203202:-1 gene:Ccrd_017381 transcript:KVI04313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MNLNNVKVPRMPDGRAASALIKFGAVIGLGLYAAGNSLYNVEGGHRAIVFNRIVGVKDKVYPEGTHIMIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVADELPAVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAANFNIALDDVSITTLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAIIRAQGEAKSAQLIGQAISNNPAFITLRKIEAAREIAATMANSSNKVLLNADNLLLNLQEMSLEKK >KVI04301 pep supercontig:CcrdV1:scaffold_309:253413:254453:-1 gene:Ccrd_017377 transcript:KVI04301 gene_biotype:protein_coding transcript_biotype:protein_coding description:CARP motif-containing protein MNNQQAFTIATDDISTDPTVDPTTQRKHAAMIERLSNLHQSRVSSKSNVKDPSFESTQSFLLVFTNSKQAIETSLAQIQQIPDSNLNHDLKSDLERISLSISDLEKLVAENSYFLPPYEVRSSLKTISDLKQTLDSVTSKVKPRKKFSFKNKPAKKSETANVLVDAVNKNEPEHQSSYKVKDSPGFRSKENEVLVKEFKSSEVGEYVLSDLTNCEVRLKGCFRTLFINRLQNCKIYVGPVLGSILIEEVEGCLFVVASHQIRIHHAKQSDFYLRCRSRPIIEQSVGVRFAPYCLSYDGIESDLKESNLYDDAGNWANVDDFQWLRAVQSPNWSILPENEHIDSVKI >KVI04305 pep supercontig:CcrdV1:scaffold_309:11476:15071:1 gene:Ccrd_017389 transcript:KVI04305 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ-containing protein, X-domain-containing protein MQLFSLFQLSLLIVHNWKGYSISLFRHSHHSSSSSSLELGVDQKQLIVMVKETEYYDVLGVTPLVSEDHIRKAYYLKVHDKFIPIKIQTILKLQRDFRRVLGEAYQVLSDPVKRDAYDRNGKCSISKDAMLDSTAVFAVLFGSELFEDYVGHLAVATMASAELALENDIPEILHDKLKATFGANMLHTIGYIYERQGALELGKKVIYLGVPFMAEWVRNKGHFWKSQITAAKGAFQLLQLQEDARRQFKMDGTNDAESHLRSSKDTLMNSLWKLNVVDIEVTLLHVCQMVLHENNVRKEELKARAVALKLLGKIFQREKNSQSGTSKKKIASDDTSSSSDSSDDEDSPRTLNYRAPFITQGIGRLFRCLCNPAYDVDDDEIVYKTNK >KVI04306 pep supercontig:CcrdV1:scaffold_309:13537:17780:-1 gene:Ccrd_017388 transcript:KVI04306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MRFTDSPVIDLTVNGAKLSFHQDNGSMHVGTTVWPCSLVLVKFAERWLTSASTTDNPYATLLDFHNKRAVELGTGCGVAAMGFYLLGLKEVVLTDIAPVMPALKHNLKRNKPVLGKMLKTAQLHWTNSDQIKALKPPFDIVIAADVVYIEESVGPLLAAMEAMVSDTGVVFLGYQVRSPEAHLLFWEQCNRIFDVEKVPHEHLHPEYAYEESDVCQMLKIAMLLVCAA >KVI04307 pep supercontig:CcrdV1:scaffold_309:28263:29318:1 gene:Ccrd_017387 transcript:KVI04307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGVSWIFNVAARHESLLIEIKKFLSSMFITFRISRGRESTKKVVGLLIDHQGLLWKITSVTTSRGEPTSFKTRIISVQTNLLSSATCWHGADISNVCLVITPLNKT >KVI04308 pep supercontig:CcrdV1:scaffold_309:33343:34681:-1 gene:Ccrd_017386 transcript:KVI04308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGCSWVFNVTARHDSLLIEIKKFLSSMFITFRISRGRESIKKVVGLLIDHQDLLWKITSLKASRGEPTSFKTRIISV >KVI04314 pep supercontig:CcrdV1:scaffold_309:214609:218831:-1 gene:Ccrd_017380 transcript:KVI04314 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MDIVWEGVAIMVPLGLGGVEDSNADHDFISDGNFINQPHNSPPPPPPTATTTTTTMAFSLTLPSLILLSLTHLLFLLPSPTLSQSAPAPGPAGPINLTAILVQAGQYTAFLRLLNQTQVLTQLPNQLNNSNQGMTLLAPTDNAFLNLPAGTLNSLSQEKKVKLVLYHVLPKYYSLEDLNTVSNPVPTQAGGSKGSLGLNFTGRGNQVNVSTGVVDTQINNALRQQFPFAVYQVDKVLLPAEFSEAPAPEGSSSSPPVKGKTPAAKGPSSQAADGDGSSPANNGGGRRMDMGLGLMGGVVLFCMTFL >KVI04309 pep supercontig:CcrdV1:scaffold_309:47254:48404:1 gene:Ccrd_017385 transcript:KVI04309 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein RecA NVNLSVPSGSYGIQLLGKKKSKSDGSDSGEENMSKKYLVLKQAIDQINTSHGKGSIMFVGECASPRQVPMVSTGSFALDIALGVGGFPKGRVVEIYGPKASGETNLALHVIAEVQKQG >KVI04300 pep supercontig:CcrdV1:scaffold_309:259206:261455:-1 gene:Ccrd_017376 transcript:KVI04300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MISTGFIKDTYAASRILNFCTGQSSFINVHYSRKIFDHVENSNGFIYNTMMRAYLQTNNPKETFFLYALMLKNKNKVYPDSYTYPIVVQACIARYSILEGKEIHDHVVKMGFDSDVYVRNTLINMYAVCDNMMDARKVFDESPVIDSVSWNSILAGYVHMGNVEEAKLIFDQMPEKNIIASNSMIVLFGRCGLIAEAYRLFVDMNEKDLVSWTALISCYEQTGMYQEALVLFIEMYERGIKIDEVVVISVLSACAHSSIVKTGASVHGLVLTRGTESYVNIQNALIHMYSTCGDIVAAEKLFNSSSHIDIISWNSMISGYAKCGLLKKAREVFDSMPEKDVVSWSGLISGHAQIGLFDDTVALFHEMMLHGNVKPDETILVSVISACTHIASLEQGTWVHTYIKRKDLEVNIILGTTLIDMYMKLGCVKSAEEVFYTMEKKGVSSWNALILGLAMNGQVEKSLEMFSEMKSFGVVPNEITFVAVLGACRHMGLVREGRRHFDCMINVHNIEPNIKHYGCMVDLLGRAGLLKEAEQLIDSMPMAPDVATWGALLGACKKHGANDVGERVGRKLIELQPNHDGFHVLLSNIYASKGSWDNVAEIRGTMIQQGVVKMPGCSIMEANGVVHEFLAGDKAHPQIHEIEEMLDTITQRVKMLGYSPDMNEVSFDVDDEEKETTLFRHSEKLAIAFGLIAIDPPNPIRIMKNLRICNDCHALAKLISKAFNREIVVRDRHRFHHFRQGSCSCMEYW >KVI04302 pep supercontig:CcrdV1:scaffold_309:266984:280486:-1 gene:Ccrd_017375 transcript:KVI04302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, BED-type predicted MKRSKSQERKSVGHPVDANLNPPSRRITRHQKMITKPMTKSEDMSTDHTDIDQEMEGRVVCRRRARAVVWDYFERITGDDGLPKTRCTKCNTVYSTAPKSGTSTMRRHLRKCNPQPLAQLRPTEAFTRTNTTRSSDELLNEARDAKKSKSVMEDSLQNKSDRELMEFIWRNKRNIGLLEEKLPDKARTIKEALKCYEDELDRRAKRQRHKGKNPGPGTSTVAVKIEVDDQVVARNGIFGENMAEDGSAMEGETTVTHADVIQQKTSPRFDTEQVVIKVEVEDQFVAANENSDGNIAEEESAGRLQIVAIHGDENQMAISPEDICSELRKVSSVLSMLTSPDSFYTPRDNPLDEEAEEAKQTLNELLKKDFETIVGSPNEQTVKSVVQILIRNLDKLPRFQGRVVESLHTEFESACKNWIAWHKSIQTNIAFEAQQGDNLEVLQEWQEKDTEIESRIAEVDADIVRLKAELHEKELSRERLMKQKSDMFDQSSSISMDEAKKLLQEMVAVKLQSDVAIDNLKELANKWEKIRKNFLQE >KVI04310 pep supercontig:CcrdV1:scaffold_309:82050:83644:1 gene:Ccrd_017384 transcript:KVI04310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSSWVFNVAARHESFLIKIKKFLPSMFIVFHISSGRESTKKVVGLLIDHEDLRWKITSLKASRGEPTSFKTRIISVQTNLLSSATCWHGADISNVCLVLTPAQQN >KVI04311 pep supercontig:CcrdV1:scaffold_309:121378:127967:1 gene:Ccrd_017383 transcript:KVI04311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKSLAKSKRAHSQHHKKHHPNQKVKGSASSVGATGVDKAPGKVVKEKPRHSQGPAALPSNWDRYEDEKDPITEDQKDGQSSQPSDIVIPKSKGADYSYLISEAKSQNSTRFSSEIFPSLDDFVSDFGQGKDAFFTVRGESLLSSIQNDSFFVEDKKPANYEASFLSLNLQALSEQLAKIDLPKRLFMEADLFPPELYCEIEERHKSSQGHDSTNRNELPANNNHEITSYDTTK >KVI04304 pep supercontig:CcrdV1:scaffold_309:237304:241600:-1 gene:Ccrd_017379 transcript:KVI04304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MLNLSLCSGKTLVLVVDLRHSFEAEARGEKMLQIRLSNKATASESGVGAKLPSGETVTVACPDHLVLAELPVAKSLGSASGVTLVKTVGRRSRRPLGERVHFCVQCDFPIAIYGRLIPCEHAFCLDCARSDSICYLCDDRIQKIQTIKMMEGIFVCAAPHCLKSFLKKTDFESHVHAVHSHLVNSNNTQKDGNESEKKPTTSDSTVQAPTPRPVQDGEDRAQRSQPPVRPLMQPKPMDNRPHGFDGQTSMHLQQQNFETGGGQQQGIMSQSPYPEYPMTALQPPNFVVPVNPNVMGPTSFGQPLYVSPQGPEGGMEQGSLMGYPQPWNIGPNGAPFDPTSMMMNQGGLDHGRIGFFQGANGKDSRDGRGILAATPSLPPPPPPLPPPFSQMPGSFFGGDTSHHGQGYGWQQDKRDGFGNSQD >KVI04312 pep supercontig:CcrdV1:scaffold_309:140369:140794:-1 gene:Ccrd_017382 transcript:KVI04312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MQQAIPYKAWTTLPLKHTTGDRKPMSQFDGSATGAVRDAVSENAVIVLARRGCCMSHVVKRLLNGHGVNPSVFEFEEDEENDVVKELEMIESENDGNDKRRVQFPAVFIGGRMFGGLDRVMATHITGELIPVLKQAGALWL >KVI04303 pep supercontig:CcrdV1:scaffold_309:247596:252452:1 gene:Ccrd_017378 transcript:KVI04303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTNKYTALNFNDIFEKEATSTANKHRRYSSFSSSSSTSLTTPPGNKTILSNSRIHGNMLVLNLPSPKPVTPPSQPSPPLSPAATQPPTEKDTISLRPLGRTGSGPPLSPLASSPSGQNKNLPISPKTNKFVPPHLRPGFLGREEKPGVDQRSNLRQGPNYDGEEGRPKTGGGYERMDTVGINRSRSIGSNRPNSSGLQ >KVI05360 pep supercontig:CcrdV1:scaffold_3092:14058:18577:1 gene:Ccrd_016307 transcript:KVI05360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase zinc-binding domain-containing protein MRRWNTKFRSVNRITRLEIQGLPIQVWNSDSLCKVGGLWGKVVNYELLGDLGFRRTHGFVDVLTESLDWIRDTVKVIIGRSHYKIRVIEKSWDGLELGEIMEAGSEASFLSGSEDRDSEIGGSFGSSSVSSKAQIWNSPVEVAPNSSSTVRRENERTTIRTHGKEELIQDQLLRKEVGDSMGGFLASHDVVYNMDIGAVHKESLDDAGGSNSEADNTLLGLRNQPISSGPRMEMNSGDGLSPPHVTTPVFVSPACAIEEGSEVNGVGSDQLSKEQIEEWILHSKIDAVEKRKKRKKKMKKVKIPCNCYRRKRGLSCKHDAVTGGTVGESFMCDRNLQDSSDSELMIRNSNRRIRAAASMPGISESLPSSVDSRLLDINNLGGKHFNFASINPVGKSAGLITIWNDSLFQSLDVEKKDGFMVVVGTWLSSKVNLGVINVDFNEVRSADERKGSIFDPIGARYFNDFIASVGLLDIHLGGRRDVKAECENLKQKISAIDLLAKTGMIDSNIVNERANLMIRLNEIVANQASDLKQKAKSRWIAIGDENTSFFYGLLNSRRKNSRIHGVNINEGVRSHPRSGTRLCDTATRLKTWPVEAEELSGAILKWLKWVPSKVNIHLWRTLNNRLATKDNLLKRGMVLNSDECQTCLVTAENLDHVFVTCSTAKGIPAEERLAR >KVI05359 pep supercontig:CcrdV1:scaffold_3092:43380:45415:-1 gene:Ccrd_016308 transcript:KVI05359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 RNPLDLNNFPDDFTRDHGKQPLDDSSSSASGIYRKKKNGAKDESAKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSNDNLLPQLPHQLGGQPVAQGGFHHHQAGCNTVYPTRLFSGNSSTILPPAPSQPAAPHMYTSPASRLNIPYSSQYPPSHDYFVGHVFSSNQPFSLQSSNGNTAPPPDSTTNYTCIGAPVGQSFPLGGGSGGGRDMSPSPVNRYHQDGF >KVH91384 pep supercontig:CcrdV1:scaffold_3093:51129:53504:-1 gene:Ccrd_006595 transcript:KVH91384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:Projected from Arabidopsis thaliana (AT3G56640) UniProtKB/TrEMBL;Acc:A0A178V8H8] MMNPKMKSRNVNVTENGDSVEDSILMTLIGNGEDLAPMVRHSFEMGKPETLLHQLKHVVKKKEVEIEELCKLHYEEFIVAVDELRGVLVDAEELKSELASDNFRLQEIGSALLVRLEDLLESYSIKKNVTEAVKMSRVCVEVLDLCIKCNKYVSEGQFYPALKAIDQIEKNYMKIIPVKTLKNLVEKRVPVIKSHIEKKVCGEINEWLVHIRGTSKEIGQRAIGFASSARQRNEDMLARQKKAEEESCLGLGDFTYSLDVEEVDETSVLKINLMPLYRAYYIHICLGIGDQFREYYYKNRMLQLSSDVQVSSLQHFLGSHQTYLAQIAGYFIVEDRVLRTAGDLLSANQVEAMWQTAQSKVTSTLELQFAQMDTASHLLLVKDYVTLLGATLRQYGYDVSPILEALTNSRDKYHELLLGECRLQIKDAIINDSCEQMVLKESEYQSNVLVFNLQTSDIIPAFPYVAPFSSMVPDCCRIIRSFIKDSVNYLSYGGQTNFFDFAKKYLDKLLIEVLNEVLLNTIKSPNTGVSQAMQIAANIAFLEKACDYFLQTAAKQCGIPTRIVARPQSGLMAKMVLKTSRDEAYLALIDLVNKKLTEYLALTENVNWIIDDVAQHKSDYMNEVVIYLDTILSTAQQILPLDVMYKIGSGALEHINNSFMNTLLSDGLKRFTANAVMGINNDVKTVEQFADDKFHSTGLSEIYKEGSFRGCLLELRQLINLLLSSQPENFMNPVIRMKNYNTLDYKKLAIICEKYKDSPDTLFGSLSNRGAKQSNRKKSMDVLKRRLKDFN >KVH91387 pep supercontig:CcrdV1:scaffold_3093:33565:40611:-1 gene:Ccrd_006593 transcript:KVH91387 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHCH-like protein MASAVDAVGEPIPTSAVLMSASKHIAGRCRGENIAFLKCKKDDPNPEKCLDKGSQVIRCVLSLLKDLHQKCTKEMDAYPGCMYYNTDEFELCFKQQKEFEKACPLN >KVH91385 pep supercontig:CcrdV1:scaffold_3093:7204:22057:1 gene:Ccrd_006591 transcript:KVH91385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coactivator CBP, KIX domain-containing protein MDTSNWRPTQGGSAGGGDSSMESADWRSQLQADSRQRIVNKIMDTLKRHLPFSGHEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETRSQNSMSDAIQSNSAANSVNPSDPGSQVMQQVNNQGQPLPISVPSNHPQPGQQMLSQSIHNNISSTGMQGSSSLSSALPPGSGLPQSTMANGAGQNSSLQNIQNMSGVQQNSVGNTMGSGQPVVPQSSQPLIQHHQQSVLRQQRQQQQAPIAHQQQVQPSQAQQQQTQSQPNSMGQQANATNMQQNQLIGQQNSYSDMQQQRLLGQQNKLSSVQQPQQHLIGQHNNLSSMQQQHMVSQTGGGSVQQQQLLGTQSGNSSMQTNQHPAHMLQSKIPIQQQNQQSSATLLPTQVQQSQPQLQQQVMSHIQSQSGQLQQQVGMQQQPNLLQRDMQQRLPTSGGFQQQNVIDQQKQLFQQQRPMPEASSTSLDSTAQTGNPNGGDWQEEVYQKIKAMKDLYLLDLHDMHQKILGKLQQHDSLPQQPKNEQLEKLKVFKNMLERFMAFLQIPKHNILANYKDKLGTYEKQIVNVINSNRRKPAATQQQTQTLPPSHLPSVQQSQQTHSQLTQVQPHENQMNSQMQTVNLQGSMAAMQQNNMGSLQQNSVSSVSGASNAQQNMMSSIQPNSNLDSGQNSTMNSLQQQMTMKSGAFQQHHAAAQRSAYHQQLKSGAPFSPQLLPAASPQMSQHPSPQIDQQNLFNSLTKPGTPLQSANSPFAVPSPATPSASPIPGELMSGVSSLSNGGNLGHQQPTAAVLPSQSLAIGTPGISASPLLAEFTSPDGNHGVVASIGSGKSSVTEQPLDRLLKVVKSISPKALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARTFVTQDGNNGTRKMKRFTSAMPLNVVSSVGSVNDSFKQLNCAGTSELDSTASSTIKRPRIETNHALLEEIKEINQGLIDTVVDISEEDNDPAAASEGGDGTVVKCSFCAVALGPNLKSQYASAQMSPIQPLRLLVPANYPNCSPILLDKFPIEVSKEYEDLSVKTKSRFSISLRSLSQPMSLKEIARTWDVCARAVISEYAQQSGGGTFSSKYGVWENCLSAA >KVH91383 pep supercontig:CcrdV1:scaffold_3093:48158:50164:-1 gene:Ccrd_006594 transcript:KVH91383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19/S15 QERSVAQLGTPTASLKHKSCNSTDVAVAGVPNKRMSKNFSFRGVDLDALLDMSMDKLVKHFTARARRSFLPFENSKTAISHDIYWIVLWTPMLRGFVQNGEERRYLTKHAP >KVH91386 pep supercontig:CcrdV1:scaffold_3093:23695:31546:-1 gene:Ccrd_006592 transcript:KVH91386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MQRLKSLSVLSRLLQRQSHHRYATISAQPHNYSQHNHFQEQVLVEGRAFSRAAILNRPSQLNAITTSMAGRLQRLYESWEDNSHIGFVMMKGSGRAFCSGADMVTIYRLLQEGNVEECKEFFRMFYRFIYHLGTYLKPNLAIMDGITMGGGAGVAVPGMFRIATDKTVFATPEVQMGFHPDAGASFYLSRLPGYLGEFLALTGTRLNGAELVACGLATHYSLNAKLAWVEERLGQLMTDDPSVISESLAQYGDLVYVDKSSVLHKIERIDKYFCHDTVEEIVDALTASEATDALCSTALKKMREASPLSLKITLQSIREGRFQPLDQCLAREYSTSLCFISKQVSGDLCEWDTPSLEAVTKDMVDCYFAQLPDSEPELNLPTALREPFM >KVH96753 pep supercontig:CcrdV1:scaffold_3094:46284:47522:-1 gene:Ccrd_001156 transcript:KVH96753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAPSWADQWGAGGIGAIEEEKVDPNKETNNNKNNKGSTAFGRANSVAKEGAKKLKNGTSNGIKWIKNKCQKKNSNSDLKE >KVH87525 pep supercontig:CcrdV1:scaffold_3096:59626:61596:-1 gene:Ccrd_025196 transcript:KVH87525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSEVKVELLNVVAVMTILAGDEFLVIIVLAADSSILDS >KVH99552 pep supercontig:CcrdV1:scaffold_3097:46595:55006:1 gene:Ccrd_022213 transcript:KVH99552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWYVRNHNKMGTKEKMSQRATLLITLVVVMVSLSLLPSIKATYSYSSPPSPPPQKPYGYKSPSPSPKKPYGYKCPPSSPEKPYGYKSPPEKPYGYKSPPEKQYGYKSPPEKQYGYKSPPEKPYGYKSPPEKPYGYKSPPEKPPHHLYQRKRTDTSHHHLHQRNHTDTSRCHLHQRNLTDTSHPHLHQRNLMTTSRHHLLTVKGLPSCLWYHRHRLSKHDNNHNRNGNFINFGEFEVNDVVSMPELSVTVQQEEPVKPFMPP >KVH87524 pep supercontig:CcrdV1:scaffold_3099:54713:62727:-1 gene:Ccrd_025197 transcript:KVH87524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKETLEQKAWRETLMGQFNQMMETMMERMDQLEILLLHLVLLKLLQLLVLKKLLLAVVFGKR >KVI05383 pep supercontig:CcrdV1:scaffold_31:713101:720680:-1 gene:Ccrd_016298 transcript:KVI05383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWGKVVIAIVIAVIVRWGWKLLNWVWLNPKKLEKWLRDEGYKGNSYNLLXGDXKZXATMVNEARSKPLPITHDITSYILPFDHHIITKYGKKSFFWLGPNPRMYIWDLDLIKEILSKPDEFQKPHPEPFRDSIIGGVLVSEGHKWIKHRKIINPAFHLQNLKIMFPAICSSCNDMVHKWETLIAAKGPTEVDVWPYIDNLAGDVISRTAFGSCYEEGKKIFRIQKEQMDLVFQMLFILFLPGRRFIPTTTNKKFNENRKALRSMLKGIIBKRKKXIEMGSEGGCEDLLGILLESNSKEIEEDGVGMXMDDVIEECKLFYIAGSETTSNLXVWTMVCLSLHQEWQXQARQEILEXFGTXKLDFEGXKHLKILTMILNEVLRLYPPXVXXVRATTKDTKLGNMTIPSGVHLTLPIMXIHHDREIWGEDAAEFKPERFSEGVSNATKGKGSGCFFPFTSGPRVCIGQNFAMTEAKAAIATILQRFSCELSASYKHSPFPVFTLPPQFGAHLILSQRLVIILLSSLKMEAWGKVVIAIVIAVIVRWGWKLVNWVWLKPKKLEKWLRDEGYKGNSYNLLTGDINEFATMVNEARSKHLPITHDITSYILPFDHHIITKYGKKSFLWVGPNPRIYIWDPDLIKEILSKPNDFPKPHPKPFRDSFIGGLLVSEGHKWIKHRKIINPAFHLQNLKIMFPAICSSCSDMVQKWELLTAATGSTEVDVWPYVDNLAGDVISRTAFGSCYEKGKKIFGIQKEQIDLVFQMLFLLFVPGRRFIPTSANKKFNKNHKEMQSVLKDIIDKRKKEIKMGPEGGCDDLLGILLESNSKEIEEDGAGMSMDDVIEECKLFYIAGSETTSNLIVWTMVCLSLHQEWQTQARQEILQVFGTGKLDFEGLKHLKILTMILNEVLRLYPPVVMVIRATTKETRLGNMMIPSGVYITLPIIHIHHDHEIWGGDAAEFNPKRFSEGVSNATKGKGSAFFPFTSGPRVCIGQNFAMAEAKAAIATILQRFSCELSPSYKHSPFPVFTLPPQFGAHLILSRIS >KVI05370 pep supercontig:CcrdV1:scaffold_31:712018:714484:1 gene:Ccrd_016297 transcript:KVI05370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNFLFQWILDPISFTAKETDALVQTTLLKNVSETMPGKNSNFMQITHAYISYSFCVSRQTGPYQWRLEQLCSIPLHQTFKIKFSGTKNLQDFLSSLGLPFLMQAQTDHSPNDQIGGCFRSSDLPNAVREITSPAKLSTYGHTSTSVEPVAAILNMKMMGLKDTSYSNLEILKMKSWIDYFAMFDPFVTLGNQKSANEAISKWLGMWLWKIVGFR >KVI05411 pep supercontig:CcrdV1:scaffold_31:163472:166761:-1 gene:Ccrd_016261 transcript:KVI05411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3675 MGDHFVFLVDRLLTESTLEAAIESRSPSYPMAPANETVIDCSSHMDSEAVYTPRKMVECRICQDEDFDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDIVCEICHQQFRPGYTAPPPVFRLGGLPANFRGHWQIARRDVNDNPQIITIVSSDHNFLDQGYDEYADSTARSILCFRSVAVIVISLPSSSHSYSTVFSNFIVLLILRHTLPVLANGVGNYPLPVFLLLLLRTSGIIIPIYFILKGMTALVNRQRRLASNGSTSSFSSDDEEAGSTPLQYQPHTGRVN >KVI05372 pep supercontig:CcrdV1:scaffold_31:435939:437859:-1 gene:Ccrd_016279 transcript:KVI05372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MQNYTDFPYSGDADSKLWSSFIEQSDGGVYDDYDASLYSSIFPTSTPPHHFSVTSNTTTLPLPPIVVDYSRRHSLDFAPFQDLIDRRNWCLSNLRLTAKEAEALRQENVNLQMANSELNKQLSHLQQQAASASTLQNYANATIFPSVNSVVDCFGRMRIGDKGTPATDKRAARGVENVDPIKKTEVERVKLPKSISVRSNGYLKTVQAGDGSAGRARSADRVKAASDTQRVYVRGKKEEEPLELQVYNQGMTKTELCNKWQQTGACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDPCPYGHRCHFRHALTEEEKLMSRHINS >KVI05388 pep supercontig:CcrdV1:scaffold_31:512289:514017:-1 gene:Ccrd_016284 transcript:KVI05388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATPEHGRPRNSRPKSHSATPNSTQIAESRERLPRRKKLFAFLTLKGFGCGRYSTLAVAVHPPDAISPEKMRRRKKNKKKPRRNRGSCPENVVVTVPDVCCTPPGIGFTYDVAENTSADAHRRNHRQRSRATRQATDLQQPWSTAQTDNATFIRSNVSDARQYNLLQRRSPGGISEIVMFEHNPLSDGRLEGSDRFGAWRINADIMSYEELVELSDQIGYVGGGLQEEEILNCLRRPKKSFFKSFDFNSKVKDSKCSICQEECKGNEDLGRLECGHYHHLDCIKQWLLRKNECPICKTVAKPDK >KVI05396 pep supercontig:CcrdV1:scaffold_31:105873:106664:1 gene:Ccrd_016257 transcript:KVI05396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAYDPHFIGSENLDDDAATSPSPLVLTQDELKKIAAYKAVEFVTSGMVVGLGTGSTSKHAVDRLGELLRQGVLTDIVGIPTSKRTHEQALSLDIPLSDIETHPVLDLAIDGADEVDPEMNLVKGRGGSLLREKMIECASKKFVVIVDESKLVDYIGGSGLAMPVEIVPFCWKFTLHMLQSLFQEADCVAKLRTSSETGEPYVTDNSNYIIDLYFKKNIGDLKAAGDAMLRLPGVVEHGLFLGMATTVIVAGKLGVTVKNKS >KVI05404 pep supercontig:CcrdV1:scaffold_31:240553:241862:1 gene:Ccrd_016268 transcript:KVI05404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiazole biosynthesis MAEDEGGEVTVYGVARPLTGVDQVIFSGKDGSTKLFRGGVIIDVVTPDQARIAEEAGACAVMVLENFAADIRNRHIEGLRGENVDKL >KVI05403 pep supercontig:CcrdV1:scaffold_31:244139:247673:1 gene:Ccrd_016269 transcript:KVI05403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MAPAKPTNAIFVFFATVLLLFNVAVVTPKSTIEPCSNNDSCNALLGYTLYTDLKVSELAALFQIDPIVLLSANSIDISYPDVESHILPSQLFVKIPITCSCVDGIRKSVATHYKTRPSDTLSNIADSVYGGLVSADQIKEANSISDPSVLDVGQNLVVPLPCTCFNGTDNFLPAIYMSYVVRPVDTLAGIAARYKTTTTDLMGVNALGNAAIEDGDILAIPLSACSSNFPKYASDYALSVPNGGYAITADHCVQCSCTPGSRLYCQPASLSVSCSSMQCKSSNLMLGNVTVQQSSAGCNVTSCGYGGFVNGTIITALSTSLQPRCPGPQQVPPLTAPPTVVSPGMALAPSPSPSQVGGAPGVGLPGSVVPSTSSSIVLPPSANGPSGSFSGGCSLVNPLFSSSIAIGLVLFLKVMLPFL >KVI05369 pep supercontig:CcrdV1:scaffold_31:699862:700230:-1 gene:Ccrd_016296 transcript:KVI05369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMPPKHHLSPTSPENTSVTTAAVSSLTHRLSEVTRTPTRKNVSTSSELKFKPLGETQSSPSPPSLPHLTSSHTPTGSYFPPPLRLHHGSTFHVPIRLAMDASLHRRRVDRYGVRYHHLQAA >KVI05394 pep supercontig:CcrdV1:scaffold_31:494849:496906:1 gene:Ccrd_016282 transcript:KVI05394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTAAPASSAAAAAASATTTTATNYFHSIESNTDSWGEDSSQYQSNYGSSASAAGSVKIRLMCSSGGHIVPRPHDKSLCYVGGETHMVVVDRHTTLVDLTHRLSKTILRSSSSSTAGGFTLKYQLPTEDLDSLISVTTDEDLENMIEEYERLNSSSAVSKSSRLRLFIFPAKPESVSSIGSFLENSTKSEDWFLNALNGTTSGFSDTSSVNNLLGLDDEISLPEKKEVNQKGLLTTNLIGNNSGQDVHSIPDSPMMETTSSFGSASSTPSQPNLPPVSGNVDDHPKVGGGGGIEEMFSQMSVQQRHHKDQDDGVLAAAPAPVAVSGSPMSSATAIPEHSDPFLSYHERSEQGLQMAYLKHQQQQQQQQQFQQKQSTGSILSDMPYQEPVVQIQSSSNNNRVVDPNDTPDQSPRIQMQQQQQQNQNSAYLMSMPTTKADAQHPQLHHQQQLQFIHNPVPQPQYFHHHPPAVVPVASYYQMYQSPAMDQQNFVYYMPTRQQPPQGYNFPLQQQHADSSPRAPTNQAAPPPTTTRTPQAAPKTELPVGVYRATNSGGQQLIQVASGHQHQPQYVGFRHPTQSVASPAAGGGGGGGGGNFGYEFTDSLQQGQLMYYATQPMPPQSAAQYQTTSPIEASSQQSSNNR >KVI05382 pep supercontig:CcrdV1:scaffold_31:673018:688098:1 gene:Ccrd_016295 transcript:KVI05382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hemerythrin/HHE cation-binding motif-containing protein MATPLTGLQHRDVGGGGVAVMAASGGGTVNQIDPSSNNKPSKKHTSPIHIFLFFHKAIRSELDALHRSAIDFATNCHVEIEPLLKRYHFLRSIYEHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVIFDQLFALLDSNMQNEENFRRELASCTGALQTSISQHMSKEEEQVLPLLVEKFSFEEQASLVWQFLCSIPVNMMAEFLPWLSASISSEERQEMRSSLCRIIPEEKLLQQIIFTWMDGINVFKKRKNSEDDAKYQCSPNSGASSLICQSEERHCACSSSRAKKRESFLRSICDSMDSPLDRPVDEILHWHKAIKKELIDIADAARRIQLSGDFSDISAFNKRLQFIAEVCIFHSIAEDKVIFPAVDSELSFAQEHAEEESEFGKFRCLIESIENDGANSSSSEFCSKLCSHADHIMAIIDKHFKNEELQVLPLARKHFSPKRQRELLYQSLCVMPLRLIECVLPWLVGSLTEEEAKSFLHNMHMAAPASDIALVTLFSGWACKGRPREICLSSGATGCCPAKAFLEGNDSCDPPFCACNPLTTQDATVIDETDESRRPSKRSNSVSQKESNGFGTPEILTIQVPCSKQSCCVPGLGMNSNNLGTSSLASAKSLRSLSFGPSAPSFSSSLFNWETGISLIDVEGTGRPIDTIFKFHKAIRKDLEFLDVESGKLNESNESFLHQFNGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISTSLFELCELHDSLNRTSLNRCSSKNNSVSSSYNDTLRNYNELATKVQGMCKSIRVTLDQHILREELELWPLFDRHFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSVLTQEEQNRMMDTWKQATKNTMFTEWLNEWWEGASPSSEASASEKNISQGSDVHEALDPNDYTFKPGWKDIFRMNQNELESEIRKVSRDPTLDPRRKAYLIQNLLTSRWIAAQQKLPQGRKGETSDGEGLLGCSPSFRDAEKQVFGCEHYKRNCKLRAACCQKLYTCRFCHDNVSDHTMDRKATTEMMCMNCLQIQPVGPICSTPSCNGLSMAKYYCSYCKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMTCNYCLGIKLVDHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYACTHYICPICSKSMGDMSVYFGMLDALMASEELPEEYRNRCQDILCNDCDKKGSAPFHWLYHKCGSCGSYNTRVIKVDPISDCSN >KVI05412 pep supercontig:CcrdV1:scaffold_31:155622:157262:1 gene:Ccrd_016260 transcript:KVI05412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MKSSIMLSLLLSLLLFSFSNAAMDPDSVYQSFLQCLPSQSPESDHNLSSILYSSTANSSSYTAVLQAYIKNNRFNTTATPKPSIIITPTTESQVQAAVLCAKKIGVQIKIRSGGHDYEGISYVSSEPDFIILDMFNFRSIDVNIADESAVVGAGAQLGELFYRISEKSKVHGFPAGVCPTVGVGGHLSGGGYGTMLRKYGLSVDHVTDARIVDVNGRILDRKSMGEDLFWAIRGGGGGSFGVILSYTVKLVPVPEVNTVFRILKTVAENATELVYKWQTVVPNIDDDLFVRVLLQPVTVNRRRTGRATFIAHFLGDSDRLVALMNKNFPELGLRKEDCIEVSWIESVLYWANFDLNTTAPEILLDRHSDNVNYGKRKSDYVQTPISESGLKSIFDKLVELGKVGFVFNPYGGKMYEIPADATPFPHRAGNLFKIQYSVNWNDPDPELEANYLNQSRVMYEFMTPFVSKNPRGAFLNYRDLDIGVTSGDGKNSYSEGVVYGEKYFMGNFERLVKIKTEVDPDNFFRNEQSIPTLAGKSSGKSRKMMK >KVI05371 pep supercontig:CcrdV1:scaffold_31:393175:394166:1 gene:Ccrd_016278 transcript:KVI05371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGLQNQLTDVSSDSIPVFVLAIIANGVCYLRSLVFTFLHSLGISRYRSDDVDDAMLFDAVGSGLAGLILLADQLNLNRIFSYNYSLRAEGPDPAASECVVCLNRLTDGEQVRKLACQHVFHKECFDGWLDHLNFNCPLCRSPLVSDERVAMTRRLCDDDDKVLNAAMFGKILFQNRNCQFQRSNAMVKLSIH >KVI05406 pep supercontig:CcrdV1:scaffold_31:225138:233314:-1 gene:Ccrd_016266 transcript:KVI05406 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALIX V-shaped domain-containing protein MAAPSSSSSATNIMLAIHEKKTVSVDLYRPLRNYVVFNYSEREAQNLEDDLENLKEMRNTIERSSGADSLPSRRDLLQNYFKALTAVESRFPISPDKDHVNSVTFTWHDAFKNKNKASQQNIHLEKAAILFNLGAVHSQIGLTFDRSTVEGRRQASHSFIAAAGAFAFLRDNAATKASIGSSTTVDVSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQLLPKRRVPAFVTAGLYYEEAVAALNVAPLSQHFDKTWMSHIQLKAALFYAEACYRYSLELHEKDEIGEEIARLNSGISALSDAKKSSPRGAAQQILDAINKLESNLNCNLERAMKENDRVYLMRVPPASSLPPLPAFSLVKSMNMNDVLDASKEKMFASLVPDNSAKALSRYTEMVDEVIRTQAEKLQQGSELARTQAEKLQQGSELARVSLKEMDLPDSILALEGHSVLPTALWEDVEAVQISGGPAGLEGELQQLRDLRRVNHELLDQTEELLQKEAAEDAQFRSQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQAADSDGKIERSVRDHMALMSILDSRPIESALPTLARPIMSLDANEDAVVGALKQSLRQLETLGAQRAGLEDMLKDMKRKDDILPKLMTSIGSHEDLFRKEIAKYDQICEDISQNLQAQEQLLLHIQAQNSEFAGTFNIEDYRASCEKCYKQIEAAIARYREIKENINEGLKFYVTLQEAITNVKQQSNDFVMTRSIQCREMIEDVQRQMSGLSVQDGRNYNYSVGRSHQSQRPEAANMANTPRPQTPYYQQPPPGYGQHPPPPYNTAPPSGGSPYPPQPGQQQGPPGQPAYPGWQGSYYNAQGQQPGSYSRPPYPPNQSGYYRQ >KVI05367 pep supercontig:CcrdV1:scaffold_31:569255:574553:-1 gene:Ccrd_016290 transcript:KVI05367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLTSINPFFFTFFVFFKQSLCLBPHYEACVPHSCSANGPNITYPFFINGLQNXTCAYPGLELHCKLGFPILKISESEFRVEEIDYERSYLRLQTTAISWNQTVSCPSBIRNLTLDPNRFLIDNDXTTKLVFISNCLNNASASLERYRIQSCQTSVELVMLANDTNLRTATETCGNGSGIVMTPVELTGKEGRIDATNYAEVLERGFVMRWRAADCGICRGSGGRCGWDSPSFQFRCFCPDRPHKVRCRSDCSHQKASQIHLKDCTIRQSRSFSDVVLSGFNGTFDQNLEHRRSCQVVNTTSVFLELLDQLNITSFKWPSNSFHRRYRMDSPPNCVILRFRYNQTFSEFGDLLSSEFWVSIRLRNCSKGHKKQDESQDKTKSVSGSVFALAVIAVVFMFCRGYKRKAFAYFSSKDKSRDLEDASVFGVPRLYEHNYKRVQQFMNEVDILTGLRHKHLVSLYGCTSRRSRELLLVYEYISNGTVADHLHGELVKTTPLTWPIRMKIAVETASALIYLHASNIIHRDVKTNNILLDHNFCVKVADFGLSRLLPNDVTHVSTAPQGTPGYVDPEYHQRYQLTDKSDVYSFGVVLIELISSMPAIDISRSREEISLANMAINRIQKCALDELIDPFLLSDSDTERMTKLVAELAFRCLQFDSESRPTMSEVLEVLKGIQGDEIENMGELKSGIIKPPASPEVEDVGLLKGLITASPVSVTAKWHSTGSGATTSNSSV >KVI05391 pep supercontig:CcrdV1:scaffold_31:474664:480270:-1 gene:Ccrd_016281 transcript:KVI05391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase/chloroperoxidase, N-terminal MYQEGRVREVNAGAFYLKSHGTKLARSHMYDWLILVLLGLIEIILNIIHPFYRFVGKDMMVDLKYPMKDNTVPVWSVPLYAVLLPIIVFTFVYLRRRDVHDLHHAILVTCIDVFAGLLFAVLITGVLTDAIKDAVGRPRPNFFWRCFPDGVDVWSFAGLGFLALYLAGKIKVFDRQGHVAKLCILFLPLLMASLVAVSRVDDYWHHWQDVFAGGILGWGPYAYFRALEASTLGARVVRPVAEVDMQAMEIPDINQQTRQSGSNGFRQISLSNSQQHDVEFGKNRYLSNQPNNVEIRNNSKKMKTVKLGGGGAAIKYELKLLQLDTSMYLESSN >KVI05366 pep supercontig:CcrdV1:scaffold_31:613418:619043:-1 gene:Ccrd_016293 transcript:KVI05366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MRVGVVPYTSISSALRRIVHEEGFRGLYSGLLPSLAGISHVAIQFPAYEKIKLYLAQRDHTTTNDLSPGKLAIASSMSKIVIRSRLQEQGQVRNPETHYAGVVDCVKKVFQKEGVAGFYRGCATNLLRTTPSAVITFTSYEMINRFLQHVVPPHKTPSKPESRHLQGDGGKMKPSTENDVGDLRTSKMRSNRSTRTLGES >KVI05401 pep supercontig:CcrdV1:scaffold_31:122790:133579:-1 gene:Ccrd_016259 transcript:KVI05401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr MFHLLTTLLIFWSFDSSSLLSSAFPIFPTVGSRSILRAIKRSDDQPGCVVDLNATNFDAVLKETPATYAIVEFFAHWCPACRNYKPQYEKVARLFNGADAVHPGIILMTQIDCANKVNTNLCRKFHISHYPMLLWGPPSKFIAGRRNGKQEKGEIHPIEDGKTADLLLKWINTQLGSSYGLEDEKFENDKLLQSNVSDPGQIARAIYDVEEALTVAFDIILEHKMIKSDTRATLIKFLQVMVAHHPSRRCRKGSADILVNFDDLYPSNISSVNKEELNNSTGLGALRTFQICGKQVPRGYWLWFMGSITFPLGESG >KVI05384 pep supercontig:CcrdV1:scaffold_31:732124:736984:1 gene:Ccrd_016299 transcript:KVI05384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKSKPLINSTISSSNLTPPLNLSKCTTNNGNSLQVAGDLAVDEQFKFWPPSQALVDSLVLELKAKDGDTEANWEKIILNFKKELEEEEQLRPPSSSGVDNNGGGAEGIERREKARAKFSVPLSRREMEKDFEDMGERRLPRKPKKRPKIVQNQLDTLFPGLWLTEIHADLYRVHETKKEEIWQTEGVPPAKLTTVIASPWD >KVI05408 pep supercontig:CcrdV1:scaffold_31:207286:207774:-1 gene:Ccrd_016264 transcript:KVI05408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNFVSACSFGISPRMKNNKAARVIFPTGEIRQFQDSVKAAEIMLDCPNFFLVNSQSLNINRRFSPLSADEDLESGNVYIMFPMRRVNSMITPADMAVFWMSANSAPKRISGKISPESTLGGNEAEQPRLVVEVPEFSYRLAVSRSRKPFLDTITEEPVRSK >KVI05415 pep supercontig:CcrdV1:scaffold_31:776193:777450:-1 gene:Ccrd_016302 transcript:KVI05415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRPTRKMTTSCNGKELGELRRGPWTLDEDNLLVHYITCHGEGRWNSLAKSSVEHSSPSSLSTSTSTMEADQKNLIPQPQGKETPTENMNSSSSNCSSDSTGIMLPYLSENSLKNNNYFVENSNFEVMSFNQSNTQDLRSTDMSILDFQLTDVDWMNNDLVGDTFWSMDELWQFRK >KVI05374 pep supercontig:CcrdV1:scaffold_31:324359:330509:-1 gene:Ccrd_016275 transcript:KVI05374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl serine synthase MEPNHRRGRRKDHVGHYNGEVSLRITDDELDPWTAWAYKPRTISLLFIGACFLIWASGALDPESSSSADLVTSVKRGIWAMMAVFLTYCLLQAPSTLLIRPHPAIWRLVHGMAVIYLVALTFLLFQNRDDARQLMKYLHPDLGVELPERSYGTDCRIYVPENPTSRFINVYETLFDEFVPAHIFGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDAKTYEWVGISRQPNILSKVRLSFHTFELKSLVKRTLGQFTPAHWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWVPPRNPIIVYRLVLWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELFICIKFGHGEFYLLHCDAKSFVICAPTPHSCSCLFPTPMPRWLVVLWTIAGVVLSGFLILWTRQSRRRTISRKRV >KVI05402 pep supercontig:CcrdV1:scaffold_31:50434:51331:1 gene:Ccrd_016253 transcript:KVI05402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue GFSVIEVGLTIDICITILLLVNPSQAASTSTSNNPSPSTIRHRGHGGGSVIRTPPHVSDDDLSNILAMAETVREVLPHVPDELILRDLQRTNSVSVTVKIMRALQLFGSLVDGKEAANSCCLVAHRMGTVAVWQLPFGRTSDGNELLFWLVQQRKIKQRVAAVLLVQQREIRQ >KVI05390 pep supercontig:CcrdV1:scaffold_31:534533:535669:1 gene:Ccrd_016286 transcript:KVI05390 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MGKLMHSTQIQHSSHPHLLHLSSNLHLQNQPPINCAGCNLHISATTDHHIYTCRPCNFFLHPSCSKFPELITHPSHPQHTLSLLPSCIYPGGFFRCDACTQQGNRFSYHCQICDFDLHVLCASKPLSVTNPSHPHPLHLTFSSPYGDKLGFSCDLCRNVGSGHQWHYRCVSCEFDAHLHCATAKPPPLQHHHSLPNQSAVTAPMAAQPLVHSMSTGHHVQGYWQQPQPTGPVGVQNYQRPSQPPPPPPAGVQSYQHPSQPPPPVGVQSYQGPSQPPPPPPVGVQSYQRPSQPPAPAPVGVQTYQVQPQPAMAAQNNGLANGLGNAMVTGFVEGMMQQLGQDLVQGLTDGGGGDGGGYGGDGGNINIEINTTNEDYDNY >KVI05409 pep supercontig:CcrdV1:scaffold_31:192020:198021:-1 gene:Ccrd_016263 transcript:KVI05409 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MDHRFSGGMHGMRGERTPLLNRNGLRRNDPEANGEQGDLEIGDAVPAANVSYVRVISLAKPEAGRLIVGTIALLIASTSSILIPRFGGTIIDIVSGDLQTPEQKSEAVREVNKTILGILLIVIIGSICTALRAWLFSSASERIVATLRKNLFTHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATSNVSEILRNLSTAFIGLGFMFATSWKLTLLALLVVPLISIAVRQVGRYLREVSHKTQAAAAVASSIAEENFEISRYSEKVDETLKLGLQYAKVVGLFFGGLNAASTLSVIIVVIYGARLTIAGSMSAGSLTSFILYSLTVGTAVSGLSSIYTVAMKAAGASRRVFQLLDRVSSMPESGKKCPMGDHDAEVELDDVWFAYPSRPRHMVLEGINLKLLPGSKVALVGPSGGGKTTIANLIERFYDPVKGRILINGIPLVEISHEHLHKRISIVSQEPVLFNCSIEENIAYGLDGKASDEEVEKAAKMANAHDFISKFPEKYKTFVGERGVRLSGGQKQRVAIARALLMNPKILLLDEATSALDAESEYLVQGRTVLVIAHRLSTVQSADTVAVVSDGKIVEQGTHDDLLRKDGIYTALVKRQLQQGAKTEL >KVI05378 pep supercontig:CcrdV1:scaffold_31:259783:264833:-1 gene:Ccrd_016271 transcript:KVI05378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin reductase-type FAD-binding domain-containing protein MSVTTSLYPPNPLTLPHLSPHAPRRTLSFPMATIIRRHLNPICRRSLAVAAAVRQQQDTTLWTPAPLANVKPAAESLFHITIDVSDSPDLASSYTLAGQYLQLRLPDVEKPSFLAIASPPSRASTNGVFEFLVKSIAGSTAELLCGLGKGDVVELSPVMGKGFSTDQISPPEDYQSVLIFATGSGISPIRSLIESGFSADQRSDVRLYYGARNLQRMAYQDRFKAWESSGVTIVPVLSQPDDSWVGETGYVQAAFARAKKVYAPESTGAVLCGQKQMAEEVTSILVGNGVPDGKILKNF >KVI05414 pep supercontig:CcrdV1:scaffold_31:762585:763319:-1 gene:Ccrd_016301 transcript:KVI05414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVCSISEVSTSPRISFSHDLDDPETKSDSTHSIRSDQFLLSPTFHFDFCTTSTFTPADELFSNGKILPTQIKKPQTIQLPDPKTKISDTHKKRLKEFIFHGEQEDEEKSTLKSSFWQFRRSASLNCDNGKGPKGLLRSLSLKSLSRSNSTGSALNPKRNSSPKTMEKSKDLRRCSSLSQPPASSHVYYTYQNSNNSKSCKGGIRIIPILNIPPAYNISKGTINFFGFGSLFCNGKAKKKTK >KVI05373 pep supercontig:CcrdV1:scaffold_31:304716:316569:1 gene:Ccrd_016274 transcript:KVI05373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide, MLP1/MLP2-like protein MTLFLSDEEYARCSHDPSLIAQKADAYIRELYNQLETEKAQYDASSITAEQTCSLLEQKYVSLKSEFSALQSQYSQLNSTLEERGSELAQIQADKHQVYLQSIGKDGEIERLSLEASELHKSKSQLLQLIEHKDLEINEKNATIKGYLDKIVALTDSASFKESRSNEWSKKSGELEGVIKALETHASQVEKDYKDRLEKETSSRMEFEKEVLHLKEKLEKCEAELENCRNSDQLNLLQMSSFNTKAYGGATDANDVDGNNLMLVPSIPAGISGTALAASLLRDGWSLVKMYEKYQEAVDALRHEQLGRKQSQSILERVLHEIEDKAEVILDERAEHDRMVEAYDMLNEKLQHSISEQTALERTIQELKAELRRHKRDYTLAQTENRDLQRQITILLKECRDIQLRCGSADYDSAIEGMSSLADQSNVSSDADTVFSERLLTFKDINGLVEQNVQLRGLVRLLTEQIETKEVELKENFEKEFQKHSNETASKVDAVLARAEEQAHMIESLHTAVAMYKKLYEEEHRRHVSQLQSPDTAPADRRDDVMLLLEGSNDASKKAQEQAYERIKLLEEEMTGLRGEIITLRSQRDRSTLEATFAHEKLERFMKDFEHQREESNGIRARNVEFSQLIVDYQRKVREASEALHTAEDLSRKLNMEVSVVKREKEMLVNSEKRAFEEVRSLSERVHQLQATLNTFQSAEEVREEARSAQRINQEDHVKRTEREWAEAKKELQEERDNVRKLTHEHNTAMRGAMQRIEEMGKELASALRAVADANARASAAEERCSQLEKMKKIDFEINDELVPTSSTRDDMAVLHTAKEEVEKLRVEVQVNKDHMLQYKSIAQVNETALKQMEASHENFKAEAEKVKKSLEDELVSLKEQVNQLQDGYNLKAKELASASVSQEEALAFSLSEVSSLREECTSKMLRIEALESQMSALKDDLEKEHLRWRTAQDNYERQVILQSETIQELTKTSQALASLQEEASELRRVSDLLRIENEELKSKWETEKLVLEEAKDKAEKKYHEINEQNKILHDQLEALHIKVAEKSHGSGAESSGSVDKFNDAGLQNVVKYLRRSKEIAETEISLLKQEKLRLQSQLEGALKAEATAQGSLRAERENSRSVHFTEEEFKALQLQVREMNLLRESNVQLREENRHNFEECQKLRQSTHNTRMEVVNLENLLGERQNEVEACKREIEMQKKDRKDLEKRVDELLDKFRDIDPEDYGRMRADFQQMQVKLLDKDGQLEEVKKLVLEKQEVILRLEQDLARSKVEIDERESKISSISQTETSLKSDMDRQKRFFIQLKRRCDNLVKEKEELNKKNQELSKELADSNQVKRNSVDTAGEQATREKEEKDTRIQMLERTVEKLRDESREREEKDTRIQMLEKVVERLRDEVRKGKDEVRIEKSKNQKNEKGIAESHEKLKLADDLEKHKQALKTLSDEVEKLKHATESEGASTAQPHSGDSFDDLAAACLLAVENFEHVANQVCSEFGVPTTETVGANMQSSVRKRPSALSVSEGQEGSHVRESSAADVAAPLIKKSRGSEEPQEGAEVQSVAMASDAPESFPSIAEEALGNGGDEVQLKEETADAGRDEDVETGEEQAVEDQGELQNDRSDIGEENMNRTAEIEVSEFQPKISEAEHAQKQQATGEAGSDPEEGEMVSDAIIGHEGGGASTSNNTSSAMIISNQEMGEAQLVEHQMRSPSPLPVEDEVADDALGDLEVSSPLLEDNEDKNEEGEIEVEETTPESSTDKLNNDGNDEGGSMAEEAAIDHVPTTAAAVLVPPVAEKTSNTSAMSEAGVANQDGSTLSSVSTSGPTEVKPEESVADTSSTTINLNERARLRSLQRLAGTLPSSPPVARGRGRTPRGRGRGGRTARGGQTPGSQGKKLWNVDCTIESWSLSYKWETQNLLDRIDFCAYLIEYICRFLTFREYWADAWLLALELGIICRRVRCMITETATAAVMYLIMHGLGRSLSTNPSGLQASSAVNF >KVI05397 pep supercontig:CcrdV1:scaffold_31:53242:55490:-1 gene:Ccrd_016254 transcript:KVI05397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLDHISDLCSVTSTRNSKRKTMETVEIKVKMDCDGCERRVRNAVSSLSGVKSVDVNRKQSRVTVTGYVEPNKVLKKVKSTGKRAEMWPYIPYNLVSYPYAPQAYDKKAPSGYVKNVVQAFHAPDTTPSESLTTLFSDENPNACSVM >KVI05386 pep supercontig:CcrdV1:scaffold_31:546118:549573:-1 gene:Ccrd_016288 transcript:KVI05386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISVLVLIVSFHLMAFVLAIGAERRRSTAKVVPDQYDESTYCVYASDASTAYGLSAFGLLLISQTVVNGVTKCLCFGKGLVRGRSRTLSIFFFIFSWVSFLGAEACLLAGSTKNAYHTKYRGIYGADLSCDTLRKGVFAAGAALTFLSMVASIVYYSVHSKADTGGWEKHHNEGVGMTSSGFPENRNHGNAGQFEKL >KVI05405 pep supercontig:CcrdV1:scaffold_31:236582:238305:-1 gene:Ccrd_016267 transcript:KVI05405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MGYLSCKAESTIAVHNPSKKSDKIHGNEDLIHKKIQHFDYSDLEAATNNFSDQKLLGRGRLVAVKKPSHQNNHHSALSAVPENEVENEIDILSKLHSPRLRVPTVACRLRSSHRRRPTVACRLRSNRRRPTVACQRVPIDGDHLIETTSSK >KVI05410 pep supercontig:CcrdV1:scaffold_31:177996:182173:1 gene:Ccrd_016262 transcript:KVI05410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf1 regulator YHLDCLPSVQPNPPSDGVPDYLGFVLIFKIIVLVSIRSSIKPAISLETILRINAFLDHLNLGERTIKGCIEAYSCKHTGTDKRLSLSLENEILDYVGNSPDVDSASPVEYLSSRSSRKMLIYLILTLYHMYPDYDFSAVKAHQFFTEETWDGFKQIFDSYMSDASKEWIEATEDGSLLETLYKALDEVIYSKTMHRPSSGASWGLMLIPFAKFQVINLAECEVYSYDPNSDADPFIEKGALWSYNFFFYNRKLKRVVSFRFSCLSNLVSDGFPADGYYEEDGEIFDDMDM >KVI05377 pep supercontig:CcrdV1:scaffold_31:256024:256960:1 gene:Ccrd_016270 transcript:KVI05377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVEEKKKRVLEKKEAPLKWQQKLEAAVEANSRKLKTVKHKRRSISVSDSDSKSESSEEVRKSRKKTHKKHRKHEKRSKRKPKRRSSSSSDDSDDSIESESEDRRKRRVHKKHRHHHHSRSDSDNSETDDSEDEGALKQRRHSKHHKHHRQMRSRDSDSSSDEYDGATRKRRHSRHHHHQKRHRRSDSSDSDASSFEDAVKRHHMKRHKHHRRSHSHEPKSDPDRRSRSLGKSSDDNCEETGRRNGHNKDHHHRHHHNHKDGSDHYHNNHKDGSDEPQDRSNEPQNEPELNGRCPVTENPSGESDGVEEGQIV >KVI05399 pep supercontig:CcrdV1:scaffold_31:14549:15060:-1 gene:Ccrd_016252 transcript:KVI05399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MGKKLAVVGPWGSSSDGDNWSFKAEGKITKIFISHGDIIDSIAFASQDDDGNLLHSNRFGGFGGDPSEVNIDLEVEELNAISGTIGYFDSQMVVTSLSFSTDVNKFGPFGRENGTHFSLPISKGSFAGFYGRSGDYLHAIGVYIKPA >KVI05381 pep supercontig:CcrdV1:scaffold_31:622740:628276:-1 gene:Ccrd_016294 transcript:KVI05381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKNNQNTVVSPLKLFHTPSLQPSNLLSLILFFAFGLCFGILLTFQLNNVSFNLRFNQFSLSTTTITTAAASTSFPPPSTPKIGLENFIHPSEIMHQMTDRELIWRASMVPKVQKYPFNRIPKVAFMFLTRGPVVLAPLWEKFFKGHDGLFNIYVHSSDSSANSTESKQSVFYGRRIPSKENYIYLQMFVSYEFQPVQWGKVNMVEAERRLLANALLDFSNQRFVLLSESCIPLFNFSTVYSYLMNSNQNFVESYDLMGPVGRGRYNRKMNPTIKLHDWRKGSQWFEMERDLAIEVISDNTYFPVFQHYCNGSCYADEHYLPTFVTKKFGGKNSKRTLTFVDWSKGGPHPSRYTRNDITQQFLEKLRSGRHCEYNGKKGHPCYLFARKFTLHALDRLLRLAPKTMHFNP >KVI05389 pep supercontig:CcrdV1:scaffold_31:541779:544128:-1 gene:Ccrd_016287 transcript:KVI05389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MSISHSSDSDDSIRENVATVKRYLHSTIGKGQVADVLLWRNTRLSASLLLGFTAMWFLFEVYEYNLISLLCHIAILAMLILYITYTIAKFTQCGEDLTQFFLTMTSLWMISVIGSYFSSLNLIYLCLICIGTLPALYERYEHEVDYLASKGIRDMKNTLKQFEFNVLSKIPREQVNGNKWK >KVI05413 pep supercontig:CcrdV1:scaffold_31:742848:743769:1 gene:Ccrd_016300 transcript:KVI05413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MEEDMERFKPLHNFDLPCLKWGNQKLLRCMKVDSNGEVSVVDRKQSSESGGGGGYVNGTRRRELEFERRFRSSDDRKIESYYKFSSSEKMKARTGATGDGEIEATREKLMFDFQKEVGKMKDAILREGLVVPPPTATTATTTSTCAAERPWNLRTRRAACKAPPSPSNGVNGNGEVLKPNSSPIRNECKSPKLRPVGGVANATTSAEKRERTKITVALSRRELEDDFMAMAGRRLPRKPKKRPRIVQRQLDTLFPGLWLSEITADLYKVPDEVETGK >KVI05407 pep supercontig:CcrdV1:scaffold_31:214554:217869:-1 gene:Ccrd_016265 transcript:KVI05407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MMERSSQRTLFLSVFLLISASADAYVKSVFVFGDSLFDPANGNLKRFPANGINFASAGSGVLPDTSKDSRATPIQVQLQQFQALIRQKHLRRKQIKKSLIFLESGSNDIFTYLSYPDTPPLTPTAYVHAMLKEVVCFLDQIYKLGSRRIALFSVGPIGCIPARVLLPGASPEKCFSRLNKMVRYYNVGLEHLAYTIPIRYPGAVGVYGAVYNTIEDFRANGNLYGFANVNEACCGNGPLNGMLQCGLEGYKICSNPNEFLFWDYFHPSERTYGLVSNAMWAGNQNQIRPINLKTLAQNRIRPIDLKTWAS >KVI05393 pep supercontig:CcrdV1:scaffold_31:499887:506678:-1 gene:Ccrd_016283 transcript:KVI05393 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MVKNGAVDVERVFIGGGCNRVVNNVSWGACGLISFGSQNAVSIFCPQTAKILTTLPGHKASVNCTHWLPSSKFAFKAAAWEKHYLLSGDAEGVIILWELSLAENKWRYVSQLLQSHKKGITCITAIVVSQTEAIFASTSSDCVVNVWKTALPAFGGDCTITCLDSLSVSLKPLVTLSLAELPGSTTHLILAMGGLDNKIHLYCGEKTGQFVRACELKGHTDWIRSLDFSLPVDGETYSLLLVSSSQDRGIHIWRMALCDSVGNFDKKKAENSLAYYIKGPVFLAGSFSYQVSLESLLIGHEDWVYSVEWQPSSLVDNSSYQPQSILSASMDKTMMIWQPERTTGIWVNVVTVGELSHSALGFYGGHWSSNGDSILAHGYGGAFHLWKNEFDNWKPQKVPSGHFAAVTDIAWGRSGEYLMSVSHDQTTRIFASWLNEANSNGDETWHEIARPQVHGHDINCLAIIQGKGNHRVVSGAEEKVARVFEAPLSFLKTLNHATSQLHDPSDDLQVDVQVLASSETTDRSGSEGFDTLETIPDAVPSVLTEPPIEEQLAWHTLWPESHKLYGHGNELFSLCCDHGGKLVASSCPISISGGNMAMGDGTDQISYQLLTRQEAHKRIVWACSWNPFGYQFATGSRDKTVKIWGVQNDQSVQQLATLPTFKSSVTALSWIGLQQQNNDGVVAIGMENGLIELWSISLRKSDHDSSFLVSIASLVIQLDPFMCHVSSVNRLAWRNLEKNDDSDGMQLASCGADHCVRIFKVRLV >KVI05365 pep supercontig:CcrdV1:scaffold_31:611672:612144:-1 gene:Ccrd_016292 transcript:KVI05365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLTPRTLHYKLKPSNVMLDADFEPRLGDCGLGKVMQGFNGRAFEYNAPECTPNFRDPSDPLFRNGEGSRGDMGTWFRAVLEAGDGREALDKSLLGEEMDKEYSIGLL >KVI05400 pep supercontig:CcrdV1:scaffold_31:111977:113455:-1 gene:Ccrd_016258 transcript:KVI05400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSTFDTLIFNLFLFVFLFSIANHSHARQHPKTSDSLVLGLTNARTTIKLPKESSTLNLKLSSQMLDMIEPLREVRDGYLISLNLGSPPQVVQVYMDTGSDLTWVPCGNLSFNCIDCDDYTNNTPMSNYSPSHSSSCVRDSCASQFCIDVHSSDNPYDPCYIAGCSLSTLMKATCSRPCPTFVYTYGEGVVAGTLTRDTLRVHGNTEGVTREIANFDFGCVGSTYREPRGIAGFGKGSLSLPSQLGFLQKGFSHCFLAFKFANNPNISSPLVVGDLAISSKEHLQFTQMLKNPMYPNSYYIGLEGITLGTGSGSMIRVPTNLRDFDSSGNGGMLIDSGTTYTHLPQPFFSQLLAELESVIDHPRATEVEERTGFDLCYRVPCTNNVTNGMKIMDVDDHLLPSITFHFLNNVSLVLPQGNNFYAMNAPRNSTVVKCLLFQSMDDEDYGPAGVFGSFQQQNVEVVYDMQKERIGFQTMDCASSLAFQQLHKP >KVI05392 pep supercontig:CcrdV1:scaffold_31:444646:446243:-1 gene:Ccrd_016280 transcript:KVI05392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MDRYCEFCVNLRSVVYCKADAAYLCLPCDTKVHSANPLSNRHHRTLICESCRRRPTYVRCYDHHKFMCRGCDLTQHDANSSQHRKRVMINGYVGSPSAQDLGILWGFDLNRFLDDRSVLNNHRFGSNSSSSANTSVVGLESGTLGSIDEHIKEVCGGIQEFVVLQQLVDLLKVQTSDIDHISAVTRRQDHKPETDVHRFSQQFLLGGGSPRHHEIGLDPCSSPFTQLDHLESSETEETGLHGDSFWQCKSPVPSSQLWSQNMQDLGVCEEPACLDDLNIPDIDLTFRNFEELFRTDQDPTSICQDTSTASSFTITESSPKKASYASEIVSFSHSRLNAESSGTMCRDSGIPPSCGSTEHHESAKSKRKKNVE >KVI05387 pep supercontig:CcrdV1:scaffold_31:524433:526891:-1 gene:Ccrd_016285 transcript:KVI05387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLSTSPTSPSSLTLFHTQLLSLSLSKTSITVASTFSLTAALLAAALLVDSFQREQKVVSVSIPKKKLLGFISPVLGSLSELRHLNLRNNKFMGSLPLELFRAEKIQSLVLYGNSLSGLVPNEVSRLNYLQTLDLSSNFFNGSIPISLIQCKRLRSLDLSQNNFTGSLPEGFGTNLGFLEKLDLSFNQFSGSIPKDFGNLSNLQGTVDLSHNLFNGSIPPSLGNLPEKVYIDLTYNNLSGPIPQNGALVNRGPTAFIGNGGLCGPPLKNLCFPDDASSPSSFPYLPNTNPSEIPEKGRKGLSKSGVIAIIVSDVIGICLIGLVLSYCYSKICLCGKKTHGYEKGSKGRNECLCFRKDESETLSEHVEQYDLVALDTQLGFDLDELLKASAFVLGKSGIGIVYKVVLEDGITLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIVTLRAYYWSVDEKLLIYDFIPNGNLGTAIHGKPGMPSFVPLTWPVRLKIMRGTAKGLVYLHEFSPKKYVHGDLKPSNILLDMNMEAQISDFGLGRLANIAGGGTPALQSHRVVSEVATTTTTATSASASNMHASYYQAPEAFKVVKPSQKWDVYSFGVVLLEMITGKTPVVQVGPEEMDLVHWIQACIEEKKPLSDVVDPGLGEDADKEEEIIAVLKIAMGCTQSNPERRPSMRHVADLLDRLSQD >KVI05375 pep supercontig:CcrdV1:scaffold_31:346390:362869:-1 gene:Ccrd_016276 transcript:KVI05375 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MQLLFLQYATTFHFSCPKALDKTSLSPPSTAMKDIPRTSSYGSVYVPPHHRLRSVITSSASSPDISSTSRAVVANNSFVKSSHTNNNSLGTSYPYLPPNQQHQKSQVHDVSEEVTYRGLDPSIPTGASTSDMDSWKWRLTTLVHSKDEQELVSREKKDRRDYDQIAALATRMGLHSHLYAKVLVVSKLPLPNYRFDLDDKRPQREVSVSQGLQRRVDAHLREYLSHKARSMKGSQDNLFWRTSSSGSIATDEGLFEQPEVLPQSKAAMEKVLWRRSMQLQTEQRAWQESSEGFKMLEFRRSLPAYKEKDAILNAISQNQVVIISGETGCGKTTQIPQFILESEINSGRGATCSIICTQPRRISAMSVSERIASERGEKLGETVGYRVRLEGIKGRDTRLLFCTTGILLRKLLVDRNLKGVTHVLVDEIHERGMNEDFLLIVLKELLPRRPELRLILMSATLDAELFSSYFGGVPLVQIPGFTHPVHTYFLEDVLEKTGYRLTAENQIDDYGQDRTWKSNKQVIRKRKSQIASAVEEALGSADFKEFSQQTQESMSCWNPDCIGFNLIEFLLCSMCENENPGAILVFMTGWDDISSLKDKLQAHPLLGDPSRVLLLACHGSMGSSEQRLIFERPEAGVRKIVLATNMAETSITIDDVVFVIDCGKAKETSYDALNNTPCLLPSWISKGSAKQRRGRAGRVQPGECYHLYPRCVYDAFADYQLAEILRTPLQSLCLQIKSLKLGSISEFLSKALQSPELLAVQNAIEYLKIIGALDESENLTVLGRYLTMLPVEPKLGKMLILGAILYCLDPILTVVAGLSVRDPFLAPLDKKDLAEAAKAQFSCDYSDHLALVRAYEGWKVAEYGLTGYEYCWKNFLSAQSMKAIDSLRREFQSLLKDTGLIDSNTPIYNTWSYDENLLRAVICYGLYPGICSVVHNEKSFSLKTMEDGQVLLHSNSVNARDSKIPYPWLVFNEKIKVNSIFLRDSTAVSDSVLLLFGGSILRGDIVSHLPPLFLEDGHLKMLGGYLEFFMEPALAEMYQSLRRELDELFQCKLMNPRMDLHTHHDLLSAVRLLLPGDQCNGRFVFNHQVLYSNSKPYIASVPPPPPLVSRVESGPGGDNSKSQLQTLLSRAGYAAPIYRTNQLSNNQFRSICEFNGMQIMGHPCHNKKQAEKDAAAEALEQLMGGKNAARDSIDNLSMMLKKSKKNHN >KVI05395 pep supercontig:CcrdV1:scaffold_31:83313:91140:1 gene:Ccrd_016256 transcript:KVI05395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSSFYHRLTPPGAPFSGVVCQTRRPFNNDHNRLPTMAFKKDGSNGKMVDENMIVLRERIRKMKAEMDGGSGDDWLPDNWMEWEKTYTYSGGYHSDVYEMIALLQRFLMESRPSVGLGLVAVLAFSGSTSAAMVLRWLIITRKTQPYIFRPTRLSVSAAKGDAMGGRMVDENMIVLRKRIHEMKVEERSYEPPSEWMDWEKKYYVSYNAFICEWMRVLQSGLMNTRPSLALGMMVLIASSVPVSSFVVVSNAIEIGKGVLNGIL >KVI05398 pep supercontig:CcrdV1:scaffold_31:59380:63798:-1 gene:Ccrd_016255 transcript:KVI05398 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MPNWEKANWKKWIWRMTRECWLETEKEGCRGVNLNFFDPKFTIPDTPLQSPSFDHCTASFGGYSNFWFHNAANVLISRGYSVMASFLNLQLVGFLNQPTLTVFSPVDEVMIDYSGRFPDYSSLFLRHVLPCKISFSDLINVNDGTSFDTYLDGFKIKLNRNWWCNSPSITFPDMYNSDWFVIHGIREFSLNNVKILGSPIFDDGSLIVYGIENFFDPNFTIPDTPLQSPSFDHCTASFGGYSNFSFHNAANVLISRGYSVMASFLNLQLVGFLNQPTLTVFSPVDEVMIDYSGRFPDYSSLFLRHVLPCKISFSDLINVDDGTSFDTYLDGFKIKLNRSGGTFKVNEVSITFPDMYNSDWFVIHGIREVLSLPMPAEDVNDGDGDPFDEMPAKTSGTMLIAAAPDRSEF >KVI05380 pep supercontig:CcrdV1:scaffold_31:294177:300374:1 gene:Ccrd_016273 transcript:KVI05380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MATARDAMEEGLLLKERDDRTTWRVLVEEMKKLGFVAVPMAAVTLSQYLLQVISVMMVGHLGELSLSSTSIAISLSSVTGFSVILGMSSALETLCGQAYGAQHYQKIGTLTYTAIISLLIVCIPLAIFWRFTGNLLLLIGQSPSISLEAGKFITWLIPALFAYAILQPLVRYFQMQSKLLPMLISSAVALCIHVPLCWSLVYKTGLGNVGAAVSMGVAMWLNAIVLLFYMMYSPSCAKTRSPFSLEVFHGMKQFFSFAIPSAVMICLNTIATLYAIPYGFAAGVSTRVSNELGAGNPRGARVAVKAIMLLAVIETTIVSSIVFANRHIFGYIFTNEKEVVDYVTKMTPFLCLNIILDSLQGTLSGVARGVGWQHLGAYANLAAFYLVGIPVAALLGFCTPLRGEGLWIGIVIGAIIQCSSVEEVVIAVEEVVIAISCQWFLPENLCISEFLGTDFRLQDDIRLQDDIRFDFTGFTAISLV >KVI05379 pep supercontig:CcrdV1:scaffold_31:268628:271587:-1 gene:Ccrd_016272 transcript:KVI05379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEEAKVIEDPLIQRQYDEDIPEEEEEEEEGCLRAQVCDEMKKQIGLAGPLIALGMGSALETLCGQAYGAKQYHMLGIQMQRAMVTLTALSIPLAFVWFYTSTIFIALGQDQEISTTAGTFIRWMIPSLFAYSLLQCLNRFLQAQNIVVPMMIASGVTALFHILLCWLLVFTLGLEINGAALANNISNWMNVVLLGMYVKFSPACTNTWTGFSKEALNDLLSFLKLAVPSAFMICLEYWSFDMVVLLSGLLPSPKLETSVLSISTRVSNELGAARPKGARLAVCVVVVIAILEGIIVGGVTILVRHIWGKLYSNDEEGLWLGIICALSVQVVALVVINLLTNWDDEALKAVNRVQTSIDIE >KVI05385 pep supercontig:CcrdV1:scaffold_31:555503:559787:-1 gene:Ccrd_016289 transcript:KVI05385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF789 MLGAGLQFGRSRGGEDRFYNPAKARKNRQNQDDLRRAQSDVTPTQSTASSGTEEPENRVVKPSKPIALEPPMPSPVCNLERFLESITPSVLAQYPSKRAMRGWRTRDENQPYFVLGDLWESFKEWSAYGAGVPLILNDSDSVVQYYVPYLSGIQLYTDPLKASAKSRQQSEDSDGESFRDSSSDVSSDYERENAKSEICSRLDQLSLNYQHVSSLQEGFSSDEGESGRPQSCLSFEYLERNQPWGREPLADKILDLARCFPELKSRRSCDLLPSSWLSVAWYPIYRIPMGPTLKDLDACFLTFHSLHTPLTGGNGNGNESEKAVSLPVFGLASYKLKAPLWISNQRLVLLTSLLQAADDWLAKLQVNHPDFLFFSRR >KVI05376 pep supercontig:CcrdV1:scaffold_31:367976:371634:-1 gene:Ccrd_016277 transcript:KVI05376 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex, subunit Psf3 MAQYYDIDDILADEELVPAVFLEAINGVGLFESNDTNRVEPRSKVELPFWLARELHLRQAASVSIPPCFNKKIGDKTIGSLLLVAFQTRYKEVLIKAHTAASALTPKFLSLLTKEETKLYDAAKSSTAAFKTWRMGGPRFQKASVLGRKRKPIGE >KVI05368 pep supercontig:CcrdV1:scaffold_31:587355:605779:-1 gene:Ccrd_016291 transcript:KVI05368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKWLKKPTSINPLFFTFFLFFNQSLCSDPRYEACVPHSCSENGPTITYPFFINGLQNSSCAYPGLGLHCKNGFPMLKISENEFRVEEIDYERSHLRLQTTAISWNQTVSCPSDIRNLTLDPNRFLIDNDTTTKLVFISNCMNNASASLERYRIQSCQTSVELVMLANDTNLRTATETCGNGSGIVMTPVELTGKEGRIDATNYAEVLERGFVMRWRAPDCGICRDSGGRCGFDTPTFQFRCFCPDRPHRTRCTPGKRRNTVMKYALVGIGRGLEYLHRGCNTQILHFDIKPHNILLDKDLCPKISDFGLAKLCPEKRSVISMSGMRGTPGYIAPEVFSRNFGGVSHKSDVYSYGMMVLEMVGGRRDVVVEVNDTSNMFFSHWVYKQLVSNKNLGLSGILNEEDKERVTKMVFVGLWCIQVDPSSRPSMSKQSLCLDPHYEACVPRSCSENGPNITYPFFINGLQNSTCAYPGLELHCKHGFPILKISENEFRVEEIDYERSYLRLQTTAISWNQTVSCPSDIRNLTLDPNRFLIDNDTTTKLVFISNCLNNASAGLERYRIRSCQTSVELVMLANDTNLRTATETCGNGSGIVMTPVELTGKEGRIDATNYAEVLERGFVMRWRAADCGICRDSGGSSSWHWDRNYLDLADLLLQIQDLL >KVI07666 pep supercontig:CcrdV1:scaffold_310:109788:114230:-1 gene:Ccrd_013971 transcript:KVI07666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLNYGRTILLRASLSNLKTITASLHEISSSASSTSSPSLSIWRRKKELGKEGLIIAKELKRLQSSPVRLDLFIKSHVSRLLKSDLVSVLAEFQRQDLVFLSMKLYGVVRKEIWYRPDMFFYRDMLMMLARSKKVDEIKQVWEDLKKEEVHFDQHTFGDIMRAFLDSGLPLEAMVVYEEMRRAPDPPLSLPFRVILKGLLPYPELREKVKDDFLELFPGMIIYDPPEDLFEDYPSGRESECN >KVI07683 pep supercontig:CcrdV1:scaffold_310:309582:309905:-1 gene:Ccrd_013957 transcript:KVI07683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVEGHARDGQMKAAEDIFEAMPQQNFFVYSSIISGYFKNGHVEEGKAIFDRITVQNLVNWNSLISGYCYNALCEEALDAFAKMQADGSHPIRSRLQVLYQLVLNWVH >KVI07664 pep supercontig:CcrdV1:scaffold_310:153271:158237:1 gene:Ccrd_013968 transcript:KVI07664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPAQLTAEAVNQLREGIDLLLARWSALQMAVQNEWGGRDTRQKVQQLAVDIYHWLIRPTEALYVDDLENVLDDFMLSLNTEIDDGSIEEIAENLMIMHEECLEGNFVSIERLRQSAPQNGAIHRLQVVNGGEDDSDSTSTSGDESMEMADDLDPSPNMTDTTLGEPTRAQAPTVDADGWTVVSSRRNRGSGR >KVI07661 pep supercontig:CcrdV1:scaffold_310:233358:244289:1 gene:Ccrd_013965 transcript:KVI07661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLLRVSFVEEFFDVLNKVKGTEFVEGLALDVAKSEVNICGKTFIKLINLRLLDLYIRDWNSLRDINGKIRKSKLGIETKVNATSGKLEFLSSELRLFVWHGYPFEHLPAMFYPESLVILDLSYSRIKEIWSGSKKLDKFPDDMGIMKDLVELHADGTQIVQLPSSVSFLGNLQVFSLGQREGIQTKSWGSILWPTFLPSKMHHSPSAVFPSLSGLRFLRNIDVSHCSLTEASLDGIECLSMLETLNLSGNDFTSLPSFSQLLRLETLGLVGCKKIKALPELPPNIQLIEAQDCSSLQELPEKLTMYKSSIQCFDFTNSAKLIENQTIESLVTMLLPQGRIDPYKMVSVFLPGGRIPGWFSNQSIGDCVKVDLPPDWSYKKFKGIAICLVFTPRNPGRRKSSYGSIGFRFKNFDGTPIGGEFPIPDSVFQYENIGIKSDQMLLSYHQSEPEWSRVKNFILVSFDVYGADCVVKMCGARLVCEEDEQQESSGSRMIQWLPPPSHAEDEPLLKKRHVA >KVI07665 pep supercontig:CcrdV1:scaffold_310:115982:124384:1 gene:Ccrd_013970 transcript:KVI07665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETEAADTQISRLSITEVEGLDENTLFFLDSVDNYLILIETLSSTLRQGWLELASARHSMGASRVNSALLNLKPHSAATTVEVDYDNAGSVKKSPLFSLCKWASSDDKDSPLEKENNEDEHLKENSSSPKLGPHASPRDSENQESSPESSNRSETNTPSPESNGSKTNAPLCKTESTVTLETLVEIANVRSSILKAHDALKKEMKSSNG >KVI07658 pep supercontig:CcrdV1:scaffold_310:292662:295871:1 gene:Ccrd_013960 transcript:KVI07658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAVEAVVSVVLQKLTEMLNGQSLTQNKYISYEVQDIMKSLNSIRDLMISTKEINQQAEEYLNAVYNVEDTIEKFTLVVVRQRKVYGFLTNHIFFFNNLNSCQKIHQKIKKITTQLMQLKAHTPYRKIHIEEIQTEEEKDEETVVGNHKTIEGCSSTSEMQATNPGSYMEELTFSYSCNEEEMQIVGIKERFQKMSSFSYKEEELGIFGLKEDVEILVKQLTKNSEHFVSIVGQGGIGKTTLARTIYKNRNIKCHFQFRAWVSVLEEYTTKDILLSLFKTTDTMTDKTTSLDDEKAMKLKLSDYFKNKRYLIILDGASTCNIWKDIKETFPDVKNGSLFSMKDPNSWCQVFSCMKNSNNILSLCYNDLTDHLKVCLLYSVLFPKEFDIPVRRLLRLWLAEGFVKQNSTIVFPEDIAETYFDELVNRNMIQISKLRSDNSPRRCRVLGVLHDYLWPKAQETNLFYTYRNLISYEEVGTLNIRRMVEYESSKRETRNQFETEMSKNTQLKSSSFNPSHLRSYVSFNHQKTDYRQAKRIGSFLGNIINDGIGLLRVLDLEGVYKPILPENLGNLCNLRYLGLRSTYLDSLPPSVGELTHLETLDVKHTCIDELPGSIWRLKNLQHLNLNETCLDMQPHSSLRLLTLWGLFLDKKIAIKNGLDKLHDLRELGITFQPKTNQDDLMDWIGNLEALRSLRLRSKDNLGRASKLVFRSMSNLRQLSHLNLLGNLEKLPDQNEFPPTLKVLTLSISLLKRDPMQTLGQLPCLTVLRLLGESYIGKEMVCRKGGFSKLRLLRMWKLKELKNWFVEEGSMENLKHLDIRCCDRLSNIPTTLLQQQKLEKLVLTGMPHRFTTEVERLKSDHTSMTINHWKFPPLPWEQDDTTLVNHS >KVI07660 pep supercontig:CcrdV1:scaffold_310:200749:224969:1 gene:Ccrd_013966 transcript:KVI07660 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase, C-terminal MKANHRRQSTHTGEAMSSYRMPASNPNLNLKPSKKNVIHIGGIPIEFPYQPYGSQLAYMGRVIATLDRAQKDGHFHALLESPTGTGKSLSLLCSVLAWQKNHRSKPQYGNISHSKPDPEALVDPLGHGGGFVPEMEPSVSVIQTRRTHSQISQVISEFRKTNYHVPMAVLASRKRYCTNAKLLLKERGQKKVGCPEFGNATKIRSHPSLKKGGCYEVHDIEDLLKVGEMVEGCSYFGAQAMAEVAEIVFCPYSYIVNPQIRKAMEINVKGNIIILDEAHNIEDVAREAGSIDAEEGVLIRKVLSVGSGGRKIPWGSIVFTIMRHGLFSSLSYFFSEDGKHICDYQLVLQCHIKNDAGLGASDWPCTFSLWCLNPALVFKNMADISLSVILTSGTLSPMSSFQSELGVQFGTCLEAPHVINVESQLWAGVIHSGPDNYPLNASYKTSEDYGFQDALGTSLEEICKVVPGGCLVFFPSYKLMEKLRSRWSETEPRGGQDDFENVLNGYYDTIHQKNKPMIGRRRGKRLDANSCNAARSKGNSRTGAAFLAVCRGKVSEGIDFSDDNARASTFAPLIGFDIQVAEKKKYNDTYKSSKNLLSGNEWYCQQAFRALNQAAGLRSLSKGRCIRHRLDYGAVIFLDERFRKERNLTYISKWIRKSIRQYDNFDQSLEGLKLFFKDIKVDVETIDVEEPKNWFTEMKNQNVIKSKPKGQRSVMNGVAAPEIATKMKKFAIATRKYDCSSPQVESKAQKERPANKSDNNTGIAYMDLKGDLETQKRFSLPLSMNLSPNNFELSIVNETPRTDDGIAIDMTLPPNDHEPCIVKETPIEDAGPTYLESVSEDEFSNSTIIQTKFPDQLLSHSFSSPCSTSASGCVVTPKNEHGSQNRSPLDLSVNSHIHKRRKSSTSLVKMENFDSLDPKTSIFGALVEGSLMTADTNTGNTIPRNEIMKCGAPQSCILDISETSSTTWSDVTKKEIKISCSLCRSSLGLVENNYIVPCSLTSSSKVHLTSIWKGKLENPSKGPTSVPIVVSDISSVDRRIWERSSEGAIGQGIWSKEDGCVFNTILCPFCSNQDNCLGLHVVATDSSNVQFLNKVLFYSDRLKIQHIDASRNKMRLPKKAQISTIKH >KVI07676 pep supercontig:CcrdV1:scaffold_310:26182:28768:-1 gene:Ccrd_013980 transcript:KVI07676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MASLQKISPLIPPKPIKGHQQFQQNQAFLPLPISRRSAILISVLPFTLISNPQESVARERRNRKAIPLEDFLTSSDGLKYYDVVEGKGPIAEKGSTVQVHFDCVYNKITAVSSRESKILAGNRTIAQPYVFKVGAPPGRERKRDFVDNPNGLFSAQAAPKPPPAMYTVVEGMKVGGKVVV >KVI07655 pep supercontig:CcrdV1:scaffold_310:253500:257896:1 gene:Ccrd_013963 transcript:KVI07655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPALPEKEVIQPKSKYFCQEFLAGTFFGSIMLEDDRALLQLIPDDVKKVWHEQALRGLILVSITLQIMLSLLGNIRKYNPRTLVRTTLWFVYFLAYAVVPVALNIAAQSALEVCKINTPNWELMSFWAPFLLLHLGGPDSITAYSSEDNKLWLRHLVFLVFQSLVALYILLSSWPGCSHLPLLSIFVYVAGCIKCFQRLQALRLGNTDHLRELMLGPADPGPDYVQFLEDFRLKESQGFIVKVEEVVEPALPVIHASLESRCKEILEAYDLFQTFKRLFVDLILTYEDRDRSTSYFRLLDSAREAFRVVEIQIGFAYDKLYSKAHVIYTYKGLVLHATSVFLILLVLFGFHFYRDTHNSEAIDVAITYLLIAAAILKEIFAVITMLRSDWTDLWLNQHNHTRNILIFPFLKHPSKQRWSNSIAQLDLLSVALGEKPARFLPTHKLFGVDKYYQTYREVSDNLKDMIYRHFCDLQASSIHKGSFSLQKNDCNTLMWSIDEVKFDQSILIWHIATALCYYSEVNVNDPFEINRIESKNISEYLVYLLISNPEMLPMGIGIIRYRDTCVDAIRFFNTKGLITEKAEASRKLLEVDCKELLPSIVKGDRSKSVLFDACRLALGLRDMERGQMWKVVSQVWIEMLAYTAGQCRGFHHSQQLGRGGEFLTHGVRHVARPGTPQTLKYVLYIIVVATSVGGRNRIGEDGGMHPHPPRHQPTQHHPCD >KVI07674 pep supercontig:CcrdV1:scaffold_310:32382:40079:-1 gene:Ccrd_013979 transcript:KVI07674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAGDGDARMLDQTATWSVAIVCAVIVILSVLLEHILHTVGHKRHKPGLMEALEKIKNEICYLTLELYLIGVPELMVLGFISLLLTFSQTYIASICVSKNLTKDFLPCKKQKYEKEGDIIEEGDGVERRRLLWYEQRRLAGGKPGYEEIISVAGLHQLHIFIFFLACFHVFYSAITMICGSIKTRKWKYWERDILQEHVSHGGMIIGELSFVKHHTGAFTQTPTMFYIVCFFRQFFMSVRKSDYLAMRTAFFSVHLSPGSHFNFQKYIKKSLEDDFKVIVGISPLLWATAVLFLFANVDERQSVVQGIPIVELSDRHFWFNQPRLILYLIQLTLFQNSFEITHFFWIWVIYEFGLDTCFHENPVLQYGRVLIGYSILPLYALVTQFLVFLESSNDMYLNCYVLMGSTMKRSIFDDQTSKVLKSWHQGAVKKEKSVHGKTKTLGNPTEAPAGAPGKGKPGDISRSVSSRQSANIVASVDIPDDKTPS >KVI07680 pep supercontig:CcrdV1:scaffold_310:286906:309450:-1 gene:Ccrd_013958 transcript:KVI07680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLERNDACWNAMISGFSIHGHCKEALEFFDRMVKSSMNPNEISFLSVLTACAHSGFVQEGLETFSKMVSNGNVNDTEIAHFTVEKEAQKYQKNEKSTSCMKYEACVSIDHRCFRVKLVICKSDFNLNRTESFTSRIKMAEIGFAPIPIFVQSCSKMRECYSSKYQMMLKRGGTSRHREVLILISITLQIMLSLLGNIRKYNPRTLGKTTLGLILHATSIFLIILALLGFHFYNDTDNFEAIDVAITYLLFAAEILKEIFADSPKSRSTVRQGSWPRVCIGSRFSSEIDNVKTFSVEPVYLSLADNNESELLDRIFNVVDSIQILFCLLVDLFCGDHQVSDRVERLHDVLHFIAYRGRRWERTTVVVEVAACHGGDTDDDGGGGGGGGEKEGERIIDGGE >KVI07656 pep supercontig:CcrdV1:scaffold_310:266245:274189:1 gene:Ccrd_013962 transcript:KVI07656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MANGKTLVDSGILRLQPYFDRICKIWPKDDSKFIDMISKHIFNELNDGPLHAGENLVGGTESIEGLALDVSSSEVNICGKTFTKLYNLRLLQLYIGSWNKLRDINGKIRQSKFGTETKVKATSGGLEYLSSELRLFCWHGYPFQNLPSTFYPESLVVLDLSYSGRREEIQTKSWGLILWPSFSSRKMHHPPSAVFHSLSSLRFLRNVDVSHCNLTEASVDGIDCLSMLETLNLSGNDFTSLPTLSQLFRLETLGLVGCKNIQAMPELPPNIQLIEAQDCTSLRGLPEKSTIIPGWFSNQSTGDCIKVELPPAWCYENFKGIALCLVFSPRNLDGRKSSYGSIVYGFKIFDGTPIGKESPIPDSIFQYENIGIKSDQILLCYHQSEPNWKKAKNFISVCFQVYGADCVVKMCGARLVCEEDEQQE >KVI07663 pep supercontig:CcrdV1:scaffold_310:125767:134876:-1 gene:Ccrd_013969 transcript:KVI07663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IWQHADHNRTGFLGRQEFYNALKLVTVAQSKRELTPDIVKAALYGPASAKIPAPQINLAALPAAQPNSMAPRPPTQQPGVGTSMPPQNFGIRAQGPPNSMAPSLVAPPSVQYYASQGNQPMRPPPSGSLPPQGVGGPNFPSGGGLAGPGLSSSNVSGDWLGGRGAGTPAATVQVPNSTVTSIAPRPQDPHSVSSFMTPRAPVRGNAIVSDPMFGGDVFSASPSLSRPPSSPMPTHTASSVPASSAIVPPTSEPQIPAKIDPLGALNAFTRQPTGAPVQPAAPSVPRPNQQGPTQNTTSFGSAGLSVEAKTQAPNPSQPQWPKMTRAGVNKYMKVFMEVDSDRDGKITGEQARKILKQVWDLSDQDNDSMLSLREFCIALYLMERYREGHNLPPTLPSNILLDETLLSLTGPPNPSYRSAGWVATPGSLPQQVMPGAQPMQHAGLRPPMQGAYPRADGQMQYNQKGPVPSMDSSHAHQLSNGDQNASHSNFRETTEPEKAVEDKKVILDSREKMAFYRNKMQDLVLYKSRCDNRLNEITERALADKREAELLAKKYEEKYKQVAEVASKLTIEEASFRDIQERKMELNQALVKMEQGGSADGILQVRADRIQSDLEELLKALAERCKKHGINVKSTAVIELPQGWQPGVPEISAVWDEEWDKFEDEGFSFDVAVPANAKSTTPQTENMSPVDNFSDSYSTADGKSEKLFETESAYAHSEDESAKSPASSPTRNKIFEMTSLFRSFDDQGWGTFDNNDDVDSVWGNNDATKDADPEKHGENYFFDSSSFTASPRRTDSPQGSNFFQKKSPFGFDDSVPGSPASRAGTSPRYSVGGAENSFFDSFSRYDSFSANERGSTSPRRETFTRFDSMSSTTQDNNFSRFDSMSSTTQDRNFARFDSMSSSTGFDHGQAYSFDDSDPFGSSGPFKVSSEGQSGKNESDKWAF >KVI07671 pep supercontig:CcrdV1:scaffold_310:55067:61921:-1 gene:Ccrd_013976 transcript:KVI07671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MATGSSSERSLEQTPTWAVALVCAWFQKKQKKAMLEALEKIKAGFLSLLLTVGTKYVAKICVPDSIGYKMLPCKAEHYDDDDDGKKKDGGKGGDGGDGDDEHRRKLLFLAEDGMVPMISYSAVHQLHIFIFILAVFHILYSVIIIVLGLAKAHFAPNSKFDFHKYIKRSMEDDFKFVLGIRMACTHLSLVRPTTVGTKLELVIMEMAQQIQDKATINAFQMAFFLWTVYEFGINSCYHESILAIGIRVGLGVLLHVMCSYITFPLYALVTQMGSHMKRSIFEEQTSKALKKWQKAAKERRRLRELGDSGGRPDTSNSGIMSMENTPSRGSSPVHFLHNNKHRSSTAESEIDIPSSPRAFPSETELSDTEGPYHARDQHKNLHPNFSFPKS >KVI07669 pep supercontig:CcrdV1:scaffold_310:74241:82476:1 gene:Ccrd_013974 transcript:KVI07669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFDPVDHEQLLYIKRFDYELTYSSSTTGNVLWYLMFADFEASRQCRGSNNFLGGIKGDAQLQEFCQSNPRVFRARDPRELESVFMVNKIQMSLHGDKMFALPPPEDETSFLSAPNLLPKSLIINQETPYQLVPYLPPDKAIDEYRTNVSIPPEYPASFHVWLRGCLVAALFFFIPLILYCWNFWRRKQNKLNELAKDAKVQNVTSKKKKARKSGNSRLYTNTEKIVNGLRYPEISETSTNRKIGKLLVSDKEIGKGSNGTIVLEGIYDARSVAVKRIVKVHHDVALKEIQNLIVSDQHPNIVRWYGVEYDQDFVYIALERCICSLHELVLSHTNSTVQLQLTTKLLSDFKLWKPNGHPSQPQNVLIRKDKSISAKVSDMGISKRLPADMSSLTKSATGNSGWQAPEQLRNERQTRAVDLFSFGCLLFFCITGGRHPFGDMLERDINIVNDRKDLFLVDNIPEAFDLISNLLHPDPEFRPKAAEVYNHPLFWDSEMRLSFLRDASDRVELEDRETDSSLLKSLESIGTVALGGKWDEKLDNTLLNDIGRYRKYKYDSVRDLLRVIRNKLNHYRELPKELRDVLGPVPTGFEFYFSSRFPKLLMEVYKILQKYCGEEEFLHKYYKQGQF >KVI07681 pep supercontig:CcrdV1:scaffold_310:304440:306503:1 gene:Ccrd_013959 transcript:KVI07681 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4220 MLGDHRTLFQVVAEDVKKLWNEQALRGLILSSLGLQIILSLLGKLRKTHPRTLLRTTLWFVYFLAYAVVPIALSITAQSALEVCNNTPHSISKHHKSNTSELASFWASFLLLHLGGPDFITAYSSEDNELWLRHLVFLVFQSGVALYILLLSWPGCSHLPLLSIFVFAAGCIKCFQRVRALRLGNTEHLRESMLGPADPGPDYVKFLEEFQLKKSQGFIVKVEGVAEPPLPIIHASPESLCKEILEAYDLFQTFKRLFVDLILTYEDRDRSKTYFCHFDSAREAFRAVEIEIGFAYDKLYTKATVVYTYTGLILHAASAFLILLVLLGFLFYSEMGNFCVIDVAITYLLMAAEILKEIFTLISMLRSDWTDIWLNQQNHTRNILIFPFLKQPSKQRWSNSIAQSDLLSVALGEKPARFLPVQKLFGVDKYLETQRYQTYRDVSDNLKDMIYTHFCDFIACSSDSKAFCIHNGGFSSFSLQKNKCTTLLWSINEAEFYQIVLTWHIATALCYYSEVNDPFQINRIESKHISEYLVNLLISNPEMLPMGIGIIRYRDTCADAMRFFKTKGPITEKAEACRKLLEVDCKELLPSIVKGDRSKSVLFDGCRLALDLREMEMERGGMWKVVSQVWIEILAYAAANCRGVQHSQQLGRGGEFLTQVWLLMAHLGMTEHFQVSQGHARARFNVS >KVI07662 pep supercontig:CcrdV1:scaffold_310:232733:255905:-1 gene:Ccrd_013964 transcript:KVI07662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKFTTSPKLLRMVKPSALTSGCQKLLYKSGNTYLHQRVYELAKNELASPQGQHLRSVQSERSMVITAKISFKIAAAISRIWNWKLATNGSTIEVFESKSNRTVAALATAGISGSKNKTNCYSFELFVTPVGRFRVSSIDKHSMPSRLASVRLQWLTSIFLKKRKPDKEGNTALGEWCILLGKNVLPQILTSDFATSKARPSTNSVPWTSERRPVDFAQLVDLTNYDIKEQWNPEIMQCNILKQVDTSNMQKEISEKDYNKRERERERKYHSVITHGLDLPDPIRSYDLGTSAYQPLA >KVI07659 pep supercontig:CcrdV1:scaffold_310:192142:196162:-1 gene:Ccrd_013967 transcript:KVI07659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAQSFISRLHRLGDSGPANEHITSLFTSQILVGVYLLMSFEIDACQELMVQKLDVDAHPPSRDPDLVPMGSAESSSTGRSYAHFTDD >KVI07667 pep supercontig:CcrdV1:scaffold_310:106570:108201:1 gene:Ccrd_013972 transcript:KVI07667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISERLKEVEPSNVSNRLARSASQKLKKNNHGSVMADDDSSGGVSLRCLSLYSRGSGGCKVGAATSDEFGEVTKGFKPVCGTHDTSVDCFSYGVERFWKRNNRKKNLEVEKEPHRKNTMDVFLPDDVLEMCLMRLPLTSLMNSRLVCKKWRALTSTPRFMQMRREGCFPSPWLFVFGTVKDGYCSREIFGFDVSFKKWHKIEAEVLTDRFLFSVATVRDDIFVVGGRSSVGRMDGSSCKTHRGVLVFSPSTKSWQKVASMKHARSKPVLGVCGVSSDRLVVKNQHNHFLRSRTRVGGVSDVYEDPHRLSIRRLSRNSIDEKVKHASVKDRRRFLIMAAGGLGSWEEPLDSCEIYDSSSNKWTEIQRLPVDFGVVCSAVVCNGTFYVYSETDKLAAYDIGLGFWVRVQMTPAPPRVHEYPPKLVSCDDRRLFMVSVSWCEGDGEIGRRNKAVRKLWELDLVYLTWNEVSKHPDAPMDWNAVFVADKNLIFGVEMFKIFGQVLEFLTMCDVADPAMKWVHVSKNQVAREMDAASCVMKSMAVVHL >KVI07679 pep supercontig:CcrdV1:scaffold_310:2081:5291:1 gene:Ccrd_013984 transcript:KVI07679 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MAIFPPHTHSNQPLITNSNTPLHSIFSFIPLSQQPPPLPPSSTFMAENNPPDRRNSNTQLLEELEALSLTLNNTNTSSVPATKNRRTNSLVLSRASIPPILSTGKTDHADDDDDQDGIKLNPKPRARRMSLSPWRSRPSEETSQKQQAPVVSKNLFRDSKPEKESSSEKKGLWNWKPIRVLSHIGKQKLSCLLSVEVVTVQGLPASMNGLRLSVSVRKKETKDGAVQTMPSRVSQGAADFEETLFIRCHVYCTPAGSGNPRAKFEPRPFIIHAFAVDAEELDFGRHAVDLSQLIQESIEKNFEGTRIRQWDMSFNLSGKAKGGELVMKLGFQIMEKEGGVGIYNQVEGQKSGKSKMFSPSIGRKQSKSSFSVPSPRIPNRAEVYTPSQRAGNVDFQGIDDLNLDEPPQEPVATVAAVSPPPVQMTEEPESKLEDLDLPDFEVVDKGIEVQDRDGMDGTQSEDNSDKRSISSEVVKEVVHDQVHLTRLSELDSIAQQIKALESMMAEEKNDENDQETESQGLDEDEDKVTREFFQMLEHEDGKEASFEHENSARETDDGYNEKVFVPDLGKGLGCVIQTRNGGYLVSMNPFDNLMGKKDTPKLAMQISRPMVLTSNESLTGTEFFQRMAAIGFEELSSEILSLMPMEELVGKTAEQTAFEGIASTIISGRNKEGATSSATRAITIVKSMATGMTSGRKERISSGIWNMNENPLTGEEILACSLQKIEEMAVEALKVQADITKETAPFDVSPSNNSRDGSHPLTTAMPLEDWMKDNGIVTSQNEHETVTISVVIQMRDPLRQYEAVGGPLIALVHATSVEAEPAQEKRFKIASLNVGGLKLRSGGKKNDWDTEKQRLTAMQWLVGYGLGKAGKKGKRVMVKGPDLLWSLSSLPAKTASL >KVI07657 pep supercontig:CcrdV1:scaffold_310:276331:278923:-1 gene:Ccrd_013961 transcript:KVI07657 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4220 MTSIAIGLLSLGDHRVLFQMIPEHVKKTWNEWALQGLVFVSVASQILLFLLGNLRKYDQRTRIRITLWCSYLLANAVALAALGVITRTSLDVCTDSRSSSQDRKLNTSELMSFWAPFFLLHLGGPDSITAYSLEDNELWLRHLVELVFQLGVALYILLLSWPGWSNLALLRVVALRLANTENLRDSMLGSPDPGPNYPKIVEEYQWKTSQGYVVEIEEDHNAPRPANDHSYPEGGGKVISEAYNQFQTFKRLFVDLILTFEDRDNSRPYFRYLKSGKAFEVVEIELGFAYDLFYTKANVVYTFSGLILRFTSVVLAVTVLVGFGFLCDIDYYRPIDIGITYLLIATTILMEIFAAITMLRSDWTDHWLSQHKHTRDFLIFPFLKQPTKLRWSNTITQLDLISLALEEKPARFLKVEKFFGVDKYRVTHRYKTYSKVSDNLKHLIYTQFHEFMDSTSDPKALCSHKGSFSLRKNECDELLWSITEVEFDQSILIWHIATTLCYYLDPDDKDQDDLMDVCIESKHISDYLLHLLMTYPNMLPIGIGMIRCRDTCAEATRFFKEKGIIEKVDACRKLLEVDCTKLSPSQVKGDRSKAVLFYGCRLASTLRKMGRKRMWKVVSQVWIEILVYAATHCRGVHHQQQLRKGGEFLTHVWLLMAXLGIXEQFXVXQGHARAXFNVT >KVI07675 pep supercontig:CcrdV1:scaffold_310:19592:24706:-1 gene:Ccrd_013981 transcript:KVI07675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSLRYGGDSSALRIATKNNPPTVKYAGDVSALKIHVKQKLRIDSNTLLQLNGELDTGKGAPTFFCALVRHFYPDLSASLGAGLHYDRNDKLHCTVRGKKAYPVASNRFPTPNGALELVWNILDFQKDQDVRLKVGYEIVDKIPYVQIRENNWTFNADINGRWNVRYNL >KVI07668 pep supercontig:CcrdV1:scaffold_310:85716:90918:-1 gene:Ccrd_013973 transcript:KVI07668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MGVHKWSLVALVLLNLGVFLINGLSTHNASTRPAIVNIGAIFTFDSTIGKVAKVAIEEAVKDINANSTVLRGTKLRLEMRSSNCSGFLGMVGALQFMESDTIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLSNLQFPYFVRTTQSDLYQMNAVAEIIDYYNWRDVIAIFIDDDYGRNGVLALDDALAAKRCKISYKVGIPPGPGFGRTEVMDILVKVALLESRVIVLHVYPDMGYLMFSVARYLGMMADGYVWIATDWLSSSLDSSLPLPLEKMENMQGVVALRQHTPDSVEKKAFTSKWSKLTGGSFGLNSYALYAYDTVWIIAHAIDAFFDQGGIVSFSNDSRLSSGGFADLHLDAMSIFNGGKLLLDNMWKSDFVGLTGHIKFDSDRNLVNPAYDIINIIGTGIRTVGYWSNGSGLSTLTPENLYSKQVNRSSANQELHSIIWPGEVVTKPRGWVFPNNGKLLKIAVPLRVSYKEFVSQLPGTNMSKGFCIDVFVAAVNLLPYAVPYQFIPFGNGKENPSYTELSNYVMFIFAIGENTASTLGRIVLLIWLFVVLIINSSYTASLTSILTVQQLSSPVKGINSLKKTNDRIGYQVGSFAERYLLEIGIPQSRLVALGTPDAYTDALRKGSKNGGVAAIVDERPYIELFLSNQCTFRVVGTEFTKSGWGFAFPRDSPLSVDLSTAILTLSENGDLQRIHDKWLIRSGCSTDNSELESDRLHLKSFWGLFLICGVACFIAIFIYFCQVFRRFRNVARSTVDSDGSRSRRLQTLLSIIDEKKDPRDKKRQKVERSISDESKDCETGHDSEQR >KVI07682 pep supercontig:CcrdV1:scaffold_310:316450:322660:1 gene:Ccrd_013956 transcript:KVI07682 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4220 MAITFLSVLTACAHSGFLRKDGRRLKECLGMIPCRDTCAEATRFFKENTRINFEKDGKXTDVEXPASERGRVFDSCLAVDGSIGHQRAVLGASRPCSSLVQCNVGSITLGDERTLFQVVPEDVKQMWNEQALRGLILASLSLQIILSLLGNIRKTHPRTLLRTILWFIYFLAYAVVPIALSITAQSALEVCNNAPHSISKHQKSNTRELMSFWASFLLLHLGGPNSITAYSSEDNELWLRHLVFLVFQSGVALYILLLSWPGCSHLPLLSIFVFAAGCIKCFQRVWALRVGNTEHLRESMLGPADPGPDYVKFLEEFQLKKSQGFIMKIEGVAEPPLPIVHASPESPCKEILEAYDLFQTFKRLFVDLILTYEDRDRSKTYFRHLDSAREAFCVVEIEIGFAYDQLYTKATVVYTYTGLILHATSAFLILLVLLGFLFYSEMGNFGVIDVAITYLLMAAEILKEIFMLITMLRSDWTDIWLNQQNHTRNILIFPFLKQPSKQRWSNSTAQSDLLSVALGEKPARFLPAQKLFGVDKYLETQRYQSYRNVSDNLKDMIYTHFCDFMACSYDSKALCIQNGSFSSFSLQKNKCTTLLWSINEAEFYQIVLIWHIATALCYYSEVNDPFEINRIESKHISEYLVNLLISNPEMLPMGIGIIRYRDTCADAMRFFKTKGPITEKAEACRKLLEVDCKELLPSIVKGDRSKSVLFEGCRLALDLREMERGPMWKVVSQVWIEILAYAAANCRGVQHSQQLGRGGEFLTHVWLLMAHLGMTEHFQVSQGHARARFNVS >KVI07677 pep supercontig:CcrdV1:scaffold_310:6015:12049:-1 gene:Ccrd_013983 transcript:KVI07677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MASVWPLQLHPISIPHQVSTNALDLKPQLGFKERYRIWNRKNKYRDFFVRASGDALPSGEVNPIKDEAILEKTENNGMVVLRHQVSRLQETIISLPPIVFLVRYKRSGSVADLVRRGQLNSDRRGISKTPLYDDPFDNPLVKISKNNLSVEMCGKVYRLAPVTLTREEQNIHQKRRSRAYQWKRPTIFLKEGDPIPSDVDPDTVRWIPANHPFATTASDITEDLAQNNVRQKHGVPFRIQAEHEALQKKLEALQSDQKFNNLGVDPGTARNFERPFKSNLKLDDQQAEQNSVNGQTGSQPESQTNSHTSSSNSTSEEKRTQ >KVI07670 pep supercontig:CcrdV1:scaffold_310:68885:73282:1 gene:Ccrd_013975 transcript:KVI07670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAISNSSLLLPKNRSDQLSSGSSVKKLDQGFTKLSFGQSRVGNLQLLTSKRTFSIQAGYSDDGRSNSGSAFVGGFVLGGLLVGTLGCIYAPQKTRQKLAKKIAELNSAIDDVSSQLKSDDDEPVTNNGVVPDESEALA >KVI07678 pep supercontig:CcrdV1:scaffold_310:14125:15356:-1 gene:Ccrd_013982 transcript:KVI07678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSPRFRILGGLDSSTATPPQPTPDDDYENVNTEFIIFIGLAIILASLLCIIGLNAARCTWIRRFSDTVITGGIDHFSLPAAKLLRSIPKLTYSAESMAEKFSDCAICLAEFVVGEEIRVLPRCGHCFHVVCIDKWIGSHFTCPSCRQKLLKSTRCNLCADEVPVAGVTGIQFTTAGETSVTINRFLS >KVI07673 pep supercontig:CcrdV1:scaffold_310:41849:42163:1 gene:Ccrd_013978 transcript:KVI07673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKRLDPAFGQGNPEFWREIIMLTRYKHENPVSLLGFSDEGGEKLLVYEYLSNQSLDKYLSSTDLSWIQRLNICIGAARRLEYLHNPGKTTYTVISRVPTYC >KVI07672 pep supercontig:CcrdV1:scaffold_310:43039:49557:-1 gene:Ccrd_013977 transcript:KVI07672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MARRHGWELPAHTFQVVAITVYFLLSVAFYAFFSPFLGKEIYEHVAIGVYSFLALSVFVLYVRCTAIDPADPGILIEPGRASPNRSQNGTEVPGNTSSVGEPSKMGFQNGGIYDSGNSGVCSKIAGVVCGCIVKEDCRNDEEMQLGGEEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFVCLMAVSLVWLTFECGVGIAVLVRCFVEKRATEDQISSRLGDGFSRAPFATVVVCLLSLTHYTHYNHRRYMYGCFLSSHDSARRTLLFPHYPNSQVTTVSDNPMQGITTYEYVVAMRTQSEPPGPSLDGMDQQSLQSSPTSSAVTAISGRSSLGLGLQYKEPGRLPSTVDPDAVLDKGKRLPQRPVRISAWKLAKLDSTEAMKAGAKARASSSVLRPLASKQNQFDPDHLSSSNVSMKSSPNSSHHRFHDSKSSYPPSRASRDDSETCAHSVSNLSSPMPARGHFNPMYQSSGNQSPWANNDPPPVLPPAIVVPQAPVVAPPVRVPQVPKRNVVINEGAGSSSVYWDQEAGRFVSAATAKTVGGGGSSSQASGTELTYTGQSIFFGGPPVGPSGGGGQRENGNNTMTTVTTTTSSYYQQGRSQRGGQLPVFVPSEQRLHRDI >KVI06700 pep supercontig:CcrdV1:scaffold_3100:63496:65396:-1 gene:Ccrd_014946 transcript:KVI06700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLDCFGGGQEQFAQSAAGRAARAQMQAAAKQASNTNKGEPTLKLRFEPLHGPAALASEDLDPFYCCKTDHEIIYFVGAVADGMTISFRNRNIHA >KVI06699 pep supercontig:CcrdV1:scaffold_3100:12157:15554:1 gene:Ccrd_014945 transcript:KVI06699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLCACSGEQFKVEEPISRSPESLATRDFSASGISSRTGTGDWDSKFEDAQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFYGIDIKSLSPRMIKAISDRAIERNSKSKRENAVVGVMSLHSVSLLLEAILLKAKSLQEMGRFKEAAKECKMILDIVESALPNGIPECIAEDCKLQEMFHKALELLPKLWIEAGLLNEAIIAYRRALVKPWNLDPQRLSSLQKDLAAILLYGGVEASLPQAKPWDPPYPKSNTEEALLLLFILMGKVSCGEIKWDPEIISHLTFALSTCGQFECLAVHVEQLLPGVYKRTERWFFLALCYGAAGQVESALNILKKISGSSEAEQRPHLPSLLLGAKLCSQDPNHAHEGINFARKAIELGDHENRHFLSIAHKFLGVCYGNAARVSLSDSGRVFYHGESINSLNKAASIGLDDDPEVIFNLGLENALQRNLDAAFGQMMVYSDMLAGSSAKGFKLLALVVSAEQRFEDAETIVDIALDETGRIDQLELLRLKAVLQIAQEQPKQAIETYRILLALIQAHGEIQKENLDSEATERKLEMETWLDLANIYTKLGSLSDSEVCVNKAKSKEFYYPRAWHSTGLLFEGQSLYKEALVAFSISLSIEPDYVPGIISTAETLMKMGSLPIARSFLMNTLRLEPTNHDAWFNLGLICKKEGLMQQAAEFFQAAHELKLSAPVQSFV >KVH95044 pep supercontig:CcrdV1:scaffold_3102:618:28104:1 gene:Ccrd_002887 transcript:KVH95044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MGPPLGFQDTKLSFNSQHIMMDLKLIEIIKVDIKRRIQHRKVVICESGSPDQESVVSGTKKSSISSGGRVREEFLHRFCDSQILTANLEDWFQSLAENSYDFDVPFELIDLQKFDYALEGVSFQQLIRMPSAGYASPSGTMEATAFLAIEDFLHASVKGLWEAFWNQDEPMPLSVACLYDANLKFYQAEKAIANGKLGGLCATAVMLNNPRHPHGKWHDILELALLRPVTPFDRIWNKLGNANWGDIGALQVLFATFHSMVQYAGMPNNSIEDLAADHSSRLQSRRNERRLGEIGINGNGLFKLQQRSISPEIVEIQEEPVIIEPRRSIKLEVGSILLVEDSNWQKSYQINQVLSDGEMPYYVASSVDDPGKALFLYVGSHPSQLEPAWEDMKLWYQVQRQTKVFSVMKHNGLSSKYLPELVISGRIVHPGQCRKPNSGGNCDNPWCGTPILVTTPVGKTVAEMVNLGQFGPDEAIRCCQDCLSALSTAASAGIRHGDIRPENIICVTSGVIPQPYFVLVGWGHAILEERDRPAMNLHFSSTYALQEGKLCSASDAESLVYLLYFSSGGDLPDLDSVEGALEWRDTFWSKRLIQQKLGEISAVLKAFADYVDSLCGTPYPMNYEIWLRRLKRHLHENGKEINPSS >KVI03012 pep supercontig:CcrdV1:scaffold_3104:63076:65571:-1 gene:Ccrd_018696 transcript:KVI03012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSPSSPSSTFSPSFPSSSHLPSPSPSPPSSSLGWPLSSSSSSLSITTHFILIDLLVFVSNVVVEAVTGVELEPPSDEPYLSTSLQDFWGRRWNLMVTNSLRHTVYKTLKFVLPAKDWTTATAVMATFIVSGLMHKLLFYYVIHTSDRNPEKEPQSKSNKGKGWEAPLTLGKQKNQPLTYILRSLFIAAYKEHGKSTSLMNSLISPS >KVI03011 pep supercontig:CcrdV1:scaffold_3104:12455:16954:1 gene:Ccrd_018695 transcript:KVI03011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLANSFVSVPNQRNQLFSEKCQPHVLVPFDAVAIVRISTGMGNLQIPPVAGSLMQADQCLGSTNLCIGHSGTTKLKKHRKSLIVRAGTNDDRLGGASLFVGGFVLGGIVVGTLGAIYAPQISKALAGADRKDLMRKLPKFIYDEEKALEKTRKILTDKIAQLNSAIDDVSAQLRADDPPNGSSVTTNGVEASSY >KVH93646 pep supercontig:CcrdV1:scaffold_3105:27992:45739:-1 gene:Ccrd_004302 transcript:KVH93646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MNEMSTSEQRSVEVVKAINGLEKVVLREIHGSSVEVYFYGAHVTSWKNEHGEELLFLSSKAIFKPPKPIRGGIPICFPQFSNLGSLEAHGFARNRLWTFDDNPPPFPADATNKIFIDLILRPTKEDLKLWPHSFEYRLRITLGPRADLMLTSRIRNTSTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNCQKRERFTEQGDSITFESEVDKIYLSTPTKITIMDHEKKRTFSIRKDGLPDAGEIAPFLIFSHHLDYHSCLISEFLGNRNLKHDRIGGLAWLRLPLHHILGLVVWNPWDKKARTMADFGDDEYKHMLCVEAAAVEKPITLKPGEEWRGRQELSTVPSSSKQLDS >KVH91756 pep supercontig:CcrdV1:scaffold_3106:50447:57811:1 gene:Ccrd_006219 transcript:KVH91756 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MVTSTRSQWFSKVDQCFSLSFSSLLLTATRVFLQFLLSFLHRLSDGSTAAGFQIPTDGRGAHQLLLTPKNQRPPFRDQSVIKTDDPEWFFFCPRDRKYPNGHRSNRATSAGYWKATGKDRTIKSRGRSDAQIIGMKKTLVFYRGRAPKGERTHWIMHEYRATEKDLDGTNPGQSAYVLCRLFRKADEITDASKCDEAEPTGLSPTTHKSSPGNPSVDFLQAPSVQDVQIDGTEKWATDTADNLTHNAVIPFENRISDVDETTAKETAEKICPELGAYLDSRFANDFGSDNNGLDFQDGTSEQDIALSELLSLLQNGNECSFKETFDQKNTEVGCETPISGPVPTVPQGLPFCRTTKSDAEVCDDAEDTARSFDGSERINPELVPKHDAKENDQKHNRLQMKPLILVRAYCGGRFLSSSLIQIMSIFLVIFVMMISLGVRERPIFHL >KVH91758 pep supercontig:CcrdV1:scaffold_3106:20783:22006:1 gene:Ccrd_006217 transcript:KVH91758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MTDVKRTPRLNERILSSLSKRSVAAHPWHDLDIGPEAPQTVNVVIEIPKGSKVKYELDKKTGLIKEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISQLPPHRLSEIRRFFEDYKKNENKE >KVH91755 pep supercontig:CcrdV1:scaffold_3106:61650:65611:-1 gene:Ccrd_006220 transcript:KVH91755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S59, nucleoporin MSANQSPTLALPQSITNPFGTLPAMPQMSIGXAGTAPSIQYGISSLPVVDKPAPIRISXVLTSRHLSQRRIRLPARKYHPKNDGPKVSFFSDEEETTSTPKADALFIPRENPRALVIRPLEQWPGKGSMEKPKDASSPAQANGAYTELASTTHLNGPSVDNGNRNHSENGVVKEQTTPVKISHKVNGVQEDQSVPKSDSYITLTGHRAGEAAIVYEHGADIEALMPKLRHSDYYTEPRIQELAAKERAEPGFCRRVKDFVVGRHGFGSIKFLGETDVRRLELESLIQFNHREVIVYLDDTKKPPVGQGLNKPAEVTLLNIKCYDKKTGRHFTEGPRIEKYKEMLKKKAEDQGAEFVLYDPIKGEWKFRVNHFSGYGLKDEDDDDDTDTGTSTGTETDADIAIVQAE >KVH91757 pep supercontig:CcrdV1:scaffold_3106:32043:33563:1 gene:Ccrd_006218 transcript:KVH91757 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MNFMGKSDDDGGNGGRQQREEDYSFWEDEEEKGKPPPTADRLFSSSVSDHHQHSRHQPQEKSFLSPPPYHHHHCGGGWLLDGHSQGGEPSSRFDPMTVDSSLVSVTPVAGDGDGDGDGGAHGRHEEEESSGTEVEREHMFDKAVTPSDVGKLNRLVIPKQHAERYFPLDSSTNDKGLLLNFEDRNGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDVVSFQRGVGVTGKDRLFIDWRRRLDASINPPPYQLSSSSSSNLSFPLISHQNFPFHTRNSNINTHPWNPLVLQSHPALPWRNPNLMLPPTNSYPSSSYTRGSPYGSVVNVNKNGSRSVIYFGPDGCRSPQQQIQMMQMQQRRGFGVGIGIDPPPPPPTAAVAASPTPPAVILESLPQVHGKASGKRLRLFGVNMDCPFTEEDDQDYEPPSELNPTTTNCDHNRSPPLIPPSISSSSTIPYLQLRPHGGGTEYNQSTILSSSSSAHAFNIVSKSTASTNMPLDLDI >KVI11050 pep supercontig:CcrdV1:scaffold_3108:9557:13206:-1 gene:Ccrd_010547 transcript:KVI11050 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA protein MALFFRSTTPINHLHHRFCLQPCRTFVDARVKWVRDAYLDFAVEKEKNLKQIISLKNLIVSQPSKTILLSTVSVYKTLFNLPTTATNFFQKYPSLFQIFQSSKPFSLPHVKLTPQALTVHNEESKILNSSHYRKDVAERLVKLLMLTRAKRLPLDIIDLIRFDLGLPHDFILTLLPEFPAYFQICNMGYNNSKGCEVFGLELVSWRENFATSVLQRKSMEENRGIIRGMPIKFSINLPRGFDLEKKVRIWMHEWQNLPYISPYEDAFFLPPNGDQAEKWTVAVLHELMHLLVSKKTEKENLLALGDYLGFGPRFKKALVHHPGIFYVSNKIRTQTVVLREAYKKDLLAERNLLMGMRYRYLHLMNQQVKVKRTFTLGVKGILVHHCRRLGYDNQRAASFLLFDPSLPLSRHNLPQSMNFIMSFLLFPPKFPISSPIFKPLTLYTFPLSSPKRLSLIKSITIQSIPTTPSPPISASRSSYEPNVLQSMEELPKKLQEIVTLFQSVQDPRAKYEQLLFYGKNLKPLETQFKTNENKVKGCVSQVWLRAYFDSDNKNVIFEADSDSVLTKGLAALLVQGLSGHAIQEILKVSPDFIVLLGLQQSLTPSRNNGFLNMLKLMQKKAFTLYVEAEKGIESRPEIQNPMEKVELDSKIENSIQNFEVDSESNGDSNDSKDVGVLGSRGQRMLEILKRELKPIELKVNDVSYEHGGHGGVKGSNGETHFNLKVVSMDFEGKSMVKRHRLVYSLLEDELQSGLHALAIEAKTPTEVTKVDS >KVI11047 pep supercontig:CcrdV1:scaffold_3108:40108:44279:1 gene:Ccrd_010544 transcript:KVI11047 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIF system FeS cluster assembly, NifU, C-terminal MQGAAILSHPSCSYVSRPQQQTLDYPSSLYASSSSSSSPTPLFSRISSGVLGNQFSFARGGSRSAKIRFSSSTRWKVVKAVATPESAVELPLTAENIESVLDEIRPYLIADGGNVALHEIDGNIVRLKLQGACGSCPSSVTTMKLGIERRLMEKIPEIVAVEPIPDEETGLELNEENIEKVFFTSVGRNKAISCWGSRRIGRTGVNRRANSETTVDRSSSKCNDGKSSTDSKTPRKNTRYSCRSAFTIDAV >KVI11048 pep supercontig:CcrdV1:scaffold_3108:35517:36185:1 gene:Ccrd_010545 transcript:KVI11048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQTLPENHPPPPPPPAVDYSSNVDTSRTFRSVKEAVAVFGERFLTGEIFLPTPKPPFTLPKHETPPWKSAHSPQTSWKSCSSSRESQEESSSFLVASMKKIQSELEETKKELKLLKERESETEVALASLNAELHKNMSKITKSDQAAENVAALRSSSGLDLVVSGGGRRKTVERKMVKKKPLIPLLRDLFSKKKRKSNAPFVNPVYTSSRMHWISKLGFT >KVI11051 pep supercontig:CcrdV1:scaffold_3108:44492:52489:-1 gene:Ccrd_010543 transcript:KVI11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:BOP1, N-terminal domain-containing protein MRKDGKKLKDDDLKPIEKEVVVNGSEVPSDSEDGSVESPVVSDDDESFSEGDDSSRNSDSESESGSEHSDFSEDEDGENPSNEGGLSDGVDDLNQGDQSASDDSREVVDESDSSEDEVGPRNTIGDVPLEWYKEEEHIGYDVAGKKIKKKERLDKLDSFLARTDDSNSWRKITDEIEDEEVELTKEETKLIRRLLKGQAPHADFDPHAPYIDWFAWDGAKHPLSNAPEPKRRFIPSKWESKKVVRYIRAIRKGLITFDKPKEEPRFYNLWGDESSSTEKAGHGLSYIPAPKPKLPGHEESYNPSLEYIPTQEEISAYQLMFEEDRPKFIPKRFTSLRSVPAYEKSVRESFDRCLDLYLCPRARKKRINIDPESLKPKLPSRKDLKPYPTTCYLEYKGHKGPVKSLSTDPSGQYIASGSLDGTVRIWEVETGRCIKIWELGEPVHHVAWNPLPELPILAVSMGQDVFILNTGIEKEEHKMIADLLHVETSTAQDDSESMASAVSWSQCERYEGIRIKHFKTVSSVEWHRKGDYFSTVMPADILGCFSIFTLTCFLRESKAVLIHLLSKKLTQRLPFKLHGLPVSSTFHPTRSIFFVSTKKNVRVYDLVKKKFIKKLEAGVREISSISIHPGGDNVIVGSKDGKLCWFDMDLSSKPYKILKSHPKDITNVAFHRTYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILHGHASANGRGVLDCKFHPRQPWLFTAGADSIIKLFCH >KVI11049 pep supercontig:CcrdV1:scaffold_3108:20465:26453:-1 gene:Ccrd_010546 transcript:KVI11049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding MSLDHIGGKIMVYGNGQRSVGLSQQREGMGNKRKDREVQSDDDDAAKTRSKRTDIGESGGKPKLLPSMIKNKEKRSAVYAKIKHEKKVEKRKNAKAREALESRALELGEELPPKKVPRTIENTREADETVCKPDDEELFAGNDADEFSSILNREQPPKILITTCRFHSTRGPALIKDLIAVIPNAEYYKRGTYDLKKIVEYANNKEFTSVIVVHTSRREPDALLIIGLPNGPTAHFKLSNLVLRKDIKNHGNPTSHKPELVMNNFTTRLGHRVGRLIQSLFPQDPEFRGRRVVTFHNQRDFIFFRHHRYIFETRENKQHDAKEKNKDTGDEKKTPKGKVIARLQECGPRFTLKLTSLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >KVI01012 pep supercontig:CcrdV1:scaffold_3109:7340:7984:-1 gene:Ccrd_020725 transcript:KVI01012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 MVMEIKVENGAVPQNIKDEQAPLLGNMLLPEPTEKTLIQKAISQTFESTAHLANLLPTGSVLAFQLLSPIFTNQGECDPVTRGLTAGLVGLCGLSCILLSFTDSFKDREGNVCYGFTTTRGLWVIDGSTTLPPELAAKYRLKFIDFMHAFMSIMVFAAVSLFNQDVVNCFYPSPSEETQELLATLPVGFGVICSMLFVVFPTKRHGIGFPISTS >KVI01011 pep supercontig:CcrdV1:scaffold_3109:54285:56303:1 gene:Ccrd_020726 transcript:KVI01011 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein GGEVAKDHPSRDKNVAKGGLSFKEVETFFTSNDIVLCCHFSSDGKLLATAGNDKMVSVWNVESLCHLGNTPQQSNMITDVRFMPHSTVFATSSFDTTIRLWDAANISKFLVELHGHADRVISVDFNPHEREIVCSFQFRNLLAVASGNMILMIDIETDKKERLQGHARDIQSICWDQSGRYLASVSEDSARVWSTKSGWKCDYELYSTENMFKSCTFHPVHSLLLVIGGFQIFEFWDCVKGSKTRSIQLHSGIISSLTYSPEAGLIASTSNDGHIRLWR >KVH88861 pep supercontig:CcrdV1:scaffold_311:139920:143826:-1 gene:Ccrd_025202 transcript:KVH88861 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MHQPLLELGERMEEVPPKSFHDKISAYKNMVQEAYKSNPISYWILLLLSSAGMLIAFPASSLLSRLYFSNGGKSKWIISWVSVAGWPIPLLILLPMYFFSKATPTPLNLKLALSYIGLGFLSAADNLMYAYAYAYLPASTASLLASTVLVFSAIFGYLIVKNKINLSTINAIVVITVAMVIIALDSDSDRFGYITDAQYTIGFIWDIVGSALHGLIFALSELVFIKLLEGKSFHVVLEQQVMVSFFAFLFTTIGVVVNNDFNGMSSEAKGFIGGASAYYNVIIWGIITFQLGVLGSTAVLYLSSTVLAGVLNSIRVPITSIAAVILMHDPMSGFKILSLIATFWGFTCYIFASYPASPVPSPSSS >KVH88848 pep supercontig:CcrdV1:scaffold_311:10185:13773:1 gene:Ccrd_025198 transcript:KVH88848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSLQDLQNGNSFDPTSSHDDFLEQMLCGLQSGVSWPDISAGNAGGGGAAHQKPGLPWDVDQFDDQSAFLASKLRQHQISAGTGGAGNPSSAAKSLLLQQQLLLSRGLTSAGDSGLFQKDIVDASSFKSPNEDDSIRTLFNGFAGSLQSNQTQEFHYPPAQSFGSPGAAAALINQGQTTGGATAAGGGGNGPPAQPRQRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADISSQGGREGGGNGAAGRSSNGTASSSNNDTMTVAENQVVKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHPSSTRNNHPMLLGGGDGGGGPSSPNMSVLTVQSANGVLPPEGVSIIRNSTSVSKP >KVH88865 pep supercontig:CcrdV1:scaffold_311:223069:223873:1 gene:Ccrd_025208 transcript:KVH88865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 MSHPDQTCPPPPSSKPPSLYKQKSLSTDFNREEAWLRRKDNHHHHRHHHRHGLHRRSQSLITTEDIDELKGCLDLGFHFASEPTKLSKTFPALDLYYATLSPPTPSSNSSTTTSNYGDSPPQPCSPHNTTATTTTTTTLFNSGENPEMVKKKLKQWAQVVACAARKPPCCQTDPKD >KVH88860 pep supercontig:CcrdV1:scaffold_311:161258:172199:1 gene:Ccrd_025203 transcript:KVH88860 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDDDSLAEVPIQYEMRQDELEHLKNQLQGSHQEALAIVIAQAAQAHAGSKIQLPGILSSSSELSPPSVAPNSNLPRLNSQVEMLSPAGNAVSIRVAEADQKITSDSKIVSTSRTVKTPIDGYNWRKYGQKQVKSPQGSRSYYKCTYTECEAKKIESCDQYNSVTKIVYKGQHKHDPPKKVFTRRGKILSASSRAQERKMISTSGSKQNKSSGSVSNGPILVEEMDAPPPKRRQVTNIIVLFFYHVMQNQNLMINSYFLYYLFCITRVKKSSSTSPGSVLKPPKKPKFVVHAASDVGISADGYRWRKYGQKMVKGNPHPRNYYKCTSAGCPVRKHIEMAVDGSSEVILTYKGVHDHDMPIRTKDQGSPSGLLLTAVSSTSKNSSQSKKGETSPVSTTEPPIGETEKTTDESSRTLASFKTIPC >KVH88864 pep supercontig:CcrdV1:scaffold_311:229627:236253:-1 gene:Ccrd_025209 transcript:KVH88864 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaB-like DNA-binding protein MASASAFTAQVSNFNGGASCDRKMFVTLSSSSSSSSQKLSLKPNSVGMKTLSQSGHRKLVDNRRSLRVFALFGGKKDNDKDDGKAGVFGNMQNLYETVKKAQMVVQVEAVRVQKELAVAEFDGYCEGELVKVTLSGNQQPVRTEITEAAMELGPEKLSLLITEAYRDAHQKSVQAMKARMGDLAQSLGMPQGLGDQFKQ >KVH88857 pep supercontig:CcrdV1:scaffold_311:206101:214365:-1 gene:Ccrd_025206 transcript:KVH88857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MEAITLKRAIASCWLDDLDSRSSSPASSVAMNKCGFEGISRPGRAPATSSKSFKNGIRKGSEGLKSIGRSLGFGVSRAVFPEDLKVSENKILDPQDKFLLTWNKYFVVSCILAVSIDPLFFYLPVFDQSKKCLGIDRKLAITATTLRTVVDAFYLIHMALQFRTAYVAPSSRVFGRGELVIDPAQIAKRYLRWYFIIDFLAVVPLPQIVVWRFLQRSNGSDVLATKQALLIIVLLQYVPRFVRIVPLTSELKRTAGVIAETAWAGAAYYLLLYMLASHIVGAFWYLLSVERNDTCWQGACKKSKHDTHFLYCGNDHMKGYASWRNISSSVLKEACSPDGDNPPFDFGIFQQALSSDIVSSTKFVSKYCYCLWWGLQNLSTLGQGLQTSTYPGESIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQNLPKDLRRDIKRHLCLEGDPVDEMLFIIRGRLESATTDGGRSGFFNSGFLKEGDFCGEELLTWALDPKSGANLPSSTRTVKALRERRKEEEEEAELAARNNAGSGGSGGGASYSLGATFLASRFAANALRGVHRNRNLKSARELMKLQKPPEPDFTADAD >KVH88854 pep supercontig:CcrdV1:scaffold_311:262846:265114:1 gene:Ccrd_025212 transcript:KVH88854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteolipid membrane potential modulator MTSGCVLLCEIILSILLPPLGVCLRYGCCTVEFFICLVLTILGYLPGIIYALYAILCVNIDRNGDRYEALA >KVH88847 pep supercontig:CcrdV1:scaffold_311:66965:68251:1 gene:Ccrd_025199 transcript:KVH88847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MATEDRNNGVLHGKYELGRQLGHGTFAKVYHARNVHTGKSVAMKVVGKEKVIKVGMMDQIKREISVMKMVRHPNIVELYEVMASKSKIYFAMELVRGGELFSKIAKGRLREDVARSYFQQLISAVDFCHSRGVYHRDLKPENLLLDEEGNLKVTDFGLSAFCDHLRQDGLLHTTCGTPAYVAPEIIGKKGYDGAKADIWSCGVILYVLLAGFLPFQDENIVAMYRKIYRGDFKCPPWLSSDARKLITKLLDPNPSSRITISKIMESPWFKKAAPKNPKFLLEDEGVQLKGKEAETLNAFHIISLSEGFDLSPLFEEKKREEKEEMRFATTKPAEAVVSKLEEVAKSVKFSVRKSGDESSVRLQGREIGRKGKLGIAAEIFAVTPSFLVVEVKKSSGDTLEYNQFCSKELRPALRDIVWRSAADHTFPA >KVH88853 pep supercontig:CcrdV1:scaffold_311:249880:252368:1 gene:Ccrd_025211 transcript:KVH88853 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MGESPGGTKIWVPNVDSNICPKVGDFFSSVESVENMYRKYGDVARFDIRLGSKKLNALGEVQTRYFVCSKEGNPPKKEFDSLEVSSGERKRRNTNFKRTGCKACLKVHYVKVSGRYEVYHFIEGHNHMLCRSDEKMFTRSRRQLDYKERRNVYHASSSKVGITQSRRMQLAMNGGLVASGGTARDHMNFRRDIMLFVVHDVGDDSFDCSCNHFVRNGILCRHAFKVMLNSEVQCIPDKYILPRWRRELVPVELLPARVRYGEMDVEKQALMNQATSMFDLIIGRVRNDKGSLTEFVERLERLGDEISVDIPILTXTEQKRNDIQKLLCDSEPESVDVLPPKGIRNKGCGTGKRIVGMSEKISMNAKKPKRLCRTCEKMGWHDSRNCPSKGDSNK >KVH88849 pep supercontig:CcrdV1:scaffold_311:274834:278065:1 gene:Ccrd_025214 transcript:KVH88849 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MVDTNHQGEEEADDSGLYSGWAELTHECLLNILTRLTFEDRWRGAMLVCKSWLQASMDSSLHSVFDLESTFHSRPSESVRWWTPQFERKVDNMLRSVVNWSDGGLTQIRVRHCSDRSLSLVAQRCPNLEVLSIKSCPNVTDESMVNLASGCPKLRELDISYCYEISHESLVMLGRKCTKIVTLKRNLMNWLDPSQHIGIVPTDYLNACPQDGDSEVAAIGHFMPQLLHLELRFSKLSAKGLALVSEGCKNLEYLDLSGCVNVTSRDIANAASNLTKLKNIKKPNFYIPRSVFHTERYGHWRLYDERFQTDAFRI >KVH88852 pep supercontig:CcrdV1:scaffold_311:241748:243730:1 gene:Ccrd_025210 transcript:KVH88852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKKMKRVAFDPSPHVSYEDARARFKHQTLLQDFLELQQQKKLTLQAEVRFLRRRHKFLLKDKSSNPPQEQVSTQFTKSKKELDRSKKLRKLPPQPTEKKKKAPPSPEFDLNLGISLHGVKEQRSIHRGIAHQRVRINGPKVSTFLDLTQRPTHKPVIDLNQISREEEELQELFDAPTRGMIQNEQRTNVSYDPIYRNIGSGSGSGSGSGRAGKRKISWQDPVALRV >KVH88863 pep supercontig:CcrdV1:scaffold_311:98102:113908:-1 gene:Ccrd_025200 transcript:KVH88863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MALFRKLFYKKPPDGLLEISEKVYVFDCCFTTDAWDQESYKGYVGNIVSQLKDHYPDASILIFNFRKGDIPSQLASALSNYDMTIVEYPLLYEGCPLLSMEVIHHCLRSCESWLSLGLQNVLLMHCERGGWPVLAFMLAALLIYRKYYTGESKTLDMVYKQAPSELLHLFSPLNPLPSQLRYLQYVSRRNVATEWPPLDRALSLDCVIMRMIPDIDGNGGCCPIFRIFGQDPYMPGDKTTKLLFSTPKTSKNAECELVIIDIDCHIQGDIVLECMSLHDDLEHENMMYRAMFNTSFIRSNILILNRDEIDVLWDAKDQYPMDFKAEFLFSEMDAAASVVPEYSFCFEEEGLPIEAFAKVQEMFSSVDWLVPRSDATFNVLHQIAASDHEQMETSSHQGVEGSNLFHVMTPRMYQDKESIGSLENDPNSSVYLAKEKSSSDSPSLPSPQTYPSKNLVTADVEVRTLPPQLPSPTSTPTQSAFPPSMLPPSSPLKGMDTKAGPSRTLPSPSGPVTPLKEKRDTEDQAIRTGETSASPCAPPASPPPSPPTPPLKEKLAITGASSPPRPRTPPTPPLQEKPAMKAETSPPPPPPPTASMNEKPATTAGPSPPPPPPTAPMEEKPATTAGPFPPPSPPTAPMTEKPATTAGLSPPPPPPTAPIIEKPATTAGPPPPPPPPLAPMKEKPEVMAGPSLPPPPPPPPPPTAPVNEKPAVTTGPFPPPPPLPPPPPTASMEEKPAPTAGPFPPPPPPPPRSASSAPPPPPPPAPYSSASQISSNPPPPPPPLSSAQGGVVPPPPPPSLSRGANASGAPAPPPFLARGRGLSQAMASKNQQAKKLKPLHWLKLTRAVQGSLWAETQKSGEAVKTPEIDISELENLFSAAIPSSDKEAPKSKSASKANKPEKVQLIDHRRAYNCEIMLSKVKIPLHELMDYVLALDESALDVDQVDNLIKFCPTKEEMELLKASALNMVSSSRISIVLEVFKFFQDPHISVIRSISNRFSSQGYKGERDKLGKCEQFFMELMKVPRTESKLRVFSFKLQFGSQVSDLRKSLNSVNTAVEQASAAVGFRLDSLLKLTDTRARNKRMTLMHYLCKVLEDKLPELLDFSKDLDSLEPASKVQLKYLAEEMQVISKGLEKVVQELSMAENDGPVSENFRKALKEFLCSAEGEARSLALLYSAVGKNVDALILYFGEDPARCPYEHVVSTLRNFVRMFNQAHEENIKQQEAEKKKAVKEASKETSKPSDSNIESEQIINSPKAVK >KVH88859 pep supercontig:CcrdV1:scaffold_311:179994:185148:-1 gene:Ccrd_025204 transcript:KVH88859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRVRSMNVAESESRSILGLAGNKAVGPISTRKPSSKSSRKIEMSPEEGSIGRRNGGIAASSPPFSATGVPSILRRQVSLNASCSSDASTDSFQSRASTGRIYRTSSNARGRRQLASKPKTVIVDNASETSPDDLQPKKRCAWVTSSSDQSYAVFHDEEWGVPVHDDKKLFELLVLSGALSELTWPAILHKRHLFREVFADFDPMVVGKFNEKKLVGPGSAASSLLSELKLRAIVENARQISKVIDEFGSFDKYIWSFVNYKPIISRFRYSRQVPVKTPKADVISKDLIRRGFRCVGPTVIYSFMQVAGITNDHICSCFRFQECLSIAESEEVASIKVKEDQQNTDKAMESCICDAIEDLNFSSG >KVH88856 pep supercontig:CcrdV1:scaffold_311:219415:219684:-1 gene:Ccrd_025207 transcript:KVH88856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLGNVMKVAQADKPPQQVEAMRQGQTLHQTSKGAPKSPIRMMLGGFVVIGTIAYLTLYAHKKPEATALDVAKVTTGTANTANTRPHK >KVH88851 pep supercontig:CcrdV1:scaffold_311:302169:307195:-1 gene:Ccrd_025216 transcript:KVH88851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MEVVDVPEEILKVKKEVDAEFFGDDQNWTKAEANIKYVGKNLSFLSCSPRGRLPSLPQARYSELDNRDWRGRSGPTSVEERSWDAGRDNRDSGRFDNRQQDTNHYNRHDQLNSQFGRAQTPNQGSGPTPALIKAEVPWSARRGTLSENDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITTADILKGVISLIFDKAVLEPTFCPMYAQLCSDLNGKLPPFPSDEPGGKEITFKRVLLNNCQEAFEGADNLRQEIRQMTAPEQEAERRDKERIVKLRTLGNIRLIGELLKQKMVPERIVHHIVQELLGPDNKTCPEEENVEAICQFFHTIGKQLDESPKSRRINDAYFIRLKELSTNPHLAPRMRFMVRDILDLRSNNWVPRREENMGLRPGSTASIRNNRVVAAGAQGGLTPGGFPLNRPGAGGMMPGMPGTRIMPGIPGIDNDNWEVPRSRSMPRGDGTRAQPPSHGRTPSLNQRFLPQGSGGFISGRSSALVQGGGGGGAAPVRLPSFGNPVEPSPPSAPSPSKPVVAAAPSRAKDTDELKRKTISLLEEYFSVRMLDEALQCVEELKSPEYHPEFVKEAISLALEKSPPCVEPITKLLDYLCSKKVLVKPDLRTGCVSYGSLLDDIAIDLPKAPNNFGEIMGRLVVSGGIDFKVVAEVVKNMEEYFRKAVFGGVKRIVGSSSSGNVILTAQAADVA >KVH88862 pep supercontig:CcrdV1:scaffold_311:122152:124773:1 gene:Ccrd_025201 transcript:KVH88862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGFAFFGSIVVLTLFFRSNLCQQQEQPIPNTDEFFISDFFQKMGINPSNSSFSHIYNFSGPVCSWQVVFCDSNQENVIGFVAPNLGLSGSIPDNTIGKLTKLRSLDLSNNQVTDLPSDFWSLGSLKFLNLSNNKISMNIPSNIGNFGSLEKLDLSFNNFSGSLPESISSLTNLEVLNLNRNGFDSTIPLGIISCHSLISLDFSWNSFNGVLPDGFGSSFPKLKSLNLAGNEIKGRGSDFSMLESLTYLNISKNLFQDSVVEIFQGTLEVIDLSSNHFEGHISQVNFSSAFNWSHLVHLDLSDNDISGQFFSNLSQAHNLKHLNLAKNRFSTQKFLQIDALHSLEYLNLSNTNLIGQIADEMSVLTHLKTLDLSSNHLSGKIPHLSLNTLQNLDLSFNNLSGEIPISLLKKLPWMERFNFSYNNLTLCDSAFSTETLQSAFVGSSNNCPIAANPILFKKKAHSHRGLMLALALTCSIVFLLAALLFCAFGCRRKTQMWAVKQDSYKEEQVISGPFSFQTDSTTWVADVKLATAVPVVIFEKPLLDFTFSDLLSATSNFDRGTLLAEGRFGPVYRGFLPGGIHVAVKVLVHGSTMTDHEAARELEYLGRIKHPNLVPLTGYCLAGEQRIAIYDYMENGNLHNLLHDLPLGVQTTEDWSSDTWEADESNSNGIQNVGSEGLLTTWQFRHKVALGTARALAFLHHGCSPPIIHRDVKASSVYLDDSLEPRLSDFGLSKIFGNGLEDEISHGSPGYIPPEFLNQDGSSSPYVITPKSDVYGFGVILLELITGKKPLEDEYPDENNSKEANLVSWVRGLVRKNRGSWAIDSKIRGTGTDAQMVEALKIGYLCTADLPAKRPSMQQVVGLLKDLEPVINQ >KVH88858 pep supercontig:CcrdV1:scaffold_311:189451:199688:-1 gene:Ccrd_025205 transcript:KVH88858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase/peptidase B MAAFIRVASTSSPTLLASTSSSFSIFTKLRSIPHLGFSFSVNTAATSGNRLLFCSRRGKRMAHTITKATLGLTHPVEIESPKISFAAKDINLMEWKGDILAIGVTEKDMIKDENSKFQNAVLKMLDSQLNGLLSEVSTEEDFTGRAGQSTVLRLSGLGTKRVSLVGLGKGPTGSSTCAYRSLGESVASAAKASQANNVAVALASSEGLTPELKLTTASAIATGALLGTYEDNRFKSESKKLALKSVDFLGLGTGPELEKKLKYTEDICAGVILGKELVNAPPNVLTPEVLANEAKKIASTYSDVFTASILDTEQCKELKMGSYLGVAAASANPPKFIHLCYKPPSGSVKTKLALVGKGLTFDSGGYNIKTGPGCLIELMKFDMGGSAAVLGAAKALACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIVDLATLTGACIFTPSDDLAKEVVAASEVAGEKLWRLPMEESYWESMKSGVADMFVDENVQWLHIDMAGPVWNDKKKTATGFAIPTLVEWVVANSS >KVH88850 pep supercontig:CcrdV1:scaffold_311:282039:284533:-1 gene:Ccrd_025215 transcript:KVH88850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MTIGARDAQKMELTVKESLMVKPSKPTPNQRLWNSNLDLVVGRIHILTVYFYRPNGSSNFFDSGVLKKALADVLVSFFPMAGRLGNDGDGRVEINCNGEGVLFVEAEADCSIDDFGEITPSPELRKLAPTVDYSDQISSYPLCITQVTRFNCGGVSLGCGLHHTLSDGLSSLHFINAWSDKARGLSVAIPPFVDRSLLRARDPPTAMFEHLEYHSPPSLIAPSQNQNSTSHPKLASTTMLRLTLDQINGLKSKAKGDGSVYHSTYEILAAHLWRCACKARGLSDDQPTKLYVATDGRSRLNPPLPPGYLGNVVFTATPIAKSGEFKSESLADTARRIHIELAKMDDQYLRSAIDYLELQPDLTALVRGPTYFASPNLNINSWTRLPIYESDFGWGRPIFMGPASILYEGTIYIIPSPSGDRSVSLAVCLDPDHMSLFRKCLYDF >KVH88855 pep supercontig:CcrdV1:scaffold_311:271097:271940:1 gene:Ccrd_025213 transcript:KVH88855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteolipid membrane potential modulator MTSGCLLLCEIILSILLPPLGVCLRHGCCTVEVLICLVLTILGYLPGIIYALYTILCVNPDSDGDRYEALA >KVH87523 pep supercontig:CcrdV1:scaffold_3110:56148:60939:-1 gene:Ccrd_025218 transcript:KVH87523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein UCP031277 MPLTSVVADALGVVTISLVAVLGLLGLLCILYSLYFRDHIRSQGYTQLGYFSGPWVIRIVFILFAIWWGLGEILRLEFLRRDGRVLHALDLRWQETVCKCYIVSNLGFAEPCLFLTLIFLLRASLQKTESGPLSQKWNLRTTIYILLCSLSLFALQMTVVLVGPQYETDLHLNKKGYFFKFTTKHPNDVARCYYPLLSTLFLGLFATVLTLYLFWLGRRILILVINKGLQKRVYTLIFFVSSFFPLRVLLLGLSVLFKPGDTVFEVLAFLAFLSLLCCAGVGICMLVYFPIADSLALKNLQDMEATRRIIGEHNDTLSLIANRSRLDDFSGGNSIGSTKRGSISFRTMERDENPGGFVELSLFSPSTPIGSPQQVHGWPMIPIPSSSTS >KVH87522 pep supercontig:CcrdV1:scaffold_3110:34557:39579:-1 gene:Ccrd_025217 transcript:KVH87522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSIASGIGGIPITEKSCMSSQRLLATRGTLRISSYGVVMNIGSLVVRRRCSAFPTLKGKVALVTGGDSGIGRAICYAFAKEGATIAFTYVKGDEDIDAADTLKIIKEAKTSESSDPTAIPTDLRYNQNCQDVVDDVIAKYGHIDVLINNPAVQYPLYSLEDITEERLEKVFRTNIFSYFFMTRHAIKHMKQGSSIINTASLVAYIGNGKFLDYGSTKGAVVSFTRGLSQFLLDKGIRVNGVAPGPVWTPLEVSGQDDEEIVIFGSQVPMDRAAQPYEMAPSR >KVI03355 pep supercontig:CcrdV1:scaffold_3111:35929:37386:-1 gene:Ccrd_018347 transcript:KVI03355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MKIDTDGNVRVYSLIVHERRNKWEVQWQTLSQSCKIYGRICSCIHGYRMPCTQDDCDFIELHQTEFYGYDIRFLPNLTVDACKKDCLHDNSCRGFQFGWKEDVRSYYCFKKTSLHNGYQIGFDSTMYIKLPKKLVSSFYEKTTGQSNFSRPHPMLTPIIRSYEKKHDIEPLGFMLTFGCTIGGGFNRSNFSMIRGIRGYMAPEWVFNLPVTSKVDVYSYGMVVLEMITGRNPVGKCHTCNANDEIELALIDWVRDKLQEFNGSQMNSWLEDIVDLQ >KVI03356 pep supercontig:CcrdV1:scaffold_3111:61756:64158:-1 gene:Ccrd_018348 transcript:KVI03356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MAAPLQVFLVTVIILSHIPSSLSSSPECYGLTRGSYLSVEKKDDILVSSNGLFTAGFHQVGENAYGFAVWFSEQATSGNRTIVWMANRDAPVNGKHSKLSLQKDGNLVLMDAGRHVIWSTHTKSTSSLIILQLHSSGNLVLDDGRGQLTLWQGFDYPTDTLLPNQPLTKSTQLVSSRSSTNYSSGFYKLFFDNDSILRLLYDGPETTTIYWPDPGLRTWEVGRFQYLYNRRASLTSDGEFNSSDGFSFRSADFGKGTQRIMKIDTDGNVRVYSLIVHERRKKWEVQWQALSQSCKIHGTCGPNSVCIYSQDLGRKCSCVHGYRMVKSEDWSYGCEPKFQPCTQDDCNFIELHQVEFYGYDIRLLGNLTVDACKKDCLQDNSCKGFQFGWKEDVQSYYCFVKTSLHNGYQIVFDTIMYIKLPKKLVSSYHEKTTGQSNFSCPXPMLTPIIRSYEKKHDIEPFGFMLTLGCTIGFIEIICIVFFWYCSSKRPSTNEQSYFPATTGFRKFTYSELKKASDNFSEEIGRGGACIVYKGKLSDNRIAAVKKLKNTSHQGEAEFQAEINTIGRVNHMNLIETWGYCVEGKHRLVVYEYMENGSLAENLGMGKLDWATRLDIAMGTAKGLAYLHEECLEWVLHCDVKPHNILLDANYNPKVADFGLSKLFDRGGFNRSNFSMIRGTRGYMAPEWAFNLPVTSKVDVYSYGMVVLEMITGRNPVGKCHTGNGNDEIELGLIDRVRDKLQEFNGSQMKSWLEEIVDPLISGKYERTPMENLVRIALQCVEEDSNARPSMSQVVNMLLHV >KVI03354 pep supercontig:CcrdV1:scaffold_3111:30755:33093:-1 gene:Ccrd_018346 transcript:KVI03354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLQVFLVTVIILFHTPSSLSSSPDGHGLIRGSSLSVEKKDDILVSSNGLFIAGFHQVGENAYGFAVWFSEQATSGNRTIVWMANRDAPVNGKHSKFYGMVVLEMITGRNPVGKCHTGNENDEIEFALIDRVRDKLQEFNRSQMKSWLKEIVDPSISGKYDRTTMENLVRIALQCVEEDSNARPSMSQVFNMLLHV >KVH96499 pep supercontig:CcrdV1:scaffold_3112:9205:10594:-1 gene:Ccrd_001412 transcript:KVH96499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLYVDTIRCDVVHMIRERPCIASWSIDLLRRRESIELSIGGFGISNVAETLVDEQHEDRPRENKEIDIKRYLDEVEHTFSMFKTLKSDFDRILKK >KVH96502 pep supercontig:CcrdV1:scaffold_3112:47777:55575:1 gene:Ccrd_001415 transcript:KVH96502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRQVVPSSQPLSSGAPVQTSTIEKKLESLPSMVAGVYSNDSNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGQGALVPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFELTKPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCQRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQCIIEHGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIEAGLISPLVNLLHTAEFDIKKEAAWAISNATSGGNNDQIKYLVSQGCIKPLCDLLACPDSRIVTVCLEGLENILKVGEVEKNLGHTGDVNYYAQLIDEAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEDEPVGDAAQPGFNFGGGNVQVPSGGFNFNN >KVH96500 pep supercontig:CcrdV1:scaffold_3112:11007:11896:-1 gene:Ccrd_001413 transcript:KVH96500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKKKKESKISNTAENLVDVDDQSNEVAILNVGQAKQRATDCSTRRETICKRPPKSREDSKDDDDFEVQDQNKRKKVKSVKEDTKGIEDNGKCTIKTHLPLCTRTSPKPLYTMIQNLPPSQIECVKEMGFEGLLNMKTDGIPAKLGYYVVDSFDSQNMLIKLENTVIPITVKKIHEMIGAPIGGAPLDSLVNDNCGVNVVEQWKSRYLKPSLRVTDI >KVH96501 pep supercontig:CcrdV1:scaffold_3112:24335:25969:1 gene:Ccrd_001414 transcript:KVH96501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 MAVTHDDLAPSRKGADIGSKTGVVLIVFSILLGLICFVLCLIAEATHSQIIREASDGGGGETERNQCRYSGNGKTPLIYAAGAICFSVAEVLLLIGLSVESGHLKGWSIPRPTCFIAREGLFSSAGVLGITTVFLSSGLSITALRAQWLLQDQENVRREVMEASILYSSPPRPSGDRIMAVGGETPIVRHDVYYEPGLTEYLRAFDKII >KVI00820 pep supercontig:CcrdV1:scaffold_3114:44108:49789:-1 gene:Ccrd_020926 transcript:KVI00820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFCETPSNQIPFLKDVFSENIIAKAFRLEYRPAGRGCVLPSSTPATTKITAQPPLEGTSAPQLSKIDKIRGKGEIKKGSPSPSTVPVERLSEEETEPMEDKDPSLKTNTMELEPSLDYNPASLVPSTNIGNQALHDRIEILEGQVVKVINRCDQMERN >KVI06453 pep supercontig:CcrdV1:scaffold_3115:41348:48480:-1 gene:Ccrd_015201 transcript:KVI06453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller MMRWEKLEIHRGGPGKRWGHTCNAVRGGQLLYIFGGYGEDNTQTNKVHVYETTTRTWSEPDMQGTLPVPRDSHSCTTVGDKLFVFGGTDGNIPLKDLHILDTSTNTWMAPYVRGDGPEAREGHSAALIGKRLFIFGGCGKSFDTPVEEYFDDLYILNTETMVWKRVATTGIPPAKRNSHTCVSWKNKIIVIGGEDTQNYYMSDVQMLDTDTLTWTKLVTNGELLPPRAGHTTIALGKNLFVFGGFTDAEDLYDDLYMFDLETFSWTKVMTTGEGPSARFSMAGSTLHPQLGGVLIFMGGCNKSLEALDDMFYLFTGLASDNERDERKLEKLSLRKQLRLKSQEQQTMTPVCDSTMIGMEKSPNANTPMTMPSHIQTQSNRQNIYLNEYHNPHGKRTFQAKVTKNITNCYTIETVIDGKPLRGVLFSNNIGSKKAAADDLRRKRVAVDSGKKGFEHVMMDNAKRPEHQMQGATSAASDMKTPAASNVSPPHE >KVI06452 pep supercontig:CcrdV1:scaffold_3115:29730:32206:1 gene:Ccrd_015200 transcript:KVI06452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRGVTIYTPTTVLLLYLRFDLDLTQDARRSFLLNLKAKIMGRGVSSGGGQSSLNYLFGSGEAKPGEAAVAAPVSQVHVAASNEPPPKPTATIPQLDITKQIPAGIQQGSQANNYFRAEGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGGSNAN >KVI06451 pep supercontig:CcrdV1:scaffold_3115:13519:15239:1 gene:Ccrd_015199 transcript:KVI06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MFNNTSGRKDFRAKQEGDSNEPMVPKHTSMSRQWAGFKNPRIVRVSKAFGGKDRHSKVCTVKGLRDRRIRLSVPTAIQLYDLQDRLGLNQPSKVIDWLLDSTKDDIDKLPPLQMITGDFNQLHPVPALVPQDLNSPQISFSQFLSTPNTAFIKDVGNRTLLYTKQGMKHDNDIDNDINIGDHQRLKGKEAIVESKWNDQEENGDGNGGLNFFPIPQFSYPGLLPYNHYYNWEPPSNLSISQFGNQGLVPSQTDSSTTLPSASQFFLCPPAATTPSPFPPYLMPTLGENQDLIRQNNHFHLLTSSSQHVPPNSLMPLLHLSDSQEKVSFSLDAHPKVHQQNNDDR >KVH87521 pep supercontig:CcrdV1:scaffold_3116:42107:43567:1 gene:Ccrd_025219 transcript:KVH87521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSRGGTVREVERRILTHLHGRRSRIHLTQIHAHFLRHHLHQSNHILSHFVSVCGSLRKMDYAHLVFLQAQHPNILLYNSMIKGYSLSPPFQTSLHLFCTMKKHGIWPDEFTFAPILKSCSNLCDVRFGQTVHAQLVSLGFHCFSAIRVGIVEFYANCEKMDNAKKVFDEMRDRDVIVWNLMIHGYCKTGKVDTGYSLFKEMKERSVVSWNTMLSCLARSGRDAEALKLFYEMRDSSFEPDEATVITMLPVCARLGEDNIGQWIHSYAKSSKLYQNHVSVGNALVDFYCKRGLWDAAFMVFDEMPLKNVVSWNTMISGLAFNGNGEKGLALFDEMIKNRLNPNESTFIGVLTCCVHSGLVQRGRDLFGSMVSNHQLEPKLEHYGCMVDLLGRGGSVKEAYDLVKSMPMKPNGALWGALLSCCRNHGYLEVAEVAVKELMVLEPWNSGNYVLLSNIYAENGKWDEVEKVRVLMMESKIEKTPGQSFIR >KVH87519 pep supercontig:CcrdV1:scaffold_3116:58467:62137:-1 gene:Ccrd_025221 transcript:KVH87519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDEAVAVAVGALAGDAVSKSSDAIIHVIKKCWQFRSKLTQTEETTKKRKQIFTDIEKQNNLSKEETDMYRNHLKEAEEIVQKGKNMKWYSFKRYRYSSELDDLKASSLRFCQIDVPLQGVRDTKEALVVVKDLKKGIESSGNWSSGVPLPKGDVIGSEDRVRALKAMVLKDSKVDDCSVVVVSAGGGYGKTTLVTELCHDPDIQEIFGRNIYFVTISEAPNIKLAVNNLLQKNHGGHKQLHFVTDEDATCQWGRFLGENKSEILLVLDDVWHESIVTDFKFKLRGYKILVTSRMTFTQFDTYELQLLNNQDATKLFRNSAFSVDGSERIDISDDLIKKLVKHCKNHPLALVVIGGLLKGKEVATWKWMLKILSAGEKSILDLHKSIPDCLERSLVVLEKEPAIRQCYLDLGLFPEDQRIAATMLMDMWVHLYNHDEEGLDTINKLTELSSRKLATRLRMRKQPAIANYCEEESVVQHDMMWRLANKLSSKEPVERLIIKADEQVPPQLPHTVNARILSISTDERFSMRWNDYQVRKVEVFVLNLMSKIYDLPQFVQNMEILKVLIVTNYGYYFSQLRNFPAPQYLSSLTRIRLEHVSISSISTSLPELVNLQKLSLIMCKIGNSFNECSMPNKFPSLLEIELESCEDLVTFPATLCNLVGLKKLSITNCLELISFSEGLGNLTNLEVLRLASCSKLMALPESVIELQKLSIIDLNYCLHLSLLPSRIGELGCLQIIHMIGCTGLDELPSSIKDSCSLEVVCDEEIFQKLWSHLPNVKVKLVEEDRFDIFWKIVARDMDVQ >KVH87520 pep supercontig:CcrdV1:scaffold_3116:44702:49393:-1 gene:Ccrd_025220 transcript:KVH87520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAFDKERFAESKKELDALLSDESLGSVPFLILGNKIDIPYAASEDELRYHMGLTGLTTGKGKVNLENSGVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KVH98723 pep supercontig:CcrdV1:scaffold_3117:35451:38489:-1 gene:Ccrd_023051 transcript:KVH98723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRQYNLPSANEVACLIVGDLSENNFERDVIVEHRTTGLQRITDLHPSFMSMTYPLIHPYGEDGFRQNILLQNMDRNSWQPN >KVH98722 pep supercontig:CcrdV1:scaffold_3117:30131:32655:1 gene:Ccrd_023050 transcript:KVH98722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLEVITKASVTSDQLTSESQYPIVLNPDSVLLNLKPQTEESNDASFIKRVEGWKISQTDTEVIELGQKFFKKLKIKLKNPNSFSRVEFISIFNSYLEKNSEKLGISIGIEPKDEGYTKVLVQNVGFVMGQAVVDLVLEACFAFEIWEILEPLIVGGLVDGPCSKNLVRNSIEKRRSDLVCFCVKHVSDLQVSDILSVLKFFLSPPKDAYTTMNAIRKEWEIQALSAMKMAVDKTVGEKNSNLAKDDVILLMLAYDQFTVNELCLHYLLASPNLDDVIFPACIGQLNGSEIMGLLRYLKKWLEKYQKFPQACQGPKAPATHGLKASELVPSLEHVTKCFGLVLDEHFSSLVMHPEFCEEVISIELIVNSLVSEARLCCTLANLTSSLKTDVKGTNY >KVI00817 pep supercontig:CcrdV1:scaffold_3119:19874:22510:1 gene:Ccrd_020929 transcript:KVI00817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter-like protein MGAAEPVVERENEDGDDQHTETVETKETHKDLEKGAMGFEEHQQQPPRDMHMSRMQRLSATNPLRLVIDNATRVPPTPIPNRGPTTATHHPPPPPPPTATHHPSPPPPSGNPRSIPTPISIPTPTHSTPIPQQSLTTLNSRKYTNKISLFIFTLHFLVAVCLVFFLVFKGIQGLLEGGSSGRKERRVIRYFLPQVEAASLLSITLAFTWQKAVRVWPNFMVHFILWSSFLMTLSAGILLICIQRPSTDGVGVVFIFFSIGNGLYACWVTQRVKFCSKIFIKALEPVSKFSDINRPTYWMLGIGFIWMSIWILAVIGALNFYFPPLVIIGLVLSLLWTAEVMRNVANLTISRVISLYYLRGMQSNTQFCFQRAMSKNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFSCAHCCLKVMEAIFRYGNGWAYVQIATYGKGFVKASQDTWELFEKREMETIVDSDITTAVCFLTGVCSGSICVIMVASWTSTVHRDFTATISLLAFIVGYLMTRIAMALPHACVNCYYVCYAENPDNRLFDKTIPDRLAMIKSNRDVVVITPRVPPRFRR >KVH94661 pep supercontig:CcrdV1:scaffold_312:115780:119232:1 gene:Ccrd_003289 transcript:KVH94661 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MNLRGSLSPILKPLNSNSLPLFLQIQPTLSIPICRFDAPNCRFRFSPIYRNLRTTRRRNYHKTLVKASSRESPYQVLGVSPSATPAEIKRAYRKLALKYHPDVNKEANAQEKFLRIKHAYNTVLNSDSRKKYDSGNSNTSEAYKRAEDEEFYGFDSEDETERRYEEPATSGKGRSGNGGTNEGDNGSSIEDNIDEIEAALAQLKRELGKFKNLAANA >KVH94651 pep supercontig:CcrdV1:scaffold_312:12526:14355:1 gene:Ccrd_003296 transcript:KVH94651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDTLYATAMNNYINSYNHHSVQPSFDRTHVNCHDFDPTPMSDQDPSPSVVAEGDGSDNQDHYDSVLKFLNQMLMEEDDLQEKPCMYNECLALQVEAAEKSLYDVLVNNHPASSQEQNLFPACQKIPLDYSAGPTSSEGSSNNFSTVSLIDNGGYHNLNSSRKRSPSGEELRDAKLAMISEVDSDQPEPYEEDLLLYDHEPQWFSSSELGKKMNESSKRGRPRGKKNTITQEIVVDLRDLLTQCAQAITNNNPTSVCDVLKKIQPHCTPYGDSTERLAHYFVKAIEARLAGNGAEIYRAYKLKKISAAQILRAHHSYMMACPFHRMSNILANGSIEKLSRGKQKLHIIDFGILYGFQWPCFIKNLSMRPGGPPMLQITGIDLPQPGFRPAERVEETGNRLAKYCKRFNVPFKYNGIAMKWDEIRIEDLKIDKNELTVVNSINRLRNIHDETVVESSPRDSVLQLIRQINPDMFVLGILNGTHNAPFLLNRFREALFHFSTLFDMFDNTTDRQSEHRFLYEQEVFGREVMNVVACEGTTRVERPETYKQWQSRNVRAGFRQVPMLRDKVEEVTSKVRMNYHKDFLVDEDGKWMLQGWKGRVLYACSLWKPA >KVH94655 pep supercontig:CcrdV1:scaffold_312:210583:217233:-1 gene:Ccrd_003281 transcript:KVH94655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MASIIESGWTYLITNFSDFQLACLGSFLLHESVFFLSGLPFIYLERAGWLSKYKIQMKINSTEAQSKCISRLLLYHFCVNLPVMIASYPVFRFMGMRSSLPLPSWKVMSTQILFYFIVEDFIFYWGHRILHTKWLYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVVRVLETVEAHCGYHFPWSPSNFLPLYGGSDFHDYHHRLIYTKSGNYSSTFVYMDWLFGTDNGYRKLKALKNEEEEGGYKEH >KVH94642 pep supercontig:CcrdV1:scaffold_312:278594:293224:-1 gene:Ccrd_003273 transcript:KVH94642 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEEDGGRRWPLVVLTSSPFHGHMTPTLQLATALHALGFTIAIAHAQLNPPDPSNHPDFIFLPLSDNLSAIDASSSFTGFIQTLNTNCRPSLHQHLIRLMSEESRGDKSMVIIYDYLMFFAGAVAMDLNLTSIIFRSSSATYFPAFLVRRQLHQQARFLEQDFAMQDMVPDLHPLRYKDLPFSKSPIEDWHQLVAIFSQQRNPCAVIWNTVKFLEHEALSQIHQHYQVPVFAVGPLHKITPSPPTSFLEADTSCISWLDKQAPKSVIYVSFGSLVTLDVKVLAEMAWGLANSNQRFLWAVRPGSVSDAEWTEFFPEGFREETRERSLIVKWAPQKEVLAHSAVGGFWSHCGWNSTLESISEGVPMICQPFNVDQGVNARYVSYVWKIGVELEVLERGEMESMIRRVMVNEEGKEMRMRAGEMSEMVKDAVQNGGSSHESLEGREREMEEEGRRRWPLVVLTVPPFHGHMTPTLQLATALHAKGFSIAIAHCKLNPPDPSNHPNFIFLPLSDNLSAIDSSSSFTRFISDLNTNCRPSFHQHLTRLMSEDQRQGEKSKSVVIIYDHLMFFAGSVAMDLNLVGILFRSCSAAYFWACLDMIPDHHPLRYKDLPYCKSPIEDWNQRVAIFSQEPRPSAIICNTVQFLEHELLYQTHEHHQVPIFAVGPLHKVTPSPPISFLEEDTSCIRWLDKRAPKSVIYVSFGSLATLDAKVMIEMAWGLAKSNQPFLWAVRPGSVCDFEWTEFLPEGFREETDGRGVIVKWAPQKEVLAHWAVGGFWSHCGWNSTLESISEGVPLICQPFIVDQMVNARYVSYVWKIGLELEVLERGEMENMVKRVMVDEEGKEMRLKVGEMKEMVKKAVQNDGSSHDSLQGLFGLILSS >KVH94662 pep supercontig:CcrdV1:scaffold_312:109766:123391:-1 gene:Ccrd_003288 transcript:KVH94662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQIVLKHQIRSTHCLFSRVLSTLLNPHSFLDINFSLSNVSIIDNPKENQLNANAFDLLVQLQHGSHGSVGSSYVLNRLVSSCANSRSLLLGIQIHSFVFRMGFCSHVYINTALVDMYCKCGKISGAHKLFDEMPQRNVITWNSLLSGYLHTHHVDLLVDLFTGMLRLGIYPTHSTVATVLVGCSQLDALELGEQVHGLGTKSGFLSNVVVGTALLEMYWKCSDVDDSRKIFDNMPDKNAVSWTSMITGYAQNQQADKAMYMIREMLSMGHKAESVTYNYLLSSFCNPEDMVHCEQIHCRVIREGLESDLHLAVTLVTVYSQCGSNFEDFYKICSTVPIKNQISCNAIVAGFSNLGSGEKALSCFSEMRQAGIDIDFFTVASILKVTGVIAGLEEGSQVHALIIKSAYDSNIYIQNGLISMYARCGKIHEAKGMFSSMVEHDTISWNSLLSGYAQHGYGREAVEAFEQMTKTMVKPDLTTYLIMLSACSHVGWLDKGIKYFGLMRNDSSLEPPKLEHYGCIVDLYARAGYLFEAETFFNSMPIEAGPSIYKALLSACRVHGNKEIALRISRKFVDRFPDDPATYVQLSNILATNGYWDDSAGAHDLMIGRGIKKKADSNGYVKIIENYARNRSLESGKRLHAHLIINGLARSTYVASKLLAFYTQCRQTCDARKLFDEIPQRHTRRWIVLIGAYARHGFHQEAMAVFCEMQREGLEPNRFLVPSVLKACGHLLDAQTGEKLHAVVVKQEFESDAFVNSGLIDMYSKCGKIEKARRVFDLMVGMDLVAMNTMVAGYVQHGFVNDAVVLVEKTRSMGLVPNLVTWNTLIAGFSQANDDSNVAKLFQLMQEAGIDPDVVSWTSLISGYVHNFRNREAFDSFKKMLCTGMHPTSATISSLLPACAILADSTRGKQIHGYSVVIGVEKDVFVRSALIDMYAKCGFIYEAKTLFKNTPKRTTITWNSMIFGFANHGHCIDAIALFNQMVDGKDKLDHLTFTAVLTACSQSGMIDLGKNLFLIMQQKFKIRPRLEHYACMVHLLGREGKLAEAHDLIQEMPIEPDVFVWGALLGASKLHGNVGLAKIAAKHVAELEPESAGSSLLLSNLYADAGSWGCSARTPYKVDNAMSMQEVETRFRI >KVH94639 pep supercontig:CcrdV1:scaffold_312:259060:265186:-1 gene:Ccrd_003277 transcript:KVH94639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MAQNGQGIDPAVLDDIINRLLEFRQARTLRQVQLSEAEIRQLCAASREIFLQQPNLLELEAPIKICGDIHGQYGDLLRLFEYGGFPPDANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTDVPDAGLLCDLLWSDPNRELKGWGMNDRGVSYTFGADKVAEFLLQHDMDLVCRAHQVVEDGYEFFADRKLVTIFSAPNYCGEFDNAGAMMSVDESLIQLIENLDFYDLDDGERVLIAFAAVLVLR >KVH94641 pep supercontig:CcrdV1:scaffold_312:307541:309468:-1 gene:Ccrd_003272 transcript:KVH94641 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTPMLQLGSVLHSKGFSITMVHTKLNSPDPSNHPEFEFLPLFDNFLAIDASANFTTFLEALNDNCKMQLQDHLAQKIREGRDDEMITIIHDNIMYFAEEVANNLNLPSIVLRSSSASYELVPELHPLRYKDLPFNRTSTEVATEMLALSERIRTPSAIIWNTMEYLEYSALTQLRQRYQVPIFAVGPLSKMVRCPSTSFLKEDTNCISWLDKQAPRSVIYVSLGSLATMDKTELAETAWGLANSNQPFLWVVRPGSVCGSVWIEFFPEGFTEEIRGRGLVLKWAPQKQVLAHSAVGGFWSHCGWNSTLESISEGIPMICRPVMGDQCVNSRYLSSVWRIGLELEHLERKVIESAIRRLLVDDEGKEMRQRAIHMKEKAKHSLCNGGSSFKFLNDLVEFLLIQTRL >KVH94650 pep supercontig:CcrdV1:scaffold_312:17140:22192:-1 gene:Ccrd_003295 transcript:KVH94650 gene_biotype:protein_coding transcript_biotype:protein_coding description:M-phase phosphoprotein 6 MAKRELSNTLKNLKFMQRGANKEEKTKKEEEVIPDGNFPSFITTKKCVVIMEGDPTPGAIRGRMSFQSFNPSIDKLNDEASNPRQSGSDATCSEDQRGKLSNSQNALAEDEPVSLKEETWNSNKANGDLKRKQVEVITDEQIPSKSPNIIEGNQSSSSNNSRKNSHKPPKRGKLDWNVLRSPKNRNKRG >KVH94658 pep supercontig:CcrdV1:scaffold_312:147739:157202:1 gene:Ccrd_003286 transcript:KVH94658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTTADQDTRFSNKHAKLLKSQKFPPELENLVDMTKVKMDVMRPWIAHRVTELLGFEDEVLINFIYGLLEEKVVNGKEIQISLTGFMEKNTGKFMKELWTHLLSAQKNASGVPQQFLDAKEEETRKKQVLSASPKPLRRSVSFERRHHSLSRRSLTPRRVESRLRSPSPPQVRRSVSHSRGRSPSPARRRLRSPIRRRSRTPVWRRSRSPMRRRSRTPLRHRSRSPIQRRSRSPIRRRSRSPIRRRSRSPIWRRSRSPIRRRSRSPIRRRSRSPMRRRSRSPVRRRSRSPIRRRSRSPIRRRSRSPIRRRSRSPMRRRSRSSIRRRSPSPFQRRSESSGSPSRQESLSPMHPRSPSPVRRRSPSPARRRYQRAPSTPHDRSPPIRRRTAFPGRQRSPRPGSMSSSPSGRISLSPIHGTSPSLLKKGSPRRQQRSPVRSPRDGNRIVQKSPHDRQASRKETAEGCKTSVSSGNPPPVSVRSLERDPKGRGISHNGEPALSSSPYNSLSGSVSPIAGRSPSEERSPIPPKRQRESIVKDHPDSIEVEEMTFSRVKEEKYQNKNTVNDRRDKNKHSPEFASHHSSDAQAQKRKSKSDDGRRNYPELAEEPLGSRKDNLSSDRARISEKGYKTDEKNQLRASDVKGSPRHQEAAKLPKLLQKVERHNRSGSLDSGSEESDEARGGASQKKKRKRSKKKDVTSDDDYSHDSRTEDRKEAKRRRKEEKKLKKEEKRKRREERRRRKDSRRTEKLKPKAGGNVSPSSDPDKSHDSHASDAEPVRRRGERVTDNEEALSDQKKLEIELREKALESLRAKKGIDDLVEQMLPCNSFGSSNKAALSFCSCSDVVISLQNSNKMLVRVHI >KVH94637 pep supercontig:CcrdV1:scaffold_312:233882:244156:1 gene:Ccrd_003279 transcript:KVH94637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHASLGRRTLEEIRQKRAAQKLGKTSSGPDITKPPSPRGISRSSSGTGISEYDISGLVSQIQDLQKRNAGLDKENKTLSSKVYSFSKTILVVTSNPLFMIDDIFFLVFVPQLHSKETENDMLQKRVNDLEDFSAQLRALKKRLKEAEEEQYRAEEDAAALRAELNSLQQQSISGNVGASISMGGPPDQMQAIEKELADLKTQLEQESMLRRQEGMLRRQEQQQLAEEQLRISTIISEKKDLEEKLAAMSKNITERLEKQLHDMAVAVEKLESSRQKLLLEIDTQSSEIESLFEENSNLSSAYHEATSVVVHWENQELRMMLDRLRREQASIPIANDHESNKDGNAMISDGHTGEVVSLKLSAQLRQAMQAYNSLARTYKPVLRNIETNLLKMKQDGSLTVQ >KVH94640 pep supercontig:CcrdV1:scaffold_312:265936:268389:-1 gene:Ccrd_003275 transcript:KVH94640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MANRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNIQRWLRELRDHADSNIVIMMVGNKSDLDHLRDVADQDGQSLAEKEGLSFLETSALEAHNVEKAFQTLLTNIYLIISKKALAAQEASGASALPGQGTTISVGDSSGNTKKGCCST >KVH94656 pep supercontig:CcrdV1:scaffold_312:226186:227663:-1 gene:Ccrd_003280 transcript:KVH94656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFKFQIRGSEMTMHITLVFLFEIGKGSCLQQPDIAGTSIHSYSKIFDTTGWDHRNPTDALALNSKSRMGSRQDNPTVRNEVAGDFLSLLKEWENLCKEFCLLGSKHAEDTSIKSEESNQLRPRPVLTATLPLSLGPLVLVQHRQHSNNKDIEAQQQQTH >KVH94659 pep supercontig:CcrdV1:scaffold_312:165153:165590:-1 gene:Ccrd_003285 transcript:KVH94659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEPNQVVVSESSDEAIDTVQERNTTQTTGRSYECTFCKRGFTNAQALGGHMNIHRKHKAKLKESSFSPPPNAAATATTTTAISPFSISTQGKNKPLSLFGGGSSDSTENATKDDPPSPALELDLELRLGHVESPGNKITATRKFF >KVH94644 pep supercontig:CcrdV1:scaffold_312:245727:248250:-1 gene:Ccrd_003278 transcript:KVH94644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNKSHLVLLIIVTLWTTTPMVVVGGRMTNQYGGYNPSPPSQYLQPPPTFQSPPSQGHQTPPPPSQGHQTPPPPSQGHQPPPSSKSPPPSQGHQRPSSAHNPPPSPQNPPLSQGYQPPPSYGSPPPSQGHQPPPSSQSPPPSQGYQPPPSSQSPPTSYGSPPPSQGHQPPPTSKNPPPSPQNPPPYEDHQPPPSSQSPPPSYGSPPPSQSHQPPPSHSPPPPSQGYQPPPVSQSPPPSQSHQPPPSSQSPPPSYGSPPPSQGHQPPPTYQSPPPSQGHQPPPSSQSPPSSYGSPPPSQGHQQPPSPQNPPSQGYQPPPSSRSPPPSQGYQPPSTSQSPPPSYGSPPPSQGHQPPPTSQSPPPSSQNPPPSQGHQPPPSSHNPPPSYGSPPPSQGHQPPPSSQSPPPSYGSHQPPPSSHNPPPSYGSPPPSQGHQPPPSSLSPPPSPQNPPPSQGYQPPPSSQSPPPSQGYQPPPSSQSPPPSYGSPPSQSFQPPPTSQSPPSSYTSPPPSHTHQPPPTSQHRTPVHHHHQPPSHGVTPPISSHLPQPSPSSGNSPPSQGQSPPLYGYASPPLPTSHYSPPPYRKIIRVSNLHH >KVH94645 pep supercontig:CcrdV1:scaffold_312:105833:108721:-1 gene:Ccrd_003290 transcript:KVH94645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGEEVRITDYDVGGDDDDRVLEWEAGLPTLDDLMPLSQSLVPPELASAFSVMPEPRRSMIDVNRASQNTLTNLRGQSMKQPLNKFNGFKSFGEDKGDEMVMEGEETADLTGDGSDSRKLRRVDSGGAGAVGGAGEEADSALRADDSSTRTSKRPRLVWTPQLHKRFVDVVAHLGVKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFASTPVPQSLHESSGGGNGNSHTPVPIPMPYPPQMVHMPYPPPQMVPHPAGAGGAAYHHGFESHSHPYNMMMQQRDWSANKFASVSPYQHRMTPNDK >KVH94653 pep supercontig:CcrdV1:scaffold_312:183325:185401:1 gene:Ccrd_003283 transcript:KVH94653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYAPLGVLENYNFVMGVIACDKVIAYTSTLALKPSVYVGGRILMERFWHLKRYNLKLHHRAYSHFFLRSITTTLTLSELRRANANFVSSIDASLHALSQFSDVASSLSTGFFMLPDGRFPFRHILATPHAVSFDTTSQSPSLAIIKHSSSIVLSVIVTSGSQLT >KVH94660 pep supercontig:CcrdV1:scaffold_312:173098:177964:1 gene:Ccrd_003284 transcript:KVH94660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MCDAPPSLLILRNLPISSSPNRSTCSTKPTIRSNFPRVYASVNQNHSNRWSLHGKTALVTGGTRGIGRAIVEELAGHGAIVHTCARNESELNSCLKGWMDEGFEITGSVCDVSSQRDREKLMDDINNVGTNIRKPMVELTTEEFSTLMSTNFESVFHICQLAYPFLKASRAGSVVFTSSVSAFVSLKSMTLQGATKGTAKQRVCRRGPSLYTTWATWESE >KVH94652 pep supercontig:CcrdV1:scaffold_312:5454:14033:-1 gene:Ccrd_003297 transcript:KVH94652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRVEKWKSASRNRFSKNGALWVPLRMPRTNMSGLICLINCKTESLGLLSTTVSSWIFRSRLIELTTVNSFLSIFRSSILISSHFIAIPLYLNGTLNLLQYFARRLPVSSTRSAGRNPGCGRSMPRTIEVRDRIAADLPRTVLADPNLLPRSLPVSHLAVLLRKDFSFCYYSSYDNHRYRLKKPKWKPPGRRGMWKEDNQLAFSHLARLFNRQTGWSGGQEDSKLELREKIDLVGTLQMVVEYNAEETVYTLGKFIDGVLRLTYSRVKWTTIESEDDDHGNHEEETTGAKLDANKKTLTWWDLIWFGMGAVVGAGIFVLTGLARRFEVTGPSIVEKILE >KVH94649 pep supercontig:CcrdV1:scaffold_312:25835:34368:-1 gene:Ccrd_003294 transcript:KVH94649 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MMFSRLGRTISRSSRSRNVLSGANGGRSQILTGSSVYQSRYIGQADDNLGFLKRYLGSLAANNEKNGSVLSSKVYISDINFALANPKFRRFFSSEAPKKKNYENFYPKEKKETPKGNNQKSESKEESSTEDDGSFFPKQFQFQIPIPLLVIGLLLFSSLSFGPREQKQISFQEFKNKLLEPGLVDHIVISNKSVAKVYVRNSPRNQSSDGSVEGTSIDSPAKLNTSQYKYYFNIGSVESFEEKLEEAQEALGIDSHDYVPVTYASEMVWYQEIMRFAPTLLLLGSLWYMSRRMQGGLGVGGGGGKGARGIFNIGKAHINKVDKNAKNKVFFNDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGREQIFQIYLKRIKLDNEPSYFSQRLAALTPGFAGADIANVCNEAALIAARGEQAKVTMEHFEGAIDRIIGGLEKKNKVISKVERRTVAYHEAGHAVVGWFLEHAEPLLKVTIVPRGTAALGFAQYVIIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGMEMTKPYSSKTGAIIDTEVREWVNKAYERTVALVEEHKEKLSQIAELLLQKEVLHQDDLLKVLGERPFKASEMTNYDRFKMGFEEEDAKSGQAEDGGAGAAEDNGAPPPLEPEVVPA >KVH94638 pep supercontig:CcrdV1:scaffold_312:266473:268036:1 gene:Ccrd_003276 transcript:KVH94638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVRLVSNHHDDNIGVCVVSQLTQPPLDIVEGLPFGYIIYEKGPNRTSIVCTGYRSVPLLSGCVPNLSLYGLSIYLVLVANSTPMVDLDSRQNSFLVNLDRILDFPTPESPINTILNK >KVH94646 pep supercontig:CcrdV1:scaffold_312:99910:106194:1 gene:Ccrd_003291 transcript:KVH94646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGLQSTFLAAFLLLACIACLYPHMAMAKHAATGITRNYKFDIRVRNVTRLCKTKSIITVNRKFPGPRIIAREGDRVGRHGIRQLRSGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLWYHAHVSWIRTTLYGPIIILPRHNTSYPFVKPYKEVPIMFGEWWKADTEAVINQALQTGAGPNNSDAYTINGLPGPLYNCSSPNVDYLDQYAEIFRLKVKPGKTYLLRLINAALNDELFFKITNHTFTVVDADSNYSEIKNHCSSTLVYDGPSEGRQSSQQFVTPKQSKLEVDANTD >KVH94643 pep supercontig:CcrdV1:scaffold_312:276223:276584:-1 gene:Ccrd_003274 transcript:KVH94643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MAMPPTMNVSNGTVGMLHRWPGTDMGMYVLALVFVFFLAFLVEWLAHCSSVMMKSNGLASGLAQTLYLDMH >KVH94657 pep supercontig:CcrdV1:scaffold_312:133229:138933:-1 gene:Ccrd_003287 transcript:KVH94657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIA, alpha/beta subunit MESPSTSTVYIRIIEDVINKVREKCVRNGRLNGDILNEFRAEWETRLMNLGAIVGPIDRSATKLTAPRDPEDSLQGLNVPYGGPQAYETSTADSLYPRQPPSPSLNPGPSLDGNIAYEETDRRAAQAHEPMTQDFLDLSFGKRKRDDLPSQHRPVRYIPQQDGAGDIIDDKFEAGQGSNPLFDTIIDELELSPKIPQLDGQAPTSASVNPDDEDEPLNENDDDDDDLPQAEADELDRRNLILTMYDRVTRSKRKWRCLMRNGIIHIDHKETLFSQALGEFQF >KVH94654 pep supercontig:CcrdV1:scaffold_312:184801:186619:-1 gene:Ccrd_003282 transcript:KVH94654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MAEICCGVVSENEASPTCESNSQAARRKRMELRRVKFVAGVPTLETENGVKQARLSIYPASFSRECNEIAFQNCSASELKPKPEDDEVDVAKSSSTAVVATIFRPLGSTVFVGAEPLPKFGVASVCGRRRDMEDAVAIHPSFLARDDNQTSNLHYFGVYDGHGCSHVATRCKDRLHLLVKEELDKKMESMEWTKTMEQSFIRMDKEVIDWNQGAVGGNCRCELQAPESDAVGSTAVVAIMTPDKIIVANCGDSRAVLCRNGKAVPLSNDHKPDRPDELNRIQAAGGRVIYWEGARVLGVLAMSRAIGDNYLKPYVSCEPEVTITERTMEDECLIIASDGLWDVVSNDTACGVARMCLKGKRPSGSMKNPVESEEATSENWDKACSDASMLLTKLALARRSSDNVSVVVIDLRKK >KVH94648 pep supercontig:CcrdV1:scaffold_312:39265:43789:-1 gene:Ccrd_003293 transcript:KVH94648 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSFFPPTPPYLPLLPLVSFLFLLTILIVYSLIRRRCSLPENEKRRLPPGSMGWPYIGETINLYTQNPNTFFSERQNRHGKMFKSHILGCPCVMISSPEVAKIILVTKSDLFKPTYPTSKEMMIGPEALFFHQGQYHSHLKKLIQSSFLPSAIKPLISNIEAIVGEVEGLKELYGCIEKGYNSMPLNVVGTPFNKAMKARKLLNERLRKMIQKRRENGDHGGGLLGVLLGLKGNEQLNDSQIADNIIGVIFAAHDTTASVLTWLLKYLHDHPHLLDAVKIYVDEDEFNYSIYMEQEDIKCRRLETNRGITWDDTRHMPLSHRVLQETLRAASILSFTFREAVEDVEIEGYLIPRGWKVLPLFRTIHYCSDFFPDPDKFDPSRFELELIHFLKLFGSIDVDMSGDDAGRTSCKHVHAIRERSSLVPGQRACEGGDAHPPPPPHHLLQASLLEPSSWWDVVGEDDGIQYGPFPVPKQGLPIKLHRIDET >KVH94647 pep supercontig:CcrdV1:scaffold_312:78875:80999:-1 gene:Ccrd_003292 transcript:KVH94647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGLQSTFLAVFLLLTCIACSYPHLAMAKHAGTGSTRHYKFDIRVRNVTRLCKTKSIITVNGKFPGPRIIAREGDRVVIKVVNHVSNNISIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLWYHAHVSWIRTTLYGPIIILPRRNTSYPFVKPYKEVPIMFGEWWKADTEAVINQALQTGAGPNNSDAYTINGLPGPLYNCSSPNETFRLKVKPGKTYLLRLINAALNDELFFKITNHTFTVVDADXXYVKPFETDTIYITPGQTSNVLLKTKNLNHNAKFLMAARPYSTAAAGTFDNTTVAGVLEYHSNTIMSSSNTSIKGLALPPLPAINATSYVANWTSKFHSLGNSQFPVNVPQTVQNRYLFTVGLGSDPCPKNQTCQGPNGTKFSASVNNVSFTXPTTALLQARYFGKSNGIFTTDFPTSPLNQFNYTGTPPNNTMVSHGTKVVVLPYNTTVELVMQGTSILGAENHPLHLHGFNFYVVGQGTGNFNSATDPANFNLIDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHIEIHLSWGLKLAWAVMDGKLPSQKLPPPPSDLPKC >KVH90286 pep supercontig:CcrdV1:scaffold_3123:12578:16232:1 gene:Ccrd_007707 transcript:KVH90286 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-5 cytosine methyltransferase MEEEGGLPAKQPWRVLEFYSGIGGMRYSVMKAGLQTEMVEAFDINDLANDVYEHNFGHRPFQGNIQTLTAADLDRYCANVWLLSPPCQPYTRQGLQKQSADARASSFLRILEIIPQLMLPPVMLFVENVVGFETSDTHQKMVQILEESQFEMQEFILSPLQFGVPYSRPRYFCLAKRKPSSFCNPEFNRQLLHVPELLVGCNESISISENGQLTSTSDKMLQTCLPIERFLEFGNSMNQVENGTSFPPDTCSDESSLKQYFVPSNLIERWGSAMGILDIKFGIDIVYPDSKRCCCFTKSYYRYVKGTGSLLTTVMPKTRDKTSLEELCLRYFTPREVSNLHSFPKEFEFPEHVTLRQRYALPEKCTEFGVRIVSHMYEIINTHGI >KVH90289 pep supercontig:CcrdV1:scaffold_3123:38349:45362:1 gene:Ccrd_007711 transcript:KVH90289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQKHSSDQTNMRTPLPPTFITPFLARSRSRSILEHSLSFSSISDGNPLLEQEIPTKPNSKSISFENPNHPQSKNPKTEKPISQDPILSQSDVTEVLLSHRNDPDFVLGHFKWATRKGIVPAKMTGDPFLVLVHILMCSEKHHGTAKKLISKCVTEFSDTGPGELVSQFVVTAEKFQFDYDSNSRVVNYFLGSLARSSRLEDAVVCFRKMVEKNIVPCVPFVHVLLNALVRNNMITEARVMFNDIVRMGIPYDCAMIRVMIRGCVKEGKFEEAAQYFCDAKVNGVELDAITYSTAIYAVCKKPDAISARALLNEMKERGWVPSEGTYTNVIGACVKQRFMIEALKLKDDMVSCGVRMNLVIATSLMKGYCVNGDLRSALDLFEKSVEDGLCPNKITYSVLIEGCVNNGDTEKGFELYTRMKNEGIKPNVYNINSLIAGFSEAGLIEEAKKLFDEAIEIGVANVFTYNNLISWFCKVDKVNEACDVFDKMARYMLEKAVEKGFTPTCMTYNSIIDGFVKESNLDSALAVYTEMHEAGICPNVVTYTSLIHGFCKLKNMDLALKMRNEMKSKGLELDVTAYGALIDGFCKSRDMRSAREFFDEIYQVGLSPNSAVYNSMISGFRDLDNIKEVLAFQKRMVNEGIICDLPMYTTLIDGLLKAGKIVSASDLYAEMLAKNIVPDVVMYSVLVNGLCNKGQLENARNILEEMEKKSVVPNVVIYNSLIGGYFREGNLQQAFKLHDEMLDRGLVPDDRTYDILVTGKVKGDESMIEASCPSIGTFIPSLKCKATSFADEFVYLAAFEESEISKAPRTQVLLPIALGEVHLLAHTQLLPNQRDGQLQGRWYWTMENRIKLNCQYMSRKGYF >KVH90288 pep supercontig:CcrdV1:scaffold_3123:24685:28742:-1 gene:Ccrd_007709 transcript:KVH90288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MDAVGERGGWVWVQTEQSYTAGNIYGEPQKTPRIGGQYQAEILSLMTENKRLQLIGFVPGSRNARRNVLEFGRTFVVMSKGTHHATIVLLHGISEKGSRSKWICPSAPTRQVTLFGGYPCTAWFNVESMSEDACDDLEGLDASATHVANLLSNEPDDVKLGIAGFSIGAAVALYSATCRAVGQYGNGNRYPINLSATVALSGWLPTSRNLRNRVGASQEAARRTASLPTLLCHGQVDDVVDCKIGEKSAQTMYSAGFQNLTFRTYNR >KVH90290 pep supercontig:CcrdV1:scaffold_3123:32753:36399:1 gene:Ccrd_007710 transcript:KVH90290 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-5 cytosine methyltransferase MEEEGLPAKQPWRVLEFYSGIGGMRYSVMKAGLQTEMVEAFDINDLANDVYEHNFGHRPFQGNIQTLTAADLDRYRANVWLLSPPCQPYTRQGLQKQSADARASSFLRILEIIPQLMLPPVMLFVENVVGFETSDTHQKMVQILEESQFEMQEFILSPLQFGVPYSRPRYFCLAKRKPSSFCNPEFNGQLLHVPELLFGCNESISISENGQLTSTSDKMXQTCLPIERFLEFGNSMNQVENGTSFPPNTFSDENSLKQYFVPSNLIERWGSAMGILDIKLFISSILIQSAAVASQRPKTRDKTSLEELCLRYFTPREVSNLHSFPKEFEFPEHVTLRQRYALLGNSLSAAVVAPLLHYLFSEP >KVH90291 pep supercontig:CcrdV1:scaffold_3123:57023:61204:1 gene:Ccrd_007713 transcript:KVH90291 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MELLFMLVASFTLFFFLFLLHGFDLHRRRRLPPGPVGFPIIGNLLDIGSKPHESLAKLSQKHGPLMTIRLGSITTVVASTPEAAREILQLNDEACSGRVVPDAVTTLQNYDMAVLWISTNEEWRSIRKAINTYLTHQHKLDTVSDLRQKVVDEVLEFLRESGRKKEAVDIGKLAFAVALNQMSNTCLSQSVASYDSDDIGGFKTAVKTVMEVDGKFNIADIFPVLKPLDPQNIRRKAKAAYGWFXEVTEGFISERLKHRELKLPRLGDMLDSLLDYRTETSSNTTEWAMTELLLNPHMFSRLRQEVQTIVGEDGNIQESKILNLPYLQAVIKETMRLHLXVPFLVPHRTETDVKLGEYIVPKNTQILVNAWAIARDPRYWENPLTFMPERFLENKIDYKGQHFEFIPFGSGRRMCXGMPLAHRVVSLMVASFVYHFDWKLPYAREEMDMNCIFGVSLIRATSLVAXPISAK >KVH90287 pep supercontig:CcrdV1:scaffold_3123:20119:20632:1 gene:Ccrd_007708 transcript:KVH90287 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRC domain-containing protein MDGNQNSFFCSYCDCFAARFYCDKHCSCQGCYNIPDYEATVNMTREQIELRNPLAFTPKIHYLEYGDRFVGEHIKGCNCRKSMCQSKYCECYRAKVGCSGGCRCEGCRN >KVH90292 pep supercontig:CcrdV1:scaffold_3123:42983:44752:-1 gene:Ccrd_007712 transcript:KVH90292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MSFSPPSPPLLNLTQPFAFPPNLLPDDASPEWMNKADNAWQLVAATLVGLQSVPGLIILYGGAVKKKWAVNSAFMALYAFAMVLVCWVCWGYRLSFGDELIPIWGKPNAAVEQKYLLEQAFLGMFPNATMVFFQFVFAAITLILIAGAVLGRMNFYAWMLFVPLWLTFSYTIGAFTIWSTKGWLSKMGIIDYSGAGAGLLWMGWTGFNGGDPYVASADASLAVLNTHVCAATSLLTWLILDVIFFKKPSVIGAVQGMITGLVCITPAAGVVQGYAAIAMGICSGSIPWFTMMVVHRKFEILQKVDDTMAVLHTHAIAGILGGILTGLFSEPHLCKLFYGSTSKYMGLFHGLHLGTSESIHWGFRQMGIQLLGILFVVVLNIIMTSLVCLFVQIIVPLRMSDEELEVGDEAVHGEEAYAIWGEGDRLENQRYSSYYNDIESPSKAGVSSIEMT >KVH93331 pep supercontig:CcrdV1:scaffold_3125:29431:32780:-1 gene:Ccrd_004617 transcript:KVH93331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMCVKPLERCLGRRSGDVLLWHNDLKPHASGDFSIAVVQANSNLEDQSQVYTSPSATYVGVYDGHGGPEASRFVNTNLFPFIHKYATEQGGISADVIKKAFNATEEKFFQFVKHQMPIRPQIASVGSCCLVGAISNDELHVANLGDSRVVLGQKVSDGGKPRVVAERLSRDHNVSYEEVRKEVEAQHPGDSPVVMYCRGVWRIKGIIQVLQVSRSIGDFYLKKPEFHRDPRFLQYGNHVPLKKPVLTAEPSIISRKLRSQDLFLIFASDGLWEHLSDEAAVDIVYKNPRAGIAKRLVGAAIREATKKRELRYKDIMKIERGIRRHFHDDISVIVIYLDRRTSKHSNLGFTTAPIDIFSHNEDKVVEDPLLLK >KVH93332 pep supercontig:CcrdV1:scaffold_3125:24371:25811:1 gene:Ccrd_004616 transcript:KVH93332 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSWWWAGAIGAAKKKLDDDDAPPKYQSVALIVGVTGIVGNSLAEILPLSDTPGGPWKVYGLARRPRPQWNADHPIEYIQCDISDPDETLAKLSNVQDVTHLFYVTWANRSTESENCEINGKMFKNVLDAVIPNSPNLQHVSLQTGRKHYLGPFELYGEVAHDPPFHEDLPRLDAPNFYYTLEDILFKSVEQKEGLTWSIHRPGTIFGFSPYSMMNIVGALCVYATICKHEGVPFKFPGTKEAWDHYSDVSDADLIAEQHIWAAVEPYAKNEAFNISNGDVFKWKHFWKVLAEQFEVENGGFDEGGEKMRMVEMMKDKGEVWDEIVREKGLLGTKLEEVAQWWFVDMILGSECMLDTMNKSKEHGFLGFRNSKSSLISWIDKMKGYKIVA >KVH93333 pep supercontig:CcrdV1:scaffold_3125:49696:50860:-1 gene:Ccrd_004619 transcript:KVH93333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGGSGLAMTMKDLIVRRKSAITPKAIVVGRSMSKLSGKIDDQREVQSFMHKVHGQESEYEEHEQNCWIPHPRTGIYYPKGYEWVMEDVPDGAASFPHNYWFRNTDIEV >KVH93330 pep supercontig:CcrdV1:scaffold_3125:4398:6010:-1 gene:Ccrd_004614 transcript:KVH93330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKAVFLTALICIVFASAAAQSPSSSPTATPAPPTTTTPPPSTPPPVTAPPPASTPPPVSSPPPVATPPPVSSPPPVASPPPATPPPVASPPPATPPPVASPPAATPPPVASPPPATPPPVASPPPAAVPVSSPAMTPSAAPSPLTLSPPAPPTGAPSPALTTDVSPAPSATDVSGVEKMESVVGSLFFGWILVYWLL >KVH93334 pep supercontig:CcrdV1:scaffold_3125:44177:47236:-1 gene:Ccrd_004618 transcript:KVH93334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTSASKLFHNRRIQFGRRSSTVDDIESDFSPADGNSYRRTTSNRRHHQLNSRKDRQDPGGCNPPTRRIHRNRLSEHEVSQLEPPSGSIIINSDDFRSIRRWGSTANDRLPGVVLLARERLVERLRGVHVSENRQNSSRSSSSSIHQEDSRLIETDNNLVEVMAPPGLTENAINQLSITVFRNVEEEKERSSRECSICLEGFEEGDELINLACMHGFHSCCLFPWIEVCGACPNCRKTLLVTIKTN >KVH93335 pep supercontig:CcrdV1:scaffold_3125:55463:58994:1 gene:Ccrd_004620 transcript:KVH93335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGCLDLGCIEKQISQSSGDSDINPNDYVPSTSKPPKNKVLKEANQPSPHALNRLTGLIKKPRRKTSPLSWFPRKKGDSYLKRKLKLLQVEVDGMNSTLDETLGDTNPHFSKVLREKIAVREAAHKVIEARKAALVEASWCRILRAARIESKEAESLLVKAEKSAAEAFESAKEIGVIMYEISDCPRKHCKIETSAVSGGESTTATHTVTTTFDTAFEVDKQVAAAVKAAFVRLSSSASIGKEEFKELLKRISQNPDLDESYQDISESESDAGPDFESGSQRNEEMESSKEKQRENLVDMMLVRLRCLQEEELNSLATIVATCGLNAALAEAENTFPASGVNGGRDLFKEGRTVNSNVDGQASKKQQAHEELPGLDKFLVKRLTRLEREIQDAKSARTKEAGDKLHSEEKKVSSGSEKEIEDAKKNIVDKDNKISKEAQIVSDLGSMLTKHTSKLEKEIEESKRKCGNEYEPKWKRSERLKQETKEIPSLGEVLVKRVSRLEREVQEAKEKENVDMNRQRGVKEKETNGVESLDKVLVKHVSRLEKEKMSMEEEVKVKRRDRKSELEQSEGSLDQIMVKHKSRLEREKVGGGVQESNNQMKNPLVAKREAREKELQEAWGGMSFGNSIRPHLSRLQRDQASFI >KVI01690 pep supercontig:CcrdV1:scaffold_3126:15335:26038:-1 gene:Ccrd_020031 transcript:KVI01690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MYKCLRCSNSQQNEIAARIALLLTTKACATAAFTKDCSLKIRTLGNEIALARRTLGNQLCYLGGEICSRYYAASALKPTVATSNGSFNAAGMRHSAGSVAVGGGLAGCCEESIFIRLKAEGSKVDAVQTGLLMLPKNQKQQELSLSRYYISNHLTEKSDIYSFGVILLELISVNIQNGDIQRIIDPALRDEYDVQSMWKMAEKALMCVQPHPNMRPSMSEVIKEIQDAISIERGVNGGTSDEILRSSFHSSLNMGLLDAGIDPCRFTTPSHSPWLDSLFLVVCNDCRYVS >KVH87518 pep supercontig:CcrdV1:scaffold_3127:20506:33727:-1 gene:Ccrd_025222 transcript:KVH87518 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAVE complex protein Rav1 C-terminal KFYYFCTTTTTSSLSLCCPIHRHSTCFIIIWMRSGNWKRARSSLGHLFEHLTSNNADWKGNRTAKSGHKIPQIGLLDYVEGLISTNGNANVGTSSSFRLDTFNYSDWDSNASSNSLNSTSTRSGWSGFTDSLEKLQDISAITNIEKIHILAVIDLLDEICNSNASSPYGGLDEPGRRFWVAVRFQQLYSVRRLGRLPSMGELVIDSALIGWAFHSDCQESLFESLLNNESSWHEMRNMGVGFWYTNKSQLRVKMERLAKQQYLKSKDPKACTLLYVALNRLQVLAGLFKISKDEKDKPLVAFLSRNFQEEKNKAAALKNAYVLMGRHQLELAVAFFMLGGDTAAAINICAKTLGDEQLALVICRLLEGYGGPLEHHLISKVLLPCAYENGDYWKSSFLEWILGNYSKAFLSMLGDQVNSPCNNSTLSSNHAAFINPSIGQYCLMLATKNQMKNAIGEQNTAILGRWAILMSSTALSRCGLPLEALECLSSSLSMFSASSQGSASDNSETKILNERLKPSASISSSSWMSGDVALLMLSHAKYSFALQYITNLLIEHPSWPENIIASSQTFGYSHPEIQQYNTLLSTFESKLSTGLVYFEQKYSLIRRHLINMISASLYNYGLVFIGCHILRHDTSEDISQGEPFALNGNPSYPSLPMLLLKAIEDFTYLFSRYNVVCRMTCYDTEAHFIQKTEVVGSSWCWLSSCRFYMKDLLQLVSNIRTGLKSLYGSYAENVLQTPLFLLDLCEYYIYFASAWFQKNSKSLILVLKPILLTYSNESVSFETNMKTLNKILPEIAEGLSHNDMIMDFESSIQTTRLTVNDQSGHVLPEKDKWQIIRDSLWGLLSRFLKYQIDSLPENLEDNSSVCPPCKLSSYMSSFTLPDHDNDSIKAQLQLVSVVLSKLLKVESDYALSYCAKQLASFLLQKGRDHSNESTIFWLEDLCRSTTVTNYRGLDLGIDVSKIMNNEDGLSAFEVLWSMLDDQKMLLGDFAVEYSKWSQLIKQKHSKGWSDMFTSITVEYEASENGNQEGRLDTALSANSIVYPIDVRSPDILSVNFGSKDSAIKMRHMPFQKPKEVHKINGELLEALCINSIDQQQAAIASNKKGIIFFNRKDGQTCFDKSKYIWTDVDWPIDGWAGSESIPVPTCVSPGIGLGSRKGTHLGLGGATIGVGLARPGRESTAAVSTSLGWEIQEDFEEFIDPPATVDNIRTRAFSSHPSRPFFLVGSSNTHVYLWEFGKHAATATYGVLPAANVPPPYALASISALQFDHCGQRFATAALDGTVCTWQLEVGGRSNVRPTESSLCFNNYTSDVTYVSSSGSIVAAVGYSSSNVNVVIWDTLAPPATSRASIMCHEGGARSLSVFANDIGSGSVSPLIVTGGKGGDVGVHDFRYIATGRPKRHKNSDNSEQKFNASSTAGLQNKHGDQNRNGMLWYIPKAHSGSVTRISAIPNTSFFLTGSKDGDVKLWDAKRAKLVYHWPKLHDKHTFLQPSSRGFGGVVRAHMNETYGKK >KVH87517 pep supercontig:CcrdV1:scaffold_3127:44429:48135:-1 gene:Ccrd_025223 transcript:KVH87517 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MQFSLPTAVSPFFPMRRRTTDAGNATATEVSTESDTHFDFPFQLIKSDTIPPAPTRSESAVDWLPDYLGHAWIAYAASSLLVISHFPSPSENNETPVDPSFRQVIELSSDGTGVASAVAWSPAVMASGEVAASLDDCIGVFSHNLEGSFCWSQTAILVQGTKVEAIRWTGSGDGLISVGIDVVLWRKKGWSWEIAWKFRPEFPQALVSTTSSIEGPFATAPLNKPQNGDSTAVTKDVSRCVLIGHGKSKYIKAELRHHVPVSMIQWRPLVANQWKEKPKHLLKLVLLTCCADGTVRLWSEIDDGRARKTGKGQNDQRPTGSSFRVAATIEINQAVNGILGSDVFVRWASELDGIVNIGKEASQYFASEEYHHGKAGKCDWLICFGPQMLVTFWAVHCIDDFSPLRFPRVTLWKRRELLGLKKGASFLLHKAVISRNGFFGPPDVCSVVHLLPCNHLVWLQFYEHTSSDANKISDMEDSLSSIANRTLNIDGHTGKILQIVLHPYGCYPKLAVSLDTDGVILFWSLSNSIMGLSALNSGCKLSGKFVVQDSQCKYTSLRWAPAIIHDHRILLMGHARGIDCFVVKVCENEDLILCHKLCTIPFTGTCDEDGPTSIWSIPLTSTCSNFLYNNFIVVAIRKGNFQALSWKITIHPSGMPGGKCECNIDFDKNAEIGTCFFESYISEQRYCIFVDRWSSVFPDPHNHDSITSFAVVGLYNFSTAKQEQNVGSGMFSNYVAYHMVTGCFDGSLKLWRSMAAESLNLDQEWKLVGMIASHEGPITRVSPTDCGRKIATISTVHESNKHSTLHIWESVYLGGNGSFLLEDTIYLDGVVVALNWLTIGNGQLLLGVCLQNELQVYSMRRRGGQSFIDSQKSLDRNMWFCLAKTHTRTAIRDFIWGPKATGVIVHDDYFCLFSQLLLPSNQRHMSKCHQNICEDNSFDNDGRSDRCMLPEVFSDSDAHAFETLTLKDSTEPCLPRYPIKMNMENCIFSSISGSDRHKYHTSTEIGFCSILEIAAHVGGTLPTYHPEALLVNIYSGTPKRLIIPADDILFIFSADRPFVRL >KVI08013 pep supercontig:CcrdV1:scaffold_3128:31827:49826:1 gene:Ccrd_013621 transcript:KVI08013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSNMTSESEDRIMSRDCINSPTVDEASTERNMIGNGTLKKGPWTSAEDAILVDYVNKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTPEEERRIIELHAKMGNKWARMAVETMSIWNILVLLEFWPSNKTVKSSIIGEDLCWYVPFGQVEPVDGLASSTRRNCLTELQLPGRTDNEIKNFWNTRTKRRQRAGLPIYPPDICLQTLNDNTQSQNMVTFTNGDTSHAEILPTNNFQIPAVEFKNLELSHELYPPSFLDIPGGNFLDIPGGSLLPQGIGSSCNNSFLFPMAHAPKRIRRSDTYPVCDGGDLNDFFPNVNLYQDDKLAQSFEISSSYNQNLNGDIPSSSSCFFSGSHAFLNGNTSSSEPISWATKLELPSLQYSTQMGSCGAPSSPLPSLESVDTLIQSTLIGQTKSDSPSPRNSGLLEAVLYESQTMKMSKSNNNSNASVVPRDITDSSSQNLQGDDDWEAYGDPMSPLGHSAASVFSEYTPHLSGSSLDEHQSVEIISVKHEAEEAIAKQSDREDEKANHLNYSRPDFLLGSNWFGSTSNADRNKDQSVVSDPIGALLGDDFCSDSKQTFLGHESGKWDDISEICRAAENR >KVH91992 pep supercontig:CcrdV1:scaffold_3129:60634:61579:-1 gene:Ccrd_005979 transcript:KVH91992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MASSHILFVGLMMITCSIALASDSSPLQDFCVADSKGPVLVNGFACKDAKLVQASDFFFSGLHLVGNTSNAVGSRVTPVTVGQLPGLNTLGISMARIDFAPWGINPPHTHPRATEILTVIQGSIQVGFVTSNPENRLINKVLQKGDVFVFPEGLVHFQRNVGHGNAIAIVGLSSQNPGVITVANAVFGAKPDIPNDLLAKAFQVEVNVVDQIQSKF >KVH95980 pep supercontig:CcrdV1:scaffold_313:191844:202284:1 gene:Ccrd_001936 transcript:KVH95980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKQATKLREQVARQQQAVFKQFGGGGGYGGSDNVVTDEAELMLHQKLERLYISTRAAKHFQRDIVRGVEGYIVTGTKLSEDSRKYGVENTCTSGNTLSRAAVNFSRARVQMEKERGNLLKSFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQIESERAYHQKVIQILDHLEGEMVSERQRIEAAPTPTEETPPPPSYEEVNNASTAPMQNGSNDGVDYFLGEAMFSYHAESDVELNLSTGDYVVIRKVSNNGWAEGECKGKAGWFPVEYIERRERVLASKVTEVF >KVH95993 pep supercontig:CcrdV1:scaffold_313:293526:295270:1 gene:Ccrd_001946 transcript:KVH95993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U1-1 [Source:Projected from Arabidopsis thaliana (AT3G21870) UniProtKB/Swiss-Prot;Acc:Q9LJ45] MLAIANDDQNRLPEQTEPDPATPRVLSILSVVLERLVNRNELLGLSGGRLGKNLEAFHGVRPPAISIPKYLDRIYKYTNCSPACFVVGYVYIDRLVHKHPGSLVVSLNVHRLLVTSIMHYNNAFYARVGGVTNAELNRLEMEFLFMLDFQLTVTSRVFESYCLHLEREMVIWNITIPKIEGGIHTNTIEDIAEISVEDMESFSSPLSNGGLT >KVH95971 pep supercontig:CcrdV1:scaffold_313:50672:61315:1 gene:Ccrd_001928 transcript:KVH95971 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase, C-terminal MIMSEIMYLRMEELGLGLVSLLRAAWIAATLPILLACLPLPGLGWFRRALLGFAKRGKILPSNSTKLTVPQRFFSHFYVVAIFWTTILLVAVWFYALKMLPSLIEHDLFSTITSHLTGGSHAFSWHKSLSTREHVYNVWLSVFLLLLMEVQVLRRFYETIYVFNYSSSARMHIFGYLAGLFFYTAAPLSLCCTFAPEVFDFVKNLVAEFIVKGKDRMSKPEFNIWMFGSLREKTETLEEYVIPYGDWFEYVSSPHYSAEIVIYGGLLVASGGADLSLWLLFTFVVANLVFAATETQRWYHHKFDDYPRNRYAIFPFVY >KVH95984 pep supercontig:CcrdV1:scaffold_313:103816:110343:-1 gene:Ccrd_001932 transcript:KVH95984 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 [Source:Projected from Arabidopsis thaliana (AT4G34700) UniProtKB/Swiss-Prot;Acc:Q945M1] MSGAASYLARRAAQKERVRILYRRALKDTLTWAVHRHLFYPDADALRERFDANKNVASQQALLAATQETLAKVVEALVTLNNNKDVASANFSALVPAAAETHISHAHFLSEDIDTIDRMIADGEGQYNKWRHPDPYIVPWAPGGSKFTRNPTPPSGIEIVYDYGREDQS >KVH95987 pep supercontig:CcrdV1:scaffold_313:214098:228697:-1 gene:Ccrd_001939 transcript:KVH95987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MSMPNSSSPHHINTKFMDSKTLITPKFTPLLSYLSPSQCRYSWRFQSRRKSAYYPLFLRTRFLTHVNPIRGVSYEEGYRNDQSFAVVSSFGDGGEDEEEEIASKPEDLAENESIWDQLVEIVKFSGPAAGLWLCGPLMSLIDTAVIGQSSSVELAALGPGTVLCDYMSYGFMFLSVATSNMVATSLAKQDKSEVQHQISTLLFVGLTSGLLMLFFTRFRGEWALSAFAGAKNAHMISAANTYVQVLWILETLSITVILLILGSFRVAFYSLLVYFATSMGTQTVAAHQARMLLKSLVIIGVSCGLILGAAGTIVPWLFPQFFSPDPQVIKEMHKVLLPYFIALSVTTSTHSLEGTLLAGRDLRFISLSMSTIFAFGALLLMSRFTVALLRLVSPNGILYSEDMTRYELGNVSTTT >KVH95975 pep supercontig:CcrdV1:scaffold_313:39777:41534:1 gene:Ccrd_001926 transcript:KVH95975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyl transferase MAATVTRLSPMTKPADEPTSSLCKHPSFSPISKTLSFPPPQSSQTRKNLLKTSSKNPISDVISSIESNPDDQNSLFDYNDDDDDKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVVAAPDGVLKSVTGVGLVSEVFNESKLDQLPGNNAIGHVRYSTAGQSMLKNVQPFVAGYRFGRVGVAHNGNVVNYQTLRHELEENGSIFGTSSDTEVVLHLIAISKQRPFFLRIIEACEKLKGAYSMVFITEDKLVAVRDPLGFRPLVMGRRSNGAIVFASETCALDLIEATYEREVNPGEVLVIDEDGIQSLCLMPHSEPKSCIFEHIYFSLPNSIVFGMSVYESRRKFGEILAMEAPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLDGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMNVEEIRDYIGSDSLAFLGIDSLKKMLDGNSGNFCYACFSGKYPVQPSGKVKRVGDFVDDGLNGSVESIDGGWLHGTKDRNEKDVDINNEQDHKVRV >KVH95972 pep supercontig:CcrdV1:scaffold_313:64447:67560:1 gene:Ccrd_001929 transcript:KVH95972 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit MSSGSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSTAD >KVH95981 pep supercontig:CcrdV1:scaffold_313:163900:165579:-1 gene:Ccrd_001935 transcript:KVH95981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MECIEARALKSSFLSEIVGMKSIQQASFEDIWCVAGINNVVNVSSDEFSVDDLLDLSDKDFSNSGDGSFEESSEEDFVSVSSQDNDGNSMNSGNFSSTGDLVSLTADELAVPIDDMESLEWLSQIVDDSVSEVPLLCPPTNLKEEAGEYAVNRFEPVVKLATRSFTVLGLPYPVPRKCRSERKRKAGRVWSAGSRSLTESSSSSSSSHDSSITSPMLFLNPLQLIEFFKKPPSAKKQKKNQAFETGPGSSESLSQRRCTHCQVQKTPQWRTGPLGPKTLCNACGVRFKSGRLFPEYRPACSPTFSGDVHSNSHRKVLEMRKKKETEVEPGLIMTVQNASS >KVH95988 pep supercontig:CcrdV1:scaffold_313:209995:212876:-1 gene:Ccrd_001938 transcript:KVH95988 gene_biotype:protein_coding transcript_biotype:protein_coding description:K homology domain, prokaryotic type MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGRILITLFFNVIIFLSRRLNFMNLVHCQLIHEEKLEVNSLVCICEKGRRIRELTSLVQKRFKFPENSVELYAERVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMENGAKGCEVIVSGKLRAQRAKSMKFKDGYMVSSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPTGKLGPKTPLPDNVIIHMPKDDVVALPPKEVEEYRPPLVVADEPLPMPIPVV >KVH95994 pep supercontig:CcrdV1:scaffold_313:282856:287816:1 gene:Ccrd_001944 transcript:KVH95994 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MRGFCSILVVLAILGSVHGDLQMGFYASSCPKAEQIVQDYVNEHIPNAPSLAAALIRMHFHDCFVRGCDGSVLLNFTSSSGNQTERVAVPNQTVRGFGFIDTLKSLVEAECPGVVSCADIIALAARDSIVITGGPSWKVPTGRRDGLISNASEALSQIPAPFDNITILIQKFANKSAHTIGIAHCPSFSNRLYNFTGVGDRDPALDSEYADNLQSRKCRTPNDTTTRVEMDPGSRKTFDLSYYSLLLKRRGLFESDSELTRNSNTLTYINQLLQGSIENFFSEFATSMEKMGAVEAKTGTSGEIRRNCAVVNS >KVH95986 pep supercontig:CcrdV1:scaffold_313:65111:67268:-1 gene:Ccrd_001930 transcript:KVH95986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTMRPYRARASAKMRIRIIPTKSFGCCAFALFNKYEKVSHHQLCRWPFRQKDQQDHKPNQKKGVHSHQKDNKTWPSG >KVH95976 pep supercontig:CcrdV1:scaffold_313:42210:46155:-1 gene:Ccrd_001927 transcript:KVH95976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MPPAHPQIRSLSPPSQIPVMQSPETEGSHSRSLSQTSFFPVDSLPQLSLSPTSALTSDQVVTDVSMEDHDLLPPSLGRENSARISENLPPRKTHRRSNSDIPFGFSTILQSSPPLIPLRGSKGLDGSASLRNNPGSKPVQYVKWESSWEKTSGESNAKGIEERKCEGEVVDDLFSAHTVFNNLDGLNSSRAENREDLDSRASGTKAHVGDGSDNEATSSVNGGVVNNMQRSGISSIFDKREGIKRSAGGDIAPTMRHYRSVSMDSIMGKMNFADELLKLPPSPEGCINKFPPSDSINANSDTFNLEFGNGMILANRQSAARSKERKMRYIVELENKVQTLQTETTSLSTQLMLLQRDSTSLTSQNNELKFRLQAMEQQAQLRDALNEALTADVQRLKIMTTELSGDAAKFSQLSLNPQMFQLHQQQQNGCATTTHE >KVH95973 pep supercontig:CcrdV1:scaffold_313:11980:17128:1 gene:Ccrd_001924 transcript:KVH95973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 100 protein MNAIKFCNMKFGCRLSIQSRSVLGFIKSPILNRNLHESPPRFLGFPSIHRTHKPFSVTNPNWGRSRIFPATCCSSSKNSSSKPSYLVNYSTTLETRVNEKNFERIYVQGGGDLDEARPLVVETIDKDETIVREGEESRLNEIQNEKLVSNDEARETAVPVGRTESEAEKEAWRLLKEAVVTYCGSPVGTVAANDPGDKMPLNYDQVFIRDFVPSALAFLLKGEGEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDETKVEEVLDPDFGESAIGRVAPVDSAYGKITGDYAMQERVDVQTGIKLILNLCLSDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQMLALDEGSKNLVRTINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTEATNKFNIYPEQIPHWLMDWIPEEGGYMIGNLQPAHMDFRFFTLGNIWSIVSSLSTPKQNNLILNLIESKWDDLVGQMPVKICYPALELEDWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACLKMGRPELARKAVDIAEKRLYTDHWPEYYDTRNGKFIGKQSRLYQTWTIAGFLTSKMLLENPDKAALLFWEEDYDLLETCVCALSKSSRKKCSRGAAKSQILV >KVH95989 pep supercontig:CcrdV1:scaffold_313:255070:255966:-1 gene:Ccrd_001942 transcript:KVH95989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNQTSSQIAEDPTSTKTAQCTVMCMYQAHIGGYWRTVTALWTKNMMNHSLNIFVDSVDSDQRQNCKIDLKPWHFWGKKSYKTFEVDGLQIDVYWDLRSARFSGSPEPCSDFYVALVCDEEVVLLIGDLKKKVYKRTKSRPGEANAILFFKKEHVFGKKSFTTRAKFDKHRKDYEIVVESSTLGPKDPEMWISIDGIVLIHVRNLQWKFRGNQTVLVNKQPIEVLWDVHAWLFLSPGSSHGLFIFKPGQPSPENDKEENSQDGSEGSDNSSVSKYYSTYGSPKTSQCCLFLYAWKIE >KVH95977 pep supercontig:CcrdV1:scaffold_313:609:893:-1 gene:Ccrd_001922 transcript:KVH95977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGIHKFPKSMYAKLGMVRYHSSSTVHDVPKGHCSVYVGESSMKRFVIPLAYLKHPSFQTLLNLAEEEFGYAHSMGGLTFPCKEETFIELIDSIH >KVH95982 pep supercontig:CcrdV1:scaffold_313:131149:153097:1 gene:Ccrd_001934 transcript:KVH95982 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF-like protein MEVEEENTINHLHESTTTTVSNERLLENNCSNDNELGKEVSESNTEEEKGKESSVIVPKLDDPNPFVAVAVGETAEDKEVTVQCGNSESGRCWNSAVVESTTALKDEKDGEEGDVKDEITTGDVAAVEHGESELTSSDKVDDGECQAVVIVRDNGLNVVVENVKNSVEDTNTDGSLVIEDVKDGGEGELETVMVEEDVEVLKDGGGVDVPSLPVTGIAEREEVMTDVKNDVVVDTQKENVEIVIGEEEITEDQDDFQEGMDAKVLKEKGIVADEEDALGVGEGIEEEGKMADEEKAVSVTENDEEEPMVADEEKSLDTELETDEEATMADEEKVPDAEVMETELLESSPKSTAGKRKRGGRNSKTTSKPKAGRRKMTEEDVCFICFDGGDLVLCDRRNCPKAYHPSCVNRDEAFFQTKGQWNCGWHLCSICEKKAEYMCYTCTFSLCKACIKTNIIFCVREKEKKGLCEACMKTVMLIEKNSEENQGNVDFDDKSSWEYLFKDYWTDMKAKLDLTLSELVEAKNAWKGSELSGKQEPPAAHSDVKDDGGSGSENPSENLETRKNRRRKAKKRKSATKEEEPSTGAAAVASEGTSVTRNTEWASKELLEFVMHMGDGNISIRSQFDVQALLLEYIKTNNLRDSRRKSQIICDARLERLFGKPRVGHFEMLKLLESHFLIKEDHQIDDIQGSVVDTEVSHVDDDEANETVAKGGKDRKRKMRKKGDREPQSNREDYAAIDIHNISLIYLRRKLVEDLLDDTETFHSKVIGTFVRIRISGANLKQDIYRLVQVTESISIDTISNQDFTEDECKRLRQSIKCGLITRLTVGDILDKAMELQAARVNDWKTGGGRPTTTKESFDGGNGGAGGVAERQGMEVLRASRLPWLETEVLRLSHLRDRASDLGRKKEYPLECVEKLQLLKTPEERARKLEEFPIIHVDPTMDPNCGSEDDTDEEEKKQDMYKSSVGSRFSRRREYPSKESWSGTGTSRSSGKNYEFSRSLSNNNFSNKTEDAATSARFEPHNESLRDQGRDTSVQQPSILKKPSSAYDEVASTKDITGTASKVNETEKMWHYKDPSGKIQGPFSMAQLRKWSNNKYFPADLRIWMKSEKEDDGILLTDALEGRFTLADHSPRLQSSHVNWATTSVEIPKPSPDERPGFANLPSPTPNQSITGLGPQVGPHIGPSSYHSGKEELQSPTPNSSQLATSFVAGGNKELNAMGSFGNSSTFVVAAAPQTEQLHSLQSSQSGHVVAPPANNMPSQMVQPAAGQNLPVGPYGWSGGPPQPSLSSNLAVQQQLAYNQWGNAPNMVQNSAGNFLPQAPAPVNLQQAPPPPPPPQSNVSWPTLPANPNMGVVGPTPGNQVMNWGPTAQNPPLTGNVNPPWAGNMHPGWVPPPPAQGVAPNQGWVPGPGPGPTQVPIVSGNGNPNWVGPPGNQGAPLNPGEVAVNQRPSNPNTGWTGGPGNQGNSNQGWGSASPRNRAMWDDRSGQKRNRGGGGYGGNRRQWDKGGSFNNRGRSRDSA >KVH95985 pep supercontig:CcrdV1:scaffold_313:100523:100792:1 gene:Ccrd_001931 transcript:KVH95985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHEPEIMFETLKHRIEEYVHDEDNMGGATVAGGIAQSFHNMPYLTIGSSCCLTAANGNNGYYYCSDEDFTAGADEVASEDDQWSYVCA >KVH95978 pep supercontig:CcrdV1:scaffold_313:2025:2333:1 gene:Ccrd_001923 transcript:KVH95978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MFSCRFLRILHAKHVFERILFTSEVSNIRKGNFAIYVGERKRRRFVVPISYLEHPLFQKLLYEAEKEFGFVHPMGRIVIPCRVETFINLVGILNCSGEARRQ >KVH95991 pep supercontig:CcrdV1:scaffold_313:234774:241853:-1 gene:Ccrd_001940 transcript:KVH95991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase, FGGY, C-terminal MAASIHHSIWSLRPSSSSSQHSSCSSKKSILRTGFCNNTLDNSNSRSGMQDWRNNGVYNPSRSISRTGFSNNIADKSKSRRGMRSGRNSFEADTTIDVEIGEKKLYLGMDFGTSGARKSGDSVDWVQSWKTTLFSLLESIPITLRSLITSISIDGTSATTLILDGKTGEALARPLLYNESCPDALPIVKSIAPPNHTVCSGSSTLCKLISWWISSHSNKESAVLMHQADWLLWLLHGKIGVSDYNNALKVFPRIVLRAPEPQIVTSLGSTLAIKLLSTTRIEDARFGVYSHRLDDKWLVGGASNTGGAVLRQLFSDEQLEKLSKQINPMEASSLDYYPLPVEGERLNPRPESDVAYLHGILESIARIEAKGYTLLKDLGATEVEEVFTAGGGSKNEKWTKIRERVLGLPVSRALQTEAAYGAALLALKAARQ >KVH95983 pep supercontig:CcrdV1:scaffold_313:114611:118607:1 gene:Ccrd_001933 transcript:KVH95983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTRLSSDSTFKPLIPVAAFEESASFNCFTSPSSSTGMSKSQTLKISPDGGCFNEDNISGISSSGCSSIFVSVVSEVPSSMTTGKFSGSVASFESWRSFAEGPRIPRISSKASFKESILVSDISGSSIRQESAQAKSSAENPAKSPMAEMFNQLPLPLWDLDPYEWAEKGGGKRPWRTAIISEQLRLNQETEENEAYTIGYPNQHLKSFNMNSLKIQTTILDIA >KVH95974 pep supercontig:CcrdV1:scaffold_313:17760:20783:-1 gene:Ccrd_001925 transcript:KVH95974 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MANRKLMVEVCNAKNLMPKDGQGTASAYVIVDFDGQRRRTKTISRDLNPQWDEKIEFLVHDVASMATDILELNVYNDNKKTGKRSTFLGKVKVAGSTFPKCGSEALVYYPLEKRSVFSQIKGEIGIKICYEDEEPPPAPAAEEPKVEAAETPPAATEEKPPEDKKPDEETKGEEKPAEGGEKKEEKPPEDQKKEDTAAAAPATPSADAAAAAVAPPPPPVEIIAVAQSPKPKQSEENLQLARKPSNLDSDVASLSKHHRGNTTFDLVDRMPFLYVRVLKAKRETKDSDDSTAYYAKLVIGTYSINTKAQGTTKDWDQVFAFDKEGLNSTSLEVSVFDRKKKVLEDGKEEVSESCLGTVTFDLPEVPKRVPPDSPLAPQWYTLEGSPENTPATDVMLAVWLGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGLGAEPRVKNPELFVKAQLGPQLFKTNRTMVGSSSTSSNPTWNEDLVFVAAEPFEPFLVITVEDASNGQTVGHARVHVGSIDKRTDDRSTLGSRWFNLVGDENRPYAGRIHVGVCLEGGYHVLDEAAHVTSDVRPTAKQLSKPPIGLLEVGIRGATNLLPVKTKDGTRGTTDSYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYRAGEGDNKPSKDVRLGKLRVRLSTLDTNRVYVGSYSLIVLLPGGAKKMGEIEIAVRFSCSSWISLIQAYSTPMLPRMHYVRPLGPMQQDMLRHNAMKIVTARLARSEPALGQEVVQFMLDSDTHTWSMRRSKSNWFRIVGCLSKAATLARWLDSIRTWVHPPTTVLVHVLLLAIVLCPHLILPTICLYAFLIVALRYRYRMRIPVTMDPRLSQVDSVGPDELDEEFDGFPSSRSPDHIRVRYDRLRALAGRAQTLLGDVAAQGERLEALCNWRDPRATGIFVVVCLLASLVFYVVPFKAFLLGWGFFYMRHPRFRGDMPSLPINFFRRLPPLSDQIL >KVH95992 pep supercontig:CcrdV1:scaffold_313:245336:254380:1 gene:Ccrd_001941 transcript:KVH95992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MPSSKRKSRASLKDADLASPTSEKRPKSEEEEKGEILPNADAVEAPAVNNGDSSVRSSGRRSENNKEEAELNDDEESRLVGDPIPDDEARQRWPHRYLGKNDAICYLYGTSLTEPQKELIQARRHFTEALVDGCIPFKLGDDGYVQAGEGEESYICRIVELFEGVDGAPYFYAQWFYRAKDTACSNLIDDKRVFLSEIKDDNPLDCLLQKLKIVRVPLDVDVASKRAMLLDGNYYYDMLYLVPYSTYQNLPLVGSHSSNVLKLKTFIGTQQLYLYTDNEADGNESESTISSESDSNAAVTETSKIQEDDKSEMRMLDLYSGCGAMSTGLCLGANMADVNLVTRWAVDLNRYACESLKLNHPETEVRNESAEDFLWLLKEWHKLCQSFSLVGGGDSQLSGDPMIVKEDETEDAVDDDDDNDGLDEEVFEVDKILSICYGDPKGLKKPGLYLKIRWKNYGPAEDTWEPIDGLGDCQEKIKQFVVNGFKSKILPLPGDVDVICGGPPCQGISGFNRYRNKDKPLEDEKNKQLVVYMDIVEYLKPRFALMENVVDIVKFAKGFLGRYALGRLVSMNYQVRIGLMTAGSYGLPQFRMRMFMWGARPSEKLPQYPLPTHNVVARGVSPVEFESNAVVYDEVSGIELEKELFLGDAISDLPPVANDEERDEIPYQEMPKTEFQKFIRLKKEDMPGFSMVGVESSDHPLYDHRPYKLNDDDYLRVCQIPKRKGANFRDLKGVQVRDDNHVEWDPDVERVYLPSGKPLVPDYAMTFVDGRSSKPFGRLWWDEIVPTVVTRAEPHNQAILHPLQDRVLTIRENARLQGFPDYYKLVGPIKERYTQVGNAVAVPVARALGYSLAMSCKGTASEGPVFRLPERFPNIEAVPVAVAVEENQ >KVH95995 pep supercontig:CcrdV1:scaffold_313:288577:289851:-1 gene:Ccrd_001945 transcript:KVH95995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSYTLQTDLVYAYTKCSQENNIQTLTKFFNCSILTHPVPFNSLLSGFVKNGNPLVALKTLSLMNVNGVPIDTYALCSSLTACCLIPSVGFGKQIHTHVVKSGWGSSVFIGSALVDFYSKSVAVVDAAKVFDEIPLKNTVCANALLSAYSDAKMWANGLELFRIMPGFNLCYDNWTFSVALSICAGLYAIELGSQVHAKVIRTICDAGTDVFLLSSLIDLYGKCGLVTKARQVFSMAAFADVVLWTSMLGVYGRNGHHKDVIRLFKKMLTKKVRPDGVAFVSVISACGHTGQVDLGTEYFESMARDFGLNPSPEHYGCLVDLFCRAGELENAWKVVDKMPNKASAGISVWGALLSACCDQGHVDLGKFAARRALELDPMNTGVYVLLSNMYAKCGLWDEIGDLRESMRDKGLKKDIGRSWIGVTV >KVH95979 pep supercontig:CcrdV1:scaffold_313:205391:208460:1 gene:Ccrd_001937 transcript:KVH95979 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MILNCNVPLDIKFQGQESSSIYTTVENGNPNEQKAKADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFKFPENSVELYAERVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMENGAKGCEVIVSGKLRAQRAKSMKFKDGYMVSSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPTGKLGPKTPLPDNVIIHMPKDDVVALPPKEVEEYRPPLVVGDEPLPMPIPVV >KVH95990 pep supercontig:CcrdV1:scaffold_313:260932:268890:-1 gene:Ccrd_001943 transcript:KVH95990 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein MERFKQLQQEKGKGDKVEASRMDPTLSVPGKPNPVISSSGSVSRASDSRKMNPAPSSGKLTFSLKQKSKLVAPAVKLSEDEDEDEKNAGKASGGGPIKRQKLDQPDAYDRSLKQLIHNTSVNIGGKGCSVTDLESVAACYRNNLDSLVHALDLIAPPCPSDPTIKKVAEKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESSADYKYYEYRLIEEEKALVQSVDSQLPHTGAAKPATTSGSQRSDQQSSNYQIPASALYEATDSAQSDFGESTASSASDPIAMMEFYMKKAAQEEKKRQPKQGHHMGDYIPQEELEKFMASCNDVAAQKAAKEAAERARIQADNVGHRLLSKMGWKEGEGLGSSRSGIADPITEGNVKKDHLGVGASNPGEVTPDDDIYEQYKKRMMLGYRHRPNPLVRKSPEGILLKGKASTAFQEVVPKHKGSGIL >KVH96973 pep supercontig:CcrdV1:scaffold_3130:43224:45195:1 gene:Ccrd_000933 transcript:KVH96973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MECNRSSSSSRVDRRTIEKNRRIHMKALYSQLHSLVPHDSSREEISLPDQLQEAANYIKKLQIKLEKMNEEKDNLMGIKKLESIRDEKEIKSSNLSVGQQRTPQIDVREIGSSLEAILITGVDFKFLFTETIRVIHEEGFNVVNASFSILNDTVFHTIHAQIGESYDQENGVSRIIDRLNCLVYDI >KVI02824 pep supercontig:CcrdV1:scaffold_3132:49232:49480:-1 gene:Ccrd_018885 transcript:KVI02824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDKAERDRIFNKFDANGDGKITSAELGESLKTLGSVSAEEVQTMMDELDTDGDGYISYEEFTDFYNANRGLMKDVAKIF >KVI02825 pep supercontig:CcrdV1:scaffold_3132:38524:41601:1 gene:Ccrd_018884 transcript:KVI02825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGVSQINSPLPLSSSLPIISTTSFEDDDEIYRYNEEPDLKFNNFIHKMVWDLGFACFFPAISRKKDERKKKMGNNLENNKAWLLAESGGCVAELANAEPHSVHSSFRFSLCSQVELESMSVNSSSATVLMVNLDNGLIEPRSQELKWRRIESLERSISPVAHTLLRFSYAEILSATRNFSKGRVLGRGALSYVFKGRVGILRTTVAIKRLDKEDKEAPKAFCRELMIASSLHNPFIVPLVGFCIDPEEGLFLVYKYVSGGSLERKRPFAETRNGRNGGSKLPWSVRYKVATGVAEAVRYLHNGTERCVVHRDIKPSNILLSSRKSPKVRKKFDSVIPLFRFLLVYVCNDSHGSYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRRPIESKRGAGEENLVLWQGSIEKLLDPRIKFTERNLDQISRMIQAADACINNEESKRPNIDLIISILNGAEPNPPIRPKPNANLSSNNYVTDCYPPIWQTKNEMKSHLALAMLGVSELEDDHDHLYGR >KVI02826 pep supercontig:CcrdV1:scaffold_3132:20859:24966:-1 gene:Ccrd_018883 transcript:KVI02826 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor SYF2 MVTEGRGVHPDCRNSSNPYHECSEYCFKVIAEAMKLASKNEPAGLQASTRSFQQTSAATSVQTEHPDDEQSDHDDHPSGDDNNASEDQPVVDFTKLTGKQKKLFEIRLKMNEARKANQTAMVAEKKRMEAPQESRGISKQKWIEERKKKIGKLLDANGLDMTKAYMLDTQQMAETKYKKWEKEPAPAVFNQKTLYDAYKKRTKNIDVDLEEYNKMKEADPEFYREASSLQYGKAPKISEDKIEKMVKELKDRDEKRNTFSRRRRFHEEKDIDSINDRNEHFNKKIERAFGRYTLEIKNNLERGTALPD >KVH87513 pep supercontig:CcrdV1:scaffold_3134:8846:9157:-1 gene:Ccrd_025225 transcript:KVH87513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSVNGILVPVYYKPLLPMSSSNKGSNYIYCFSKGACCLLPSLWQTACYRCTPDVATYLMLGNYLMKCLEGIALPGTPSSKAMSNHE >KVH87516 pep supercontig:CcrdV1:scaffold_3134:21483:29145:1 gene:Ccrd_025226 transcript:KVH87516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide dehydrogenase MQSSFSLSLSSASPITGRSDGGATSTAPDLFFSSTTTPSQLRFCGLRREAFSGCKSSNNALLLKQLRPMKKISASSSRNGTPSKGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKSFGLQVAAAGYDRQQVADHAQNLATKIRNNLTNSMKSLGVDILTGFGTVVGPQKVKYGKVGESETLITAKDIIIATGSVPFVPKGVEVDGKTVITSDHALKLETVPEWIVIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFASKITPARDGKPVTIELIDAKTKELKDTLEVDAALIATGRAPFTQGLGLENVNVETQRGFIPVDERMRVIDSKGKLVPHLYCIGDANGKMMLAHAASAQGISVVEQVSGKDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEISIAKTSFKANTKALAENEGEGIAKLIYRPDNGEILGVHIFGMHAADLIHEASNAMALGTRIQDIKYAVHAHPTLSEVIDELFKSAKVKSDVPSAVAEPVAV >KVH87514 pep supercontig:CcrdV1:scaffold_3134:6823:8817:-1 gene:Ccrd_025224 transcript:KVH87514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPHKNSFTWNMIISGFVKGSKLNVARKFFDEMPTKNGVAWNLMIHGYAENGHSLEALRLFKDLKSGCYGPCHVDMYVLATVFGACTDLLALVNASWEMFHLQLWHVIILCSSLGDSILSYGLLALQLGKTIHACIVVSGVKFDPVLGSSILFLLPLWTSIANVGLIDDGRKLFDDMIQSDEASWNSMLMGYVTNGYGIEALH >KVH87515 pep supercontig:CcrdV1:scaffold_3134:39599:39865:1 gene:Ccrd_025227 transcript:KVH87515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGTLPPGSTTATTYHSVQGGQNQSRMDIHSKEDEGKIQIDKIQDKVEDCAGRGGPIFGDAVSATIEAEEEGRRDKPDPGVTGTG >KVH87511 pep supercontig:CcrdV1:scaffold_3134:58802:64388:1 gene:Ccrd_025229 transcript:KVH87511 gene_biotype:protein_coding transcript_biotype:protein_coding description:disulfide isomerase MSRSSICFTLATLTLFFLSLAVADVVVLTEDSFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKSKSVLIGKVDCDEHKGVCSKYGVSGYPTIQWFPKGSLEPKKYEGARTAIALIEYVNLEGDLELIVFNIAIVSFSGTNVKIAAVPSSVVVLNSDNFDDIVLDDKKDVLVEFYAPWCGHCKSLAPTYESVAAAFKNEEDVVIANLDADNHKDLAEKYGVSGYPTLKFFPKNNKGGEDYEVGRDLDSFVSFINEKCGTSRDGKGQLTSNAGLIAELESLVKEFVSAGNDEKKALYAKIEEEVGKLTGSSARYGKIYVKAAKSCLSKGGDYAKNEIQRLERILAKSISPSKADEFTLKKNILSAFAA >KVH87512 pep supercontig:CcrdV1:scaffold_3134:40248:48233:-1 gene:Ccrd_025228 transcript:KVH87512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MAQPNNAAGVDNTFRRKFDREEYLQRAREREEKELEGRKSKSKGPPVQRKPLKHRDYEVDLDSRLGKTQVVTPIAPLSQQAGYFCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQQRFENLKKRKETGTFTEQDLDERILKQQQEEEERKRQRRERKKEKKAKKVVEEEMEVDPDVAAMMGFGGFGSSK >KVH87508 pep supercontig:CcrdV1:scaffold_3136:44401:69377:-1 gene:Ccrd_025232 transcript:KVH87508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup ANFTSNSAYQRNLDNALSSLTSDTSITYGFYNRSVGENPNKANVMALCRGDVEPDDCRRCINDXRRRLRESCPNQKXAXDXWSBGNCMVRYSNETILGNPDTNXGLLXWNVNNVSDVDXXNQALNQLLNQLRNNASSGGSSRKYASGTSTNGPWLTTIYGVMQCTPDLSXGQCENCLNSAMQQIPNCCXGKRGGXVVYPSCDLRYEDYRFFNATLALAPPPSPPPASPPPPTELSPPLPPPSDKSSNXSIIIIIAVVATISLVILVATFVCIFMRRKRNIEGRLSQNLVSEDVDVDEITTAESLQYXFAVIRAATDDFSENNKLGQGGFGSVYKGKLPNGXEIAVKRLSNNSGQGEQEFKNEVLLLARLQHRNLVRLLGFSLEGSERLLMYEXVQNASLDQFIFDPVKRASLDWEIRYKIIHGVAKGLLYLHEDSRLKIIHRDMKASNVLLDVNMIAKIADFGMARLVTLDETQVNTSRIVGTYGYMAPEYAMHGQFSVKSDVYSFGVLVLEIVTGCKNHSFQNGTMVEDLLSHAWKSWRDGTISSLIDPTLKDGSNSVRDMIRCIHIGLLCVQEDVTDRPTMASVILMLGSFSLTLAVPSEPAFFIHTSTKTEKPLFEEYTSSTDIIYPTCDESANFTINGAYQRNLDNALLSLTSDTSITYGFYNRSVGETPDRIHVIALCRGDVDRDDCRKCINRSITRLREICPNQKGAIGWYDTCMLRYSNVTIWGNPGSMDTRFQANPQNISNVDQFNQGLYPLLDQLILEASSGGSLRKYASNYTSGRGGTRIFGIMQCTPDLSEIQCYNCLDRTIQLIPICCDGRRGLRVLYPSCNLRYENYSFFNDTVVLTPPPSPQSPPPSSLQSPPLSPSHLVYEDVDINEISTAESLQYSFGIIREATNDFSESNKLGQGGFGLVYKGKLQNGKEIAVKRLSKDSRQGEQEFKNEVLLLARLQHRNLVRLLGFSIEESERLLMYEFVQNGSLDQFIFNPVKRATLGWEKRYKIIQGVARGLLYLHEDSRLKIIHRDMKASNVLLDAQMNPKIADFGMARLFTCEETQGSTSRIVGTYGYMAPEYVMHGQFSVKSDVFSFGVLVLEIVMGQKNSSFQNEMVTEDLLSHVSAWKSWKAETTSSLIDPTLIMKDGPISLRDMVRCIHIGLLCVQEDATERPTMASVVLMLSSLSITLTLPSEPAFFMHTISQKSDEIPFSNCSGANFTINGDYQKNRDHAVSSVITSDPNNTYGFYNRSVGNTPDQVNVIALCRGDVKQDDCQRCINNVGTTLRTNCPYQKRAIFAYESCMLRYSDEIILDNTNHDIEWFLTNGQYAPYTDEFNERLETLWVQLRNETLSGGSLRKYASNQIDVSGSTKVYGLMQCTPDMSETECYDCLSDAINRKNGNSTFIVIVVVAAISLVMLIVVFSFVFIRRKRKLERLRSENLVYEDIGIDDMITVESLQASFGVIKAATDDFSENNKLGQGGFGLVYKEIAVKRLSKDSGQDELEFKNEVLLLARLQHQNLVRLLGFSLEGSERLLMYEFVQNASLDQFIFDFGMARLFTPEETQGNTSRIVGTYGYMAPEYLTLGKFSIKSDVFSFGVLVLEIVTGHKNHSLQNGMMTEDLLSHVWKCWRDGTATSLIDPTLNDGSNSLCDTIRCIHIAIMCSRRCYRETNNGFSRSHA >KVH87510 pep supercontig:CcrdV1:scaffold_3136:8474:32756:-1 gene:Ccrd_025231 transcript:KVH87510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLIETSKSISFLIVIITIFFAVSVSGEFRADCGADFNFTRNSAYEINRDEAFSSVITSDPNNTYGFYNRSVGEAPDQVNVIALCRGDIEREDCQRCINDYGARLREFCPNKKQGNIIYDTCMIRYSDQILLGSSNIIDGWDSGESAWNPEDSENATDVDQFTQALDRLWVQLRDEASSGGSLRKYASNVTDGPRSTKIYGLMQCTPDISATRCYNCLTNAINRTRSCCDGKRGARVLYLSCNIRYQDYPFFNATVSLAPPSSSPLPPSALPPSVDEDMDLDEIITAESLQRSFGDIRAATDDFSENNKLGQGGFGLVYKGKLRNGQEIAVKRLSKNSGQGELEFKNEVLLLARLQHRNLVRLLGFSLEGSERLLMYEFVQNASLDQFIFDPVKRATLDWETRYKIIQGVARGLLYLHEDSRLKIIHRDMKASNVLLDADMNAKIADFGMARLFTSEETQGNTSRIVGTYGYMAPEYVMHGQFSVKSDVFSFGVLVLEIVAGRKNHSFQNESMMEDLLSHAWKSWRNGTSTSLIDPTLNDGSNSLRDMIRCIHIGLLCVQEDVTARPTMASVVLMLSSVSLTLTVPSEPAFFMRTFINSDKHFYEEYTSSTNDSRSLTTKSRSSQRSIASSERSITDDVSKKDVYHRSGEIPFSSCNENANFTINSVYQTNLDEALSSVITHDPNNTYGFYNRSVGNTPNQVNVIALCRGDVEQDECHRCIRNCSSTLRALCPNQKGAIFTYERCMLRYSDETILGNRNSWEGWDLAHPQNASNMVQFNQRLDQLWEQLRSEASSGGSLRKYASNEVDGPRSSKIFGLMQCTPDLSLTECDICLSDAINRIQICCDGKRGARVVYPSCNIRYEDYRFFNATMILEQPPSAPLPPSSPLAPSGKRNSTTIIVVVVVATVSVLLLVVVFFCVFIRRKRKLQPENLGGLVFHEDADLDEIITAESLQRSFGDIRAATDDFSENNKLGEGGFGLVYKGKLRNGQEIAVKRLSKDSGQGELEFKNEVLLLARLQHRNLVRLLGFSLEGSERLLMYEFVQNASLDQFIFDFGMARLFKPEETQGNTNRIVGTYGYMAPEYLTHGKFSIKSDVFSFGVLVLEIVTGHKNHRLQNGMMTEDLISHVWKCWRDGTATSLIDPTLNDGSNSLCDTIRCIHIGLLCVQEDVTERPTMASVVLMLNSLSITLKVPSQPAFFMRTFMNYEKYFFEEYSSSKSDPYSLKNCIANVNFTRNSAYEINRDEAFSSVITSDPNNTYGFYNRSVGETPDKVYVLALCRGDIERDECQRCINDYGARLREFCPNQKRGNIIYDTCMIRYSNQILLGSSNIIDGWDSEDGWDAEDHENATDIDQFKQALDRLWAQLRDDASSGGSLRKYASNVTDGPRSTKIYGLMQCSPDISATTCYNCLSNAINLVVIVVATVGLLILMVVFFCVFIRAKGKLEGLQPDNSVHEDMTDLDEIITAESLQCSFRDIRAATNDFSENNKLGQGGFGSVYKGKLRNGQEIAVKRLSNNSGQRELEFKNEVLLLARLQHRNLVRLLGFSLEGSERLLMYEFVQNASLDQFIFDPLKRATLDWETRYKIIQGVARGLLYLHEDSRLKIVHRDMKASNVLLDAGMNAKIADFGMARLFTHEETQGNTSRIVGT >KVH87509 pep supercontig:CcrdV1:scaffold_3136:2472:5274:1 gene:Ccrd_025230 transcript:KVH87509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSMVIVKSIVFLIVIITNLFTLSVSQRSREIPFSSCNENANFTINGAYQTNLDEALSSVITHDPNNTYGFYNRSVGNTPNQVNVIALCRGDVEQDECQRCITNCSSTLRALCPNQKGAIFTYERCMLRYSDETILGNRNSWEGWDLAHPQNASNMVQFNQRLDQLWEQLRSEASSGGSLRKYASNEVDGPRSSKIFGLMQCTPDLSLTDCDNCLSDAINRIHICCDGKRGARVVYPSCNIRYEHYRFFNPTAILEQPPSSPLPPSSPLPPSGKRNSTTIMVVVVVATVSVQLLVVVFFCVFIRRKRKLQPENLGGTIPAHEDVGVDDIMTAESLQYSFGVIRGATNDFSENNKLGQGGFGFVYKGKLQDGQEIAGKRLSKDSGQGELEFKNEVLLLARLQHRNLVRLLGFSLEGSERLLMYEFVQNASLDRFIFDPVKRATLDWETRYKIIRGVARGLLYLHEDSRLKIIHRDMKAGNVLLDADMNAKIADFGMAWLFTPEETQGNTSRIVGTYGYMAPEYVMHGQFSVKSDVFSFGVLVLEIVTGQKNHSFQNGMMTEDLLSHAWKSWRDGTTTSLIDPTLSDGSNSLPDIIRCIHIGLLCVQEDVTERPTMASVVLMLSSLSLTLTVPLEPAFFVRTFMNSKNHFSEEYTSSTNDSRPLESKFGSSLRSIASSQRSIASTQRSVAHDVSMNDLYPQ >KVI08056 pep supercontig:CcrdV1:scaffold_3139:8189:10028:1 gene:Ccrd_013575 transcript:KVI08056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MADQSRPVTGYPAPPASNGYPTTAPTAYPYVAQPRPNQGSYFNVSGPYYSDPYATQQRATFIRRIFAIIIACVIIIGTIVFIMWLVLRPQVPQFRVDSLTLSNFNLSSNSLISGNWDARLTVRNPNSKIVLYYDHIEAAVFYKSESISETTVPPFVQGKKNETAVRATFASLSAYVEDRNGINDERARGTVYFNLRMVARVRFKAGAWWARRRILKIYCPSLPVGVSANSSAGSLDGGSKNCRVGL >KVI08057 pep supercontig:CcrdV1:scaffold_3139:19518:49425:-1 gene:Ccrd_013576 transcript:KVI08057 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease, alpha-helical arch, N-terminal MPNQQNNPPFDGPQGGFLTAGSASSLPLNYSNTNAYELKMGCCQFSNHLLNNFCRTLYCCRGSFSTNHWARTPHHLVSSSRIRFAKGYHKVSNSLRSELSGTAHAVSLKDLGTVEGEATQQESTSFDSSQKTENLVNIDSSNGRVMLIDGTSIIYRSYYKLLAKLHHGYLSNADGNGDWVLTISTALSLIIDVLEFTPSHVAVVFDHDVSVLRIPSFMLSARMGGRVLDGRGFAMVICLSRPDKNLWQKVCLFIPSVFSSQLHVMFALSWEYPRKQRGQWRSCQTFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAMRSVEAGFKLPHICPMLCGDERGLLETCPVAAIKATKAELPQLNAFHCPVAVIKATKAELPELNAFHLIGYISQRRTILTRFKQRITPEKTTSSFLSSSPMVVKVRVVSPDKDFFQILSPSLRLLRIAPRGFEMVSFGMEDFAKKYGAIEPSQFVDVMALVGDRSDNIPGVDGIGDVHAVQLISRFGTLENLLQHVDQVEEERIRKALIANKEQALLSKELALLRSDLPHYMVPYSISDLAFKKPEDNGEKFTNLLTAIGAYAEGFSLDSVIRRAFYLWKKLEKS >KVI03225 pep supercontig:CcrdV1:scaffold_314:201917:212187:1 gene:Ccrd_018484 transcript:KVI03225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDNNLVRDNVRLIYIDDSQRTNEEYEFTGNEITTSKYTVINFLPKNLFIQFHRVAYIYFLVIAALNQLPPLAVFGRTVSLFPLLFVLTVTAIKDGYEDWRRHRSDRNENNKESLVLQSGKFQLKRWKDIRVGEVVKICVDETIPCDMVLLRTSDPSGVAYIQTMNLDGESNLKTRYARQETSLMSFEGGLTSGVIRCEQPNRNIYEFTANMELNVHKVSLSQSNIILRGCQVKNTEWVVGVVVYAGQETKAMLNSAVSPSKRSRLEQAMNKETIWLSVFLFILCLVVAIGMCLWLMRHQMQIDTLPYYRKSYLIKGKFPGKPYKYYGIPMETFFAFLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYDSSTNSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRATVFGKHYRNSSVVDGTTKDTETAGLAFLLALLVRELTKPTPLSSKGRKLKSDIAVDAQLMGLLHKGLDGSEKISAHEFFLTLAACNTVIPIPSQTTSEGSMGTEIHEDLEAIDYQGESPDEEALVAAACAYGYILFERTSGHIAVDVNGEKLRLDVLGLHEFDSVRKRMSVVIRFPNNDVKVLVKGADTSMLSILREDRENDDLSLITQRHLNEYSSEGLRTLVLAARDLEGEELEEWQSMYEDASTSLADRSLKLRQTASLVESNLCLLGATGIEDKLQEGVPETIESLRQAGIKVWVLTGDKQETAISIALSCKLLTGDMHQIIINGTSESECKMLLSEAKAKYGVKCGGFRTQSFKLKKGAESDFVDVLAESKLSNLPTEDDGNPELTMGGPLALIIDGNSLLFDLATSCRVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRMVIYTSIPTIVVGIMDKDLSHKTLLQYPKLYEAGHRQEGYNSYLFWIMMADTIWQSLVLFCVPRLAFKESTIDIWSMGSLWTVAVVILVNIHLAMDIQRWVLYTHISIWGSVIMTYACVVVLDSIPDNIRIGKATYLLVLHFAYNCHSTTSSVYDQSSAQNISAFRYPDSQRSRDTKKKTLLLWVKVVLEIHQLACILAISIDSILQQQLLSSSHMVFMSKG >KVI03218 pep supercontig:CcrdV1:scaffold_314:77167:103274:1 gene:Ccrd_018479 transcript:KVI03218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MKIFEEVKIELKTCQSHRHTPKEEDEPDHHAQFVGGNVIANFSATWCDPCKSVAPYYVELSEKHPSLMFLTIDVDELTDFSKQWEVKATPTFFFLRDGQQIDKLVGANKHELEKKITNILEDSNTTHH >KVI03217 pep supercontig:CcrdV1:scaffold_314:11502:23847:-1 gene:Ccrd_018478 transcript:KVI03217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEKKKRQKKKKNKQGKVNESVSAGVGELTSENNNHVNATKPNHQNLNFENTDVQNKGLQQRVVDSDEQPINGAETIRIPVIKLDISGVVWRKADLERNVSQSQKERNLWHLKEAGYEGKLNQLVEEASTLRSTEKSAAETIASLNKDNARLQAQVIELEASRNDISHQNRQLKEHVFHLQSKIQDLESSVAAHLSSERTMHVTENEDMKSQLESAQALVEKLVSENEKLVEKVNNLNAKLDLKTETTQSYLPIKPEEDLIVRNSKAAASGIDESGTDPMPSSSAENLDDMGGSESDEVMESEVIRLKSKNVDSNLNPISSGEIVQIPLDEKDPTSTAASEVGLTEAPLIGAPFRLISFVARYVSGADLVQKNQEI >KVI03223 pep supercontig:CcrdV1:scaffold_314:179901:180875:1 gene:Ccrd_018482 transcript:KVI03223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAQSPVTGNHLLRPNTGRRPLQPIKSPANVPPPLVDHSHQKPNLKLGWIEDSNKENPNQNEKRNRSSICSVAAVPVQIEQFEVSLAEELSAIREKMERLRLDKEKTEKMLREREVMMEMKMKDLDHRGQIQKVLEMEVDRLYRLNELRSLCNRILPIRSLREKEQEKIKPDKSQASNQQLI >KVI03220 pep supercontig:CcrdV1:scaffold_314:148037:177154:-1 gene:Ccrd_018481 transcript:KVI03220 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC-kinase, C-terminal MAILNEPIQEFVGLLLLLVDFDSQLKEKIIQVGVKPLAQLSSSSPAPAIIDDQIFMNSKNSLASNVSCKSGNNSSSTYCTLANKYKTIFGPSSLFSTMVSSQLTALMENKTCKSSRNYLHIPLCPPDGTLDTVELDFSDTFGPLPAHPSRGSSEISTEIVYDDPVVVFSRSHSLVGPSPRVSHLLNLSNLTICETEESLELIDDFNDVIDEGVEEGSENGYGCDEKCEKVQSIGLEDFEVMKVVGQGAFGKVYQVRKRDTLEIFAMKVVRKDKIVEKNHAEYMKAERDILTKVDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHHGLFREDLARVYAAEIVSAVSHLHANGIMHRDLKPENILLDVDGHALLTDFGLAKEFDENARSNSLCGTVEYMSPEIILGKGHDKAADWWSVGILLFEMLTGQPPFHGGNRDKVQKKIVKDKLKLPAFLTSEAHALLKGLLQKDPSKRLGNGSMGSDEIKHHKWFKQINWKKLDAREIQPSFRPEVSGSQCIANFDKRWTDMPLLDSPASSPNGSANLFQGFTYVQPAASFLRRHTPLGGTA >KVI03226 pep supercontig:CcrdV1:scaffold_314:282379:285746:1 gene:Ccrd_018487 transcript:KVI03226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MWTKLIAAVLLLLLSSETILSANSLNVALNDDVLGLMVFKADIRDPYFKLSTWKEEDDSPCKWFGVTCDPYSNRVTELHLDGLSLSGHISKGLLRLQFLHTLSLSRNNFTGFISNPILTQIIKNSQVIDLSENGFFGSIPEPLFIQCGSIRTISLAKNKLTGQIPDSISSCLTLQNVDLSSNQLSGGLPKSIWLLTSLRSIDLSNNFLEGEIPKEKIETLFDLRVFNFSSNNFSGELPEKIGECLLLKSVDFSDNYLTGTMPLSLQKLSLCNVLNLRGNYFAGELPEWIGSLKSLEFLDLSVNNFSGSFPASFGDLESLKSLNLSLNQFTGNLPESLAKCLNLTVVDFSRNLLTGTIPVWAFSLRLKGVSLSGNQFIGTVEFGEETAAAFDTLEILDLSSNSFSGVIPLSIGNFSSLVFLNMSSNGLIGSVPPSLGGLKAANVVDLSHNWLNGSIPAEIGGAALLNELRLDGNFLTGSIPAQIENCSVLRTLILAQNNITGSIPVRIVNLVELESVDLSFNHLSGSLPKELTNLSNLVTFNVSHNDLEGELPLGGFFNTIPLSSVSDNPSLCGSIVNQSCPGAHPKPIVLNPNPSHSDKGSSSLNLSHKRIILSISAIIAIGAAVFIALGVIVVTILNLHVRNSVSRSAAVALTFSGGDDFSHTRSPSSDYGKLVMFTGDAEFVSGTHALLNKDCELGRGGFGVVYWTALRNGRSVAIKKLHVPGLIKSREDFDREVKKLGMIRHSNLVLLEGYYWTPSLQLLINEYVSSGSLYKHLHEGNSENTLSWRERFDVVLGTARGLAHLHRMNVIHYNMKSTNVLIDCSGEAKVGDFGLVTLLPSLDRHVLSSKIQSALGYVAPEFACQTVKITEKCDVYGFGILVLEVVTGKKPVEYMEDDVVVLCDMVRGVLDGGRAEECVDRKLKADFPIDECISVIKLGLVCASQVPSNRPDMEEVIKILELIRCPSEGHEELE >KVI03222 pep supercontig:CcrdV1:scaffold_314:200971:201886:1 gene:Ccrd_018483 transcript:KVI03222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCWVFFVFLFLLWDQHFERNVSWGGALDHHHGFKTLENPTAASGEPSSWVISSLRMCGVISCSFS >KVI03219 pep supercontig:CcrdV1:scaffold_314:271875:278790:1 gene:Ccrd_018486 transcript:KVI03219 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MRGGKLGFVICVWFWSLFLCGCCLGRFVVEKSSLKVISPENIKDTYECALGNFGVPLYGGTLTGLIVYSQMNQNACSSNFTHDFSFKNKTHGSLPVFLLADRGEKAWNAQNAGAAAILVADDRPEQLITMDLPQEGGARFDYIQNITIPSALITFSLEDAIKKALSNNDLVRVNLDWTEALPHPDERVEYEFWTNSNDECGPKCDTQIDFVKNFKGVAQILERKGYTRFTPHYITWYCPEEYISSTKCRSQCINYGRYCAPDPEQDFSKGYEGRDVVLQNLRHACLYKVANERVDIKKIDECVGDPESDAENAVLKTEQEAQDTFRGRVCECPIVEGVKFIGDGYKHCEASAALNCGVNNGGCWKSSKEDKTYSACIDGHTEGCRCPPGFIGDGVHSCEVIYFMNFTVSHSDIDECKENMICNCRECKCKNTWGSYECSCTANLLYFKEHDTCLSKNDNTSAGWGFGWGFVFGLAAIGVVGYTLYKYRVRRYMDSEIRAIMAQYMPLDGEGEVSVHGSLRDI >KVI03221 pep supercontig:CcrdV1:scaffold_314:144425:147743:1 gene:Ccrd_018480 transcript:KVI03221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MDIHKTIFLMAILFIYSHHTNSLLLGLYQDQDSDIMLEKTSNHFSEHRIDDMDEMEDDSWAMVQKSGNQFVVNDQPFYVNGFNTYWLMVLAADESTRGKVTEVFRQASAVGLTVCRTWAFNDGQWRALQKKPSVYDEDVFKALDFVVSEAKKFKIRLILSLINNWGGYGGKPQYVKWGNEAGLNLTNEDDFFSHPTLRSYYQSHTVLNRVNTVSNMTYKDDPTIFAWELMNEPRCPSDPSGDKLQDFMGLQHLTRFNIIQILMLNKWELISSETIKFLVLILLLISPSISDAHISFTKSWMQSHIDDAEQYLGMPVVFSEFGVSAKDQGYNISFRDTLISTVYNTVLDSVRKGGSGGGSLLWQVFPDGTEYMDDGYAIVLSKSPSTSNIISRHSTRLTLFNSLCKWKCHWGCKKKHGFQYHDEL >KVI03224 pep supercontig:CcrdV1:scaffold_314:243829:244882:1 gene:Ccrd_018485 transcript:KVI03224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease-associated domain, PA MNGFKMGFVICVWFLFMLGSCFCRFVVVNNSLEVISPKNLKATYEYAIDSSSFPRCEGPLSGDVVYPKVNQKACKSFIDDFSWTTKTSGGSNPAFLLADRGDCYFTLKALNAQNAGAVAILVADDRHESLFTMDFPEDEGAPVNYLENITIPLAFISQSFGISLKKRLYENERRYFIQICESITSYGQQLAMVIDEYGQRCDTQK >KVH87507 pep supercontig:CcrdV1:scaffold_3143:2476:5962:-1 gene:Ccrd_025233 transcript:KVH87507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRNFSVVYRLAQFAASQGNEVVERKRKIYASKYHFSICLLTQMENWIIWVSIKRR >KVI01610 pep supercontig:CcrdV1:scaffold_3144:34815:43848:-1 gene:Ccrd_020115 transcript:KVI01610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISGLREEHRQELENLTLTSQPFKTLKLFTVAIVEYIRRSLIYLLRHGGWLMLLVTVTVAGGVLLLSIDGPHGKHVEELLEYVRFGLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMQCGRVDIKTAIYDTIQLNRSPSWLKKDCSEFGPSLFSSPHGTQVPLTSILPQVQVEAILWGLGTALGELPPYFISRAGLSSVFFFTHTCICIYILTAAVALFTVLWSLDNPCVSSLHMLYKTNEYHSYKKVHLYAASLSGDRIDASEELDASSSQVKGVASNLNQMSRWFLSHAQYLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATLVGKAIVKTHIQTVFIISVCNNQLLDWMENELIWMLSFIPGLASTLPKIIAKLHAMKAKYMAAPLPVTSNIKVKSWDLSFASVWNSIVWLMLLNFFVKIVNSTAQRYRKKQQEKVMIDLKKRLEQSEEDDSSSKN >KVH87506 pep supercontig:CcrdV1:scaffold_3145:47849:56623:-1 gene:Ccrd_025234 transcript:KVH87506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate receptor-like protein MKGDEGRWRRWATEMVSDDHRRRRRRRRRATATETKGDEGRRRRWGTETVSDDHRRRRRRRRRATAKETKGDGDRDEGRRRATETVGDGDGERQPPTEAATETKGDGDKDKGRRRRRRREMKGDGDGDEGRRYGECLIELIGSCKNKVQVYWFVRMPQLHTSLYFHLTPIVMINGSHEGTRLGSLCFNALLSNGSFYTKDGYPRDALTKSEEQSLKEKSLVRSILRLQKKQMQVTEILIRLQRYCGYICYSALKYLLLVGAAKSDGVCVSPGGRFPPYSIQGKPPRRVSKGPNDLTLCRVFRKKTCCDVTQTHPALLSIRRLASTGEATDECLHLWELLECSICDPHVGVQAGSPIVCASLCDRIYGACSNAYFAMDAKNQVLAPCGMSDTVCGRASEWVSNGTELCKASGFSVKPSNDFKETFCYGGKTSLESVVDSWRSSQSGVPRNAESSGVVNDFQHWLRKMPINEQVSWAVGGLVLTAGLLFVSKRKSHNQRQQAAIQRSARKVGGKTSPRYPATQANRK >KVI03215 pep supercontig:CcrdV1:scaffold_3146:30434:32471:1 gene:Ccrd_018489 transcript:KVI03215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAVGGKVALMVLFCLMVATAPPYTAEGAMTCQMVVSSLTPCASYLTRGGPVPASCCSGMTSLYKAATTTADRRMACRCMEQAAGMDVDTVANKDADNPILVSPASLLLAYGCYGSSHFVCPLSLVVLGACFYRLQ >KVI04758 pep supercontig:CcrdV1:scaffold_3149:23756:34164:-1 gene:Ccrd_016922 transcript:KVI04758 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MDFDGGKRVFNRLGPPQQQSDNRNQKVCYHWRAGNCNRFPCPFLHRELSAPANGTASSKRPYGFATDDQRSTTARRGGGSNFNGPSSWGRGGGRGGNAINNNNNSNRGIVVKKTEKICNFWVQGNCSYGDKCRYLHSWCTGSCFAMLTQLEGHQKVVSGIALPAGSDKLYTGSKDETVRVWDCQSGQCAGVINLGGEVGCMLSEGPWMFVGLPNLVKAWNVQTSADLSLNGPVGQVYSLVVGNDLLFAGTQDGNILAWKFNAVTNCFEPAASLQGHTSAVVTLVVGANRLYSGSMDKSIRVWNLENLQCLQTMTEHTSVVMSVLCWDQFLLSCSLDKTIKVWAATDSGNLEVTYTHNEEHGLLTLCGMHDLESKPVLLCSCNDNSVRAYDLPSFAERGKIFAKQEIRSIHGGPNIFFTGDGTGEVKVWQWLADQTATPA >KVI04756 pep supercontig:CcrdV1:scaffold_3149:48437:52671:1 gene:Ccrd_016920 transcript:KVI04756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MRPIFCGNFEFDARQGELERLFRKYGKVDRVDMKSGFAFVYMEDERDAEDAIRALDRYEFGRKGRRLRVEWTKQERNVRQPASSRRSSSNSRPSKTLFVINFDPYNTRTRDLERHFDPYGKILNIRIRRNFAFIQFELQEDATKALDATNMSKLMDRVISVEYAIKDDDDRRNGNSPNGGRDRSPRRRSDDRGRSPSPYRRERGSPDYGRGRDRASPDYGRGRGRSPSPAHRRERGSPDYGRGASPNVNGKERNTEYRRDASGSPNGRVRNADYRRDASPSPRRERERSGRVSIPRERERERERERERVSPEYEHGAIVSPRSEGRISPDESPREASPDAKADLRESPGYSGAESPPERYRSRSPAAARERSRS >KVI04757 pep supercontig:CcrdV1:scaffold_3149:45069:47469:1 gene:Ccrd_016921 transcript:KVI04757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheromone shutdown, TraB MELLKSLFPFPVFTPNPNNFTMIRPSRHCFQTRVSIKPPPPEFDFKSEILIGSRVIIAEMHPELLDLADEGNLVVIRKNQFGPVPAWRSEFVEPETIWLFGTNHVSQKSAIDVERVVQAVKPDNVVVELCRSRAGIMYTSTDTEVEPRLKPNPFSLSGSGFIGAVGRSINLGGQTALALRLLLATFSSKISSNINRPFGDEVRSFKPRDNINSFDFDNISKWESTRFTPCQLTNFVLVSCCKKSFGGNKCSGGTRRSAYRNNGLQLDPFFSYYLLIVQFSLDLRYLQRAWSSLKWSEKLSLVVAILRGITSSSDQSKINFKESTTNDSDFQLYEQLSFSYPSLLQPLIHERDTYIAWSLKRSKAVRNGKRVVGVIGRGHLNGVIYALISDLGDLRFRDLVGERSSTDGSWLNTIVKNLVRDTIIGVVIWALYEQIKN >KVI04759 pep supercontig:CcrdV1:scaffold_3149:2346:4145:-1 gene:Ccrd_016923 transcript:KVI04759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MHSPCLKEVTQVCSHGCCSNPLFYLPDFTTITTTTTTTITSKPTSTSSACRKNFTTTTATSFFPNTHFTDHESLPSFKESLISFTETYPNYSDTARVDRIRGQEYYHLSLSNRICLDYIGIGLFSHLQLQTVQQPSIVSPSSPSSSDQFSDFPFFSTIYKSVNLKSQLLHGGEGSKFESSIRKRIMEFLNVSDEDYFMLITANKSSAFKIVSEAYPFQTSRKLLTVYDYKSEAVDAMVTTSEKRGARIMSAEFKWPRLRIHTARLRKLVERKRKKKKMRGLFVFPLQSRTTGASYSYQWMIKAQENGWHVLLDACALGPKDMDSFGLSLIRPDFLICSFYKVFGENPTGFGCLFVKKSVVPIMDASTCVGIATLIPAKNSSFQADDEHSSGTDLELEQDQEKAVQSCEPSNQIVIHGEKNKIPEIEYRGLDHVDSLGLIQISTRTRCLINWLINALMKLEHPNTETKTPLVQIYGPRIRFDRGPALAFNVYDWKGEKVEPALIQKLSDRNNISLSQGFLQQIWFADKYNEEKERLISSSGGKKSKGLQHIAVVTAAITFLASFEDVYRLWAFVARFLDADYVEKERWRYTALNQKTIEV >KVI01490 pep supercontig:CcrdV1:scaffold_315:219537:222684:1 gene:Ccrd_020248 transcript:KVI01490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQWGQPWSFFEKHFKGKQNDSNVSFSPRLSLPRRRDVGYSFTDCEIQSIRSERLQTVRLV >KVI01482 pep supercontig:CcrdV1:scaffold_315:63995:66851:1 gene:Ccrd_020240 transcript:KVI01482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQNNSVIVQEEGSKTSEKKITEMNEDETLDTIKKKEEVKEYNIEKSDSAKGMKQGNILEKKPKFHVIRLQTSPQTLYGVVQLLCADNFGCDVVDVGRQRPLIERWNVERLWRREGADIEKGGFGMGPLLEPIENVMISNSVNNKEEVDHEVKTNEYTQSS >KVI01489 pep supercontig:CcrdV1:scaffold_315:191456:194398:-1 gene:Ccrd_020247 transcript:KVI01489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNLEGVGGGCRMSLMPSPETLMTNHGGVGDGGILGTVGATKFMRIIEEDCNSSGSSSIGNNSDDDDDREGDAESPYRYDHHNNNNNNKINNGSLDDALQALEEALPIRRGISTFYNGKSRSFTCLADVWPSSPPSIKDIAKPENAYTRKRRNLLASTLSSSKNKNRAFQLSNISIGRISKKSKTLTLQFASENEDHDVKTQPQFNKTSLLKKQNVSSMRSFSSMVNLHPCSSRFGLNSTEIRNC >KVI01483 pep supercontig:CcrdV1:scaffold_315:84959:104247:1 gene:Ccrd_020241 transcript:KVI01483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLGGEKWVFERFCDLGVELELGSAYQESIITPFRVLVGIFRMESDQNLGFGEVSDVSGSKRVSEGSSGNSDTVNKKPRVTEKLDDDQEGKKEKEPVLKLESDLHVSIMKEEIGFGECNQIGLEFDLNGPPVREVIDISSDDEKVDEMLGKESTIDLDLRLGINDGNGGSSERRSYSREEKGKAKVDDLLSLSLPSEIPQGDVNPNMELNYIPFRLLAAVTWQYHAEKLKRERALRIKRVRNYELQFSRKDDSWNIKLENLTGSFSAELKAIIDRNTTQRAEQLIEWSAHSSHYRPRPAPSLLDLSLNVLAKNPDAVSSFEDVPDWLKRRIVNLLCDVHTMDIRILELLFEGSPTEIRVKNCSWMTEKQLVDMLKKSDLQNLRVLQLDSCGQCSFDDIICNSLALPPNSLACLGILSLRAAARLSDNTLRRLLVSAPLLQSINLGQCSLLTCDGIKILADSLKTNLKELYIDQCPRIDAMHSLSSMMQFEHLEVISVAEIPTVSDKFVMCITRACGRTLKELNLAGCVMLTDGSLQAIGHFCSDLCSLNISNLNYVTDLGLCYLADGCRSLESLNIARAGFSDVVVAAFLETSGGSLKELSLNHVRKGFKDTQRMV >KVI01481 pep supercontig:CcrdV1:scaffold_315:25881:39298:1 gene:Ccrd_020238 transcript:KVI01481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MTSPMPDCSAPGFGFTHILCFSLFSLLRRREFRLRIDVGAEEYWGMITYPENHWSLVPDRNLEFLTLGKGQLGETFFQALPDCTMLKSLIVTDAVLGNGIQEVPIYHDRLRHLQIVKCRMVRISVRCPELQTLSLKRSGMAHAVLNCPLLHDLDIASCHKLLDAAVCSAATSCPLLESLDMSNCSCVSDETLREIALICGNLHILNASYCPNISLESVRLPILTVLKLHSCEGITSASMAAIAYSYMLEVLELDNCSLLTSVFLDLPHLQNIRLVHCRKFVDLSLRSMLLSSIRISNCPSLQRINITSNSLQQLVLQKQESLTALELQCKCLQEVDLTDCESLTNSIFEVFSDGGGCPMLKSLVLDNCEGLTAVVFCSNSLTSLSLGGCRAITDLELTCPYLEQVSLDGCDHLVKATFCPVGLRSLNLGICPKLNALNIEAPTMVLLELKGCGVLSQAVINCPLLKSLDASFCSQLKDDCLSTTTSSCPLIESLILMSCPSIGSDGLFSLRCLPHLTSLDLSYTFLLDLQPVFDSCLQLKVLKLQACKYLTNSSLEALYKDGALPTLLELDLSYGTLCQDAIEELLACCTHLTHLSLNGCVNMHDMNWSFTMHVLSEDDLHVPHRLLQNLNCVGCPNIKKVVIPQMACFSHLSSLNLSLSVNLKEVDVACSNLCLLNLRYAIAVSFCVFCFDCCGQCYALSLLSILEEDRRVLQHLHRRSRICHITMQHA >KVI01487 pep supercontig:CcrdV1:scaffold_315:177839:194283:1 gene:Ccrd_020245 transcript:KVI01487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCEVINIRKNLHIKYLFVNVYVVYLLDFHIHLCLYFEPSPHSPIVLLTMSSSPFSNSFGSVIHPTPFAVIAALSFDARSTIAITVGLVQNCDNGDNITGSDVVVILGGVTSTTANPLFKSNPPVGSLRTSCIDLTVTVRVRRQTQFGDEFGMINNRRSLPQAHSFTFQSSAVTYGCSNGAYYTNSTTRRTGNDGLRIEEYKEADSTTGQAAHRLLRGIHDKAFSGFAMSLIDGGDEGHTSAKHVNDLDFPLCATRSKCSRGTMENVLLQYYSGLSASPSRSSSSSLLLPIDELPDELQSSSMILMNFVAPTVPKIPPSPTPPWLVIKVSGEGINDIRQPPPTPSKLSFIRSI >KVI01486 pep supercontig:CcrdV1:scaffold_315:175660:177249:-1 gene:Ccrd_020244 transcript:KVI01486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHKYVYEQTCTYSLCTGYHHSCNNVQEIDSYAGIDAPAFDLGISPSKEEVIACAGSSKAIGGHKNVISTMPKRDPKLSFKLRSPYVTRAVTFEVSSDERKLQNWILRGIGGTLEPVFMTTKGKTMTCQTMQSLVSQSVVSTEIIDVWSMVLNKEERLRSNESPRRYFVQTDISIHAIIRDGDLNVNQRYDRFRKNITSCMNNDKELISMRNVDLVFFPVVEPSFYYVLVFDLKRPSIAILDSQNRDGKVDDIYGSSTVGLQDMMIMHLLKEGHGAWKVYAEMDQDHIKTRWQFRENTVDAGVMLMRHMETFFGGDVMK >KVI01485 pep supercontig:CcrdV1:scaffold_315:142842:148889:-1 gene:Ccrd_020243 transcript:KVI01485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDMISKAATRIVAARSGWYLDSGATVHNVPPKVSGLGFCSLVPYFERIFHDFISSAYSGWDRVESWVIVYSVVWSSHRFGIASFKW >KVI01488 pep supercontig:CcrdV1:scaffold_315:178300:190244:-1 gene:Ccrd_020246 transcript:KVI01488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFRGFPVNQHQRRVRKKSERIMMKTYFSNFKNTIDNPIDVDAEAELERTNAVPSNAQGSSKGKEAEVERTNGVPRPAQGSSKDKVLEILNTQEPTEAEVERTNGVPRPAQGSSKGKDLKVTKPIVQQTSKQAARVGKVPERSGNQVYKVPLPQALDPEMVSGDNVRNQVKSPNEIMHAPRKECIKMMGERIADLRSSRTETDMLLQAYVE >KVI01479 pep supercontig:CcrdV1:scaffold_315:2652:10562:1 gene:Ccrd_020237 transcript:KVI01479 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MKVWCCSCFGEEDEEEYNKKREMMREREDILGKNGMDDVKFEGVKNADLGFQDPEDDGGENIQLRLGSSREGSSTATTLDVNFNLGPGEEPSSSSAVADPKRDGPDSGTQCKRPKVRSFALEWDIPFVSVPAQEGNLFGLIEEEYERMLNSVGHYLPQVFDGEESDHTVDSTSWVANGDDHLSPDMDDLENKMDLTDDLLHMVFSFLDHINLCKAAKVCRQWRTASTHEDFWRFLNFEDRNISPQQCKLCTIMIVAQFERGTVSDYKNKEIKSSVEFDSTERSGGEVAYSKAIIHRKQRRMKMIEKNFFSRI >KVI01491 pep supercontig:CcrdV1:scaffold_315:224339:228300:-1 gene:Ccrd_020249 transcript:KVI01491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MVTSCLSFVSLYGSFIRRSLTAAGLSSQILDVDADTTIHFWGPKPPSNGDHRKSSLLLLHGFGPHGIWQWRLQVSFLATHFDVYIPDLVFFGESISNSSERSEIFQASAITKLMEKVGVNKYSVIGTSYGGFVAYRMAAMWPERVEKVVISSSGVNMRRRDNQELMKRANLETIEELMLPETAGQLRTLLRLAVYNGGYMPDFFLNDFIDEVLIIWGEHDNLFLLDMGKELKEMLGKNASLEVIKKAGHVPQLEQPKNFNTILYHFLCV >KVI01484 pep supercontig:CcrdV1:scaffold_315:117828:139115:1 gene:Ccrd_020242 transcript:KVI01484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MTTDLHRISLPLQHQSTTSNHRIFTCNVDYKRHRGYRYLHPPRIVHKRLPNLLVVAKAVDLNSASKTTSQQPGREVHPSVLLDVTGMMCGACVSRVKSILSSDERVESVVVNMLTETAAIRLTADAEVSGVNAAEEFARRLTECGFPSNRRASGLGIEEKVRKWKETVARKEALMIESRNRVVFAWTLVALCCGSHASHIMHSLGIHIGHGALMEILHNSYFKGSLAVGALLGPGRDLLSDGLTAFFKGSPNMNSLVGFGSIAAFMISAISLLNPELGWDATFFDEPVMLLGFVLLGRSLEERARLRASSDMNELLSLISTQSRLVISSSESDKSADSILSSDSICIEVPTDDIRVGDLVLVLPGETIPVDGRVIAGRSVVDESMLTGESLPVFKEGGLLVSAGTINWDGPLKVEASSTGSNSTITKIVKMGTDPLPSLANVVGKFLDNACVYSLTTVEDAQGREAPIQRLADSIAGPFVYSVMTLSVATFAFWYYVGVNVFPDVLLNDISGPEGNPLLLSLKLSTDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDVLERLSGIDIVTLDKLVAKLYNVGFKLQWSKIADLSLIRNYQTGTLTEGKPAVSAVASFSHGEAEILQLAAAVEKTASHPIAKAIISKAESLNLNIPVTSRQLAEPGFGTLAEVDGHLIAVGSMKWVHERFEHRKNISDIINLEQDVMQKSFEGRSSSNHSQTVVFIGREGEGIIGAIGISDNLRQDAEFTVKRLQQKGIKTVLLSGDREEAVASVAKTVGIGSNLINASLTPQQKSGAISNLQTAGHCVAMVGDGINDAPSLALADVGIALQIEGQENAASNAASIILLGNRLSQVVDALDLAKATMSKVHQNLLWTDGVQLNLCREQLATSTTSWENAKERYQLNQLNLEEYIDEKGLGKA >KVI01480 pep supercontig:CcrdV1:scaffold_315:57976:61379:1 gene:Ccrd_020239 transcript:KVI01480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSMATSLCITFLCTLFICSSAAHDEKKVTNNPADELVAIVNSNRTAHKAASLDNNPGLGCLALQYIKAYQGKCDDVGGSNAKKPADSEFAETFAPNCGVEATTLSPITGRVLGCQSKYVTPDKAFTEILTMKNRSLNIIYNTTHTEVGAAVSGSDGGGPYFWCVLFSNGKSNSSFQLEGGVAKITRPGCFSGANDECSGADGWSQNLNILTVFVGVFAAIAYTIGV >KVI01131 pep supercontig:CcrdV1:scaffold_3153:27990:28418:-1 gene:Ccrd_020604 transcript:KVI01131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRIPIKIKKGPWKIEEDELLIKHVEEYGPKDWDLPLSKGHLQRTGKSCRLRWLNNYQPNLKKGMFSEMEKQMLFDLQGKYGNKWAKIATHLPGRSVYHVKNVWYNHQTKMARFNKGKTKCLKFGSSPDKKKKKRKKKKKK >KVI01132 pep supercontig:CcrdV1:scaffold_3153:38995:43722:-1 gene:Ccrd_020605 transcript:KVI01132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MDIILSPPPPISPNLPLHEALEAQTTTKKRTNMKSFTLRSQFYIPTHSNLIANNPSFLISSKSKSSSLSVRKHKPTSQITKSPSRTNLFNRPLLFDSERVKITPKSNKSSSIEKLSGDEEPHPLGFSTKLEKLIPYGENSRYVIVGAVSMGFIMLLMGSDDHQKALAFGPEGPLMEDFWDNMRRYGLYALTVSSGVLYAVFQPLYELLKNPISAILVLTILGGGFYIVSQVVSAMDALLKRGKEHEDQPLIYPPSICADQLSLKQVSIILAVYLTLGTICFSLIQDQISGKKTNKILDAVYFCVITMTAAGYGDVGPETNLAKSLACVFVFTGMALGGFALSKAADYIVGKQEILFVKAIHMHETYGLNEILKETKTNKVKYKFLTILTLILFLMTIGTLVLSLVEKLSYFEAFYCVCATITTLGYGDKSFSSEGGRLFAIFWILITTVSLAQLFVYLVELWTENRRQVLVHWVVNRKLTIQDLEKADLDNDKVVSVAEYIVYKLREMGKVSDEDIAIVVEGFKSLDVDDSGTLTVNDIELMKSFEVYLFPFSFQHTHISTLLSQLLSTLVYHHSTMRWFLSAHKATRCFLISQQSHLHVASDLGSLHSMQMHSPSCFSAHQYTTSSYRSINGNKHEHTNTKKVDLEIISRETIKPAFPTPPHLRTFNLSIIDQVMFDCYIPLVLFLPNNNKATITHVVTKRSRHLKETLSRILTRFYPVAGEVKDDLHIECIDKGVYFVVARLNQTLEDFLGRPDEQKVRGLIPHNFGTLQSSKGNYLAGVQLNIFNCGGIGLCTSLAHKIFDGHTYFMFMKAWAAAVRGSPESFSPTFVASNLFPNNPSLKYSLPSKLMATESLSTKRFVFDSTALALLKAQPVSCTSSSVSRGPTRMEATTALIWKAAAKAASTIRPFSPQSPHALLSMVNLRGRASPPLPEECVGNIIYGAVGICFPESQPELATLMGEIRKSIAKINSEYIESLRGEKGQEVVNGTLKMLKDMTDGMHQGDCLIATSLLNSGIYEIDFGWGKPIWFYEMNAGYRLLALTDTIKGGGVEATVTLSSREMEIFERDPAVLSYATCNPSPLHH >KVI01127 pep supercontig:CcrdV1:scaffold_3153:3639:12272:1 gene:Ccrd_020600 transcript:KVI01127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 6-like protein MMIRLVPRPSMISCFITRTRSFSRLTQNLLPGEGKFSNTFGIRLTVRSISVSATSSPPSPVLSEKQVDQVDNVLAAKEDEHGGVIVEMSTDPMDPIMFTSLLKASILHWKQQGKRGVWIKLPIELVNLIEPVVKEGFYYHHAEPRHLMLVHWIPETTNTLPANASHRVGIGAFVMNENGEVLVVQEKSGKFQGTGIWKFPTGVVDEGEDICNAAVREVKEETGIDTKFVEVLAFRQSHKSFFDKSDLFFMCMLQPLSFNIQKQEREIEAAQWMSFEEYAGQPFVQKHDLLRYIVKVCIAKRNEKYSGFSPVPTITSFSNKPSNLYLNSKDLDY >KVI01130 pep supercontig:CcrdV1:scaffold_3153:21200:24941:-1 gene:Ccrd_020603 transcript:KVI01130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGSTGEKQNECAVEEVALVVPETDDPGLPVMTFRAWTLGVVCCVVLIFLNTFFIYRTQPLAISAILMQIAVLPIGKFMAATLPTKEYRWMGGRFSLNPGPFNMKEHVIITVFANCGVSTGGGDAYSIGAITVMKAYYKQSLNFLCALILVLTSQILGYGWAGMLRRYLVDPVEMWWPANLAQVSLFRALHERETKAQGMTRMRFFIIFLVLSFAYYALPGYLFPILTFFSWVCWAWPHSITAQQIGSGYHGLGLGAFTLDWAGINAYHGSPLVTPWTSIVNVGVGFIIFIYIIVPLCYWKYDTFDARKFPIFSNKLFTGTGHEYDTTRILTPHFDLNIAAYNSYSKLYLSPLFALSIGSGFARFTATLTHVALFHGGDIWKQSKSAVKNVKMDIHAKLMQSYKQVPQWWYLVLLVGSIALSLVMCFVWNEDVQLPWWGFLFAFGLAWFVTLPIGVIQATTNQQPGYDIIAQFIIGYILPGKPIANLIFKIYGRISTVHALSFLSDLKLGHYMKIPPRCMFTAQLVGTLVAGTVNLAVSWWMLENIENLCDIEGLHPESPWTCPKFKVTFDASVIWGLIGPQRLFGPGGLYRNLVWLFLVGAFLPVPVWVLSKIFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLITGMIFNYFVFKYRKQWWQKYNYILSAALDAGTAFMGVLLFFALQNEGVDLNWWGAKPDHCPLAKCPTAPGINVTGCPIF >KVI01133 pep supercontig:CcrdV1:scaffold_3153:59089:60683:1 gene:Ccrd_020606 transcript:KVI01133 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MFPPSLLVPLLLLFLFFLLKKCSHRRPLLLPPGPPKLPFIGNMNHLLHPAPHHVLHNLATIYGPIMHLKLGFVSTIVVSSAEAAKQIMKTHDTIFSNRPKLVAPKILGYNYTDIAFAPYGSYWRQLKKTCILELSTTKRMGSTRFIREEEVTRLAQSISVSSEPINLAERLFALNHNIITRITFGDKFDDELRFRLAIREGTVLAAGFQIGDFFPSLGFIAKLTGMNARMEECLVELSSIMDKNIQQHMHMEHKIEKPQDEHLVDVLLRLKDNGGLDEPLTTDNIKSVLLDVFTGASENSSNIVEWAMTEMIRNPSMMEKAQAEVRKVIGEKAKPTIQETDLPKLHYMKMVIKETLRFHPPVPLLLPRESMDRCTINGYEIPSKTRVFINYWAITRDPASWKDPNVFDPERFQDETKDYRGHDFEYIPFGAGRRVCPGISLGMANTELSLASLLYHFDWELPEGEKVEDLDMKETFGMTCYKSCSLRLVPRLRFPVEFSMT >KVI01128 pep supercontig:CcrdV1:scaffold_3153:13650:14602:1 gene:Ccrd_020601 transcript:KVI01128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAVSCDTSRKTSKSDWVRGITDEYGDFVIDLPSHLHALPNMEKRCRLKILQLPNASPCHQAFTGEYRGIKFSSAKNGIRTYTAHEIHLTPKNPQACMNEKGRGKMLVHTF >KVI01129 pep supercontig:CcrdV1:scaffold_3153:14835:17569:-1 gene:Ccrd_020602 transcript:KVI01129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MQSLRDSILRNLRVTFSRRQLILVKDVNATGSLHQQLCTSAGSSHDQVTARVIGLVRKFDKIDAAKVTESADFQKDLSLDSLDRVELVMAFEQEFSIEIPDEQADKLKCCADVTNYIKSVPKQETASEGS >KVI11096 pep supercontig:CcrdV1:scaffold_3154:11563:30073:1 gene:Ccrd_010497 transcript:KVI11096 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAQLVGSEEIESLRIELSDIGRSLRSSFRLHTSSLHSNSEIRSVNNHDETDEYLLQWAAIDRLPTFERLRSSLFDEENGHVKGKKVVDVTKLLAPERHMFIEKLIKHIENDNLQLLQKLRKRTDKVGVQLPTVEVRYKNLRVEAECEVVHGKPLPTLWNSLRSMLSDIVMIPGLKSKRAKITIIKDVSGVIKPGRMTLLLGPPGCGKTSLLKTLSGNLNRSLKITGEISYNGYKLEEIVPQKTAAYISQEDMHIPEMTVRETLDFSSRCQGTGSRAEIMIEVNKREKEGGIIPDPDVDTFMKAISIEGQKKTLQTNYILKILGLDICADTMFGDAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIITCLQHLAHITDASVLISLLQPSPEAFDLFDDIMLMAEGMIVYHGPRSSVLEYFEGIGFRCPERKGVADFLQEVVSRKDQAKYWCQTEQAYNYVSVQTLSMRFKESYLGKEVNEVISKPFTRLQSHENAISFHVYSLSKWALFRACMSREILLMKRNSFIYIFKLVQLLIIALITMTVFFRTRLKVDVLDANYYLGSLFYALVILLVDGFPELSMTVARLSVFYKQRDMYFYPAWAYAIPASILKIPLSMLEAILWTCLTYYVIGYSPEPERFFRQLVLLFAIHFTSISMFRFLASFFQTVVACTTAGSISILFLLCFGGFILPHTSMPAWLKWGFWLSPLSYGEIGLAVNEFLSPRWNKMTSTNTTIGVQTLQSRGLDFDGYYFWISLGALLGFALLLNIGFILALSYLKAPGTRAIISKEKLSQAHGSEGSKHHTHMDKTTNMSNTSVSEEPTEGKMVLPFEPLTITFQDIHYHVEPSQEMREHGFIGKRLQLLSDITGAFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGIVEGEIKIGGYPKVQDTFARISGYCEQTDVHSPQITVEESVIFSAWLRLHPEIDSQTKYKFIEEILETVELYAIKDALVGIPGVSGLSTEQRKRLTIAVEVVANPSIIFMDEPTTGLDARSAAIVMRAVKNIVDTGRTIVCTIHQPSIDIFEAFDELILLKNGGRMIYCGPLGHHSSRVIEYFESISGVPKIRDNYNPATWMLEVSSASVEAELGVDFARIYSTSTLYKSNKDLVNTLSKPPPGSEELYFPTRFPQNGWGQFKACLWKQHLSYWRSPSYNLMRSLHMLFASFTFGLLFWDQGRKIHNQQSLFNILGSMFAAVIFCGINNSSSVLPYVSVERTVLYRERFSGMYASWAYALAQVTIEFPYLFAQSLAFVCITYPMIGYYWSAYKVFVYFYAFMCTLMYFTYLGMLLVSITPSFPVAAILQSAFYTMFNLFGGFLIPKPKIPGWWIWMYYLTPTSWSLNAMLTSQFGDVKKEIEVFGETKSVEAFLRDYFGFHHDQLPLAYVLLALYPIILASLFAYCISKLNFQRR >KVH97016 pep supercontig:CcrdV1:scaffold_3155:33776:45048:1 gene:Ccrd_000890 transcript:KVH97016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Optic atrophy 3-like protein MESVGDEVLGTLALKTLSKPIASRLKQQAALHPKFRTSIISFAQANHRLTTTIQRRIYGHATDVEIRPLNEEKAVQAAGDLISELFVFAVAGAALVFEVQRSSRSEAKKEELRRQEMEVMKQRDEDLAEEVECLKQKLQELEQLAKGKGLAGVFNFKNIQTQTANSTNPA >KVI00724 pep supercontig:CcrdV1:scaffold_3156:2306:21441:1 gene:Ccrd_021024 transcript:KVI00724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MASGMVGGGGNGGVEWHQRPPNAKNPIVFFDITIGTIPAGRIKMELFADIAPKTAENFRQFCTGEYRKAGLPVGYKGCQFHRIIKDFMIQAGDFLKGDGSGCVSIYGSKFEDENFIAKHTGPGLLSMEKLLQEAMDALLDNGICRQPMRDDRNRVYKSLSDVTDGKEEEFMRLLEAQSEARLLMFSNMNLLSPTTRDPISAPTQDMLSGLCLNEWKSSGSRYWICQLCYGRSPAHDDLVQLGEAVAILSDEGFSWTEAITISFVAYTSRGVTSSLKPKRGLSNEHAFVQPDIHNLGYGQSFLAHLNSRKRLKCHVLGEPGKTTDVEDGLVVQKAYKLSISDLSQANSGPNSNGCQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLPCIIAECGEM >KVI11380 pep supercontig:CcrdV1:scaffold_3157:17386:17653:-1 gene:Ccrd_010210 transcript:KVI11380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MNHVLAAGITQDKFLADTLYWQDQVRHYWRLMNVDDTSVIPATMNNTLSAIYERGLIGAFHD >KVI04569 pep supercontig:CcrdV1:scaffold_3158:20511:37636:-1 gene:Ccrd_017113 transcript:KVI04569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase IFKHPLPDVQRGQYSHANSNQCFELEPYRRHKLCLPYLDLKHGQHLMAEQSHSTDRQQRLTRKKRKLTIETEPLTLTPNHTHTCRRKKKSDRGNSDGVADNRKWVFSPRDCSKYKDKFVFVSYNILGVENASNHKDLYLNVLPQFMKWEYRRREVDRFDDLKRILQKDGFRGVYQARSGEARDGCAIFWKDALFSLLHKDNIEFKRFGLRDNIAQFCVLKMTAYQKHIDDPENLKVQPSRSILVGNIHVLFNPSRGDVKLGQVRLFLAKAHRLSQKWGNIPAILGGDLNSMPQSAMYQFIVSSELNIQHHERKRISGQICPLDHPRLPSQSNYSSRPLLHRWSKEELRLATGTEESVYLRHNLKLNSAYHGVPASRLTRDSHGEPLATSFHSRFMGTVDYIWHTKELVPVKVLETLPIEILKKTKGLPSKIWGSDHLALVCELAFADESCGT >KVH96246 pep supercontig:CcrdV1:scaffold_316:36482:36940:1 gene:Ccrd_001658 transcript:KVH96246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAFRMIFLVIMAVAMVTAPIEAMNPRKLDDTTPANTNPVGSPDDDQMKCGSCPCGKTCYSSPPPPPPSPSPPPPAPKKPSPTPGLNCPPPPSGGGGGGGGGKAPPDYIYITGPPGDLYPVVQSVSDGRRSFTVAPSLLVLSGLLGMLGFW >KVH96248 pep supercontig:CcrdV1:scaffold_316:10284:15365:-1 gene:Ccrd_001656 transcript:KVH96248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MFGISLSQLASPTSLLTASSSGCSRSRVVVKMAATTTATHGKSVGSVVVKPPGHPTYDLKGVIKLALSEDAGDKGDVTCLATIPTEMEVEAYFLAKDDGIVAGIALGEMIFNEVDPTLKVEWFKRDGDNVSKGLKFGKVHGRAHSIVVAERVVLNFMQRMSGIATLTKAMADAAHPACILETRKTAPALRLVDKWAVLIGGGKNHRMGLFDMVMIKDNHISVAGGVSNALKSVDLYLEKNNLQMGVEVETRTFEEVHEVLHYASQNEKTFLSRIMLDNMVVPQPDGDIDVSMLKEAVELINGRLETEASGNVTLETVHKIGQTGVTYISSGALTHSVKALDISLKIDTELALQVGRRTKRA >KVH96251 pep supercontig:CcrdV1:scaffold_316:92176:94767:1 gene:Ccrd_001663 transcript:KVH96251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAEARLPIVLQILRSYELRLLRCSLSHDQPLPTPQILTTSSPLQTSIDNLLQSIESGHYSKALASDYAAFLLNLNHSSSSYSDSIANCVSSFLIAENSDEDTSLRAFLVVAVAVSAFSAFIQSNVTGPPESLPPLLADAAKEDLEVWARNEVMSSGSDLLGKFSNLEYLVFAKLVLLRIREYLLSKDEQSNENSVFFPTCSWWLARVILIQQKLLDSHSSVLFEKLQELIPETSAHLGDFMKVSSYWKDTEEGILSDIVSMVHLEMGIIDFRYGRVDSSKSHFESANKASGLSLSVGGSLEDNNGGSVIFSNGSTSLKPVQQALILAQCLLIEKNTPHDEMQRWDMAPYIEAIDSQPASSFIIRRFCDLLRIRWEGTRSRTKERAILMMDKVVEGINESTPGVAARIHCCFGVDIPTIPTLRKEYADLLVSCGLIGEAVKIYENLELWDNVIFCYRLLEKRAAAVELINTRLLEKPNDPRLWCSLGDVTNQDSCYEKALEVSENKSVRAKRSLARSAYNRGEYEKSKLLWESAMALNSLYPDGWFALGAAALKARDVGKALDAFTRAVQLDPDNGEAWNNIACLHMTKKRSKEALIAFKEALKFKRDSWQMWENYSQVAVDNGNFGLALEATQKVLSITKNKRIDVKLLERIMVEIEGNNGDDCRENEQLTEMIGKVLQQIARNGGDGEIWGLFARWHKLKGDPTMCSEALLKQVRSYQGSELWKDKERFKKFAHASLELCKVYMEIGSQNGGGREVYAAEMHLKSTIKQAALKFSETEEFKELQAFLGKLQATVQARSVPSA >KVH96252 pep supercontig:CcrdV1:scaffold_316:82509:86086:1 gene:Ccrd_001662 transcript:KVH96252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MISGNDFYSIVKAMLPLYVAMFLAYGSVRWWKIFTPDQCSGINRFVAIFAVPFLSFHFISMNNPYTMNFRFLAADTLQKIIILVVLGVLTKFTKIVTLEWTVTIFSLATLPNTLVMGVPLLTAMFGDFSESLMVQVVVLQGIIWYTLLLFLFEFRAAKMLIMEQFPETGANIVSFKVDSDVISLDGQDVLETEATVGEDGKLHVRVRKSNASRRSFGVGSLSGVEIYSLSSSAIQTPRGSNVNQSDFYSITGFPGGRLSNFGPAVDVSSTPRQSINFEEDTAPTSLKMSSSRSGMYHAAHNMMPYFQPVNSEISPAVTKPIKTQQPPETPSRSKPHMQQKKVNPDVHTFPRSASAPIGSKGGRLSSDLRGTAQQSSRPGQHGINETQMLVSDHPQNGKFRANEELGSKKGGERIKPAGLESMAVPEEGLFMALQPKMIACGRTNALIATVAKFLLGPLVMVVASIAVGLHGTLLHLAIVQASLSEGIVPFVFAKEYNVYPTMLSFSVIFGMLITVPINLVYYIILEH >KVH96254 pep supercontig:CcrdV1:scaffold_316:48263:54326:-1 gene:Ccrd_001660 transcript:KVH96254 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2, C-terminal domain-containing protein MAGEIEEPFRLNFQADLLHSGSISFGRFESESLSWERRSIFSHNRYLEEVEKYSKPGTVTEKKAYFEAHFRRKALLKQNSSECQDGGESPTNRNNDLHDLEESGNGNEGGPYTYFGESLCSSGPSEYIKETEIDQHEKGDVEALYAENGNGSRHVACFFESPYNSGHDEDSIIQEFESQNYEFLSTATQDEPVINIVDFSVSVPEHVKLDEVHQSETEEVMVNSEESIDVTLNDEVVQVDVGSKANDSSLTCQTPEKDDDTSSRPRRMFSPKVKPASEKKLTMEKLNTKGIIDRFQKHDSNEASRGSMKPKTSESKGLLVKRTEKKSPRPASFLTCSGVTSKAEDAITSLKGKELRHKKSIVKDLRSEKAVTARSSLSDQSFPGVRPTVNRSSKAHITQSTAGFSFKTDQRAENRKEARNFYTKIAEKMQAKEVEINQVEAKTLEKQAAEMKQFRRSLNFKATPMPSFYNESAGVSDEHKVKPISTTTNQPRPRSHSMATRRDKNASKSCVPSDTRPSSSTSSTNRRSVKEQEKKNNTRLAKHKETEPRARHMVRKQTKDMHMGSSSKSGRLAVGVAS >KVH96259 pep supercontig:CcrdV1:scaffold_316:181564:186553:-1 gene:Ccrd_001669 transcript:KVH96259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MRNHRSSSYTSLVNGVNLFFFLFVFHLHPQSSASTLPKEALPTKSGYLPVNDTTGSAIFYAFYEAQNSNHTSLSQTPLIIWLQGGPGCSSMTGNFYELGPWRLTPSLRKNVNHLELQPNPGSWNRIFGLLFLDNPIGTGFSIASTPEEIPTDQQAVARHLFIAIRKFIALDPLFKSRPIYIAGESYAGKYVPSIGYYILKRNPLLPHSERVNLYGLAMGNGLTDPATQVGTHALHYYNLGLINEKQKIQVEKLQFEVVNLAEAGNWSGAADVRSNMFHFLQNVTGLASLYDFRRYRPYDSDWVEKFLKNPEVKKALGVNESMVFEECSEVVGEALYADVMKSVRHMVEYLVKNTKLLLYQGQCDLMDGVVSAESWVKKMDWEGIQKFLDAERDVWNVNDVLAGYVQKSDNLSHVVVIGAGHFVPTDQAVNSQAMIEDWVLDRELAIYMDDIQMLEITCMKMKGKREPSAQP >KVH96241 pep supercontig:CcrdV1:scaffold_316:191227:200458:1 gene:Ccrd_001670 transcript:KVH96241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MLGKEFFTEYGEASLYEIQEVVGKGSYGVVAAAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPCRREFKDIYVVFELMESDLDEVIKVNDDLTPEHHQFFLYQLLRALKYIHTAHVFHRDLKPKNILANADCKLKICDFGLARASFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGRNVVHQLDLVTDLLGSPSAEIIARIRNDKARRYLNGMTKKTPIPFSQKFPNADPLALRLLERLLAFDPKDRISAEEISFSKTLCYHCSFVALSDPYFYGLANLETEPSKQPISKLEFEFERRRLTKNDVRELIYREILEYHPQMLQDGIERFKQQFADLEEQEGKEGRNSPLRRQYTSLPSPPPSPSIPISIDFTVHLHLHRSPSPSISSQETFISIDLFTEDLHLDRSPSPSISSQSTSIFIDLHLHRFHSPPPSPSXLHLHRFHSPPPSPSISINFFTGDFISIDLFTGDLHLDRSPSPSISSQSTSISIDFFTGDLHLHRFSRHPRTK >KVH96249 pep supercontig:CcrdV1:scaffold_316:24068:27161:1 gene:Ccrd_001657 transcript:KVH96249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTFKMMAGSLPPVTGSNAKGPAAASVKRPTIPLQVRVKELQKLESSEKTSVNVSRRDLALCLTAASIGAATLSLPQPAEARVSRIEIKKMILEKFKMLREKVGLSKPETEETEKQPSQETEETENQKPAPPPKAEQEIPAPPVPSLPKLPEIPVPPVPSLPKLHNDQMKHVVEAPTLP >KVH96247 pep supercontig:CcrdV1:scaffold_316:47469:47873:1 gene:Ccrd_001659 transcript:KVH96247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQLLSALLLLHLTLDSPASTLAQCPYPCYPPPIGNMPPPATTLPPPSQTKNYPPPAAVYTPPSGTVYPYTPQTPTFYGGAPPAPDPIVPWFPYYYKDPPRNPHKSSSVDLRGGSTVVIFLVHVLVVQLLLC >KVH96250 pep supercontig:CcrdV1:scaffold_316:1647:6187:1 gene:Ccrd_001655 transcript:KVH96250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDSTAIVAAIQSLLRIIRDVARSSATGFTGGFKRDCTDLSRRVALLSHLLEEIRDSQGDLRPLDSSPSSSTSSSLLSELMTALKAAKRLLLSAGSFDHNISPDGASQKIAFQFQDVTWKLEKALSNLPYDRFDISEEVKEQVDLVKGQLKRATARYGGPKDSIDISCGISKPLAKESDSLQPTNIDHHGFESKVGGIPNESLSKDPDEMIHQLELETTDVGCTVNNIEENKKLDSPVIPDDFLCPISLELFRDPVIVSTGQTYERSYIQRWINCGNTTCPKTQQKLQNFILTPNYVLRSLITQWCTNHNVEQPTLLTNRKLKKSDGTFLDVSRDIDVIESIVYNLSSPSIEERRAAVSEIRSLSKRSTNNRILIAEAGAIPILVDLLTSEDNITQEHAVTSILNLSIYENNRGLIMLANAVPYIVKVLKAGIMEARENAAATLFSLSLADENKIIIGASGAIPALVDLLEYGSRRGKTDAATALFNLCMYQGNKGRAVRSGIMVALLKMLTDSGGSMVNESLTILSVLATHQEAKAAMVEAGIIPNLIDHMTVGIPRNKETAASILVSLCKRDDENLVCINRLGAVGPLMELATNGTERGKRKANSLLGQLERLRQQLEHV >KVH96256 pep supercontig:CcrdV1:scaffold_316:148101:162941:-1 gene:Ccrd_001666 transcript:KVH96256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACVGGKGSLLICWDLFRCRSDAKAGSNRIIKEKKAEYSVPRTRVRLEFKVFDVLLHRRWMKNVRKKMSLRGIIDSIETQMYECILLVSILGCLAENPTL >KVH96245 pep supercontig:CcrdV1:scaffold_316:272042:272233:-1 gene:Ccrd_001674 transcript:KVH96245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEEFKAGTKQMMVAMANNLSFLSVQMILDEDSFLKKVVDRAYKVANICLESLSTYLSFPKF >KVH96258 pep supercontig:CcrdV1:scaffold_316:96351:122373:-1 gene:Ccrd_001664 transcript:KVH96258 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase RecG MDLVRFRECLGLQPIPMHFPKPLHKVWASVLKLLFRNTPFLCLRMLHKIMRSFSLIGSRQCLSERCLAHASIFQAARGYRHVLGRKMRYIRWNENHLCDLWEFNGLLLCNISKYFSPSKHKLTENLFHQVDSYGRARRLDQRKLFSKVSPAMPHDSFDELFDEEKDDFDASLARKQFPSIMLGRSRPVDLYDGSSDGPETELLHSSIGTEMVDPDVLYDSWGSTTDEFNQFSSNKTKSKSNQGSLGSTCSPSISQNEKPSSKEENFSALPSFTKSQNSQKSDLQCAFDDPSNRGALQDQPDTAPFELILDKSISCIPALSKKLCNQLENSGLPTIRKLLSHFPRTYADLQNAQFTIDDGQYLIFVGKVLSSRGIKASCSLSFLEVIVGCEVAESGSASACMVSEKTSGSKRTIYLHLKKFFRGTRFTNQFFLRSIQEKQKAGDIVCVSGKVRTMSMRTKDHYEMREYSLDLIRDDDXESACAEGRPYPIYPSKGGLNPKLLGNIIARVLDALPPDIDPIPKNILQMFGLKSLRDAYFGIHQPANFNEADLARKRIIFDQFFYLQLGRLFQMLEGLGTWIEKDGLLDKYRNPATNAVLADEWCILTKKFLKALPYSLTSSQLSAVSEIIWDLKRQVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAIQHHDHLLNLLENMEDVHCKPSVALLTGSTPIKRARQIREGLQSGEIALVIGTHSLIAEKVEFSSLRIAVVDEQHRFGVIQRGRFNSKVPLSFKDILILDLSSLYGNSINSRLAEIDSTGSSKGDINMAPHVLAMTATPIPRTLALALYGDMSLTQITDLPPGRKPIDTFIVEGNETGFEEVYQMMNGELESGGRVYIVYPIIDQSDQLPQLRGASADLEVISNTFESANCGLLHGRMKSDEKDEALRRFRSGETQILLSTQVIEVGVDVPEASMMVVMNAERFGMAQLHQLRGRVGRGVRKSKCVLLSSTTSGLARLKVLEKSSDGFHLANMDLLLRGPGDLLGRKQSGHLPEFPVARLEIDGNILQEAHLAALTILGESHNLEKFPALKAELSMRQPLCLLGRVAVKRRYDEMKFCGLNGTVYYPIHLFRCFLCMYVCMYKPDEISR >KVH96240 pep supercontig:CcrdV1:scaffold_316:291680:302118:-1 gene:Ccrd_001675 transcript:KVH96240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase, alpha/beta/alpha domain I MSYTLPPSTSLNNNSSINPNFPSSSSSSSHSLLKTLTFPFSIPSKLTLTLTAPKFSATANYNDVAGLDEEMGRIKRLQNGSDVRGVAVEGEAGRKVDLTPPAVEAIAESFGEWVISGLVKEKGRPVEDIRVSLGRDPRISGGSLSVAIFSGLGRAGCMTFDMGLATTPACFMSTVLPPFLYDASIMMTASHLPYTRNGLKFFTKKGGLTSLEVEEICDKAAYKYANRLTKVSTLLRMTPTRVDFMSIYAKHLRDIIKERIIVNAGNGSGGFFTYEVLDKLGADTFGSLNLKPDGMFPNHIPNPEDKVAMAVTRTAVLENSADLGIVFDTDVDRSGVVDNEGNPINGDRLIALMAAIVLKEHPDSTIVTDARTSMALTRFITDRGGHHCLYRVGYRNVIDKGIQLNEDGVDAHLMMETSGHGALKENYFLDDGAYMVIKIIIEMVRMKLRGSNEGIGSLIKDLEEPLESIELRMNILSELQHAKARAIEIIETFKTYIQESRLEGWELDACGDCWVSDGCLVDSNDTPTPVDAQMYRAKISNEENGQYGWLHIRQSIHNPNIAINMQSTMINGCLFMARVFFDKFLIASEMDRFLDISQIEKYIKSGNID >KVH96253 pep supercontig:CcrdV1:scaffold_316:57454:64428:-1 gene:Ccrd_001661 transcript:KVH96253 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDT1 Geminin-binding domain-like protein MSMLMSASFSTGLTPGDQTPPEGGFKLCHLSKRAAIERDDASAKRSLHATAAPIPSSAPTSSKTLVRFNFLLPEGIEIKRILVRDDRTSCMKPDLHVTLNFNINQNDEKLKSDSGNIEMRKLFGSRLVSYCKPNPHYDGDQQLCSIISMERIIVKDGKAIEDESSLPHLSELLPLPTATTTLKFHDFIEAE >KVH96257 pep supercontig:CcrdV1:scaffold_316:128764:130164:1 gene:Ccrd_001665 transcript:KVH96257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MSFTKIESDHIEFINLSSMESTPFNLLLFLTLLFHRHTHSSASTLPKEALPTKSGYLPVNSTTGSAIFYAFYEAQNPDDTSLSQTPLVIWLQGGPGCSSMTGNFYELGPWRLTPSLKQNVEHLELQPNPGSWNRIFGLLFLDNPIGTGFSIASTPEEIPTDQESVARHLFIAIRKFIALDPSFRYRPIYITGESYAGKYVPSIGYYILKRNPLLPLSKRVNLHGLAIGNGLTDPETQVGTHALQSYYHGLINEKQKTQLERLQFEAIQLTKAGNWSDATDARNNVFSFLQNATGLATLFDFRRYRPYDSIWVEEFLKNPEVKKALGVDESMVFEKCSKVVGAALHSDVMKSVRFKVEYLVKNTKLLLFQGQCDLRDGVFAAESWMKKMKWEGLQKFLDAERDIWYVNGGLAGYVQKSDNLSHVVVLAAGHFVSTDQAVNAQAMIEDWILDRSLFANKYIQNLFMDL >KVH96260 pep supercontig:CcrdV1:scaffold_316:168663:181542:-1 gene:Ccrd_001668 transcript:KVH96260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MISNSFIHLPSMASTPLLHFLFLTLLFHQHTHSSASTLPKEALPTKSGYLPVNSTTGSAIFYAFYEAQYPNHTSLSQTPLIIWLQGGPGCSSMIGNFYELGPWRVTPVKQNVKHLELQPNPGSWNRIFGLLFLDNPIGTGFSIASTPEEIPTDQQAVARHLFIAIRKFIALDPLFKSRPIYITGESYAGKYVPSIGYYILKRNPLLPLSKRVNLHGLAIGNGLTDPETQVGTXALHNYYLGLINEKQKTQLEKLQFEAIHLTESGNWSVARDARINALIFLQNITGLATLYDFRRHRPYDSYWVEEFLKNPEVKKVLGVNESMVFEECSDVVSAALHSDVMKSVRFKVEYLVKNIKLLLYQGQCDLRDGVVSTESWMKKMKWEGLEKFLDAEXEVWKVKGVVAGYVQKSDNLRHVVVLGAGHFVPTDQAVNSQAMIEDWGGPGCSSMIGNFYELGPWRVTPVKQNVKXLELQPNPGSWNRIFGLLFLDNPIGTGFSIASTPEEIPTDQQAVARHLFIAIRKFIALDPLFKSRPIYITGESYAGKYVPSIGYYILKRNPLLPLSKRVNLHGLAIGNGLTDPETQVGTHALHNYYLGLINEKQKTQLEKLQFEAIXLTESGNWSVATDARTNALSFLQNITGLATLYDFRRYXPYDSYWVEEFLKNPEVKXALGVNESMVFEXCSDVVXAALHSDVMKSVRFKVEYLVXNIKLLLYQGQCDLRDGVVSTESWMRKMKWEGLEKFLDAEKEVWKVKGVVAGYVQKSDNLRHVVVLGAGHFVPTDQAVNSQAMIEDWVLDRDLFINNQIQKHPLDI >KVH96244 pep supercontig:CcrdV1:scaffold_316:255971:258934:1 gene:Ccrd_001673 transcript:KVH96244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn10 MAKRLIPCLNRVLVEKIVPPSKTTAGILLPEKSSKLNSGKVVAVGPGTRDNSGNTIPVAVKEGDTVLLPEYGGMEVKLGEKEYVFPLFSYLYMRGMNTIVGMYHLFRDEDILGTLHD >KVH96255 pep supercontig:CcrdV1:scaffold_316:148135:164298:1 gene:Ccrd_001667 transcript:KVH96255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase METNNMHSYICVSMESMMPLKLIFFLTFFIHLHRYSSASILPKEAHPTKSGYLTVNATTGSAIFYAFYEAQNPNDTSLSQTPLVIWLQGGPGCSSMTGNFYELGPWRVTPSMKQNVKHLELQPNPGSWNRIFGLLFLDNPIGTGFSIASTPEEIPTDQQAVSRHLFIAIRKFIALDPLFKSRPIYITGESYGGKYVPSIAYYILKRNPLLTPSKRVNLHGLAISNGLTDPETQVGTHALQSYYFGLINEKQKTQLEKLQFEAIQLTKAGNWSDATDARTNVLSFLQNITGLATLFDFRRHRLYDTDWVVDYLKDPEVKKALGVDESMVFEECSDVVSAALHSDVMKSVRFKVEYLVKNTKVLLLQGQCDLRDGVFSAESWMKKMKWEGLQKFLDAERDVWYVNGVLAGYVQKSDNLSHVVVLAAGHFVATDQAVNSQAMIEDWILDRGLKIRTTKALEPRRWRRGLKKRDAPWEKATETGRPPWEKATETTMEEATETVNDENEGGDGDEADHVELMHPSSMESKPLHLNLLTFLFHLHTHSSASILPKEAHPTKSGYLPVNTTTGSAVFYAFYEAQNPNHTSLSQTPLVIWLQEGPGCSSMIGNFCQLGPWRVTPSMKQNVEQPQLQPNPGGSYAGKYVPSIGYYILKRNPLLPLSERVNLHALAIANGLTDPENQVGTHALQSYYLGLINEKQKTQLEKLQFEAIQLTKSCKVVSAALHSDVMKSVRFKVEYLVKNTKVLLFQGQCDLRDGVVAAESWMKKMKWEGLQKFLDAEREVWNVNGVLAGYVQKSDNLSHVVVLGAGHMVAADQGVNSQAMIQDWILDRGFC >KVH96242 pep supercontig:CcrdV1:scaffold_316:205209:206075:1 gene:Ccrd_001671 transcript:KVH96242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MALRGKRVARVEINAIGDVFHELWKGNPHQIPNISPTNIQNCQTHHGEVGTVGSILAWNYFHDRKDRVSKTLIHDINEEDKLITFHVTEGDVLELYKDFVVHLHVDTKGSRHIVTWTVEYEKLSPDIPDPDTLMEFYTNLTKDIEAHHTK >KVH96243 pep supercontig:CcrdV1:scaffold_316:223940:230566:-1 gene:Ccrd_001672 transcript:KVH96243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEVEEDAIQDGQLYDIMARIDQEGQEDGAEKQQNQPQKKNNMVVETAFSVEDQTEVIIDQKVGGEWNGNGNGNGKEKGEIVGLRRSGRMKIVVDEEENLPCEQYKVGANEQYQPQNQCSFTWISRGEHIQGKGGCVKQRRSGSKQHVSEEPFQPCEQLEEGIKEKGHQRKQYNVVWIGRGVRKLEGAGKYLPESDEEVIELEDYVGEDAVMINCDAEVDEHVEQLKLKEEKGAINMEHNRPEENQQSDTVEKQFLDKEQLMSVIDDKVLPCEQHERVDTEVAEKLQLKHQRCNEVLPETLQVDDEVKEGQYLLMARSDEQEVGVIKEWIGKQKQGEGESMEQIGSQLQQNHLSGEQVDLQKQSKEENDCNFYTQGPPQRGSMEQDLLQKQDHRSGDEQNSVMMQCEVQVKDASVEKQHDDTVEEQIRPEEQPKKGTEEEQQNGGGTEQKMLEIQVQKVGKPLQDEEIKKGRVLTDEERDALVIQYEVQAGVENVEKTEAEEQHDCLTEEQVDTKEQSKEHDDVTEEPIHLQEKCIERTEEEIQSCGPDLLKNGEAESAEEQPQQEEQQTEVNQKEIGLVMDSEVQQDLRMNHGETEVGRAYVIQNESEERPNVAENHVLPQEHCMGGNKFQLHKQQQRDVMKQVHIEGEVEVAEDEHPEEQLNKVKEKDIVLMHGVSNCLQDLVMTQTEAEVEGDNEEHNKSEVYQGPLEKRETVTSQGQPQQEGQHKKLLQEESELMNNLTAVEQDFMMIESGSQLERTSADMIGSGSQLEMTSVEHNGVQEDDTVVGQVQPQKEVMEGTKEGQKGENEAGFEKNQSEECEDDVKVQRYQPQEQCVERTEERSQPCIQQTEASEKDAGQEENNAASNENVASSSSIIEVSGAFPCKSLGVAKVNLPDHKHQGEQRRPLRQGLRSSCKKEEATTKAKNQLERATPVRRSLRPRKTTSEATVVIENGPNRSKEQLKHSRRARPSKRKPGIAEAEQASKSQKTRRTDL >KVH87505 pep supercontig:CcrdV1:scaffold_3161:14896:25730:-1 gene:Ccrd_025235 transcript:KVH87505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT5G13500) UniProtKB/Swiss-Prot;Acc:Q9FY51] MARKNMSCTSLLFLVLLAVGSFFATYNLITMIVRNKGMNSGRLSLDPIVEMPDNMKKPGGTKVLFHVALTATDAPYSKWQCRIMYYWYKKQKNMVGSEMGKFTRILHSGQPDNLMDEIPTFVVDPLPSELILPFCNASFFTSIIISSFCCHPVKPLNKLSNIPSSFFLPQGGYIVLNRPWAFVQWLERATIEEEYILMAEPDHIFVNPLPNLARGEFPAGFPFFYIKPAENEKIIRKYYPQENGPVTNIDPIGNSPVIIRKDLLEKIAPTWMNVSLRMKDDPETDKAFGWGELTYGKIGEWRFDKRSHLQGPPPRNLTLPPPGVPESVVTLVKMVNEATSNIPDWDTS >KVH92028 pep supercontig:CcrdV1:scaffold_3163:11440:15880:1 gene:Ccrd_005943 transcript:KVH92028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MANSSEPSSSISFTSSSLISNGSTNYNIPSSSIPEPRANLEILSLNKLSTNLEKLLADSDSESNCNYSDAEVVVEGISVGIHRCILATRSKFFSDLFKDGKSKYIMSNLLPYGNVGHDAFLVFLSYVYTGKLKSSPPEVSTCVDDGCRHDACRPAISFAVELTYASSVFQVPELVSLFQRHLLNFVDKALVEDVIPILTVAFHCQLEHVLTRCIDRVSRSNLDNVSLEKELPFEVAQNVKSLRSNSLDDDEHTVESEGALREKRIKSIHKALDCDDVELVKLILSESQITLDEACALHYAVSYCDKEVAKMVLDLNQANVNLRNSRGYTVLHVAAMRKEPSIIVSLLSKGAAASDITVDGQSAVSICRRLTRPKDYHAKMEHGQETNKDRICIDVLEREIKRNPMIGNVSACSSAVADDLHMKLLYLENRVAFARLLFPSEAKLAMEIAHAQTTSEFPGLLASKGSNGNLREMDLNETPLVQNKRLLLRMEALSKTVETGRRYFPHCSEVLDKFMDDDLPDLFFLEKGTADEQEIKRTRFVELKEEVQRAFTKDKAELHRGLSSSMYYPTTRGKNKSRRYS >KVH87504 pep supercontig:CcrdV1:scaffold_3164:39775:42174:1 gene:Ccrd_025236 transcript:KVH87504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSKESHAFLGRTKLLVISDKPRSSNKLACPEGRPLILGTRKERRTLPSRQERWRLWQQASNDLGYYDMMKKLLIIRDKGVLSSDQNVGFRNINHSPVTRKTTNVRLKPPYVSPKMVSQVIELVRSSKGDCRSKLDLMGVRLSTTSIFEIFQVLSFERVHGLQFFEWVGDNNWDSYRKADVCSLMIDNCGWLGDYNTMTSLLEKFNKERICLTDKAFGFLPVLDSSKAQAMESIASVIGILNKVGGSTRHSGIFAMIHMLCAIDSFEMAKFVMEVVEKKLSYYAILVREKCRKGCSEEAYALMGEMRVAGCEPDTKIYNYILGSLCKSGKLSEALDMLHEMKEMSVDPDLITFEIFISSSCRRGNLELADRILKMLMDTGCPPRLETYAALVKGYYNVGRKEEAYKYVQDLEVKKMPATNKMYSLLANLHQREGNIDVARRIFNEMMEKGLKPDYLHYAKTRNVLRHTGDRQLAQDLQKKFSKFRVE >KVH87502 pep supercontig:CcrdV1:scaffold_3165:21361:21898:1 gene:Ccrd_025238 transcript:KVH87502 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MRLNRSDLNLLYSTRYSKEITDAYEWLLLDAIRGERRLFIRSDELDAAWSIFTPLLKELEAKKIAPELYLYGSRGFVGAHYLAANYNVRWGDLASDD >KVH87503 pep supercontig:CcrdV1:scaffold_3165:3639:6125:1 gene:Ccrd_025237 transcript:KVH87503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyltetrahydrofolate deformylase QKFAGLLLLVADFEDQVGIVAKISECIASRGGNILVADIFVPQNKNVSYSRSEFVFGPIKWQRKQMNSDFFDLSKMFTAVKSLVRVPSVDPKYNIDVLASKQLIEVQL >KVI06697 pep supercontig:CcrdV1:scaffold_3166:1794:5419:-1 gene:Ccrd_014947 transcript:KVI06697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAFIAHLSIFFLFSACFISNPYSASATFNINTDRQTLISIKSQTITQPSDALATWDQNSSSPCNWTRVSCDDRTQRVVGLDLSGLQLTGPVSAHIGNLSLLRSLQLQDNLFDGVLPETITNLLSLQVLNISFNRIQGTIPANISRCTQLRVLDFMQNQLSGSIPLDLTLLPNLQTLNLAGNHLSGSIPPSIANLSSLSTLNLGTNTLSGPIPGDLSRLRNLKNLDLNINNLTGTVPQSIYNMSSLESLALASNDLWGDIPYNVGDTLPNLLVFNLCINRFTGTFPGSLHNLTNIRIIRMAHNXLXGTVPPGLGNLPELEMYNIGYNNIVSSRGEGLGFLNSLVNXNLPELEMYNIGYNNIVSSRGEGLGFLNSLVNSTKLDFLAIDGNRFDGVIPESIGNLSQKLRMLYMGSNQISGSIPTSIGQLKGLALLNISYNSISGEIPPEIGQLENLQELVLGKNRLSSNIPNALGNLGKLTQIDLSSNELEGSIPISYRNFDKLTFMDLSMNKLNGSIPREVLYLPSLTTILNLSGNSLTGSLPPEIGSLERVVTVDLSNNRLSGNIPNSIENCKSLEQLIISKNVLSGNIPNRLGELKGLVTLDLSSNLLSGSIPLELQNLNALQFLNLSFNNLEGEVPSNGVFSNHTRVHLEGNPELCYDSKCKSGGTHKAIVISVAVIASVLVILLAIAFFFYFRRDNKKIRDSSINSFKGQHQMVTYDQLCSATGNFNQENLIGRGSFGSVYKGCLNLEGRTREIAVKALDMETTGSLPSFLAECAALRHLRHRNLVKLITSCSSLDRKNMEFLALVYEYMKNGSLEKRIGKGMGLLERLNVAIDVACGLSYLHHECVVAPVVHCDLKPKYGMGSKPSTKGDVYSYGIMVMEIFTGKSPTHESFVGGLSLKTWVQSAFPTNLDQVLDPDMIQEPEALSSDGKSINMKIQLDCLKMVIGIALSCTNESPEGRITIIEALRKLKSVKDIVHKSFKLSI >KVI06698 pep supercontig:CcrdV1:scaffold_3166:13182:13662:-1 gene:Ccrd_014948 transcript:KVI06698 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MITGLLGRCKRGKMNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVSNPDNEVTVRQLAEMMTQVCSLFLC >KVH93566 pep supercontig:CcrdV1:scaffold_3168:12606:18833:-1 gene:Ccrd_004382 transcript:KVH93566 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3700 MLGVFSSSIVSPPEELVAAGSRTPSPKITAKALVNRFVENNASTVSMEIGDDVQFAYTHQNESITHPRSFAVKDEIFCLFEGALDNLGSLKQQYGLSKSANEVILVIEAYKALRDRAPYPPNHVVGHLNGNFAFVVFDKSTSTLFVATDQCGKVPLYWGITADGCVAFANDADLLKGCFFSTAVGGLRCYENPKNKITAVPATEEEIWGAKFMVRGRAIYHHSHRVNIHNLNVMLGVEP >KVI00747 pep supercontig:CcrdV1:scaffold_3169:33068:41488:-1 gene:Ccrd_021000 transcript:KVI00747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MADQSQVLLFGSFSEDEVKSWFDKPTGNVKNPVKEEALDLDSLKISSAISFGSFNDESYKNMDSSKGSNCNPTSISKNGTSSHSEAIENHKPKALLHPKENGRLFDNGNMKLKPVENINFCSSHIPSKNVPTSVVENEFQTVVSVKEEKLVDTVDGHLAVDSGTYLLPRGLINSGNTCFLNATLQALLSCSAVVQLFQGLKTHDIHKTGYPTLAAIVEFISEFKMPAGASSKDMNHMQVGRPFSPAMFEVVLKNFTPDVPSSISGRPRQEDAQEFLSFIMHQMHDELLKLEGQSSSASGNGSSLVSSIEDDDWETVGPKNKSAVTRTQNFAPSELSAIFGGQLRSVVKARGNKASATVQPFLLLHLDISHEAVSTIEDALRLYSMPETLDEYRTTSGKAGVVTARKSVNLLTLPKIMILHLKRFGYGTNGSTKLHKSVRFPLELVLSRDLLVSPATEGGRKYALISSVTHHGREASKGHYTADACYPSDQWFRFDDASVTAIGTNKVLHDQAYILFYRKV >KVI00748 pep supercontig:CcrdV1:scaffold_3169:8966:14882:1 gene:Ccrd_020999 transcript:KVI00748 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MVHVAEVCAECTQNCLLMHKKDKNPSPVATTFFKVMFGDEYSKVLFLPPRFAHSVRNMVGKATRLEDLSGEKWTVKFTMIDGFLAFEEGWNAFSTAHGLKVGDFLVFHYIMESHFVVLMYGQSGCPEIQHFGFNQHQMEDTRKKQRLVTDNTNSKPSNVNTNDHHISCEPSQSEHSDNEHPEIRHSVGTQHKEERIKKKETAVQLPPSVNAKNTCNHLLASTSRPGPSVSGKELVRDENPDNMVSETPTVKQFCLVDNDIEHVEDEHRSTLINRFHLDRLPTQKRAIENLEDLFERLSSRDSLHDLSIPEINPRESDDVRKNNVAFGGGGNATQINKSGKTLESRSEESRENPKKSTIMPSEATCNNSIKKRLRSSSLPIAWPKMAKKEPAGASETDTSGSRLHDAFCPSPSTGIFPKSIECELKDTETGLLHNSPAEKDNDGFVKPEPVDYDEALPPGPTNSLFSAELPEWVKLKKVILLRNGGDLWPVLYQNQLGLKALTQSWQAFAKERGIQPGDNCEFVLESEPNADLPCSVFRVHVTAK >KVI04919 pep supercontig:CcrdV1:scaffold_317:266514:267164:1 gene:Ccrd_016770 transcript:KVI04919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKEHHKNKKKKQKHNHQTSDFSFKPSSHVKGLRFGGQLLVKSFTVRRARPLELLRLLSLPPLATHHKPSPGFHSTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVALFVFESENMKVAVDRIWPAEMPLGEVNRKLIRGLTGCEMARFKFRKGCITFYVYAVRRIGSLGFSCGDDLRVILEIVVALNDFLDHTAMLAMPNQRSINFATPVAMAH >KVI04904 pep supercontig:CcrdV1:scaffold_317:250277:253854:-1 gene:Ccrd_016767 transcript:KVI04904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MGRKFFVGGNWKCNGTSEEIKILLSLLNAGQVPSGDVVEVVVSPPFLFLPSVRTTLRSDFHVAAQNCWVKKGGAFTGEISAEMLANLRIPWVIIGHSERRALFNESNEFVGDKIAYALSQGLKVIACVGESLEQRESGSTIEVVAAQTKAIADKISKWSDVVLAYEPVWAIGTGKVATPAQAQEVHLELRNWLQKNISAEVASTTRIIYGGSVNGGNCKELGAQPDVDGFLVGGASLKAEFLDIIKAAEVKRNA >KVI04907 pep supercontig:CcrdV1:scaffold_317:143542:148699:-1 gene:Ccrd_016762 transcript:KVI04907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVLKKRNYGGFHGFLAPVVPKAPRSLRRRSLHSKSSERDEICAFELLAEVADKLLQESESSTSSTGSEGKEQISIPKEGIKHEPLEVNLKPVRSELHDQGSCAESELVAPPTTLELKQEPHSQELPQSENDSGLERASIVTASDFVTKVGTNVRREASEDKNGVSDIPGKPERGSPSHGDLCDVSLGDNRAEIKTEAIEKQSGCLTAVKTYSLKKQVESYVNTRVPKKPTSSVQLSFYRDPAPSACFPRHRGNVKIDIRDDDEKYFRYNHHSTSRRAFGSRSRAGYRRIRKMLTSRYRKVAPQLKGYELSNTTSGGVRSFYHKRKNIYMRERCQAEAASKKRKLFHHSSKAAYIQEASTESISNSPEKSAGGDKRRPHAALNRAAGVTSSFISRKGPFSSKDSHVKFSIKSFKVPELYVEVPETATVGSLKRTVMEAVKAILQSKLHVGVLLEGKKVRDDNITLQQTGISQNCNLETLGFTLEPSVPEASPSLVQKETPLLLPGTHQPLSRSPSSPIIDVGFSNSPLDPPPITSLDEKNQESITFPGEVSTEERVADSKALVLVPAVDAEVLSMVPLREKPTKRYELSQRRTRRPFSVSEVEALVEAVETLGAGRWRDVKMRAFDDANHRTYVDLKVKDPHFVFTSMDKWKTLVHTASIAPQQRRGEPVPQDLLDRVLAAHAYWSQHQSKQQQGKHQKSLM >KVI04905 pep supercontig:CcrdV1:scaffold_317:201274:213718:-1 gene:Ccrd_016764 transcript:KVI04905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative domain XH MTKDYVSGEDGDASESEIEEYKDKSYEELKNGKHHIKLPNEALTCPYCPNKKKHDYQYKELLQHATMVGKSDSQKRSKTDKANHLALAKYLEKDIAEASDLSQPKNEVDHLADHDGDEMFVWPWKGIVVNLPIELIDGRYIGRSGSNMRDDLTMRRFNPMRVHPLWNFRGHSGSAVVEFRKDWTGFNNAVSFEKAYEAEHHGKKDWKENNDPKSGIYGWVARADDYRSDNIVGEHLRKIADLRTVSDIMAEEDYRAVKLMSNLTNVIEVKKRHFEEMETKYMETENTLSKLIAEKDKVHQSYNEESQKRELELRVVELQKREVINENERKKVAEEIEENAVKNSSLRTASDEQRKADESVMKLADDHKREKEKLHEKIIFLEKQLDAKQAVELEIERLKGQLNVMKHMGDDDLEVLKKIEDMHKNLKEKEEELEDLESLNQTLGLKNLSKASHIGVKRMGELENKPFYDAMKHKYNELEAEDRASEVCSLWEEYLRDPTWHPFRVITINGKPQEVIDENDEKLKGLKRELGDQVYKAVTTALTEINNYNPSGRYVITELWNFSEARKASLQEGLTTFIGKKMEDSKMVCELRKARSLAVSLAREVDMKNQRLWEMERHTEEISSRLNSMIAEKDRMNHTFSEEMRKMQVIGLQNAKLKTELECQLNKMHLLTQESERLKEEVAYQRKELELKANELEKRESQLDIERKSFYIEKEKIAQNPFDSDYSMSVHINDLRDRLTEKEEELHDMDILNQTLILREHMSNNELQAARKELINVLPQILDATTIIGLKRMGEVAQKPFQDVCLQKFSAQDWELRSVELSSLWQDRVNNPNWHPFKQAIKDGKLKEMVDEDDSHLRELRSQWGEEACNAVVNALLELNEYNPSGRYVVSELWNFKEGRKANLKEVIDCLIQQMKAIKPLKRRRDGQRLN >KVI04921 pep supercontig:CcrdV1:scaffold_317:285481:288676:-1 gene:Ccrd_016772 transcript:KVI04921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTVTTRSGRELIDGGLVFDRDATVAVLQDAIHQRIKKYHPSRQRLTLPISPHSKEKPIILQYKKCLKDYTNGNSDTISIIFKDLGPQVSYRTLFFFEYLGPLLLYPLFYYFPVYKYLGYKTERVIHPVQTYALYYWCLHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGCFIAYYVNHPLYTPVSEFQMKIGFGFGLVMQVANLYCHIILRNLRSPNGNEGYQIPHGFLFNIVTCANYTTEIYQWVGFNFATQTVAGYIFLVVDASIMTNWALAKHRRLIKVFDGKDGRGKYPSGRWVILPPFL >KVI04920 pep supercontig:CcrdV1:scaffold_317:290530:292149:-1 gene:Ccrd_016773 transcript:KVI04920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRKFGGKQPTGTPSMAWSTVVVVVSLLTGASIVHNIFKPDLTLPPIESINADKVQQPGKE >KVI04908 pep supercontig:CcrdV1:scaffold_317:196988:198034:1 gene:Ccrd_016763 transcript:KVI04908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MELRGLGYILMLTAILTAVNARIPGVYTGGQWETAHATFYGGADASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFELKCIDDPQWCHPGNPSILITATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAAYRAGIVPVSYRRQVIIFTPVPCRKQGGIRFTINGFRYFNLVLISNVAGAGDITKAWVKGSNTEWMSLSRNWGQNWQSNAVLIGQSLSFRVTGSDGRTSTSKNIVPPNWQFGQTFTGKNFRV >KVI04915 pep supercontig:CcrdV1:scaffold_317:249:842:-1 gene:Ccrd_016753 transcript:KVI04915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MWVKRKNIELMNPFDESWEEEAFAEDGRGPLGGFVWPPRSYSCSFCRREFRSAQGLGGHMNIHRRERARLKQSLNSTTPPHNPSPHQNLFRSANPSFSSSPSSRVSLRTLCSNSDTKSTSCVSDDQVQMLLPSPDHGAAVETNFFLGFRLDSPPPGNGNDDHHRFDDEEVMNCKRQKTIVMPLMIMGSIEEVDLELRL >KVI04903 pep supercontig:CcrdV1:scaffold_317:236140:239665:-1 gene:Ccrd_016766 transcript:KVI04903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGSCFSVGLKIDSSRLDGKVTSASVPPSPRSPRTQTEILQSGDLKPFSFNVLKMATRNFRPDSVLGEGGFGSVFKGWIDEQSLAAAKPGTGTVIAVKRLNQEGLQGHQEWLSEINYLGLLNHPNLVKLIGYCLEDDQRLLVALGAAKGLAYLHSPEAKVIYRDFKSSNILIDSNYNAKLSDFGLAKDGPVDGKSHVSTRVMGTYGYAAPEYMATGHLTARSDIYSFGVVLLEILTGRRCIDKNRPSGEQILVEFAKPYLSSKRRILYIMDPRIGGQYSPTVAIRAAMLAMKCLLKEPKHRPSAEEVVKALEQLQELQKATDGSRREPIRRHHDSKPSSYPRPAGSGSGSGSSSEKRS >KVI04913 pep supercontig:CcrdV1:scaffold_317:27274:29628:1 gene:Ccrd_016755 transcript:KVI04913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPIPYLLLLLSLSVPSSFSHSFIFNGFKGLNSTNITLNGVAEITGNGILKLTNVTSHLIGRGFYPDPIRFKNPITKTALSFSTSFVFSVVPQYIKLGGHGFAFTISPNKDLAGAQSTQYLGLVNVTNNGNTSNHMFAVEFDTVQDFEFSDIDDNHVGVNINRMTSVKSTRAGSFVDGDSTKQDLCIQSGEKIQAWIDYDAAKPELNITLSLFPKKPNTPIISFPVDLSPVFQDFMYVGFSASTGRLASSHYIFGWSFNMTGKAESLDLHLLSLPPFKKNQKDFRIWCSVSTSLALFITVIVVSVYVIKKLKNIDLVEDWEFDVGPHKYSYKELKGATKGFHEKELLGFGGSGKVYKGILPDSNTQIAVKRISQESKQGLTEFISEISTIGRLRHRNLVRLLGWCRRRCEFFLVYDFNANGSLDKYIYNNPKMILTWEQRFKIINDVSNGLLYLHEEWEQTVLHRDIKAGNVLLDSEFNGRLGDFGLAKLYDHGSSPCTTKVVGTLGYLAPELTRTGKPTTSSDVYAFGALLLEVVCGRKPIEPKASPEELFLVDWIWEKWREGGLLEVVDSRLKGVFDEVEVMVVLKLGLLCSSDEPSFRPSMKQVVRYLEGEVPLPKILAPPCEGGEKGGFVVEFDNYAPPLPLQKMNSELRDSSVHHSLRNDLTEHIWEHYSQAXN >KVI04912 pep supercontig:CcrdV1:scaffold_317:32475:38321:-1 gene:Ccrd_016756 transcript:KVI04912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MMSDIGMRSMVSNNEELGLLMREKRRQEVAGNTNMTSSSDREMELNMYRSGSAPPTVEGSLNAVAGLFDGGGNGLSAEFGGISEEELRSDPAYLSYYYANVNLNPRLPPPLLSKEDWRFSQRLHSGGGGGNGSSAIGDRRNMNRDDDNNTRSLFATQLGFTGNKAENTGLTESRKPQGGGSTAEWGGNGLIGLPSLGLGSRQKSIAEIIQDDISQAASGSRHPSRPTSRNTFDNNVDLSDSHFAHSYASALGASMSRSTTPDPQLMARAASPRIPAVGRGRISSLDKRNVSGINGPSDLVTGFSGMSLSANDMVDEENHLQARIENTPQLGKSSYPYMGKADLGNPSLLADAQGSPVNSYMKGPTNGAGGSPQYQNLDSPSSSFYGGYMMNPASPTMMANQLGGVNLPPIFDNAAIAAAMGASAMDSRAMGGGFALGPNMLTAELQNLGRVGNHSPNGLQVPLMDPLYLQNLRSSEYAAALNDATIDRELLALQKAYLAGLLSPQKSPYGLNHGYYGNSAYGLGMSYPGSPLGSPLLPNSAIGSGSPVRHGERSLRFPSGIRNLVGGESFASSLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEDKDMVFHEIMPQALSLMTDVFGNYVIQKFFEHGNATQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVNLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECVPEDAIHFIISTFYDQVVTLSTHPYGCRVIQRVLEHCCNPKTQSIVMDEILKSICMLAQDQYGNYVVQIVQMSQQKFASNVVEKCLTFGTPEERQILVTEMLGTTDENEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIGTLAGYAAAAAAAATAATATN >KVI04911 pep supercontig:CcrdV1:scaffold_317:42432:43012:1 gene:Ccrd_016757 transcript:KVI04911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INRTYAESKSNIRNREKEELSALKAGLKKVRIFMEYVSIRAIYLPFDSRSLDKSEEGESNESKENDDSTFRISFSYGTLAYANCTRGSPYEDNVDYYYYYNNHKSDVEDPKRSILPRKNRTLNIPKTKHKPLSKKAYAEKGGDDIDFHRYWLSSNESL >KVI04901 pep supercontig:CcrdV1:scaffold_317:260964:265155:1 gene:Ccrd_016768 transcript:KVI04901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MELSKIPLFFFFFLLRLLSAVHSIGVNYGTLGDNLPPPSQVAQFLKSSTVIDRIKIFDVNPDIIKAFANTGILVSVTVPNGDIPSLTNPRNALRWVDANIKPYYPATKFHYICVGTEVLHWGPQNLVDNLVPAMRVLHAALIKSGINDVKISSPHSLGILLESNPPSNASFRPGWDVGNLAPMLQFLRKTKSGFMVNPYTYFGYSPANADYCLFKPNAGLFDKATGKRYTNQFDQLMDAVYVSMKKLGYPDVEIIVAETGWPSGGDPQNTHANPANAAAYNAGLIKKVSSGVGTPLMPGRKFETYIFSLFNENLKGPSLDEKNFGLFRPDFTQVYNIGIFRGSQHAPTGSTPKPAPAAPVAAQGKKWCVPKPDATNAALQSNIDYVCSSGADCHPIQAGGACFEPNNVRAHAAFVMNSFYQTNGRNDFNCDFAHTGAITNIDPRTTRGSSNPTTNGTGHSTFRPRPNPSALARLVGVAISGTKSLILRLLSQILPINELSMRSTSFPRAEIVKDSPEGIGEKDDLVWVVGSTVMVGHQSGVQPLIVKMQRMRSNQQEEK >KVI04910 pep supercontig:CcrdV1:scaffold_317:136770:139660:-1 gene:Ccrd_016761 transcript:KVI04910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MFMDGRVNADYGLLNLKAMKCNESASILSDQNFLNAINLNDSFIDLNSMHRPTRLLDSGPSKRSSPSALKDEGDLHEDSDFSDLVLKYINQMLMEEDIEEKSCMLQESAALHAAEKSFYDALMVKERPSNASHLTVPFNSTKSKDAVAESLTCFGGNSSIGGTDFRFDVSGSSLLPSALINFDSQSRFQPSYSSLSSTSSVVDVFVDSPVSTLSFPDMFCDGRSTVQFQKGLGDASRFLPSDDGILDYMDTGVLLGKDQKDDSYAVVVKVEKEHKISSIPDGSRGKKIRHSKDLLEDGRSSKQSAVYIEPHVRSKMFDEVLLCDEGKTNSAQCEFVRNGVTNVQQKGQPKGSNGVKARGKNQGGKKDAVDLRILLSLCAQSVAANDQRGVTDLLKRIREHASPTGDGMQRLAHYFCAGLEARMAGSGTVIYKALLSRPTSAVDVLKAYHLFLGIFPFTKLSHFVSNKTIMRAAQNKKRLHIIDFGILYGFQWPCLIQRLSTRPGGPPELRITGIDFPCPGFRPSQRVEETGCRLANYAETFGVPFKFKAIAQKWETIKMADLELDNNETLVVNCAYRFRNLLDETVMVHSPRNEVLDLIRKMNPEIFIQGVVNGSYNSPFFVTRFREALFFFSSLFDLLEATVASDTQERMLIEKTLWGREAMNVIACEGGERIERPETYKQWQVRNQRAGFRQIPFDEEILKMAKDRARSGYHKDFMIDEDGHWTLQGWKGRILYAISSWKPAY >KVI04916 pep supercontig:CcrdV1:scaffold_317:72238:73611:1 gene:Ccrd_016759 transcript:KVI04916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGRFTAMFNELAKSFSPTKRGKSCGQKEVAEVMAKEAKKDNMILRSSGSININTFASICSKRGEKGVNQDCCIVWEEFGCQKDMMFCGIFDGHGPWGHYVAKRVCESMPSSLLCNWQEMLFEASLDPHTCDKKLDIWKDSFIKTCAVVDRDIRHCHKFDSIQSGTTALAAIRQGELVVIANVGDSRAILATIVNDGCLVAVQLTVDFKPNLPDEAERIVECKGRVLCLEDEPGVHRLWLPNEESPGLAMSRAFGDYCVKDYGLISVPQVTHRHIISKDQFIVLASDGVWDVVSNEQAVEIVSSTDDRAKSAKRLVEFAARAWKRKRKGIAVDDISAICLFFH >KVI04909 pep supercontig:CcrdV1:scaffold_317:87558:88733:1 gene:Ccrd_016760 transcript:KVI04909 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1117 MSMAGSSSSSYWCYRCSRFITVPTLRQDSSLFCPDCNGGFIEEIESPARLPETTLSESRRRRFPAAAMYMVGDDQQSSGPSPVPPVLQRDRRNTGDRSPFNPVIVLRGHSSNGNTPEATVTVESINGGGFELYYDDGAGSGLRPLPVSMSEFLLGSGFDRLLDQLTQIEANGLGRMNQNPPASKAAIEALPTIEIQEIHISTESHCAVCKDPFELGTEVKEMPCKHLYHSDCILPWLTLRNSCPVCRHELPPDNQDSRSNRGHINDDEAVGLTIWRLPGGGFAVGRRGGEREVPVVFTEMDGGFNINGGRWRSSWGSRGNADRESGGLRRVWRGMFSCFGGGGRRGLSSSSSSSSDEGVSHRSRFIPTIFSSTSRRRRAWTFDVNNRPQMW >KVI04917 pep supercontig:CcrdV1:scaffold_317:56465:58231:1 gene:Ccrd_016758 transcript:KVI04917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MESVVSELEGTLLKNQDPFSYFMLVAFEASGLIRFTLLLLFWPIIKLLDWCRKPEVGLKLAIFLATAGVPMSEIESVARAVLPKFYFDDIDLEAWRVFNLGDRRVVVTQMPRLMVERFVKEHLRADEVIGTELVVSRFGFATGLVQDGGFGSSVCDRVAAIFDGQQPSLGLGRCHSGSSFLSLCKRLQINLQLMGFISLQEQVNASYMIKDQKQDMRPMPVIFHDGRLVKRPTPSTALLILLWIPLGVILAIIRMTIGVLLPMWAIPYMASIFGGKVVVKGVPPPPPSGSNAGVLFVCTHRTLMDPVVLSTVLQRSIPAVTYSISRLSEILSPIPTVRLTRIRHVDAERIKKELSQGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPVYEVTFLNQLPAEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYTTVMDRVQKMGKTVKKVVGTFKPFVFN >KVI04918 pep supercontig:CcrdV1:scaffold_317:277799:282573:-1 gene:Ccrd_016771 transcript:KVI04918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin/actin-like conserved site-containing protein MSHKMAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KVI04914 pep supercontig:CcrdV1:scaffold_317:9635:12038:-1 gene:Ccrd_016754 transcript:KVI04914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNFPLCCSKLQCVPLSKPSLQIPSSSQGRTQVKITNDSSFNFGESLSKVCITALFSASLFFATDPALAFKGGGPYGSEVTRGQDLTGKDFSGKTLIKQDFKTSILRQTNFKAAKLLGASFFDADLTGADLSDADLRGADFSLANVTKVNLTNANLEGALVTGNTSFKGSIITGADFTDVPLREDQKEYLCKVADGVNPVTGNETRETLLCK >KVI04902 pep supercontig:CcrdV1:scaffold_317:263336:265034:-1 gene:Ccrd_016769 transcript:KVI04902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGESFTISALGKDVDLIDNSFIGRICDNSRRIKLLVPEIATPTSLARALGLGRGRKVLCPVPLVVGLEEPRVVPDFLRDLVSKGWVAVRVNAYETPWMGAMCAATLLVSKGWEWWMRLLTWIDVGDGSRVSEITVKIVPAIGLIERIHDERCMGPHIVGFKTGPASLDRVAIGPAAADVVYVRLECGIGGIWFRDTPFLALSRHRCCWCWFWCRTCWRVL >KVI04906 pep supercontig:CcrdV1:scaffold_317:218469:234808:1 gene:Ccrd_016765 transcript:KVI04906 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit beta MFTQFGSTAENFSKASTMVFRIGTDAHLYDDPDDVSISPLLDSKFDSEKCEALKRLLALIAQGLDVSNYFPQKRSLLMPLCYLIDVQVVKNVASQSLEVKKLVYLYLLHYAERQRDLGDPNPLVRAWALRTMAGIRLHVISPLVLVAVRKCARDPSVYVRKCAANALPKVHDLRLEENATAIIEIIGILLYDNSPGVVGAAAAAFASVCPNNLPLIGRNYTRLCEILPDVEEWGQIILIGILLRYVIARQGLAKESIMLSLCPEDVSHDKSGSDFDTNSLEMNKTYEMSSGIQEHELAAMVSRSYLEGPDKYLSHSTNADVMSSELDSSRFTSSKSDDDMKILLQCTSPLLWSRNSAVVLAAAGVHWIMAPRKDIAKIVKPLLFLLRSSDASKYVVLCNIQVFAKVMPSLFTPHYEDFFINSSDAYQVKALKLEILSSIATDASISVIFQEFQDYVSDPDRRFAADTVAAIGLCAKRNPQVANTCLEGLLALTSPKSVNSTSGSTDDEAVVLVQAITSIKDIIKQDPSSHDKAIIYLAQNLDAIKVPVARAMIVWIVGEYNSIGNIIPKMVSVILRYLARSFPSESIETKHQILNAAVKVLLSSKGEDFHTARSILSYVLELAKFDLNYDVRDRARILRKLLSCFYVSSSGLEEESSQKSENNELPLLLAEHVFGEKITSSEMVNNRFYLPGSLSQIVLHAAPGYEPLPEPCSLTELDIIEGMKISEEGPTQVGSYEVDNSDVDSGSLNEEGSYSYDSEDSITSSRGTDNTNEGAAREADNTDSLINFSDVGKAQKEIEVSEENDFHGELMSKGALESWLDDNPSSSQNVPEMDNERSSSARISIADISKRIRPKTHMLLDPAYGNGLRVVYKYSSKASTISSSLVSVEVSFENCSSEPISKLFLTDEDSGMSSESLDQTSPTDESSLQSEKEVPTLVPMEEITVLEPGQTTNRIIQVRFHHHLLPLKLVLWCNGKKNPVKLRPDIGYFIKPLPMDIDLFSHKESQLPGMFEYTRRCSFTDHLTELTDDKDGDGSSIKDSFLVICESLASKMLSNANVYLVSVNMPVAANLNDASGLCLRFSSEILSTSIPCLISVKLDGKCFEPLNASVKINCEETVFGLNLLNRIVNFLAEPAVTS >KVH87500 pep supercontig:CcrdV1:scaffold_3170:38686:40812:1 gene:Ccrd_025240 transcript:KVH87500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MVAGGGDEEEKRGSGGLKNDKDLRAGVALYARAAFLGHADAMRELGQCLQDGYGVRKNVEEGRRLLVQANVRELSSLRLITLDANSLYGFHLSKGMNYLHQNYIVHRDLKAANLLLDEHDAAQDAELPVTSSISPEGMEKTTAPVGTASPSNMQVYAGVAPLMSMADNFAAATASLRMV >KVH87501 pep supercontig:CcrdV1:scaffold_3170:2506:22260:1 gene:Ccrd_025239 transcript:KVH87501 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH domain-containing protein MEDGEGGLSFDFEGGLDAAPTQPTASVPVIHQSTDNGPSASAANLSYSAALPSAVTDPASGAAAAANFAGRRSYRQTVCRHWLRSLCMKGEACGFLHQYDKSRMPICRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPSVEEVLQKIQQLTSYNYGNSNRFFQNRNANHSQQSEKFQFPQGNNDMNQATKPATTDSASLQPVLPSSPQQQQQQQVAQSQQQVSQLGQPQAQSNGQQNQANKTSIPLPQGTSRCGCAKMTSKTGGSVGGGNWKYEHGTAHYGRNFCVKWLKGSSVTLNRPEAVRHPDRDRRINKIKLIVSTVQLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYLEPDSELMAISLAAESKREEEKAKGVNPENASENPDIVPFEDNEEEEEEESEEDEDSFEQGFGLAAQGRGRGSRGMIWPPHMPMGRGPRPMPGMRGFPPLMNMMGGDGFSFGGVGPDGFPVPDLMNMGPRGFGPFGPRFSGDFQRPPFAGMMFHGRPGPHQHGNFPGGGFGMMMGPGPGPGRAPFMGLGGGVAGRGGGRPVYPQPQQQLQPPQNTSRSKRDQVAVGGNDRYSGGGGSQPIGQDIGRPDDEGQPGGENTFKNGESESEDEAPRRSRHGEGKKKRRGSEGDAATNSDDKI >KVI08080 pep supercontig:CcrdV1:scaffold_3171:693:7635:1 gene:Ccrd_013552 transcript:KVI08080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MDSHENDGNGVAAEALPPPPPVPENVVPIKADTEQALEPIKKKVLRVPMARRGLATKGQKIQLLTNHFKVNVSNVDGHFFHYSVALFYEDGRPVDGKGVGRKVLDRVHETYDSELAGKEFAYDGEKSLFTVGALPRNKLEFTVVLEDVTSSRNNGNTSPGSPGEKDRKRMRRQYQSKTFKVELSFAAKIPMQAIAQALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDVKNFADVGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLINNQNVKDPYSIDWAKAKRTLKNLRVKTSPTNTEYKITGLSEKRCSEQMFSLKQKSKDENGEAELLEVTVYDYFVNYRKIELRYSGDLPCINVGRPKRPTYFPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMRVLSDALKLNNYDAEPLLRSCGISINSSFTQVEGRVLPAPRLKVGNGEDFFPRNGRWNFNNKKLVDPTKIEKWAVVNFSARCDIRNLVKDLIKCGGLKGIVCFDFFNLSIRIDEPFDCFEESPQNRRAPPLVRVEKMFENIQSKLPGAPQFLLCLLPERKNSDLYGSPWKRKCLAEYGIVTQCIAPTRVNDQYLTNVLLKINAKLGGLNSMLAVEHTPSIPIVSKAPTIILGMDVSHGSPGQSDIPSIAAVVSSRHWPLISRYRASVRTQSPKVEMIDSLFKKVSDTEDDGIMRELLLDFYVSSAKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDDKWCPKFLVIIAQKNHHTKFFQPGSPDNVPPGTVIDNKVCHPRNNDFYMCAHAGMIGTTRPTHYHVLYDEIGFTADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQVGQFMKFEDHSETSSSHGGTGVTSAGAVPVPQLPKLQENVCNSMFFC >KVH87499 pep supercontig:CcrdV1:scaffold_3173:33480:35046:-1 gene:Ccrd_025241 transcript:KVH87499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase MPSHSSTLYKIDGWGAPYFSVNSSDNVIVRPYSAKTMVHQEIDLLKVVKKASGSKSNGGLDLQLPLIIRFPDVLNDHLEYLQSAFNYAIKSQGYSSYYQGVYPMKCNQDRFIVKDIVKFGSSFRFGLEARSKPELLLSMSCLCKGSSESLLICNSFKDAEYISLASIARKLSLNTVMEELNYVIDISIKLGVRLVGEVRARLRRKHSGHFGSTSSKNGKFSLTMMQIIHVVKKLEQCGMLDCLQLLHFHIGSHSMTLLANGVGEASQIYSKLVHLGASMKVIDIGGGLGIDYDGSKSTDSDVSVGYTLEEYAMAVVQAVMFVCDRKSMKHPVICSKSGRAIVSHHSIIIFEEVSSGKYTVPTMSSFDIQRFIERLPEDAHSDYHNLSQSAVRGNYEACLAYADLLKQSCVKKFKHGSLDIEQLAVVDGLCVLVEKAIGASNPVSTYHLLIFGHRTIVSHHSNPSPRQTPSKKKRILSDLTYDSDGKIDKFIGEESNFPLHELEGENGM >KVH87498 pep supercontig:CcrdV1:scaffold_3173:65177:69121:-1 gene:Ccrd_025242 transcript:KVH87498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNRGLISDDKRARXRTLSVAIPTVPPDTRTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEEEIDGVEFIIIASDGLWNVLSNKDAVGIVQEITDAEAACRKLVQESYARGSSDNITCIVVRFENR >KVH87494 pep supercontig:CcrdV1:scaffold_3174:11025:12974:1 gene:Ccrd_025243 transcript:KVH87494 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAVFLLFISAAIPLFLSFILFKLRKSRSRLPPGPLGLPIIGNLHQLDTSHPSNHLWQLSKRYGPLMFLRLGRVQTLVVSSAQMAKEVLKTNDAIFCSRPVFTGQQKITYGYKGLILTPYNDYWRETRKICTLHLFTTRRVLSFRDDREEEIFITINEIKSRIATSVSEVVVNLNETVMALTSRIICRMAFGKILGQETSRFHELLLECQAVLANFYFRDHFPLMGWVDHLNGSMARLEKNFNDMDVFYQELIDEHLNPKRPNKVQDDIVDILLQLKNDDSCTETSASAVVWAMTFLIKNPKALKRVQQEVRNAVGNKGKIDEEDLQNFVYLKAVIKESLRLCPVAPLLVPRETIDACILSGYKIPSKTLVYVNVWAIGRDPEYWDNPEEFHPERFIGSNIDYKGTDFELIPFGSGRRGCPGMSLGSITMELALSNLLYAFDWKLPHGMKSEDVDTITTPGIVLHKKNMLNLVPSYRNCKT >KVH87497 pep supercontig:CcrdV1:scaffold_3174:45918:47242:1 gene:Ccrd_025244 transcript:KVH87497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSNASPFLPHKTQVTRTPFFFAPFPFHHQTLHFTSFPSTLAKKMIRDFNLFPERSINIVEDDDGLRSSSFQQNKIVEKRFKFPNLVDPNDISVDDLGHHTGYYQFEHSHAPRHQTYCMLTSLLEPALVIALINMTFATM >KVH87496 pep supercontig:CcrdV1:scaffold_3174:52023:53009:-1 gene:Ccrd_025245 transcript:KVH87496 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA binding-like protein MDDGGHRENGRQKQPQGQWLMQHQPSMKQIMTIMAERDAAIQERNLAMSEKKTALAERDMALLQRDSAVAERNSAIMERDNAIATLQYRENSMNSGNNTSSSCPPGCQISRNVKHVHHPQQYQQEEMGGGGGSGGRGVDPLPVSPAAPEPAKSRRTKRTKDMKSVTDKKTSRASRKWGNGGWQSSCCTTTMSMYPLPSLPNKRHARVGGRKMSGNVFNKLINRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >KVH87495 pep supercontig:CcrdV1:scaffold_3174:53182:56764:-1 gene:Ccrd_025246 transcript:KVH87495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDVLEETVGRQPEEIISISLKPASPPIASSCQVSIFRKQAMDDKPRPLLLDLQVRSLSSAGIKNERKGRNSVSKRHLHSRSTTV >KVH97105 pep supercontig:CcrdV1:scaffold_3175:38446:45043:1 gene:Ccrd_000797 transcript:KVH97105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MKIIFYVLALYAAFQGCYSAANQPRATKKSTSIDRSTSSVVLPVTGNVYPRGYYHVTVNIGNPPKPYWLDIDTGSDLTWLQCDAPCTQCTPAPHKLYKPDKDLVTCMDPLCASVHWPEQHDCGSPKEQCDYEVVYADNGSSVGVLVTDSFPLQFINGSIANPRLAFGCGYNQQVPASMDPPYTDGILGLGIGKASILCQLHELGITRNVLGHCLSSQGGGYLFFGDELVPSSGVTWAPVSTTEIEKHYSLGTAELNFGGKASGVKGLHIVFDSGSTYTYLTAQAYKALVSMIIKDMKGKQVYIANEDKSLPVCWKGSKPFKYIHDVKNLFKPLKLSFAKNVEFQLDPEAYLIISKHGNACLGILNGSEVGLESINIIGDVSLQDKIIIYDNEKQRIGWAPANCNELPNLLDDGDPDNGGLCKPYEPNLGILKAYSAAKWLGLRTN >KVH97103 pep supercontig:CcrdV1:scaffold_3175:57865:60745:-1 gene:Ccrd_000798 transcript:KVH97103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MEFHNPLFVAFLLLILITTKVFANCTCEPQEIAGDNNKALKYKLIALASILIAGGIGVSLPFAGKIFPALRPEKDGFFVVKAFAAGVILATGFIHILPQAFESLTSPCLKEEQWAKFPFTGFVAMVATIATLSFETLSAAYQLRDQTAAAAKVVGDVEGEEMDCGLVDAHILVDDSVNLVDLLVLYTF >KVH97104 pep supercontig:CcrdV1:scaffold_3175:63643:66741:-1 gene:Ccrd_000799 transcript:KVH97104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MESPTQIHQHFNPKTQPLIFNIRLNSSQSNTIPSNFQQPILKFRFDRTLQSVHQTFLKQKWLKGSGKNMNSRHAEASRIREKYPDRIPVIVERAEKSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVENVLPPTGAIMSTIYDDKKDDDGFLYVTYSGENTFGSILS >KVI04952 pep supercontig:CcrdV1:scaffold_3176:22155:26401:1 gene:Ccrd_016720 transcript:KVI04952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar (H+)-ATPase G subunit MLLDSSLDLLVSLVVVLVNLVKMIRPLNLQVVSFVKSNAVDLVSDLECTAAMRSGIHVFLMLSSTRISFDGTGKRLAHMDSSRHQNGIQLLLTAEQEAQQIVNAARNAKLARLKQAKEEAEKEVAEFRAQMEANYQRELAETSGDSGANVKRLEKETEVKIEQLKMEADRISHDVVDMLLKLVTSVRY >KVI04953 pep supercontig:CcrdV1:scaffold_3176:38773:42457:1 gene:Ccrd_016721 transcript:KVI04953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MDSNRESSEEPSIVTDKLSYEIFSILESKFLFGYNDPKLWKPKQVSPEISVTPTQSDDGGVLSMKNQRGKICLLSIDGGGMRGILAGKALAQFEKALKAKSGNPNARIADYIDVAAGTGVGGVFTAMLFGSKSQRVPIFKAEETWRFLAEQGKRLYHQKSSSAGGGGGGGGLWKRLFRGGGGISHATTAFENAMKEAFEVNGRSLTLKDTLKPVLIPCYDLSSSAPFLFSRADALETDSFDFRLWEVCRATSAEPDLFEPVSMKSIDGKTRCVAVDGGLAMSNPTAAAITHVIHNKQEFPFVRGVEDLLVLSLGTGQLLEGNYDYDQVKGWKAKDWARPMARISGDSSADMVDHAVAMAFGQSRCSNYVRIQANSSNMDGSRVNVDSDANVKALMGIGEEMLRQKNVESVLFGGKKIGEETNLEKLEWFAGEVVLEHERRSGRIAPTVAFKQPTS >KVI04954 pep supercontig:CcrdV1:scaffold_3176:363:2064:1 gene:Ccrd_016719 transcript:KVI04954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MTKEPVTGPEQEQEQEPASGKDYHDPPPVAFLDPKELTKWSFYRALIAEFVATLLFLYITVLTVIGYKSQTDIDKVGTNPCDGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSSLRAILYMVAQCLGAICGVGLVKAFHKTYYNNYGGGANELAEGYNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKEKAWDDQWIFWVGPFIGAAIAAFYHEFVLKAGAAKALGSFRSSTHV >KVH87493 pep supercontig:CcrdV1:scaffold_3179:58699:59682:1 gene:Ccrd_025247 transcript:KVH87493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase MGSFHDEASLVEKVCEIYDKISKLETLKPSKDVDTLFTQLVLTCIPPSSINMSDLPENIQETRSKLIRLCGEAEGHLEAHFSTLLASFPNPLHHLHVFPYYSNYLKLGRLEFDILNRHYSTEPTDVPKNVAFIGSGPLPLTSIVLATYHLTNTAFHNYDIDPLANSMASCLVSPDSDLSQRMFFHSTDIMEVTEELKEYDVVFLAALVGMDIKDKVKVIQHLAKYMAPEAILMLRSAHGARAFLYPVVNPEDLQGFEVLSIFHPHDEVINSVVIARKHPTPVEVDHSHHHQLGIGSIMPSSCKYCDFQAFNNPLNQMNIIEELAMDE >KVH94731 pep supercontig:CcrdV1:scaffold_318:151962:156078:1 gene:Ccrd_003203 transcript:KVH94731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSSIVKKASILAVVALSAAVTVSAQSISPAPSPDAGAAFSIPVSGVVIGSSMLLSFVALANIESCGSLSGCTQEVCYFGKANANR >KVH94733 pep supercontig:CcrdV1:scaffold_318:240455:242202:1 gene:Ccrd_003201 transcript:KVH94733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHFYNDQTSIVGQTIYHRPNLQLQNLILLRFMLCDRGTSMMKVGSILVPMVFFIGLLHFGFEEMGEMGWSCFWPTMPSKQQSKADLAKKQKINEDKTFGLKNKNKSKNARKYVESLRQSIQPKPDPKSMPRLVA >KVH94735 pep supercontig:CcrdV1:scaffold_318:120875:121222:1 gene:Ccrd_003207 transcript:KVH94735 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, ESSS subunit MPSAKPFSAAAGMLRSRLTSTLRQRSGDGPSRWTTPGHQDRPNGYFLNRTPLPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTLETWAHQKAVERLEAQRQILAADDSD >KVH94738 pep supercontig:CcrdV1:scaffold_318:144697:144897:-1 gene:Ccrd_003204 transcript:KVH94738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSIVKKASVVALVALSAAATVSAQELAMAPAPSPDAGAAFSVPATGFMIGTSLLLSFVAFLRN >KVH94723 pep supercontig:CcrdV1:scaffold_318:96877:97515:-1 gene:Ccrd_003210 transcript:KVH94723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDMNQYPHDISTPVSFCLWLCGRRIRVYSVSSIVSGDFHPDVLAQSLRFYRPLPYPYTWYVYGEQAAIVNIIDLQAYEQTHHQSPSYSTTTYYQPQEAIDHYQYSETIDEVALLQDLNMNQINDSFEQASELVAKECSGLTKELISKNLRVTKYREEGEEESEVCVVCQVEFENNERVGVLQCRHRFHPKCINEWLLRKNVCPLCKGQALKV >KVH94737 pep supercontig:CcrdV1:scaffold_318:138417:142024:1 gene:Ccrd_003205 transcript:KVH94737 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MGKRKDTSIREEIMEDDDEQQQQNQRNLDESSSLSNDKSLYEILGVERTASQQEIKKAYHKLALRLHPDKNPDEEDAKEKFQQLQKVVSILGDEDKRMLYDQTGCVDDAYLAGDVVQNLKDFFRSMYKKVTEADIEEFEARYRGSESEKTDLIDLYNKYKGELKSTKAYKKWAKQVLDTKPPTDSLRRKEKSKKEPNDLYAIISQRQSERKGGLDSMFTSLLSKYGGGQPSSEPTDEEFEAARQNIEKRKAKHK >KVH94722 pep supercontig:CcrdV1:scaffold_318:289315:294431:-1 gene:Ccrd_003196 transcript:KVH94722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGERRRCIYRDVLPFAAMVMVEFMIVGGNTLFKSATAQGSINSYVFTFYVFLLGFFFLLPCLFILNRRTTIPPIKFSIVVKIFLLSYGSPTLSSIMSNLSPAFTFMLAFFFRMETIDFRTYTTRAKVTGTIVSIAGALVAMLYSGPTRSTYSFSLNSVIGGVLLASQYFLVAFALVAQAKIMLSYPIDIMVVFVFSVSGLFVAGLAGLVMARDSDAWKLKLDKGPVYVAMFKPLTIVIAIVMGVLFLGDSLQFGRCY >KVH94725 pep supercontig:CcrdV1:scaffold_318:53289:68954:-1 gene:Ccrd_003212 transcript:KVH94725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSATEIDGEKLPYVHVRARRGEATDSHSLAERARREKINARMKLLQELVPGCNKIAGTAMVLDEIINHVQSLQLQVELLSMKLAAVYPTIGLNVDSLFPSESGSPMDYNFTGMVSQSWGLDEQAKVNTQPVWYHDEINTLMTPENSLLSYDCSGNLDERSNVRLS >KVH94736 pep supercontig:CcrdV1:scaffold_318:125015:129578:-1 gene:Ccrd_003206 transcript:KVH94736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKETGCQAAPEGPILCINNCGFFGSAATMNMCSKCHKEMILKQEHAKLAASSFENIVNGGGSEDVVATEAIKAAAAAPVELKMVPTQARPDDSSSQQVVEAKVKEGPSRCMTCRKRVGLTGFNCRCGNLFCSAHRYSDKHECPFDYRSAGRDAIAKANPVVKAEKLDKI >KVH94728 pep supercontig:CcrdV1:scaffold_318:7155:16603:1 gene:Ccrd_003215 transcript:KVH94728 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MPCVVATSSQTAKELFKTYDDVFLDRPHNSSMDYISYGGKGFIFAPYGSYWKFLKKTVMSELLNGKTLDSLLPVRHDETNRFIECLSQKAKVGESVELKRELMKVTNNVISRMVMSKRCSDDQEGDAVDIRKIVIDIAKVMGTFNLSDNVWLCKNLDLQGNGKKCEAIRARFDGLIEKIMREHEEARELNEESGQDIFGGGTETSAVTIEWALSELINHPNILKKAVEEIDQIVGKSRLLQESDVPNLPYLQAIVKESLRLHPSAPVIQRLSTQDCTVGGYHIPAKTTVFFSIWSVGRDPTHWENPLEFRPERFIEKHLDVRGQHFHFLPFGSGRRMCPGVSLGLMVIHVTLGSMIQCFDWMARKDGDLTSLDMEEEVVGELCRRDIEQWFFFVPRQEREAQGGRPSRTTASGYWKATGSPAYVYSSDNKVIGVKKTMVFYEGKSRTVKRTEWKMNEYRAIEKDIDNTNTSPVPKLRHELVYVVSGCTRAFDRRPLGLEPTPMITYKASQGAPSSQNTVQTAYILFITTWNQMSYFLYINSFLFEHIIDPSIVLTVLLTMADFQGYILLFLIWLISTFMIRSFFKSTRAKPHLPPTPFALPIIGHLHLLAPIPHQAFHKLSLRYGPVIRLFLGSVPCIVACSPETAKEFLKTYENSFLDRPQNSAVDYLTYGSKDFSFAPYGSYWKFMKKVVMSQLLNGTTLDLLLPVRHEEINCFIKLLSEKAKLGKAVDLDGELVKLTNNVISRMLMSERCSEAENEAGEMRKLVTEIAEITGKFNLSDYIWFCKNLDLQGFGKRLKDIRTRFDALIERIMKEHEEARKQEMGEVKDLLHILLDIAQDESMEIKLSRENIKAFILVKLVHHISIVFKRKTLKHPSLCLSVIQDIFAAGTDTSAITTEWALAELINHPNMMNKCVEEIDQVVGKNRLLQESDIPNLPYLQAIVKETLRLHPTGPMILRQSTEECTVAGYHIPANTTIFVNVWALGRDPNHWENPLEFKPERFEKKQLDVRGQHFHMLPFGSGRRMCPGTSLALNVVHATLGAMIQCFEWKAGKDGNLTSVDMEEGVGITLPRANPLVCVPVARLEPIPLSMSMSM >KVH94727 pep supercontig:CcrdV1:scaffold_318:16873:19903:-1 gene:Ccrd_003214 transcript:KVH94727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MSNTYGDLNAKIDYVFKVVLIGDSAVGKSQLLARFTKNEFSVDSKATIGVEFQTKSMLIDQKMVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMTRWLEELRGHADKNIVIMLIGNKCDLASLRAVPVEDAQEFAERENLCFMETSALEATNVESAFLTALTEIYRIISKKSLSADGDYDKSASLKGTAILVPNQDANTAEKGGCCG >KVH94724 pep supercontig:CcrdV1:scaffold_318:82684:87444:-1 gene:Ccrd_003211 transcript:KVH94724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon MVWEVFLWVIFFIIIMALIASNLYQIVCLSDLEADYMNPYESSSRINAVVVPEMVLHGLCSALLLVTGYWFMFLLTLPITIYNSMLYMNRQHLIDVTEVFRYVDAEKKYRIAKLALYLFLFVLVVIRSVVAGKFSNVLEPFRPTNEDLDILSHVLEF >KVH94732 pep supercontig:CcrdV1:scaffold_318:219123:221385:-1 gene:Ccrd_003202 transcript:KVH94732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MTDPSYSSNLYSQWFRIDQFSNSCPTNLPHHVQTSIHYQYPRSYYYNNFQSSSYSNTRTTNSFNIHPSSSVINQHPTAPPSPPLREALPLLSLSPSRKHEDYYHHQQQPSCSTTTHQDMDVEGDDVEEEDDETAVTVALHIGLPNASAADLASLISNSSNSSSTTTTTTTEIMVPSNINNKSKDGDGDEGCLGYSPISRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLKLPCYCCAPGCRNNIDHPRSRPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGHGHAAYGINGFEEDDEPASEIEQDDDGNS >KVH94734 pep supercontig:CcrdV1:scaffold_318:251207:256786:1 gene:Ccrd_003200 transcript:KVH94734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MFSVRKLNCSWSLVTAVASIIALVSVLHLSLFPAVPSFDYFRYRQVKDSCFPINRTIDGKKNNLLQDQQLPLNARFPADGHKAVVYRGAPWKAEIGQWLSGCSSVAAPIKVLEEISGKKCKDSCSGQGICNHEFGDGCSEKLELSCNYPATEELPYGRWVVSICSAHCDTTRAMCFCGEGTKYPNRPVAETCGMPGWCNVDPVDAYASKVKFKEDCDCKYDGLLGRFCEIPVSSTCINQCSGQGHCRGGFCQWLRPAQVSVPDNGPITGNIIDLKAVVEKKRPLIYVYDLPPDFNSLLLEGRHFKLECVNRIYDQDNATVWTEQLYGSQIAMYESMLASPHRTLNGEEADFFFVPVLDSCIITRADDAPHLSMEKHRGLRSSFTLELYKKAHDHIAQQYPYWNRSSGKDHIWSFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKISSDARGNHSCFDPQKDLVIPAWKRPDI >KVH94720 pep supercontig:CcrdV1:scaffold_318:274990:275808:-1 gene:Ccrd_003198 transcript:KVH94720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNCRRTTAPFKPWKKGPTRGKGGPQNAACEFRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPDAYLNLPHLRSNFNPLNKSHKFKWFPANKLASMFPATGLLNLNAQPSVHVIHQRLQELKKTQPSSSSSSSSNNSSIFDQINHDQVQVNSEKTHVKECIEKPQIDLNEFLQQLGVLKKDDQKDKTSFDHEGYNCSNLKEIESTAVIEESGLGEEDFNWDVLSELHGSDQDHGYQIYDDHDQELVLPTTIWNF >KVH94726 pep supercontig:CcrdV1:scaffold_318:28415:31750:-1 gene:Ccrd_003213 transcript:KVH94726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MNFDMSNQNNNGLLRFRSAPSSVLQSFVNDIEKTRDVNDGLNYNLISQSFQDLQEEVDLKPNLMTGFSLNSQLPYNRGLSSSMAMASDNLGHQSKMGSSLLRQNSSPAGLFSNLNHPNGYGGIRGSVGSYRLGNVGNNGDLSPSSSRLKRQLSSSSGVTSLGMLPRISEVEPDTIDPGVLNDSPDYPFGSWEHEPSQFIDNFTDLKRDLDHQNGENLGNHPPMLSHHLSLPKTSGEIAAVEKLLHFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPHMDKTLKDCRANCRCSVKSG >KVH94729 pep supercontig:CcrdV1:scaffold_318:99866:100492:-1 gene:Ccrd_003209 transcript:KVH94729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDLHRHNASPPPVYHLYNTNIRAYFFFTINTPHPYHPHTLTRSLRYYHPLPYPYTWFVYGDQAAIINIIDLCAYYETHHQPPSPSPSPPHEDGGYDESITNLPYPNLFFDEDAILQHEINQGFQRAADNSGLTKEEISESLDIQKHMNLYEEDEICVICQAGFEKDETIGVVECNHRYHAECIKEWLIYKNLCPLCKAQALTIYNTSI >KVH94730 pep supercontig:CcrdV1:scaffold_318:112248:119781:1 gene:Ccrd_003208 transcript:KVH94730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MAIAAAAVIVPLGVLFFVSGLIVNLIQAIFYVIVRPFSKNTYRRINRMVAELLWLELVWIVDWWAGVKVQLYTDPETLEMMGKEHALVIANHKSDIDWLIGWVFAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTLKFGLQRLKDYPQPFWLALFVEGTRFTQAKLLAAQEYASSNGLPVPRNVLIPRTKGFVTSVSHMRSFVPAIYDMTVHVKVKRYLMKDLPETDEAVAQWCKDIFVAKDDLLDKHKAEDSFPDSNLHDIGRPLKSLVVVTSWACLLVFGTFKFFRWSNLFSSWKGLTITAVCLAVVTILMQILIQFSQSERSTPAKVVPSRSSNGGEPSHAVHDKKQ >KVH94721 pep supercontig:CcrdV1:scaffold_318:280880:284113:-1 gene:Ccrd_003197 transcript:KVH94721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSTKSSTVPVNAWNWVIQALSKFKQVDSSTLVGMLDIAFCLFLKLLIYVIFLQELEIHVSGLVKKAPAISDDLGKDAREMLSLRILESLFIHGSDEATVDPESAENAKISFDPSEHCEDVLHKILDEFKEALLEGSHPLLSTLRERSKVGAANVSENTSYMADVNIDAQTTVAEDDLASQNPSNGNNKLQESLLQKSNKLDNFTRRITEHIGREEHETSIGSPVKGLGENMLIDHVEYTVSKKVEQSCGNANTEHGQQQPPGDNGSTPQDTCREGQEKTLPIDSMKGLEFGGEPRDCKNDTLPNVSNSGPSGEGKKSMEKDSQLETGSGSERSDDERTDSESDDERTDIAAKKEAFLSSQCTLSQDTMAMNDCTEICLCMRCNKGGQLLVCRSNACPFRVHESCMGSTATLDENGKFYCPFCAYSRSISTYLLVKKKASLARKDLQAFLSLGVEHRQKISSKRGAGLETNETRQIGASGESFVVYGNGDTVSRGKEDSPHAKLSCGEEETRVSNAEHPMLAEPSRLCVSNELHCGDKGVSVGSGSLRKRGGVEKEVGEVSRPLTRMEAHKIGENAAIHSDNGYLNQPTTDSLYQPLHQPRMPKADYNENESPASDVSNHSRRSYKQKSPYTSPTIPLLGRKKLQWTKSEEEILKEGVQRLWSIDNKRIPWREILSFGEGVFHKSRTAIDLKDKWRNICKGSPAAKKPKL >KVH94719 pep supercontig:CcrdV1:scaffold_318:258242:269230:1 gene:Ccrd_003199 transcript:KVH94719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthase, active site-containing protein MSITSLRLDTNPITAPPRGFSVSGGSCISTVSRRIDLHNHRRWWKTGPISCSASPPVTVKEQSSETPSRIEALSQVSGVLGCQWGDEGKGKLVDILAKHFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEETVCVVGNGVVVHLPGFFNEIDGLESHGISCKGRILVSDRAHLLFDFHQEVDGLRETELAKSFIGTTKRGIGPCYSSKVIRNGIRVSDLRHMDTFPQKLDLILSDAASRFKDFKYTPDMLREEVERYKRFAERLEPFITDTVHFMNESISQKKKILVEGGQATMLDIDFGTYPFVKAYTTRVGSGPFPTELLDKAGDLLRFSGQEFGTTTGRPRRCGWLDIVALKYVCQINGFSSLNLTKLDVLSDLPEIQLGVSYKHSDGTPINSFPADLHVEYETMPGWLTDISCIRNYSDLPKAARQYVERIEELVGVPINYIGVGPGRDALIYK >KVI03339 pep supercontig:CcrdV1:scaffold_3180:56766:58453:-1 gene:Ccrd_018366 transcript:KVI03339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MLSSSLSLPNPSSFLSNPFSLSTTTTTTTNHRLHVFTTDPFFNDSTSPEERIAIPAGLQRELIPKHVAVVMDGNRRWARLRGLMPEAGYLAGAGALKAVVNLCSKWGIQTLTVFAFSSDNWENIRLSVIGDSSKLPKSLQEFIAYGENATKDNSHMNFVLAINYSGKYDIVQACQSIALEVRDGIVEPEEINEFMIENKLGMNSKRFPHPDLLIRTSGELRLSNFFLWQLAYTELYFTEALWPDFGEEELLHALRAFQNRPRRYGR >KVI03336 pep supercontig:CcrdV1:scaffold_3180:4653:7129:-1 gene:Ccrd_018363 transcript:KVI03336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MESWVRALMVIGFLFPLLVQGRVRHYKFNVVTKNANRLCSSKPIVTVNGRFPGPTLYAREGDNVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLFWHAHILWLRATVHGAIVILPKLGVPYPFPKPHMEQVVILGEWWKSDTESVINQAMKSGLAPNISDAHTINGHPGRNLGCQTQGGFQLSVEKGKSYMLRIINAALNEELFFKIAGHQLTVVEVDAVYVKPFKTDTIVIAPGQTTNAIITADKKSGKYAMIAAPFMDSPIAVDNKTATATIHYTGTLSSSPTTLTTPPPINATVVANNFINSLRSLNSKRFPAKVPLKIDHSLYFTVGLGINPCPTCKAGNGSRVVAGINNITFVMPTTALLQAHYFNTKGVFTTDFPANPPSAFNYTGPPPTNLSTNTGTKLYRLKYNSTVQLVLQDTSIIAPENHPIHLHGFNFFAVGKGIGNYNPKIDSKNFNVIDPVERNTIGVPSGGWVAIRFRADNPGVWFLHCHLEVHTSWGLKMAFLVENGKGAKESILPPPKDLPKC >KVI03337 pep supercontig:CcrdV1:scaffold_3180:23393:32988:-1 gene:Ccrd_018364 transcript:KVI03337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGIGCFGAFDSCKARSGKTPDEIATNNVKQFSYNSLRSATRNFHPSNKIGGGGFGVVYKGILRDDTHVAVKSLSAESKQGTIEFLTEINLISSIQHPNLVQLIGCCIEDGNRILVYEYLENNSLASALLGSRGKHIDLDWATRASICEGTAAGLAFLHEEAEPHIVHRDIKASNVLLDGSFHPKIGDFGLAKLFPDNVTHLSTRVAGTVGYLAPEYALLGQLTKKADVYSFGSWKLKDEERLLDVVDPDLTNCPDDEIMRFITVALFCTQAASNQRPSMKQVVEMLTRKVHLNAKLLTEPGIFKARSSCRQSDDGVSVGTTKSRAVNGKQSLNPFVTSLPQVQERKRDSDTLFAKCPSERIMGDHQDLVGPMIATTFSEKDRALTK >KVI03338 pep supercontig:CcrdV1:scaffold_3180:50254:53521:-1 gene:Ccrd_018365 transcript:KVI03338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MASTTAAAAATSSFIGTRLPELHSNSGRVTARFGFGKKKAAAPKKVSKSVTTDRPLWYPGAKAPEYLDGSLVGDYGFDPFGLGKPAEYLQFDLDSLDQNLAKNLAGDVIGTRFEEGDVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALTVESVTGVTWQDAGKVELIDGSSYFGQPLPFSITSLIWIEILVIGYIEFQRNAELDPEKRIYPADPEKKATLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWVTHLSDPLHTTILDTFGFFS >KVH87492 pep supercontig:CcrdV1:scaffold_3182:14817:20756:1 gene:Ccrd_025248 transcript:KVH87492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation transporter MMIAPTIFVRNTYLCSFLHALLLRVDLIWLQLYYYATLFVLGFFVLANLEPRDPLHRPKNFDLFFTTVSAATVSSMATVEMEVFSNTQLIILAILMFLGGEVFTSMLELQFKKFKLLETKKTHFNSNGCADLENDLNSLKSNIDLKYNSMKLLGFIILFYIFIVHVSAFLLVSLYISLVPSAKEVANSKGVSIPVFSTVTIISTFSNCGFLPTNEGMMVFKKDLGLLLILIPLVLLGNTLYPVILRVILLILGKVSKREELEYILKNHSXMGYNHLLSGVRCRFLVLTSIGFISIQFILLIIMEWKSDIMEGLNPXEKVVGSLFQVVNTRHAGESVFDLSLLSPAIIVLFVTMM >KVH99703 pep supercontig:CcrdV1:scaffold_3184:49775:51203:-1 gene:Ccrd_022060 transcript:KVH99703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDEHEEQEEEVGIQLPPGNYDAIGNSGRGREVRKSGGGGGYRYRECLKNHAVGIGGHAVDGCGEFMAAGDEGTLDALKCAACNCHRNFHRKELEGQQRHQQQQQQHQYLTATPYYHHHHQRPTGYLHMTPAPSSHHQRPLALPSTSREDLEEISNPSSSGGGGGGGVGGGSGSRKRFRTKFTQDQKDRMLAFSETLGWRIQKQDEAAVQQFCAETGVKRHVLKVWMHNNKHTIGKKP >KVH99704 pep supercontig:CcrdV1:scaffold_3184:27238:30657:1 gene:Ccrd_022059 transcript:KVH99704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MIIEQGKDKSHEPKRAIRTYQKHIDVNSFVYIVSLIPRALSLYLSHIPLFFPCCAYFFSSDCLNLLSGFSRLSNLGFGFSILAVLTFQTASNERKSVTEEVVMVCQSAGQTRFRALKHENGTAGGATIIVKVIACFQPLQDCQAEYFRHLLKPVTNLYARPRDSSSPGDSLAKWKRQIDWQSPNPNSSSAPIGLGPRVTIPSMVSPKASVRQEPCGWFYGLPRYRQGFIPAVNSKLPVASPNDVGAAEKKFLVFDQSGDQTMLIYSSGVGIAPIRHHFPPSDNPKLQSGSHPLHVDECIKENDGDDSRSEMREDTEELNALLYSDDDDNDDDDENEEMSTGHSPGSVTGFVEQKRKNCEEEEAESSFGPTKRCKREEGVNIVNSLEDTASSGKSGINCLGNGGNGEFEEESNDFSAGNRRVRKEKLKETLSLLQNLIPGGKNDRNAIVVIDEAIHYLRSLKVKAKSLGLDSL >KVH87491 pep supercontig:CcrdV1:scaffold_3186:8743:61021:1 gene:Ccrd_025250 transcript:KVH87491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54 MLRVTKWAALVEFQVALELNKGTMYLIIPNTFLENIKNFKSGSLIEHPEAGFIFKTYKEGERLDFRVSLEFGYNYEHMDLEFDNKSEWETPLGLIDAVQIVKKMDLRPSPRSGRFTPNRQNSSPSSLLSKSLSDASTQSLSSVLNNPHVSDGSWVGWWSSSTAVQVPEFAPPPANKTPGSEISRSEFTSYLSSISEHHSRFEDIRNHSNKESRDEDAPDHHERAGEALVACLREVPALYFKEDFSLEDGATFRAACPFSTVAENSVMQEKLSQYLDVVELHLVKEISLRSNSFFEAQVQLEDLSLKIVEGCSRIRELKETIRLLDVDLVDSACQIQDLNLTRSDLLALQEKLRLILYVNQSLAALKLLVQSADCAGALDVTDDLKHFLDGDQLTGLHCFRHLEDHVAAAIDSVNSILSAEFLRVSINDAGDRDVVILSNAKARMASWGNEDNEEHLHQEDTSSFHDRLLPLIIGLLRTAKLPSVLRIYRDTVTSDMKTAIKSVVAELLPVLLARPLESDFKSGDRIVDADGGGSSLASKLRSLSSESFVQLLGTIFKIVQAHLLRAAEVKKAIEWIMSNLDGHYAADSVAAAIAHGALTAELDPVGDGQSGSFPLSTQRNIIKVSPIQAKWNDATSSSNLSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRALLHPRLRLQDFLHIYSMSHEFVTATEKIGGRLGYSIRGTLQSQAKTFVDFQHESRMTKLRAVLDQETWVEVDVPNEFQAIVNSLFSSESLVAGDSDDHSKSIAESYNEVVSSSATPLPSAVVLSSTQQTEQPSQSVDGFVDGTGQTINSIRTKNEHGKSTHLISFRGVGYHMMLSEYIDMHTVLPALSSEVIHRVLEMLKFFNTRACQLVLGAGAMQVSGLKSITSKHLALASQVVSFVHALIPEIRRILFLKVPETRKGLLLSEIDRVSQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIIETWSRVDETDTQPSQFARSLTKEVGYLQRVLSRTLHEADVQEIFKEVTIIFDIQISDAFSHVDISTPQAKARLTRDIQHILGCIRSLPSAKLSDSVAGQLESLVLDLAIFNTNFEEISFGSRECITYRCNMSLLLCFLVVMFSLYSEEVR >KVH87490 pep supercontig:CcrdV1:scaffold_3189:9053:47273:-1 gene:Ccrd_025251 transcript:KVH87490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase-like, alpha/beta/alpha MSSPVYSGKGEEVGTNNDPNSAFFDVYGPQAKAGVEFKTPEANSTLNLEDIQGLVTWVLAEGFMPSWVFIKNKPLIPKVAMLYVPGLDAAIYLSQSKVLHSLKECCGIPRAVLAPSCVSDGMQIIDALLTCKLKRKREAGTVTNKCGPWSQPGICSIREDLSLAELMKDIPFPVSYYTLTTKELEDNGYHHDQPGFFSTLPAPSGKPVHEMVAVDCEMCITNEGFELTRVTLVNFRGQVLFDKLVKPSNAITDYNTRYSGITSEMLDGVTTTLRDVQEDFLQVVNRETILVGHSLENDLSALKISHSLVIDTAILYKHSRGGTYKIALRVLTRKFLSREIQYSGNGHDSIEDAKAAMDLALLKIKHGPDFGRPPSFMRKKFLTVLSDCGKATSFIDNISIVKRYASESSHSIPVTSDDEALSKAIKEVKNDKVHFVWAHFTELSSYYKKQAEDAEALNRKLAEMMALLTCNKKFKGRKSIKYTVTPDLKDVLNRLNIRIKNIYSNLPTNAMLIVCSGHGDTAIVQRLRKMLAEKVDTNIPRDHLVKVLEELQAQAEVGLCFVGVKH >KVH93323 pep supercontig:CcrdV1:scaffold_319:288115:290436:1 gene:Ccrd_004621 transcript:KVH93323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF620 MEKKQGFFSALKHEVIRGLSPVRSRSKSPMAALVRRTPKKLTNADPFIGRSGSLTGETLAPLWEGPDQDAASELGDSKRVGSVIGHWMKGQLSRAPSMTSTMAYKHRSDLRLLLGVMGAPLAPVHVTTTDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIKNAYAMGKVKMVASEFETASRVVKNKHAARAADTGGFVLWQMNPDMWYVELAVGGSKVHAGCNGNLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADAKCVGEKKINGEDCFILKLCADPQTLKARSEGPAEIIRHVLFGYFSQKTGLIVHMEDSHLTRIQTNGGDAVYWETTINSFMDDYRPVEGIMIAHSGHSVVTLFRFGEMAMSHTKTRMEEAWSIEEVAFNVPGLSIDCFIPPADLKSAASICETSQLPEDERGKSSSLVVAAGHRAKVAALV >KVH93321 pep supercontig:CcrdV1:scaffold_319:206148:211488:-1 gene:Ccrd_004626 transcript:KVH93321 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein MEWEADEKEVKAAGAELLPDGRRGLRIRGWEIESSNRSILTSLNLQSWEEKLQTSHLPEMIFGDSSLVLKHMSSGTKIQFNAYDALVGWKKEALPPVEVPAAAQWKFRSKPFQQVILDYDYTFTTPYSGSETVETGSEADSEGNSCCLKWEECEEQINVVALASKEPILFYDEVILYEDELADNGVSLLTVKVLRVDGVLMRLRDTRILCTFSENTKPVILREICWRESTFKALASKGYPSDSASYNDPNVISQRLPIVLHKTQKLKVPDSL >KVH93325 pep supercontig:CcrdV1:scaffold_319:274893:277389:-1 gene:Ccrd_004623 transcript:KVH93325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRVQEIIEKQVLTVAKAVEDKIDDQIAALDKLDLDDIEVLRERRLQQMKKMAEKRSRWLALGHGDYSEIFSEKDFFSIVKASDRVVCHFYRENWPCKVVDKHLGILAKQHIETRFIKIQAEKSPFLAEKLKIVVLPTIALIKNAKVDDYVVGFDELGGSDDFSTEELEERLGKAQVIFFEGESSLKPPSKLQTRNVRQSSNSQDSDSE >KVH93329 pep supercontig:CcrdV1:scaffold_319:135407:136090:-1 gene:Ccrd_004630 transcript:KVH93329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family, basic secretory protein MPKHMIMFISFVLTIAVVPETGATVKYNITNTAAETSGGVRYENQIGFEYSKHTLKSSNLFIWRIFQQKNKADRANVTLVHLFIDDMDGVAYCEDNEIHVSTNYIAGYQDDLKKEFSGVVYHEMTHVWQWNGNDSAPQGLIEGIADFVRLKAGYIPSHWVQPGQGDQWDQGYDVTARFLDHCEGLRNGFVAQLNKKMQYGYSVDYFVDLVGKTVDLLWSEYKAAYNG >KVH93320 pep supercontig:CcrdV1:scaffold_319:254354:256401:1 gene:Ccrd_004625 transcript:KVH93320 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MAVDALCSPLGPPACEKDAKALQFIEEMTRNADAVQENVLAEILCRNSQTEYLRLHNLGGATDRETFKSKIPMVTYEDLQPIIERIANGDRSPILSSHPISEFLTSSGTSAGERKLMPTIREELDRRQLLYSLLMPVMNLYMPGLDKGKGLYFLFVKSETKTPGGLLARPVLTSYYKSDHFKTRSFDPYNVYTSPNEAILCPDSFQSMYSQMLCGLYERQQVLRLGAIFASGLLRAIRFLQLNWRVLAHDIRTGTLNAKIFDSDIRRCMTQVLRSDPELADFIESECSKDNWERIITRIWPNTKYLEVIVTGAMAQYIPTLDYYSGGLPKVCTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLPHDPNSQSGATGDKLVDLVNVQVGKEYELVITTYAGLCRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQTAVDNASKLLNEFNTSVVEYTSYADTKTIPGHYVIYWELLVKDSANFPRDDVLEGCCLAMEESMNSVYRQGRVECNSIGALEIRVVKNGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSSHFSQSLPHWTPERRR >KVH93328 pep supercontig:CcrdV1:scaffold_319:77806:86373:-1 gene:Ccrd_004631 transcript:KVH93328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MATSVLQTVLLVLALLLCISSAEYLTVDSFINHSQSYDGGLCVNLVEPCGYTCSEHTIQTKDGFLLGLQRVSSSIVNLELQSAPPVLLLHGLFMGGDAWFMDSAKQSLGFILPDHGFDVWVGNVRGTKWSHGHVSLSERDKDFWDWSWQELALYDLEAMLRYIKSETSSKVFVVGHSQGTIMSLAAFTQPDIADLVEAAALLSPISYLDHITSKFVLNLVQMHLDEVSFSSLIQIYVNLILEVSYIKIFFTGTWITGNTSIKHEEVFCFPFLLFFSAFTRPRLIIDDIYAVLSDILTNIIDLACDGHVDCSDMLASITGENCCFNSSRVDFYLEYEPHPSSLKNLKHLFQMIRKGTFARYDYGSLKNVLQYGQTKPPSFDLGQIPESLPIWMAHGGNDALGDVIDVHHTLSELPSKPNVLFLENYGHIDFLLSTRAYEDLYGNMIRFFKSCGMSRSS >KVH93319 pep supercontig:CcrdV1:scaffold_319:265212:273386:1 gene:Ccrd_004624 transcript:KVH93319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF760 MAAFAACFFSCGSSFPSLSSPSSSSSSFALRPNHLVSFPRLRVGKISKDLINVKTLVFASSDGIRAHEEDSSSKRSVLLKLIQEIEPLDVSIIQKDVPPTTVDAMKRTISGMLGLLPSDQFQVLIEALWKPLSKLLVSSLMTGYTLRNAEYRLCLERNFDTDEESTDKQAIEDSKSEVLRTLLHNNIITNRGDQDELHKPEKISENASQIPDLGQMTPEVQQYILKLQSRLFSVKKELHEVKRKNAALQMQQFVGEEKNELLDYLRSLQPEKVAELSEPTSADLKETIHSVVHGLLATLSPKMHSQAPHISESTSIGTASTGIGNDVVENTSIQFQPLVSLSRDYLARLLFWCMLLGHYLRGLEYRVELMELLSMSSNEASDGEHVH >KVH93322 pep supercontig:CcrdV1:scaffold_319:204139:204609:1 gene:Ccrd_004627 transcript:KVH93322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MNTRWSRDPDAPKKGWLNLSLGQNLEDSCSRSSRPISVKICHFCNRKFYSPQALGGHQNAHKRERDAARRYHSLTKPTKFSANRSLGVQAHSLVHKPSRDREPAVAMFAESSDEYGATWVHSREGLSLMWPGSFYLDPQLASQPSDSLTLDLNLKL >KVH93324 pep supercontig:CcrdV1:scaffold_319:283102:285297:-1 gene:Ccrd_004622 transcript:KVH93324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brain/reproductive organ-expressed protein MQATAGLRQLGVDASERILQALSPVQQHPFGDVIYNAQFPLLAPDIVFGPEDDNFRPYHACGGDLKPKNNLSDWNCKDPTRLLSLILELRSLYMAYQKKRVGEVDD >KVH93317 pep supercontig:CcrdV1:scaffold_319:148921:156704:1 gene:Ccrd_004628 transcript:KVH93317 gene_biotype:protein_coding transcript_biotype:protein_coding description:APOBEC/CMP deaminase, zinc-binding MASSGEGEAAEIVAFMKMALKQAKIAFDSLEVPVGCVIVMDGKVISCGRNRTNETRNATRHAEMDAIDVLLEQWKEKELTKAEVAELFSKCYLYVTCEPCIMCAAALSFLGIKEVYYGCANEKFGGCGSILSLHTSTSEISTSGGQVERKSYKCTGGIMAEEAVSLFRNFYELGNPNAPKPHRQLVQQP >KVH93326 pep supercontig:CcrdV1:scaffold_319:42077:43588:1 gene:Ccrd_004633 transcript:KVH93326 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASKQGLVHVFLVSFPAQGHVNPLLRLGKLLASKGNLFVTFSSSKSIGNKMKKAGASASGDPIPVGNRGGMIRFEFFDDGCSEDNDDERNDRETYLNKLEVNGKRSLTKIFNQHAQDGRPVSCLINNPFVPWVCDLAEELNIFSAMLWVQSCACFSSYYHYENSLVPFPSEKQPDIDVQLPHMPLLKSDEIPSSLHPSAAYPFLKRVILGQFKNLSKTSCVLMETFQELEGDLITYMSKICPIRPVGPLFKNPLLETSTNICGDLIKADECLEWLDSKPPSSVVYISFGSVVSLSQEQVTEMAYGVLNSGVSFLWVLRMDATFTGVSGRLPKGFFEEAGERGKVVQWSPQAKVLSHTALSCFVSHCGWNSTMEALSSGVPVVAFPRWGDQVTDAKYLVDEWKVGIRMSRGEDEQRVIGREEIAKCLKEATNGEKAVDMKTNALKWKKTAEEAVAEDGTSDRNMQEFVDEIRKRSLRMAADREVKNDRCWTKPELFQIRHPINY >KVH93318 pep supercontig:CcrdV1:scaffold_319:112912:143363:1 gene:Ccrd_004629 transcript:KVH93318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoglycoside phosphotransferase SCLLRNNWSLKPRRNLETEPLQPPPPHPSLPSIPDQSKEMTKEGFRILDEKSLKEYIKATPSLASKLGNQVDNLEIKEVGDGNLNFVYIVISPSGSLVIKQAVPYVRCIGESWPMTKERSYFEATALKRQHALSPKHVPEVYHFDRTMSLIGMRYVEPPHIILRKGLIAGVEYPLLAEHMAEFMANTLFYTSLLYLTTTEHKNAVAEFCANVELCRLTEQVVFSDPYRISEYNHWTSPYLDTDAEAVRNDKILKLEVAELKSKFCEKTQALIHGDLHTGSIMATHDSTQVIDPEFAFYGPMGFDVGAFIGNLFLAYFAQDGHADQGNDRKTYKAWIMDTVADTWNLFHKKFTSLWDIHKDGPGEAYLHEIYNNEELRELVKQKYMIDLRIVGVAHVEDFESISDPAKRGDCERRALDFAKMLMKKRRNFYTITEPPPHQSESEEMAMEGFRVLDDNSLREYIKATPSLASKLRNQVDNLEIKEVGDGNLNFVYIVISPSASLVIKQAVPYVRLIGESWPMSKERSYFEATALQRQHGLSPKHVPEVYHFDRTMSLIGMQYIEPPHIIVRRGLIAGIEYPLLAEHMAEFMANTLFYTSLLYLTTTQHKSAVAEFCANVELCRLTEQVVFSDPYKISEFNRWTSPYLDSDAEAVRNDNVLKLEVAELKSMFCEKKQALIHGDLHTSSIMVIDPEFAFYGPMGFDIGAFIGNLFLAYFSQDGHADKGNDRKTYQAWILGTIADTWNLFHKKFTALWDIHKDGPGEAFLDDIYNDVELWELVKQKYMIDLLHDSLGFAAAKMIRRIVGVAHVEDFESISDPAKRADSERRALDFAKMLMKKRRNFNTIADVILAAQLGEWETATIFFASVLPPPPPPPSSSSPPPLPPPPSPPPPPPNVIVINPMPSW >KVH93327 pep supercontig:CcrdV1:scaffold_319:58042:59514:1 gene:Ccrd_004632 transcript:KVH93327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQDLLHVFIVTFPSQGHVNPLLRLGKLLASKGKLLVTFSSSKSIGKKMKEAGAALSGEPTPVGVSGGGLIRFEFFDDGCSEDNDDERFDLETYLPKLEAFGKKALTGILNHHAREGRPVSCLINNPYVPWVCDLAEDLNIPSAMLWVQSCACFSSYYHYENRLVPFPSEEQPDIDVQLPNMPLLKSDEIPSFLHPFTQYSFLRRALLAQFKNLSKTFCVYMETFQELEDDLIKYMSQVCRIREVGPLFKNPVLETSSNISGDLIKADDCLGWLDSKDPSSVVYISFGSVVSLNQEQVTEMAYGVLNSGVSFLWVMRKGESFNGVKSGRLPEGFLEAAGDRGMVVHWSPQAQVLSHPAVSCFVTHCGWNSTMEALSSGVPVVGFPQLGDQVTNAKYLAEEWKVGIRLCRGESENRVIGRKEVEECLREATCSVKAVKMKENALKWKKAAEEAVADGGTSDRNLREFVDDVRRLSADKLRVELINVNST >KVH96348 pep supercontig:CcrdV1:scaffold_3193:30919:36643:1 gene:Ccrd_001566 transcript:KVH96348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMMVVAWMLQMVFGGGNDNNVTGGGDDGGVETFDCVVCLCEVSPADHHQKLPNCNHGLQFHEGCIDSWLKNHSTCPICRVHVPQTVHRQLYSCFSITREIDGNLNVSSEMLVQSSIATTIVVIDPITIGS >KVH96349 pep supercontig:CcrdV1:scaffold_3193:41096:45776:-1 gene:Ccrd_001567 transcript:KVH96349 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MSKENVKKYVEKKQKPSSTHSLLSKRLKKVYPFELKKTTSLLSLSSPSSTLLRNTSESFTISSSTVDQAISSALGLISPDTPPTAKTSMPVLVAVPVPIPVLQPNLVSDASNESVKRCNWISKASEKLYVQFHDECWGPIVRVAFVVGDANGLQLDRNSKTKGTFLIAKQHGSFSGYMWGCMNYKPTINRYKHPRNVPMRTPKAEAISKDLLKHGFRLVGPVIVYSFMQAAGMSIDHLVDCFRFDECVSLAERPWRHV >KVH96347 pep supercontig:CcrdV1:scaffold_3193:56962:63266:-1 gene:Ccrd_001568 transcript:KVH96347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFKNGAHILVFPFPAQGHMLPLLDLTRHLANHGLTITILVTPKNLSILTPLLSSSSNIQSLVFPFPPHPSLPSAVENVKDIGNHGNLPIINSLANLQDPIIHWFHSHPNPPVAIIADFFLGWTHYLAGKLGIPKITFFSSGAFLTAVLDHVCHHMTLVCSQEVTIFQDLPNSPSFPRDQLPSLARFYKESDPEWDLVLDGHMMNASSWGWVVNTFDALESRYMEYLTEKIGHGRVFGVGPVSLLGGSDFMTRGQSGSESGSDVVKWLDGKPEGSVLYVCFGSQVFLTNDQIEALAIGLEQSGVYFVWVMKPESVDPAGMGSGRGMVIKGWAPQVSILSHQAVGGFLSHCGWNSVLEAIVAGVMILAWPMEADQYVNARLLVEEHGVAVRVCEGRNSVPDSAELAHTIAESMSVDNTEMLKAKELKGKAIEAVKEGGRSSRELGEISVGLQPNGFCFQGIDLLLFMAFCLGTPSIDPGGSFSTQGEYEYLDVIVEGGDRVLIDIDFRSEFEIARPTGNYKAILQSLPYIFVGEADRLQQILSIVSEAAKLSLKKKGMHILPWMKFEYMRSKWLSAHIRTPPSPSPSLLLPPPPTAPPTPNANASPKQIFSSEYKKSSSVSTPEFASECGIFELIFGEEKTPSQTTETSQLASPGVLPSRKSDDGFYSSAARIWQPPAIKPRNG >KVH99375 pep supercontig:CcrdV1:scaffold_3194:45586:47988:1 gene:Ccrd_022394 transcript:KVH99375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MALPSLFCLVPLMLLPFTCSSSSSSPIHALSKGSSISVDHKDVNLVSPNGLFTAGFHQVGINAYCFSIWFTEPLAQNKNXTVVWMANRDVPVNGKRXKLSLLENGNLVLRDADQSVVWSTETKATTGVPTLQLQNNGNLVLHQINGDPHILWQSFDYPTDTLLPDQPFTRNSQLVSSRSATNFSSGFFRLYFDNNNVLSLLYNGPEITSVYWPSXYLKTWEAGRSTYNNSKIARLDSNGQFNSSDEFGFFVSDFGIRRQRLMKVDVDGNIRVYSLVDKKEKKNWEVQWQAFSTPCKIHGVCGPNSVCVHSQETGRKCACVHGYRQKNLTDWSYGCEPEFEPCQQGEKHFVELRFVEFYGYDIGYLRNSTLEACKNGCLTDCNCKGFQFKFNDGIYDCYLKNLLYNGYQLGFEHSMYIKIPKHLSSSFHTGFIHGSISSCPDHLITPIQRSYQGKHHGESIKLLLWFAGAFGVLEIFFIHLFLYSTWKDSTTTAQSYFPIASGFKRFTYAELKKATHNFSKEIGRGGAGVVYKGELSDERTAAIKMLKEATHQGEAEFQAEISTIGRLNHMNLIETWGYCVEGKHRLVVYEYMENGSLAKSLLSDKLNWEKKLXIAIGTAKGLAYLHEECLEWVLHCDVKPHNILLDADYNPKVADFGLSKLFDRXSTENSSFSRIRGTRGYMAPEWVFNLPITSKVDVYSYGVVILEMITGKSPLEMQQTSGNDSGRDQTLVEWVRGKIHGNNESGNRAWIVEIVDSSTSGEYKTQTMENLVKVALQCSEEDKDARPSMSQVVNMLLHPD >KVH87488 pep supercontig:CcrdV1:scaffold_3195:37048:44633:1 gene:Ccrd_025253 transcript:KVH87488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin, N-terminal MIASCILDEGFGGRLEVPKRCVSVFENGKKPKSNNAKYMGVVVDNFISLRHAPVSSSRRILGRFSISKENPPSLSISFRKNLREHWWKTGNKMKKKLTLEEGLNILKEGTTKAMMILDGCPTSALFTCEEYMKLYDCVYDMCVQHPPYEYCAELYEIFKKALEESITSRVMPALKDKTDINLLYELWNMWTKYKVMAKCLGGFFLYLDRHFVEDRKVVSLSDLSICCFHDLVCSELYPKILEAAISLV >KVH87489 pep supercontig:CcrdV1:scaffold_3195:53961:60035:-1 gene:Ccrd_025254 transcript:KVH87489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MADVDRRKRTKYEDIKVKGTLVLTDNHYISSSKDDLLGRKVSLQLISAVRCESTARGLRGKLGKEANLKKWMSTVISPSTNGDSTFELDFDWEDEIGVPGAFLIINRHHKEFYLKTLTLEQVPNCGRVHFVCNSWVYPEEHYSKRYRVFFSNQTLVPGKTPEALRRYREEELEELRGNGTGKREEWDRVYDYDLYNDLSEPDAGSELIRPIFGGSQEYPYPRRCRTGRPPSKTDPNTESRIPILKSLTIYVPRDERFSQLKTSDVYAYGIKLVSQGLLPGFGAVFDKVSDEIVGTFEHLLKHKFEAVSSNNFNEFSSFEDVLKLYKGALKIPKSNLLESVREKIPLEFFRELLRSDGEPLAKFPTPQVIEADTSAWMTDEEFARQMLAGINPVVIRRLQAIKCNKLFILDHHDPLMPFLRGINETSTNTYATRTILFLQNDGTLKPLAIELSVPHPDGDHAGVISTVHTPAFEGAKGTIWLLAKTYVNVNDSGYHQLVCHWLHTHASIEPFIIATNRQLSIIHPVHKLLHPHFRDTMNINALSRQTLINAGGLLEKTVFPDKYALKLSCNMYKEWAFPEQALPADLIKRGLAVKDSRSPHGIRLLIEDYPFAIDGLEVWSAIESWVKDYVCIYYKNDEEIQNDYELREWWLEVRTMGHGDKKDEPWWPKMQTRDELIESCTIIIWVASALHAAVNFGQYPYGGYLPNRPAMSRRLIPEPGSDDYDELETNPEKAFLKTVTPQLQSILGISLIEVLSRHSADEVFLGDRDTPEWTTDDEALEAFGNFGANLRKIEGKIVEMNQDVRLRNRNGPAKVPYTLLYPSSDIGLTGRGIPNSVSI >KVI11370 pep supercontig:CcrdV1:scaffold_3196:23662:27719:-1 gene:Ccrd_010220 transcript:KVI11370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGVSRSSTFKEEIYHPPNNDASSSSSVITSPSSSLASQAIRASIAHRSSSLSSGYANSAFQDRSKEGITIADKRTHDIKEVCEQNKKTETNHEEFGMQNDWQDARPTNHVHQLKASRDVAIATAAKAKLLLREIKTVEADLAFAKQRSSQLEEENKMLREAREKGDHPGDDDMTLLAEKARLAHENSVYIRENSCLREIIEYHKLSMQDVVYLDEGIEEVAEVNPGISRTLSVSPPSPSSPHSPQKRNIPFTSTSSIAARVEVSTFKDQPSGT >KVH93616 pep supercontig:CcrdV1:scaffold_3197:34804:39768:1 gene:Ccrd_004332 transcript:KVH93616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidyltransferase-like domain-containing protein MEYQANYSIWDGVYYHPHLFGGIMLTAALLGFSTSYFSGMASFPTLPYMLPYLGNLQKQKGSKKHIRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEIINNKGPPVLSMEERLALVSGLKWVDEVIANAPYAITEEFMNRLFNEHKIDYIIHGDDPCLLPDGSDAYALAKKVGRYKQIKRTEGVSSTDIVGRILASMEDIEVNRERNGMKSNLDNHSQSKHASNFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILKSARQLGDFLLVGIYTDQTVSQHRGSHFPLMHLHERSLSVLACRYVDEVIIGAPWKITNDMITTFNISLVVHGTVSESDSSLNGFVDPYKVPKNMDIFKTIESPKNITTTSVAQRIKANYEIYEKRNAKKEASEKKFYEDRKYISGD >KVH93617 pep supercontig:CcrdV1:scaffold_3197:6830:10834:1 gene:Ccrd_004331 transcript:KVH93617 gene_biotype:protein_coding transcript_biotype:protein_coding description:XS domain-containing protein MSSKRGGGQSSRGEGNKASPKGKNVVGIDVNHLSQGVQDVNLDSSQDGNWEVISKKNKNRTGNGAASKQWGSQTPKPTPWDAQKAGGRGNGHVRAPNNVWGTQTGVKGSSSNQPMNKYYENNYTAASNTIPPPLQSGWNWNSRHGNARHDAGQRETLTTPHPSGVEEVEQEDLEDNNYTEDLDGSDDELLSEEYDSDESPQSHETRKKNRWYADFFGTLDTLTVEQINEPTRQWHCPACKNGPGSIDWYRSLQSLVTHAKTKGSKRVKIHRDLAEILEEELRRRGATVVPAGEAYGQWKGLNEVVKDREIVWPPMVVVMNTLLEQDENEKWLGMGNQELLDYFGSYAAVKARHSYGPKGHRGMSLLIFESTAVGYTEAERLSKHFEHQGTDRDAWDHRRNLFYPGGKRQLYGYMATKRDLDVFNQHSPGKSKLKFELVSYEEKVVNQLKQMNEDNQQLHYYKTRVAKEQKHSKALEESFGLVSQKLRKTEVENRIIRDRTQQYHKENKEEMDYQEQFFKDQLKVIQEARDAKEGHFDKLQQEECRRVDQSYSVVDPQKREEKLEEMKEFEEEREKLMKLHEEKTAEMKGRHWREEIELEEGLNAELSRLMDKYTSKHEVQG >KVH87487 pep supercontig:CcrdV1:scaffold_3198:17721:29799:1 gene:Ccrd_025255 transcript:KVH87487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase IPAVSSNPPHSHSPSFPLNLSPAPRFLIGDFVSEKEMEKKKLEKSYFDVLGLCCSSEVPLIEKILRPIVGVHEVSVIVTSRTVIVVHDPLLVSQLQIVKALNQARLEANVRVKGDGNYRNKWPSPFAVACGVLLLLSFLSYVFSPFKWLALGAVAVGIIPMILKSIASLRNLRLDVNTLMLIAVGGSIFLKDYWEAGTIVFLFTTAEWLESRAAHKATAVMSSLMNMAPQKAVLASNGDEVNASGVMVNTKLAVKAGDIIPIDGIVVEGRCEVDEKALTGESFPVAKQVDSTVWAGTINLNGYISINTTVLAEDCVVARMAKLVEEAQNNKCKTQRYMDECAKYYTPAVVIVATCLAVIPAAMRLHNLQKWYHLALVVLVSACPCALILSTPVATFCALSKAATTGLLIKGADYLETLSKVKVFAFDKTGTITRGEFSVSNFNSLIDGISLDKLLYWVSSIESKASHPMAAALIDYAQSHSVKPQPEKVDEFDNFPGEGIYGKIEGKNVYIGNKKIAIRAGCSAGGAIDIVHAELLPQDKARIIKDFQMVAPTAMVGDGINDAPALATADIGISMGISGSSLATETGHVILMSNDIRKIPIAVRIARQTRRKIFENIFLAIVTKAAIIALALAGHPLVWAAVLADVGTCLVVILNSMLLLRATTVSKSAKKHPCSQHKHKHCHSSHKHVNCSSDKHDTGNCHSKKCSDVGKKRDCCAHHDHLHEVSKTVQEAEEHHGCCQQVDHGHEVKLCVQPKDDCSAHDDEDVHVVKHLVQDIGSKHSCSAHSDDEDVHEVKHMVQQKESSHGCCAHSDDKDVHEVNHMVQQKEGSSHGCCAHVDEVHEVKHKEEGNGNHGCCGHDESHAADENDNFEIHEAKHSVQATEIKHGCCGHHDSDMESHIKRSHSCGNGFKKHGNDFLNQIIHKKSHSNHETCSDTSHICKDDHHHRHVKEYISEEELGKMVRHCCQNHGLEKRNVGGCCKSFRKECCGHQKFGVGFNGEGGLSEIVIE >KVH95384 pep supercontig:CcrdV1:scaffold_32:230358:238238:1 gene:Ccrd_002514 transcript:KVH95384 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLYGKIDPKSFGDRAYRGRPAELDEKLTKSKKKKEREPSLPSSEAVNNRQSKRRRLQEESVLTSTEEGVYQPKTKETRAAYEAMLSVIQQQLGGQPLNIVSGAADEILAVLKNDTLKNPDKKKDIEKLLNPIPNQVFDQLVSIGRLITDFQDAGGDVAGSNAANGGEDALDDDVGVAVEFEENEEDDEESDLDIVQEDDEEEEDDVLDRGGNNGMQMGGGVDDDDLHEANEGMTLNVQDIDAYWLQRKISQAYEQQIDPQQSQKLAEEVLKILEEGDDREVENKLLVHLQFEKFSLIKYLLRNRLKIVWCTRLARAEDQEQRKQIEEQMMGLGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESGGGFGDRDRRDVVDRDAENGWLNGQRQLLDLESIAFHQGGLLMANKKCELPLGSYRNHSKGYEEVHVPALKPKPLAADEKLVKISAMPSWAQPAFEGMSQLNRVQSKVYETALFKADNLLLCAPTGAGKTNVAMLTILQQIGLHMNEDGSFNHSDYKIVYVAPMKALVAEVVGNLSNRLKHYGVTVMELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYDDVALFMRVDLEKGLFHFDNSYRPCPLAQQYIGITVKKPLQRFQLMNDICYEKVIGVAGKHQVLIFVHSRKETTKTACAIRDTALANDTLGIFLKEDSASREILHEHTELVKSNDLKDLLPYGFAIHNAGMARADRQLVEELFADGHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQFDTYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAKEALNWLGYTYLYIRMVRNPTLYGLSPDALTRDLLLVDRRADLVHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTIATYNEHLKPTMSDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLAEKALSLCKMVNKRIWNVQTPLHKIHGYVEPFWVIVEDNDGEYILHNEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIKVVSDRWLGSLSVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPLYEALYQEFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAILRNHQKGPDSVMRAVYIAPVEALAKERYNEWKKKFGDGLGLRVCELTGETATDLKLLERGQVIISTPDRWDALSRRWKQRKHVQQVSLFIVDELHLIGGQGGPVLEVIVSRMRYIASQGHNIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGIDIANFEARMQAMTKPTYTAIVQHAKNGKPAIVFVPTRKHARLTAVDLMTYSSAESAYSGAENGEKPLFLLQSEKELGTFIDRIREPMLKETLKYGVGYLHEGLTTTDQDIVRTLFETGCIQVCVMSGTMCWGVSLRAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLVDNSGKCVIFCHAPRKEYYKKFLFEAFPVESHLHHHLHDNLNAEVVVEVIANKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSQRHLSDHLSELVENTLSDLEASKCVTIEEDFDLTPVNLGMIASYYYISYTTIERFSSSLTGKTKLKGLLEILASASEYEQLPVRPGEEDLIRRLINHQRFSFENPKYADPHVKANALIQAHFSRQLVGGNLGSDQQEVLLSASRLLQAMVDVISSSGWLSLALLAMEVSQMVTQGMWERDSNLLQLPHFTKDLAKRCQENPGRSIETVFDLVEMEDDERRELLQMSDSQLMDIAKFCNRFPNIDLSYDVLDSDNIRAGDDFTLLVTLERDLEGRTEVGPVDAPRYPKAKEEGWWLVVGDTKTNQLLAIKRVSLQRKSKVKLDNITAPSEPGKKSFTLYFMCDSYMGCDQEYSFSVDVKAAAPDDD >KVH95419 pep supercontig:CcrdV1:scaffold_32:673689:675251:-1 gene:Ccrd_002558 transcript:KVH95419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MASTSVRDRDQDHELSHDYEDALTKAILFLEGQRSGKLPSAQRVKWRDDSALSDGESKNVNSSGGYYDAGDNVKFGFPMAYTISLLSWAAIEYNKRINSVSQLGDGNKDHACWERPEDMDTPRTITEINAGSPGTEVAADSAAALAAASILLWAAAWLYKATGESSYLNYAISNRGCSQAATEFSWDNKFVGAQTLLAKEKVSWSRLKQEPTHLYAP >KVH95380 pep supercontig:CcrdV1:scaffold_32:200507:203752:1 gene:Ccrd_002510 transcript:KVH95380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFHCLASSCSGHWIWYLDELSSRWLSKVSHAASYGPWLRDLSNIGYWVSGGSKEQLYIVMDVFGHAVLGFGGDPYLYCLGVQRVSTPRSWFLVCKESKCGYPAVNASYYDLSFHPVSSNNDCKLYKNSKAI >KVH95426 pep supercontig:CcrdV1:scaffold_32:368212:368553:1 gene:Ccrd_002526 transcript:KVH95426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRNVESGTKVKENLLEKIPNAKLDMMELDVSSQESGSCRPRLRFRKTRLNRNSHESLWWVWLTRRSP >KVH95407 pep supercontig:CcrdV1:scaffold_32:29281:36076:1 gene:Ccrd_002500 transcript:KVH95407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICCSTSRVAVVTGGNKGVGLEICRQLADEVLVVLTARDEKRGVDAVAGLHSSGLPDVIFHQLDVTDPASIASLANFIDAQFGKLDILVNNAGVASTIVDKELFWSLNLPSEVIDEKAKKSRVAVTQTFEGARKCLETNYYGVKNVTQALLTLLLKSSSPRIVNISSKLGQLENVQDESAKKILCDFDGLTEELVDGVVSDYLNDAKDQESLEKKGWSSNVSGYTISKAALNAYTRILAKSYPSICANVVSPGFVATDMTFFQGTSTVEEGARGPVRVALIPQVIMASTTEKASTEKRVAIVTGGNKGIGLEICRQLALNDITVILTARNESRGVEAVEKLNVSGCVDVVFHQLDVKDPASVARLAEFVESRFKKLDILVSGGKQPYNLGEECIKTNYYGAKAVTEAFLPLLQLSSSPRIVNVSSNYGELQWIHNEKVKAEFLDIDNLTEEKIDGIIQRFLKDFKANKLSENGWPLTVGAYKVSKAAMNAYTRLLARKFSNILVNCVHPGYVITDITSDTGHLTPEEGARAPVMVALLPNNSPSGVFFSRMEISSF >KVH95367 pep supercontig:CcrdV1:scaffold_32:576107:579005:1 gene:Ccrd_002546 transcript:KVH95367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MVEEGKIIEGNNMMVGTATNFDPYVNSSSNPNTDVSYGGGTHTSEFFNFDQHNSVSHNSDLFWKPSSPKPIMIPGGGAAAGDFCQFDESSLQRCVLIPCEPNERPSQGLSLSLSSTNPSTIGIQPFELVRPPQDHHHHHQQQQQHQGYFGKPMNMHEQHDMMTDDQDDEFLRKSGNIMNSGSGGSQHQSAQYHIRSSRYLVPAQDLLNEFCNLGTKQIDRSTKAKRTSQWQDHDNLNHSNASSSKNKPLNSLEFLELQKRKSKLLQMLEEVDRRYNHYCDQMKAVVSSFEAVAGNGAATVYSALASKAMSRHFRCLRDGVVGQIKATKKAMGEKDISAPGATRGETPRLRLLDQTLRQQRAFQQMTMMDSHPWRPQRGLPERSVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEETKENDNENDEDVHDRSFNVAIDENNAIPQMEQKPTVEQLIRRDSECLSSIINHHHHTTKTLDHDFHQNFTRVSSEPFGAVELDFSAYNHSFGGSTGGGVSLTLGLQQHAGGGGGGGGGGGGGGVSLFYPRDHMEDCQTAVQYSSLLEGDQGQNLPYRNLMGAQLLHDLAG >KVH95401 pep supercontig:CcrdV1:scaffold_32:711427:722465:1 gene:Ccrd_002562 transcript:KVH95401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVELPLPAAIVTKIMRSSGCSGGGGVTRVELLGNQSERETCSSSFHPDTDDKCNVNGSRPAFWNMMSDYRQASQSASLRNEEAFIDHDMASVPEAKQSQRKSGKAARSNGSSSRRSRAAHMEASLNVTGVVDVNDQSKELGSYPGKCSIAEKTHTAKQKTTISGKRSDKRNGKVSKSKCDSFSVKVGLSSFSSAAGGNNILGVYGSKHDICDFAKHVDELSLNELLGGSCKCPKSIKDKEKETEILNGSILQSVRQACSILQLQKPNHTPNVAAIDGAYNHNASSCLPNSATSGASTNEENKGDVADPASSNKVIHNGGTLPTFPWSHVSGGHFKANPDVVKSTPSKSTCQGRWVRMRNSTTSLGDTTTYLADFESLTYNQSLVPLGCQQPGPIEKEKSPLPSISDITFDGGLTPSGSRTTSKSPAPHSGGVLAAAQTLCDIASRFRKQDQNGTVRWQKKSSQKTIKASKLTSDEKLEKALFAIPSSRSTGPTNPIDDANKTHNTTKKLKLSVTEKINDPNKTPYHWSQSNRSSPMKSFKNTASSTEAAAKQHHESSSSSPMKRSITTPPARLPHKPPKLRKLVPMEWKSQKGNGNGKY >KVH95420 pep supercontig:CcrdV1:scaffold_32:677507:679409:1 gene:Ccrd_002559 transcript:KVH95420 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MERDDHEHASHAGAHDDHQADPMNNSNNMNPFMLDYTTTLPLIDNPFHSSSSDPLLLPQPCLPLMPHNYVDNNSINLESSNVDWVSLLSGFMNNGEGSAMGDHESSSSRRHNGMNGKGMKSRGKKKQIQRLSKDNTIVVTTYEGIHNHPCEKLMETLTPLLKQLQFLSRF >KVH95392 pep supercontig:CcrdV1:scaffold_32:391035:396043:-1 gene:Ccrd_002530 transcript:KVH95392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSPWWSKSSSKEVKKKPNRGSIFGSIQRKLKSPSEGKQNSSRRRSEIASEKGSHSRAGSRSPSPSTPVARSHSFAERPHAHPLPLPGNFSGIRSADSGKRTSRKPGIAKGSSPLLLLPLPKPRHPQNAQGHADAEGDLATDSAFTDSSSDSDDPSDSRLLSPQASDYENGNKTSTNSPSSANYKIQNHIITQKKREKLKPANTFLNNQTVPVSPKRRPLSRHMAKLQIPQSGAFFSAPDSSMSSPSRSPMRVFGPEPTLTSGFWAGRPCAYADAGFLGSGHCSSPGSGHNSSHNSVGGDMSAQLFWQPSRCSPECSPIPSPRMTSPGPSSRIQSGSVTPSHPRFGGSNTDLSANWPDDAVNGKQQSHRLPLPPLTISNVGPYSPSYSTGTTPTVPRSPGRADFLVNHGSRWKKGRLLGRGTFGHVYLGFNSGEMCAMKEVTLFMDDAKSKESAQQLGQISGPSCPLSFKGSPYWMAPEVINNNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAALFKVGNSKELPAIPDHLSDDGKDFILQCLQRTPSRRPSAAQLLDHPFVKNIVSSERLIPNPVPITNMVRSLGIGHTSEGVSVLPSRSPKSSSGFSPLLHPRCGQTMNGKLSPSPISSPRTISGSSTPLTGPVGTVPFYHHQVQVQVQKQPGPYSHELTSRSPNRSFGNIQEPKADMFRAMPQGSPFFQEIVPQSDNDFLGNQKETYGGGKSVLADRVFQQLLRDHHHVKQNPAIDLKPASPVVNRREWS >KVH95398 pep supercontig:CcrdV1:scaffold_32:481226:489381:-1 gene:Ccrd_002538 transcript:KVH95398 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit beta MAFFVVLLVCYLDGNSSSAIQDKRKDAVKKDTQDPNPLIRALAVRTMGCIRVDKITEYLCDPLYRCLKDDDPYVRKTAAICVAKLYDIDAELVEDRGFLEGLKDLISDNNPMVVANAVAALAEIQDTSSRLILEVTSPTLTKLLTALNECTEWGQVFILDSLSKYKAANAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSHDMIRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPVYVKMEKLEIMIKLSSDRNIDQVLLEFKEYATEVDVDFVRKAIRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATLETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKSVVIDDSNQFESSLLDELLANIATLSSVYHKPPETFVTRVRTIQKTEEEECPEGSEIVHSETSLARVANGAPSLTLSTSPYDVAKQSYPAPVVMPDLLDLGLDGDDNNAMVPVDQPTSPRGAQLVRRDGQIFYSLMFENNTQTPLDGHMIQFNKNTFGLAAGGPLQVPVIDYNIFSPTVSCCFKGITYTLDQIPQMQPGTTEKTLLPMVLFQNMAPGPPSSLLQVAVKNNQQRVWYFNDRISLFVLFTEDGKMERGTFLETWRSLPDSNEVSKDFPDIVINSVDATIERLASSNMFFIAKRKNKNQEVLYLSAKIPRGIPFLIELTTLLGSPGLKCAIKTPSPEMAPLFFEALETLFRS >KVH95366 pep supercontig:CcrdV1:scaffold_32:582708:591846:1 gene:Ccrd_002547 transcript:KVH95366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKKMDLMSGYKGVVGLVFGNENSSSNEDSYVERLLDCISNGKLAEDRRNAMAELQSVVAESHAAQLAFGEMGFPVLLGVLRERDDVEMSGIVPGTMAAKKVEDLEEKMVRGALETLVSALTPIAHPKLHANAVQPALMNTDLLSREGQSISLLLSLLSEDDFYIRYYTLQLLTALLTNSPIRLQEAILTIPRGITRLVDMLMDREVIRNEALLLLTYLTREAEEIQKILVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLIRNNASNQVLLRETVGFDSLISILKLRGTTYSFTQQKRNVLDHLLMLGVESQWAPVSVRCAAFQCIGDLISGHRQNLDSLASKSLGDELEVEPALNSILRIILRTSSMQEFIAADYIFKSFCEQNSDGQKMLASTLIPQPMSMTNARFEEDVNMSFGSMLLHGLAMSEHDGDLETSCRAASVLSYVMKDNIECKEKVLQIKLESSMPSLGSPEPLLHRMVKYLALASSKKVKDGKSTTPRNSYFQPIILKLLVTWLCDCPTAVQSFLESRPHLTYLIELVSNGETTVCARGLAAVLLGECAIYNKSNESGKDAFTIVDAISQKIGLTSYFLKLDEMQKSFLFSTAKPTQPRKPLTRSTANSMAEMEDIEENEATDNRNDDHPMLASMFDSQFVNFIKNLEVGIRDCIVKIYSHPKSNMSVMPAALEQRKDEMDGDYIKRLKSFAEKQCSEIQDLLNRNATLAEDLANTGGGGTTRSEPSGGGGSERVQLEKLRRDLQEASQQLEMLKTENSKIETEASSYKTLAEKMETDLKSLSDAYNSLEQANYHLEMEVTALKSSGAVPSLNIEKIKAEAREEAQKESEGELSDLLVCLGQEQSKVEKLSARLMELGEDVDALLEGIGDDTGIPDDDDEDEDEDDD >KVH95408 pep supercontig:CcrdV1:scaffold_32:175532:181467:1 gene:Ccrd_002507 transcript:KVH95408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold ELICVFETFFCEEKKGNLNSEHPQKGRWTSHHRNTLVAVFCLRKSQLVRKSMEATHGGEKGKSRLCSICNQRRPALKRPKTLQQLKLLLGIKICKECFYEVFEEEIHRVIVDNKLFKPGERIAIGASGGKDSTVLAYVMSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEVQYGLPLKVVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKLATGHNADDIAETVLLNILRGDIARLSRCTSIITGEDGPIPRCKPFKYTYEKEIVIYPSIFWCCKTLTIGYVCILQETGLLFNRIPRAILDIIKSGEDFRIATSTKMPEQGTCQRCGYISSQKWCKACVLLEGLNRGLPKLGIGRTRGVNSKHQKDDQQIDTKNIQSKPCGTLDF >KVH95370 pep supercontig:CcrdV1:scaffold_32:522319:527363:1 gene:Ccrd_002543 transcript:KVH95370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MSSGEEQVNKMKHRQGYRNVWHTDLMSTMAADTPCNLVFCCFGNSVASTRFMLQDEFHIQTTKCDNCIIGCMVCLQQLACIFSIIACLVGSDELSDASQLLNCLADLVFCTVCTCMQTQHKVEMDKRDGKFGMRPMDVPPVQEMSRIDQPYHPNVQYGQPHYGYPLPQHQAQGYPPPMYYPPQGQGYPPQGQGYPPHGQGYPPQGQAYPPGVYPPPPQGQGYPPATYPPPPQGQGYPPAGYPSQPPPPPPPQGQGNQPPSNYGPTNNQTPGQEK >KVH95369 pep supercontig:CcrdV1:scaffold_32:534375:534869:-1 gene:Ccrd_002544 transcript:KVH95369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGFPVWYTELFLPKLLIRVLTFLGLIRKLISVIFRFVGLGDFLEPEFSTDPTRTESCTQFQSVSAVLIREILPVVKFSELVDPPESCAVCLYEFDGGDEIRRLTNCRHIFHRCCLDRWMDHDQKTCPLCRTPFIPDDLQDSFNERLWAASGIADYYGDSSLVSN >KVH95405 pep supercontig:CcrdV1:scaffold_32:102265:104579:-1 gene:Ccrd_002502 transcript:KVH95405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MKRLIPFQLIFVTFGFFLAKCDAVHPNEVHALIVFKEAIFDDPLLILSNWNGLDSDPCNWVGVFCSGSGDHVTKINISSCSIKGFIAEELFQLSSLSELILHGNNLIGPIPKEIGLLKHLKVLDLGMNQLSGRIPHEIGDLASVVKINLQSNGLTGKLPPELGNLKNLQELRLDRNKLQGTVPGANATDFASTMRGKYASDTNALGFCRASPLKVADFSFNFLVGSIPKCLEYLPRTSFQGNCIKYKDIKPRDPRQCDIAPPAKPQKQKQGEVKHQPAEDKGEPEPEPEPRASKPVWLLALEVVMGVMVGSIFL >KVH95400 pep supercontig:CcrdV1:scaffold_32:704040:708210:-1 gene:Ccrd_002561 transcript:KVH95400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MEDKVLDKFIKKLLDAKVGSVPKQAVLSESEIRKLCVVSRDVFLSQPNLLELKAPIKICGDIHGQYVDLLRLFEFGGHPPKSNYLFLGDYVDRGKQSIETICLLFAYKIKYKDNFFLLRGNHECASINRIYGFYDECKRRLNVRVWKMFSECFNCLPIAAIIDEKILCMHGGLSPDLKRLDQLRNINRPIDIPDEGLLCDLLWADPDKDVKGWGVNKRGVSYTFGADKVTEFLQKHGLELICRAHQVVEDGYEFFANRQLVTLFSAPNYCNEFDNDGAMMNVDEMLTCSFQILKASPKKGMIGFGKYMVSPATPHTR >KVH95395 pep supercontig:CcrdV1:scaffold_32:469810:475549:-1 gene:Ccrd_002537 transcript:KVH95395 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MECNCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYKWVLVQFGAFIVLCGATHLINLWTFNVHTRTVAIVMTTAKALTAAVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGIIRTQEETGRHVRMLTHEIRSTLNRHTILKTTLVELGRTLGLEECALWMPTRSGLELQLSYTLRHQNPVGFTVPIQSPAINQVFSTNRAVKISPNSPVARLRPASGKYMLGEVVAVRVPLLHLNNFQIYDWPELTTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESTRARDLLMEQNVALDLARREAETAVRARNDFLAVMNHEMRTPMHAIIALSSLLQETDLTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLELDIATFNLHALLKEVLNLIKPVASVKRLFVTLSISSDLPEYATGDEKRLMQIILNIVGNAVKFSKEGSISISAVMAKPDSLRDPRAPDFFPLPGMGISQQDIPKLFTRFAESQSPASRNPGGNGLGLAICKSNFGSVLNSCRFVNLMEGNIWIESEGLGKGSTAVFYVKLGFPARFNESRHPHMRVPAKIGQTNFSGLKVLVIDDNGSGEECLQAITKEHRVVIMDVSLPPADSYNVARSIHEMFPKRHEKPLIVALTANTDKTTKESLLRMGMDGVVLKPVSVEKMRIALFELLEHKKGLFEA >KVH95416 pep supercontig:CcrdV1:scaffold_32:601744:602094:1 gene:Ccrd_002550 transcript:KVH95416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDARIWQILLYGNVDIGIVAARELVALQPENESSFVLLSNLYASAGMWSDVRQLRREMKDKVVCKEPGSSWIQVKGSVHYFFADDTSHPRNEEIYVELDTLMKQMLQLHGSVMP >KVH95376 pep supercontig:CcrdV1:scaffold_32:15601:26135:-1 gene:Ccrd_002499 transcript:KVH95376 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MYSSIIFLERSRMFDHNVLHRLTLLRHMGGGPRTFPGGLNKWQWKRLHEKQARQKEKRLLDQEKQLYQARVRSEIRSKIADDSLKHEQHTNSPNYKPLSPKEHIKVLADRFMKEGAEDLWNEADGPIRSFSPQEIARTESNHNPINLRRVISGQNHIASNQVGEVSSGFSYNQLKPRHFSMYLCSDNQYSLLRAKHYSVRTSRSSYRKNDSSSSEEDGTDNEEGFLMTKTKVGDLSRPRLSLIGSSDGEGSDDDDGKGNGKKTMMSSAALGKYDIKKTKRIPLKFLEEEDDLSLHIQAIRNEFNKRRMAEKDDGVGDEDSILCPKRFDECNVSPLTIKALTLAGYVQMTKVQDATMSACLEGKDALVKAKTGTGKSAAFLLPAIETVLKDSIKNAAQRVPQICVLILCPTRELASQIAAEANVLLKYHDGIGVQTLVGGTRFKVDQKRLETEPCQIIIATPGRLLDHIENKSGFSARLMRLKMLILDEADHLLDLGFRKDIEKIVDCLPRQKQSLLFSATLPKEVRRVSQLVLKREHAYINTVGLGPETHDKVNQSYVIAPHEQHFQIVHHLLKEHIAQVPNYKVIVFCTTAMMTSLMFSLLREMKMSVREIHSRKPQLYRTRVSDEFKEARQLILITSEVSARGMNYPDVSLVIQVGVPTDREQYINRLGRTGREGKGGEGIMLLAPWEEYFLDEIKDLPLRKSSSPYLDPDIKVKIENSMAAIDPSVKEAAYHAWLGYYNSIREIGRDKTTLAELGTGFCKSIGLEKVPALFRKTAVKMGLKDIAGIRVRK >KVH95393 pep supercontig:CcrdV1:scaffold_32:418277:419866:1 gene:Ccrd_002531 transcript:KVH95393 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDSSTGWLIASVIVAYLIWFKSIARSLTGPRVWPLLGSLPGLIQNANRMHDWIADNLRTRGGTYQTCISPLPFLARKQGLVTVTCDPKNLEHILKAKFDNYPKGPTWQSVFHDLLGEGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSRAIKLRFCPILKTAQLEGKPVDLQDLLLRLTFDNICGLAFGKDPQTLSPGLPENSFASAFDRATEATLQRFILPESIWKLKKWLRLGMEVDLSKSLGHVDEYLTAVINTRKLELLNKVDGGTPHDDLLSRFMKKKEAYSDTFLRHVALNFILAGRDTSSVALSWFFWLHVAADDVLPDGTVVPAGSAITYSIYSTGRMKFIWGEDVLEFRPERWLSGDGKKFEIKDQFRFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLTVVAGHRVEQKMSLTLFMKYGLMVDLHPRDLEPIVEKIGCTGMARDGDC >KVH95403 pep supercontig:CcrdV1:scaffold_32:182234:185249:1 gene:Ccrd_002508 transcript:KVH95403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MKYIPYIYAMVLYFNRVQISKILSPFYLCIVSSKKKGRKEMAFSGTIQKCKACDKTVHFVEMVSADGIPYHKTCFKCTHCNGKLVFLDNHDIKMSNWMSSYFQLDGSLYCKPHFDQKFKEMGGISRIPFCHKTVYPLEKVTVEGDFYHKQCFKCAQGGCKLTPSNYAALDGNLFCRPHFSQLFKEKGNYAHLKKAASMKKNESTEKLADQVTSEEGAPEASE >KVH95397 pep supercontig:CcrdV1:scaffold_32:446561:450510:-1 gene:Ccrd_002535 transcript:KVH95397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGGCCCCSSNRVEWNEQSRLHHYPAVSEERQPFPTGLLVDTNLDTSIPDTYRYPPAPLPYDANVGNPQSPLNSREGSANKCGAHVQANNSDPVGEIKISGENGAHEAMKSNGEEGTKIELVAPKEVDTELENSGELKKSKYDEENPKIITKCEHHFHLSCILEWMERSDTCPVCDQEMEVEFPFDD >KVH95422 pep supercontig:CcrdV1:scaffold_32:376388:379898:-1 gene:Ccrd_002528 transcript:KVH95422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase ENAFLTVECAIVDQKSTYQSLLTAAGALLHVYISPNFTIILHYRIRSSSLSQPKFLLLILEKMWIFSWKGPTGFSASSTAEEVTRGIEAYGLTAIITGPTSGIGLETARILVLRGVHVVMAVRNTTAGQKVKQELINEIPKAKIDVMELDVASLKSVNKFVAAYKSTGFPINILIANAGVMAPPFTLTEDNIELQFATNHIGHFHLTNGLLDIMKNTAEKSGIEGRIVILSYTPLLAYGQSKLANALHAKELARRLKEEGANITVNSLHPGVIATNLARHTAWMRLIFGYIVRLMLKNVEQGASTTCYLALNPGAKGISGEYWADNNISSPSGFVNDPEFVKKFWDFSVDLIERTLTSSSL >KVH95415 pep supercontig:CcrdV1:scaffold_32:672691:673008:-1 gene:Ccrd_002557 transcript:KVH95415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MVGYGKKYPTQVHHRGASIDSVYDNQTKVGCNDGYSSHYSSSKPNPNIHVGAIVGGPNSNDGFRDIRSDSSHLEPTTYMNAAFVGPYLVIIMTNQRCSKPLKQVL >KVH95402 pep supercontig:CcrdV1:scaffold_32:187554:195691:1 gene:Ccrd_002509 transcript:KVH95402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFSITTATTTNASTLRFSIPFPHKQLRKFGVFAAKDDNKLGNWDQMEHSKLNPEQDKGKRPKDLLAKAPNTTKHSIPNVVLWKAATLEEDDVGAKLSSRLSFKPNLSLKMGKEEGKERFSDLILVRNPEPLIKINDEESTINIEESTCQTEKDVFVLQELGNAEDQASGDHSRSRSELYDTDNSSRNLDLTFEMENVAVINLDPSQENEEFSNLQEDGGHENDLLTGSQPSEHRDIVLDQPAKEVSNIGERITRIENLIPNSYYTSENFPSIPHLKDREDTDWKRAEDLIKTTGREEASFGSLIGFLPYRNLATKWKFLAFESWLRMKGLDPATYRKNLGIIGSYDAKSKTTSPNPTVDREKIEEELSPNMKLEDLLAIYDQEKLQYLSTFVGQPKEKEESIERKRSLMIERVPALIHQTEVSWDATLNPTSSFKIGQVVEAKPDPLTEALEAVLDDHAVLDGTSEADQPENEWDDLELLVEELQQYEGIDLVTKGRFFLSPCLTPTFQVTSFFQENSLQVIFIAFARRVYMASMFKNQYKLLARAGNKVQE >KVH95427 pep supercontig:CcrdV1:scaffold_32:320504:321565:-1 gene:Ccrd_002521 transcript:KVH95427 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MIESISSTSLLGHSLILRHNLRNVSGKQIVVKPCCFRRFRAIKAQAMELAKESNLYREKERFPRSFDTDLDQKPGLWPPKNKADNPSLQNPLVRQERMGCGWLGAIFEWEGVLIEDNPDLEKQSWLALSQEEGKSPPPAFVLRRIEGMKNEQAISEVLCWSRDPSQLKRMSSRKEEIYQALQGGIYRFRDGSREFVNVLTRYKIPMALVSTRPRKNIEEAIGGIGIEEGVFNAVVTAEDVYRGKPDPEMFVYAAQLLKFIPERCIVFGNSNLTVEAAHDAKMKCVAVASKHPVYELTAADLVVRWLDELSVVDLKNLADIESGEFGSTPELEMEVEEDDPRPPPPAAIDDGFW >KVH95379 pep supercontig:CcrdV1:scaffold_32:300470:311234:-1 gene:Ccrd_002519 transcript:KVH95379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSQTTPSHGIRTEILQCKSGSGALYCGPNNTKAFRYRTSTVAFPGDSSKTTQNMHVHAIGVKSKRGSQVIVAASPPTDDAVVATEPLTKEDLVGYLASGCKPKENWRIGTEHEKFGFELKTLHPMKYEQIADLLNAISERFDWEKIMEGDNIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFIGIGFQPKWERKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRRFEQYVEYALDVPMYFVYRKHKYIDCAGLSFRDFLAGRLPPLPGVYPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGILYDDVSLQNVLDMTADWTQEERQMLRNKVPVSGLKTPFRDGLLKHVAEEVVKFAKDGLERRGYKETGFLNEVADVVRTGLTPAEKLLDLYHGKWGQNVDPVFEELLY >KVH95429 pep supercontig:CcrdV1:scaffold_32:338381:342093:1 gene:Ccrd_002523 transcript:KVH95429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mur ligase, central MFLSLSSPLPSSTTSISLPKPHLHPPPLRHHLPPFLHHTNLTTFAIGRDGQHYSHPADGDPPEAPEDSMHGVSRFQQLDLRIARARKAQEEEYTKDQPIFLKAIQDVEDAPDNPVSQGDNESDSGGDLYSQIDHSIALKRKEFVKKGLLKPNPKKNESKEEEIEEVVDELQSQEEDDLEEIEELEELKVTGDDSEVENSDVEIDDDLGIGESPYSSAMDGKFDTFRRGQVRIVEPKCRMTLAELLDESKVVPISVLGNLEIEITGIQHDSRLVEPGDLFVCCVGKKTDGHLFLTEADKRGAVAVVASKEIDIEETLGCKALVLVEDTSSVVATLAASFYRHPSKSIAVIGITGTNGKTSTAYLIKGMYEVMGLRTGMITNVAHYIHGDSLLETKNTVPDAVFRQRLMAKMVHNGTESLVMEASSHELASGKCDEVDFDIAVFTNLSKDHLDVNGSVEEYRNAKLKLFARMVDPTRHRKVVNIDDENAHLFIAQGNQDVPVVTFAMEDKRADVHPLQFDLSLFETQVLVDTPQGILEISSGLLGRQNIYNILAAVAVGIAVGAPLEDIVRGIEEVDVVPVDILDDMLAGIGWTMQDYLKHQENDYHPPLPNGHRVFLHDIRRVAVRSAVAMGEEGDVVVVTGKGHETFDTVGETTEFFDDREECREALQYVDELHQSGIDTSEFPWRLPESY >KVH95423 pep supercontig:CcrdV1:scaffold_32:363118:365741:1 gene:Ccrd_002525 transcript:KVH95423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQSDSKDYKEPPPAPFFEPGELSSWSFYRAGIAEFIATFLFLYISVLTVMGVVKSPTKCGTVGIQGIAWAFGGMIFALVYCTAGISVSFSANPLCFPFYLFKFAEYVYMVLVKTGGHINPAVTWGLLLARKLSVTRALFYMVMQCLGAICGAGVVKGFMGKNQFNTLGGGANVVAHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKHAWDDHWVFWVGPFIGATLAALYHVIVIRAIPFKSRS >KVH95381 pep supercontig:CcrdV1:scaffold_32:205339:208158:-1 gene:Ccrd_002511 transcript:KVH95381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MEDKSQKEHHKYAADIDSISKAQTLIRPYVNITPVLSSETLNLIAGKSLFFKCECFQKGGAFKFRGASNAIFSLNDEQAAKGVVTHSSGNHAAALALAAKLRGIPAYIVIPKNAPKCKVENVKRYGGQVIWSEANMQSREETANKGTLSLEFLDQVPHLDTLIVPISGGGLISGVAIGAKSINPAIRVLAAEPKGADDAAQSKASDKEIVEAMRYCYEVLKVAIEPSGAIGLAAVLSDSFKRNPASKDTRNIGIVLSGGNVDLGVLWESLNNR >KVH95382 pep supercontig:CcrdV1:scaffold_32:210005:213068:1 gene:Ccrd_002512 transcript:KVH95382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MAAQAKKTVYDFTVKDVKGQDVDLSKYRGKVLLIVNVASQWYAKRFELFKLDYSINTPTRIFANVVFLLTGFLVICWCSGFTNSNYPELTTLYQKYKDQGLEVLAFPCNQFGGQEPGSNEQIQEFACTRFKAEYPVFSKVNVNGKEADPLYKFLKSSKGGFLGDSIKWNFTKFLVNNEGEVVDRYAPTTSPLSIEKDIKKLLNAA >KVH95368 pep supercontig:CcrdV1:scaffold_32:551803:555279:1 gene:Ccrd_002545 transcript:KVH95368 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MAAAASNHHPENKRTIAIIFRRRRRFKETTISTSAAATHHHHPSAVSPENTSWCCPPGVSSYKPSSPPPPPPAVITTTNPESTSISPVVVPSPESLSTEKERALHSDSPLNLENPQLVHTMTTTTTTTTVYGGDGNNQDTLPSSFTHFNSALTAGLLNPISSPPPASPNDKSRSSPTLFEMMANEPDSKIPNNANGVFQNTTLKRLGNGHVIPPPMVVVDKQASMQQRLSEILACRSPGNQFNDPNSSDVKLTLSSKDGLCVCMNVHRQILVGHSRFFAVQLSDYRRKMGNSINYPYIVEIADCDDIEVYIESIRLMYSEDLKRRLMKEDVPRVLGILKVSAAIGFNAGVLSCLEYLEAAPWAEDEEEKVASLLSELQLEGVGAVEVLKRVSVDVTPGDDEINNQQVLLKLLHVVLEGKDEKAKREMKVLVSKMLHDNSSKNDLRKESLYSACDKCLHSLRHHFLKASRGDFQDVAQITRQSGNLHWLLDILIDRQISEDFLKLWASQIELSEAHSKVPALHRFEISRVTARLFVGIGKGQLLASKDSRCLLLQTWLVPFYDDFTWMRRGSRGLDRHLIEEGLSNTILTLPLARQQEILMAWFDRFLNSGDDCPNIQRGFEVWWKRAFWRKPERPFPPNS >KVH95389 pep supercontig:CcrdV1:scaffold_32:608987:611805:1 gene:Ccrd_002551 transcript:KVH95389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MADVLMGETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKVNNTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTISRDVHGEQLGRGTKMTLFLKEDQLEYLEERRIKDLVKKHSEFISYPIFIWTEKTTEKEVSDDEDDESKKEEEVTGEYGWTANMERIMKAQALKDNSMSSYMSSKKTMEINLDNGIMEELRKRSEVDKNDKSVKDLVMLLFETALLTSGFSLDDPNVFAGRIHRMLKLGLSIDEDDVGGDDTDMPGLEEGNEESKMEEVD >KVH95378 pep supercontig:CcrdV1:scaffold_32:292836:294378:-1 gene:Ccrd_002518 transcript:KVH95378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQAGQCGNQIGGKFWEVVCDEHGIDATGKYVGDTHVQLERVNVYYNEASGGRYVPRAVLVDLEPGTMDSLRTGPYGNIFRPDNFIFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVTDEVEEDEYDEVEEN >KVH95409 pep supercontig:CcrdV1:scaffold_32:159975:173571:1 gene:Ccrd_002506 transcript:KVH95409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MYFGPRVRFSPPMAYQHSDECVGTSNIAAEGSESAVEIQIKTLDSQLYSFRVDKNMPVLAFKEKIASDVGLPVGQQRLIFRGKVLKDEHRLSEYHVESGHTLHLVARQPSDSQPSSGTGTATANGSNTGQDANAPGSRPRVGHVSHSVVLGTFGVGDQNEGGTPDINRVIGAVLNSIGIGGQISTVGIGGPHPNMQFNVPVQVAQGNEAGLNVNNQGQMGNPGQHATQGLQIPLGAGIAIPTLATVPIPDSLHTLSEFMDRMEQALSQNGYQQNQPANGAERSPAVELPSSARGVPMPAALAVVLRHAQRLLSGPAIDSLSHTAGRLDEEESCTDLTVRTQIQSEVMQSGLAMQHLGALLLELGRTMLSLRIGQSPPFSLQSNSLFGSHATPMNSTAFGPVGIGAVPRHINVHIHAGIGPRGANVEPNQGEDGNGTAADGVNLQTRGVDDSTRSENQTSAGGAGNAIPSSSATKSVNDVEGASSSPVIDNSGNASAVPLGLGLGGLQPKRRSRQTKPEATSSNPAASDAPPSNTRSTSNPAGGQLDPATIMNQVIANPALDGLLSGVSNQTGIGSPDMLRNMLGQLTQNPAMMNTVNQIAQQIDGNQDLSNMFGGGSGGGGGGGGFDLSSMVQQMMPLVAQAFGGGVSNSNVLQPPPSMDRELRSHTTTNDNSSYPQVNFHDLAEKIEHQESPEEVFLSVVEAAAHLNDNGGNADGLLSELCFEDGLAQEFMEMLKCDVSRRLEEEEE >KVH95396 pep supercontig:CcrdV1:scaffold_32:442256:445112:-1 gene:Ccrd_002534 transcript:KVH95396 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein MDHFSEVKNESDMSKIKVPEACRNDGFFMKTDLRSSFDQVLSYFLKWVSVNKDVIKGFPPKLGDGVEADLFTLFWVVRKIGDYELVSKNELWEFVAEECGLDITHVGSLKVIYIKYLKELDQWLSHGGFKDTKLENGELGVLEKLDSLFRELRGCKNSLSNVGFKNIKEKCVVIESSDDVGIERSALELTEKLDHDMANTEMRFSRINNVNENDEKLSDLNHKMIELPREIVVKEVKDDNDKRVVLCPTNVRSFHSDNNERNDTGDNENGTLVFAKTTVDKVVSSQKWKKEESLSLLKMLKWVANAARNPHDVSIGSIPNSSKWKKYKTNEVWKQVLLVKERLFTKPNIDSVNKVYGSQKKRHMMHPSMYEDDKVPIHLSSKRIRCSQRVSSVKSCSCPGCISCSSSRNKRQKPDIKKTLQTLESMEIEDSISEDLETVSVTTGAEVPKWTGVISESDPKWLGTRMWPPPDGNYQKHEKGLVVIGKGRQSSCRCSVPGSADCVRFHIAENRSRVKHTLGPLFYKWKFNHMGEEASVSWSLEEESKFKSLVVKARQELTHRSKSRHEIMSNFWRRASESIPSKTKDILVSYYFNVFVLRRRSYQNRIMPENIDSDDDEQEVGSVGDRSGYEKIHTFVKCSQNMQCNDLES >KVH95421 pep supercontig:CcrdV1:scaffold_32:385009:388856:1 gene:Ccrd_002529 transcript:KVH95421 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MWIFGRKGESGFGASSTAEDVTKGIDGTGLTALVTETGGKVKETILTETPDAKVDVMELDLNSLASNTSRESKKEGRIVIVSSEGHRITYKGGINFDKINDESSSIYAYGQSKLANVLHANELTRRFKEEKVELTANSLHPGAIATNLLRHHSILEGVVDWVGKYFLKTIPQVRSWNSCEFSIILRYNYSGAATTCYVALHPQVKGVSGEYFVDSNIGRPSSVSKDSELGKKLRDFSLGMVAP >KVH95372 pep supercontig:CcrdV1:scaffold_32:507633:515670:-1 gene:Ccrd_002541 transcript:KVH95372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gcp-like domain-containing protein CGPHSFHSFFTNFLHQVIAAARLPAPGKLFTGNSLEMKRMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGHGFLPRETAQHHLQHILPLIKEALETAQLTRNDIDCLCYTKGPGMGAPLQVSAVVVRVLSQLWKIPIVGVNHCVAHIEMGRIVTGADDPVVLYVIAYSEGRYRIFGETIDIAIGNCLDRFARVLMLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNDCTPADLCYSLQETLFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQEMMKVMCGERGGKLFATDDRYCIDNGAMIAYTGLLAYAHGTTTSLEESTFTQRFRTDEVLAVWRDKESSNNTLKQTTNEIGS >KVH95406 pep supercontig:CcrdV1:scaffold_32:48278:103596:1 gene:Ccrd_002501 transcript:KVH95406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MGIDNTMWQMEEKRCAVITGGNKGTGFEICRQLALEGVKIILTARNESRGLKAVEKLNASGISDVIFHQLDIKDPTSIACLVEFIETRFRKLDILIQMIDENVHLLTNCLNTNYYATKRITEALIPLLQLSKSPRIVNLTSIYGNLHWFHNEKLKEELEDIENLMEERIGEIIQWFLRDFKAAKLRENGWPLTSSAYKVSKAAFNAYTRLMAKKFQNIRINCVQPGYCVTDMTSHTGFETAEEGAKGPVIVALLPDDGSSDFFFNKTQIASFSSLDLNNLCAVITGGNKGIGFEICRQLALEGVKIILTARNESRGLKAVEKLNASGISDVIFHQLDINDPTSIACLVEFIETRFRKLDILIQMIDENVHLLTNVVEEPYELGEQCLNTNYYATKRITEAFIPLLQLSKSPRIVNVTSIYGNLHWFHNEKLKKELEDIENLTEGRIDEIIQWFLRDFKAAKLRENGWPLTSSAYKVSKAALNAYTRLMAKKFQNIRINCVHPGYCATDMTSDTGFLTAEEGAKGPVMVALLPDDGPSGVFFNKTQIGSFSSVDLNKLCAVVTGSYKGIGFETCRQLALSGIQVVLTARNESRAAESVEKLKESGLSNVLFHQLDIKDPSSITRLVQVLDENVHLLTGVMEQTYELGQECLKTNYYGTKGITEAFVPLLQLSNSPRIVNLTSSFGDLHWFHNEKLKEELEDIEHLTEERIDEIIQWFLKDLKADKLQENGWPLTASAYKVSKAAVNAYTRLMARKFPNILVNCVHPGYCVTDITSNTGFSTAEEGAKGPVMAALLPNDGPSGVYFSQTEITPFMIAKRSICALVTGGNKGIGFEICRQLASNGIXVXLTDRDESIGVEVVQKLNSSGFPDVVFHQLDITDPKSIACAVDFVKTHFKKLDILAHLLTGIVKQTYEMGKACVETNYYGAKAVTEXFLPLLQLSKSPRIVNVTSLYGELFWIHNEKLRNELREMKDVTEERVDEIVESFLKDFKADKLEENGWPLTVSAYKISKAALNAYTRLMAKKHPNILINCAHPGYVITDMSAQTGFITPEEGAKGPVMAALLPENGPSGSNGNWLILSIRRPTNKRITCIAHTLRFFLFNNRIILRICALVTGGNKGIGFEICRQLASNGIXVXLTDRDESIGVEVVQKLNSSGFPDVVFHQLDITDPKSIACAVDFVKTHFKKLDILAHLLTGIVKQTYEMGKACVETNYYGAKAVTEXFLPLLQLSKSPRIVNVTSLYGELFWIHNEKLRNELREMKDVTEERVDEIVESFLKDFKADKLEENGWPLTVSAYKISKAALNAYTRLMAKKHPNILINCAHPGYVITDMSAQTGFITPEEGAKGPVMAALLPENGPSGSNGNWLILSIRRPTNKRITCIAHTLLSLKAGPAMEEQKKMRKSMTRKRIYEAERKVTSEDIPSTSFFGDEPLYGTARNVAEQTDLKPELLSIPDIIPIRVHFVRMGQKMSFIR >KVH95388 pep supercontig:CcrdV1:scaffold_32:3660:7966:-1 gene:Ccrd_002497 transcript:KVH95388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDRGYGFGKRILIEIDVMAGGKEEPHTFDLKKEVGFSSCSSISDSSCDANTPRSHYNRGSSCQTKRSSQAGWTDEEDTMLTGVVKKYNGRNWKKIAESIPGRSDVQCLHRWQKVLNPELVKGPWTKEEDDRIKELVEKHGCKKWSLIAKHLAGRIGKQCRERWHNHLDPAIRKEAWTEEEESTLAYYHQIYGNRWAEIARFLPGRTSSPEHTEVKKGGTGTPLVEQNADDKKLLNVSNTCSTNLALGNRKFDITDEDCMGFTRYAKIRKVEPSIPESPKRLAVDSVKDLKLGISPDTSFLSLSTFGTPSYGSLCYQPPQLKNLAISLENSGSPSSANSSMQLNGQFSCSTPPHLALSISVNSSSPESILRNSAMSYKNTPSIIRKRTPRSVNCSSPSTSGHDCSKNVKTSESEITQRGPDSWLHRSQPSLGRRLDYMFDSEWDPTIVRCCTPGSVTRSPKANVMLTP >KVH95399 pep supercontig:CcrdV1:scaffold_32:493928:502701:-1 gene:Ccrd_002539 transcript:KVH95399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MALYLFSSFLERPLQQNRIRKKKAKTKASMSGRKFNLNCGKHVNIENSQQDLSDSQASWDGGEGFIMCTFNFTDRSTNSESITSLSVKRNEFSSIIGVEFQTRTLVIKHKSVKAQIWDTAGQERS >KVH95374 pep supercontig:CcrdV1:scaffold_32:597696:601525:1 gene:Ccrd_002549 transcript:KVH95374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVFLAGIWTEPTRRSKRWHLIQKTVGFPLLRCCFRAPMSVEPWPPTMRRSMDPFHDVQKLFYEINEYTLINVSSPIEGAEMLEMGKQIHSLVWKAGFLLVTSVCNALISMYARSGQTHDARWVFDEMVHQDSVSWNSLISGYSQHHLSNQAIELFSRMQNSLLQPNEYSLASILEVLSNLNSLKLAMQMHLLMLKLGFILTDSVLCRLITAYGKCNGIDKSKSIFSEIDRELMITVDAIPTSLDVDIITFSTFLKSCGALSDLHQGKSVRLLAIKSAISEDKFIESAVIDVYGKCGSLEDAAKVFLNAAGDSLVAWNAIIMGYAQIGCYSKAYDLFQQNT >KVH95417 pep supercontig:CcrdV1:scaffold_32:613817:616462:1 gene:Ccrd_002552 transcript:KVH95417 gene_biotype:protein_coding transcript_biotype:protein_coding description:BYPASS-related protein MPPTDNQGSFLNRISIRRNQVVYEHEIEDLEQFQKHVADRLSELLPPSSHADELAAVDPPETLLSIAWFRRLLDAFLCCEAEFKAVVIMGRDAANFAKSPLDRLIPEHLDRTVKALDICNAITHGIDLLLHWQKLAQIAVDSLQQRPIGEGHVKRARKALNTLLSSITIDDKENHHHGKAAAAAAKDHATIGNLRSLSYCFARSWSASKQIQAMSSNLVAPRGGEPTGLVIPVYLMSFVLVFVMWAMVAAIPCQERTGLGAHLQLPKQFQWAHPMIGLQEKIGELWKKKEKKGRSGLLDETQRLEKLGRNIVEFADGFTFPVEAEKAAEVEEQVAEMVEICQKMEELVPLQLQVRELFHRIVRSRAEALDVLDQMSERDRSLPIRRYPSCIVSQKCITFSFALCKRVFSSSYKTYINIDIVVQNRSCRLLPTSTMGEPQKVIFQVCLHDCRSQRKAMKAISKVIGEFWINSIEVDVDGNNLTAVGVFDLICGHRKLKKVCKKTKIKSVEIVKPEEPKKEEPKKEEPKKEEPKKEEEPKNPVWHYQPPYNPCYMPQPFYVAVEETPHCCVIF >KVH95412 pep supercontig:CcrdV1:scaffold_32:637039:638977:-1 gene:Ccrd_002554 transcript:KVH95412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase, Det1/DDB1-complexing MFSYFVQKKTPITYHPTHERTIPPPDQEAKNILLRQLYQRSDEKLRPKRAASENLAPEQESKHPRASGASPSDPLP >KVH95431 pep supercontig:CcrdV1:scaffold_32:686230:695283:-1 gene:Ccrd_002560 transcript:KVH95431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, MIZ-type MTGTVMKPVGFAGTVDMSNQQTLSPSYVNSFRISAVTGRLLVHLRGDANTDNTEFFNLCLSLASHIYCSVCSMVAIHIDNYRGIDYAVANNEIPGSAPDLPYMLKQSACSNGWFSEKEKEELHVLSDEMQSSFCSVSVKDMHSNMKNKGSNFHTTISTVMTRFYPGMKMGEILALVETTPGYDSYVTDLHISKSAKSSPDDKIYLFVAQTDNTETSSCIINPQQVNILLNGEGVDRRTCVYKDPGPQIPTLVTHMLKYGSNLLQVVGQFSERYIIVIAFMSVVSNPSCPTIPDYIPPAAALPDSDNEIVEGPSRISLKCPISRIKIPCFDFNNYVGMNSRRPLWRCPHCSQSVCFTDIRIDQSMVLKEVGEDVSYVKISSDGSWEAVTESNEHTIKPNDAQPLHQETTIQSVDDIMDLTEGDNEADTCDRDEDKKPSPAEFHGESSILNSTPVSMHINNVHGNIAPHMEDGFWREFYSSTLGTRTTNTRSDVNEVRSVRDAFASLDREIEDLQRNNLIFNSLTPGQTAASNSMGLQQYENSNNTGNGYVRYPTPANYVTRTAIAIQALPAQSSARILEGDRQQHQQQFVRSHLNQHQVSLMTSAPSPQHMGSQNQGHRDHSHLLSQTSPQLGGRSASPQRLSGGRHTSPLPTDRFNSHQQQSMNQRTPLPVRPSSQPSPQVRLIGAHGGGNPMTSPASNQPQYSLASIQRATNQFLSRTPPTPSPIQTSGSSVPVTSMGEQRGNSVDASGEQNWRPSGRMRGSLSGRAYTEALNQFIIHPNQPVQAARPPVLNTPRPFIPPHLQVLMANNLKASQTPGGSRGPDGSGGAG >KVH95375 pep supercontig:CcrdV1:scaffold_32:593595:597886:-1 gene:Ccrd_002548 transcript:KVH95375 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MDPYSFSSSAAMAQQTWELENNIVTMETPQSSESDAIFYYDESAQSKFQQEKPWSNDPHYFKRVKVSALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAEGQLSHSRFGPLIAPTQRKKEEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVHQSNKSQPESSGPEPMVQS >KVH95404 pep supercontig:CcrdV1:scaffold_32:120656:121369:1 gene:Ccrd_002503 transcript:KVH95404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MAKIYPSIPSSSSSSFCSNERETYTIWMKSLVMNSNGYTVYDSNGNVVFRIDNYDNKNSSEVYLMDLRGNIVCTILRKKLLRLGLWDCCHSNDSCRPWFKVGKAFNFFKNDSVYDVLVGTNEARNDSSLNHRMEGSVHGLEFKITDGAGRVRAEVQRKRSSSGVVLGEDVLCVTVEPGVNHIFVLALVAIQGLIHRKM >KVH95414 pep supercontig:CcrdV1:scaffold_32:668654:670178:1 gene:Ccrd_002556 transcript:KVH95414 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MADWGLQAVIRPNEYDDHLQDHEDHHHHHHQHNHDQAFGSIDMKKEYDQALLFCYPHLFDHHHDYDPETTSTTAVVGGELDQLYKPFYPLYETNEIEFPPPPMPVVSADLQTIHHHHHHHQDSDQEVQHQEQHIRSFCESASKPSQIAPKLKKRKNQQKRVVVQVTADGLSSDPWAWRKYGQKPIKGSIYPRSYYRCSSSKGCMARRQVEQSCTDPSIYILTYTADHNHAQPTRRNSLAGITRNRFKITPKSPTISDGCKTVTVSKDSPFHSPTTPSVSSIEDEILQQSNIKQETMFYNKFDDQEHTMMTGFNDDDFMIYRDDFFEDLEDLGGFKMDSSSYNCSNQQFPHVFS >KVH95411 pep supercontig:CcrdV1:scaffold_32:123664:129642:-1 gene:Ccrd_002504 transcript:KVH95411 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MRFILAFVGCKILIGLFFLYMQLTQIGGDALTISVIPGDVGKPTARLEKAKVRDGTCYWEKPHYETVKYTRDQKTGKFNEKIYRFVVATGSSIFGVVGEVSVDFASYAEATKLSSLSLPLKNAKSTSVLHMLFELGIDPKGARSKDHEALNDNINRDRGASIGSDITLSCSDSSSGLDTPREPEPKNTKLAHESSTTTISEEHPRSSSQWDWLDGSTHELSTDDSSMISPGETLLREISEEGSPDDIITKLKAELVVLARQADVSDLELQTLRKQIVKEGKKGQDLSREVANLKEERNALKDECEKMKAYQKNVETKVKADNKLLIEGGDPWALVDELRQELNYEKDLNSNLRLQLQKTQESNAELILAVRDLDEMLEQKDSKCSIAPKPNSKSEIDDDEDQKALEEIVREHSGLKDVYLQEQKIIDLYAEVESYKRDKDELEIQMEQIALDYEILKQENHDMSYKLEQSQLQEQLKMQYECSTSYATVNELESQIENLDNELKMKSKELSESILAIRELETHVKNLEEDLENQAHGFEVDVEDLMHAKVEQEQRAIRAEENLRKVKLQNASTAGKLQEEFRRLSTEMSSAFQENENAAMKAMDEANQLRVEKRHLEETVKKVQEDLRYLGDHYEDKLVYLSNQITVKSKQIVEMEKQIENMSDDLEHQETSCRAKVEKLKAENQNLEGERKDLENEVRLVKTELESSKNELSELRKVKNEKEHEVEKLQSETERLKSRCNEMKLFFKEYELEKENLRKQVSQLKGDLKKKDEAMSNIEKKLKDGNTRAMALDGNKTIPRNNKPGQIKLKETALERCEASFLEKEKDLQQKIEELVRRLKVLDQNTENQQVTSEHRKFLTKKTDLLSDCCSDDEIKKTGDEEMSALLNINKSMEVELKEMQERYSEISLKFAEVEGERQQLVMSLRNLKNTKKC >KVH95391 pep supercontig:CcrdV1:scaffold_32:428145:432288:-1 gene:Ccrd_002533 transcript:KVH95391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MSSPSKRREMDLMKLMMGDYKVEMMNDGMQEFYVQVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFEYCERYAKPEDAGAVPEEKSSDEELSEAEYGSSDEEVAGKADP >KVH95383 pep supercontig:CcrdV1:scaffold_32:214743:218061:-1 gene:Ccrd_002513 transcript:KVH95383 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook-like protein MDGREGMPSFYLNRGVSGSGSHAGSGSQGGGLHVPPPGFKTQSNPNMSPHGHSNIRVSSITYQMEHNISPVFPHGINMGGGGGGGGGIGGGGGGGAMSINTTPRSGSDSVVKKKRGRPRKYGPDGSHMALGLTPASVAASPGSVTPTPKKNRGRPPGSGRKQRLANDVAAKVLSFAQQRPRALCILSGNGAISAVTLRQFTSTGGTVTYEVQLAVGLSHPAWSSFVHGSNNSKGKTKNDAASRDEESPGVETNETSPTTSQHPIAWPPDSRNELRNSHTEIDLTRG >KVH95418 pep supercontig:CcrdV1:scaffold_32:620738:622556:-1 gene:Ccrd_002553 transcript:KVH95418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MENNTHNLLSKIATNNGHGENSPYFDGWKAYDANPFHLQHNPSGVIQMGLAENSVYILHYIYPKIQISNLLCFDLIQKWIEANPSASICTPQGAVDFKETAIFQDYHGLPEFRTAVANFMSRVRGNRVRFDPNRIVMSGGATGAHETVAFCLANPGEAFLVPTPYYPGFDRDLRWRTGVELVPVVCESSNNFKITEEALETAYEKAKLANIKIKGLLITNPSNPLGTFLDKETLKNLVSFVTDKNIHLVCDEIYAGTVTTGEEFVSIAEVLEEDPTCKRDLVHIVYSLSKDMGFPGFRVGIVYSYNDNVVNIARKMSSFGLVSTQTQRMLATMLSDNKFVENFISESRIRLAHRHQMFTRGLAQVGIGSLESNAGLFFWMDLRRFLKDPTFESEMTFWRIIINEIKLNVSPGSSFHCSEPGWFRVCFANMDDETTMIALRRIKTYVLKNKMLEIKTKRQCWQNNLHLRLSSRRMDDILTPHRMSPHSPLASPLVRAQN >KVH95428 pep supercontig:CcrdV1:scaffold_32:311144:319294:1 gene:Ccrd_002520 transcript:KVH95428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokine-induced anti-apoptosis inhibitor 1 STVSGEKLGFFVDPNGKWGFKSTHENPDPPGKDLRVKAIHRLLDAILDSRSPSFKVAVSASASDVGSVAAQSVDTKVLQGSVLAITDSVAVPLNDVLNAVRVVKTEAIEEINPLVITQASSLSSLPVDTSSTDLIISISKSSVFSSDQLFVEFSRVLKPGGEIFIHQNSAVDKEMSKSSLERKLLVLGFSDIQVVQMAEPESFGIKGKKPNWKIGSSFSLKKPVKSLPKVQIDNDMDLIDEDSLLSEEDLKKPELPPVGDCEVGSTRKACKNCSCGRAEEEEKVQKLGVTMDQLENPQSACGSCGLGDAFRCSTCPYKGLPPFQLGQKVTLSENFLVADI >KVH95425 pep supercontig:CcrdV1:scaffold_32:371321:375061:1 gene:Ccrd_002527 transcript:KVH95425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTWISIFTGKSGFAASSTAEDVTKGIDGTGLTAIVTVRNVEAGQKVKESISQKIPNAKLEVMELDISSLESVRTFASQYCSKGHPLNLLILNAGIMTPPFSLSKDNIELQFATNHVGNFLLTNLLLDTMKKTARESGIEGRIVILSSEVHRVTYKEGIRFDKINDEKSYSPFYAYGQSKLANALHANELSRRLQAEGANITANSLHPGVINTNLARNGGFFSVFLNGAATTCYVALHPQLKGVSGQYFADSNLNKASKYALDADLAKKLWDFSSKLTKSN >KVH95385 pep supercontig:CcrdV1:scaffold_32:240903:244789:-1 gene:Ccrd_002515 transcript:KVH95385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resolvase, holliday junction-type, YqgF-like protein MFDIDVYQFKVHGTAGWNNAFFGRDSTRRLDLLLSDVSFVLVRKKNNMGLMAIDFQNLISELSLSAFIVGYPYDRSRKSPNATQVKVFVDDLCKLRKFEDVRYTFWDECFTSKNVELLLKPLKFPTTEAKTITDKFAAVGILQGYLDYVNRNIATEQGH >KVH95424 pep supercontig:CcrdV1:scaffold_32:344744:352715:1 gene:Ccrd_002524 transcript:KVH95424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22B, signal peptide peptidase MGFLRFCWFVLSLMVVFFGSPTPVIAGDIVHDDKFAPKKPGCENDFVLVKVQTWVDGVEGAEFVGVGARFGTTIVSKEKNANRTHLTQSDPHDCCSPPKKKLTGDVIMVTRGHCKFTTKANIAQAAELYKMVCEPDETDLDIHIPTVMLPQDAGVELERMLSNRSSIGTILCASYWSAWSAREAAIEHEKLLQDFSEELSNTKVVGTSGIVEVNTKSAVLFVIVASCFLVLLYKLMSVWFIELLVVLFCIGGVEVSLLYCLLKVNIRMGMQTCLVALLSRWFKQAAQSFIKVPFFGAVSYLTLAVLPFCIVFAVLWAVYRETKFAWIGQDILGITLIITVLQIVHVPNLKVGTVLLGCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFSLRYDWLAKKHIRSGYFLWAMFAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGDLEILWSRGEPERECPHVRLGQSHAPDE >KVH95410 pep supercontig:CcrdV1:scaffold_32:144244:153644:-1 gene:Ccrd_002505 transcript:KVH95410 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MNNRRYPPGIGNGRGSGGGYDGGGGGNFHPNPNYYHQSRNPNHQHQFQQQRQQQQQQQPQYVQRQQAGQQQNHHQQQWLRRSPNASGSSATNVTDEVEKSVHSDGSVDTSSQDWKAQLNVPAADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDTDNNSIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTKKGICNLTDCAMLVMDELIQFLPEQRQVLMFSATFPVTVKDFKDRYLKKPYVVNLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPLIDQAIYCR >KVH95387 pep supercontig:CcrdV1:scaffold_32:285155:291240:1 gene:Ccrd_002517 transcript:KVH95387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MGCEFSRLSSCCCVTKQHGVIAESHDVEKEETGEASDLPSFREFSIEQLKTATSGFAVENIVSEHGEKAPNVVYKGKLQNQRRVAIKRFNRSAWPDSRQFLNSRDGKSYSTNLAFTPPEYLRTGRVTPESVTYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPRSLVTALIPLQKETEEPSHVLMGMPQGGPAMPLTPLGEACLRMDLTAIHEILEDLAYKDDEGAATEFIDVGTMVSPTVYARRSLSHLMSDMPQEALDDAVQAQVISPIWHITSYLQASALFALGRENEAHIALKEGSVLEEKKNVTS >KVH95430 pep supercontig:CcrdV1:scaffold_32:324910:337024:1 gene:Ccrd_002522 transcript:KVH95430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEAEEEVTNAVSPGSGSGSVHPISEEDYHSDDDNSDRNRREPKGSLLTSSSSVDRKEMLSALEMVERDSVAIANSFSSLLTSLRLALSEVSGITVDHIHCFSDAAGRLQESALDATSKGSRYINSCLRLNDETRGIKSLAMHMYPLFLDSFILVLTWCDGYYNGDIKTRKTIQAEEMNDDYDDNEDDDEVGLQRTEQLRQLYESLSAAAETHQHEPQARKPSAALSPEDLSDAEWYFLVCMTYEFSDGQGLPGRTLAENTSCWLSNAHFADSKVFSRSLLAKSASIQTVVCFPYLEGIVEFGITEKVLEEQNIIKQIKGFIFGAPPQKVLEIPLESCSGMLDRDLTDNNLDGFHEYDQNLVTKSRNSPKSNLNHSLMVEDVNIGGFQSQEQRWQLVDEEEEGEVSFYHNNSMGSSDCISQNLVSDPSDLWSDDDSRYQGVLSKIFKNTQRLILGPHFRNCDFKESAFISWKNYDGIEWKGSCSQMLLKKVLYEVPKMHRNRLVRPCDENGILDQLQKLEADDANNINHRFSVLSSLVPSRGKVDKVSLLDDTIDYLKMLERRVEALQSNKHVGDKENKKSQDLQERTSDNYAIKRKASCDLEDLQEECLSDCITLKSCTTLTAKMIRQALQRVIGRH >KVH95413 pep supercontig:CcrdV1:scaffold_32:652015:657250:1 gene:Ccrd_002555 transcript:KVH95413 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein MAASEAPLCYVGVAKKSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVTNKQDTLGIGLEKPNAWAFDTAQFDSILKKLKVQAIEVKNDEVVGEKDDHETNSPKDNSETVIKATRPQGRYKRRERGKLVNAYSAQDLEGILVKKVDDCPGPESVFDEDGDLELVEASDNLKAEVKDEKDISPEWWGNKFGFVPGGLLRAQSKRKKSRTTDGAQNCNDRTAFHEEDQENLYKLVQDKATSGKQGLGIKDRPRKVAGVHFQGKKTSFSDSEREESESEDNISDSQKFDESKVKLKKLCRKLLCQAPEKSLKLKQLKVLVDEHCSIFSNFSSKKDSLAFLRKKLERSKKFTVEGKRVRLSSK >KVH95394 pep supercontig:CcrdV1:scaffold_32:465123:468703:1 gene:Ccrd_002536 transcript:KVH95394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPELYSSRVFSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGHEEDDEYEFDISSSGSDVNEFPTATEFSKLNKPRVRYTRPWGPSPSMKSANRNNCREICYPKVNFSKLGTNTLWRYYSTFNLKVSEMEAIMEFINAAKRRKSGRSNRDRS >KVH95386 pep supercontig:CcrdV1:scaffold_32:251835:277120:-1 gene:Ccrd_002516 transcript:KVH95386 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein MLLFNFDVIPRAAEKRVLGQGSIVLTSSSSLTIPPAPVYYPTEDEFKDPLAYIYKIRPEAESYGICKIIPPESWKPPFALDLDTFSFPTKTQAIHQLQARPPACNSKTFELEYNRFLEEHCGKKAVKKKVVFEGKELDLCKLFNGVKRYGGYDNAVKEKKWGEVFRFVRSGGKVSECSKRVADRAKKKWFGSTSASRVQLEKKFWEIVEGSVGEVEVIYGSDLDTSVYGSGFPRLDNQRPSSIDVNGWDEYCASPWNLNNLPKLQGSMLRAVHHNIAGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYHHWGEPKCWYSVPGSEASAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPSVLQEHNVPVYSVLQEPGNFVITFPRSYHGGFNFGLNCAEAVNFAPADWLPYGGFGAELYQHYRKAAVLSHEELLCVVAKNDFDANALPYLKNELLRIYNKEKSWRERLWKNGIISSSLMAPREQPNYVGVEEDATCIICQQFLYLSAVGCSCRPSAFVCLEIKGGHMTIAQLAEEWLLRSCKILQMPYSNDAYASALKEAEQFLWAGSEMDPVRELAKNLAEAQNWAEGVRDCLSKIELWGSHHNSEVERVKMEDVNTLLNANPVPCNEPGHLKLKIVDLETLCSKARESAIYVQESERLLTKLSAVKLWLHTVRNCISEKSPGVIEVDILNSLKSEIVELQLQLPEVPMFLDFVRQVELCQSRSTEILRGSITLKNLEVLIQEYDGFTVDVPELTLLRQYQHDAFDWISRYNDVVKNIHEREDQENVVDELICLEKDGSLLKVQFDELLLVDVELKKASCRVEAWKVLSGKMPLDSIQQVMAMAIKLQIDNEKVFKKISDVLAQAVRLEEKAKHILACEVQMSDFEDVVRMSEDLCALLPSLDGVKGALSMAKSCTMHERFLSQFSGKYDAILQELVSESKLLKIYLKERSLLENVLHNYMELEHNACSALHDAESLLNILDVSEMSSDLISKIEDQVAKMESIMKAELSLRFDFLVIPKLRETCAILHWCFKALTFRAVDPTLKVTDEVEMLLEDAGHHHVIYTSRPFWRSLVDGINWLKKAVEILDPCSLKRFDLSDVEETLRQSKIIKISFPLIVDRLVDAIKRHNVWVDEVKAFFTCSTGDRSWSLLLQLESLGSTNAFNCMEMDMVVSEVQRVREWKQRGGDIVGVKAGDDNLLLNALSRIIDALDRSLYVYNKPDGCNRRSLECMLCSNEAQDQELLTCSVCMECYHRQCIKPTSSGTSHAAAYICPYCHIIESGKVSRLKIDAKRPELDKFMELLSDADNLCLDIEEKAIIRQIVEKALAYKACLTGIVEFSLSSLGEDPSMVIWKLSTALKAVEVAGVYDRQCNCKFELALARNSWRVRASKLLKASQKPSIHQIQRLLKEGLTISVPTEDYFWHRLTTIKHIGLQWATKAKKLLRERSMLYCICRKPYDQRPMIACDKCDEWYHFDCIKLSSAPKSYICPACKVDTANEDKCTSSSIEQERSNGECEEPQTPSPRPVELRREAERSKMAESNDISRRRCSGIERLLWRNRKPFRRAAKKRVELEIFSPFFHVHE >KVH95390 pep supercontig:CcrdV1:scaffold_32:425367:426785:-1 gene:Ccrd_002532 transcript:KVH95390 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MTLFLQSKSLSNGVSKNPHDFIKPNVVTIDVGGQLFQTTKQTLTLAGSNTLFSNLFNSSQQNNSIPFIDRDPELFSILLSLLRTGNLPSKAKSFEIQDIVFEAKFYGVEDLLVQSQSNPSQFEAFDLEKSMILPLSGRDSPSAIATTPFGSVHVSHGSKITSFDWSLQRKSTILTQFTAVDSLLALSSNVVAAGATDFSGLQIIDLEMGFVKKTLNWENVTKSGSTVQAIGTSPELLFTSFESSRRNSNSILVYDLNDSFKVVSEIGHNEIFGADLDSAIPSTKLNWVSSLNLLMASGSHSGPSGISGNIKFWDIRSGNLVVEIKEKADCFSDITVSDTLSAVFKIGMNSGEVSYIDFRNISSDTSWNCLGDSRKAMNVKKEGFGCKIENHGNQVFCSKEGELELWSEVLMGSSTTSKNGEEERIFKQNVLGRSKDIGGNRITNLGFGGNKMFVTRKDQQCVEVWQSSVRRF >KVH95373 pep supercontig:CcrdV1:scaffold_32:493990:506237:1 gene:Ccrd_002540 transcript:KVH95373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MILLVEHKQTVKRRKIIPFLTGGVPDLSLDRLVLYNESSGLELDTDNGTELVSGEASKKLSSSPNLYTPIVDSIGKSQDRSWDTKSGRPSKKHKSSSKEDHRAAAFEEEDAYYVEDFMDDDHDGDGEGKKRDFTKLELKADHINRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYLVLKRLLSDEVISRARISNEGCDGFTVSRSIGEIEGTHDELLNEAQLAAAAEEKEAHSFEIDPGQVENVKQRCLPNALNYPMLEEYDFRNDTINPDLEMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSNIRDEHICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTGMFLMVLRCMIMRSFNVLLSEVGFHNFAATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHMERTKILEAFKTSKEVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPQDTGAELSYHRLDEQLSLLGKVLSAGDDAVGLEILEDDTDDIALQKARARRLMGSMSAMTGQNRFGKSKPKDPAKRHQLFKKRFV >KVH95432 pep supercontig:CcrdV1:scaffold_32:730384:740781:-1 gene:Ccrd_002563 transcript:KVH95432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSNISGDGGGGSFSSGGLEDDGQQRQPPMVNSHTHTHTHTTPLDTGSISQQLLPDSSKKKKRSLPGTPDPNAQVIALSPTSLMAKNKYVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSTEIIKRVYICPEPSCIHHNPARALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQCDWKAHSKICGTKEYKRDSFITHRAFCDALAEENSKLTQTLQQNHHSTVQNINPTTSITSPEFSHGGMPDSKNPSELLPLNIMQGSRGSLFSGSPRNGSPSSLQLGGTTLSSHLTSATALLQKAAQMGATASNGNNNGNNGGMNNYVTTMAPPSYGGGGGGGAYHGADQNLVDQYHTHHSQISGIIGGGFSSQFQETSGISRFFNPSINGGGNGDGIGGYSGFMNPSKEVMIMNNNNNNGGHDGNPGMSDSSNPLLRFKRDGNGDNLTVDFMGVGGMRLRSFNEQHQQQQGMEI >KVH95377 pep supercontig:CcrdV1:scaffold_32:11310:12911:-1 gene:Ccrd_002498 transcript:KVH95377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MSSMASLLLLFVLLSVSLRTTESQIGVCYGLLGNNLPSPPEVINLYNSHNIRRMRIYDPNQSVLQALSGSNIELMLGVPNSDLQYVASSRDNARDWVRRNVVNFPSVKFRYIAVGNEVKPTDGTLAPLVHPALTNIHEAVAFHGLKDQIKVSTSVDTTMIGVDFPPSQGAFKGDVRAYIDPIIGFLVAINAPLLVNVYPYFSYSGNPRDISLAYALFTSSGTVVQDGSNGYQNLFDAIVDVMYSALEKAGGATVEIVISETGWPSNGAFGATFDNARTYYTNMVSHAPHGTPKRPGRAIETYLFAMFDENNKEPELEKNFGVFYPNQQPKYNLNFNSIQQGFESV >KVH95371 pep supercontig:CcrdV1:scaffold_32:518419:520118:1 gene:Ccrd_002542 transcript:KVH95371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNCADARNLPPMKATSNGIFQGEIPIDYALPLLVIQICLVLLFTRALAYLFKPLKQPRVIAEIVGGILLGPSALGRNKTYLHAVFPARSLTVLDTLANLGLMFFLFLIGLELDLNSLFRTGKKALSIAVAGISLPFGLGVGVSFILRRTISEGVNAGPFIVFMGVAMSITAFPVLARILAELKLLTTDIGKTAMSAAAVNDVVAWILLGLAVALSGSGRSPLVSLWIFLCGLGFITLCSLVVPPVFRWTARRCPDGEPVDEVYVCATLGVVLAAGFVTDAIGIHALFGAFVIGVLIPKEGALPKALVEKVEDLVSGLFLPLYFVSSGLKTNVASIHGTKSWALLVLVVFTACFGKIFGTTVVSMACKIPFVEALTLGILMNTKGLVELIVLNIGKDRGVSSLRKPFVTGFLSLKLVLNDQTFAILVLMALFTTFIATPLVVVIYNPKKRLTKSD >KVI06162 pep supercontig:CcrdV1:scaffold_320:5728:11031:1 gene:Ccrd_015515 transcript:KVI06162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGCVLGTRATPDRRSKSNARLRSVAESTTTQPKQNVEQVPLDEVRDDKKDGVVGRIRQTGSEVRLSDRRRPRPEYSLKTAQGWPSWLSAVAGDAIKDWTPRRANTFEKLDKIGQGTYSNVYKARDLITGKIVALKKVRFDNLEPESVKFMAREILILKKLNHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLSGLAAVQGVKFTEPQIKCFIKQLLSGLEHCHENGVLHRDIKGSNLLIDNDGILKIADFGLASLYDPQHKQPMTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELLAGKPIMPGRTEHPLYGIVLAFFLLLILWRLYQAQKVEQLHKIFKLCGSPSEEYWKRSRLPNATLFKPQQPYKRCTAETFKDFPPSSLPLLESLLSIDPDLRGTAVASLNSERWRVVTQANAKSKSEKFPPPHRDAAVGYPLDPSQNSVPVSFSAAEDTSFSSSIFDSNSSRSVKEGGPSRRKNRRERSRKVSSRKFIRAFLPTSISLSMDLRFKSKEAVRRNRR >KVI06157 pep supercontig:CcrdV1:scaffold_320:121838:123264:-1 gene:Ccrd_015510 transcript:KVI06157 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MTRNLSHLTLAFGLLGNFVSVMRNADDVYKKKSTEGFQSASYVVGLFSAMLWIYCALLKSNVMPLITINIIETLYIDGEFEAICIANSCRVRIDCCPNSVPCKWSYLRGDSWMDLPCVSFKCFCSSFESFATSD >KVI06161 pep supercontig:CcrdV1:scaffold_320:13793:16468:1 gene:Ccrd_015514 transcript:KVI06161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger MDASALSNPQLQQLINQEKERAMANEMIAKLTSACWDKCITSTPGSKFSSSESSCLSNCAQRYMDMSIMIVKRFQSMQ >KVI06159 pep supercontig:CcrdV1:scaffold_320:83831:85596:1 gene:Ccrd_015512 transcript:KVI06159 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MIAHLAQLTLAFGLLGNIVSFMVFLAPIPTFYKVYKKKSTEGFQSAPYVVGLFSAMLWIYYALLKSNVLLLITINSVGCFIETLYICFFLFYAPKKARMESLKLIVLLIVVGFGLIVGLTQLFATGVTRGVIVGWICLVFSLCVFVAPLGVLRQVIKTKSVEYMPILLSVALTLSAVMWFFYGLLLGDFNIAIPNVLGFTFGIIQMILYFIYKNKKPVIIDEKISKFEEKITAMEVQRIPEFKDTKSIDVVELKGMMPAEILSGVGKYADNANHAVVNPQALRNMPNHMTIEVGA >KVI06145 pep supercontig:CcrdV1:scaffold_320:267448:277325:-1 gene:Ccrd_015497 transcript:KVI06145 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK, C-terminal MASEMETLEGKKQEDVPNVGEEVEMKDKEESEKEGEEQQETKEEEEEEEAKDEESDDQEEAEESKGSSKRGAKNSAKKEGSSKKVKTDSSAKEPVTPIERPTRERKTVERYTESMSRLSATKPFSIEKGPGTQLKDIPNVAYKLSKRKADDNLQSLHNILFGKRAKMNTLKKNIGMFSGFVWADDEQEKQKTKLKEKLDKCVKEKLFDFCDEDVSLKLLEFLQSPHATTEVLLADKEQKGKKRKVKARKSRTPSKKQSGEKRKRSSKAQEENVDEDEASESEDDSQEEDDKVTPSKAESDEEENKSEEGGEEEEEEEEEEPEKQLTSEKSSSKKSVKKDSGTKTGEKSKANKKDNSPKDVKSPTKSDKKSSTSASKKGADASGSSKVSSKKSKEEKNSKKVETSVAKDKTSGKKESAKASTKDQGKGKTNKKAKKEPTNEEMHAVVVKILKEVDFNTATLSDIIKQLGAHFGQDLLHRKAEVKAIITDVINSMSDDEEKEEEEEAEGSDEDEDEDGDDDNDNDS >KVI06160 pep supercontig:CcrdV1:scaffold_320:17232:23100:-1 gene:Ccrd_015513 transcript:KVI06160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MYGVHPAKDSDEAVGNGTTEVIRTYQTWKGSNIFFLGGRVIFGPDVRSVFLSIFLIVAPVAVFCVFVARKLLDEFAHHLGILVMVIAIVFTSYVIILLLMTSGRDPGIIPRNTHPPEPEIMDQSLEIGSSQTPQLRLPRIKEVMVNGMTVKVKYCDTCMLYRPPRCSHCSICDNCVERFDHHCPWVGQCIGRRNYRFFFMFVSSATLLCIYVFAFCWVYVIKIKDSEEISIWAALIKTPASIVLIIYTFICVWFVGGLTVFHLYLISTNQSTYENFRYRYDRTENPYNRGVVENFKEVFWSSIPPSKNKFRAVVQREPETLPRAAGGGGFMNSNVEKTPSDIEMGNRKPTGNNGDEMSGGNNRRSSWGRRSGSWELPSDIASLASGLGDSNRIIGGSSGSLGGQNRQ >KVI06156 pep supercontig:CcrdV1:scaffold_320:150962:151569:-1 gene:Ccrd_015506 transcript:KVI06156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLFLAPLFQFGGQRGRREFG >KVI06152 pep supercontig:CcrdV1:scaffold_320:203295:205103:1 gene:Ccrd_015502 transcript:KVI06152 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MVGNLAHLTLAFGLLGNIVSFMVFLAPMYASYICFFSFYLFSKPTFYRVYKKKSTEGFQSEPYVVGLFSAMLWIYYALLKSNVLLLITINSVGCFIETLYICFFLVYAPKKARKVGLTKRNLVMQMESLKLIVLLIVVGFGLIVVLTQFFASGVTRGVIVGWICLVFSLCVFVAPLGVLRQVIKTKSVEYMPILLSVALTLSAVMWFFYGLLLGDFNIAIPNVLGFTFGIIQMILYFVYKNKKPIINEKMSEKGEQKYTIGKMEEQKMVEVKDHKTIDVVKLSANLLSPDVLPVVAKLKENEHDAVPVAVHEPEARPTVPNHIIEVAV >KVI06144 pep supercontig:CcrdV1:scaffold_320:293085:301501:1 gene:Ccrd_015494 transcript:KVI06144 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1767 MFSRRRLRIICSSDEEDDEEDVLEVQPPLPQHHDNDCETLNFQDVTLSSSNSNPTNQSDCIQVEISDEDFVDAYEDLLPSPQPPPSDQTVHSTDYSRTSSVGMGEVSDSPISRILEDLGLRLRSEWLDSCLHGLQTVVPGFPSFDATKKAKLCFEKFLHFDMNNCGAGMLPDNVHQMHLVDLPGPFVLQVDEIVNISQPLRERYKKAPSGLKRCLKLSMTDGVQQVFGMEYQPIKDLDALAPAGLKVAISNVHIRHGLLMLVPEVFQVLGGLVEELDAARQRLVNEVNKPPRGKRTRTGVVPPLSTRATRAAWPAEEIHVSRPINNPVSQRASPMQVDDRGGTPASVSRRVSSNSAVPVHREHVGHHLPRNEIESSTRRENIEPLPSKPAEQSSVSMDKETDSIAVPIPRGSVDTTLLREEPTIPLHREHAESFPSPIAVTDDGDGDAHMAPAEENHSALSRSSESSFTYLASLSVKWAASKDKASHVEGKIKCILTGVKGFQFKERSTYELQVYVDDGSLISEILVDHNVVQRKIGYSPEEVNAALSSSNPSRVQDMKDTMKQFRVFLVNFEGMMLVRINEASTLPVATEMHQGCPPSDAWLLLSRLKSSDDDQAPQGRQLDPINLSP >KVI06163 pep supercontig:CcrdV1:scaffold_320:165247:167096:1 gene:Ccrd_015505 transcript:KVI06163 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MTGNLAHLTLAFGLLGNVVSFMVFLAPIPTFYKVYKKGSTEGFQSAPYVVGLFSAMLWIYYALLKSNVMLLITINSVGCIIETLYICFFLFYAPKKARMESLKLIVLLIVVGFGLIVVLTQFFAHGVNRGVIVGWICLVFSLCVFVAPLGVLRQVIKTKSVEYMPILLSVALTLSAVMWFFYGLLLGDFNIAIPNVLGFTFGILQMILYFVYKNKKPVTDEKISNFEAKISEMEEQKLPEYKNQKITDVVKLETLIHSDILPVAAKLNKNGCDQAGHVAVEPKAASYVPNHTIEVAA >KVI06148 pep supercontig:CcrdV1:scaffold_320:247997:248518:1 gene:Ccrd_015499 transcript:KVI06148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MESAKSNSGKKNFLVKTWKRCRSFPRSHSSRGGVWGLAKSKSWHGKEMMPKKKKMAPEGFFPVYVGPERQRFAIKTKLVNHPLFTKLLEDAEIEYGYNCDGPISLPCAVDLFYEILAEMEAKDHVRPLEWSFAYGSCSPFNPSRRLGIYGADQMAKGYGSYEHLQTTSLFKMN >KVI06146 pep supercontig:CcrdV1:scaffold_320:286502:291562:1 gene:Ccrd_015496 transcript:KVI06146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSHHQILKPFLPADDHRFEFELYTIPGSSGWFSWDNIHEIEKASLKEFFDGTSFTRNPRVYKEYRDFIISKYREDPSRRLTFSEVRKSLVGDVNYLLKVFLFLEKWGLINFGAPLPSSSVIGGEDGDLVREDEEAGRWKVKVEEGPPHGVRVVAIPNSLKPVSLPASVTSNNGSRFADSDFKMPPLSSHSDVYQELIELVCESCKERCESGHYEYTKDASSIICIKCFKNGTYGKNKSIDDFKFVDDNPDDGNRVAVWTEAETLLLLESVLKHGDDWDLVAQNVQTKSKPECISKLIQLPFGQLMLGSAYDRCRYRDTNSNINNQKRGQVGPPAPQETKDIRIQHIELESKAQSQQNGDADSEGPPPKRICIAPISDSSNSKMEQTDNEVEQVAPSLVVPEEIRENESQVCELKNHKQQDGDLDDHCPPKSDRSKPVPNVSNSLMRQVTRISAVVGPHVAASAAEAAITALCDENQIPKEIFDREENGNELRLSTQTTESDRIGQGNDSEMDARPNESEKSIIPLPLRMRATTATALGAAAAHAKLLAIQEDREVERLVSTIINTQLKKLQYKMELLKEVEAIMEKELSEMAEVEESVVGERMEVVQKVMDAGLSRWRDGHGSTMVNAKPPHPQPQPQVEIML >KVI06149 pep supercontig:CcrdV1:scaffold_320:263503:265794:-1 gene:Ccrd_015498 transcript:KVI06149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MVTTGTYSDKSGVELIVTRMGEPTLVQPFEETEKGLYFLSNLDQNIAVIVRTIYCFKSEEKGNEMAAEVIKDALSKVLVHYHPAAGRLTISSEGKLIVDCTNEGAVFVEAEANCNIEDIGDHTKPDPMTLGKLVYDVPGAKNILEIPPLVVQVTKFKCGGFVLGLGMNHNLFDGIAAMEFISSWSRTARGLPLEVPPFLDRTILNARNPPLVEFPHDEFAEIEDVSNTVDLYKEELAYRSFCFSPDDIQRLKIKATADGDMPTCTSFEALSAFVWKARTEALQMKPDQKTKLLFAVDGRSRFEPPLPEGYSGNGIVLTNSICKAGEQIENPLSFTVKLVHEAVKMITDGYMRSAIDYFEVTRARPSLASTLLITTWSKLSFHAQDFGWGEPIMSGPVALPEKEVILFLSHGKQRKSVNVLLGLPVSAMKTFEELMKHI >KVI06158 pep supercontig:CcrdV1:scaffold_320:103593:105287:-1 gene:Ccrd_015511 transcript:KVI06158 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MTGNLAHLTLAFGVLGNIVSFMVFLSPLPTFYKVYKKKSTEGFQSAPYVIGLFSAMLWIYYALLKTNAMLLITINSVGCFIQGFYICFFLFYAPKKAKMECVKLIVLLVIVGFGLILLLTQFLASGINRSVIVGWICLVFSLCVFVAPLGVLRQVIKTKSVEYMPILLSVALTLSAVMWFFYGLLLRDFNIAIPNVLGFTFGIIQMILYFIYNNKKLVTDEKMANFEERIKKMEEQKIPEPKEQKVVIDVKQQSALMCSNFLPNMVAKINKSGCEDDRVAIESQACRNVPNHTIEVMA >KVI06154 pep supercontig:CcrdV1:scaffold_320:236286:238109:-1 gene:Ccrd_015500 transcript:KVI06154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTELSSRRHIALVMEKISVLLLMITVIAFVTGLRQYGRLMVEHPSSTLKDAESTNLDGVKVSGPNRKLLLSHEAAKVQPNRIWGDKCSKSDIIINQGPTSPLPSGIPMYMVEIVNMCTTGCDISNIHLSCGWFSSARLINPRLFKRLDYDDCLVNNGKPLVNGHTISFQYANTFPYPLSISSVMC >KVI06143 pep supercontig:CcrdV1:scaffold_320:286526:291562:-1 gene:Ccrd_015495 transcript:KVI06143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRTRCIIRKMELTCVLIMVDTKRSTSRSSWIANNLACAAAAPRAVAVVALIRSGKASAAEAATCGPTTAEMRKKRGRRSGLTGAAGDGVEFEFEAMIVGGKEWF >KVI06151 pep supercontig:CcrdV1:scaffold_320:137910:143568:-1 gene:Ccrd_015508 transcript:KVI06151 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MTGNLAHLTLAFGLLAPLGVLRQVIRTKSVEYMPILLSVALTLSAVMWFFYGLLLGDFNIAIPNVLGFTFGIIQMILYLVYKNKKSVSNEKLSSFEAKINEMDEKKVPEINDHKVIDIVKLETLMCNDIYPTVGKSDKSKDVHDCKDVQSLAMPNRSI >KVI06164 pep supercontig:CcrdV1:scaffold_320:173066:173315:-1 gene:Ccrd_015504 transcript:KVI06164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10e/L16 MSGQFRRNGKIWISLLPGNLQKSEWEKEKGNPTGWIARVSTGQIPFEMDGVSLSNARQATTLAAHKLCSSTKFVQWS >KVI06153 pep supercontig:CcrdV1:scaffold_320:223310:224665:1 gene:Ccrd_015501 transcript:KVI06153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAHPLHSLTFTSKSPTPRFKPFPKLVISASNKPISGRKLRVAVIGGGPAGSSAAESLASGGVETYLFERSPTGAKPCGGAIPLCMLDEFSIPPELVDRKVTHMKIVSPSNLTVDFGKTLKSNEYISMLRREVLDSFLRSRAESKGAILLKGLVTNLDLPNFPNQPYIIHYTVNNTIKYLPVDVVVGADGANSRVAKSIDAGDYACAIAFQERIRLPDDKMEYYHNLAEMYIGNDVSPDFYAWVFPKCDHVAVGTGTVISKPNIKQFQKAIRSRVLPKIAGGTVIKVEAHPIPEHPRPVRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEGIVKASEGGQKMIEESDLKREYLKQWDSQYINTFKFLDLLQHVFYGSNAAREALVELCGDEYVQRMTFESYLYKKLANGNPWEDFKMVSNTIGSLMRCKLVGKGMEAFDPNVLA >KVI06155 pep supercontig:CcrdV1:scaffold_320:144115:145759:-1 gene:Ccrd_015507 transcript:KVI06155 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MTGNIAHLTLAFGLLGNSVSFMVFLAPILTFYKVYKKKSTEGFQSVPYVVGLFSSMLWIYYALLKSNVMLLITINSVGCFIETLYICFYLFYAPKKARMESLKLIVLLIVVGFGLIVVLTQFLASGVTRRRQVIRTKSVEYMPILLSVALTLSAVMWFFYGLLLGDFNIAIPNVLGFIFGIIQIILYFVYKNKKPITNEKISSFEEKIIEMEAHKVPKTEDHKIVDIMKLDALMHYDIFPGIGIMNKNKDVLDHMHVQPQTLQNHDIEVST >KVI06147 pep supercontig:CcrdV1:scaffold_320:304724:306348:-1 gene:Ccrd_015493 transcript:KVI06147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MDLINKLLLDFVFPMLTVTLLLFLWPAELLFKFFNYLLRSAFHEKLAGKVVLITGASSGIGEYLAYEYAKRGARLALVARREELLDVVAGKAREIGSPDVVVISADVSKLDDCKRFIDETINHFVACFLPFSQLNPNVGFATVDHLVNNAGICWVQDQDQRCVSDYVSIMDINFWGSIYTTQFAVPHLRKTKGKITVVASAAGWFPSPRLSIYGASKAALISFFETLRIEVGSDIGITIVAPGLIESPITNDEWLSQTNLEWMPLASVQGCAKTIVDGASRGDEYLTLPAYVRVFFLLKLLCPRILQWIVRVLIVFRSKDPLLNGKPRSSSSSSSCSDSAKLE >KVI06150 pep supercontig:CcrdV1:scaffold_320:130079:135508:1 gene:Ccrd_015509 transcript:KVI06150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVYAHEIHYTTNRAVAEEMRRKTFLPYKSGLLGGGSPSSNMFDECVAHLLDDLFQGYNGTVIAYGQNTIRSTDKDVVEKKMVHPLFTIFGDEDMKSAKAFT >KVH87486 pep supercontig:CcrdV1:scaffold_3200:47390:58713:-1 gene:Ccrd_025256 transcript:KVH87486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MADTTDSGRSFKRRDQLLEMEQEVRKWWDECQVFNAEANDQPPKAGEKFFGTFPFPYMNGYLHLGHAFSLSKLEFAAAYHRLRGANVLLPFGFHCTGMPIKASADKLRREIERFGNPPSFPSLETEEMISEPEPKANEGNNQSQPDKFKGKKSKATSKAGKETYQWEIMRGYGLSDAEIATFQDPVQWLRFFPPLAVEDLKAFGLGADWRRSFITTDINPFFDSFVGWQMRKLKALGKIVKDLRYTVYSPLDGQPCADHDRASGEGVIPQEYTLIKMEVVRPFPAKFAFLEGKKVYLAAATLRPETMYGQTNSWVLPDGKYGAFEINESEVFIVTERAARNLAYQRLSRLPEKPSCLVELTGQDLIGLPLRSPLSFNEIIYCLPMLSVLTDKGTGIDLKAKAPFRAKFGVKDEWVLPFEVIPIISHPEFGDKSAEKICTDMKIKSQNEREKLDAAKKVIYKGGFYEGTMIAGEYAGMRVQEAKSLIRSKLLELGQAVVYSEPEKKVMSRSGDECVVALTDQWYLTYGESNWREDAEECLANMNLYSDETRHGFEHTLSWLNQWACSRSFGLGTRIPWDKEFLVESLSDSTIYMAYYTVCHLLQKGELYGSDTSSVKPEQLTDEIWDYLFLSGAEPKSSDIPLPLLKKMRQEFEYWYPVDLRVSGKDLIQNHLTFCIYNHTAIFPKRHWPRGFRCNGHIMLNSEKMSKSTGNFRTLKQAIEEFSADATRFSLADAGDGMDDANFVFETANAAILRLTKERVWMEEVLAAESSLRVGPPSIYADRVFANEINFAIKMTEKNYSENMFREALKTGFYDLQAARDEYRFSCGLVGMNRDLLWRFMDIQTRLIAPICPHYSEYVWKKLLKKEGFVIKAGWPEAENPDLTLQMANRYLQESISNFRKLLLKQASGGSKKGGSNKVSTQSKPTIGLIFVNEQYDGWKRECLNILRDKYDSLNRKFAPDQEILQALQQSAIGQEGNFKQTQKLCMPFLRFKKDEVMTLGVQALDLRLPFGEIEVLQENLELVKRQIGLEHVEILSATDPDAVIRAGRHASLLKQTPPSPGSPTSIFLTQEEKGEEYWNLLAGWLRRSYVNASEVPAECDERRHTHRSFYW >KVH95014 pep supercontig:CcrdV1:scaffold_3201:53506:54736:-1 gene:Ccrd_002917 transcript:KVH95014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MALPFYSNWPTFRQAHHHATAVPLPQPPPEHLPFHDNFALSDTCINPMLHLNRHFSGSYNGNLAFNNGIKYPTLSSSYNSLTTQQHLLNNPPLPELSQISSFTHEWEWPLVPNVCDHDQFFSLNPMVELPPLPEIYPDCGSDTLMPPSYDGGQGNDNIMEVEERSNVQVKKQNGGGGRSLSAQSMAARVRRRKISQKTLELGKLVPGGHRMSTAEMFQAALKYIKFLQAQVGVLQLLASSPGPNEELQALVTSPSVQEKLYAAEKCIVTQALVHDHQE >KVH99312 pep supercontig:CcrdV1:scaffold_3202:38759:40993:1 gene:Ccrd_022457 transcript:KVH99312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MARFSLLFIFSLFLLISASVAVAVDDSASDPLIRQVVSEEETNADHLLNAEHHFTLFKSKFGRKYATPEEHEYRFSVFKSNLRRAKRHQLLDPTAEHGVTKFSDLTPSEFRKTYLGLKNPLTYPADANKAPILPTKDLPENFDWRDHGAVSGVKDQGSCGSCWSFSATGALEGSHFLQTGELVSLSEQQLVDCDHECDPAEKNSCDAGCNGGLMNNAFEYILNAGGIQKEADYPYTGKDGTCHFDKTKIAASVSNFSVVGTDEDQIAANLVKNGPLAISCPYICSKKRLDHGVLLVGYGAADYSPSRFKDKAYWIIKNSWGANWGEDGYYKICSGYNSCGMDTMVSAVVSTNT >KVI01712 pep supercontig:CcrdV1:scaffold_3203:16899:17310:-1 gene:Ccrd_020009 transcript:KVI01712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30e MTVLFTSQRDVFAVPGGNMVSGKKTKKTHESINNRLALVMKSGKFTLGYKTVLEFLRSSKGKKLSPFTHEYE >KVI01711 pep supercontig:CcrdV1:scaffold_3203:18952:19289:-1 gene:Ccrd_020010 transcript:KVI01711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKGHDLCLSLPFAYPAHVFPVFKKVSCREKILLYVNYGTCTQITCNTCSHPLKARDAYLHLLYKMMIIYIILAGQLSFLYDSKVLKWSSQDLYFAYS >KVI01710 pep supercontig:CcrdV1:scaffold_3203:28769:28984:-1 gene:Ccrd_020011 transcript:KVI01710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKSGIVEAPTPPNNIFFIQSPLSNTIDDVANVVHATPMIQGSKNGGSETRDFIYRVRRRDAGDGCNA >KVH98734 pep supercontig:CcrdV1:scaffold_3206:54307:56457:1 gene:Ccrd_023039 transcript:KVH98734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MDFGGLYDLDPNPKCYVQVGSGFKQERSLSATAHNQDEWRDLKLPKITQQDISKAMLRSNTTSHSAYSDSQNMLSFSSPNTLTLPYYAPNTAGNGCGSGGSLMAGVRGPFTASQWMELEHQALIYKYITVNAPVPSNLLIPIRKALETAAFSGTHLRNTTYGWGAFHLGFSNSSDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQTGHSVSNGPTTTAAVAAAKVSASAAVVTTTSTIVSHLHQLSSSNRMQPAAYSHDIRSFLNKGNEKTEDAIGHSSIGLKQNPSEFGLVCSDSLLNPLQKNEDGGHKSQNSLRQFMDNWPQSDRTQLSISIPIPPSDFMSSTSSPISERLNLSPLRMGLGVGNNSERSQWIPVSWESSMGGPLGEVLNNSPSALSLMTDGWESSQQMTLSPTGVLQKRAFGSLSNSSTGSSPRTGSTTLMTSSLPLM >KVI08233 pep supercontig:CcrdV1:scaffold_3207:4608:17355:1 gene:Ccrd_013394 transcript:KVI08233 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase, type IA MATKVLMVAEKPSIALSIATALSGGRMSSRRGSTEVHEFDGTFLGYRVQYKVTSVIGHVFSVDFPPAYQDWAATDPLSLFQAPVVKSESNPKAHIRQHLNREARGCGDLVLWLDCDREGENICFEVIECTGFANDGRRVHRARFSSVTEKDIIHAMKNLVEPNKDEALAVDARQEIDLKVGVAFTRFQTTYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLHISTFKPEKFWVVLPYIIKNGFELKLEWNRNRLFDHDVAEMFQKLVAEDAVVKVISTSEKQESKGRPSGLNTVNLLKVASSALGFGPHLAMQLAERLYTQGFISYPRTESTAYPSSYDFKGTLGTLVSNSVWGSYVQTLLSNGYHKPRSGTDVGDHPPITPMRSANEDMLGGDAWRLYQYVCQHFLGTLSPDCKYVRKKIEFSVGGEFFHCVGQHVTVKGFTAIMPWLAVPEKNLPQFTEGEKIEISKVELYEGKTAPPDYLSESELISLMEKHGIGTDASIPVHINNISERNYVKVEAGRRLVPTVLGVSLIRGYQCIDADLCLPDIRGFIEQQITLVSKGQADHSLVVQHVLEQFKQKFNYFIKQIENMDALFEAQFSPLSDSGRSLSKCGKCLRYMKYIAMLPSRLYCNTCEEVYYVPQKGTIKLYKELTCPLDNFELLLFSMAGPDGKSFPLCPYCYNNPPFEGIDTLFGAPKTGGSVKLGKGVGMPCFLCPHPTCRHSLISQGVCACPECDGTLVLDPVSAPKWRLYCNICNCLVLLPQGAHRISTTKERCPECDSTIIEVDFNKKTTPLKDGATLHVGCILCDELLHSLVEMKHGKSFFRRGRGRGRGRGRGRGRRGGRNQDPKMSFRDF >KVI08234 pep supercontig:CcrdV1:scaffold_3207:18046:19537:-1 gene:Ccrd_013395 transcript:KVI08234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase CGRVGIDLSLPLAKRVHKQRTPVSLAITLSSSSSSSKNSSVPSTAEMDSSDDEGEEYLFKVVIIGDSAVGKSNLLSRYARNEFNMHSKATIGVEFQTQNMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDITRTTTFDSVPRWLEELKTHSDTTVAMMLVGNKCDLGNIRAVSVEDGKNLAEKEGMFFMETSALDSTNVKTAFELVIKEIYNNVSRKVLNSDSYKAELSVNRVTLSNNGADGSKQSRSRYSCCS >KVI08236 pep supercontig:CcrdV1:scaffold_3207:56572:59676:-1 gene:Ccrd_013397 transcript:KVI08236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAGIPRIKLGSQGLEVSAQGLGCMGMSAFYGSPKPEPDMINLIHHAINAGVTFLDTSDMYGPKTNEILLGKALKGGIREKVELATKFGFKFEGGAREICGDPAYVRSACEASLQRLDVDCIDLYYQHRIDTRLPIEITMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAIQLEWSLWTRDVEDEIVPTCRELGIGIVAYSPLGRGFLSSGPKMLKKLEDGDFRKYLPRFQPENLDHNKILYERVNELAAKKGCTPSQLALAWVHHQGNDVVPIPGTTRIENLEQNIGALSVKLTAEDMAVLESVASADSVKGARYGAGISTYLDSDTPPLSSWKA >KVI08235 pep supercontig:CcrdV1:scaffold_3207:46206:49270:-1 gene:Ccrd_013396 transcript:KVI08235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLEDREEDVSLLELKKKMADFAKERDWDRFHSPRNLLLALVGEVGELSEIFQWKGEVARGLPDWEDEEKQHLGEELSDVLLYLVRLSDICGVDLGKAALRKLEINANKYPVNLCKGSSKKHNQIINNSSSPTTNNSCSMKDDIFQSHDGV >KVI07028 pep supercontig:CcrdV1:scaffold_3209:33294:34793:1 gene:Ccrd_014612 transcript:KVI07028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKRSDSFNEQDDHEQQDYATQKNSSFPGLEEEEEEEEEDDDDDEFEAVDHNSASTSRPKNGSTSSNSTVEESDKKANSATGSVRPYVRSKNPRLRWTPELHLRFIHAVDKLGGQERATPKLVLQLMNIKGLSISHVKSHLQMYRSKKINDPNQVVSEQGLVYEGDDHHIYNLSQLPMLQSFAQNSISSFRVSDGLWSRNTNRTDYNPFLSGLRHGAYGSRMDQRLIVGRSNNSSLRWLGSSNIEKSQLRLFGNENNGLLKDDPIRSRSQMDETNAISKDIIVDDQDKMPLKRKVIDQPEPGNLDLDLSLQIKTREDDDTRNEEVDESGLSLSLFSSFSTKSPSSIRRSKHAKTMGCSSSGTTLDLTL >KVI07029 pep supercontig:CcrdV1:scaffold_3209:45433:55503:-1 gene:Ccrd_014613 transcript:KVI07029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFALRKTLKQPSVFTALHRLITTGQLPEPSTSAAYDDLITTAGRERDFVTIRNLLNQRYANGLFNTTNTFNFISTDLSVLDDLLKTLPDLREGYCRKSAYDSLIVRLCKMQLIDSALRVAEELIRGKYGADACTFYPILSLLSRQKNFDEAWRVLEIMKTKGIARDVTCYNHMLTSYSITGDLRSSVDVLKKMAEEGVKADARTYDALVLGACKMEKMDGAIAILRRMLDDGVEAMHSTYAHVIGNLVRLGYCAQAVKLVMGYGEPKGAVYTPGNGRGWGRGLCGVAVLVGAFKTRAQDERPSSLLPLTSQSHFPHSSDVFSSISYPPPHHLRRTFLPLTICHFTIGSMDTNNQTTRHHGGGGGREDCWSEAGTETLIESWGDRYLQLNRGNLRQKDWKDVAHAVNANRDELKPPRTDVQCKNRIDTLKKKYKLEKSKPTPSKWPFFHRLDDLIGAANSVTRKKVSTPKSASVTLTAKSNPKPNLNPNPNFKAIAYSGGSSSHDESLSRMESLDFAEETTGYKELARAILRFGEEKIRSYDEGQLLEVM >KVH97311 pep supercontig:CcrdV1:scaffold_321:152856:158644:1 gene:Ccrd_000588 transcript:KVH97311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MKIAHSTSLIMVVPSLHKPHQSWVVGCCMEQCSRSALHAASSHAGQAYPTVLTLDMTKERIKKLLSNVELSISSYDTAWVAMVPSPNSPNSPCFPECLNWVMDNQLNDGSWGLHHHHHPPLLKDSLSSTLASVLALKRWNVGEDQINKGLCFIESNFASATDKNQPSPVGFDIIFPGMLEYAKDSNIRLPLNETDLSVMLHQRELEIRRCHSYKKDAYLAYISEGLGNFHDWNMVMKYQMKSGSLFNSPSATAAALIHHRDAGCLNYLTSLLEKFGNAVPTIYPLDLYVRLHMVDTLEKLGISRHFRVEIQNVLDETYRCWVQRDEQIFMDVVTSALAFRVLRTNGYEVSSDLLADITKEGDYMNSLEEPFKDVYAALEVYRASQIIHQEELAFGERNLRSIDFLKRKISTASSPSNRHSKFIHKEVENALKFPSNASLERMSTRRNIEHYNVDDTRILKTTYRSLNISNEDYLTLAVEDFNACQSIYREEIKGLERWVVENRLDKLKFARQKTAYCYFSAASTLSSPELSDARISWAKNGILTTVVDDFFDVGGSMDELWLDLMKSMLREAIWSKEDRVPTITEYMENGYVSFALGPIVLPALYFIGPRLSEEIVQSSEYHNLFKLMSTQGRLLNDIQGFKREMKAGKLNALSLHMIHGKNGVPEEGVVEEMKMVISNQRRELMRLVLQTKGSIVPKACKDAFWNMSNVLNLFYATDDGFTGNAILDTVKQTIYEPVSPMETKEHW >KVH97316 pep supercontig:CcrdV1:scaffold_321:88450:90006:-1 gene:Ccrd_000584 transcript:KVH97316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MGGESWNDEDKAIVAAVLGSRAFDYLISSSVSNECSLTSLGNDENLQHKLSDLVDHPNSANFSWNYAIFWQVSQSKTGDLVLGWGDGSCREPKEGEEFEIARILSFRLEDENQQRIKKRVLQKIHLLFGGLDEDNYAFGLDRVTDTEMFFLISMYFSFRKGDGGPGRCFTSGHHVWISDALNSSSDYCFRSYLAKSAGIQTIVLVPTDVGVVEVGSIRSLPENPKLLHSIRSSFSVNQITASVATPIPVIKRNSNSHSDRPVRASKIFGQDLSSNLNQPPFREKLTVRKPDDPRVPFSNWAQFNSPPKPTPQLQIDFSGITSRPVSSESKISEETSCRDEREAITGIITDEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMESERNGPNNNNSIEVQTGEDEVLVKVTCPLDIHPVSKVIQTFQESKITVMESKMAARNDTVFHTFVVKSQGPEQLTKEKLISAFSRETTSSLHPSS >KVH97300 pep supercontig:CcrdV1:scaffold_321:193199:193564:1 gene:Ccrd_000595 transcript:KVH97300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEEKSGYQDLLPVMAEKLEVGRFMEELYGGFRLLADEKMGVITAESLRKNSGILGMEGMSKEESEEMMMEGDLDGDGFLNEMEFCILMIRLSPEMMQDAEMWLEKAIEDEIKKPISASSQN >KVH97298 pep supercontig:CcrdV1:scaffold_321:214912:215604:-1 gene:Ccrd_000597 transcript:KVH97298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKHRSIGADAGRPKTTNPKPKIPARLVTPNGRSSSLFTDQKKNIKTAPIPLISTVNRTEPHKKVTSRTPTQFPGFSDETPTNLKTDRSTSASRGRPINQKSSQNTIVAPPQKPEISSMRAGRQSCSPRGRKTAPTREENMTTMVAQKGSSRMFQTGIGGQILGNRMVDKIITPENQALRRKKQS >KVH97308 pep supercontig:CcrdV1:scaffold_321:248787:249746:-1 gene:Ccrd_000601 transcript:KVH97308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MSSSSSLRLVILLFTITVFKPTVSLNPSFVSFSETFSLLYGYQNIVPSNDDKSVQISMTKSSPGSGFVSRSTYHHGFFTASIKLPNNTYSAGVVATFYAQNNAFNRDDEIDFEFLGHIRGEGWVLQTNLYGNGSVHRGREEKFTLPFDPSQDFHDYSILWNTGRVVFYVDGLPIREVQKVEAMGGDFPSKPLFLYGTIWNGSDWATHNGRYKVDFSHGPFVTGYAGFILNGCPMTQSPASDCQVPLPDGLSSAERSKMQAFRSKYMTYSYCHDTGRYKTSLPECEAGAPVMQPAKTPVVLSPVEPPVSGESHRFGYIQY >KVH97314 pep supercontig:CcrdV1:scaffold_321:45610:54463:-1 gene:Ccrd_000582 transcript:KVH97314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MRCLQSLSSAVSVFCFPLCINCSQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHRIEEGREYAEFAHLPRKRFTDFDAVRKEIADETDRETGRSKQISSVPIYLSIYSPNVVNLTLIDLPGLTKVAIEGQLDSVVQDIENMVRSYIEKLVSWGYVLNSMPLLFLQPNCIILAVSPANQDLATSDAIKIAREVDPKGERTFGVLTKIDLMDQGTDAVEILEGRSYRLKFPWIGVVNRSQADINRNVDMISARRREREYFANSPEYQHLANRMGSEHLGKVLSRHLESVIKSRIPGLQSLISKTIINLETELSRLGKPIATDAGGKLYMIMEICRAFDQTFKEHLDGIRPGGDKVYGVFDNQLPAALKRLQFDKQLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESTLITIKGPAEAVVDVVHGILKDLVHKSINETMELKQYPSLRVEVMNAACESLDRMRDESKRATLQLVDMECSYLTVDFFRKLPHDIEKGGNPTHSIFDRYNDAYLRRVGSTVLSYVHMVVGTLRHSIPKSVVYCQGRQLGKLLDEDPAITERRQNISKRLELYRAAQSEIDEVIWAK >KVH97312 pep supercontig:CcrdV1:scaffold_321:41577:44085:-1 gene:Ccrd_000581 transcript:KVH97312 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561/ferric reductase transmembrane MISASRFWIFAVFVSFAVVSVVVADECNEDINAFLPLPYANMTHMLCKPVWNSYIIRYSQSKDNVVTIVLSATYTSGYVAMGFSKDGMMLNSSAMVGWITRKGNPVIRQYYAEGFNPSQVKHDKGELPLTGIPPFVTVNGAKIHLAYQLKYPSQLKTQPILLAFSSKYPEHHLLSVHEDKTTINFDFSKGSSGSTSSSGKPPSNLHRSKTTHGVLGLLSWGLILPYGAIVARYFKHKDPLWYYLHVAFQFVGFIFAVTTGFLGLSMYNRFSFHAPAHKGIGITAIVLSVLQNRKYWNWYHHNVGRIALFFGALNILLGIQLANAGSSWKIGYGFLLAAIILMSIVLEVFKLKTPANKFPPNPDFQMDPGHQIQDFVRFV >KVH97295 pep supercontig:CcrdV1:scaffold_321:224837:231187:1 gene:Ccrd_000598 transcript:KVH97295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MNAEMNGNGSGSGGGVVVEEGKKKVVVVVRNGDEKIDYVYKVVVIGDSAVGKTQVLSRFTRNEFCFDSKSTIGVEFQTRTLTIHSKLIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQSFDHVARWVEELRAHADSSIVIALIGNKADLEDKRAVPSEDAIEFAENQGLYFFETSALTGDNVEAAFFKLLEEIFSVVSKKTLMDQDVAKSSPDCLTLKGLKIDINGGPELEISEMKKLSSYGGSQDFKKGDHNEKVALLEKEDLGSACDGWKEGILVIGTFGIDLFKDLNPKDDGNQVLFFGDHEDQEEEKEEDQEGEMECPLVLKASNHGFDQKQDPDEAAKPNNGGGYVKDSVDLDMEILKKIKKRGERITLADLLWADSENNLLKNNKLSDDDHHNKVIKVPTVSSESIKKHDAHDDDHDGCLISKKKKKLPKDDSADHPIKKTKRLMRKMLKKKKIHPDIGIQKMETADFIYQQMDHVHAT >KVH97313 pep supercontig:CcrdV1:scaffold_321:61707:62300:1 gene:Ccrd_000583 transcript:KVH97313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MYEPQTHHRSKRGNTNLASCIVATIFLILIAVAVAIVYFLVFKPKNPKIAVDSVQFPTFSISNGTVNFTFFQFVSVTNPNRDAFTHYDSSLQLAYSNAPVGFIFIPAGKIDGGRTQHMSAKFAVQSFPIPARPPPMTAEAVGGAELGMAGPTMEIETRMKLVGRVRVLKIFTHRVESGVNCGVAIEVRSGSVLGLHC >KVH97310 pep supercontig:CcrdV1:scaffold_321:161244:164193:1 gene:Ccrd_000589 transcript:KVH97310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MAMAKLVNSFNYLLISLLFLCAHNMLHAMAQWPKGGSTRFYDFKVQMLKVNKLCSTREIVTINQMYPGPVVYAQEDDRIIVKLTNETPYNATIHWYITLVWDILGSFDLNLTLLFHPNIRHGVRQMLSCWSDGPSYITQCPVQSGQTFTYEFTLVKQKGTLFWHAHFSWLRATVYGALIIYPKTGVPYPFKYPYEEHTVILGEYWQRDLVQLEKNVTTSGGGSPVADAYTINGHPGPNYNCSTNDVYKINVVPGKTYMLRLIGALLNMESFFTIANHKLTIVEADGEYTKPFTTDRVMLGPGHTLNVLVTANQKIGRYSMAMGPYMSAKNVPFQNITSIAYFQYLGATPNSVALPAPLPRFDDNLAVKTVMDGLKSLDIADVPKEIDTNLFFTIGLNVNKCGSRTPNQNCQGVNGGVMAASMNNNSFIRPNFSILRAYYDHINGHFTEDFPGSPLRFYDFVNGAPNSPPNNTGSMNGTRTKVLEFGSRVQLILQDTGTITTENHPIHLHGYSFYVVGYGSGNYNPQTAIFNLVDPPYMNTIGVPVGGWAAIRFVADNPGVWFMHCHLEIHLSWGLAVALIVKNGQGPMETLPHPPADMPRC >KVH97315 pep supercontig:CcrdV1:scaffold_321:115219:116582:1 gene:Ccrd_000585 transcript:KVH97315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g00950 [Source:Projected from Arabidopsis thaliana (AT4G00950) UniProtKB/Swiss-Prot;Acc:Q9M160] MESDADSGPDSIPKLPLFSIPPHLHEPSGMLTPPLQTSASVPFRWEEQPGKPRPCTDIIVAPTNRCLDLPPRLANKTPSPTTVLDGPGDFSGKSLLSSSFRFARERRRKGQRQGSFDSSCSGGWSPNDDSCGGQQLLLGNKQEQQNGGGGGGRGLFGSFRLKCSHKSKGSSSLISPSSSSSMETVDKKGKKMRRNSSLSKVTRSHFWAAIYEGFKHVVPWKKKTFTH >KVH97318 pep supercontig:CcrdV1:scaffold_321:116666:118686:-1 gene:Ccrd_000586 transcript:KVH97318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAVWRRTANRCFDAKRAPKLACSTSSLLSKQVDNITDVNDSFSLLNNKLDPRWWLELQPDYIYQMGLTNEQVNARNHQQFIINPNGTSISSLSKESYEMDPVDWKFQQFEKNGELQLPWWQKDDLGSNVSKRWHDLHKGSNPQNAVSRVQVTSKPISPLGMANSNCAMHHGPREKPISKVDSSKAKILEALRHAQTRAREAENAAKEAYAEKEHVVKLLFRQASQLFAYRQSLYLLQLENLYYQIKNNKTNRVSKTGKLHKNLKKPPPAKRKTQSRDGVGQYEHDIGKYAVVFLMGLGLVGAGLLLGWTVGWMLI >KVH97305 pep supercontig:CcrdV1:scaffold_321:257569:262795:1 gene:Ccrd_000602 transcript:KVH97305 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MTTLFRSKSCGGTPRPKASFFHFSTSTQQEEDDDDDDHDDIDVSYLCQDYSEHGSPITTPFISPRQQSPQSNHNFTILSLLLTALRKSLLTCAVQHSQDASSSLDIGCPTDVHHVSHVTFDRFNGFLGLPHALQPHVPRHVPSASVCVFGVSVESMQCSYDERGNSVPTILLMMQNRLYSGGGLQAEGIFRINGENGEEEIVRKQLNRGFVPHGVDVHCLAGLIKAWFRELPTGVLDSLTPEQVMHCNTEEECTQLAKSLPPTEAALLDWAINLMADVVKYDQENKMNARNIAMVFAPNMTQMADPLTALIHAVQIMNLLKTLVMKTLGDREESCADNSGSDHLYPLRSSTLNRLGSESEEQFWSFPRKSGSVVEYDYNNSPVTCRSKSPEIDNQSKQGQQHREVVIEGILERVKLRKGVRRLCRYPVFQLSKPTAKKSGTIGEDMDIHCL >KVH97301 pep supercontig:CcrdV1:scaffold_321:177882:182328:1 gene:Ccrd_000592 transcript:KVH97301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNSGGGLVSGSSDSGRGFLVPGKMETCIYNNGLIEAGAAMEVDYVEKDPRGRYIRYPEILGKGTFKKVYGFFSFHTFFRYKAFDRLDGIEVAWNRVRIDDVLQSSDDFGKLYSEVLLLRSLKHDNVIKFFDSWIDIKKKTLNMITELFTSGSLRQEFIEKCLVPAKQRLSAMELLKDPFLDCENPKNPTQVPRALSRLNESVDTSNGGDHPVVELHRKHQENEFSLQGTKNDNNSVSLTMRIADPSSQARNIHFTFYPDRDTAPSVANEMVEQLDLVEHDATFIAEFINNLISKIIPGWKPSSAYCSHEGTKTHGTPSSKQPSSGCLSDGGTNTHDSPKSNTDPPEPTNMPVRPVLISVSEHGSLISKDSEVSLESEPGSFIGSGSSFADLNIGISKSRGLSSNGSFLSLCECGDKVKARIELEAIETRYKQWCQEMKRVREKGVEATRKRCITTS >KVH97304 pep supercontig:CcrdV1:scaffold_321:168296:173952:-1 gene:Ccrd_000591 transcript:KVH97304 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL-like protein MMYVKATPTTDLNRNTDWFTYPGVWTTYILILFFSWLLILSVIGCTPGMAWTIVNLSHFLVTYHFFHWMKGTPFAEDQGMYNRLTWWEQIDNGKQLTRNRKFLTVVPVVLYLMASHTTDYQHPMLFLNTVAVLVLVIAKFPNMHKVRIFGINGDM >KVH97306 pep supercontig:CcrdV1:scaffold_321:300315:301937:1 gene:Ccrd_000603 transcript:KVH97306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDDFIVSPSSSSSIVSFPKTTIPPPSDHTLQQKLQHLLQSQPQPWAYAIFWQTFNDDSNGCVSLSWGDGHLQSNNDLPITTLPSTSGGSATFLSDLEPDSRKSLIREIQALLGPDNRDDAEWFYVISLTRSFTPGDGSVPGTAFGSNTMIWLSGVDQLRSFNCERAKEARIHGLETLVCIPTPNGVLEIGSHHVIEESWNLAHQAQSVFGGXSSSSSCSPPDXLPNFFLHKQHLDNSATAQPMNNLNDDHHNIISFADMMFMAGGLQEEGMNTIDFESTTPDNQMSKNVEKLYINKSNTTAATTMNTYAETASEHSDSDCQLVLATTERRIQKKKGKKPGGRDPPVNHVEAERQRREKLNQRFYALRSVVPNVSRMDKASLLADAVCYINELKAKVEYLESQLHSRINHQGKTKKMKVEVADTADNPLQTSDTYSLYQSRVSTKPILKINNKATIKNNISGFGEVEVKIVGEDAMIRVQSGNADLPPAKLMDALREMKAQIQHASMSCVNEIMLQDVVVRIPGAIDEDELKTDLIRRLDR >KVH97302 pep supercontig:CcrdV1:scaffold_321:182895:185503:-1 gene:Ccrd_000593 transcript:KVH97302 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, M/15kDa subunit MEFCPTCGMLLKYELPHMSRPARFFCPTCPYVIQIETKVKIKRKQRLVKKEIDPIITKDDMSNAPKTDQAHCPNCGHNKAAYIQFQTRSADEPMTINFTCEKCGHCWRED >KVH97307 pep supercontig:CcrdV1:scaffold_321:245863:246096:-1 gene:Ccrd_000600 transcript:KVH97307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVVVVDDPIDDGTKMVDVARDGDGAFLFEPIFIFCVLQKLHEKGMIKANNRHHKALLFGAGFTNFDGQTAFGNPS >KVH97317 pep supercontig:CcrdV1:scaffold_321:133883:138760:1 gene:Ccrd_000587 transcript:KVH97317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MVVSLSSRGPRLWVVDCCRDHRRNPLVFRISSSHAAQAKPNVQTLDMTKERIKKLLSNVELSVSSYDTAWVAMVPSPNSPNSPCFPQCLNWVMDNQLKDATDENQPSPIGFDISFPHMLEYAKELNISLPLNQTDLSVMIHQRELELRRCCSRQKEAYLAYISEGLGNYHDWNMVKTYQMKNGSLFNSPSATAAALIHHQNAGCLDYLTSLVNKFGNADPLTESTKRGDYMNLLEEPFKDIHAALEVYHASQIMHQEELSSIPSNSLNKFIHKQVGVTLKFPFNAGLERMSTRRNIEHYKVEDARILKTTYRSPNISNEDYIRLAVEDYNACQSIYREELKGLERWVVENRLDKLKFARQKTAYCYFSAASTLPSPELSDARISWAKNSILVGMIDDFFDVGGSMDELLNLIQSVEKYIILIYTSMLREAIWTIDGRVPTINEYMENGYVSLALGPIVLPVLYFIGPRLSEEIVRSSEYHKLFKLMSTEGRLLNDIRGYKRELKAGKLNAVTLHMRHAKCGLVEEKVVEEIKILIEDQRRELMRLVLQTKGSIVPKACKDTFWNMSNVLNLFYAKNDGFTRNDILDIVQQIIYEPVS >KVH97296 pep supercontig:CcrdV1:scaffold_321:238254:244780:1 gene:Ccrd_000599 transcript:KVH97296 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein MDRQGHDYAAAAAMAFAQQQQQAANIQQQQQQQPPPFGFHPQHQQFLPSVHGPPFLPPHPSIQQFPRHPLMQQPQIHPHPPSHLHLLHLPQQQQPPPSFPPHMPPHLGPSPFHGPYDAPPPPAPPPSDPEQQKCIDKLVEYAVKNGPEFEAMIREKQQDNPAYSFLFGGEGHSYYRYKLWLAARPPGGMFNPPFPSASVNMLHPSNAMMNQSSLNAPHLASGPGASPSVIGGHQMHQHPYPPYYEQQHHQHSQPFVGHGRPEYDQSSRTFKGLSGPLPSDVASEMNNVLNSLTGTKESIKGAKMWFMQRSPFAPALAEALRDRVFSLDDSERQLHIIYLANDILFDSLQRRVNPHEIDNEALAFRHVLGSMLARIYHNPLNKDENQSRLQKILQFWASKEVYDPDTIRVLENEMLNGPPVGSFPASKNDTQASATSAVHWQPDKQGMVPNLSDQDHPDKQVPSVLTSSIPNPQFLPSSIPSGGYGGSMPISSSVQLPNQQPAPHMLPAPVGSEKLPPYPLFPPGLIPGMVRKMQIGSGVPYSPMSPLDIPTVIPPSTVSPSEILESVSKFFKDIGEVNPSEGPMRGGDVKSDDEDEYEREPPVRKGGACIPPPPNLVDPETGTFADGSVEKKPGSSGSGRLGLGATANPNEASQYDDVYTSYRKQRSTNYHSSMSARASTR >KVH97303 pep supercontig:CcrdV1:scaffold_321:164388:166436:-1 gene:Ccrd_000590 transcript:KVH97303 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MGTVIPPWKQLLLNALQSNSHLRHSSYFQLATIGSNGRPANRTVVYRLQYLGPNPGLPSLGDQPTEVPSSLDPSAGPVAAYCLLVLDPDQVDYLNLKSNERMTFSRMNANEENSWTSDRVNP >KVH97309 pep supercontig:CcrdV1:scaffold_321:13151:16203:-1 gene:Ccrd_000578 transcript:KVH97309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWRDSFITHRAFCDALAEETARSSSSLNHLPLHLPINFPLKTEPQHLLQHPQLSFNIGSSSPHHNHQLPSWLDHHHHQQQNPSPNGLHLPSPSSHMSATALLQKAAQMGVTMSNPAPPPSSAVAAAAAISMSHEQQSIILSGSHQTLQRDHMCTPLLSSLHHHHNASALGSLSASDNHHGLLEASGGFANVVSTGCMDHHAHPQVLHPNSLTSTSLGPTNNIHQVFNGMLNSTKRDHNSYQEQLFPQAPASAQTLLNTRKEGAVGNDELTRDFLGLRGFPTPTDHHFLSMAGLDHMANSSPYHQLHPNHNQNQIPWQG >KVH97299 pep supercontig:CcrdV1:scaffold_321:187990:188408:1 gene:Ccrd_000594 transcript:KVH97299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MADVIGPRLYACYNCRNHVALHDDIVSKRFMAGHRQRAFLFSHVMNLVAGPKKDRQLITGWYTIADVECSDCGEVLGWRYEKVNEESQKYKESKTVLEKFKIVKDNW >KVH97297 pep supercontig:CcrdV1:scaffold_321:198629:203562:-1 gene:Ccrd_000596 transcript:KVH97297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MIMATTDLRCISSQSYVQCLSAMEAITSTSSMAAAEFITQTIELLGEAAVEAKNLFVEKESFLELASYLDRIVPLLKELKKRDISNIESSFVEILNQQVKVANQLMTDCSKKNRVYLLINCRTITKRLQDITREISRALSLIPFSQLDISASMVQELSQLCESMQSVEFKTAMADEMILEKIETGIHERNVDRSYANNLLVSIAQALGIPTERSALKKEVEDFKSEIQNAQLQKDHAEAIQMDQIISLLEKADATHSSEEKERSYLTKRTSLGSQPLEPLQSFYCPITREVMVDPVETSSGHTFERSAIEKWLADGSNLCPLTMIPLENLVLRPNRTLRQSIEEWKDRNTMITIASIKAKLSRPSSENEEEVLCSLEQLQNLCEQRDIHREWIVLENCIPILVELLGGRNRDIRTRALVMLCLLAKDSNDAKDRIAKVDGVIKSTVRSLGRRVAEGKWAVELLLELSRNEILQKSIGMVQGCILLLVTMSNSDDTQAATLARELLNNLSFSDQNVIQMAKANYFTHLLQRLSSGSEDVKMSMVTTLAEMELTDHSKSSLLEGGALDSLLPLLSNGNPRMKETAAKALCNVSSLPKSSMQMIKRGSVSLLVNILYNHTSSHCLQDEVAAIIMNLAISTMSQDSNGTLVSLFESDGDIDSLFSFIGCTRPSVQESLLHSFYAMCHSPLAATVKAKLRQNSDSEQLLVALCKDEHQKVRANAVKLFCCLTEDGNDKEIIDRMGQQSIETLIKIIRSFSDEEETASALGVISNLTQSPQLTESLLRADGLPSISARLHDGMHNGPQKKRLIENSVGSLCHFTIPTNQQSQKKVAEAGVIPLLVQLLELGTSVTKRKASISLAQLSETSFQLSRPIPKPLGGLFRCFSPQSESGCPVHQGICTIESSFCLVEANAVSPLVTLLGDPDQDVCEASLDALLTLIEAERLPYGSKVLSEANGIHPIIKLLNSTSSSLQEKVLNALERIFRLVDFKQKYGPSAQSPLVELTQRGNNKTKSLAARILAQLNVLHDQSSYF >KVI06350 pep supercontig:CcrdV1:scaffold_3210:57778:60195:1 gene:Ccrd_015304 transcript:KVI06350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLTGGSKNTWQPVMGPDTTTSSYWLNWRLFLCCVWILTSMAMASYLITKYEGPNNRKSRIREDDDEEEDDYGGVLYDDEVWKPCLKGIHPAWLMTYRIFAFFVLLILIILNAIVDGGTIFYYYTQWTFTLITIYFGIGSLLSIYGCYQYHNKVGGDRSEEFDEEQAAIANLPNAAKSIGRKDQNHPRQVAGLWGYAFQIIFQMQAGAVVLTDCVFWLIIVPFLAMKDYNLNFFIINMHSINAVLLLGDTALNSLVLISGIVACPMLWDFCSSDKTEAFSLVEMVPRF >KVI06351 pep supercontig:CcrdV1:scaffold_3210:26993:29044:1 gene:Ccrd_015303 transcript:KVI06351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLMNLLRGSKNNWHPPNGVDTTTSSYWLNWRYFLCCLWILISVVMAFYLIMKYERPNNRRTRNGEGGDDDDYGVVVCFEDVWKPCVKAIHPIWLMVFRFGSLWSIYGCYRYHYEVGGGRSEELDEAQAVGPQDRRHPCQAAGFCVYVFQIIFQLPIAMHSTNVVFLLFETALNSLVPIGGIVALSMLWNFLSCNKTEEFSLVEDVLAGWRVRTPVSGRGRKDFSKQPEFQ >KVI10535 pep supercontig:CcrdV1:scaffold_3211:19891:63683:-1 gene:Ccrd_011065 transcript:KVI10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILEDNLLSGPLPRNLGXLPRLRRFLVSANNFTGTIPTSFGNLTNMEDFRIDGSTLSGRIPDFIGNWTRLDRLDLQGTSMEGPIPSTISLLRNLTELRITDLAGSSSMRFPNLRDMTGLERLSLRNCLLTGPIPDYIGQMSDMKNLDLSFNRLNGPIPSTLQTLNFDTMFLNNNSLSGEIPQWIFLKITNVKMNLVSAMSSSAASNGDAWCLKDELLCSRNPNRHSLFINCGGPRSDFXGNEYEEDLTNQQSXFYSSPERWAYSTNGVFLGNBDAPFVSRSENVTGGDVYXTARFSPXSLRYYGLCLRQGSYKVRLHFAEISYSDDMTFSSLGRRYFDISVQGVLXRKDFNIMEEANGVGRGISIBVXBVMVNGSTLEIHLYWAGKGTTAIPDRGVYGPLISGITITPNFDVSTGGLAAGAIAGIVIGCCAAIALILFVLWKKGYLGGKEDKELRALELQTGYLSLRQIKSATHNFDSANKIGEGGFGPVYKGVLSDGSEIAVKQLSARSKQGNREFVTEIGMISALHHPNLVKLYGCCIEGKELLLVYEYLENNSLARALFGKYFTIPLFSCSISIQSKIYNLSFYIGREDQKLNLDXPTRKKICMGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWVSSIIQTVLSFLKIRDILSHLQXYVLEEQGSLLELVDPGLGPKYPKDEAMRMLNLALLCTNPSPTLRPPMSSVVKMLDGKIPVQPPMVKRVAGNPDMRFKAFDMVSQDSQTQVSTISADSLGPRSLSNDGPWADTSLYKDETAESSSSANKLLPDLYDSEDKTSRATKNFRDVPSKMQRPFQRGSILFQEDFPNRWEYIIWENTGFIGTGQESRNLSSTDYRSGETGKKYRSEEDTTCGCGCLARCESAKCDHRPDCPDADQQAACAQRRQRDLEVTLVMAGFKFSIFVLFLSMSLREFGSSAQPLPDDEDFSFDSEIFIRRKLVSPNSQNSGFDSLKATIYSQLKALNLTGVLPEEFADLTFLQEIDLSQNYVNGTIPTRFGQLPLRILSLLGNRISGPIPEEIGDISTLEELILEDNLLQGPLPPNLGRLTRLRRFFLDGNNLNGTIPVSFGNLINIEDFRMDGNRLSGRIPDFIGNWTRIKALYLQGTSMEGPIPSTISLLKNLTEMRISDLAGSSSMGFPNLQDMIGMQRLDLSFNRLNGPIPNEIETLGFPSGSMFLNNNLLSGEIPQWIFQKEDVKIDLSYNNFTRISSSSSQLCQTSNLTLVSSLSSSASNNTDAWCLKDKITCSGNPDRHSLFINCGGPTIKFKGNEYKADSSYEGYFHSYDERWAYSTNGVFTGDDDAPFMTNTMNVRGGDIYKTARLSPASLRYYGLCLRKGSYKVILHFAEIGFSDNMTFAGVGRRFFDVSVQGVLRIKDFNIMEKATSTHNGTFLEFEVYVNGSTLDIHLYWAGKGTTFEPARGVYGPLISAIAITPNYDVSTGLTAGAIAGIVIGSCIVIMLILALLWKKGYLGGDKVDKELRAPELQTDYFSMRQIKSATHNFDSANKIGEGGFGPVYKGILTDGSEIAVKKLSARSKQGNREFVTEIGMISALQHPNLVKLYGCCIEGKELLLVYEYLENNSLARALFGHEDQKLNLDWPTRKKICMGIARGLAYLHEESRLKIVHRDIKATNVLLDRDFNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEGSVYLLDWTYVLEEQGSLLELVDPSLGLEYPKEEAMMMLSLALLCTNPSPTLRPLMSSVVKMLEGKIPVQPLVVKRAARNPDMRFKDFDLVSHDNQTQVSTISTESLGLRSLSTDSSLYKDHESSEMKLLTDLYGISI >KVI10534 pep supercontig:CcrdV1:scaffold_3211:1:6660:-1 gene:Ccrd_011064 transcript:KVI10534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MESSRRATARFKFFILILFLSASLREFGSSAQLLPDEEVEALGLIASKLQYTGWSTVAADSCSTGRGLNQTITANDRGLIRFGSNVTCNCNSTVCHISIIQLKGLNLTGVLPEEFANLTFLRELDLTRNYINGTIPASFGRLRLSILSLLGNRLSGPIPEEIGDISTLEELILEDNLLTGPLPRNLGRLPRLRRFLFHTNVDMFVLFTMNGFSCSLVSANNFTGTIPTSFGNLTNMEDFRIDGSTLSGRIPDFIGNWTRLDRLDLQGTSMEGPIPSTISLLRNLTELRITDLAGSSSMRFPNLRDMTGLERLSLRNCLLTGPIPDYIGQMSDMKNLDLSFNRLNGPIPSTLQTLNFDTMFLNNNSLSGEIPQWIFLKITNVKIDLSYNNFTRIFSKQNCQSSNLNLVSAMSSSAASNGDAWCLKDELLCSRNPNRHSLFINCGGPRSDFXGNEYEEDLTNQQSYFYSSPERWAYSTNGVFLGNDDAPFVSRSENVTGGDVYRTARFSPXSLRYYGLCLRQGSYKVRLHFAEISYSDDMTFSSLGRRYFDISVQGVLXRKDFNIMEEANGVGRGISIBVXBVMVNGSTLEIHLYWAGKGTTAIPDRGVYGPLISGITITPNFDVSTGG >KVH87485 pep supercontig:CcrdV1:scaffold_3212:32646:42340:1 gene:Ccrd_025257 transcript:KVH87485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 32, N-terminal MALDDHPIGADPNAGPLFTNQMHWGHSASYDLINWIPLDLAIAPTESFDINSCWSGSATILPGNKPVIFYTGIDSEKRQVQNLAVPKVLSDPYLREWVKYTGNPPVQTLFAIEEHCSVKLNMSYGQTATMSVELKKQTRKLTTQSSKIDSSQP >KVH87483 pep supercontig:CcrdV1:scaffold_3213:1373:7756:1 gene:Ccrd_025258 transcript:KVH87483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLVDECSGAKPKSVLEYNPSLPSIFLQPSIHLLVFDILGHFILPIPDLILIWHTTQSNNTDWEESQDRCHSKSRKDSTGCRSLCDRGMHLYYLKVGTIEANPHHIVNLIHYEVLSPLYLN >KVH87484 pep supercontig:CcrdV1:scaffold_3213:23842:61759:-1 gene:Ccrd_025259 transcript:KVH87484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30e MQGDPLTGLSKRKQEVGVGKVAIESFALMAHNWKLLKLTTLLETLENVDVFTIPGGNMVSKKKTKKTHESINNRLALVMKSGKFILGYKIVLESLRSSKETEREEEIARMEELERIRREEAKRNLGLFSSSEDEEEQERQDVVGSNAANDGEDALDDDVAGFF >KVH87482 pep supercontig:CcrdV1:scaffold_3214:41930:43902:-1 gene:Ccrd_025260 transcript:KVH87482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral Root Primordium type 1, C-terminal MLKKVVVASSRSSRLQPSDSGAFADWVANPSSSRPSEHDLSLGFNAGPASAVGGSTGIWSSSVSAAARHINYGAEIGMLGLRDVFVVAPSSHHLSDHAHAHSLNTPISNATAAAATATALGVGVIPLLTATTCLTAEDDVFGNRGGRASNTTNTTTANNNNSIQFWQPQNQHNYLKKPMIPDHGFLHGGGSASLTSGSTMTCQDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVPAARRRERQLMTSTTTGGGGAAAGSSASTSATKKPRLTSQTTATTASHTSTSNTTPPRSFNSSSSHHHQDASFMQSLPGQVRAPAVFKCVRVTAVEDGDDEYAYQACVKIGGHVFKGFLYDQGAETRDQTNIPNLSELHLGGGGRRNVGGSLISPPLNHPSTSVYGSSGGGLLG >KVI01442 pep supercontig:CcrdV1:scaffold_3215:2678:7415:-1 gene:Ccrd_020284 transcript:KVI01442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast envelope membrane protein, CemA MVYMSLMSTSMVLCYNNLLFSKQRGGSRKRLYLGSLVHVGGEGRRGIRRLVPNAKKKHNRNRSWWQKFFFDEDGNWFGLKDDEMLEAEESVKNTSDDEGLSEDEKFEAWKRRAEAIVELREAQDGVQNEEQRRWEDWLMDGTNGDGGSSSSSSSSNGSSWFQERRDEDVPEDLSDLIPGKGLAESVRDMVLGRDDDELLYEDRVFRYASLNSAKFLAVLIIVPWALDFLVHDYVLMPFLDRYVKTVPLAAEILDVRKHQKLEMVKELKTEKERYKFEVEIGKSPPISEDELYLELRHKALELRDEWRLVNRAAFANIWSDMVFGISLFVLLYFNQNQVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGFDVDQSAIIIFVCLIPVIIDACVKLWMFKFLPRLSPKVSNIFQEMKRH >KVI01444 pep supercontig:CcrdV1:scaffold_3215:40811:46600:1 gene:Ccrd_020286 transcript:KVI01444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Activator of Hsp90 ATPase, N-terminal MEGGGVVSSEKQNAESAAASSYTYWVREAKQDAAPLPVPRKLTAEDLSKSSNHLGSAWNKAGTWEEKNLNKWATERIKELVLSVGSLEFSSGKAEIEEAFLVTVRNKKRVGYTYEITLKVKGEWLIGEEKKTFKGNLDIAEFSLGELDDLQVEVRLNDDKDLVHLDKQRIRQDMKMFVKPLREKFLEFEAELKER >KVI01445 pep supercontig:CcrdV1:scaffold_3215:59492:61256:1 gene:Ccrd_020287 transcript:KVI01445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MMGSIGMLTVSVKQTDIIMAATPVQNLWLPMSNLDLLIPPLDVGVFFCYRKPMDHGDENSCIINLIEQSLSLALVHFYPLAGEVVYTSQGEPELLCNNHADAHSMNMFLASWAEIQRSKPVSCLPSFRRSVLHPRRPPLITSYYDTLYVPFSSLPPSPTSDLTNPLISRIYYIEANDVNNLQAVSSLDGNPQSKLISFISLLWKIIAEGDDDSTTCRMGVVVDGRERLNDIANFENPSNIDSFTMENYFGNVLSISSGEASSDDLKEMPLSQVAKMVHKFVSGTKTKEHFRGLIDWVEVHRPEKAVTKIYTRMEDNDGGAVVVSSGMRFQMEKIDFGWGKPQFGSYHFPWGGQTGYVMPMPSVTKNGDWVVYMHLLQKHLDLVEAMGTKYFRPLTATYLGF >KVI01443 pep supercontig:CcrdV1:scaffold_3215:20640:23602:1 gene:Ccrd_020285 transcript:KVI01443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFRFCLMSLVLIPFLCWIESVNGIGANWGTQSSHPLPPGTVVKMLKDNGIQKVKLFDADYGALKALGKSGIQVMVGIPNDMLATMASSMKAAEKWVSKNVSDHINNNVDIRYVAVGNEPFLATYNGTFLRTTFPALQNIQAALIKAGLGSKVKVTVPQNADVYESSSGVPSGGDFRADIHDFMIQITKFLNDNGSPFTVNIYPFISLYIESSFPVEYAFFDGNATPVNDGGTTYTNMFDANYDTLVWALQKNGFTNMGIIIGEIGWPSDGDRNANNKYAQRFMQGFMTHISGNRGTPMRTGPIDAYMFSLIDEDDKSIQPGNFERHWGVFYYDGQPKYSLNLGTTNSGALIPATGVKYLQKKWCVMKDSAKLDDPSIAQSVSYACALGDCTSLGYGTSCGDLDAKGNISYAFNSYYQKNDQADEACKFPNVSTVTKEDPSTGTCRFVVMIEPYFGGADRLMGRFVGGVVLFLVWVLLV >KVH91754 pep supercontig:CcrdV1:scaffold_3216:3898:5411:-1 gene:Ccrd_006221 transcript:KVH91754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSQASLQTRLKFILQSRPERWLYAIFWQASKEIDGRLVLLWGDGYFPGTNSDLPDSRRNGHGHLMLSYDDDVSDSQWLIMSSVEMCFPAGHDVVGRSFSSGSHLWLAGDVELKKYKSKRAEQVRAHGIKSLVCIPTSNGVVELGSCDTAKEDVGLIQLTKSIFEPDSFFNINLAQIVDECEIPNRGIWNQVREEGQEEVTLKKMNMSSSNSDPFDLDSSSPSTTNITSLPKKRGRRAKGATAQPAEVMPPGYHVEAERQRREKLNHRFYALRSVVPYVTKMDKASLLADATTYIDELKARIQTLEKKVGSXSSQIKPPQNEIQMNSNYYDYNPIQNSRTVSSNPAANRFEVDVKILGSEAMIRVQSPDMDHPAARLMDALRSLDLRVHYASVSSVKDLMLQDVIVKVPDGFTTGEETLRVAVLKNMCLD >KVH91752 pep supercontig:CcrdV1:scaffold_3216:26801:27979:1 gene:Ccrd_006222 transcript:KVH91752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSIDLLLYKILTSLLEELQASLHLHIENLFNIPHLQILIGILVCCLTLEKLKKSLFLKVSNC >KVH91753 pep supercontig:CcrdV1:scaffold_3216:29691:31408:1 gene:Ccrd_006223 transcript:KVH91753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein YHFSSFATTVIGVYRLHHKRHLRFFKTLLSQATMSQTSLQTRLKFILQSRPERWLYAIFWQASKETDGRLVLLWADGYFPGTNSDLPDSRRNGNDHLMLSYDDDVSDSQWLIMSSVEMCFPAGHDVVGRSFISGSHLWLAGDMELKKYKSKRAEEVRVHGIKSLVCIPTSNGVVELGSCDTAKEDGGLIQLTKSIFEPDGFFNINLAQIVDECEIPNRGIWNQVREEGQEEVTLKKMNXSSSNSDPFDLDSSSPSTTNITSLPKKRGRRAKGATAQPAEVMPPGYHVEAERQRREKLNHRFYALRSVVPYVTKMDKASLLADATTYIDELKARIQTLEKKVGSKSSQIKPPQNEIQMNSNYHEYNPIQNSKTFSSDLAANRFEVDVKILGPEAMIRVQSPDMNHPAARLMDALRSLDLRVHYASVSSVNDLMLQDVIVKVPDGFTTGEETLRVAVLKNMYLD >KVH94872 pep supercontig:CcrdV1:scaffold_3218:3718:7499:-1 gene:Ccrd_003059 transcript:KVH94872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGTNCDDSLRKERLKWTQELHDLFEKAVDQLGGPDRATPKGILKTMGIAGLTIYHVKSHLQDVEIHPGIIERGIQLNEALQMQMEVERRLSDQLEVQKNLKLKIEAQSRFLERIAQDYKTRPIAKTNKPISLTSLPSLCDESESIINDYFESDSEVDTRHIHESRPTKRARVVLIDDDDDDDDVSQEIFKLNSESILLPKGGNTFHSQDDIFPWSIGFCHSPLIRASH >KVH94873 pep supercontig:CcrdV1:scaffold_3218:23280:47399:-1 gene:Ccrd_003061 transcript:KVH94873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MVGMMAAISREKFAGLIDSAKFSTDVPSKLEKLRKLKEEFSRAKDLHLVTEFLPQLLDLHTDRCSPVRRFIAEIAGDIGLDYTDLLPDVVPLLVNLLRDSTPAVARQAITCGISLFRRVLTKIALQGLYSSQLDDSLESSWVWVLKFKDEIYSMAYQPKSDGRKLLALKFVEAVTLLYTADPSASSEPPEDLTSGEMPDEFNIAWLRGGHPILNVGNLSIEASQNLGLLLDQLRYPAVKSLSNMVVIVLIKSLSAIAKKRPSFYGRILPVLLGLDPSNSAVKVGHVSGVHHALKSAFLSCLKCTHPGAAPWRDRLVGTLREMKAGGLAEEALHQVSGGNSSIAQEIKPSIEASDAVHATAVRKRPEVHDASDLKKDDDVPGKRAKPTPNTTGELPQDLKGGDDVNSSGGLASAKRNVANGPVQQLVAMFSSLVAQGEQSAAMLEILISSISADLLAEVVVANMPNLPPVRPKEEGEEELLNDGSDTQVSNLSAYLTDVLSRSNSQLAHSASDTHSDEKPEVEGEGPATRDSDVAHSAVDSATEQDLEYSGPPFSVELPSLRSVSSAIPSEDTDMGELESGIPGLDSSARNDEIPEMQVDSSLISADPEENSQEQITNMGRSSVDIVPSMSTDRSEELSPKEAMAEANSINSSTATSVQLTSKVVLPKMSAPVISLTDEQKDQIQKLAFIRIIEAYKHIAVAGGSQLRFSLLSYLGVEFPLELDPWRLLQTHILSDYTSHEGHELTLRVLYRLFGEAEADHDFFSSRTATSVYEMFLLKVAETLRDSFPATDKSLSRLLSEVPYLPKSVLKLLECLCVPGNSDKDEELHSGDRVHQGLSIVWSLILLRPPTRDACLKIALQSAVHHLEEVRMKAIRLVYIRMCNYYLNKFKALSSSFSLLSDFDLASNLQVANKLYPVSSISQQIEDFAKEMLLSAMNDDHSMDKARIDGSNAELAKDTEMEKTSTECPSLASVSEAGQPCTSESIPSPSITDAQRCMSLYFALCTKVTPCTFRTYQHKHSLFRQLLIVYNNMSKAAKQAIFVQIPKLVRTIGSSSQLLEIISDPPAGGENLLMQLLRIFPRFVNLPLEKFQAALLRALQESSQGSPVLTPAEVLIGIHGIDPEKDGIPLKKVTDACNACFRQRQIFTQQVLAKVLNQLVEQIPLPMLFMRTVIQTIATFPSLVDFIMEILSRLVSKQIWKNQKLWVGFLKCVQLTKPQSFSVLLQLPPAQLEIALNKQPVLKGPLVVYASQPDLRSSLPRLLKICICCANG >KVH94874 pep supercontig:CcrdV1:scaffold_3218:11684:18469:-1 gene:Ccrd_003060 transcript:KVH94874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASISSSPRTVEEIFKDYSGRRAGIVRALTYDVDEFYGICDPEKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSVAFYLGARLNRNERKRLFSLINEMPTVFEVVSERKPLKDKPSAAESGSKAKGSVKRSSDGQVKSVQKLADESYEEEEDEHGDTQCGSCGGNYNADEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCSMKRGRP >KVH97431 pep supercontig:CcrdV1:scaffold_322:79391:85695:1 gene:Ccrd_000459 transcript:KVH97431 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 RSVAVINTSLLTAAPQSKQNVLRRWRLQTSRIPTRPPLRFPFPSSIHSRRGRLDRRMIHKWIVSSCGVTMVVVMAWTLLKWVWVQPRWLQRHLRLQGINGTSYKFFFGDTKEMKEMTKEANKNLINIHDDIIPRLLPFVFRTSKTYGNIFFAWYGPKPMVHVLDPALAKDILSRINDFQKLRKSNPYIKILSQGLIDYDGDKWFKHRKIINPAFHTRKLKYMVPAIHVSCSEMLGKWQKKLAACERSCELDVFPYLQTLASDIISRTAFGSNYEEGRRIFDLQKELILLLMPIIQSVYIPGSRFLPTKKNKRVKEIDSHVKASIRGIISKRLMAMEDGEGSHDDLLGILLESNQQEIDQQMSIEDVIEECKLFYFAGQETTSTLLVWSIILLSQHPSWQSQARDEVFLVFGRKKPDIHGLNRLKIEVLRLYPAVPALYRLTHEKTKLGDMSLPSGTAIMVPVVVLHRDSEIWGSDANEFKPERFVDGISKAAKVPSSYFPFGWGPRICIGQHFAMMETKIALTMILQHFSFELSPSYAHAPRSVITLQPQHGAHLIINHVDC >KVH97440 pep supercontig:CcrdV1:scaffold_322:247417:252344:-1 gene:Ccrd_000475 transcript:KVH97440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interphotoreceptor retinol-binding MRVFLLCNSSSSSLSFSPPPIAHHRPQKPLLIPPQKPSLIGSLTGAALSFNLLFFSPLSPLPPPSIASDFTPSVFQSECREEDQRREEERRFERAPELVTNEEIVKEAWEIVNDSFLGGDRNRWSPQSWLQKKEDIMGTSLPTRSKAHDVIRRMLSSLGDPYTRFLSPAEFSKMARYDMSGIGVNLREIPDENGEVKLMVLGLILDGPAHTAGVRQGKSTFEALSILQGPNDTSVNIMVVKHGNCGPIQSIEVQRQLVAKTPVFYRLEQMDNGKTSVGYVRLKEFNALARKDLVTAMRRLQGMGASFFVLDLRDNLGGLVIYTAGREPQSVRSIVAETVPLVTAPVIVATALHDNCRAILVGERTYGKGLIQSVFELHDGSGVVVTVGKYVTPNHLDINGNGIDPDYKKFPAWNEVVERLSKCQKQHG >KVH97438 pep supercontig:CcrdV1:scaffold_322:226958:232421:1 gene:Ccrd_000473 transcript:KVH97438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSKAVGGGARGMLSGSDAYHASSDATLFSMYASSCERLCHLHSNGTLINPFLFVQYAVILNNTENGRQSVEGNDLLKDIEEHIGSLLPDEDELLAGVMDGFHPNLFPNGADESEEIDLFGSGGGMELESDLIDSLGMGMSKVSLGDGVAANGVAAYNPPNGVGTVAGEHPYGEHPSRTLFVRNINSNQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHYSIPKDNPSDKDINQGTLVVFNLDPSVSCDDLLQIFGAYGEVKEIRETPHKRHHKFIEYYDVRGAEAALRSLNRSDIAGKRIKLEPSRPGGAQFEQDDTRSLRLQVGSSIANSPPGDTFPLQSLSKSPVFTSMSPTIIGNSLPGLASILHPQPARIAPIGKDHGRTNRNHLEQNMFNGSSNSGSTFQQSHSLPESKLSQFKEPMSSFGGSSSTGSGIETLSGPQFLWGSPNIYSEQPKGPSHGFPVTGRSPTMAHPFATTKGPPTHGFPIAGRPASLLGSSQHHHQHHHVGSAPSGIPFEGHFSRYHESPETLFMSPPAFGGVGIGHIDRGFLGSRGSVENGSPSFSTMSSPRLNPMFLGNGHYAGLGPTIVESMSERGRTRRVDQNGSQTDSKKQFQLDLDKITSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHSGTYDFLYLPIDFKVFNGKKWEKFNSEKVASLAYGRIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGSESFDQEPQPSSSLNIQVHPYTSASPPED >KVH97430 pep supercontig:CcrdV1:scaffold_322:6816:14058:1 gene:Ccrd_000451 transcript:KVH97430 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MADVGGVGRAEEITILHNYLEKYPKRYWEVRVLEAAVSPSPPPTATTSGGGVPACASCGHQRTKCTEKCVLAPFFPAEKTQDFQAVHKVFGVSNVTTLVKDLRREDGKKAVDSLIREANCRLKDPVLGPLGEFQRISEELRDYKAQYQQAIHHHHHHLRQVPITQSGVLYNNKSRQQRLIGYWNGGDNNGINNGTMLDYIHSNGIGGGGGIDNSQMFNYASLQNMEKLKQEQDQQQGSLIHPQQQIMNEFGICMTRRRLQ >KVH97422 pep supercontig:CcrdV1:scaffold_322:90362:95808:1 gene:Ccrd_000460 transcript:KVH97422 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MAAAMTTTTFSSVASTKSSSSPLMSLKTPPKTLGFSVGFISSKTSFKSLQTRVFSSNGGSGSAMGARMVSAPAIIKSPDLLDFDTSVFKKEKINLAGYDEYIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQVGKLLDQYFFKVSYIELPEFTLIPKVQAKRPSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSSSFAEARAAGFTEENGTLGDIYTTISGSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSXGLDFPKNXSVVAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGVISRTISTKAVYESLSEEGKKDFLRAYSASYYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRSNRPAGDLGPLYPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYVLTQQALVAVDNDTPINQDLVANFFEDPVHEAVKVCAELRPTVDISVPADADFVRPELRQSSN >KVH97442 pep supercontig:CcrdV1:scaffold_322:272701:291513:1 gene:Ccrd_000477 transcript:KVH97442 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein YWTNFKQTEQQKTLYFAEFYAIRPLLRSHILKQLLIYSGIGVYQEKDQFRARSSPYYSPSHSRITLHCLSIHICYSSGILIQQPDIRKWFMKQHDKGNDNGNTSKPAKPTLTIPGKLSTAKDVSPSSPVKSVQGGQESSGRRKTSKYFATDKQNQEDATEVSGLAAKRKVERSREQSSPDVKPPPGKKLHTVDDNDKDDDFVLPKTGKNSVEVTPSKKLKSGSGKGIPHGSVNESTDADDSPKNPKSAGRGRGRRGGSVVGKGTKLDIDEDNAEDEDTKEVKSGGRGRGRGGRGGSAVAGSGRGGGRGGFMAFGERKDPPHKGEKEVPEGSPDCLAGLTFVISGTLDSLEREEAEDLIKRYGGRVTGSVSKKTNYLLCDEDIGGRKSEKAKELGTGFLTEDGLFDMIRASNRSKATTKDNTKKQVDTIATSLPKASPQIATSLPKESPQKGVKKKDEVHESPEKGRATRNASSGISPAKRKKQTVGNSSLPWTEKYKPKVPNDIIGNQSLVFYTILKICQVKQLHDWLTHWNENFLGGVSKGKGKKQSDMGTKKAILLSGTPGIGKTTSAKLVSQMLGFQTIEVNASDSRGKADAKIEKGIGSSTANSVKELVSNQSLSVGMDRSKHPKAVLIMDEVDGMSAGDRGGVADLISSIKISKIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLLQIANGEGLQVNEVALEELAERVNGDMRMALNQLQYMSLSMSVIKYDDIRQRLLSSSKDEDISPFTAVDNPRHPVTITNRQPSLQISVLGFTDSSRKAHCVLMFNMGSQEKDEKGPRNNLAGDEATVGGGVGGRLVQVANARVPVAMQGFVNRLFGYNAAKLRMDERFDLSMSDPDLVPLIIQENYMNYRPSAVGKDEHGIKRMSLIARAADSIASGDIINVQIRRYRQWQLSQSSSLTSSIIPAALLRGQRETLEPRPSDYMATPLVTSKGDKVTHSSKMLEKNTSKEGFAAGKRTPGKGERNFNRFGGWLGKNSTMGKNYRLLEDVHVHLLASSQFNSARTTLCLDYLSLLLKQMTDPLKMLPKDEAVEKVVEFMDAYSINQEDFESLMLMAKFQGRPNPLDGVQPAVKAALTKAYNKGSRSRVIRTADLITLPGIKKAPKKRVAAMLEPVDDGVGENGDAIAEKEENNSDTEDLEGTADAEEKPKVDIESLSSKGIQVQMNLKGAATKKTASGKGRGGGSTGSASKRKR >KVH97436 pep supercontig:CcrdV1:scaffold_322:202285:205127:1 gene:Ccrd_000471 transcript:KVH97436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4E (eIF-4E), conserved site-containing protein MVEEVVRSEEQKAVDLNKHRPVRSDGDEDEQLEEGEIVGGDVDTLSSSSSSRPGTALTQHPLEHSWTFWFDSPAAKAKQVSWGGSMRPIYTFSTVEEFWSLYNNIHRPSKLMTGADYYCFKNKIEPMWEDPVCANGGKWTMNFQRFKSDTCWLYTLLAIIGEQFDHGDDICGAVVNVRAKQEKVSLWTRNAANESAQLSIGKQWKEIIDHNENIGFIFHEDAMKHERNAKNRYSL >KVH97439 pep supercontig:CcrdV1:scaffold_322:206440:209894:1 gene:Ccrd_000472 transcript:KVH97439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGRRGSAKKKKKKPKDSKKSLSSNAARPPHGESDGAKHSSSKSQKNSPHPVVKTEKRQDNSSGNQSLTIDRTKEAAKETQGEIEINGGSNSQKSHEKREDNSSGNQSLTTDRTEKAANKTQSEIEINRGSNSQKSHEKSSSSRSKSSNDKSHVDIKEAVVIQPAAVADLVQPAKETQSEIEIDRGSNSRNSLEKSSSSKSSSSNDKSHVDKKKAVVIQPAAVADLVQPAKETQSESEIDRGSTSHNSLEKSSSSRSSCSNDMSHVDKKKAVVILPAAVANLVQHAKETQSGSEIDRGSNSHNSLEKRSSSRSSSSNDKCHVDKNKAVVIQPAAVADLVQPIESLVGNRSCELGDLAVTDSPIVDPAKPVSSLVELASQVFDNTKLEEKNDLVVAETPLEQNSCPTSTSEVVGSVPKENEVEKLVPSDDKASPKSNGYLPASTNGACSFCGRNTNDRVNEPKTPECSEKQVQLPNQLKKLPGRVAVEYLSCVQAPVGTEHIG >KVH97437 pep supercontig:CcrdV1:scaffold_322:198414:204931:-1 gene:Ccrd_000470 transcript:KVH97437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPHSEYLFLAFLSCFIASSCNIKQNLANRTRTSMNARAGAASRQYQVWMKTTTKGYQHRHRQSLPLPTVRLRLRPIEQAYNSVDLQLPMKRLQKFCISRRPGWAINARASPFLGSKKRKPFTREKERKKKKEEVKGEKESSDSRHFGAVPPSSPANSWPTAPSPLSHAKQRPALFYPSVFLGQHSRLPDCLLRLFHLTENSSPVVAFEMVAGSGDMPKIVVKYNDEKKDFFFC >KVH97441 pep supercontig:CcrdV1:scaffold_322:236890:242667:-1 gene:Ccrd_000474 transcript:KVH97441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dullard phosphatase domain, eukaryotic WVGARGGRWERGPEFDFAAQTSASDIFTQRDCIYSLMFLSLGCDRLRYKSNAITKDEDEVVGRLSKRKQWSPYYFCSKATVQEIRSDETNCCDLADEEIQVQKHLLSSSDDGISSRTDHAQANLSTIETIFSPILESINNDIEPNIYDHGGRENDIILPPCGDNNMCGTCRKSCAYQNCNVSDFFISDMIVCSSCNERNSTYTGITEATCLFDYDWDELSRFSADEYMLLPFLEDSIETGSHYEDSSIHSEPVIVPEDSSIYVAIHQLKTRNQESDINSYPDWDPAECLDPQMFIRNLVDLPEMSTSFGPSTLPQESRETKSITLVLDLDETLVHSSLEHCDDADFTVGVFASLKEHTVYVKQRPYVRTFLERVSEMFHIVVFTASQSVYANQLLDILDPDGKFISRRAYRESCIFADGSYTKDLTVLGVDLAKVVIIDNSPQVFQLQVNNGIPIKSWFDDPSDCALISLLPFLETLVDAEDVRPIIAKRFGNKD >KVH97435 pep supercontig:CcrdV1:scaffold_322:292015:297962:-1 gene:Ccrd_000478 transcript:KVH97435 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAPPPAIAPFRDSKNLHTENDDSQQTPFVCPMLSSLFQSQYVSPLLSFFTSSFFTLFSFSNQSHNDESFPSKCMDHTEPNKKKGFVRKIILAIALVGLCIFMLKQSPSFKSPNVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGDPKAHPRVCSLIKYFRYYHNITSNTLVVLEAMAAHNVNTLIYSSTCATYGEPEKMPITEETPQHPINPYGKAKKMSEDIIQDFHKNSDMAVMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGITNGLKVRGTDYKTPDGTCIRDYIDVTDLVDAHVKALENSKPGQVGIYNVGTGKGRSVKEFVEACKKATGVSIKVDYLPRRPGDYAEVFSDPSKILRELKWSAQYTDLEKSLQVAWKWQKLHHNGYGPSNPSF >KVH97420 pep supercontig:CcrdV1:scaffold_322:111649:112917:-1 gene:Ccrd_000462 transcript:KVH97420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSTSIHNHRHLDDLLQSARPFLREELDSVDKNLPPLLTILRSAGAGECWHRLGTFLDHLFHVYRVLKLWKAPDSVCLFGLFHSVYSNSYHDLAIFNPVTDREIVRRHVGSAAERLIHLFCIVPRHPLIHDDLVFRYTDSELREHLQASESSLRNVKEGLNKEECWRKKLQEIVPATGIKVPHIRTGEPVVVPRRVVAVFLLMTIADFADQYYGYQDMLYDNTDGRLEFTGNTNFDTLWPGNGKPGLWMNLMSRMAGVYTLLVREEAICMAERISDRHENGEVAGGEDIELIIPPVFESCTKILDPNEQIMARDIYWEAVNDDGWKKEKGEEMLVKCIEKNPFVGEPHVLLSQFYLSRGRFEEGEREAEKGLRVLLEWGCPWDKRVSWEGWVAWGRVLLNKAKERCWPHTSWGVISLGLIK >KVH97433 pep supercontig:CcrdV1:scaffold_322:306607:307412:-1 gene:Ccrd_000480 transcript:KVH97433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHKFCFPNLVTYNVMLKGYLDHHLFEEARELFHKLLEKRGHVTSSADYRHTVLPDIYTFNLMLDACLVNQRWDDLEFFYTRMLQHGYYFNTKRHFPPPLLVQEMFCMNLKQEDYASAFSCLICLPSTESHKYSRNSWLHRFNDDPHLFREETLLQLIDKITILSIRNEEPNTIFLNLLKS >KVH97427 pep supercontig:CcrdV1:scaffold_322:22884:26533:1 gene:Ccrd_000454 transcript:KVH97427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSFGLLLTVCFILSFNLAFSDSSNVPIGIHPLDEKYFVSEVIKCKDGSNSFTRDRINDEFCDCIDGTDEPGTAACPAGKFYCRNAGSAPRFLFSSRVNDQICDCCDGSDEYDGSILCPNTCVMGGHAEYKTINYGSRVTRFGSAGTKRNRVGLTGEDTSQKLQDVPNLGGEIPVDQHISSVVTNLIL >KVH97434 pep supercontig:CcrdV1:scaffold_322:302651:305529:-1 gene:Ccrd_000479 transcript:KVH97434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MGRRILNDALRTMVNAEKRGFASAQLQPISNVISSFLSIMKHRGYVKNFEVYDPHRVGKIKVELLGRIKDCRAITYRQDIKAHEIENYRLRTLPTQQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFY >KVH97424 pep supercontig:CcrdV1:scaffold_322:34263:38217:1 gene:Ccrd_000457 transcript:KVH97424 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MALELSRSLRLFLLIATAIPLYSAASSPPLTTLRQQQPPGVSIKLWCVAKNNADDSALQSAIDWACGTGGADCTLIQQGGPCYDPADIRRTASYAFNDYCTKNGMSEDTCNFANTAALTSLDPSHTHCKFPSSLEGKSGEGKGTGTGGGGSTADLTSRGGEGNDGEGKSGGRGLEKLYSSNHSMKFYDMDNRCTN >KVH97432 pep supercontig:CcrdV1:scaffold_322:73293:78054:1 gene:Ccrd_000458 transcript:KVH97432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MDSDKVYSRKSFKGLNSNKKNLTQSHSQNLASEDGNLNQVVLMGSRVPPSGNGGGRFGFRKQESRVTIQLTSRSKQEMREIKRKLVSELELVRSLVKKIEEVGSRPLHQLSISVLENSQGTSEHVDKEKRTPKANQFYRKSDFLLAKDRIPSAESHKKSKSGGKKQGGVEAKFSNKLFKSCSALLEKLMKHKHGWVFNKPVDPLALGLHDYFDIIKHPMDLGTVKSRLDKNWYNSPMEFAEDVRLTFQNAMTYNPKGQDVHAMAELLLKLFEEKWKLIEADYMRESRLAVNNEGLPTPTSKQAPPSLLPAPEKMPVSNRSESSAPTIDPKAQPTNVSNMGKTSFSKKPKAKDLNKREMTYDEKQKLSMNLQNLPSEKLDNVVQIIKKRNPSLSQKDDEIEVDIDTFDTETLWELDRFVTNYKKGLSKNKRKAEFPNQERIEVEPDVQDKNLAPVVVDAPKEAKTDDRNTSSTSPIQMEKQVEEQVAAGDKSSSSSSSSSISGSSSSDSDSDSSSGAGSEAGHSSKA >KVH97428 pep supercontig:CcrdV1:scaffold_322:18428:19135:-1 gene:Ccrd_000453 transcript:KVH97428 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MQKSHNSTIPNATLRVLEAAPSPSPPPTTSGGGVPACASCRHQRKKCTEKCVLAPFFPAEKTQDFQAVHKVFGVSNVTKLVKDLRREDGKKAVDSLIWEANCRLKDPVLGPLGEFQRVSEELRVYKAQYQQAIHHHHHLRQVPITQSGVLYNNKSGQQRLIGSWNGGDSNGINNGTMLDYIHSNGIGGGGGIDNSRMFNYASLQNMEKLKQERDQQQGSLIHPQQQIMNEFGQFY >KVH97415 pep supercontig:CcrdV1:scaffold_322:181990:189362:-1 gene:Ccrd_000468 transcript:KVH97415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteamine dioxygenase MPQIQKLYNACKASLSPTGPVSEDALGKIQALLDKIKPSDVGLEQEAQLVRSWTGPMGIFCMPSSSIIPLHNHPGMTVFSKLLYGSMHVNAYDWVDEPPSPSDASQARPAKLVKDGIMTAPCGTTILHPTKGGNIHCFDAITPCAIFDILSPPYSSEDGRHCTYFRRLTDIDPPGTIEVDGEICSEVAWLEEFQPPDDFVIRRGRYKGRVIKT >KVH97419 pep supercontig:CcrdV1:scaffold_322:157142:162262:1 gene:Ccrd_000465 transcript:KVH97419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase domain-containing protein MEKPTKKQVLLFYCVEAEELARKIYAQSDLIQLQSINWRSFDDGFPNLFINNAQEIRGQHVAFLASFSSPAVIFEQLSVIFALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPVSRGGPTSLVIYDIHALQVVIAFPDDGAWKRFHKQLDHFPTVVCAKVREGDKRIVRLKEGDCAGHHVVIVDDLVQSGGTLIECQKVLAAHGATKVSAYVTHAVFPKKSWGRFLHTDGENSNKGFAHFWITDSCPITVKDIANRAPFEVLSLAGSIADALQI >KVH97429 pep supercontig:CcrdV1:scaffold_322:14418:15662:-1 gene:Ccrd_000452 transcript:KVH97429 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated interaction domain-containing protein MAEKASKAMSLLKLAEERASLKKELDDRRRKQQLDSVPYLPKDCVSNILIRLPLESLQRSRFVCKPWYNIIHDPQFVLDNLHRADTVLIFLSPVNGVFRSRFDPQDSVFRENPNSFSVESKIFELKSMNLLHRPQIHPSFKYAMKYIVFADGKSTILEFNATCLGKIRASCDGLIVIDNKLKNGELAIMNPVTRKLSLLPLGTIYPPHDESFGMARCHDNGGYKLVHLFRDELHFIGCEVLQIGEKSWQVIDGPSFELLKWFGFEPVSAMGALHWVPEINHSEHIVSMTVDDLKFHKIMLPKSSRSNDRILEVSGHLCFVAHEEMNEISVWILESLSGESWKKIYTIATGCIRDLIPLYFSRFKWEIYFMDKDGSVFVFDFNIKICGKSQSRREVSRFPKHRTWFMSIASFRGE >KVH97421 pep supercontig:CcrdV1:scaffold_322:127921:133765:1 gene:Ccrd_000463 transcript:KVH97421 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold MILGAGPIVIGQACEFDYSGTQACKALRENGYEVVLINSNPATIMTDPEMADRTYIEPMTPELVEQVIAIERPDAILPTMGGQTALNLAVALAESGVLDKYNVELIGAKLEAIKKAEDRELFKEAMKNIGLKTPPSGIGTTLQECLQIANTIGEFPLIIRPAFTLGGSGGGIAYNKQEFEAICKSGLAASVTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITMKTPASFEPSIDYIPRFAFEKFPGSQPVLTTQMKSVGESMAVGRTFQESFQKAVRSLECGFSGWGCAPIKELDWDWDKLKYSLRVPNPDRIHAIYAAMKRGMKVDAIHELSFIDTWFLTQLKELIDVEQYIMAKSLSQLTKDEFYEVKKRGFSDKQIAFATDSTEKKVRVKRLSLGVAPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTKRKKVLILGGGPNRIGQGIEFDYCCCHASFALQEAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDMERPDGIIVQFGGQTPLKLALPIQHYLDEHKLPSASGGQVSIWGTSPDSIDAAEDRERFNAILKELEIEQPKGGIAKSDSDALAIASEIGYPVVVRPSYVLGGRAMEIVYSDEKLIKYLETAVEVDPERPVLVDRYLSDAIEIDIDSLADLHGNVVIGGIMEHIEQAGVHSGDSACMIPTKTVSRSSLETIRSWTTKLAKRLNVCGLMNCQYAITASGDVFLLEANPRASRTVPFVSKAIGRPLAKYASLVMSGISLQDLGFTKEIIPKHVSVKEAVLPFEKFQGCDVFLGPEMRSTGEVMGIDFDFAIAFAKSQIAAGQKLPVSGTVFLSLNDMTKPHLAKIARAFVGLGFTIVSTSGTAHVLELDGIPVERVLKLHEGRPHAGDMVANGQINLMVITSSNDDLDQIDGRQLRRMALAYKVPIITTVSGALATCEAIRSLKTSKFKMVRVLRQSQPSCS >KVH97426 pep supercontig:CcrdV1:scaffold_322:29167:31675:1 gene:Ccrd_000455 transcript:KVH97426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MCDLSFCEQKLFVLVMEISLSSLFFAYHMNWDFDSVVLRAQSSFCFVYTVVVDERYTTIIIAFQTSLVMYAKCSLECLTSMAIAVAKLCKPLCSFSCPSIYFSSPIHSVSSQLLLQQSVKAAIEAKNYQQIADLLSASKETCRTSNPFSFLSNFQQDHRTKIIDEVLQGFVPLRPRSRPQVAYAYLLSFTLQTANPLPLSLAILQRTLRSGCAPVPQTHLLLSTVWLHQRMQSDQAVSSMLLQMQTIGYRPDNGICNYLISSLCKVDQYEEGVQVLRGMGRAGCVPDLDSFGSVIGPLCDLRKTKYIEELMREMVSKFRLSPRKEMVVKVLKAMRANKDAHKAVELINFLEETNIHIGFESYELVVEMCLESSLFVLAGKVVMQMTNRGFIPYIKVRHKVFEGLADAGELELAHILRKKFTELTS >KVH97418 pep supercontig:CcrdV1:scaffold_322:177455:180584:-1 gene:Ccrd_000467 transcript:KVH97418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF688 MIHSFLLLFPPSSPLHSAIYIASAFKIILPNLSFKIYDGYLDIELLLRIKCIHDLYAANSGFKLLPILMEDKQLNINQPLLSVRRFSSITASKKNESRRTRIYHPTMRVVESCKTELNSKPMKNTGSVPFGWEHSPGRPKDETDKQIQTEENPPSLPKLPPGWDLKPKRKDSDNITEEFITEYGSAKSAKKESSESGNDDDDDDTDEAFMDALDTLSRGESSFYNCSASGVSGLESAVKPSGILSADPKIRNFMMGRFLPAAKAMASEIPQNIYRKNVVKEKPLEVKKIANMDNKKVQLRYGPNFLQDIGQNNEEEDSDYDYDEHGNISSKLCGFLPRFCLKGSVGHVNPVSGTRTANRTHATSSSSISSFSETENEGCEISNIGSQSSVPERNNSSTQKQRATSFKELLADEKNKNETDGQDVLIEKTLYVDTVHMIRSPKEQSKFKADDTDMVADDEPKDDMKMETHMDQDPEEWIDDKNGYHDSKGSQDGVFELPAPPPLPKSPSDSWLWRTLPSVSSKNTAIRWNPKYPSSNAQIGHSEGPLLPIPER >KVH97443 pep supercontig:CcrdV1:scaffold_322:253694:265596:-1 gene:Ccrd_000476 transcript:KVH97443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding protein, C-terminal MRFLGIGSSDDASPQSTATASSSAPNFSSSTAVASGPARPIRLVYCDEKGKFQMDPEAVSVLQLVKEPVGVVSVCGRARQGKSFILNQERLRGTYSTQIFSLAVLLSSMFIYNQDFYLDLAEDNRKITPRDYLELALRPVNGGVRDVAAKNEIRESIRALFPDRDCFTLVRPLNNENELQRLDQIPLEKMRPEFKSGLDALTRFVFERTRPKQVGATVMTGPIFARITQSFLDALNNGAVPTITSSWQVLRWHFVNEVSVSSIFHLQSVEEAECERAYDSATELYVSIFDRTKPPEEAAMREAHEEAVQKAVATFNAIAVGTGSARQKYEKRFHTFLRKAFEDYKRNAFREAYLQCSNAIQNMEKELRKTCQAPSTKLDDVLKVLDRLLSKYEATTHGPEKWQKLTSFLRQSLEGPILDLVKKQIDHIVSEKSSLQLKCRSIEDKMELLSKQLEASEKYKSDYLKRYEDAINDKNKLSQDYMSRITNLQKNSSSLDERCSGLSRTLEAAKQESTEWKRKYELSLSKQKALEDQAGSEVANLKARNSAAEARLAAAQEQTMSAQEEAQEWKRKYDIAVREAKSALEKAAAVQDRSSKQTQHREDTLRAEFAGTLAEKEAELKDRASKLEYAEQCVTTLSLQLKTAESKITNYDSEVSYLKSQIKELGERLESANATAQSYEREAKILEQEKVHLEQKYRSEFDRFEEVQERCRNAEKETKRATELADTARAEAVTAQKEKSEIQRLAGERLTEIARSERRIENLERQTKDLANELDRFRAAEMDAVSKVTMLEARVGEREKEIETLLKSNNEQRASTVHVLEGLLETERIARAEANNRAEALSVQLQATQGKLDLLQQQMTTVRLNESALDGKLKTASHGKRVRVEDTMGTDSVQEMDVENRRRASKRSRSTTSPQDGGSLFKGDEDNQSQQTSSEDHTKFTILKLKQELTKHNFGAELLQLKNPNKKELVALYERCILEKP >KVH97416 pep supercontig:CcrdV1:scaffold_322:197178:198383:-1 gene:Ccrd_000469 transcript:KVH97416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MKGVAQTFPGSTVEKTAITVPTYFNDSQRQSTKDAAGLEVLRMINEPTVAAIAYALDKRASTDGKRNVLVFDLGGGTFDVSLLTIDKGGVIKIKATGGDTHLGGENFDNRMLRVHCERAKRIISTAILTTIDIDCLFNGVDFSAKFTHAKFEEVNMDLFKKCIKTAKTCLKDENMDKENIDEVVLFGGSTRIPKRIIPDEAVAYDAGYLATNLSDLSDEVVRGLKLIVVTPLSLDDYQTAGLVMVYQGERLRSSKNYLLGQLSLSGLPSAPRGGIEIKICYEIDDNRILHASARELTTSRNKAIKITNGGGLSKAEIAKMIKDAKRYKQEDEAHIKKAMAHKALND >KVH97423 pep supercontig:CcrdV1:scaffold_322:98131:103647:1 gene:Ccrd_000461 transcript:KVH97423 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCNT-C domain-containing protein MNEEGKGKAVAFSGDQQPQTTTDVPQLMPPLVTNANLQTLPEKNARVDAVWQQMKKGISTEKLNSIIKKSTSNLKGTSSKPSSKSSSSSWMTVLGLGPKKSLPVQSIPSLKPDDRRDSVGEEALKVAAAALSAVKEAASMAAVSGRGKIEVNSLYDIQLLLQLLVFIFVGALSKLVGVSYSSVREVRDFAGESIEVKKLVDANMKEASEKEKGAGGGSAVDAILEQIRKKPKLSVLDKTKKDWGEFKEENRLDEELETYKKSGNQYLDKVSFLQRADYREFERERDARLAVQAKRKSDMRED >KVH97425 pep supercontig:CcrdV1:scaffold_322:32472:33783:-1 gene:Ccrd_000456 transcript:KVH97425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MASRKAWFALLGCMLCSMVRQATSHEPEPPGYDSTHMRHRYQEWLQKHGRTYQSRKEWEVRYSIYKSNLEYIDYINSQNASYKLVDNKYADMTNKEFHAIYLGYKSYEYSAAIEGINKIKGGNLTTLSEQMLVDCDVNNGNEGCRGGLMETAYKFIIKNGGITTEDDYPYVGRDDECNKSKAKEHVVALKGYERVPADDEKSLQAAVAKQPTSVAIDAGFLFQVYSSGVFSGYCGTNLNHGVTVVGYGEQHGRKYWIVKNSWGSDWGEDGYVRIERGTRDLRGKCGIAMQGSYPVLS >KVH97417 pep supercontig:CcrdV1:scaffold_322:164963:174854:-1 gene:Ccrd_000466 transcript:KVH97417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MEGMSRSPPAGSYSNGHHHKQQGGGTTTQRDHFRSRKSRQTGGRVPSGQQETEAPPCTDFDKAYFQSYSHVGIHEEMIKDRVRTETYRTAILQHQRHIEGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASEIALQANEVVKANNLSDKVIVLHGRVEDVQIDEEVDVIISEWMGYMLLYESMLGSVIVARDRWLRPGGLILPSNAVLYMAPVTHPDRYAESIDFWRNVYGIDMSPILPLAKQCAFEEPSVETISGENVLTWPHVVKHVDCYTIRIQELESVTTKYKFQSMMRAPFHGFAFWFDVEFSGPANNDAPSAVEESPETTASVSHRRKRANPDEALVLSTAPEDPPTHWQQTMIYFYDPIDVEQDQVIEGSVTLTQSKENARFMNIHLEYASGGRSFVKECVLR >KVI04365 pep supercontig:CcrdV1:scaffold_3220:12044:12407:1 gene:Ccrd_017322 transcript:KVI04365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLNYDGLVINLKSACQQVESDSEINPEHNELHMDAVTDLLPASAKALGSHFALIFAILFDPLMDFVRESNSPLQNIMQQQ >KVI04364 pep supercontig:CcrdV1:scaffold_3220:27374:34695:-1 gene:Ccrd_017323 transcript:KVI04364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10e/L16 MAEWLKHPTHNWRIRRKPYALEEACIVWEGVLIDKKEESTSIDMPLGTTIHNIEITLGKGGQLARXAGAVAKLIAKEGKSTTLKSPSREALELAWITSRQIEAGRRAMTRNARRGGKIWVRIFPDKPVTVRPAETRMGSDAVPNMPLERSEVVRAVIVRTCKELKVDKNMIIQYDDNAAVVIDQEGNPKETRVFGAIARELR >KVH87481 pep supercontig:CcrdV1:scaffold_3221:43382:58038:-1 gene:Ccrd_025262 transcript:KVH87481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQVQTIEARLQSFLGQLQSELGVLDRIVHKNKNQHRRSSYFQYLLKVRRDSKLLQSINLEEIVNSSFLVINGNRPKQKVQLLERRSNILHMVVCIVGVVFQDKYLLDQFVDVLVFHSLKRRKCDGGKHNFLARLEGAARLLSQMVEPMLKAAIEISTLLARSFFMGFSMTVLALLSRLRVLTQQILLDVVSVFNMVSTLSHKQQSIKLNQQGIEAFHSSIMLKLTSFISFNLFVFREYYPTNEQAVFLECVWETDKFVLLERKSEPEIIKDDVIFQGSSTVQYQTVETILGENKSVDRIVDKTSEEDKTGNLSSMDGVTNDCKQVDQSDAKDDWGENLEHEQTMNSSLIVHPNDPCKPELKKKVAFITVKTPIPSKGNDTGLPIKQIDTEKEDPFFSLLTGGSQKDSIF >KVH87480 pep supercontig:CcrdV1:scaffold_3221:4345:5741:1 gene:Ccrd_025261 transcript:KVH87480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline/Ethanolamine kinase TGIETKKAVVVEKKCLLSQYFFNLERKKKHFQSFLMAVKINGFIEGTQPEELLKLLLSLASNWGDVFDTNKLKVVHLSGAMTNVVYRITWPRNTTGNDERTVLVRIYGEGSDIFFDREEEIRTFESISTHGHGPRLLSQFPQGRVEEFIHAKTLSACDLRDPEISTLIAAKMREFHNLNMPGTRNVLLWPRMRDLQSVFVTRKWLIXTKSHVFVHTLSIEREQTTP >KVI03242 pep supercontig:CcrdV1:scaffold_3222:37198:42320:-1 gene:Ccrd_018464 transcript:KVI03242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDMNGTITVEPWDQPRRCVLCHRILSSEIEVEATSICGDCKFLFLEDSDIQHNTRQTRYNNNSESIENMQFPDMTNLVTVSEHGNQSRRWRRVLSDTESDGFDSDVSVEVDSDMPAHPHSDDASLNQSNSDDDNEFEEVENTVGSLVGRVQLRRSLASNGRNLQVDWLNEILSPEAENLERSRYVGNAGDYLDARGFEQLLERLAETDGSRRGAPPAAVSFVKNLERVVVDEDEGLVCVICKDSVCVGSVVNRLPCLHVYHPSCIMPWLNARNTCPLCRYELPTDERECERRMRRRSRSQQVEMEEEVGEDASSGGGGRWWVVAAPLATMMAIGFVVWLGGGVNRNRRWWCVL >KVI03240 pep supercontig:CcrdV1:scaffold_3222:27023:28707:-1 gene:Ccrd_018463 transcript:KVI03240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3411 MASVAQLHYSPLAENNRHLNPARHIPSFQLPNFTCKKLVLNSSQNFPCSSFRSRPINPDLLSNQQPNTCAGGGGGGSGVGHGSGGGGDGGSSDWNGGGKSDDSSSLDSFGPIGAFLSGWRSRVAADPQFPFKVLMEEVVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSIMNFTLMYLLATTMTSASATLPFIFANSPTSHMFEPGAYSLMNRVGTFVYKGTVFAAVGFAAGLVGTALSNGLIKMRKKMDPSFESPNKPPPTVLNAFTWAIHMGLSSNFRYQTLNGIEFVLAKGLPPLAFKSSVVGLRLVNNVLGGMSFVILARMTGSQSSGEEKKIGDEEVSEEKMKLLNEIPSK >KVI03241 pep supercontig:CcrdV1:scaffold_3222:15397:20901:1 gene:Ccrd_018462 transcript:KVI03241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] YLTQIQRKALLNGCLNSHHTPRTTDKPFKASRTLSPIHSAQMQTSSSSSSLCAIVPVDCIFNGSNQLAYPCSFNLGLLRGSRKLKISRLKAGFWESIRSGGDIIPSNSGSGPSRPTPCTEDSFEMKVLMEPFSETETTGTSARSLIPRDDEATPSGQSSWAGLWIDKLINHGEVGSSIPRVADDEAHQGAVVQNTSVELIKNNSTQVIEPLSSSQEEEEPLPEEFVLVEKTLPDGTVEQIIFSSGGDVDVYDLQALCDKVGWPRRPLSKLAAALRNSYMVATLHSVKKPAGEGIFSLKFAPSNCVFVVDFYRNLGFEPDPEGIKGMFWYPRF >KVI00902 pep supercontig:CcrdV1:scaffold_3224:35834:43625:-1 gene:Ccrd_020836 transcript:KVI00902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential protein Yae1, N-terminal MGLISGDGGRRAAATRGGDERRLPTIVGWGWRFADRRREGGGGDLQTGDDATACVGDDATACVGDDATARGLWSGNDRVGGGRRRNDRVGGGGRRNDRMERSIADELYSEILEPKVELGQTSVPDIKEFNSLDGEDEHWCEDGSSWNGSDGKFDPSSDLDREWQRRKNQFHAIGYRDGLIAGKEASAQEGFNVGFKESVVAGFNLGFVRGVTGALNCLPGELRKKIIESQETRNKLHSLYESVNKLSTTDALKVFHDGLSQRRVNEGDLEQNSDGSVLDSYYGQLQSLIVESPAIEVQSRDKAIYV >KVI00901 pep supercontig:CcrdV1:scaffold_3224:7476:8248:-1 gene:Ccrd_020837 transcript:KVI00901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFQARMQEMKEKEVNYNGNGDANSHVYKECFESPTTTMLLPCGHFSYDLYGYLVQEIFGSFTCCWLYFVAVSVHSLIGQAVWKKMKGSSLEMADQSSNSSAVEGIVPYQK >KVH87478 pep supercontig:CcrdV1:scaffold_3226:48279:53428:-1 gene:Ccrd_025264 transcript:KVH87478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTSQPILARNLEMGDSSNGERNKSLEMADSGARIEDLKYLYASNVNVSNFVSEKLSGHRKYHVWKAQMVCLMETQKMHGIVDPKFVGPEAQDADIVKQYDNLLRVPAAMFYPIYQLICLFILVLLYPFIVLYFLLWKVLATVVAPIKLVEKKKKDYKEAKEILKLVCDHIDRLGFSGSHHPCYSRPILEAACQGAYEVVDEILFRFPKAIDCKNQNGLNIIQLAVINRSEKVYNLIYHIVERKDFYRTVMDSSKNNILHLAGRLSPSPYITEENIFKETPEMVFTREHEKLVKEGEKWMKSTAESCSITAALITTIVFAAAITVPGGSNQETGIPMFKGTIPFTIFAVSDAISLFASTTALLVFLSILTARFSEQDFLVSLPRRLIIGLCTLFLSTTMMMIAFSATLFLVFVDQRNWMLAPIGGLTCLPIAVFVTLQFPLVVDLFRSTYFPIFGKQSYIERGKFNPNNIQYFFGNRGIEYVLE >KVH87477 pep supercontig:CcrdV1:scaffold_3226:62625:65274:-1 gene:Ccrd_025265 transcript:KVH87477 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGG domain-containing protein MAMARTFPSELGFGERLIYPSLNNVRRKIVKRCSLLFYSYEFLCASAKDILWAMRRFKSNYYSGLFPEMVLILLVAPIKLVEKKKNDYKEAKEILKLVCDHIDRLGFSGSHHPCYSRPILEAACQGAYEVVDEILSRFPKAIDCKNQNGLNIIQLAVINRSEKVYNLIYHIVERKDFYRTVMDSSKNNILHLAGRLAPSRILSRTTGAALQLQRELQWREELEKFVFPAYITEENIFKXTPEMVFTREHEXLVKEGEKWMKXTAESCSITAALITTIVFAAAITVPGGSNQETGIPXFKGTXPFTIFAVSDAISLFASXTALLVFLSILTARFSEQDFLVSLPRRLIIGLCTLFLSTTMMMIAFSATLFLVFVDQRNWMLXPIGGLTCLPIAVFVTLQFPLVVDLFRSTYFPIFGKQSYIERGKFNPNNIQYFFGNRGIEYVLE >KVH87479 pep supercontig:CcrdV1:scaffold_3226:35129:38555:1 gene:Ccrd_025263 transcript:KVH87479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein, alpha/beta-subunit, N-terminal MKIMVAIKRVVDYAVKIRIKSDKTGVETTNVKMSMNPFCEIALEEALKIKESGFASEVIAVSMGPAQCVDTLRTGLAMGADRAIHVDAPATLFPLSVAKLLKALVEVEKPGLLILGKQAIDDDCNQTGQMVAGLLNWPQGTFASKVVLDKEKNVATVDREVDGGLETADLRLNQPRYATLPNIMKAKSKAIKKMTAEELKVEMRSDMEVVQVIEPPKRKAGVIVSSVDELVDKLMNEAHVI >KVH98788 pep supercontig:CcrdV1:scaffold_3227:11322:11917:-1 gene:Ccrd_022984 transcript:KVH98788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRRKSLQPKSAEEPSDVPALLIECQHVAVLSPAPFEANERRTLSVNKNFHLERVTELSTEWFRFWNSISSWGFRLEVQVQGRVIEGAQTFRDETPAQGPQFSLGGRTYKDATYLGSWNKGLSTSPPRRAVALLFCMPTERDLHKFPFLSLL >KVH98787 pep supercontig:CcrdV1:scaffold_3227:25202:32449:-1 gene:Ccrd_022985 transcript:KVH98787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGEEKAEVLEAVLKETVDLESIPIEEVFENLRCTNEGLTSFAAQERLAIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIIILLFINSAISFVEENNAGNAAAALMARLAPKAKSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQILNLAHNKSDIERRVHSIIGKFADRGLRSLAVAYQDVPEGRKDSAGGPWQFIGDQLAIGKETGRRLGMGTNMYPSSALLGQHADESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVILGSYLAMMTVIFFWAAYKTDFFPVCFCTLDLRTFGVTTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGWLLVTAFAVAQLIATLIAVYANWSFAAVEGIGWGWAGVIWLYNIIFYFPLDLIKFFIRYALSGRAWDLVIERRIAFTRQKDFGKEQRELQWAHAQRTLHGLEVPDTKMFGDRTNVTELNQMAEEAKRRAEIAKLRELHTLKGHVESVVRLKGIDIETIQQSYTV >KVH89864 pep supercontig:CcrdV1:scaffold_3228:12695:30437:-1 gene:Ccrd_008139 transcript:KVH89864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQITNCCCSFGTMENSSPVHAYEVLGQPGAQASRKGLHLHDTFSDELKYVSHKGRHSLLKYQLPGGEKKKEEGSAGGSRS >KVH89865 pep supercontig:CcrdV1:scaffold_3228:41935:48958:-1 gene:Ccrd_008141 transcript:KVH89865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 4 MTKTKENRDRGFLLQIATVNFKTEKQGHRTSAMAARKRGASKQPPPPAESYTKSPESTVTSTEPQITPAKPSKILLFSLIFFLPYFYLIFYHYRIETDLKQSILINASLSFAGFFVTLMMIPVASRYVIRRNLFGYDINKKGTPQGLIKVPESLGIVVGTVFLVVAILFQYFNFTSDSNWLVEYNAALASVCFMILLGFVDDVLDIPWRVKLLLPSIAALPLLMAYAGHTTIMIPKPLIEYVGTAILDLGWIYKLYMGLLAVFCTNSINIHAGINGLEVGQTVVIAFAILIHNIMQIGVSPNHETQQAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHYSETLLIFFLPQIINFLLXLPQTGLLTGTNDGTLVNVSLRIFGRRSEKSLCILLLVLQGLGCCFCFFLRWILTGWYK >KVH89863 pep supercontig:CcrdV1:scaffold_3228:6341:9359:-1 gene:Ccrd_008138 transcript:KVH89863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MDSLSSSFLSTSSPPYSTLSRQPPRPWSSPLTPSSPFRIRSVRIEENLQTIATTTKRSGQDRTKKPSPSSNNEKRITSSRAVDHSLPATILNAFDNIINDFIDPPLRDSIDPRRALSSNFFPVDELPPTDCEISEGALPSCLDGVYFRNGPNPQFLPRGPYHLFDGDGMLHAIRISKGKATLCSRYVKTYKYNIEKEAGFPIILNIFSGLHGLTASVARMAVTAGRFLAGQIDPSKGNGPANTSLAFFGNKLYALGETDLPYAIKLASDGDIITVGRQDFDGKLSMRMTAHPKVDPVTKEAFCFSYIPMPPLLLFFRFNENGEKQGNVPIFSMTSPTSFLHDLAITKKYAIFSEIQITMSPIAMISGGSLFSLDPERVPRVGVIPRYAKDESEMKWFEVPGWNTVHSINAWEEDGGYGGDGGNEHNIGGRFAGEPGFHPRVSGEDEYRSEKWNCIKAPSFNSKSRVTRVVKLDVSLSEVGQEECVVASRMFGPGCFGGEPFFVAKEPDNPDADEDDGYLISYVHNENTSESRFLVMDAKSPTLNIVCAVKLPRRVPYGFHGLFVRENDINKL >KVH89867 pep supercontig:CcrdV1:scaffold_3228:55528:58566:1 gene:Ccrd_008143 transcript:KVH89867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13e MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRNEHMVLIFDDFSKRLGFASKFTSELLLARQAKAVKDFPRPAGKLRPQVHGQTLKYNMKLREGRGFSLEELKGAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTFKAKLVIFPRRARKMKAGDSTPEELASATQVQGPVLPIVREKLAPEFVKVTDEMKSFGAYAKLRVERTNKRHLGARLKRAAEAEKEEKK >KVH89868 pep supercontig:CcrdV1:scaffold_3228:51610:54564:-1 gene:Ccrd_008142 transcript:KVH89868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGKTSNSMEDLHAAARAGDVVAVQTICSSNPLSVNSRDKHSRTPLHLAAWAGQTQVVNILCKNKADVGAAAMDDMGAIHFAAQKGHLEVVRTLVSSGVSIKSLNRKGMTALHYAVQGSYLDLIKYLVKKGANVSIKNKAGKSPLDLASNEEIRLLLSCPSESKERDVNGSEDGQPGAVSEGQTKDSRNEDDVDRNENVGQSKDESAKRKPEDDVTNAAMAGTKKTKVALNHLLTSDDAQEEEEL >KVH89866 pep supercontig:CcrdV1:scaffold_3228:32821:38177:-1 gene:Ccrd_008140 transcript:KVH89866 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MDGRITELVRATQVHSPSSTTKTPLMNSQLETLWEEVRELSLGTTPQIDRLHTPPTPLQFLRHYLSQNKPFILSASAATTLQWPATTLWTSTSYLRQTLSSSIVSLHLTPTGQADSIATLPSNPDSLCFASAHVQRTPFSDAIDAIEGSHKRDSITGFVAYAQQQNDCFTEEYGALAGDCDSHVAWATEAIGSLPEAVNLWIGNHLSETSFHKDHYENLYAVVTGEKHFVLLPPTDVHRMYIRDYPAAHYSYSQETGEFELELENPVRHVPWCSVNPYPFPENKEKEMSEFPLYYNGPKPFEVTVKAGEILYLPSMWFHHVRQTPDRRGLTIAVNYWYDMQFDIKYAYFNFLQSLSPPQKPTCRKSTSHDNFLTNENESDGDQNRSQE >KVH96279 pep supercontig:CcrdV1:scaffold_323:59118:62718:-1 gene:Ccrd_001644 transcript:KVH96279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MDIPRGSLRDGTTMEEVGEAGDGAMREYNESEMLLVVALGIGLISLVITLIRKLKWRRKTNVNVEIVLKNHEFLDPKRYTYSQIKKMTNSFEINQGQGGFGSVYKGELSNGNLVAVKVLI >KVH96271 pep supercontig:CcrdV1:scaffold_323:227660:240410:1 gene:Ccrd_001634 transcript:KVH96271 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MGGSKMEGGTSSSAAATAAAAPNRRDPYEVLNVSRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYNILSDPEKRRQYDNAGFEAIDADGTDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEDALSGTVTVRPLPLGSSVSGKVEKQSAHFFGVTVTEEQAQAGIIVRVTSAAQSKFKLLYFEQDNGGGYNLALQEDSEKTGKVTSAGMYFLHFQVYRMDSSVNALAMAKDPDAAFFKRLEGLQPCEVSELKAGTHIFSVYGDNFFKTASYTIEALCAATYEGTTHKLKDIEQQILRKRTELRQFETEYRKALAQFQEVTNRYSQEKQNVDELLKQRDNIQSSFMVDRSVVFGSKVSNGGNSRVPGEDSSPGEDGKDKSTKKRWFNLNLKGSTDKKST >KVH96275 pep supercontig:CcrdV1:scaffold_323:290603:292607:1 gene:Ccrd_001631 transcript:KVH96275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MELFDSNANPALGNFNSSNVSLHGFTPLSGDNFSDHHHHHRRHDHSLPFMPLSDGNLQSFNFSGDHQDGSFYAPMTHLPSNTDNMAKRISGDESLGNPPIFSSEVTGENKANRNYGYGGKRRKKSNEALGEKPREVVHVRARRGEATDSHSLAERLRREKINEKLRSLQELVPGCYKTMGMSVMLDVTINYIRSLQNQIEFLSMKLSAASMFYDFNSAEMDALDTMKGANGYEAQVMDRMGGEGYGELPQFQSTWPL >KVH96278 pep supercontig:CcrdV1:scaffold_323:46868:50777:1 gene:Ccrd_001645 transcript:KVH96278 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MFSIMLSLLFLLAGILFWWQCSTHIHRSKLPPGPMPLPIIGNLHLLGKQPSHRALYKLSQRYGSIMSMRLGSVKAVVISSPDAAKLFLGTHDXXFASXPKLQXSKYLYHGKGMVLTEYGPHWRNVRKFCLIELLSAAKVNRFAGMRREEIGLMVEKIRIASKAHEVVDLGEVAGGLIEGMTCRMLFGKKNDERFGLTRKLKSLSKEIDEMLESLINEKEECSVATLQQCDQTNFIDTLLSLKNKYLNTHDNLSASIDRLTMKAILLDMLAGGIDTSKTSIEWILSELIKHPRVMKELQQEIKTVVGDKHMVEETDLAKLSYLHMVIKEGLRLYPIAPLLVPHESIEDVVIDGYEIPKKTLVLVNAWAIGHDPKVWSENASEFFPERFGDREIDFRGPEFQLMAFSTGRRGCPGMNLGLINIGLAVSNLVHCFDWILPNGMSPNDLDMNEKSGLNMPKLEPLLAIPTYRMGA >KVH96282 pep supercontig:CcrdV1:scaffold_323:125635:135875:1 gene:Ccrd_001640 transcript:KVH96282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MILVFLFVSTVLSCLPLICTATDDDTSMHSFPISGTLLEYPFNNASDAQRGLIQVDCSLNHEDIQLGRHPYQIAGKHGNHSFFLIPNRRFEELLEKNSSDALYFSFTSPTPLLFSISIRSFMTLFKCTKDPKDAEQIDAYFIKHGYNSHKSCKDHKFYYNHHLVSNSTVPSHLPPTCEVIRLPVKEQSAEGNETDIFSLLAHEFSVSFNLSSSCQECCKNKGQCQTNNEQFECKFPEYLHSGCNTRILHFDIKPHNILLDKDLCPKISDFGLAKLFPDNRSIISMSCMRGTPGYIAPELFNKNFGQVSHKADVYSYGMMILEMVGGRKNIEVEVDHTSEIYFPHWIYKKVQLNEEKLGLHGIISDEDNEMARKMIIAGLWCIQTNPLRRPTITKVLEMLEGDLASIEIPPKPYLSSP >KVH96274 pep supercontig:CcrdV1:scaffold_323:164040:167994:1 gene:Ccrd_001637 transcript:KVH96274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAAPPPSPPLLHLTHFLLFLLLLFHLSLLQATSPANDYPDLAPLMTFKASSDRSNRLTSWNYSSDPCSSSSAFYGVSCLHNRVTRLVLEDLDLQGSFDSLAALTELRILSLKRNRFTGPIPDLTNLTSLKLLFLSYNQISGEFPPSLPSLFRLYRLDLSYNNFSGEIPATINRMNHLLTLRLEENKFSGSIAVLNLQNLQDFNISGNQISGEIPTVLSGFPESAFSNNQILCGFPLSNCSEPKIPGLASPVGKPANTVVSSSPSSMPSTASLPDDHKKSGSNRHGGSGKISTVAIIAIIVGDVLVLALVSLILYCYFWRNFAGKSGNGKSTSSQILEGEKIVYSSSPYPNPTAQSGFERGRMVFFEGARRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKEAAIGGKREFEQQMEVLGRLRHPNVVSLKAYYFARDEKLLVYDYMSNGNLFWLLHGNRGPGRTPLDWTTRLKVAAGAARGLVFIHHSSRSLKLTHGNIKSTNILIDKFGNAAVSDFGLSAFAPPTTAPKSNGYRAPELLTMDVRKTTQKSDVYSFGVLLLELLTGKCPSVVDNGGTGYGGAVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMSCTAGAPDQRPTMGYVLKMIEEMRGIEVSPSHEMLDSVSDSPSVSDDTCRVSE >KVH96277 pep supercontig:CcrdV1:scaffold_323:244545:245054:-1 gene:Ccrd_001633 transcript:KVH96277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MGKRRSPILAKVSNLIKISIFIAKMRLVYLKKSTKLSKFRSLKHYNSYGCLQEHQFSPSSTPLIRFHHRKTNGGSVYSVFFLSCFRGRHQGGVMMVDESYSLESAAIVEVERDGSELSYGYGEQEEDDDDSVDERAEKFIERFYEEMKKQRRESSSSSADLPLNRLLEY >KVH96272 pep supercontig:CcrdV1:scaffold_323:188679:205869:-1 gene:Ccrd_001635 transcript:KVH96272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYKLVAIFRNPTNNHEFLLVKQTPPPKYDDQEYDSYADSHLWDLPSAKLVSLSPEVDSSNQIVLQGEEVCLPKLNLRKFDLHSALGEVLGQVGFGTVSVAEWKFLKLVEEPNFGPGFPIETVYITGDLVPSVGTLKDNCQWSCSESCFNLLLQVNPGGDRLGPLVEYPPGVNIIPMGSRTQKPYHTTNMIVFAPRNNHVEYGSNPFVAQGDAMIVDPGCRSEFNEELAEIVAALPRKLIVFVTHHHRDHVDGLSTVQKSNPDACLLVHENTMARIRKGDWSLGYTKVSGTEEICIGGERLRIIFAPGHTDGHLALLHVSTNSLIVGDHCVGQGSAILDINAGGNMSDYFQTTYKFMDLSPNVLISMHGRVNLWPKHMLCGYLKNRRNREHTILKAIEAGSNTLFDIVAYTYADVDRSLWVLAASNVRLHVDHLAHQKKLPKKFCVRKFQLTCTVHFFSRWMWAYFKGSIGQTHFNFQTAMLLGAVTASGVAILYSVGNTLRSR >KVH96269 pep supercontig:CcrdV1:scaffold_323:153374:155946:1 gene:Ccrd_001638 transcript:KVH96269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLMPKLSKTYATISRQRATTTIIVVHNYYYEKSFEQDSHKSNVYSYGMMILEMVGGRKNVEVEVYMSLNSKISCLRNVRNG >KVH96276 pep supercontig:CcrdV1:scaffold_323:259703:261021:-1 gene:Ccrd_001632 transcript:KVH96276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRTTKKMNTSFNEEEFDQLKRGPWTLEEDNLLIRYITCHGEGRWNSLAKSAGLKRTGKSCRLRWLNYLKPDTKRGNLTPQEQILILELHSKWGNRLLEKVEQTSSSSSTSTASTSTMETDQNKLSPGPQIEQTPFAVSSPTRPSNIEHINSSSNFFASSSSAKMLSQLPEMSQFYADTAFYNSSLQINDTYNLDISEFDMMGFGQPDDMSNLNFQVADTDWISGGDLAADTYWNMDELWQFKK >KVH96270 pep supercontig:CcrdV1:scaffold_323:127407:136450:-1 gene:Ccrd_001639 transcript:KVH96270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRSVLNIVLWSNSVTSATTAQLNHLHVPTPHPLTVNYLAELIKKSADLMMQLISGVGRTNIGLSRSTVQLQAIQTIGTGGKAGEVFELGYFFYLSLPILRYLKGAY >KVH96281 pep supercontig:CcrdV1:scaffold_323:119673:121932:-1 gene:Ccrd_001641 transcript:KVH96281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MQQHVASTLKLCILVIFFLFLKQSFCLDPTSYEVCAPKPCGHDGRSINFPFFTPGPRASLCGYPGFEVHCYDNGSLVLPISGNDYLVKDIHYSNSSMRLVTAQNVTCPSGLTNITLDPYRFRLFNDSVTRELVFLMNCSKELPENLSRYRIGSCNPNILLVMLSDDRNLEMGKEVCGHLVVAPVQVHYDYAGIGRQQMEVVDGGNYAQVMMKGFMMQWVAPYCTECKQSGGRCGSNGYNLQCFCPWGDQMHAISCPTREYGTDPGMLLVLVGIGLISLVITLIRKLKRRRKTNVNVENFLKNHEFLDPKRYTYSQIKKMTNSFEINLGQGGFGCVYKGKLSNGNLVAVKVLNELKGNGEDFVNEVASVGKTCHVNIVSLVGFCSEGHQRALIYEFMPNGSLERFIYGQTSSNNNQLGWEKLFQIAIGIARGLEYLHSGCST >KVH96280 pep supercontig:CcrdV1:scaffold_323:37596:40423:-1 gene:Ccrd_001646 transcript:KVH96280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MYPPPSLPISCSAYGDQQPYDTKRHQSSSISSKEDFMPTDINDKQELSIKHSKHLEEVRNLLKEEPFQTLDMVDCLQKLCIGHHFQDEIDSILKMSYTRISNGGVDKNDESLFEVARCFRILRQEGYYVPSDVFSFIKQDDGRFKEEIAQDVKGLVALYEASQLSIGECIFEGAVEFCSDRLTEMMGFLVQDETLMVKTTLEHPYQRTSSSFLVKKFIKHYAGTAMSQLAELELAKVQSAHQTEVEKISRWWKDLGLAQELKLARNQPLNWYLWPMASLIDPNLSEERVELTKPIALIFIIDDIYDLYGTLDELIVFTEAVKRWDTNSLDQLPYHQRICIQALYDVTHEISDRIYKKHGFNPIAYFKNTWITLCEAFLVEARWFASGNLPMAADYLKNGIVSSGAQVVIVHMFFLLGGGTSKETASIINDNQVIPTSLGKILRLWDDLGCAKDENQDGHDGSYVMYYMKENEGCSIQNACEHVMSMISDTWKQLNKECLFQNQFSATFTKACVNLARMVPIMYNYDENHSLPLLKEYINSMFSGGKLTRTTYNRG >KVH96273 pep supercontig:CcrdV1:scaffold_323:175928:177424:-1 gene:Ccrd_001636 transcript:KVH96273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKNNQNSLFNAPLQLANLLSLILFFGFGLCLGIILTFHLKNVSFNLQFTQFSLSTDTDHDHQTVSSPPKIGLEDYIHPTDLMHDLSDQQLIWRASMVPKVMEYPFHRTPKVAFMFLTRGSVLLSPLWEMFFKGHDGLFNIYVHSSNWTQPIHSVFYGRRIPSKAVEWGKVSMIEAERRLLANALLDFSNQRFVLLSEACIPLFNFTTVYSYLINSNHNYIESYDLAGPVGRGRYSLRMHPTVKIGEWRKGSQWFEMNRDLAIEVISDNTYFPVFQDHCNGSCYADEHYLPTFVTRRFGERNSNRTLTFVDWSKGGPHPTRYTRYDVTHEFLEKLRNERHCEYNGRRNETCHLFARKFTPHALDRLLRLAPKVLHINP >KVH96284 pep supercontig:CcrdV1:scaffold_323:107846:113678:1 gene:Ccrd_001642 transcript:KVH96284 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MLSLLFLLAGFLFWWQYSTHIHGSKLPPGPMPLPIIGNLHLLGKQPSHRALYKLSQRYGSIMSMRLGSVNAVVISSPEAAKLFLGTHDAVFASRPKLQASKYLYHGKGMVLTEYGPHWQNVRKFCLIELLSAVKVNRFAGMRREEIGLMVGKIRAASKAHEVVDLGEVAGGLIEGMTCRMLFGKKNDERFGLTRKLKSLSEEIDEMLESLITEKEECSVATLQRCDQTNFIDTLLSLKNEYSNTHDNLSASIDRLTMKAVLLDMLAGGIDTSKTAIEWILSELIKHPRVMKELQQEIKTVVGDKHMVEEIDLAKLSYLHMVIKEGLRLYPIAPLLVPHESIEDVVINGYEIPKKTLVLVNAWAIGRDPKVWSENSSEFFPERFGDREIDFRGPEFQLMAFNTGRRGCPGMNLGLINIGLAVSNLVHCFDWILPNGMSPNDLDMNEKSGLNMPKIEPLLAIPTYRMGA >KVH96283 pep supercontig:CcrdV1:scaffold_323:78960:81790:-1 gene:Ccrd_001643 transcript:KVH96283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MYPPPSLPISCSAYGDQQPYDTKRHQSSSISSKEDFMPTDINDKQELSIKHSKHLEEVRNLLKEEPFQTLDMVDCLQKLCIGHHFQDEIDSILKMSYTRISNGGVDKNDQSLFEVARCFRILRQEGYYVPSDVFSFIKQDDGRFKEEIAQDVKGLVALYEASQLSIGECIFEGAVEFCSDRLTGMMGFLVQDETLMVKTTLEHPYQRTSSSFLVKKFIKHYAGSAMSQLAELELAKVQSAHQTEVEKISRWWKDLGLAQELKLARNQPLNWYLWPMASLIDPNLSEERVELTKPIALIFIIDDIYDLYGTLDELIVFTEAVKRWDTNSLDQLPYHQRICIQALYDVTHEISDRIYKKHGFNPIAYFKNTWITLCEAFLVEARWFASGNLPMAADYLKNGIVSSGAQVVIVHMFFLLGGGTSKETASVINDNQVIPTSLAKILRLWDDLGCAKDENQDGHDGSYVMYYMKENEGCSIQNAREHVMSMISDTWKQLNKECLFQNQFSATFTKACVNLARMVPIMYNYDENHSLPLLKEYINSMF >KVI03291 pep supercontig:CcrdV1:scaffold_3230:27115:40651:-1 gene:Ccrd_018413 transcript:KVI03291 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MASLTRVLLPISSTSSSQCPHQLTISFKLNPQPPTTRRRFSVFAMAESNRSTVLVTGAGGRTGQIVYKKLKERSGEYIARGLVRTEESKEKIGGADDVFIGDITNVESVIPAIQGIDALVILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWEGQKSQIDAAKAAGVKQIVLVGSMGGTNINHPLNSLGNGNILVWKRKAEQYLADSGIPYTIISFGGFSSRRRIEYESVLARAGGLQDKEGGVRELLTGKDDELLQTETKTIARADVAEVCIQVYLFVHCKLRRQNARHSILPPSLKEPERQQPTSRPYFPKSLLSFKVKIPNLHILPFIPTRIFNQL >KVI03289 pep supercontig:CcrdV1:scaffold_3230:42047:45396:-1 gene:Ccrd_018414 transcript:KVI03289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MDESNYTRVEAFDSGQNVSPGFRQTASEQQHNNGGGVRGEIDTSAPFESVKEAVNRFGGVGFWKPHHKQPHPHASEDYIEEVFDAAKAEEQAVQLANDLIVKERETLEVLKELEATKTALEDLKVKLQKESAAVELVVCNQFEGQRVGNDRNTLELQSVVHNQSDELRGGNDQNTHEPQSGARNQSEEPGEGNDEDALEPQSGGDNLMCPSSAPGFILMELKQAKLNLTRTTNDLADIRATVESYNKKIDKERFALEKTRQRLSLNSTKMPSLEEDVDLPRELHRLTSETEQFKKVGEVAKSEVLRAMNQIKQTKMRLKTANIRLMGARKLTEAAKACEALARSEIKSISKSQTLSEGEGVTLSFEEYFYLKMKAREADEASNNQETEAMARVEEAEISKTEILNRVEEATDEVKNSKRVLEEALRKVDAANNDKLKAEEALRKWRSEHGQRRKSTVQNSTKFKNSSNRIGSHLASNISGPVLRPTMSIGQILSR >KVI03290 pep supercontig:CcrdV1:scaffold_3230:16099:17421:-1 gene:Ccrd_018412 transcript:KVI03290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MLSILQLFVVILAFISHEYEAISQYVPPYTSVVAPINKHTDVAKPLYSFDVMTAWVDMKFMHEKLIIDIDAPFIWHDCILIWNYYGVPCPVNKRCTNPVSCEEYQCTSVRTTYSYVNPNCPPVNNGSTLPGWGYCTCPVTVVHPVTGWCGQALLDYDDFTMSTSNGRDVFTGLYGAYPNAACLSSSSFAPSSSFGSFPENVTGVMAFSTSPYAFPAYLYQPLKKTLALCLPSTLSAPGVLFFGTGPYYLLPQSDVDVRSLLSYTPLITQPNSFGYYIGVNAIVIKKRSIDLPSNTTTKLSTIDPYTVLRTDIYNWVVRRFSMVTKRILKTTPIAPFGLCFNTKGNHSSLKLADIDFSLQDGKKWSISTANSIKQMTEDVSCLAFVDGGATSEPAIVIGTFQFEDNFLLFDLENSTFGFSSSLLRKQTTCSNFNFTVTSSN >KVI03292 pep supercontig:CcrdV1:scaffold_3230:4044:11040:1 gene:Ccrd_018411 transcript:KVI03292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MVSAVQPEAETTSGDGGVGMLVTKKGTTSARSWILIDTNVNETIMDIDKYAIMHRVQIHARDLRILDPLLSYPSTVLGRERAIVLNLEHIKVIITAEEVLLRDPSDDNVIPVVEELRRRLPVAKANHGELDGQHDVEADEEDESPFEFRALEVFLEAICSFHAARATELETDAYPALDELTSKISSRNLDRVRKLKSSMTRLTARVQKVRDELERLLDDDDDMADLYLSRKLSSASPVSDSGAASWFLGSPTIGSKISRASRASVMMVHGDENDVEELEMLLEAYFMQIDGTLHKLTSLDNHRNQLIQLELFLSAGTVCMAIYSLITGIFGMNIPYTWNDDHGYMFKWVVILSGGFSAVIFLLVVSYARLKGLIGS >KVI08102 pep supercontig:CcrdV1:scaffold_3231:41889:48490:1 gene:Ccrd_013529 transcript:KVI08102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleft lip and palate transmembrane 1 MTPPAAVEGGDGGGHGGQPQQQQGFGQMFTGIIRVAVIYYFASKFFSSNKPSSSGSDPAVQISNLFQKSEPLDMWFYLSEHDEFNDFGNEAALIWHESNIPYAVWGPESTRTLSLKYYPSEALQHNGSLFAHVFFARSGYPVDPSDPEYQALAAFGLTHPVVTYLPKSKANKKKSLLGNSKGTSEDDAVSEGVEEPQVDSKDDGPVEYISYWKPNITINLVDDFTRYSQHMNIEPTTNNYYPTIFFNEFWLLRDKLIAINDTVKELALHLEVAPISMTKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFVEGNPYLLGITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFFCQLVVFLYLLDNDTSWMILASSGVGCCIESGSIPKLKFTDRDTYAGNKTKEYDDLAMKYLSYVLFVLVACSSVYSLMYETHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPILHRLSVFRDDVIFLIYLYQRWAYPVDKKRVNEFGFGGEDEDEAAVALASGSGSGSSAEEKKTN >KVI08103 pep supercontig:CcrdV1:scaffold_3231:54533:57632:1 gene:Ccrd_013530 transcript:KVI08103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plus-3 MADLDEMLLEAAGRTGRNQHSPPPSRRRRKGSYSDDGSDSRDDDSDDDHGYASRKPSGSQVPLKKRLDPAERDDEHSSSREDDEDDDDTYDREGDSDDDSVGSDLYKGEEDRQRLAKMSELDREMILAERATKKNDKDLKNSIKKQKEKSTQSRKDSPPPHTVNRAVRSSARSTDRATAIDVALNELRARKRLRQQDPESHRKRRDADTGVKRKGFTPAALSTSSHSDSGSHSEDEESTGGMGESDDEKVSRESTILMYEDVKGITIRRSKLAKWFMEPFFEELIVGCFVRVGIGKSKSGPIYRLCIVRNVDAADPDRQYKLENKMTHKYLNVVWGNENSAARWQMAMISDSPPLKEEFDQLIREVERHGGRMPSKQEVLEKREAIEKTNSFVYSAETVKQMLQEKKSTIRRPLNVAAEKDRLRREMEVAQMKHDETEVEKIKAKLHELEASRQTQQKDKKAIRLAEMNRKNRVENFKNASEMKPVSVGLKAGEAGYDPFSRRWTRSRNYYVSKPGEAAAEANGGDAQTAAIVGPDGSKAGIVATVAALEAAADAGKLVDTSAPVDKGTESNIVHDFDLPISLASLQKFGGPHGVQAGFMARKQRIEATVGCQVPENDGRRHALTLSVSDYKRRRGLL >KVH91887 pep supercontig:CcrdV1:scaffold_3232:20013:23934:1 gene:Ccrd_006084 transcript:KVH91887 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGSGFSSLLLMCNSTTTAPMVSSEPSLGDLPESVLGSVLVYLNPQEICRLAALNRAFRCASSAEFVWESKLPKNYDLLISRVFDSNRFSSNLCKKEIYARLSRPNPIDGGTKKVWLDKGTGKACVLISSNGLAITGIDDRRYWSWISTEESRFLSVAYLQQIWWFEVDGEVEFPFPSGTYSLYFRLQLGRSSKRFGRRVCNSDHVHGWDIKPVKFQLSTSDGQKAITQCYLSEPGKWNLYRAGDFVIEDSKVPMKIKFSMTQIDCTHTKGGLCVDSVLICPK >KVH91885 pep supercontig:CcrdV1:scaffold_3232:35896:44408:1 gene:Ccrd_006086 transcript:KVH91885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase, MBOAT MSGDAWKEGGIDATGALMVITLKVISCAINYNDGLLKEEDLRESQKKNRLLKLPSLIEYVGFCLCCGSHFAGPVYEIKDYLDWTEGKGIWTKSEKGSPSPFGATLKALLQAGFCMGLYLYLVPQYPLSKFTEPEYKEWGFLKRLSYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDSSPLKARWDRAKNVDVLGVEFAKSAVEIPLVWNIQVSTWLRHYVYDRLLQKGKKAGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRAIYRWQQSVPPNMGIVKKIFMLMNFAYTLLVLNYSCVGFMVLSLHETLTAYGSVYYIGTIIPVVLILLGNVIKPKPVRSKARKVE >KVH91886 pep supercontig:CcrdV1:scaffold_3232:59025:59519:1 gene:Ccrd_006087 transcript:KVH91886 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSTSANSRGPTTTRKHPIYHGIRCRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAALALKGGDAVLNFPDFARSYPVPPSPEPALIRRAAEAGAEFVKTSQGDHRPSGEFMDEDAIFRMPNLLVDMAEGMMISPPPLPPSSNDHRTAVDSPDSGDLWSY >KVH91888 pep supercontig:CcrdV1:scaffold_3232:25332:33210:-1 gene:Ccrd_006085 transcript:KVH91888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF947 MKHHHDDMPTSSKIKFEVSDEEEEEESASEDDGETDIHEVLANVTFEELQKARSDGSHVVNQKPKLARKGKRENKNRPMEISSKKPVGRFREVIQVPKKVVRDPRFESLCGTLDVDGFKKRYNFLYESALPAEKEHLKNQMKKTKDPEVISGLKERVAWVDKQLKSASTKVVDKEILESGKLASFIEKKRRKNAAKDHRFMPYRRPNDNE >KVI00695 pep supercontig:CcrdV1:scaffold_3235:8885:13539:-1 gene:Ccrd_021053 transcript:KVI00695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MEKEGSCSKEEELRPSKLKRICVFCGSRPGFRSSFTDAALELGQELVKREIGLVYGGGSVGLMGLISQTVFNGGCHVLGVIPRALLPHEISGETVGELRIVADMHQRKSIMAENADAFIALPGGYGTMEELMEMITWSQLGIHDKPVGLLNVDGYYNSLLALFDKGVEEGFIEVLERKIMVSADTVQDLISKIEEYVAVHQGVVPKQSWEMGQSSLA >KVI00696 pep supercontig:CcrdV1:scaffold_3235:52805:55408:-1 gene:Ccrd_021054 transcript:KVI00696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MHLHKHLMVLLVILGSTISCSSYINHHHPAFNQVLPAPPRTLLAQDQPQPQQFNVDDYGATANGTDDSQAFMEAWRNACNSSNGTEFVVPENKVYHLKPITFSGPCNPNLRVKVYGTIKASSHRSDYDEDRRHWITFEDLDNFFVEGGGTINGNGRIWWIKSCKVDDTQNLRLNNIRIKNPQQIHLTIQNSTNVIASNLRVIAPANSPNTDGFHISGSKNVQIMDSIARTGDDCVSIVNGSSNVVIRKIVCGPGHGISIGSLGKNNSEDFVSNVLVDKVVLTNTTNGVRIKSWQGGSGYAKNIKFQNIIMRNVTNPIIVDQNYCDRKEACPEQDSAVQMQNVVYRNIRGTSNSEVAINFDCSKTFPCRTILLDNIVLNREGDEGDTKASCSNVMLATRGEVAPNCE >KVH87476 pep supercontig:CcrdV1:scaffold_3236:19233:23596:-1 gene:Ccrd_025266 transcript:KVH87476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein MKSVVFLHYFLGFILLVSHLNSVSSWKKEEFRNCNQTPFCKRARSRKPQHCKLIATDVIIGDGDLTAKLITPKEFQENPDEDSSGIASNPLILSLSVYENGILRMKIDEDASRNPPKKRFEVPDVILPEFESKKLWLQRYTKQVIDGDSADSFVVYLFDGYEAVLRSDPLEVFVREQSSGKRVLSFNSHGLFDFEQLRVKKEGDDWEERFRSHTDSRPYGPQSISFDMSFYGADFVYGIPEHATSLSLKPTKGPGIEESEPYRLFNLDVFEYLHESPFGLYGSIPFMLSHGRTRGTSGFFWLNAAEMQIDVLGSGWDAESSIMLPSDQNRIDTLWMSEAGIVDTFFFIGPKPKDVVKQYASVTGTSAMPQLFATGYHQCRWNYRDEEDVKNVDSKFDEYDIPYDVLWLDIEHTDGKRYFTWDKALFPNPEEMQKDLASRGRRMVTIVDPHIKRDENYHIHKEASKNGYYVKDASGKDYDGWCWPGSSSYIDMVNPDIRSWWADKFAYTQYVGSTPSLYIWNDMNEPSVFNGPERYGTVWTGDNTATWEQLRVSVPMILTLGLTGITFSGADVGGFFGNPDSELLVRWYQVAAYMPFFRGHAHHDTKRREPWLFGEHNTKLMRDAIRVRYTLLPYFYTLFREANVSGVPVTRPMWMEFPDDEATFNNDEAFMVGNGLLVQGVYTEHAKDVTVYLPKDHTWYDLRSGTAYKGGKTQKIEAADAIPVFQRSGTIIPRKDRFRRSTVQMENDPYTLVIAVDSSGEAEGELYIDDGKSYEFNKGAYIHRRFVFSNGTLTSVNLAAGTQFLSDCTIERIILLGHLSKPKSARIEPSNHAAEVELGPLQIQSKIRSSVLTIRKPNVRVSDDWTIKLL >KVH98727 pep supercontig:CcrdV1:scaffold_3237:53197:57119:1 gene:Ccrd_023046 transcript:KVH98727 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM/LAG1/CLN8 homology domain-containing protein MAGHLHKKNDASAFFFMATLVMWAVSVFFEILFNKRTELFPLLTGFCFYQFANWVCRTFISRNPLLVNTCVSLLHSSLTSASVVFILVNELISNGWDELFEHSKLVKATWPWAYSALCISCGYFAYDQLDMLLYGLYSGWIPSILLHHFILLGCFTLALYRNVTINYLILTLICELHSIFLHVRKVRRMAGFRDSNSKFVKIEWFLNMVTFFLARLLSHLLITIKLVKDAAKFEKGVELPLALLGMAGMNLLNVFLGVDILKALRRERNSHES >KVH98728 pep supercontig:CcrdV1:scaffold_3237:22235:22687:-1 gene:Ccrd_023045 transcript:KVH98728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNIPFSWENKPGIRKDKIFAGEGRGKVVQDISSTTQGRRKLPLPPLPCENSDKATCVDDDDHHIRIPLPPCAFPPPSRSSSRRSKADDPFLIAYKECTKSNKKGTLMRKNSFLASCKHSCSVRDDSIVRVSHIPTSNADRKRLEGVKY >KVH87475 pep supercontig:CcrdV1:scaffold_3238:51387:57089:-1 gene:Ccrd_025267 transcript:KVH87475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MTKVLDILEEYLQWRRLVYRRIDGLNLQRADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKVASHEKEDNFMNGGTIDSDDDLAGKDRYIGSTESLIRNNIQQYKIDMADEVINDGMFDQRTTHEESLLVARAKEKEFAGVTKAMKQCQKDDWFLDITLSGGIHTVYDSNSMYISSVSAVQLVKHYVRNLPTQIHQAHPRYHSKQN >KVI04368 pep supercontig:CcrdV1:scaffold_3239:22163:27591:-1 gene:Ccrd_017319 transcript:KVI04368 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b/b6 MYIWLHKLHNIIKNHPRYYPSARRVYKQIQILGIILDTEIYHKTNKGISMCLPWYRVHTVVLNDPGRLLXVHIMHTALVASWAGSMALYELAVFYPSDPVLDPMWRQGMFVIPFMTRLGITNSLGGWSIMGGTITNPSIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQSVNPSWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVXGTMWYGSATTPIELFGPTRYQWDQGYFQQEXYRRVSAGLAENQSLSEAWSKIPENLAFYDFIGNNPAKGGLFRAGSMDNGDGKAVGWLGYPIFRDKEGRELFVRRMPTFFETFWVVLVDGDGIVRADVPFRRAESKYSVEQVDHATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAXIDPDLDAQVEFGAFQKLGDPTTRRQGFGRLITSISPHVPRMDLLVVEKVAQKRQQISMNTIGFMATQIVENGSRSGPRRTTVGDLLKPLNSEYDKVAPRWGTTPLMGVAMALFARLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLIQVATGFAMTFYYRPTVTDAFAYVQYIMNVANFGWLIQSVHRWSASMMVLMMILHVFHVYLTGGFKKPXELTWVTGVVLGVLTTSFGVTGYSLPRDQIGYWIVKIVTGVPEAIPVIXSPLVELLRGSASVGQSTLTRFYGLHTFVLPLLTAVFMLMHFPMTRKQ >KVI04369 pep supercontig:CcrdV1:scaffold_3239:31641:36676:-1 gene:Ccrd_017320 transcript:KVI04369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MVSSSSSSSSNHMKVLERVPISKPPFEYSDLKNAIPSHCFKQSLFRSFFSVFRDGLTISILFYVASNYIPVLPRFISFIAWPLYWICQGVMFGSLWTLGHECGHRGFSGYYWLDDTVGFLLHSFILTPYFSFKYSHRRHHAHTNSLEYDEMHVPKHKSNNSLLAKILDNPIGVAVRILCRVTLGYPLYLIFNHSGRKYEGMASHLYPQSPIFNDSERGLIYLSDLGIFVVLYAYYRMVLTKGAIWAFCIYGAPWCIMGGILIVLTYLQHSHPSIAHFDSTEWNWIRGALSTIDRDLGFMNMIVHDVPRNHVVHHLFPSIPHYHALEATEAIKPILGDYYKYDVTDRPINFRHSLDHGRRSWTFDNHILVLHELQPGEEQSDISQRVFSLRPLVNRCKPYRHLPGYDNKNKKTADRSYLRVRSLVDIRQPLKKGKKQFFQTPEEDIVRAWDELLSAPNKRLANLDGERWMKEEDDGSLMAARVDDAATLQAGKKETQNEKGNRQSLGDSNHFFTGGTRDL >KVI04367 pep supercontig:CcrdV1:scaffold_3239:8527:14854:1 gene:Ccrd_017318 transcript:KVI04367 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MGSLFTSLLYLSLFLFFYQIKATSFLNSSKANSNSCNFFKGKWVYDPSYPLYNPTTCPFLDPEFDCVKYGRPDKSYLKYRWQPFSCNLPRFSGVGFLQKWRGKRILFVGDSLSFNMWSSLSCMIHSWVPNARYTLIKTGVLTELVFQDYGLKLSIYRTTTLVDIVNENGARVLKLDSIRQGNAWKGMDVLVFNSWHWWTHTGRDQPWDYIGEGGKRYKDMNRLVAYYKGMTTWSRWVNRFVDPSKTKVGKDWNQPSKSCKGETQPFFGFRYPAGTPLASVVLNKVLSRVKKPVYLLDITTLSQYRKDAHPIFYSGNHIGLDCSHWCLPGLPDTWNVLLNAALSG >KVI01904 pep supercontig:CcrdV1:scaffold_324:35264:41383:-1 gene:Ccrd_019816 transcript:KVI01904 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, 30-40kDa subunit, conserved site-containing protein MEGASYQRFPKVKIRELKDDYAKFELRETDASMANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFHLRAKCINDQTLDVTSKDLYSSDHTVVPVDFSDTGAGFENNEDQRAIARKGIGKDHAKWSPAATVTFMYEPEIHVNEEMMETLSLEEKTSVVESSPTKVFGINPHTQQVEVVDREAYTYDDEVLKKVEAMGKPGLVEIYAKEDSFIFTVESTGAVKASQLVLNAIEVLKQKLDAVRLSEDTVEADDQFGELGAHMRGG >KVI01889 pep supercontig:CcrdV1:scaffold_324:178725:182394:1 gene:Ccrd_019829 transcript:KVI01889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MAVLSHLRRALLRSPPVITGCGGARTLTPSYHHVQAKNNSTKVEDEKNENKKGKWLSLPPFNMTVNGASLGRDIVGRRKSIDEGEASTTTALKWVMRCCPQLPRSLVQKLFRLRQVRRGTSEGSNSDQDVQAPGCRIKRVSAKDSMKCGDTIYLPITVQALPTEKVECLCSEEELKFLHDLVLYKDSAILVINKPPGMPVQGGIGIKRSLDELAGAYLRHDFSEPPRLVHRLDRDSSGILVLGRTQLSATVLHSVFREKTIGASNIDLKSEKRFLQRKYWALVLGSPRRPQGLISVPLGKVVMDDGRSERITVVENVSTMSSQHAITEYRVLESSNHGGVDEDELIWWIRVHCAEVLGTPIVGDYKYGWQAHKKWNQLFSSNLEEKVEVCKRKSLPFGLDLESGSISDKQPNLHLHCKKMVLPDVSSALERARISSSDYDFGDIKSLKFAAPLPPHMQRSWDILCS >KVI01883 pep supercontig:CcrdV1:scaffold_324:116297:118624:-1 gene:Ccrd_019823 transcript:KVI01883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MTRDSIDSDLIGGCRHEVVGEVVEIGSDVSKFKAGDIVGVGLLVGCCNSCRPCEAEAEQYCKKKIWAYNDVYTDGNRTQGGFSASMVVHQKFVVKIPEGLAPEQAAPLLCAGVTVYSPLSHFGLKKSGLRGGILGLGGVGHMGVLIAKAMGHHVTVISSSDKKREEAMNVLGADKYLVSSNTASIQAAADSLDYLIDTVPIHHPMDDYISLLKLDGKLILLGVVNVPLQFFSPLLMSVYVEGRKMVTGTFIGSMKEIEELLEFCNEKGLKSSIEVVKMEYINTAMERLANNDVRYRFVVDVAASNLQD >KVI01901 pep supercontig:CcrdV1:scaffold_324:29620:33710:1 gene:Ccrd_019815 transcript:KVI01901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPMNNQPSIPPATYHHHHPMANHGIHGNLLEVTVVCCNKLKDTEWLSRQDPYVCVEYGSNRYRTRVCTDGGKNPTFQEKFVFSLIEGLRELNVVVWNSNTISHDDFIGSGKVQLATVLSHGYDDTAWPLQTKTGRHAGELRLIMHYSKTRKQLCSISSTICCFKFTPGLDVFCTAATFIHNISLQL >KVI01891 pep supercontig:CcrdV1:scaffold_324:223802:228739:1 gene:Ccrd_019832 transcript:KVI01891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSFFLCTLLIISLSFHGISAVEYHVINDATTTPGGIRFDNEIGIPFTKKIMRSINDFIWSVENPLINYGRSIRPNMDINV >KVI01902 pep supercontig:CcrdV1:scaffold_324:18886:29289:1 gene:Ccrd_019814 transcript:KVI01902 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding domain, CaLB MDLETGKASSPVKDSDVQARAAVDTGHDPAQDSPSRLSASGITTWAKSLKLPQPLGGTQDESSSENTGKSSFARLTSGLGLRLSPKAPQQDDSPDGTPTTPQAGIFGTITKGFVDTSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIRFFETYHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIRLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKRYVKYFERVMTYFNGEDQPGRRCMLRGFRLHRCPYWIRPSITVSDHNGVLFSTKKHPRTKDLSPEDFWFSAPRKGIMVFALPGEPGLTELSGDFKIHFHDRQGDFYCWLNTTMIENRKVLTTNDLDGFDKRKLPSPGFQVEVVVVDYDNAAQAKPETESTAKPAEGTSANPPPTTPSEATSTNLPKDSRNSEKDDEVFSDTESEAKTDTASETNSVSGKMVSLSNDTKNLSIGNSGASQTTPASAPKADEAASRNPIGEVSDFKAMAADTSVFTFGDDEDYESD >KVI01890 pep supercontig:CcrdV1:scaffold_324:105122:106766:1 gene:Ccrd_019822 transcript:KVI01890 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSSSPALYIPRLSSSVFTFPLFLCVIVFVSVFAFLLAPGGLAWALSKSRTKTAIPGPSGLPILGLVFAFTSSLTHRTLAKLATTFNATXLMAFSVGFXXFVISSHPETAKEILNSSAFVDRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATHLFSPKRISGFGVFRERIGLKMANQVSSSMDHNGVVEIKKLLHFASLNNVMMSVFGKSYDDFGVNGSDGFELEKLVSEGYELLGIFNWSDHFPIVSWFDFQGVRKRCKHLVSRVDVFVEAIINEHRERRFENRGATVVDDGDFVDVLLDLESENKFSDGDMIAVLWEMIFRGTDTVAILLEWIMARMVLHPDIQAKAQAEIENVVGSGRPVSDADLPNLPFVHAIVKETLRVHPPGPLLSWARLAIHDTQVGPHMVPAGTTAMVNMWSITHSDKIWVEPEMFNPDRFMDEEVAIMGSDLRLAPFGAGRRVCPGKAMGLATVQLWLAQLLQNFKWVAAESDSGMVDLSECLKMSLEMKKPLVCKAVARV >KVI01892 pep supercontig:CcrdV1:scaffold_324:274423:274686:1 gene:Ccrd_019835 transcript:KVI01892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family, basic secretory protein MATTNFIWSVIFQQNNPSDRKSVDSVNVYIEEFNEPIAFTWDNHNVNFSSIYIAGFSGDVKSFFLSVMYHEMTHVFHGMVRVLRLPD >KVI01888 pep supercontig:CcrdV1:scaffold_324:157650:159809:1 gene:Ccrd_019828 transcript:KVI01888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MLYCSDEKKFTRSRRQLDYKDRRNVYHASSSKVGITQSRRMQLAMNGGLVASGGTARDHMNFRRDIMLFVEAQAAKVYTKTIFFDVQKEMNKAVWFCGVVEVVEVGEKMIYSITHKNKNSEVKATYKVVHDVRDESFECSCNHFVRNGILCRHAFKVMLNSEVQSIPEKYILPRWRRELVPIELMPAQVRYSEMDVEKQALINQAISMFDLIIGRVRNDKGALTEFVNQLERLGDEISADVPILTVTEQKRNDIEELLCVTEPESVDVLPPTGVRNKGCGTGKRLVGVSERVSMNAKKQKRLCRTCDKMGWHDSRNCPMKGDSTN >KVI01896 pep supercontig:CcrdV1:scaffold_324:237982:241658:1 gene:Ccrd_019833 transcript:KVI01896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family, basic secretory protein MRTINDFIWSVVLQQNDPSDRKPVDSVHTYIVEFDGAAGIEWGNNINVSSLYLKGVEGDVKTQFTGLMYHEMTHVFQWDGEGTAPSGLIEGIADYTILKVYDNPYGFANPGDGDSWDQGYTVTARFLEFFEYAVINDAATTPGGTRFNNEIGVCKAKQIMATANSFILYAIFQQSYPSDQKAIDLVNLYIEEVKFPLTVTWGNNNINFSSIYMAGFKGDLKREFMANVYHEMTHVLQWTGNGNAPPGLIEGMAEYTKLKANLTQPGFAEPGQGDHWHQGYDVTARFLEYCEGITPGFVAKLNKMMRFRFEFKYFKDLTGKPVYQLWLDYKVKYGQCCN >KVI01894 pep supercontig:CcrdV1:scaffold_324:296833:304855:-1 gene:Ccrd_019839 transcript:KVI01894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MDVSSQKKFVQDRKNLATGQVVFESNQEVNAECACDEKALKNHEIMGNHKRIEVFNGSPNHEPVDLTGMISSPRKTTSEHEHGMEEIQPPKLGHPPEDGSKYSSLEQIEANQENETKKLSQSGNQKRGRPKKRERMVTGSPRVLRSRSQEKPKVPESVDAPAQDAESDGKKRRKTKKIKKDQNNEFSKIKTHLRYLLSRMSYEQNYIEAYAGEGWKGQSAEKIKPEKELERARSDINRYKLKIRDLFQRIDSSLEEGKIPESLYDSEGLIDSEDIFCAKCGQTEVQLDNDIILCDGECERGFHQFCLDPPLLKEQVPPGDEGWLCPACDCKVDCIDLLNDSQGADLSIGDSWEKVFPETAASGNKLDDTLGLPSDDSEDDDYNPDGPEVEKVEEEADGEESSSDESDFSSASEDLGAVANNEQSLGLPSDDSEDDDDFNPDRVDSDEQAKTKSSSSDFTSDSEDLGDINKNEGTSPEVQGSLMPEDDEEITKASMEDDDSGPITAKRKVARLDYKKLHDETYGNASSDSSDEEFLDTEAPRKQKNTKGAKDVDFNQQQKISVFTPVRRTNKKLDVEGISSSPAHVGSSAPGSSDRSGTKSSYRRLGEAATESLYQSFKENQYPDRDARENLAKELNLTHTQVSKWFENARWSFNHPGKRSKIVKSQHESSTSLATENAAGHKTVEKKQSDKASAEKLVTKQMNETSSTPPKSRKRKGKTDDEDSVMSPSLKDGSSNRRSGRVQAKRS >KVI01884 pep supercontig:CcrdV1:scaffold_324:117161:118636:1 gene:Ccrd_019824 transcript:KVI01884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGEKNCKGTLTTPNKINFPSSFSNEIGLYTVTPAHSSGAACSGANPSVLLRLRLTRAAAVAAPHQKPNSDDVTGFEFANIRSYLHHFTHHFMPTSTNKIRIYGIPRHTIL >KVI01906 pep supercontig:CcrdV1:scaffold_324:72055:75578:-1 gene:Ccrd_019818 transcript:KVI01906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGSKFSRVKQHLAKRDIKVLMLGLNDSGKTTILYKLRLGDFVVTVPTIGFNVEALEYKQTMFTVWDVGGQDVIRPLWRHYYRNTQALVFVVDSNNKRRIYQARNELHRLLNEDELSDATILVFANKQDLPHAMHVSEVADKLKLHSISQHRW >KVI01898 pep supercontig:CcrdV1:scaffold_324:211742:215401:1 gene:Ccrd_019830 transcript:KVI01898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MATINLTVPFTAQLHTQPFTGPSIPNNPISSRFRKPARSLKLKCMATSITSKPIAEPTTSPICDRWRKIHGEDNWAGMIDPMDPLLRTEVIRYAEFSQACYDGFDNDPFSKFCGSCKYPPKSFFHDLGLDNWGYEITSYIYSSNTSELIPKVFTKAIRSDGPWNPKVNWMGYVAVSKDERAAKLGRRDIVVAWRGTVTKLEWVSDLISFLKPVTAENLSSPDPAIKVLGELKRLIKVYKEKGEEISITLTGHSLGSAVATLCAYDIAESNLDKLDNNRKIHVSVFSFSGPRLGNTKFKKRLEALGVKVLRTFNVNDKVPTVPGILFNEQILTWIQPIVDFIIGLYTHVGVTFSLDNKSSPFVKDNISLASMHNLQLLMHLVDGYQSTGARFSPAVGRDKALLNRDDDILEDKYLIPPNWGQIENKGLLKNENGEWELPEQEDRFTPEGIERHLEKLSLD >KVI01900 pep supercontig:CcrdV1:scaffold_324:275615:276660:-1 gene:Ccrd_019836 transcript:KVI01900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRALRIYGEVLRLVRRLPADSRPYYAKYARENFVNYREVDADDSAALDELFLRAYNHSLWVLNKYTVDQAAASKLKEICGGA >KVI01882 pep supercontig:CcrdV1:scaffold_324:96752:97537:1 gene:Ccrd_019821 transcript:KVI01882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDSSRKHLDRIKGPWSSEEDELLCKLVDRYGARNWTLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFTLEDDQVILQAHARFGNKWATIARLLNGRTDNAVKNHWNSTLKRKCTAVDGGDRGERNSQRPKMSDRDFNATVTSISGTGSLSGSDLCDSSGNNIPLVSVAEICITSSEFQRKVETEPPTALTLALPGTGSYLYDICDQKPAKKLNATPFGEEVHSMMQEMIRSEVRKYLASEENLMQVRNAGVKRIGVSKSDY >KVI01885 pep supercontig:CcrdV1:scaffold_324:118648:119449:-1 gene:Ccrd_019825 transcript:KVI01885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MQSWIDDRRKEIQSARKIVLGRGRGKRCSQREESVKKGDEVMKMGSLKEERKTTGWAANDPSGLLSPYTFTLRHSLSLSLSLSLSLSLLTYVSAIRKTGEEDVLIKVICCGVCHTDVHQIKNEYGTSNYPMVPGYVIPFSTATLDSN >KVI01886 pep supercontig:CcrdV1:scaffold_324:124912:126561:-1 gene:Ccrd_019826 transcript:KVI01886 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSSSPALYIPKLSSSVFTFPLFLCVIVFVSVFAFLLAPGGLAWALSKSRTKTAIPGPSGLPILGLVFAFTSSLTHRTLAKLATTFNATXLMAFSVGFXXFVISSHPETAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATHLFSPKRISGFGVFRERIGLKMVNQVSSSMDHNGVVEIKKLLHFASLNNVMMSVFGKSYDDFGVNGGDGFELEKLVSEGYELLGIFNWSDHFPIVSWFDFRGVRKRCKHLVSRVNVFVEAIINEHRERRFENRGATAVEDGDFVDVLLDLESENKFSDGDMIAVLWEMIFRGTDTVAILLEWIMARMVLHPDIQAKAQAEIETVVGSGRPVSDADLLNLPYLQAIVKETLRVHPPGPLLSWSRQAIHDTQVGPHMVPAGTTAMVNMWSITHNDQIWAEPEMYNPDRFMDEEVAIMGSDLRLAPFGAGRRVCPGKAMGLATVQLWLAQLLQNFKWVAAGSNPGVVDLSECLKMSLLMKKPLVCKAVARV >KVI01895 pep supercontig:CcrdV1:scaffold_324:285850:295398:1 gene:Ccrd_019838 transcript:KVI01895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNEEWVMVKPISDKDLWNPSSFSNEPDKKALKVRFNGAAKHWTDALPIGNGRLGAMVWGGISSETINLNDDTLWTGVPGNYTNPDAPEALSEVRKLVDDGKYAEATTEAVKLSGKPSDVYQLLGDINLEFDHDLAAYDDKTYERELDLDSATVEVKYSVGEIEFTREHFASYPDQVIVAKISGSKSGSLSFTASLSSKLQYNSYVNDQKQIIIEGPCPGQRKPPTLNAENDDKPKGIQFSAILDLQISDGTGTISVLEEKKLKVEGCDWAVLLLVASSSFKGPFINPSDSKIDPTSECLNTLKSLRNFSYSELYARHVDDYQKLFHRVSLELSKSSVSVTGDEPSLTVTTAERVKSFKTDEDPSLIELLFQYGRYLLISCSRPGTQPANLQGIWNDKVEPPWDGAPHLNINLQMNYWPSLSCNLHECQEPLFDYISSLSVNGAKTAKVNYEASGWVTHQVSDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHYTYTMNKDFLAKKAYPLLEGCTSFLLDWLIEGRGGYLETNPSTSPEHMFVAPDGKPASVSYSTTMDISIIKEVFTAIVSAAEVLGKTTSDLIQRVLKAQPRLPPTKIARDGSILEWV >KVI01905 pep supercontig:CcrdV1:scaffold_324:78392:86058:1 gene:Ccrd_019819 transcript:KVI01905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3611 MQILMLPGARTGIAPPSLAAAQPSPLLLHRPLSALNFVSSVTSSTQRCLSLTSSSSQFSLHSYRRRIRKPNKLTSAPISAAYVPPNYESDKSKFAQVSKKLENTSRYFKRLGSLGFWGQLACTTVAAAILSFSVVITGKISSPVTFYATAGGIAAAFLSVFWSFGYIRLSDRLRKTANDPSKAPPRADVVKGLKNGIVLNLLGMGAAILGMQATVGTLVAKALTTSANPLYRSGSSPILALDVFLVQASANTILSHFLGLVFSLELLRSVTIPPSESIHVPKVT >KVI01893 pep supercontig:CcrdV1:scaffold_324:243325:244008:-1 gene:Ccrd_019834 transcript:KVI01893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family, basic secretory protein MAFHSFFFTLLTFSLSFHGIFAVDYQVINEATTTPGGIRFTNEIGIPYTKQIMGTINDFIWSVILQEHNPSDQKPVESVNIYIKEYKGAEAITWGDYINVSSIYLEGYQGDVKWEFTSLLYHEMTHVFQWNGEGQAPVGLVEGVADYTILKANYFPPAFAKPGDGDRWDQGYDFTARFLEYCDGITSGFVAKLNKKMRYSFDIKYFEDLTGKPVDQLWQEYKAKYGH >KVI01897 pep supercontig:CcrdV1:scaffold_324:220781:221470:1 gene:Ccrd_019831 transcript:KVI01897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family, basic secretory protein MAPHSFFVYTFLTFSLSFHGIAALEYRVINVAAATPGGIRFNNKIGNPFTKQIMGTINNYIWSAIFQQNDPSDQKQVDSVNTYIVEFDEAAGIAWGNNNINVSSSYINGLVGDLKWQFTSLMLHEMTHVFQWNGEGTTPSGLIEGIADYTVLRANHTQWGFANPGDGDRWDKGYAVTARFLEYCDGITPAFVAKLNKLMRVTYDVKYFEDLTGKPVDQLWQDYKAKYGH >KVI01887 pep supercontig:CcrdV1:scaffold_324:139187:139855:1 gene:Ccrd_019827 transcript:KVI01887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKISFFLPSLAILATLQMIAAVDYTVTNPAAATPGGIRFTNDIGHDYTLQILTASTSFIWNTFQQNTDADRKNVARVSVFIDDMDGVAYTVNDEIHVSANYIQGYSGDVKTEITGVLYHEMTHVWQWNGRGQAPGGLIEGIADYVRLKAGYAPSHWVQPGEGDRWDQGYDVTARFLDYCNGLRNGFVAELNKKMRDGYNDGFFVDLLGKTVDQLWAEYKA >KVI01903 pep supercontig:CcrdV1:scaffold_324:49961:51115:1 gene:Ccrd_019817 transcript:KVI01903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MFTVLLPLLLISTITTTTTAAAAITTLSSDIAALKAIKSSIKPTTIPSYTCLYSWDFTSDPCSPPHVTHFLCGLSCSGNRVTQLTFDPAGYVGTLSPLVSRLTQLITIDLSDNKLSGAIPDSLFFLPNLQTLILGTNSFSGVIPPSISNLKSLQTLDISRNSLSGSLPNTLASLTELTRLDLSFNKLTGPIPKLPKNIIQLALKGNSINAYLQKQAFNELTQLEVVELSENSLTGTIPGWFFLIPSLQQVNLAHNSFTGVEILKPINSNLIAVDLGFNRIVGYPPANFSAYPMLASLTLSYNKLRGRIPWEYSKKTTLNRLFLDGNYLIGLPAKEFFSVKTSISGSFGYNCLKSCPVSSELCLKSQKPWSICQQAYRGKLKPKS >KVI01881 pep supercontig:CcrdV1:scaffold_324:87797:91434:-1 gene:Ccrd_019820 transcript:KVI01881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1168 MSSSGRPAAAAVAGGDLQIVSASSDRTALAALPPLHPTGSSSALVEYTPPAPNQEEEDLEVKLRRIIDCVPVRVNNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDVDFQKRKEVAEFNKRREERLKATEERTAKKRLKRQKKKQKKQEKKMKLEAGDHEEHHKEDKSSDEDQQDSGNEE >KVI01899 pep supercontig:CcrdV1:scaffold_324:282594:284225:1 gene:Ccrd_019837 transcript:KVI01899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWSWISDLPYSDDWSSADSSSELTFHLASSTPDRLSKTDQTTAYTTTDDKSLQFRARRKFTSNSDLLAITFYVSYQEKALWVSDTCQVNSDKTFLPLVLQLLQETISRSPTAHDSITTTCPRSQLQKLKPDSVAWILDSHSPESFSSFFNLVFLARLFWLCACDAPSEVGSLYFKSILTPNIEAFSSTQSPVLRAFFVSAGIDVELCIMRTFGYMLTKWLMLREVGVGLQLLTPSYNLRFSYAGETHGLWVLKGYAPLMATTRCHSNTVNNGYPVFEAKESVLKYALAHQQIEAVIQLEYSVEFKESFILVNARVDNIRIHVAKLGFSKKEENGYMHEKHFPSRVRVWIGPEIGASYVTGLTLGRSTDNIEKETETQKILKGSFGKTKVPKMKTMTRTTTRTKVRTWRWDQDSDGHMAIFDTTLCDNTTGVEIATWQPYSGDDVGGGGGRGNSDEVGQSFQKRYTGANRSFTKSGNWVFGEGLEGVKWRLNKEMEGSVLKWRIGGQIWLSYFPNQVKSSYFETRCVEWCDEVDLPLILDAY >KVI12085 pep supercontig:CcrdV1:scaffold_3244:24976:26081:1 gene:Ccrd_009498 transcript:KVI12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MLLSLALAQTNLSNRMHTTAISVKAEMASSEHNASSESSKAHSVSEIRVFVRKKRLRKSELVTETAVKSEPEDHKLGSLPDIEEFAYRKPSDNLYLGKSKPITKVDETKVKTPPNWEKVLEGIRKMRSSEDAPVDSMGCEKAGSSLPPKERRFAVLISSLLSSQTKDNVNHGAIQRLLENDLLKAETIEKADESILKNLIYPVGFYTRKATNMKKIAKICMLKYDGDIPETLEALLSLPGIGPKMAHLVMNVAWNNVQGICVDTHVHRICNRLGWVSRDSSKERTKTPEETRESLQLWLPKEEWVPINPLLVGFGQTVCTPLRPSCDICIINDLCPSAFREATPKKTKTKKKISKSDVSS >KVI12086 pep supercontig:CcrdV1:scaffold_3244:11494:18738:1 gene:Ccrd_009499 transcript:KVI12086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLKVSDAELVVYLHPSKSNNASQAIFGELGSMLFKFNETFDGVLLAYSASTKNKLAKILPGLNPYFGVRLQARLLLFYPKPDMLLEGKVVKLGEQTIHIIVLGFSSATITEEDIREEFSYKVKHRKEVYASTIDKHHKIEIGTVIRFVVKSFDEETLHISGSLVPPHTGSVSWLDKPAEEASHTKKRKGYEMETDDSLSLKADNRMKKSKKSSSDSLR >KVI12081 pep supercontig:CcrdV1:scaffold_3244:58322:60520:-1 gene:Ccrd_009494 transcript:KVI12081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MADQKVYSNLVPWDELSGKVVMVTGASSGIGRDFCIDLAKAGCKIVAAARRIDRLVSLCDEINGIARAGGPGTSNDVDPTDNVGAVALELDVAAKGPAIEASVKRAWDAFGRIDCLINNAGVRGNVWSPLELPEDEWDSTMRTNLTGTWLVSKYVGRFMRDANHGGSIINISSISGLDRGDLPGGLAYSSSKSAVVTLSKVMAMEMGAYKIRVNSISPGLFKSEITGSLMEKKWLKNVARRSIPLRTFGTTDPALTRLVRYLIHDSSEYISGNTFIVDAGTTLPGVPIFSSL >KVI12087 pep supercontig:CcrdV1:scaffold_3244:5768:8773:-1 gene:Ccrd_009500 transcript:KVI12087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGSTSRTCYSDVLPFTAMVMVECIIVGGNTLFKSATAQGINSYVFTTYVFLVGFLFLLPCPFFIRRQFSFLIVSSLGIMQDPPISNSTSCFNFFCRSSNNQGLKFSIVIKLFLLSLIGYLSQIFGYIGIKYSSPTLSSVMSNLAPAFTFILAFFFRMEKLNLRSYTSQAKIVGTIVSISGALVATLYNGTSVTVSSDSSSLYWIIGGILLASQNFLLSFVLVSQAHIMMEYPVELMVVFVFGLSGLIVAAFAGLIMVRDLDAWNLKPDMMLASIIYMGISTGFLNGLIQVWALRLKGPVYVAMFKPLSIVIAVGMGAIFLGDSLHLGSVVGGIIISLGFYXVLWGKAKEDGSHVDDKEAASSSTQTTSLLQPHEV >KVI12083 pep supercontig:CcrdV1:scaffold_3244:36165:39878:-1 gene:Ccrd_009496 transcript:KVI12083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller MGEAPAFYVDDMQGSFSNGFDLKLTGFETAIAVGNKTYVIGGNDDPTSNGVRIFDKSTGEWVIPTVLGTKPKALEGHLCVLLNQDKILIIKSNSNSNESVWFLEVDTLFIQDQKKKFDTEVVAWSKGVIGDAERPIVISGPSGVGKGTLINLLMKEFPTKFGFSVSHTTRAPREKEQNRVHYHFTKRSVMEEEIKAGKFLEFAAVHGNLYGTSIEAVDVVADAGKRCILDIDVQGARSVRDSSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNAKAELEQGNSPGLFDHILVNNDLEACYEQLKNILGISESMNIPPKTPNKAFDLSVDLSLSKINENILINCGPAEQRVVLDLSSMKGGAPARTRGLNMYALDPITDGANGCYF >KVI12084 pep supercontig:CcrdV1:scaffold_3244:27765:29726:1 gene:Ccrd_009497 transcript:KVI12084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10 MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCSDLVRGAKDKKLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADP >KVI12082 pep supercontig:CcrdV1:scaffold_3244:52454:53750:-1 gene:Ccrd_009495 transcript:KVI12082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MSSYQVVASTSSEDQNPDENVIRDIHALTPSSVSVLPPPTHHPLGSSVSMVTSDHGAFRDDFSSANSTLVVAGSVIGSTGVENDNREEYEVDLHNRSVLSPARNGREVSVPVRSVKKEEVESKIMAWKNAKIAEIMNRFKCEDAIIKGWENEQVQKANLKMKKVERKLEEKKVRAMEKMENEIAKAHQKAEERRASAESIKGTKMARVLEVANLMKVVGRSPVKNFF >KVH87474 pep supercontig:CcrdV1:scaffold_3246:2779:7533:-1 gene:Ccrd_025268 transcript:KVH87474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHSIPSSLSYSMDMNNAAPFHDLHSDDLSSGYLEDALLEFSSSKRRRLLLFNDDHHNQTSFPGYWNSISPQDLDNSYESFCKLYADNNAAVMNGEDRRKRVEETHSTSSSESNKSSIHTHCFSPKKQMLLSSHHSLGGRNEERKKKVVTRVVYPFALVKPGGIKGDITLNDINRRILMPPTRPLKHPVGDFACRPLVSPDGFGLSGKAVVALTRIHTQGRGTITIIKTKN >KVI08228 pep supercontig:CcrdV1:scaffold_3247:11264:16546:-1 gene:Ccrd_013403 transcript:KVI08228 gene_biotype:protein_coding transcript_biotype:protein_coding description:disulfide isomerase MASCRVPGVLLMCVFVILLSTVFSISSASEEEKEYVLTLDHSNFSEIVSKHKFIVVEFYAPWCGHCKNLAPEYEKAASVLSGNEPAIVLAKVDANAEENKGLAEQFEIQGFPTIKILRNGGENVQEYKGPREADGIVTYLKKQTGPASKEIKTAEDADRLIDGKKIFIVGIFPKFSGEEFENFLIVAEKLRSDYDFGHTTNAELIHRGESSVSKATVRLLKPFDELFVDFKNFEVDALEKFIEEGSIPLVTLFDQNPDNQPFLNKYFGSPDEKSKYNEIAGLYKGKGLKFLLGDVLASESAFQVTNYLPHNHIYWSISVLISTHILIFLDDQFFGLTEDQAPVLVVQNNKGLKFINPNVEIDQIAPWLKSYVDGKLKPSIKSEAIPETNDEPVKVVVAKSLRDMVLDSKKNVLLEIYAPWCGHCKKLAPILDEVAVSFEKDADVVVAKLDGTKNDVPSDIIEVRGYPTLYFKSASGSKYVAYEGDRSKEDIIEFIQNNRDAATSESILKDEL >KVI08227 pep supercontig:CcrdV1:scaffold_3247:3577:4947:-1 gene:Ccrd_013402 transcript:KVI08227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFSLLFFIFSLQLLSLSPTLSTSRHLLHDHPTHHPQPPSFTVPLKHVDSGKNLTKFQRLQRGVMRGNLRLERLINNMMASLSVDSSSKVTSPVHAGNGEFLMNLAIGTPPETYSAIMDTGSDLIWTQCKPCTKCFDAPTPVFDPEKSSSFSKVSCTNSLCKALPTSECAADGCEYLYSYGDYSSSQGILAKETFTFDKVTVPELGFGCGEDNEGSGFDQGGGLVGLGRGPLSLVSQLKKSKFSYCLTSIDDDDPSSGNPTSTLVMGSLVSQISNDSVLTTPLLKNPSQPSFYYLSLQGISVGKVDLPIKKSTFAINADGSGGLIIDSGTTITYLEESAFELVKKEFVSQTKLKVDNSDSTGLDLCFELPENDGSGEMKIEIPKLVFHFEDAKLDLPGENYMIGDLNAGVVCLAMGSSSGISIFGNIQQQNMMVVHDLDKETLSFIPTKCDQL >KVH96958 pep supercontig:CcrdV1:scaffold_3248:7224:43994:1 gene:Ccrd_000948 transcript:KVH96958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGRNEVDCITMNPDAAQVADPFHIVEEADDSTHCNERCGHGYHVECLEAWLKEHTNCPLRIARVSGYIVSLFKSLLLKYSSSRFVEFLAEDPPGLLSWPSEWSFNQPLIAANSVISKRVRQISQIRQITPTWTFTAGKNAAAADFYSGDTFNTLAFAPTPDPVTVASPPAVVFLLRRDSGFSFLKAPFSAATRTKLSCKLRPDPTLLICHTGLLRPTWTPPRFGLLGHFLDLDSPAELLLEQGALLTFRLLAGDRGLLPLFPADLLRENGHKFIFSSAIPVPPFLSFFFFSFSLLTEFFLNISFHRLGATNVVSESEMGPEFRSATVVMVGGLMVVSELRGEENTAGEQFIGRMKEGFRARGISDHPELEFRRIRGAASSSTATGGENCGIFHFTERNLEMAIVFREVRMDMM >KVH96957 pep supercontig:CcrdV1:scaffold_3248:42778:43934:-1 gene:Ccrd_000949 transcript:KVH96957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTVLSASSSGRRSSASNSPEFEFWMVRNPSCPETFLHSADELFSGGVLLPLQQLNTRNSDTTINPPTITTVADLNSGPISDSETTLVAPKRWKDMFKKNSVSKEKEKKKNERNGGTGMAELNINLWPFSRSRSAGNSGSRPRSPASNRKVSSAPCSRSNSAGESKSKKWPSSPNRGGVHVGRSSPVWQIKRVGSGRSLHDNLVRVAAEKGAFKKEKPESRRSKKTTAGGDATVTGSGVGAKAKVLNLKVQTCIGYRHHLSCRSDEIQEDKTVRVAGVEIRSSGILPGGEGPGGSNLSNLRNLFAKKVY >KVH91448 pep supercontig:CcrdV1:scaffold_3249:49862:62897:-1 gene:Ccrd_006529 transcript:KVH91448 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDCNREEALRAKQMAEKKMENKDFSGALKIAVKAQKLYPELENISQMILVCEVHCSAEKRSFGSDKDWYGILKIETTADDLAIKKQYRKLALVLHPDKNKFSGSADAFKLIGEAQRVLLDRDKRMMHDSKRRAFGNVSTPSWIPKQPSRQSNVHQHPWNQSNFAQGHSVSENNFTGGRSANFQFSQQRGQTGNRSTFWTVCPFCSVRYQFYRDDVLNKVIHCQSCKKSFTAYELNVQGAAQSHQVPVFPQQNKAPSKGTDGTHNSNFTSKPVFGGQEKEPSKAHGNVNRKRKKKVEESSSESSDDESSSESEEEDVEEHSDSSENESSTVLENKFVPKNVDKNPANEDFGEQPRRSSRPKRNVSYKENLNENDDDATHSNQAKKDPSVEDTFWKAEVTKVVKETQQNNEESYSKPNLVDKLSDNEEEREPEVYECPDPEFSDFEKERKEESFAAGQIWAVYDNDDAMPRFYAYIRRVVSSPEFNLQITWLKAVPGNTDEKGWVEEELPVSCGRFKRGKNDMAEDLPMFSHLVTWEDAKKGMFNIFPRKGETWALFRNWSIKWSCDGTRIYEYEFVEILSDYADDLGVRVSYLEKVKGFTCLFNAKEDGGLLIPASDKYRFSHMVPSCRMSGVEREGVPKGSYELDPASLPASAFEQVTL >KVI11465 pep supercontig:CcrdV1:scaffold_325:44858:47637:-1 gene:Ccrd_010119 transcript:KVI11465 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b-c1 complex subunit 8 MGKIPVKVKAVTYALSPFQQKVMPGLWKDFTHKITHKVTENWIGAVLLVGPVAGVYTYVQNYQEKEKLHHRY >KVI11467 pep supercontig:CcrdV1:scaffold_325:261072:263141:1 gene:Ccrd_010131 transcript:KVI11467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MLFDAIMLNLGVNLAMRHPTRYVLAGCRSALIVMTILSVVVGWAAPNLISPTKCGTVGIQGIAWAFGGMIFALVYCTTGISGLEPQDSEDTISSDP >KVI11463 pep supercontig:CcrdV1:scaffold_325:57465:61747:-1 gene:Ccrd_010120 transcript:KVI11463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYGQNLNFSPARAPSPHIWTNPDIESSYLAELLEEKKKLAPFVQVLPICSRLLNQEILRASGMIPNQGFNDYDRLQRGSPSPLNPIDIMPEVAAKGLGRWNGNAGWSPLQHESQRFGGPQGLPIDHWNAAPASPSSFNTKRVLRLDIPVDSFPNFNFVGRLLGPRGNSLKRVEASTGCRVFIRGRGSIKDPDKDESQDLYKRQQLRELAMLNNNFREHSPQPRGSVSPFGSSGMKRAKTGW >KVI11459 pep supercontig:CcrdV1:scaffold_325:177648:179492:1 gene:Ccrd_010124 transcript:KVI11459 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] EQLKNKQVPLSCFPTIEPPPPSIHSCCSNGNFPSPHPSPNYQTMAATASSYRSPFLPSSLNLHHHHHHHHHQPLQLVTRAISTTNKGSTRTKYRKRAIKCGREFSDFVGGDLVKLDLGKWLSDVEEHKAIAIYSPHEGGYEGRYLNRLRYQGYRFLDLSARGLGDPETTLTKIHPVCPAHIGKQPIARWYFPPEVDYRLSLLPPDAKGLVVWVLEAKVLSKAELQFLALLPTLRPKVRVIAECGNWYAIFWSFYPSTVGFGTKGTLSYRVTKQLLSLVERKFVWTPLKDIAGLAANEAT >KVI11462 pep supercontig:CcrdV1:scaffold_325:127848:132258:1 gene:Ccrd_010123 transcript:KVI11462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSDANSSGGRRSCFFSLANKPTTMTRKAFTLPFLSVELGATPDCESVTKHQTKKGNSGFYKLPFLHARSECASCAIGAFIPKASISIE >KVI11457 pep supercontig:CcrdV1:scaffold_325:225002:229420:1 gene:Ccrd_010126 transcript:KVI11457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad, conserved site-containing protein MEDRNCGHNKVKEASSSCYSSSPAKNIHRIQKTQMYYSFGPHKIDVEDVFYTTDLIFVFVNLRPVLPAHVLVCPKREVKRFADLTIEETIDLWVTAKRISNLLENYHNASSLTFTIQDGPQAGQSVPHVHVHIVPRKVGDFENNDDIYEAIDETEKELAEKTLDLDKKRKDRSVEERVHEATQYRKLLL >KVI11470 pep supercontig:CcrdV1:scaffold_325:291679:294485:1 gene:Ccrd_010132 transcript:KVI11470 gene_biotype:protein_coding transcript_biotype:protein_coding description:A/G-specific adenine glycosylase MutY, bacterial form MKKKPKNSTAAVAGTITKPKKRTRNVCEPKPKPEPGFTTEDIEDFQFREDEVGEIRASLLKWYDVNKRDLPWRRINDGDATDDGERDRKAYAVWVSEIMLQQTRVQTVINYFNRWMEKWPSVHHLAQASIEEVNEMWAGLGYYRRARFLLEGAKMIVEEGGRFPKSVNDLRKVRGIGEYTAGAIASIAFNEAVPVVDGNVVRVIARLKTISANPKDTATVKNIWRLAGQLVDVHRPGDFNQALMELGATLCTPLSPSCSSCPVSAQCSALSDSKHNPSMLVTDYPTKVAKVRQRHDFSAISVVEILEGEEDASKFLLVKRPEEGLLAGLWEFPSVSMNGEADVVTRRQAIDDFLKSSFGLSSSNVIEREEVGEYVHIFTHIRLKMYIELLVLRSRDVHRKEEKAVTSYKYVDTKTLASMGLSTGVRKAYNMVQKFKQTRSNLISR >KVI11456 pep supercontig:CcrdV1:scaffold_325:255755:257248:1 gene:Ccrd_010129 transcript:KVI11456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEPLCHLRFHTRRLLHTIFLIVIYGSTTTHMVVHGGNHHHLHRRRLLEEGDGSLVCDPSLSFENVRIRNAYIALQAWKAVIISDPHDVTTNWVGSDVCNYTGVFCYKALDNPQERTVAGIDLNHKDIAGHLPDHLGLLFDLGLFHINSNRFCGILPRSFLNLKMLFELDLSNNRFAGNFPHVVLELPELKYLDIRFNEFEGKLPEELFDKNLDAILINHNKFSSNVPENIGNSPASVIVLANNKFTGCVPSSIAKMRELDELVLRENGFESCLPETIGMLKNLTVLDLSYNKIKGELPSSIGEMEGLEELDLAHNMFSGKIPERVCLLPKLENFRYEYNFFVNQTENCLKLAGFNNRKNCFREWPEQRTRLQCRMYLSQPLNCSAFGCAVLSPPPAIPPPALPPTPPPSPPSPSPPPPPPLRSQPSPTTPPSHPISAPPSPPPSPPTHIPSPPPPPPFIPPPPPPCECERPKPPQSPPPCDQSEAPESPPPCGDNHQ >KVI11468 pep supercontig:CcrdV1:scaffold_325:259645:260054:-1 gene:Ccrd_010130 transcript:KVI11468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVNSVRTLPVASQEPCAAIKSYHLNLHASSWPRVDWVIHILITEFHSLYWFNQYVEEMRYFENLSKESWAPNLWYQAMYIPDVDVLLNEQNLQLAKVVSQEHRSFVYTVWNPGSEFSLCDCRASRLGQSL >KVI11464 pep supercontig:CcrdV1:scaffold_325:84767:90482:1 gene:Ccrd_010121 transcript:KVI11464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEPPSASILSSNSSRSGLRFRLREIIRAPLLAFLEHSGVLHTRSQSISSSDLEMQHENAIEDGLVQYRDRGGVVNGAEEVSIRIMGAVEEELPPNVVVDGGGTVGNGEEQIDNFSGRRAEELRSGVGVNGVDGQIPSPSATNNRYDIQNVAQWIEQILPFSLLLLVVLIRQHLQGFFGTIWISAVLFKSNDILQKQTALKGERKSFVLISITVIFMLHVVFIYWWYQNDDLMHPLVLLPPKSVPPFWHALFIILVNDSMARQAGMVFKCLLLMYYKNTGGHNYRKQGQMLTLVEYMLLLYRTLLPTPVWYRFFLNKEYGSIFSSVTAGLYLTFKLTSVIQKVRLVFAALRALSCKEVHYGSSASTEQVSAAGDLCAICQEKMHSPIVLRCKHIFCEECVSEWFDRERTCPLCRAVVKPADIKSYSDGSTSLFFQFF >KVI11455 pep supercontig:CcrdV1:scaffold_325:239545:250209:1 gene:Ccrd_010128 transcript:KVI11455 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEWGKRFWQVAVKDEGGLIVFPSIDLLLYNILTSLLEELQASLHLHIEVSLLPFFHYFSESLQYPPSPKSHWDLSVLSYTRNQRSVPANQDPKLIDLLHQAILCSITLLPPFKFFHKFWWIPMNIKLALTPRGIQGPPYSFIHGNTKEISNMRKKSMSLPIDTSHYIFPRVQPHMDSWFFIYVWCYFRHCFMIYAGFKTFLLSAGKNFVYWHGPVAMLVVSEPVILKEIMSNREISMGKQDMGPIIKKMVGEGLISSKGDKWAKQRKIVNHAFHAERLNNEQPTIFHASTDDVVEKDEDKG >KVI11466 pep supercontig:CcrdV1:scaffold_325:12327:18871:1 gene:Ccrd_010118 transcript:KVI11466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGALMSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEMKDELFRLLPNEDLQNAVLLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQACSALTGDGLYDGLGWIAQRVTGKPTS >KVI11461 pep supercontig:CcrdV1:scaffold_325:89578:95104:-1 gene:Ccrd_010122 transcript:KVI11461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGFAKDLTLREEIAIRNPNSNRPNDPSSPAEDGSISSSNPAAATQSLAAQAIRASSAHRDSSLSSAYGQSAISPRQAKSNPARSSYKSSVKDDSTRYDYASMKNLDEPKRGFWGALARKARAIIEDDDVAQQYETPERRRQQMSDTEAKGQYSSPYQLPENRQKADNPALQKGIDAIASSLNYIGGTIGNALEVSMAMAAKAKVLLRELKTVKADLAFAKERCAQLEEENRVLRETGRDGDRPEDDDLIRLQLESLLAEKARLAQENSVYARENRFLREIVEYHQLTMQDVVYIDESKEEVSEVYPVKSFTTNSNIVTPTNTNPHVIGDISSPPVTPTSPFLDQHKM >KVI11460 pep supercontig:CcrdV1:scaffold_325:218921:221864:1 gene:Ccrd_010125 transcript:KVI11460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MSDPAVEDLIVAATEKKIERSTHHTKGSFMEENEEKHSVSRRRHLFGRQKPLHAALGSGKTADILLWRHKQMSGAILVSATVIWLLFEWIGYHLLPFLCHFLILTLAILFLWSNLSSFVNKSPPNFPDIRLSQELCDSIALILKDQINQACLFLREMTSGKDLKRFMSIWWMLMVKRQAMKSWGFSKNFRCLFSRTLSSTEIQKQQLIIIN >KVI11469 pep supercontig:CcrdV1:scaffold_325:295223:303030:-1 gene:Ccrd_010133 transcript:KVI11469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFGMLARDFGFRPQGKSAPMKSDGVDFRSRAPSSSSPFVAEENQIFSDVFGGPPKFTNNNSRSTSAMSDIDYDSIFKNSASTNSNEAKSKSTSPNLPVYDKPVYDDDIFDGLPGMKSKSMHSASARYEDNIFASMTASPPKRSQQSDHFDDLLGNLGRTEKVEPPKHKTSKSPRGLDDLLPGFGSGGPASSSRSNSESAPLSTGNTKETSNGIDDPFVVLESTSTPATSSQGVFIDPLETIHRFSKSASTNAGGSSANGGMFDDLDPLHGFGKPAPAFSNESNKGKDQSPFKEGSGMGSSRSSTRREPPEKSSFTYSESQPQKVPLEDFPESQQTIFDMPAVSKVSQRSVDQTTSPPSYTETSSQVDMSPTSEEYVQPADDIWLTVSEIPLFTQPTRAPPPSRPPPPIPRHASKSERGSFASNTRTVGNDYSASPNSAKFSQSYNPFQPVAKSSMVSPLDELENFAMGGMPDNGEDDADAQYGEEMDSNSAAAAMKDAMDRAEAKFKHAKEVREREHAKASRSKESMKLQKDEVSMEEESKRERQERERQQREREEQEREQSRLEKVRKDIEREKARQAVERATREARERAAAEARERASAEARLKSERAAVQRAQAEARERAAIDARGRAERAAAEARERASAESSERAAAEAREKAAREKAAVARAEADAKRRSERIAVERVAAEARERAAVEARDRAAAAARMNQQKNDNDLESFFNMGSRPSSAPRPRTASDSATDPLSQNRRGQEGAQRTSTTSNMRKASSTTNIVDDLSSIFGAAPSSAGGFQDVEGETEERRRARLERHQRTQDRAAKALEEKNQRDLQSQREQEERSRIGETLDSEIKRWAAGKEGNLRALLSTLQYVLWPGCGWQPLSLTDLITGVNVKKAYRKATLCIHPDKVQQKGANLQQKYVAEKVFDILKEAWNKFNSEELF >KVI11458 pep supercontig:CcrdV1:scaffold_325:234090:235290:-1 gene:Ccrd_010127 transcript:KVI11458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain containing protein 109, C-terminal MWRSSFPLLKQGVTSTVGRCKPTSFGLTRTAFVESNNGLCGCLFSTSGGVGVGRSGNGNGGGSASVEEVNKMMRSVDVEAVKAKLGTGGNEVVRYSELLQACESMGVAKSAEEAKEIVKGLDDAGVIFIFRDKVYLHPHKVMDLVRRAVPLALMPEDDPEKEELKRLQAKQEEIDVLAHKQVRRILWGGLGLSIAQVSLFFRLTFWEFSWDVMEPITFFTTSSGLILGYAYFLFTSRDPSYQDLMKRLFLSRRRKLMKKHNFNVERLMELQKKCKSPLESGMMLETDDLLHRN >KVH87473 pep supercontig:CcrdV1:scaffold_3250:12184:16900:1 gene:Ccrd_025269 transcript:KVH87473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonyl/alanyl tRNA synthetase, class II-like, putative editing domain-containing protein MIEKKIIAWLAIELVGLGAIAGFXVGFFHTHAXRSNFVRIFCVVFGIIMYGSPLTILGSSPRIMKLGDFLGCPCGDTHVSNISELGNMKVQASWSICSIRRANRCITAKNLGLHWLQLLVALVLCVCKAPLLSNLSPSGKIQVIPLGNETSTREEDERIVFGNGRSK >KVH87472 pep supercontig:CcrdV1:scaffold_3252:12048:13717:-1 gene:Ccrd_025270 transcript:KVH87472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGSYLVHTTTKIAALAKSGRVACARKLFDEMSQRDTVVWNTMLTSYTHLGLYQEALLLFHQMTGNSSIKPDHYSFTATLGACAGSCKLRYGQNIHALILAGGYSYSLPVNNALIDMYGKCLSPCSASDFFEEIELRNNVSWCSLLFAYVHSNQFRVAQSVFDDMPNRVNIAWNTMIXGHARXGNIGTCVDLFKKMLAESCDQDQWTFSALMNASAESQEYRIGCMVHAFVFKKGWSSAVEANNSILSFYAQLGDTHRAFIAFQNAPKKNVISWTSMMTGYLRNGNAEKAVCYFVDMIRSSLQPDDFSLGTVLHAXSVMATLGHGKMIHNLAIHHGFHACAYVGNVLVNMYAKCGDIXGSNQAFNDIIEKDLVSWNTMLIAYGLHGWGDKALEIYKEMVASGLKPDNITFTSLLMTCSHLGLINRGRTLFKSMSQIYGISPETEHVACMVDMLARGGYLEEAREMTNMYTRTHGATAKSSEVLFGACYIHRDVDMGAKLGGVLKVLNPQHEMSYVVLSNLYPS >KVH87471 pep supercontig:CcrdV1:scaffold_3253:40920:54227:1 gene:Ccrd_025271 transcript:KVH87471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAINVVQIIRMVTSSSSNSMLGAEIEFGSAMFYVYVGISCFLVIFAGIMSGLTLGLMSLGLVELEILQRSGTPTEKKQAAVIFPVVQKQHQLLVTLLLCNAAAMEALPIYLDKIFNQIVAIVLSVTFVLFFGEVIPQAVCTRYGLAIGSSFIWLVRILMIVCYPIAYPIGKMLDLVLGHNDALFRRAQLKALVSIHGQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKEAMGKILARGHSRVPVYSGNPRNVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKTKGKSRKPPTLEEEPNQGTVSSQYIQSTTRWPSKHGEKAENIVIDMEKAPRVTTQTFSKQGDAGPNGVPYSTEDIEEGEVIGIITLEDVFEELLQEEIVDETDEFVDVHKRIRVAAAAAASSVARAPSVRRLTAQGGQNKQAQTPRKSGEDDSTSSKLQRALVEPLLRKET >KVH87470 pep supercontig:CcrdV1:scaffold_3256:2259:3081:1 gene:Ccrd_025272 transcript:KVH87470 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 30kDa subunit MSRERISILLPIRSFRLGIVFILEIYDKGFLYQPSSTSEKFHRKSKYLYKKSERDKKDAGSFVCLHSITVILYVYGYNYLCFECAYDVAPGGLLAKYGVDQPEEVCIKVFASRRDPRIPSVSGVDFQERESYDMLGISYDNHPRLKRILMPESWIGWPLSKKYIAPNFYEIQDAH >KVI11286 pep supercontig:CcrdV1:scaffold_3258:4300:11175:-1 gene:Ccrd_010304 transcript:KVI11286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQTLVQIAVKLKNTFTRLKLGTTDQGENPNVGTTSLVVKPVLLRRKPMDLDMHELYNDYINSKFGKQIDYSSYLEVFSQPHTILQKLKLTREKAKSWKFILRLLLIYTSYIGST >KVI11287 pep supercontig:CcrdV1:scaffold_3258:10570:15562:1 gene:Ccrd_010305 transcript:KVI11287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MDHEASLGFCDDMGDERDLSHHPSLSHSKTDMYECASLSLVESLGSYDERKTNFDLRHHPNQTSNEWKKDLDSDPLFEDFINHHEDYLLPTSFGKQKLTYCRSKVKKNHKPPADHLFQTEPFSLILPRSIESMCKRSGKKQVDSLESSSELVLRSGMVLLKKYLSLSKQVEIVNRCEELGVGPGGFYQPGYQHGPKLQLHMMCLGRNWDPQTKYSDHYRSDGSEAPPIPDELIRLVTNALQYSQYLINSEDELPMMSPDVCIVNFYATSGRLGLHQDRDESSNSLSRRLPVVSISIGDSADFLYDDTRDVKNAAKILLESGDVLIFGGKSRHIFHGVTTINQDSAPLPLLKQTMLRPGRLNLTFRQF >KVI11285 pep supercontig:CcrdV1:scaffold_3258:51379:54648:-1 gene:Ccrd_010306 transcript:KVI11285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type METSGLSSDAHRGSLASTSTSDHHYHHSRRNNGNNHFSASNFFRSPVSAFLEYMGILQTQRTSHSESSDSLINDENTQNDSPGSGDEEVSIRIIGSGEQGQDRDGGGASSSTIGQIREGTAIQNESLLQSISRTASAATMDTQGDSRSDGVSASIRGAMDSVSGNVDSEAAEGVGVNNSRDSSYQRYDIQQAARWAEQVLPFTLLLLVVFIRQHLQGFFVTIWITAFMFKSNDILRRQTALKGERKILVLASISLLFTLHVAGVYWWYRKDDLLYPLVMLPPKSIPPFWHAIFLVIVNDTLARQAAMVLKCFLLMYYKNSRGRNRRKQGQMLTLVEYLLLLYRVLLPAPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTCVVEKVNAPGELCAICQEKMHSPVVLRCKHVFCEECVSEW >KVI03239 pep supercontig:CcrdV1:scaffold_3259:1732:2298:1 gene:Ccrd_018465 transcript:KVI03239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEIATNSSNHHRLLKSSSNNSFRLRSPSLNSLRLRRIFDLFDTNRDSFITVDEISRALILLGLETDISDLDSMIKSYIRPGNAGLTYDDFVSLHRSIDDLVFGLEDVQEAVGSKEEQEEADLTEAFKVFDENKDGFISAKELQVVLGKLGFTEASEMERVEMMISSVDRNHDGRVDFSEFKDMMKVLK >KVH88832 pep supercontig:CcrdV1:scaffold_326:125557:131275:-1 gene:Ccrd_025282 transcript:KVH88832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MQNSTMNEAGPTKSRFEYGDDDETIEEAATGSSNLDEDSSMCEPGDADKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYKNTFLFKGKVVLDVGAGTGILSLFCAKAGAKHVYAVECSQMADMAKEIVKANGFSDVITVLKGKIEEIDLPVPQVDIIISEWMGYFLLYENMLNTVLYARDKWLVGSGIVLPDNASLYLTAIEDAEYKEDKIEFWNNVYGFDMSCIRKQSIMEPLVDTVDQNQIVTNCQLLKTMDISKMTSGDASFTAPFKLVAERPRSRNTHWKQTVLYLEDVLTICEGESIVGSMTVAQNKKNPRDVDITLKYSLNGRRSVISKTQQYKMR >KVH88840 pep supercontig:CcrdV1:scaffold_326:55278:66503:-1 gene:Ccrd_025278 transcript:KVH88840 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit G, mitochondrial MIEGVMDEMRNWDGGSTTEIGRASSMVEEIGGDKRCADTIFLSHRAQVQSFSLGDVLQVIGERSKGLGSALLSSLSDLSLPRRNESFWKELDHVKNLWKNKQELHVEQAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >KVH88834 pep supercontig:CcrdV1:scaffold_326:90606:108591:1 gene:Ccrd_025280 transcript:KVH88834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P N-terminal domain-containing protein MDSSSSSSLYPPPEVPKKLHLENREKLIKHLRESLDSSSRPLRGFVLLQGGQEQTRYCTDHEDIFRQESFFAYLFGVREPGFYGAIDVDTGKSILFAPRLPAEYAVWMGEIKAPNYFKETYMVSMVHYTDEITEVLNNQYQGSGKPLLFLLHGLNTDSKNFSKPAEFEGIEKFETDLKTLHPILTECRVLKSNLELAVIQYANDISSEAHVEVMRKTKPAMKEYQMESIFLHHTYFYGSCRHCSYTCICATGDNSSVLHYGHAAAPNDRTLRDGDMALLDMGAEFNFYGSDITCSFPVNGKFTSNQRLIYNAVLDAHDVVISTMKPGVNWVDMHKLAEKTILQSLKKGSLLVGDVDEMMVERLGSVFMPHGLGHLMGIDTHDPGGYLKGAERPKEPGLRSLRTSRDLMEKMVITVEPGCYFIDALLVPAMESPKTSKFFNREAITKFRGFGGVRIESNLYVTSDGCVNMTKVPRKVEDVEGVMSGAPWPIKKSIHENGGS >KVH88829 pep supercontig:CcrdV1:scaffold_326:204237:210787:1 gene:Ccrd_025285 transcript:KVH88829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSLAAAQTPTFRTVVIPFSELKDKDADLSVKIEEGFGPDGLGILSISDVPGYAFLRKNLLNLAPRYNFGWSHGNEKLESGKPDLLKGSFYANPILDIPTTEASLIQRYPAYLMKEREMLPNEGIEQIVFRSRCHKGLTYGMFTRDGVEMPCPDSTAGLYIKTRTGQIVKAPKGGKAAGLERSTFALFMQPDWDEKLNFPEAGNVHQEANGLLTFGEYTEKLLDKYYHLKI >KVH88842 pep supercontig:CcrdV1:scaffold_326:273701:290497:1 gene:Ccrd_025290 transcript:KVH88842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MCQQKLKVTYVGVELIDPKSGDLRWCLDFRDMDSPAIILLSDAYGRKNADPGGFVLCTLYGRKSKSFQAASGTSNSSIIANLTRTAKSTVGVTLSIEKSQSLTVAKDGVGAEETPCGGWSVMRLRTAAHGTLGAPGLGLIVGPKGGLGEQGDAVPRQLILTKVSLVERRPENYEAVIVRPLSAVSSLVRFAEEPQMFAIEFNDGCPVHVYSSTSRDGLLAAVRDMIQTEGQCSVPVLPRLTMPGHPIDPPCGRAHLQLQQVSGMSQRSRADMETATMHLKHLAASAKDAVAEGGSIPGSRAKLWRRIREFNACIPYIGVPPNIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVTGFIACLRRLLASRTATSHVMSFPAAVGRIMGLLRNGSEGVAAEAAELIAVLIGGGPGDNNLLMDSKGDQHATIMHTKSVLFAHQGNLVILVNRLKSMSVSPLLSMSVVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLVSALFLPSGERREVSRQLVALWADSYQPALELLSRVLPPGLVAYLHTRSEGEPEDYFNQEVSSMSSRRRRLLQQRKHHAGKGVSSPRNTLSSATNYDVGDPSKPIGAGVFSTQQVPAIQSSAVYTGENLSSELPFTGVQPSDQPIGIASPDVPSAADKPLVESVVSISADSDANAIGIQNSDLTAPAQVVIESTPVGSGRLLLNWPEFWRAFSLDHNRADLIWNERTRQELRGALQAEVHKLDVEKERTEDIVPGSASSETSGQDSLPQISWNYTEFCVRYPSLSKEVCVGQYYLRLLLESGSNARAEKFPLRDPVAFFRALYHRFLCDADTGLTVDGAVPDELGASDDWCDMGRLDGFGGGGGFSVRELCARAMAIVYEQHHNTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLSNVEACVLVGGCVLAVDLLTTVHEASERTVIPLQSNLIAASAFMEPLKEWLFIDKDGAQAGPVEKDAIRRFWSKKAIDWTTKCWSSGMPDWKRLRDIRELRWALAVRVPVLTPPQVGEAALSILHSMVSAHSDIDDAGEIVTPTPRVKRILSSLRCLPHIAQAMLSGEPAIVEVAAALIKAVVTRNPKAMIRLYSTGIFYFALAYPGSNLLSIAQLFSSTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPTAFAAAMVSDSDTPEIIWTHKMRADNLIHQVLQHLGDFPQKLSQHCHCLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEILFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACNILQISLSDVSRDDSDKNYSNGNSEDSSSLTKRIENIDEEKLKRQYRKLAMKYHPDKNPQGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDDNDTNFLSLERAPLLVAASELMWLTCVSSSLNGEELVRDGGIQLLAILLSRCMCVVQPTTPGNEPSTIIVTNVMRTFSSLSQFESARAEVFEISGLVGDIVHCTELELVPAAVDAALQTIAHLCVSSELQNALLKAGVLWYLFPLLLEYDSTAEEPDAAEAHGVGASVQTAKNLHAIRAAHALSRISGMAAESPTPYNQDAADALKALLTPKLASMLKDELPKDLLIKLNSNLELPEIIWNSSTRAELLKFVDQKRATLAPDGSHSLKDSHTFLYEALSKEILIGNVYLRVYNDQPDFEISEPESFCVALVEFISSIVHNQFATEPDTQTSGSTHETLELESNSEEKTVHDSVTSSDGNSTDKEDLGVVGNLQLGLTSLQNLLTSDPNLASVFSSKEKLLPLFECFSVPVPSGSHIPQLCLSVLSRLTTHAPCLEAMVADGSIPLPQRAAAASLLGKLVAQPMHGPRVAITLARFLPDGLVSIIRDGPGEAVISALEQTTETPELVWTPAMAASLAAQVSTMASDVYQEQMKGRVLDWDVPEQASSQQEMRDEPQDPKFPLRNPKRFLEGLLDQYLSSIAATHHDIGPDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLLSAVAFEARCEGPSSDESKMPDASVEGEENPSQASQTPQERVRLSCLRVLHQLAASTTCAEAMAATSVGTTQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLRVGLIEVLLGLLDWRAGGRNGLSSQMKWSESEASIGRVLAIEVLHAFATEGAHCTKVRDILDSSEVWAAYKDQKHDLFLPSNAQIATAGIAGLIENSSSSRLTYSLTAPPPHPNSSKSPSPTAAAIPSEFNGS >KVH88843 pep supercontig:CcrdV1:scaffold_326:293090:298838:-1 gene:Ccrd_025291 transcript:KVH88843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MAVIPEEQNIDHAMDSFPLLVESRGNHENQHVIDLERGGDDDTSSSDASSNSPSHGHSHSPRVSTSQLIRASSSGSNALGRRGEGFGRRRWSPFDTLLWISIELVFTLGQIIASIVVLYISRHENPQTPLFAWIVGYAAGCVASLPFLYWRYLHRNQATEQGSTQVRQTPSEGNHPPEPNSYITISFARSSEEETRPTTSPDTWNGLNVGSNARITMLVDHFKMALDCFFAVWFVVGNVWIFGGHSSSADAPNLYRLCIVFLTFSCIGYAMPFILCGMICCCLPCIISILGVREDMNQMRGASEDSINALPTHKFKLKKNGNNDTKDNDSGIDEGGILAAGTEKERAISGEDAVCCICLAKYADNDLLRELPCTHFFHIECVDKWLKINASCPLCKFEIGGSNENSSSTEDSNQQQA >KVH88845 pep supercontig:CcrdV1:scaffold_326:255203:261825:1 gene:Ccrd_025289 transcript:KVH88845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGNRKDDPIAINSTNVFAALGSLKKKKKSDKEQGSSKKGSSSKKKQDKESKAEKEQVFWAPAPLMVKSWADVDDEDDDDYYATTAPPPVWGASAGMQQDKVKGNETPVEESESEDEGLDEIDDENDEENDHEAEVAAEKEPVVQKPAEKEPVVPKDDRQLSKKELKKKELAELEAVLAEFGLAESSGQEDSRGKNSYLLPSSSSLLVTWGGTREKVENRNGEQEKKDKNPPGGESKNAKKKKKKEKSFKDAAKEQVVSNGPDTENSTSEAATGSQKVEGLSGIDVKEKIKKVVAAKKKKSNKEMDVAARAAASEAAARSARLAAAKKKEKNHYNQQPVR >KVH88837 pep supercontig:CcrdV1:scaffold_326:47404:52653:-1 gene:Ccrd_025277 transcript:KVH88837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MGTKHHSSDTVSDPKKKRRVGFSKIDEGIQPNDCIKIYMVSREEEVGTPESFPIEPVDLSSFFEEDGKIYGYQGLKITIWISSVSFQAYVDISFESTSDAGKGITDLKSSLQNIFADNIVETKDDFLKTFSTESHYVKSMISNGKIIQQNVPSAYSNGLNGNLKTVCSELEVVRVEGSSMGLLYCRLVPLVLLLVDGSNPIDVTDPDWEIYLLVEKKSDQPDHPQKLLGFAALYRFFHYPDSQRLRLGQILVLPPYQHKGYGGRLFQVITDLAVSDNVYDLSIEEPLESLQHVRACVDIPRLLKLEAIQPSLNSVVTRLKEENLAKRTQITRFGPPSEAIEEARKTLKINKKQFLQLWEILIYISLDPIEKHLENFRIIVLDRIRADVIGKDTGAAGKRVIEVPTEYDPETSFVMFKMNGGDESKEIEMEENDEVKQEEQLQKLVDERIEAIKLVAQKVSGKSC >KVH88828 pep supercontig:CcrdV1:scaffold_326:244439:246320:1 gene:Ccrd_025287 transcript:KVH88828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MATNPCQFIITLLLMICFSAPFMAIVNCSDSDSDSSPSTGVGVNWGTMTSHQLPAEQVVEMMRENGFKKVKLFEAEKRIMEALIGSEIEVMVAIPNFMLLDMSQDPSYADYWVDSNITTYAYPGGVDIKYVAVGNEPFLKAYNATYLQITLPALKNVQNALNRAGLGSQIKATVPLNADIYESPESNPVPSAGDFRSEIKDATIEIIQFLYSNDAPFTVNIYPFLSLYGNEYFPMDFAFFDGSNKPVKDKNYLYTNVFDANYDTLVASLTKAGYPEMKIVVGEIGWPTDGDINANVKNAKRFNQGVIKHVLSSKGTPVRKGPLEIYLFSLLDENKKSIAPGSFETHWGIFEYDGKPKYELDLSGSKRNKGLSPVVGVRYMSRRWCVLNPRVKQLDGLAKEIDYACSLSDCTSLGYGSSCNRLSLSGNASYAFNMYYQLQDQNDWDCEFSGLAMVTGEDPSVGECRFPVMIAYGTSVVVHRKVLKVLLGVVEGCIVFLLLVS >KVH88841 pep supercontig:CcrdV1:scaffold_326:67985:70224:-1 gene:Ccrd_025279 transcript:KVH88841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MAIEIVQPSVAGLSKIAVSETHGENSPYFAGFVRFGRKILGIQSRSSKLGEEAGATAANELLTFVLADPGDALLVPTPYYPGFDRDLRWRTGVEIVPIHCESSNNFQVTPQALESAYDHATSMNMKVRGVLITNPSNPLGATIQRKVLEEILDFVTRKNIHLVSDEIYSGSVFHSNEFVSIAEVLESRNYKDSERCHIVYSLSKDLGLPGFRVGTVYSYNDQVVTTARRMSSFTLISSQTQLLLASMLSDNEFTEKYIKINRERLRKRYETIVAGLKKAGIECLKGNAGLFCWMNLSPYLSENTKSGELEIWKTIMEEVRLNISPGSSCRCSEAGWFRVCFANMSEETLEVALSRLHEFMDRRRRDRNLLSVL >KVH88838 pep supercontig:CcrdV1:scaffold_326:22613:23494:1 gene:Ccrd_025274 transcript:KVH88838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide, AGP MEALRMYFVMAVFALVMSLLLPSMNAQSSAPSPAPAPSNDGAAIDQGVAYVLMVVALALTYIIH >KVH88844 pep supercontig:CcrdV1:scaffold_326:235817:241815:1 gene:Ccrd_025286 transcript:KVH88844 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA transferase Trm5/Tyw2 MLDESKFDVHLKLWALRIPREFCKVATKLLNGHMLDRPRIKPITEDPTSEKTRYVILSERVQNPDLSDIPTQNLNELKKLCKIETIPYSLTLGYSYWGADHVLKQVLPPGLEVPSSFETIVNSSHIAHLNIPDELLPYKDVIAKVIYDKNHPRIQTIVNKVGSIANEFRVPKFEVLAGKPNMVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVNKFRQGEIICDMFSGIGPFAIPAAQKGCLVYANDLNPDSIRYLKVNADINKVNSNLHAYNMDARIFMSQLMAVPIGESTHDASALGSSVKDNIDESMKANSEERNAEQVIYLIFLHLEGMVELPGNNLCNQEGDNISPMDKESKVAAAERSPESCKEEKGSVKCADGHVAVKTKGSKNKRIKTSVPFTDKPWEHFDHVIMNLPASALQFLDVFRGLIQRKYWKGSLPWIHCYCFIRSSETQGSVISEAESLLNAKIHEPLFHRVRDVAPNKAMYCLSFQLPEEACIREDVTNTAPGSVGR >KVH88836 pep supercontig:CcrdV1:scaffold_326:40623:45295:1 gene:Ccrd_025276 transcript:KVH88836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLQSSTIQFPRIFNSTISQFNHHNIFPSVLNFINLRTTRSSPCKLLVKVDEGRRPISTDFLNHFKDLEEDEEELHDDDMADIDWDKVEKEFSPNGRSEREEEEMNYERDPEFAEILGASLDDPAKAKDKIAERLRRKKDKILHRKTGSATPMSVTFNKVGGYMQNAVRQGARSLCFLSLKEHAPSVASVQNSMGRALFALFTARTLSQSTTDKRPSYDDIQGANVEPSTFYNISDLEIQDNLARICHVGIKQMVFGGSEFENWRPNLTSEDEGYCVHKI >KVH88846 pep supercontig:CcrdV1:scaffold_326:248142:252183:1 gene:Ccrd_025288 transcript:KVH88846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVKSGQGSSYRERTQEFSSIAERLRKSFPSLNAGVSANNVGVGVGAVAKRTSVFDDPTVEIQELTAVIKQDITALNAAVVDLQLVCNSQNGSESISSDTTTHSTTVVDNLKNRLMGATKEFKEVLTMRTENLKVHESRRQLFSTSASKEPANPFARQHPLANRSTANASTSPPPWVKNSSSSSPLFPRKQADGESQPLIQQQQQMVPLQDSYMQSRAEALHNVESTIHELGNIFTQLATMVSQQGELAIRIDENMEDTLANVEGAQGQLMRYLNGISSNRWLMIKIFFVLIAFLMIFLFFVA >KVH88833 pep supercontig:CcrdV1:scaffold_326:112825:115168:-1 gene:Ccrd_025281 transcript:KVH88833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MGKKMMNFGRFLDGLCISNGGASSCLCCINSFEIQDDDEFEREPFVDQSKDASDKPQTLGFFLKPKMVVLRVSMHCNGCARKVQRHISKMEGVTSYQVDLETKMVIVMGDIVPFEVLESVSKVKNAQLWTPPIS >KVH88830 pep supercontig:CcrdV1:scaffold_326:158658:200760:1 gene:Ccrd_025284 transcript:KVH88830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily STQIEAKFLTKSRRDLFSFFRFSLKNLSISINKNPNLLLHLSLSSSILRREGCLNIMDMDDKFVAKGSCVQSLSSSVQSTPEKNGHSDDASRTAEPLQEFVKSGLRKDLFRTCCDKGKKQSASSKHKMAEASIRMNKKLLKNQDLKKASTSPKCQPSRKQNRKGENPVRIPPVSESCTDTKFSDTWICKNSACRAVLSVADTFCKRCSCCICHLFDDNKDPSLWLECTSDSSHGESCGLSCHIECALQQGKVGVVNLGQLMQLDGSYCCASCGKVSGILGYWKKQLTIAKDARRVDSLCYRIYLSFRLFDGTSRFQELHEFVREAKTKLETEVGPLSGVSAKMARGIVSRLAVAGEVQALCSAVIKKADEFSAAVSSTSLKTKEGSLPAACKFIFEEVTASSVVLVLIELSTALSNDIIGFKLWYSKTTETHTKDPVATFPRSQRRILISNLQPCTEYLFRVVSYTDIGDLGHSEVKCFTGSVEIIQKNPNAVMLPHNEGTSGAKDVESDSGFKVRELGKILRLAWAQERGYLDGISGAALSGLXKIIKPETPKIDELPSTSHGLDLNVATVPDLNEEIALPVDSFRDEENGCSVGRNAEPDNGSGESENWAHHRSNGEVPAVDSQIEVSRKRPASTMEETHDCDSTLINGSPLGTRKGGAGSLDENFEYCVKIIRWLECQGYIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPCSLAGQLVHSFADIINIKRPRNGFCTFNKILTKSRRDLFSIFRFSLKNLSISINKNPNLLSHLSLSSSILRREGCLNIMEMDDKFVAKGSCVQSLSSSVQSTPEKNGHSDDASRTAEPLQEFVKSGLRKDLFRTCCDKGKKQSASSKHKMAEASIRMNKKLLKNQDLKKASTSPKCQPSRKQNRKGENPVRIPPVSESCTDTKFSDTWICKNSACRAVLSVADTFCKRCSCCICHLFDDNKDPSLWLECTSDSPNWESCGLSCHIECALQQGKVGVVNLGQLMQLDGSYCCASCGKVSGILGYWKKQLTIAKDARRVDCLCYRIYLSFRLFDGTSRFQELHEFVREAKTKLETEVGPLSGVSAQMARGIVSRLAVAGEVQALCSAVIEKADEFSAAVSSTSLKTKGETIYREIRLAELLSSDVERSSVIPVFPNLTRTITLCNSTRSLSVASYSIMATAAVDFVKANVTPNGVAVITLDRPKALNAMNLDMDIRYKSLLDEWETDPKVKCVLVEGSSPRAFSAGITNQWVSRITICGHAGMDIKGVVAEIQKDKNTPLVQKVFAAEYSLICKISEYKKPYISFMDGVTMGFGIGLSGHGSYRVVTEKTLLAMPENGIGLFPDVGFAYIAAKSPGEGSVGTYLGITGNRISTPADALYVGFGTHFVPSGTLGSLKENLLSATFSEDPHHDLQAILAKYSSNPESESRLKFLLPQIVSTFGADKSIKEIMEELEKHRQGADATEWATDSLLGIKKGAPFSLFLTQMHFSRVASACRKNENELSRLNGVMKTEYRIALRSSIRSDFAEGVRAVLVDKDQNPKWNPSSLEEVKTSEVEALFKPLDSAVDELGV >KVH88839 pep supercontig:CcrdV1:scaffold_326:30119:38683:-1 gene:Ccrd_025275 transcript:KVH88839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30 MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSENCTASDDDFFVVNQATPAKEKEAEAEAVAVAEFRWFESAEE >KVH88835 pep supercontig:CcrdV1:scaffold_326:10765:14287:1 gene:Ccrd_025273 transcript:KVH88835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 MSKKRGLSLEEKRERMLQIFYDSQDFYLLKELEKLGPRKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVSKKLESELQSSKKRHVELVQQCESLKIGREDSDAREEALSELKAIQEKYNKLKDEMGQYADNDPATFEAMKEAIKVAHEAANRWTDNIFTLRQWCSNNFPQAKEQLEHLYNEVGITDDFDYLELPAVIPHKQVQEQILEATR >KVH88831 pep supercontig:CcrdV1:scaffold_326:138329:141143:1 gene:Ccrd_025283 transcript:KVH88831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MANSLIFFLFPLALLISISTSIPNPNKPSSNSLTAHSVLTSHGFPIGLLPTDVLSYDLNHTSGHFSVNLGYPCRLTLPPDNYLATYSKKITGKIVENRIAELNGIRVRAFFQWWGITGIKINGDDLVFEVGMVTAKYPAKNFDESPQCEGKKRSSS >KVH96959 pep supercontig:CcrdV1:scaffold_3260:1361:5398:-1 gene:Ccrd_000947 transcript:KVH96959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILSLYRSLPSCRFSLQDDYPGALPLSRCRLLRFLIRFIFTIATAVVIVPIGXLFFVSGLIVNLIQALIFVTVRPFSKSIFRRINRMVAELLWLELVWIVDWWAGVKDVMCSLPFNANGDVQVVDEDKERLN >KVI06853 pep supercontig:CcrdV1:scaffold_3262:13616:13987:1 gene:Ccrd_014791 transcript:KVI06853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDLQLRQDLPAIRLTSLKIKLPESLPQLDSTSEESCTIQLEEQQLEECRTPTSPEHRIPQIITCPPPPKKQRISGPSCKRRISEFQFFEIVARDEVESFFRSSYEFINQNSNTNKRRRSPL >KVH89638 pep supercontig:CcrdV1:scaffold_3264:41959:42254:1 gene:Ccrd_008370 transcript:KVH89638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTYQWKEMLMLVIELFPLSTIPSSTQLRAHFNCLGYVLPKR >KVI02967 pep supercontig:CcrdV1:scaffold_3265:25215:29713:1 gene:Ccrd_018741 transcript:KVI02967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MIDEDKPIKPCGQALIPHIMTTKPTADVAKEKKKPSPTIEHTSEEDEEIVKMVVKGSIPSYALESKLGDCKRAAAVRREALQRITGKSLSGLPLDGFDYDSILGQCCEMPVGYVQIPVGIAGPLLLNGTQFSVPMATTEGCLVASTNRGCKAIFASGGATCILLKDGMTRAPVVRFGSAKRAAELKQFLEDPMNFDTLAVVFNKSSRFGRLQSIRCAIAGKNLYIRFCCSTGDAMGMNMVSKGVQNVLDFLQTDYPDMDVMGISGNYCSDKKPAAVNWIEGRGKSVVCEAVINEEVVEKVLKTTVAALVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNIESSHCITMMEAVNDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASTDEPGSNSRVLATIVAGSVLAGELSLMAALAAGQLVKSHMKYNRSTRDMTKLTSS >KVI03388 pep supercontig:CcrdV1:scaffold_327:39534:40309:-1 gene:Ccrd_018314 transcript:KVI03388 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAR1-like protein MTFSLVLLFAASSLFLHGTTIHGEIICEDLTKDNCSFAISYTGKRCVLEDFEDKKGKVEYQCRTSEVVVEMMWNHIETDECVRACGVDRNATGISSDSLLDKNSIVTLCSPPCYQKCPNIVDLHFNLAVGEGVSLPDLCEQQRSNPDCAMIDLLSSGAASGPVGSEASRLFSAAPSPFSL >KVI03384 pep supercontig:CcrdV1:scaffold_327:6551:7391:1 gene:Ccrd_018311 transcript:KVI03384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MATSLFILFICIFLHRFFTSYGVQLILVNNCRESIWPGLQGGAGHPAPKDGGFHLGGAEEVVIDVPDKWSGRIWARQGCHFDSNGKGSCVTGGCSGQLLCHGTGGEPPATVVEMTFGSSTSPLHFYDVSLVDGFNIPVTMAPVGGGVGCGRAACEVDLNICCPSALEVKIGGQVVGCKSACLAMASPKYCCTGEYANPKACKPTLFAHLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >KVI03389 pep supercontig:CcrdV1:scaffold_327:70704:73265:-1 gene:Ccrd_018317 transcript:KVI03389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MDEFLLCHEHVCELSPLIPSANSDNKNGFLGDSNEFLLCDEVWDVSPVPVPSNYSRRPPFDHDDQTQKLYGNNSVQPITKEDFEHSFDTYLRKEMKYMPESGYKDFLESNRFVASCRIKAIQWFIHSQRRFNFYIGTVYNAVNYIDRFICINQCHFRILRLGFIMNAIINVGFVLMKGWNHWMMELLSVASLSIAIKFGETGLPSLHEMQEGLEYCFEAKLIQRMELKIMESLGWELNCVTPHSYVELIAWELNSVIKPYVLDQLTSRLNDDLLASSLDEALLVYRPSVIVMSGLRLVLDDFFPSTRQDYLSQLTSFIPPDQTQNLQTCCETMHQILVRSRKSEASSNPSSPDTVLTKEQVAIREGQVDLSFMEGPDKKSKLKRKRGEEDDHCVMIKKHQKSVN >KVI03390 pep supercontig:CcrdV1:scaffold_327:63343:67686:-1 gene:Ccrd_018316 transcript:KVI03390 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MFNLATKLARRPRGFSHSPPVVRLFSTQLHDQFKPNPVALQMIDYAISLSRSQKSVCVDESYGQGLLVLEQCESNQHDANSKGLVLLAMSTILSERGNLVEAIEKLNTIKDLKVSSFPLRVAATEALAGLHLESGEDDTSSVIADVCLNDLDATQSCFKGVEDNLGVGNGVLSYAEFLHATRDFATAKQLYQNVIQGIPENKDYSDPYKLAAGPHHPKIGIILTCIALMFRHKAKLERSSSLMVQEVDNLFPLDVAVEQAKVYRKDIIALAR >KVI03385 pep supercontig:CcrdV1:scaffold_327:27225:29338:-1 gene:Ccrd_018313 transcript:KVI03385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin/actin-like conserved site-containing protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDFEQELETSKTSSAVEKSFELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQV >KVI03373 pep supercontig:CcrdV1:scaffold_327:202193:202720:-1 gene:Ccrd_018325 transcript:KVI03373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEIKNTGSTGPSLNLLCTSNGDFGQESRSYTCTFCKRGFSNAQALGGHMNVHRKDRARLQEETLITTEPTKGTNSMDQGEAQSSSDEKGDGVLKRSWTFREENSPVSPSRKKDHGFDFQKPTLQLSLCIESSSTTDSCIRSHKVSSLSSSSTEVDLELRLGMDSEATSRDHIIRD >KVI03378 pep supercontig:CcrdV1:scaffold_327:175738:177106:1 gene:Ccrd_018322 transcript:KVI03378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3594 MDGSPRRRLILAAYCLQEKENLCLYGFPSEQWEVNFPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADR >KVI03387 pep supercontig:CcrdV1:scaffold_327:46709:48824:-1 gene:Ccrd_018315 transcript:KVI03387 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MTGGGILEVILVDSEGIRAKKFLGCLVCFGTSAVNKPYVCVEYGDQKRISKVAEEKGKKSIWDQKFEFEVDHAAESNKPSEKLVFHVMNKHKLSDDAYVGEATIHVKDVVLLGMEDGEAELGSRKYRVVRQDKSYTGDISVAVTFKRKDGVNTTEIRKESKPCK >KVI03380 pep supercontig:CcrdV1:scaffold_327:282082:286039:1 gene:Ccrd_018328 transcript:KVI03380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory factor, effector, bacterial MGMVFGKVNVETPKFEVIKSSAGYEIRQYSASVTAEITYDPTQFKGDKDGGFKILANYIGAFGNPQNTKPEKIAMTSPVITKPEKIAMTSPVITKPEKIDMTSPVVTKGDGEKMMTMQFTLPEKYKKAEEAPKPVDERVVIREEGERKYGVVTFSGVASEEVVAKKVEKLKKDLERDGTTPMLILVASKRRNVNGLGEVERGKDGKLCHGLFVVVELQHPTNRNGDLEEV >KVI03381 pep supercontig:CcrdV1:scaffold_327:294933:298837:1 gene:Ccrd_018329 transcript:KVI03381 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MERRMVSMNWDQLDDLDDDDEFYESTNRISAVVPLDVPLSEFDYNGEDDQEFDDSRVSFSNNVSCKEIQNVTVAAAAASSPQYEMWMMAPGSITDRRRKLLQGMGLNSNKDFARISTTKLNKAMTKRLASRLPAVSSQDVNIENQKTISRTSVEKESSTNLEGLNKEEQKQPQLQPQPQPHPKPQPSKPQPQQKPNPQPQPQPQPQPQPQPQLESQPKPEPQAQAQAPAPAQNQDQAQPSSSCPVLVVRCRSDSDIDTSSFNTKRRKEEMIGSISKHRLTRTSSELIAPSVGLSCQYANVLRVCTELPKKPSSVAAIKKKNAHQPTSSERFDSFFLIKNLDTGKEFIVKEYNEEGVWNKVSDLQTGKQLTMDEFEKTVGHSPVVKELMRRASRKRNSNSSKLASTNSYLSKSFRNGKKKGAAILKNLKSSVSGSSKTEKEKDNSVTTSTTDSSSSSSQTTGEKKTTGEKKTSGEQKPTEEQKPTGEKKSTGEQKKQKTSEEQKTTAENKSIGEQKKQKTSKEQKTTVEKKTSGEQKTGGGNKTSTDKNSTSEWVKARAHGKPVKEFSALHLCQEIQAHDGPIWTMRFSIDGLYLATAGEDKVIHVWEVQECDVMSMRPAGDDKSSGVSSDNKPPLPDNSAMAATEKKKKGKNSKKKGEVPDYAKVPEAMFGLSEKPVCTFEGHQEDVLDLSWSRSQLLLSSSMDKTVRLWDIETKNLTCIQFNPTDEDYFISGSLDTKIRLWSIPGRKVIDWSDLHDMITSLSYSPDGQGAIVGLHKGSCKSYSTIDCKLEENDQLQLHTKPKAEPKKITGFQFSPTNPSEVLVTSADSRIRILDGSHIINKLIDGRYIMCASEDSQVYIWKHEKPKNVGAAKPKSVTTTSYEHFPCTEVTVVQPWHGSSKFQRLNNETQSKGHSKRSGRLDPTVEDSEPPSDASVAASECGDASSQSDSGRHGNSNNVQSTAWGLVIVTAAAGGEIRVYQNVGLPVKVGLQTNLF >KVI03386 pep supercontig:CcrdV1:scaffold_327:8772:13420:-1 gene:Ccrd_018312 transcript:KVI03386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGPNWDGLLKWSIANSDGTGTGRNLSEEDRKWFMEAMQAQTIDVVKRMKEITLVMKTPDNVLEEQGVTATDIEDMLEELQEHVESIDMANDLNSIGGLTPLLNYLRNSHANIRAKAAEVVSTVVQNNPKSQQLVMDANGLEPLLSNFASDGDITVRTKALGAISCEKALNLIQYLLQENPSDRNVVTELGFPRIMTHLASSEDGEVREAALSSLYELSKDKTGEADNSVHVDDEKLKQVLQDRINNISVMSSEDLAAAKEERQLIDSLWTTRYNEPSSLREKGLVVLPEDNAPAPDVVSGLLESPLRAPNRPAGNGKNSEKREAPLLLGP >KVI03372 pep supercontig:CcrdV1:scaffold_327:186903:188437:1 gene:Ccrd_018324 transcript:KVI03372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSANWTLFTFSCSLTSIFPYSRSLLIVIFGCSKVGGPSREFTSLFPMFSTPIPVIHFHTPSNIVSSENTSTNTNSVFASRRPVELLHTTVTNKRGIQSRKVVTRDNNRHSRVLLFIVHARKLNVGWVISNVHKRSVNHLVVHSVLSRSIHPTSTCIEIVDEQHTHFPLTDYICCLAVHQRSRFLVLRHSS >KVI03374 pep supercontig:CcrdV1:scaffold_327:261906:263183:1 gene:Ccrd_018326 transcript:KVI03374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKHQSKADLAKKQKIIEDKTFSLKNKNKSKNVWKYVESLHQFVQSKPDPKSMPRLKKEDEEKAKEELNDLLKIAASQPKVLVVLKELFASLGFHFDVFLFVPVLIPNIYYVSFIKPGSVQRALSASSHDLNIQRKREKIEL >KVI03379 pep supercontig:CcrdV1:scaffold_327:186079:188435:-1 gene:Ccrd_018323 transcript:KVI03379 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MMSAGELESGNAGEPAKLIRQRYREAADIISKGKMCVLFINDLDAGAGRMDGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGKAAQQGNVPVREGCTDPSAENFDPPARSDDGSCVYKF >KVI03375 pep supercontig:CcrdV1:scaffold_327:266917:278952:1 gene:Ccrd_018327 transcript:KVI03375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MDKPQLPSPVMAILQFLPSLPSLHPTACFRSFPTIYIPRASINGDHTVSSPPKPARRGRKKSTSTATTTPPTKSSSHTKKSETEVNKNEKEEEEVDDFDDGVDFPYEDPPLICCFGAAQKEFVPTVRVSDQQMHPDMYSEWKMLQWDPPEFARAPGGPPSNVAIAHARLGGRAAFMGKVGDDDFGHELVLLMNKEKVQTRGVKIDGNEKTGCAYMKIKFDGKGKMKAEKVREAAEDSLMSSEVNLSVLKEARIFHFNSEVLTSDSMQATLFKAISWSKKFGSLIFFDMNLPLPLWKSRHETRKVINNAWEQADIIEVSKQELEFLLDEHYHERRRNYQPQYYAETHAETKEKGKDYYHYTREEISPLWHDKLKFLFVTDGTLRLHYYSPDFDGVVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTSQPEMFVNQDVLERQLRFAVAAGIIAQWTIGAVRGFPTESAAQNLKEQVYVPSMW >KVI03376 pep supercontig:CcrdV1:scaffold_327:137499:139526:-1 gene:Ccrd_018320 transcript:KVI03376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MILIQPHLLLLLLVAGAVGSSTVSADDDGFSFILHDSELDGVREIQPDGRVVHDNETQMFGVGHTFYSRPFRFKNSTAGDAFSFSTSFVFGIVPENPLYTFHGMTFAIAPSKQVIDAASSQHLGLFNRTNDGNLSNHVVAIELDTFRNLELGDMDGNHVGLDINSVVSVFAVSAGYYKDDDGKFENITLSSSQEIRAWVDYDGVKKQLDITLAPLKLKKPLRPLVSWKKDLSPFLLQDMYVGFTSATGVLKQTFYVVGWSFQMNGEAQELDISKIPPLPLKKKSTRKKRLILAIGLSVGGLLVLSSVTIVTIVMFQIRKRKYAEVLEGWEVQYGPHRFSYKDLYTATKGFKESELLGKGGFGQVYKGTLPELGAPVAVKKIWHESGQGMKEFVAEIATIGRLRHPNLVRLLGYCRRKGELFLVYDYMPNASLDNFLFNSKPESSLTWNQRLKIIIDVAEALAYLHEEWVEVIIHRDIKASNVLLDAELNAKLGDFGLARFGNNGNDAKTTHLAGTLGYIAPELARKGKATTATDIFAFGAFCLEVACGRRPVELQGRQDAVILVEWVMECWFKEELLKAVDPKLMNEFDREEMEMVLKVGLLCSHSVPAVRPSMSQILQFLRKSSPLPKDLDSVLEIREDYSGRLGDASTSTYFSQIQYATASAPITEAFISVGR >KVI03383 pep supercontig:CcrdV1:scaffold_327:82074:83145:1 gene:Ccrd_018318 transcript:KVI03383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MDEFLLCHEHVCELSPLIPSANSDNKNGFLEDSNEFLLCDEVWDVSPVPVPSNSSRRPPFDHDDQTQKLYGNNSVQPITKEDCERSFDTYLRKEMKYMPESGYKNFLESNRFVASCRCKAIQWFIHSQRRFNFYIGTVYNAVNYIDRFICIHQCPGWNHWMMELLSVASLSIAIKFGETGPPSLHEMQEGLEYCFEAKLIQRMELKIMDSLGWELNCVTPHSYVESITWELSSVIKPYVLDRLTSRLNDDLLASSLGTYSIIIFDVI >KVI03382 pep supercontig:CcrdV1:scaffold_327:111648:128822:-1 gene:Ccrd_018319 transcript:KVI03382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar import/degradation protein Vid24 MPVRMVESPAPSNSSGANPGQTSLQPCSLLSVGQAFSGTQNVSSPQKEEAWRVNVRIQGCDLNHGYLCGTMEALNVPMADTPRWKEQYFVNVGADCGLTIAGFYYVCFSCVDGSINGYYYDPNSSPFQKLELKTTNEGRSGFSFSSYELQ >KVI03377 pep supercontig:CcrdV1:scaffold_327:169297:170105:-1 gene:Ccrd_018321 transcript:KVI03377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTKRISRESLKRMLCFLTLLNSWDVRYILKRITIELFEGTRLLFLSVVYISVLRYWAHFSCHGRREHRKRAYSRSQTESPTYENKLARTHGDES >KVI04663 pep supercontig:CcrdV1:scaffold_3270:48487:62438:1 gene:Ccrd_017018 transcript:KVI04663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKDEEESTLNEGEAEIAITHAKRLIQSGVHASDIRIITPYLTQVVLLRTLRTKEDKLKEVEISTADGFQGREKEAIIISMVRSNSKKEVGFLSDRRRMNVAVTCARRQCCIICDIETVSSDKFLKQLIEYFEENCEYSSGSRYGNE >KVI04664 pep supercontig:CcrdV1:scaffold_3270:45288:47263:-1 gene:Ccrd_017017 transcript:KVI04664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSKIATGSVEHVKELSLVEVTPWKMTTTSDPGMLSPLSEFWTSPTVIAEVDWASNEKVSLTSKEKGVYTNPNPSEKVKLTSLQSPLEIVGRVRSRSVDECEPWTNIEAPAFDLGISPSKEQVIAFVWIILLEIKIRILIKARHDDNAPTERGAWGLEGIRGNGSGSYQDKLAIS >KVI04665 pep supercontig:CcrdV1:scaffold_3270:21687:28351:1 gene:Ccrd_017016 transcript:KVI04665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MGSGTFVDGVLRWFHHHNRRSSSVTTASNPDGFVNLDSESKSLPSSSSSSSTTHLTIVEDFDLSALKVIRVPKRISFPPAFTSSVHMDHNRKLETEFFTEYGEASRYQVQEVIGKGSYGVVGSAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLKHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGRPLFPGKNVVHQLDLMTDLLGTPSSDSISRIRNEKAKRYLSSMRKKPPVPFTHKFPNVDPLALRLLERLLSFDPKDRPSAEDALADPYFQGLANVEREPTTHPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLQEYLRSGEQTSFMYPSGVDRFKRQFAHLEEHYGKGEKSSTPPLQRQHASLPRRVVICSRNLIYIFFSCYWSLTCLVFSRERVPAPKAETSDAQENDYEKRTVAMTLESPREGSENAAAQNGPSSARSLLKSASISASKCIGGTGTRDPEVTFIYNFDLLGAEEVQLEEVDGLTQKVAALKA >KVH89275 pep supercontig:CcrdV1:scaffold_3271:51215:55989:1 gene:Ccrd_008739 transcript:KVH89275 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MWYEERFQKDKKTSNPKFMSCCSEGRIKLPLLPPTPQELDYLLSFESGKKCVNFRKEIRTYNSIFTFTSLECRVDSKINCAKGPYIYRVGGQNYHTIDSLLLEIGKKPQFAQLYIYDTENETNNRIEAMRRQFGVDGLDLQITQDLSTMLEEHNVLKCTKSLEKNNATLSEDIATLQCKKYRAYAMQLTNDQIEAHTLFEIEAIILKMGKSLKYIDEMPLPNNELLRAFRNILVNEELDYCTLDLKVAHDIFLLHTGIFPETVGDPIESVIEMIYHYLLENCNSPSYITERAILTPENDMVQELNTFIIDMLPGEGKTYLNSDTVCKGSVQTNDEIPLYPIEFLNSLQFNGIQNHEIHLKVGAPVILLHNINQTEGLFNGTRLIVTLLGTWSVRVIVFG >KVH89274 pep supercontig:CcrdV1:scaffold_3271:15519:28671:1 gene:Ccrd_008738 transcript:KVH89274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGENLHLHWNSSDKSVMEMYLYVILEEGFSHDKNGTIDCLTAVEDVEIVTGVLNFATSSASKVYINLNIDYMLALADRFANVCPRLHLGVSSGKVKKTVEEEMFENQMNIQQLLQGEWNNKPKVFDPDHVLEKEYKPVDPTTFE >KVH89273 pep supercontig:CcrdV1:scaffold_3271:58226:58870:1 gene:Ccrd_008740 transcript:KVH89273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQTLPENRPPPPPPAAIDYSSNVDTSRAFRSVKEAVAIFGERFLTGEIYLPSSKPPFTVPKQETPSWKSTDSSQSSWKSCSSSRESQEESSSFLVASMKKIQSELEETKKELKLLKERESETEVALASLNAELHKNMSKITKSDHSEAAEKAVASGGGGGGGGGGDRMMAERKRVKKKPIIPLLGDLFPKKKGKPNASILNPLYSSSQMHWI >KVI08466 pep supercontig:CcrdV1:scaffold_3275:4091:9774:-1 gene:Ccrd_013158 transcript:KVI08466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MWSSLLSSSPIFTHPVSCSLHEVCGKQRFCGVFWRRKRNLMKKMVVVKAGAKRVCFDKECREGLVAGIDKLADAVSVTLGPKGRNVVLSESGTLKVINDGVTIARAIELSDAIENAGAVLIQEVATKTNDLAGDGTTTAIVLAREMIKSGLLAVAFGANPVSLKKGMERTAKELIKVLKKKTIPVSKRDDIKAIASVSAGNDEFIGSLIADAIDKIGPDGIISIESSSSSETSLMVEEGMKIDKGYMSPHFITNENNSSVEFENAKVLITDQKISTVKEIVPLLEKSTQLSVPLLIFAEDISMSVLETLVVNKTQGLLRVAVVKCADFLSGDLGLSLEGATSDQLGIARKVTITSSYTTIVADPSMKAEIQARISQIKKDLSETDNSYLSRKLSERIAKLSGGVAIIRMELEDRKLRIEDAKSATYAAMDEGIVPGGGATYIHLLEEIPSIKKSLQDPDEESGANIVASVHFPPFSMALQAPARLIAANAGVDGAVVVEKIKTLGWEFGYNAMTDTYENLLAAGIIDPCRVSRCALQNAVSIAGIILTTQAVLVEKTKQPKPPVPLLPGIHP >KVI08470 pep supercontig:CcrdV1:scaffold_3275:33435:36959:-1 gene:Ccrd_013160 transcript:KVI08470 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methylase, N-6 adenine-specific, conserved site-containing protein MPPRIAQIRLVSSHPQVYEPCDDSYALVDALLADRTKLLEHHPTICMEVGCGSGYVITSLALILGSEARNAYYFATDVNPYAATVTRETLEAHGVHAELVTTNIASGLEKRLSGLVDVMVVNPPYVPTPDEEVGSLGLSSAWAGGENGRNVIDKILPVADNLLSDKGWLYMLFLAENDPLQICLQMRDKGYGAKIVVQRSTEEETLHVIKFWRDPDIQMEGNEANLTPKTAPQRGLEFLLSHISRLSFRERQ >KVI08468 pep supercontig:CcrdV1:scaffold_3275:49608:62742:-1 gene:Ccrd_013162 transcript:KVI08468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase domain-containing protein MIRSIIKPFFEYPFSRQHSTLNKLFSSTSSLTDSIGVYYSDNAVTEDLDSQLRQLLENPNPQYVDAVTLFERSADLGLIPSSPTCNFIVETLGRNKKHNLVLQVYNRMSRIGVGPWFLSYGAVIESLVRFQYPEYAISVLGLILKSGFSVNVYLVNLVLNSLCCKNKVGDAVGLFREVSRYGVAPDECTLNTLVKGLCKTCKYDEALALTGEMEEAGCAPNFVTYNILIDHLCRNGEIGKGKEVFDDMTSKRIFPPIGIYNSLVYGLSKEGKFKEAMSILTAMMGKKILPDVVTYTCLINGLCHNGKAKKAFDILNLMIESGQEPSNITYMHLIVGLCNEGLLVDCFKLLKMMMEKEKQPTTEFFNKLVKELCDQRKVDVALRLYDMLIDKNDVKPNVMTHNLLIQGLCKENRISEAAEIYQKMRTVKISGNVVTYNSLIDAYLRGGEVEKAMGIWKQMLQLGFSPNSYTFSLIIKGSCKLGMINIAKGIFIRMRRNHGLAPDVCDFNALMSALCTEGSLEQATMLFQEMGNKHCKPDVTSFNIMIDSSFKARNTEYTNKLLLMMREMGVDPDAYTFSILINRYSKLGDLEEAWRMLNMMVTWGFTPDKYVYDSLLKALCEKGETDKIFKLLREMAAKGIVLDSKLTSTILTCLCLTTDDINLMELLPKFSQETSEVGIKCSELLAQLQTPSSNSDQDCNQHNLQHAAPQLPQRLATLLTSVGLNYFTFPWLSSLKHYRVYCRLKTMLRKLRSRRRPTYGTHVCALIAALLLLLSVSILYSRLSSDRHSHRPRHHQTDELTFDPLVEEADPDDRNSSEDRIDELDDAVQEDRDSRVQDEEDGDEDETDQSRVSKYYFDHVQGVIRRAFDKRSIDQWEDYASFDSNWDGTTTSINLAFSSDDVPLDDNVRRKVAEVKGIEDALLLKAGNKVSPLREGWGDWFERKSDFLRRDRMFKSNLELLNPLNNPFLQDPDGAGVTGFTKGDRLVLKRIINEFKKVPFTSKKPLGVSAHNPESESKGKNRSLEDGEGTNLKVENVHEDSKSEMKIAERRTLDDNVNNRAERIHQVMDKSSNLKATHGNGGIKSEFSGQIYADGKRWGYFPGLYPRLSFSNFMDAFFRKGKCLMRVFMVWNSPPWMFTVRHQRSLESLLFHHPDACVVMFSETLELNFFDGLVKDGFKVAVAMPNLDELLKDTPTHEFASVWFEWRKTKFYPTHYSELIRLAALYKYGGIYLDSDVKVVRPLHSLSNTVGLEDELSGSHLNGAVMAFRKHSPFIMECLTEFYASYDDTSLRWNGADLLTRVGRNFLHEEKNQMELKLQPFFAFFPISHTNIIRYFTPPATDIERADQDVLYQKILNESLAFHFWNSLTSSLVPEPESLVARLIDQHCIRCSDML >KVI08469 pep supercontig:CcrdV1:scaffold_3275:40022:43676:-1 gene:Ccrd_013161 transcript:KVI08469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Development/cell death domain-containing protein MGYKDNIVPGWVPHSGAIFTSNIGMKKMCFRHKLFGLPSAMSDFVLHVKKGMILFLFEFERRQLYGVFRATSDGEINILPNAFKSSGRHFPAQVRFTSVWTCNPLDESEFRYAIRDNYFSGKKFNFGLSEDQVYKLMMLFHAKRIPKNHPERNIWRHGDKPAVGDGRFDDHRMNLFRDRLGFISKEDRHGLDICEYLGDEVKEVEDHLYLNANFVEEHNNFNCGRGQRNRTVTVGRFQEDNGDRFLTNYITQSDDFGDHSTRQAILGSHLDHALGGLRRVADAGSHDVNDGHNNLAEIRGITNEDRFFMMEKIKVEHDIEDDRHIFNKPHVKHLGKIGAASSDAKFLMDDITSKSKEFEDDNRIPNEYHVINDFWQTINDGRFIGYESEQGTLNQQGTTGVWTKPNYDEGSTFRSRTLTEHPLLRPGAAGIKPRYDYKDFGFGDVSPTNNSIRGVVSTNYIAFSHCKQSAMNSSGNPISEAQDSSISEQLRHPSEFSNIGKTYDCGLPLSTCCDTRMITMPTLYDSEAPDIRLRPSAGRSSSLVDNCPFYPSSKDALLSKNHDKVSCHEARGTQLVDENRVFKNDAFEGSFFSERASLSLSQSETAENVIKSHRSHGFSSRMSLLSGYDSSYLGEPRSKYIHKVSESSPASKMISLSDAGLCSRENDPIRHHKISRQPHEDKHLDGADKRVSVFARLSLAPEAVSHEQEFDSGEHEDQDSLVNNVMEMLEKVVSGPIKRTGKSISAFKHHHDDVMASKIVDYELSKTMMEPDADLADETIEGGSESILHETRLVDFKRRKKANKNLDDKSEENTAGPIESERSAGNRVSEPDVGSVETNSSMCMPCKRRRLVRPNFVRNESTRDAKNSLPTCNENQPLKDDSNPTEAIESLEKVEVSIKSTRVSTGCQKDVXEGEASSEVVGDGNAENGQVSDGNGREQSQEKRDVDGMTRVGSPKGDRTTCGWIEW >KVI08467 pep supercontig:CcrdV1:scaffold_3275:16307:28920:-1 gene:Ccrd_013159 transcript:KVI08467 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxo acid dehydrogenase, lipoyl-binding site-containing protein MASRLWASKAASYLKISTFNRGFASVVKDLKYADSHEWVKVEGNSATVGITDHAQDHLGDVVYVELPDVGTTVTQGSSFGAVESVKATSDINSPVSGKVIEVNEELTSSPGLVNGSPYEKGWIIKVEISDTNEMASRFWVSKAASYLKNFTFSRGFASVGNSAIIGITDHGQDHLGDVVSVELPEVGDTITQGSSFGAVKSVMATSVINSPVSGKVIGVNKDLTTSPGLVNGSPYEKGWIIEVEISDTNEVNSLMNSERYSKFCEEEKRNFFRGGGCGGAGCGSAGACGGGCGGGCGG >KVI07960 pep supercontig:CcrdV1:scaffold_3277:8156:10444:-1 gene:Ccrd_013674 transcript:KVI07960 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MRMEGDGGTVHYQMEYYYELTGFVLLLFLCVHWVNRRHRHRRHLEKLRCLPPGPTPWPVVGNIFQLAFVKVPHESFAQLARVHGPNIMTLWLGSMCTVVISSNEAAREMFKNHDVVLAGRKIYECMKGDFGEELGSMITAQYGPKWRMLRRLCNTEFFLNSRLDATSGIRHRCIDKMMQSMHEASGSGANSIDVGRFFFLMAFNLIGNLMFSKDLLDSKSKKGARFFHHAGKVMEYGGKPNVADFMPFLKWLDPQGIRKNMQLHVRKAFRIAGGFIEERMVGLEEKANKRSKDFLDVLLEYRGDDIEGPSVLSSTTINIIVFVSLEKPITFSFYTKEMFTAGTDTTTSTLEWAMAELLHNPHIYEKLKTELRNTISPDEKFEEKHIDHLPYLKAVIKETLRLHPPLPFLVPHMAMQSCQMMGYNIPKETQVLVNVWAIGRDPRTWEDALEFKPDRFLERDKMVDYKGQHFEFIPFGSGRRMCPAIPLVSRLLPMALGSILHKFDWILGDGVKAKELDMSERMGITLRKAIPLKAIPIPY >KVH96913 pep supercontig:CcrdV1:scaffold_3279:47430:51876:1 gene:Ccrd_000995 transcript:KVH96913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein 12 YIYIYLLSNGVFNRLEPSIRRANQKQKPWLVLKGFAICSPPAGRAMEVEGDESQQHVVQARHIKKRALKNKALSVSFSEKDLSDFVTGFHKRKKKRRKEAQNQQQEALRRKPAPNPDAESNENDEVKEAEGKEEEVEEEEEHLTSVSGTQVYETGSVKVMVTTSEISREDDSLNGNLRAAEIPKLTPASEKKLNLPVIKKKPFKKVEKRRSRPKTQTKRDKRKGKNKNETRR >KVH96912 pep supercontig:CcrdV1:scaffold_3279:20313:23201:1 gene:Ccrd_000994 transcript:KVH96912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSALAFWIVQSLAGFCDRGGTRPASVDIVMSR >KVH96911 pep supercontig:CcrdV1:scaffold_3279:52953:59981:1 gene:Ccrd_000996 transcript:KVH96911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MQRKFMEKRGLDPSGSEEGQPDKKRPALARISPKRIEGPDGRDLQLRFRSKLSLPLFTGGKVEGERGAAIHVVLIDANTGHVVTSGPESSVKLDVVVLEGDFNNEDEEGWTQEEFETHMVKEREGKRPLLSGELQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYSEGVHVREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNKAGIYSVEDFLRLVVRDPKKLRNVLGSGMSNKMWDVLVEHAKTCILSHKLYVYYSDDVRNVGVVFNHIYELSGLVADGHYYSTDALSDNQKVFVDALMKKAYENWMHVVEYDGKSFLGAHQEKVAAPQIDMGMSQQNYSNSFDHQLSLPPPAPREQPASNQGFTIGGKYPPRNDNNILALGLTQPSTPSFLSGNTNTNTLNLSASFRGLEDFFPEEEIRMRSHEMLENEDMQHLLRLFNMGSGGAHGQTSTSHVNENHYPYSSNYMPNTPSSFGYGFDVDKTRSSGKAVVGWLKLKAALRWGIFIRKQAAERRAQIVELEDP >KVH93235 pep supercontig:CcrdV1:scaffold_328:73115:76340:-1 gene:Ccrd_004710 transcript:KVH93235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATLDNKSGRRPTLSRKNVATRMNMVFTNPTAMVASSFGVSLTIPAFSKIFGLYNTTASIPLACWKKWIPTAAIKMWRTVGVGWTSNSLHIPSPWLRFGTWTTSSLQSSGMPAASLMSASRSLDSSKESEVLSSTILASPSRPCVTSQRGDSGMPSTPKARNIEGTAPIPNINRHPNINRRYHKGVSNADTGDKPTDHEKRIVSSESHQDCSDEEDSSGKDDGVPTTNPVGSFSGGGRADQGVEVQNTDEDLDLSIATIQIPLDVDLHPTHHTNVCHKIITVLFVERRIATRKFPYVATSVSYSEL >KVH93237 pep supercontig:CcrdV1:scaffold_328:119310:136589:-1 gene:Ccrd_004712 transcript:KVH93237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MQALTELCDLIAKNPNQYSGKIAWICKRCPPPESLLSGSPQISRFQLNAVVATARFLSKCPNYDDSCPRMTVLEFIRSIPSSFSQSFWPKSFGNASVASFYSEFLGYVVKATELHHEFSTDVAGFMGGIVFAAVNDGSTDLGLSRAFLTALSQNFPQIIPSDANKLVSCLLDSFLSNGSPASSNGDSKGVTYFIEESMEYLEKQEIAFNLIERILDKVQIDTQLLERVRLITKEQLRLMTAFLKLSVYKAAAKLKVKSHVSFDLDGKSSKKLLHGALALLAEAAEACLYSVWRRLRTCEDLFNSLLDGISKIAFTRGGHLLRVLLIRFKQLVLITCAQADTWGSSQGAMFDSVLKTSCEIIEFGWTKDRAPVDTFIMGLATSIREHHDYEEKLSLQDAKEKKAAPVVQLNVIRLLAELNVQVHKTEVVDTILPLFIENLEEGDASTPGLLRLRILDAVSRMASLGFEKSYREVVVLMMRSYLSKLSSSGSIESNSLPEEANTERIETLPAGFQLIASGLSNGKLRVDYRQRLLSLCSDVGLASESKSGSCGADFLGPLLPAVAEICSDFDPTVAVEPSLLKLFRNLWFYVALFGLAPPLLKSVAMKSNPTTSNSVGSTTGVALQAVNGPYMWNPQWCSAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAATYLLAVAFLEIIRFSSNGGLLNCGPSSTASRSAFSCAFEYLKSPNLAPAVFQCLMAIVHRAFETALSWLEDQIFETGHAMDVRESTLAIHACFLIESMSQREEHIRDVSVKLLSQLRDKFPQILWNSSCLDCLLFSVHNDPPSGIVSDPAWIASVRSLYQKVVREWIIISLSHAPCTSQGLLQEKLCKANAWQRAQPTTDVVSLLSEIRIGPGNSDCWSGTKTANIPAVMAAAAASSGGNLKLTEAFNLEVLSTAIVSATVKCNHSGEICGMTRLYENMEKADDDFDVAPSPGPSGLSRLISGAFPQPPQPKKESFGSILLGKFVRLLQKFVLSAEKGGDVDKASFRETCSQAAALLLSTLDSDVKTNVESFSQLLRLLCWCPAYISTIDAMETGIFIWTWLVSAAPQLGPVVLAELVDAWLWTIDTKRGLFASDMRFSGPAAKLRPHLAHGEPEPPPEKDPAQEIQAHKLWIGFFIDRFEVVRHDSLVQLLLLGRMLQGTTKLPWRFSCHPAAAGTFFTVMLLGVKFCSCQYQGSLQKVRMGLQLLEDGIYRYYTRFLIADFHHINL >KVH93230 pep supercontig:CcrdV1:scaffold_328:209324:218417:-1 gene:Ccrd_004719 transcript:KVH93230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAPPASYVQNLIFVILCSFLLQFFSFASSQSFIGVNYGIVADNLPAPEATAKLLQSTSIGKVRMYGADPAIIRALANTGIGIVIGAANGDIPALASDPNFATQWVNSNVLAYPDSKIIVITVGNEVMSSVDQSLVSLCLPAMQNVQNALNAVLASSDPPSSAVFNSGFSDTMRSLLKFHSANGSPFMINPYPFFAYESDPRPETLAFCLFQPNSGRVDSATGIKYLNMFDAQVDAVRSALNAMGFKDTEIVVAETGWAYKGDPNEIGPSVDNAKAYNGNLINHLRSKVGTPLMPGKSIDTYIFALYDENLKPGPGSERAFGLFKPDLSMTYDAGLSKNSQTPTTPTSPATPTTPATPSPVQAAGWCVPKPGVSDAQLQSNLDYVCGQGLDCGPIQPGGACFDPNTIASHAAYAMNLLYQTAGYNGCIYPGGI >KVH93246 pep supercontig:CcrdV1:scaffold_328:222381:230566:-1 gene:Ccrd_004720 transcript:KVH93246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate cyclase-associated CAP MEEKLLQRLESAVARLESLSVGSQSRGIDLDAIAASSDPSILAFDDLLTEYVGRLSSAAAKIGGQVQEVTNVLNEAFSVQKDLLIKIKQTKKPDVPGLGEFLKPLNEKLMKAISMTEGRRSDFFNHLKSVAESLTALAWIAYVGKDCGMSMPIAHVEESWQAAEFYNNKVLVEYRNKDANHVEWARALKELYIPGLRDYVKSQYPLGPVWSATGAAVSAPPKASKPSAPAPPPPPPASLFTSESSQPSSSRPKEGMAAVFQEIGSKPVTAGSFPFFFVQCPFFIIRLICFIDTLSLGLRKVTDDMKTKNRADRAGFVSAGEEEVRSTVPSVSKVGPPKFELQMGRKWVVENQIGKKDLSIDKCDSKQTVYIFGCKDSVLQIKGKVNNITVDKCTKMGVVFTDVVAACEIVNCNSVEVQCQGTAPTISVDNSSGCQLYLSKDSLEASITTAKSSEINVLVPASGPDDDLVEHALPQQYIHSYKDGQFVTTPVSHSGG >KVH93232 pep supercontig:CcrdV1:scaffold_328:155455:164117:-1 gene:Ccrd_004715 transcript:KVH93232 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MAASAISTSFTFSNLPRHKESSISLSSQRSSKAKSIVCALPTPYSDLSKIGLSSSTQELQLTTAEKNSHAPHNSSYNPVLARRGNPPVMPAVITPGGPLDLSTVLFRNRIIFVGQPINSQVAQRVISQLVTLATIDENADILVYVNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASEGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDMMYSAFTGQPLEKALEFGLIDGILETEY >KVH93244 pep supercontig:CcrdV1:scaffold_328:12281:16216:1 gene:Ccrd_004704 transcript:KVH93244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MEVDSNGPETRALTPLRILRGVCCLLVLLSTAFVILVYCGFLTAVILRLFSIHYSRKATAFFFGSWLALWPLLFEKINKTKVIFSGESVPARERVLIIANHRTEVDWMYLWDLALRKGRLGYIKYILKSSLMKLPVFGWAFQILEFISVERKWEVDESPMRQMLSTFKNHQDPLWLAVFPEGTDFTEQKCIRSQKFASENGLPILHNVLLPKTKGFAACVEELRGCLDADDTEGYVVLGSADYLLEQWVDIFLFSMLIKMFSSAWYMISIYKKKYLKALHTLVAWIESGNIDCREYSWKYAACSLEIYDITIGYKYRCPTFLDNAFGVAPSEVHIHVRRIIANDIPASEEMVGSWLMDTFSRKDKLLVDFQSRGCFPHQGTEGDLPTISCLVNAFGVIIFTSICMFLTFFSSVWFKAYIVLVCAYLGSATYFNIRPDPIFAL >KVH93241 pep supercontig:CcrdV1:scaffold_328:32720:35183:1 gene:Ccrd_004707 transcript:KVH93241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHVSKKSVIVSSTHRFSSELNSYEAACRSDVELQSFDMILQARANHVITTLATGVQTLYAG >KVH93245 pep supercontig:CcrdV1:scaffold_328:233514:237989:-1 gene:Ccrd_004721 transcript:KVH93245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MSVSGNTSSTDDGVSLLTSKTPILGQKLYVVIAVTVAGVTGVLVLIFLFLLYKQSSKRRNNRMRVDKHGSGMLPLSSETKTINLEVKTNCSDQNEKVGKTLISMFEMDNEERDETEPIIKAVDGKKLDNDTSGSNESGTATDENQSKSLKLCRGQAEKEFKVEVEAIGKVRHKNLVGLIGFCTEGAKRTFSPKIADLNQTASSDALTCSSLNLDFLFSPQPRRLLVYEYVDNGNLEQWLHGDVGPTSPLTWDIRMKIAVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNARVADFGLAKLLESEKSYVTTRVMGTFGYVSPDYASTGMLNEASDIYSFGVCLMEIVTGRSPMNLVDWFRGMVASRRGEDLVDPKIEIQPSPRALKRVLLVCLCCTDMDASMRPKMGHIVHMLEADEFPFRRVSTLFGCIHLSLVPHHHVESNL >KVH93231 pep supercontig:CcrdV1:scaffold_328:143249:150273:1 gene:Ccrd_004714 transcript:KVH93231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MNLGDEMQRVFRRISKSGNIALLLLWHVLHLILSVLYIIREIFYAVESYLITNGYVKTYTNLNLDRVKYLGLVVDSDEARKTSQVIELLEWLSAIGVKKVCLYDREGVLKKSKEVFVERFGSAELPNENSKTIPLLSKKRMDFEFVSITDGKEAVAKAANLLFKKYYVDADSEKPFFTETYLTEALRTLGAVEPDPDLLLIYGPARCLLGFPAWRIRYTEMVLIRSDHEELYHYMSVKGSNSAVPDGLYLLL >KVH93248 pep supercontig:CcrdV1:scaffold_328:244467:248474:-1 gene:Ccrd_004722 transcript:KVH93248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASRSAVVFGARTIATGSNLISRTLTPKSLPVSPFHSSTRTVSRAASRILGALGTVESMMPLHSAIASARLRSSIAVDSTCWSWLSQDFGLPR >KVH93243 pep supercontig:CcrdV1:scaffold_328:16913:18025:-1 gene:Ccrd_004705 transcript:KVH93243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF677 MGAHVSKKSVIVSSTHRFSSELNSYEAACRSDVELQSFDTILQARANNVITTLATGVQVRAMSFDSLKEVTGCLLEMNQEVVKVILNCKKDIWNNPELFDLVEEYFDNSLQTLDFCSALERCLKRVRDNQLMILVALQHFEDEDGVEENRYEKTLENLKDFKDAGDPFTEDFFEIFRSVYRQQMVLLQKLQIKKVKLDKKVKSIRTWRKVCNVIFVATFAAVLICSVVAAAMAAPPVAAALAAATAIPLGSMGKWINSLLRNYEDAIKGQKEVISSMQVGGCVAIRDLDTIRVLVDRLEMDIEDLMRNAEYVIEGDELVKQGIEEIKAKLKSFMKNVDELGMQADNCTRDIRRARTVVLQRIIKPPNSHY >KVH93240 pep supercontig:CcrdV1:scaffold_328:35382:37233:1 gene:Ccrd_004708 transcript:KVH93240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MYPTFNANETQIQEFNPFEEYGGMEERHQFPPHELENMAAGEELPPLEDVSHTMSNFRNRLGVRPFSIQVQDLEANHDHRPYSVPEFNESIKEISSSNSNSYSKPKSAYSELNPPAALELLSGYRNRFKHLEHQSSINEKTPDCARKELSTVEIIELAAEKFIKFSTQRVNGYTMFTHPYGSSAFTSLTIDNTREVELIFQLLTAAEKVGRRQFDTASKFLARCGWVTSDDGSPVERLAYYLSMGTNPTFLACHQALPFNQVLQFTGIQAIIDQIGTSSKVHLIDMHIRSGVQWTAMMQALAERGSQIELMKITAFATTSDVQQVGETGKRLEAFAKTFNLSFLFKILVLSDITEVGKEQFEVQDGEAIAVYCQTILRTMITRPQSLENLMRTIRRINPLIVVVAEVEANHNSTSFVNRFTETLFFYGALFDCLEACMSRDNAHRAMVEGVHLAEGMHNMVADEGGERISRSVNMNTWRSFFARFGMIEIDLSDSCMYQANLVLQQFSCASSCTLENNGKCLIVGWKGTPLHSLSTWKFIQE >KVH93238 pep supercontig:CcrdV1:scaffold_328:136777:140229:1 gene:Ccrd_004713 transcript:KVH93238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MQKLFSRNSPYPKYASLVHPSSTVLRNGKWNEEDAAVLVPGDIISIKLGNIIPADARLLEGDPLKIDQLPSFNYFNWLIMESALTGESLPVTKHPGDGVYSGSTCKQGELEAVVIATGVHTLFGKAAHLVDSTNQVGHFQKVRKHVCIYFFI >KVH93236 pep supercontig:CcrdV1:scaffold_328:85866:107020:-1 gene:Ccrd_004711 transcript:KVH93236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MGCYVGLASGKLKLTFVEYCRASLGWFAHEPEWYEADHGTFAHSEAQSVSLFVQFLQNQLKDMPQNDSKGLGGENGSSLLDMKDQNHPVWGPMENYAVGREKRKQLLLMLCQHEADRLEVWAQPVTSKEITSSRSRISSEKWIEYARTAFSVDPRIAFSLGARFPTNSYLKAEITQLVQSHILEIRSIPEALPYFVTPKAVDENSPLLQQLIYWAACSITQALEFFTPAYKGHPRVMGYIFRVLESYPPSKVTFFMPQLIQALRYDDEKLIEGYLLRAAQRSDVFAHILIWQLQGETCAPEQGKEAMSAKTAQFLALLPVVRDHIIDGFSPEARDIFRREFDFFEKVTSISGVLYPLPKEERRAGIKRELEKIQLDGDDLYLPTAPNKLVKGIQVNSGIPLQSAAKVPIMITFDVVDRDGDPNDIKPQACIFKVGDDCRQDVLALQVISLLRDIFGAVGLNLYLYPYGVLPTDPERGIIEVVPNSRSRSQMGETTDGGLYEIFQQDFGPVGSPGFETARENFIVSSAGYAVASLLLQPKDRHNGNLLFDSVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGAMKSDTWHLFESLCVKGYLSARQHMNGIVNTVLMMVESGLPCFSRGDPIGNLRKRFRPDMSEREAANHMIHICADAYNKWTTAGYDLIQYLQQGIEKQREREAQNWTFSEEGFVEKSSFCSYVLFPVAVDV >KVH93229 pep supercontig:CcrdV1:scaffold_328:196574:201830:-1 gene:Ccrd_004718 transcript:KVH93229 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein, beta subunit NFSIFVTRPLCSLSFSLYSPPLVSGSPKSYYTKSTSEFDHRVGVLHVADQDEGKLGLELSSKLDEEMSVAELKERHMAATETLNSLRERLKEKRLQLLDTDVAGYAKAQGKTPVEFGPTDLVCCRILQGHTGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPSGQSVACGGLDSVCSIFNLSSPLDKDGNIPVSRMLSGHKGYVSSCQYVPDDDTRLITGSGDQTCVLWDITTGLRTSVFGGEFQSGHTSDVLSVSINGSNSRMFISGSCDATARLWDTRVASRAVRTFHGHEGDVNSVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYPQQQGDNNAANVTSIAFSISGRLLFASYSNGDCFVWDTLLAKVVLNLGSLQNSHEARVSCLGLSADGSALCTGSWDTSLKGVIDGSYPEKVQKICIGFQLVP >KVH93242 pep supercontig:CcrdV1:scaffold_328:25754:26074:1 gene:Ccrd_004706 transcript:KVH93242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MASYTKLTLLAIVLVSTLVTEPMVTNGFSLCGVTTDGLKTCKPAVAKGVTPLPPPTPECCAALTDADMPCLCKFKDSSMLSTYGIDPKLAMLLPEKCNLPQANFHC >KVH93239 pep supercontig:CcrdV1:scaffold_328:72660:76728:1 gene:Ccrd_004709 transcript:KVH93239 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MADRKPEQNSGFDKPNKLAITEFDPPKPPKRNKYAFACAMLASMTSVLLGYDIGVMSGVQIYIKRDLDCSDTQIEILVGILNLYSLVGSAAAGKTSDWIGRRYTIVFAGAIFFVGAILMGFATNYAFLMVGRFVAGIGVGYALMIAPVYTAELPLHLGWRLMLGIGAVPSIFLALGVLGMPESPRWLVTQGRLGDAKIVLDKTSDSLEESKLRLADIKEAAGIPEDCNDDVVQVPKRNHGEGIWRELLVHPTPTVRHILIAAVGIHFFQQASGIDAVVLYSPKIFEKAGIVKDTPKLLATIAVGFVKTIFILVATFFLDKVGRRPLLLSSVAGMIVSLMGLGVGLTVVDHYDHKISWAIAFSIAMTLSYVAFFSIGMGPITWVYSSEIFPLRLRAQGCSMGVAMNRVVSGVISMSFLSLSKGITFGGAFFLFTGIAMVAFVFFFTLFPETQGRNLEEVEQLFG >KVH93233 pep supercontig:CcrdV1:scaffold_328:165964:174297:-1 gene:Ccrd_004716 transcript:KVH93233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MPSRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVEFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGINVEEAFQVIAKNALKKKREDEKCNKG >KVH93234 pep supercontig:CcrdV1:scaffold_328:181166:193984:1 gene:Ccrd_004717 transcript:KVH93234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MALYVKKDDDRDEEAEYSPFSGIEKGAVLQEARVFNDPQLDSRRCSQVITKLLYLLNQGDSFTKVEATEVFFSVTKLFQSRDIGLRRMVYLIIKELSPCADEVIIVTSSLMKDMNSKTDVYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGYHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTKGTVRSPLAQCLLIRYTSQVIRESSMNSQAGDRPFYDYLEGCLRHKSEMVILEAARAITELSGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTSCNIDMESLISDQNRSIATLAITTLLKTGNESGVDRLMKQITNFMSDIADEFKIVVVDAIRSLCLKFPLKFRTLMNFLSNILREEGGFEYKKAIVDSIVTIIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKFIRYIYNRVILENATVRASAVSTLAKFGAMVDSLKPRIFVLLRRCLYDNDDEVRDRATLYLNTIGGDGSVVETDKDVKEFLFGSFDLPLVNLETSLKNYEPSEEPFDVSSVPREVKSQPFAEKKAAAKKPTGLGAPPAGPTSTVDAYEKLLVSIPEFSTFGQLFKSSAPVELTEAETEYSVNVVKHIFDKHVVFQYNCTNTIPEQLLENVTIVVDASEAEEFEEIATKALKSLPYDTPGQTFVAFEKPEGVPAVGKFSNVLSGEAEEDGVEDEYQLEEFEVVAADYMLKVGVSNFRNAWESLGPDFERVDEYGLGPRESLKEAVTAVINLLGMQPCEVLVRLSFGVDSGKEVAMKLAVRSEDEAQIMAWRIMEAARIV >KVH93247 pep supercontig:CcrdV1:scaffold_328:249234:252901:-1 gene:Ccrd_004723 transcript:KVH93247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEGNLVLLFFLLFFRSTFQQQEHSAPLSSRAERAALLQLRSSLGLRTKDWPIKSDPCLAWIGVLCQDGSVTGINISGFKRTRIGNQNPKFSVDSLANFTKLVSFNASRLTLPGSIPNWLGLQLQTLTVLDLRFCEISGAIPSNIGNLSNLGELYLSDNNLTGTIPSNLGLLSHLSVLDLSRNSLTGLIPSSLGSLAKLSLLDISSNNLSGAIPEDFGNLLNLKSLNLSSNSLSSSVPTHLGNLSSLVVLDLSSSSLSGSVPAEFGSLRHLQRMVICNNNFTGDLPDALWSLPNLSFLDVSSNNFMGLLPNLSLNANTTMAVFNLSHNMFYGVLTSVLKRVSTVDLSYNYFQDKIPDYARNVVSLDRNCFHSWSSQRNVKECAGFYSRKGLSFDNFGLPNGTIHRPLGDHKSNRRMVIFAAVLGTVGLVLLFVILVVLLIICSREERKTTEIGSGLGPSAAGTGAPGASLNLFGEAFGYEEILAATGDFNDGNLVKNGHSGDVFKGVLEGGIHIIVKRFDVHSRKNSCRVELDFFSKVSHPRLVPLLGHCLEKEKEQFLIYKYMPKGDLSSSLYWKIGSDLKLLDWITRLKIAIGVAEGLSYLHHECVPPLVHRDVQASSILLDDKYEVRLGSLTEACIQDTDSHSNKITRLLRLPQISEEVASGVATATCTYDVYSFGKVLLELVTGKIGISASSDSTTKEMLDGLLPFISIYDKELVKIIIDPSLIVDEDLLDEVWAMAVVAKSCLNPKPSRRPLMRFVLKALENPLKVVREETSSSARLGTSSSRNGSWRHNLAAGCGGKGDLKVGEDGCLSARRYSKDVFPEPMGLQDEERWNED >KVH93249 pep supercontig:CcrdV1:scaffold_328:272586:273761:1 gene:Ccrd_004724 transcript:KVH93249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAAADVEYRCFVGGLAWATTDRSLEDAFAQYGEIIDSKIINDRETGRSRGFGFVTFKDEQSLRDAIEGMNGQSLDGRNITVNEAQSRSGGGGGGGGFRSGGGGGRREGGYGGGGGGGYGGGRREGGGGGGYGGGRDRGYGGGDGGAHYSRGGGDSEGNWRN >KVI02773 pep supercontig:CcrdV1:scaffold_3280:18716:32194:-1 gene:Ccrd_018937 transcript:KVI02773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MATTETTPSIVPDYDRPTQLKAFDQTKAGVKALADAGIREIPCIFIHQPEPLPKTSMPFEIPVVDLGSIDRASTVEKIREASENLGFFQVVNHGIPVSVMNDMLQGVGRFHDQDVEVKKRFYSRDPSRTVVYNSNFDLYSSPAASWRDTFYTLMAPSPPPPEQLPEVCRDIQIEYSNQVLKLGGVLFRLISEALKLNPNHLGDLDCDKGLIFAGHCYPACPQPDLTMGAAKHTDDGFLTVVLQDEIGGLQILHNQQWIDVPPTPGALVVNIGDLLQMISNDKLRSVEHRVVASEKGPRVSVACFFRTSRAPSTKVYGPIKELVSDDNPPRYRETTVHEYIQYSSSKGLDGMALNAILPATQLDYDRKAELKAFEETQAGVKGLVDAGITSVPRMFHLPSPEILKSGQQLCPETTLPTIDFEGINVDPIRRKKQDTEVKKQWYXMNSKGKHPVVYNSNFDLYVSRVANWRDSLICNMAPNPPNHHELPPICREILMKYANEVHKLGVQVLELMSEALGLNPSHLIEMGCVEGLSVLGHYYPSCPQPELTIGTSSHTDNTFITIVLQDHIGGLQFFNENRWIDAPPTPGALVVNVGEHLKLLTNDKFMSASHRVLANKIGPRVSVASFLRVPPTQSSKVIEPIKELLSEENPTKYRSTTVGEFMNHVLAKGLDGVSATLHFRI >KVI02772 pep supercontig:CcrdV1:scaffold_3280:56276:63807:1 gene:Ccrd_018938 transcript:KVI02772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAATGDSTCYDRKAELKAFDETKGGVKALVDAGIQEIPRIFIHQPEPLPKSSTPFEIPILDLGSTDRASTVEKIREASETLGFFQVVNHGIPVTVMNEVIQGVRRFHEQDVEVKKRFYTRDPSNAVVYHSNFDLYTSPAAAWRDTFYTFMAPSPPSPEELPEVCRDIQIEYSNHVMKLGGVLFGLISEALNLNPSHLSDLDCDKGLAFFGHYYPACPQPDLTMGATKHSDYGFLTVLLQDEVGGLQILNNNQWIDVPPTPGALVINIGDILQMMSNDKLKSVEHRVVAKEEGPRVSVACFFSTSLAPLTALYGPIKELVSDENPPRYRETTVHDYIQYSFYREVDGVSVTPYYDRPTELKAFDETKAGVKALADAGIQKIPRIFHNQPEPLPKSSTPFEIPVVDLGSTDRASTVAKIREASETVGFFQVVNHGIPVTVMNEMLQGVRRFHDQDVEVKKRFYTRDPSRAVIYNSNFDLFSSPAANWRDTFISLMAPSPPPLEELPEVCREIQVEYSNEVMKLGGVLFRLISEALKLNPNHLGDLDCDKALAFVAHCYPACPQPDLTMGATKHTDDGFITVLLQDEIGGLQILHNQQWVDVPPTPGALVVNIGDLLQMISNDKFRSVEHRVVANEKGPRVSVACFFSSSLAPSTKVYGPIKELVSDDNPARYRETTVYDYIQYSLSKGLDGVPRLLHLKL >KVI01410 pep supercontig:CcrdV1:scaffold_3281:9066:14464:-1 gene:Ccrd_020319 transcript:KVI01410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTSPIMDSCSFEGFVLDPSKCSKLSMEEKRELVYEVAEWSHGAPELLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKRSHVDENDDDIMMNLESSASGHQRAAKRNRKSDQPNRLNVASMDDSLINVIDVDLAENDVKFCKNSACRAKLSQDGAFCKRCSCCICHQYDDNKDPSLWLICSSDPPFQGTSCGMSCHLECALRHEKSGILKDRENRGLDGSFLCVSCGKVNDLLGCWRKQMTIARDTRRVDILCYRVSLSQKLLKGTLRYQKLHEIVNETMEKLEADVGPLTGLPVKRARGIVNRLSSGQEIQRLCGFAVESLDSVLSNTSFSDPSILTPVVRFENVCPTSISVILGSGDRSFIDNVKVHRYIMWHRKTEDTDYPAKPTCTLFAPNSTKFLLSGLIPATRYVLKVVHFESTRELGSSETQFQTTDNEKIPTNQSPATNSSSLSNPSSVEDENNNILAYKNTNGNQKDKAAISSADLEKVAITSDTVNIIKNCSRNEEDNGTKNGKDFGPLAPTIAAKLPITPCKTESIKDAILARKTRPKSSQKNLDNGSEEEPDEQQQQQQQQQDGSSSKKRSEEETDDRDFGYYVKVIRWLECEGHIDTGFRKKFLTWYSLRASLQEVRIVKVFVDTLMEDPASLAGQLVDTFSDVITSKRCSSAPGLCLKLFH >KVI01546 pep supercontig:CcrdV1:scaffold_3283:28137:31483:1 gene:Ccrd_020181 transcript:KVI01546 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL-like protein MLYGWGSTRFLLPSPLLIRFSILDSISKVTYHFFHWKKGTPFADDQGIHNWLTWWEQIDSGKQLXCNRKFLTVVPVILSHPTLSIPQITSLFNYGVLSEIKGLQAQVLLFWLNIDEIRVDVPATSNVYFTLGSISQTLDIKQFQTIHP >KVH96510 pep supercontig:CcrdV1:scaffold_3284:38916:56845:1 gene:Ccrd_001404 transcript:KVH96510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MDVFILKPNQHPLSPSFTSCISPTISLRPQFFGPEFRRPSRRRKCCGLGLGLGVQFHSPSVGIRRRFVFRTAAALDPQTVVVVVSFVAVSALTVFYFNYSNKKHDDGSNKISKRLDHTNSQQVSSILNHTYDEQNSSNVGLQGENSSGGSKFMVKEANNISHDFPDERAQVQYNETVLFYEEALKTGTSVSSGCDTIASSIIEPSASSEVKSSFKRDYVMLESSFEEPPNITVLSSPESHSVLLGSGDVLCIPPTERNVVCFDEKHKTEHDSELPKLMVETELIAASVPANSKVAGGNVILEKNYVLTKERDVSRYSFAAMKSTYKGLHSFYEANHYAMSSMENLSSTARPGISSSGNKCFSSRASSSISQSERFSAKDFLHAAEKFEAKLPLGKSETGPLDGRGDFWKKRVSCTEKEKTMLTKDDLKHLFPTSQLKKQGSSKDYPSQQLRAYHRFLKEGRLTDCLERLEYLERKGLLDMNNVYHMGFLRVCRSQKAVKEAFRFINLIPNPTLSTFNMLMSVCACSQDLEGGFEVLQLVKKAGFKADCKLYTTLISTCAKSIKVDTMFKVFHEMVNLGVEPNVHTYGALIDGCAKAGQVAKAFGAYGIMRSKNVKPDRVVFNALISACGQSGAVDRAFDVLSEMRSETHPIDPDHVTVGALMKACVNAGQVERAREIYHMIQEFNIKGTPEVYTIAVNSCSGNGDWEFACSVFNDMTKNGVIPDEMFFSALMDVAGHAGKLDASFEILQEARKRGIDVGIISYSSLMGACSNAKNWKKALELYEYVINLRMKPAVSMMNALITALCDGNELPKAVEILSEMKKLGLCPNSITYSILLVASEKKDDLEVGLMLLSQAKKDGISPNLIMCKCLIALCYRRFEKACMVGEPILSFDSGRLLIDSEWTRLVLMVYRETVNAGAVPTIEELSQVLGCLRLPQDSSLKTRLIENLGVIADTSKGSNLCSLIEGFGEYDPRAFSLLEEAASLGIVPCVSLKESPIVVDVYFLTVLKGLKHRLAAGVKLPNISIVLPVVKTQIEGDKTMNVAGRVTQAISALLRRLRISYIGNESFGKIRINGVVVKKWLSPKLAINYGGKPTDYYNYSRLGRGIINQQRHIRSGNLSYE >KVH96941 pep supercontig:CcrdV1:scaffold_3285:48511:53035:1 gene:Ccrd_000966 transcript:KVH96941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGCWFCGLPLVIDKSIALAMVCGDLHPHHTKSCGYQVLWKPPSSPHQVFEPRCISLAIVCFTHWPISFFFLFPFPLYGGKHSDSTPRISKPNLLCIKSESILALHISYQSDRLRSLIPHIVPSVWKNYRRMLGFTMVAGGEMKKRKGGAKSMDIDIAKSLQYNFSTMRAATNDFSKNSKLGQGEFGAGTLEDGQQIAVKRLERNSGQGDQEFKNEVLLIAKLQHHNLVRLHGRRK >KVH94579 pep supercontig:CcrdV1:scaffold_3287:22450:30829:-1 gene:Ccrd_003354 transcript:KVH94579 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2/NOT3/NOT5-like protein MPAIWAITLASKDQCIWPSTEFFALSSLSGSASNLQDNTAGRPFSTSFSAQSGAPSPVFQHSGSIQGLHNIHGSFNVPSMPGTLGSRNSTMANVPSSGLQQPSGNLSSGRFTSNIPVALSQISHGNSHGHPGLANRGGMGVVGSPGFSNSTNAVGGSIPGILPTSAAIGNRNAISVVGASQLLGNSGPRITSSAGNIVGGGSVGRSINPGGGLSMGGLSSRLSFTANSGSGNIGVQGSNRLMGGMLQQASPQVMSMLGNSYHSGGPLSQNHVQAVNSFNSMGMLNDVNNNDGSPFDINDFPQLSSRPSSSGGAQGQIGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGFKGSNADYSMDLHQKDQVHENNVSMMQSPHFSIGRSSGTFSSHHPQQQSSSVSFSPANNQDLHHLHGSDMFQRSHSSYHSQASGPPGVGLRPLNSQNTISGIGSYDQLIQHYPPQQNQSQFRLQQMSAAGQSYRDQGMKSLQAAQTAPDRFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSAENLHRTFGSPWSDESVKGDPDFTVPQCYYSKQAPVLNLNTLFYIFYSMPQDEAQLYAANELHNRGWFYHREHRLWFMRAANIEPLRWSSVVVTTAIGNGGGGGGNEVVEMVGDGGGRQWMEVVVVDEVVVTDGGGGGRHRRWAVVTIKA >KVH94576 pep supercontig:CcrdV1:scaffold_3287:55509:56411:1 gene:Ccrd_003358 transcript:KVH94576 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S globulin MSFLKLFFALIILHAHGAQMLTRAAVFREYIGANFKNVRFSDVPVNPNIDVHFILSFAIDYTTGSSPSPTNGRFNVFWDDENLSPSQVLSIKRQHSNVKVALSLGGDSVEGRHAVFTASSIDSWVSNAVSSLTRVIKQYHLDGIDIDYEHFEGASPNTFAQCVGRLVTILKNNRVISFASIAPYDDGEVQRHYLALWRSYGRVFDYVNFQFYAYDKGTTVAQFLSYFNTQASNYNGGKLLVSFSTDTSGGLKPYNGFFTACEKLRSQRKLNGIFVWSADDSKAGGFKYERQSQALLAKPQ >KVH94580 pep supercontig:CcrdV1:scaffold_3287:52698:52994:-1 gene:Ccrd_003357 transcript:KVH94580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MDNGDGIAVGWFPIFRDKEGRELFVRRMPTFFETFSVVLIDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPVTVKKYARRAQLGEI >KVH94577 pep supercontig:CcrdV1:scaffold_3287:2099:21174:1 gene:Ccrd_003353 transcript:KVH94577 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 UFM1-protein ligase 1 MDEELLELQRQFESAQQAKSSIRLSERNVVELVQKLRQLNIIDFDLLHTVSGKEYITPEHLRIEIVSEIKKLGRVSLIDLADIVGVDLYHVEKQAQIVVADDSLLMLINGEIISDWYWNNVCEEINDRLQECSQIALAEIAAQLQVGSELLVTVLEPRLGTRIKGRLEGGQLYTPAYVARVNAMVRGAARGITVPMNLSALWGSLQLLLQEMDGASGVAVEGSFFQSMFNGLVKEGQVLGSVRAGVHWTPSVFAIAQKECVDSFFSQNSVVSYEALHKLGITQPIQFLQSRYPEGIPLVTLFVHPSTVEMLDAAVEDAIERGSWIDSLSVLPASFGPQDAHKMLSLCPSVQTTLKANKALILGDSYVFSTGFVKDLYERMEKDLDTINFSGPSSTGLADDWHVTKVAKSGQETSGAPADFNDTSSESGSNKQAADRGSKRKKGKPNINSKAGAVDNSSDNQEPVPAKSKKNQRKGKVASSSLGPDSKSNAKKDVDKIKEESLGIFSEEELCQKITELVPDFEEQGIDSETVLVPLASHLRPMLLNAWNERRKAALTDNGQKIKRLLDNLQKKLDESSLNMQLYEKGLDLFEDNPSTLALLHRHLLRTTAAPMVDMLLSNMDMLNKLKNGLDIQDTDNPESISLTSGDRIALAKKFDGPLSVKAIAVIEALEGKHVEAYMTTLRALADECGLTVKKLDKKLERTLLHSYRKDLSAQVSAETDPVAILPKVVSLLYIQFYARALQAPGRTISVAISKLKDKLDDSAYKTLEEYHAATVTLLTLISASTGDEEDCTSDRTLSKKELLERLMPALKALVSRPSQ >KVH94578 pep supercontig:CcrdV1:scaffold_3287:33152:38144:-1 gene:Ccrd_003355 transcript:KVH94578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin-like carbohydrate-binding domain-containing protein MAIHLWFLIHLLLILLSTISVSSNVFVSINCGASGSYTDENSIAWTGDADLISNGVPHTVQSNYSISPLYDTLRAFTTRRKNCYSIEAEEGGKVLVRVVFNYGNYDGKSTPPMFDLHLDGNFWVTVNTSQAIYYEAIYVVKRKVISICVAQTNPNQFPFISSLEVRSVDPRAYNHIGPNYALFRNIRNGYGLNETIRFPTDPYDRVWNDVNIGNGLRRVVNDASFINANTSSNPPQAVLKSAITPVNDTDFISLGLDTIAYPFHYPMYINFYFIEVKQLNATETRSFRIYIDAVPFSLPIVPHFGNATEYFISNLSVTADTSFHIVGTGGSMLSPLLSAIEIFSISNALNNGTNNSDVEGLISLQKAFDVLQEWGGDPCLPAPYSWDWIKCNNDSVPRVTSLNLNSFNLSGRLPDFRNMDALEIINLADNQFSGSIPRSLSRNSQLILSDTGNPLLCTNVTSCTRSSSNKKKTIKFSTILTIIIPVFFIF >KVH94581 pep supercontig:CcrdV1:scaffold_3287:45037:51141:1 gene:Ccrd_003356 transcript:KVH94581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGKGAQNHGMKDSTSQASSTDHSVYAAWAKDVRECEEKYQVNREHGLSDSEVEKRLKIYGKNELEKHEGQSIIWLILDQFNDTLVRILLAAAVISFVLAWYDGDEGGELEITAFVEPLVIFLILIVNAMVGVWQENNAEKALEALKEIQSEQATVIRNGRKISSLPAKELVPGDIVELRVGEKIPADMRVLQLISSTLRVEQGSLTGESEAVGKSAKPVPEESDIQGKKCMVFAGTTVVNGNCVCLVTATGMNTEIGKVHSQIHDASQSEEDTPLKKKLNEFGEVLTMIIGCICALVWLINLKYFLSWEYVDGWPTNFQFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTKLVAMGNRANAVRSFIVEGTTYNPSDGKIQDWPAGKMDANLQTIEKIASLANDAGIERSEKGYVASGMPTEAALKVLVEKMGLPAELDSGSSTGYIDIVAKSPVFSCLSFFPLLRCSETWNKLERRIATLEFDRDRKSMGVIVSASSGKKSLLVKGAVENLLERSTSVQLVDGSSVELDQRAKELILDNLNEMSRGALRVLGFAYKENPPEFTTYNGNEDHPAHDLLLNPTNYSSIESNLTFAGMVGLQDRPRKEVRQAIEDCRAAGIQVMVITGDNKNTAEAICREIGVFGPGEDISSKSITGKEFMELHDPKGHLAQKGGLLFSRAEPRHKQEIVRLLKDMGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVACIFLTAAIGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKYIMKRAPRRSDDSLINAWILVRYLVIGFYVGIATVGIFIIWFTRDSFCGIDLSQDGHSLVSYSQLSNWDQCYSWQNFTVSPFTAGERVFKFDSDPCDYFRSGKIKAMTLSLSVLVAIEMFNSLNALSENESLLTMPPWVNPWLLLAMSVSFGLHFLILYIPFLAQVFGIVPLSVNEWLLVLAVAFPVILIDEILKLIGRWNDGAQEISKSSKHKAE >KVI00810 pep supercontig:CcrdV1:scaffold_3289:11201:28276:-1 gene:Ccrd_020936 transcript:KVI00810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0114 MSPLVSISAGGPLPILLSTTPTTATFSSRRFSTPFASLASSQSPPPPPQPQSKSNSSSSKTPFVVDSSSSKSSNNSFNYALANPNGSDPALKFVRSTESGIEKVIFDFRFLALLAVGGSLAGSLLCFLNGCVYIVDAYKVYWTSCSKGVHTGKMVLRLVEAIDVYLAGTVMLIFAMGLYGLFISNSPNNVPAADDRALKVLRTRDNVTVPFKPLPATKTQAPQHAKIYIASHNSISTHTSLPENMSPLLSSISGGGPFPILLSTTPATTSFSRRFSTSFASLDSPQPKSISSKTPFAVDSSSKSSDSSFNYALANPNGSDPALQFARSTESDIERERPQWMKISSLDELKTKVGHVIVMILLVKMFEKSKMVTISTGLDLLTYSLCIFLSSASLYILHNLHKDDHHK >KVI06755 pep supercontig:CcrdV1:scaffold_329:158752:171524:1 gene:Ccrd_014891 transcript:KVI06755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology domain-containing protein MPPSSAAAQPLERQRSHNGSKVYKSGPLFLSSKGIGWTSWKKRWFILTETSLVFFRSDPNAAPQKGGESNLTLGGIDLNSSASVVVKADKKLLTVLFPVGRDGRAFTLKAETTEDLFEWKDALEAALANAPNAGTGPGQNGLLKNEKGDAADGSQEQSKDQPPAKSVVLGRPILLALEDIDGTPSFLEKALCYVEDYGVRVEGILRQAADVDDVERRIREYEQGKTEFTADEDGHVIGDCIKYVLRELPSPPVPASCCAALLEAYRSDRATRVSAIRAAICDTFPEPNRRLLQRILIMMEAVAENKAVNRMSVSAVAACMSPLLLRPLLAGEVDLGKGSDMGSDGSGQLLQAAAAANHAQAIVIILIEEYDKIFGGFVSTNPFDDEFNDNLESKKSSELANIEFVTEQQAEILRLEVTKIKLKEIIEAEARRNDILKEDLVSKKNALEARRVDVPKTNADPDDIIIDEAEADDPGLQQNCNYQPPTTTQDHGKVKDKDKDRESTTRSKDTKEDGDSKHDYFHSFSNKLTPSWHSDSDRNERSMSKSTSSRKSHSKGEFKSCGWQAANTSSALSKITNRLNFLKEKRNQMTDELQSADKTTHNNHRGSRKWSDDESDKGKETCVQQRELASGRSEDQGR >KVI06752 pep supercontig:CcrdV1:scaffold_329:182892:183797:-1 gene:Ccrd_014892 transcript:KVI06752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MGVKGFIEGVIAFVIAGCSTHPLDLIKVCMQLQGESPPVYVVNGGGGSTMTIPWPLTAGLVSVGVNIFKTQGIVAFFSSVSATVLRQTLYSTTRMGLYDIFKQKWFDTNMGKLSLTQKIGSGLLAGGIGTAVGNPVDVAIVRMQADGSLSTAQRRNYKGVADAITRMVKKEGIKETLLSKGVMKDRLGTHVMASFAAGFVVVKASNPVDVIKTRVMNMKMEEGVAPPYKVAVDCAVKAKGRWLYTKGFIPTISRKGPFTVIFFVTLEQVRKIL >KVI06754 pep supercontig:CcrdV1:scaffold_329:126286:126906:-1 gene:Ccrd_014890 transcript:KVI06754 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVKKEQEIQTESWKTSSSMISKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGPTANLNFSHTQYNHDYSTPIMSPKSIQKIAAAAATVTDTVPSPSYSSSLSSSASTPPPTNPIEDEETLFSANDPLDGTLMSMVAPWYNFDSPTYNDVMLDTSFFEFDHSCSTTMEDVYEEEGGDDIYLWSFCR >KVI06751 pep supercontig:CcrdV1:scaffold_329:296187:296753:1 gene:Ccrd_014894 transcript:KVI06751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFQSTFSHKPLPFQYFDFRFFFHFHYHHFYAHHQYFLCIRYPSLKNITKLYLNSFVVAAHAIVALCSFFEIGASVWEISRVRFWLRFSFFASNIFFSRVFLRRINACICKMPSVCQFVVVGGIGEDVACQTIERDSHVYDQ >KVI06753 pep supercontig:CcrdV1:scaffold_329:279769:284393:-1 gene:Ccrd_014893 transcript:KVI06753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPEAGFSGMESVFSGFVLDPEKCNQLSLEEKRKLVHRIAHWSEDAPKILSSLTRKELLEIICAEMGKERKYSGFTKLRMIEHLLKLVCKNTKRTTTENLLDFSPSKKQKHEAPLQLPSTTFENSRKDQTKTRVCQNLACKATMWPEDAFCKRCSCCICHQFDDNKDPSLWLTCDWDLDSGNEGDEPCGMSCHLKCAVNHDRAGISTTGTYPKLDGGFYCVSCGKSNGLMRTWRKQLLFANEARRVDALCLRVSLSHKILEGTMKHQKLLKIVESAAKVLENEVGPIGLASVKMDRRLVNRLTCSTEVQKLCTSAIEAFDSLCSNSSVNHFQINRIPTCRISFEETTATSVTIVLDYESHLFEDFFGCRIWHRKSTLKTYPKEATYIVLNPEKRFKLTNLDPSTEYSCMVSFFSNKKVLGFWESKWTTTKNTEVNANNTQTDSTSDFPCTPCKSDGTTKAGPRDEPNKKNEYEYAVGVIRSLEHNGHLSKDFRVKFLTWFSLKATMQQRRVVNVFVDALIDDPPSLAEQLLDTFSDEICGH >KVI08012 pep supercontig:CcrdV1:scaffold_3291:13858:22035:1 gene:Ccrd_013622 transcript:KVI08012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTKSENHLISVAAFVEGGTQDACDDACSICLESFSENDPPTVTGCKHEFHLQCILECVPVSKELSMPNVLAVHQLEGPEQLPVSATDAELEERIIQHLAAAAAMGRARHIARREGHRTQTSVQGRPRYLGFSAQPSVPSPTRPTSSDDVESTPAIGGSPLVTVHEESVRGSAASSSVHASEHPVSPSVMQSSPNNQDRAGPSELHSFSDFKTRVNAISMRYKESITKTTKNWKEKLFSRNSSATDHGSTDPGEGSAGIATLSRMMDHLQHDLAPPISNNSPLTDERRQQNTMATTATNSASRNQTAPPSSTVE >KVI01709 pep supercontig:CcrdV1:scaffold_3292:46310:49518:1 gene:Ccrd_020012 transcript:KVI01709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MIKESDGNVPVMHGRXRRRKGSNEVPGDVDQANGTKLLAKDQNKYKSMLWLTCPRKDLSTGWLQCEPDPLFKPESYALPGELPEWFTWTEIQILPVQWHALGLGLFASIIAPFGGFFASGFKRAFNIKDFGDSIPGHGGMTDRMDCQMVMAVFAYIYHQSFIVAQTVSLGMILDQIVMNLSYEEQRELYWKLGQIIQDRQFGES >KVI08044 pep supercontig:CcrdV1:scaffold_3295:19088:26462:1 gene:Ccrd_013591 transcript:KVI08044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MLYLSIQDTGDQLPLHSPYGSPPKNAPDKTFSEVNFYKVPPKGFFASDSASGSVHSLSSRGSDSIHGHTRVIGVDAFRVSLSSAVSSSSQGSGHDDGDTLGDVFLWGEITGDGDVSGGPHRVGSSVGVKMDSLLPKALESAVVLDVQNIACGGRHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPKLIDGLSNTNIELVACGEHHTCAVTLSGDLYTWGDGHFGILGHGNEVSHWVPKRVNGPLEGIHVSFISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSKPREVESLKGLRTVRSACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKETKLVPTCVAALVDPNFCQVACGHSMTVALTTSGHVYTMGSHVYGQLGNPQADGKLPARVEGKLSKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDIDDRNSPTLVEALKDKQVKSIACGTNFTAAICLHKWVSGTDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSSKKSLRASMALNPNKPYRVCDNCVNKLKKAIDTDASSHSSVSRRGSVNQGLNEVNEKDDKLDPSFRPRLARFSSMESLKPVENRTSKRNKKLEFNSSRVSPIPNGSSQWGALNISKSLNPVFESSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPIPTLGGLSSPKIIMDDAKRTNDSISQEVANLRAQVENLTRKAQLQEIELERTSKQLKEAMAIAGEESSKCKAAKEVIKSLTAQLKDMAERLPVGAARNIKSPSFTSFGSNLSSSDILPNALIDRPNGQLVPYEESDSNGSNSQLKSNAITNRSSGHNKAEAAARNSSRSKDGESQGDDEWVEQDEPGVYITLTPLPGGAKDLKRVRFSRKRFSEKQAEQWWAENRTRVYEQYNVRMIDKSSIGIGNEDLAH >KVI08042 pep supercontig:CcrdV1:scaffold_3295:38154:47175:-1 gene:Ccrd_013592 transcript:KVI08042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reactive oxygen species modulator 1 MGWWCMATSGGRVGCGSDERHRGGAATTGMVRQRPARGRRWRRGVKQQQWCGDNKEKVTWRRQGDGDVETRGRRGVMILLASQINRERGGEREMARDSCLARVTAGVAVGGAVGGAVGAVYGTYDAIRNKVPGLLKIRYIGQATVGSAAIFGLFLGAGSLIHCGKSY >KVI08043 pep supercontig:CcrdV1:scaffold_3295:17069:18949:1 gene:Ccrd_013590 transcript:KVI08043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology-like domain-containing protein MPEFENSLLVMMTMALSIQSEWLRYTAGDIPTSGLSPIDLSLSEPTPPLIYLFFNLRKNADMLPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEADVWFSGLKALISRGNQRKWRTESRSDGIPSEANSPRTYTRRSSPLHSPFGSGDSSQKVQHL >KVI08045 pep supercontig:CcrdV1:scaffold_3295:3684:7887:1 gene:Ccrd_013589 transcript:KVI08045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology-like domain-containing protein MMLLQTPRMTSDASRTGGQVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLANDESVLIWFSGKEEKHLKLSHVSRIVSGQRT >KVH87468 pep supercontig:CcrdV1:scaffold_3297:27558:28984:1 gene:Ccrd_025295 transcript:KVH87468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESHDHHSKPSVMTKINASYFKICISTGRQALLRKTISEQNSNLPPLASPLFHTIFVAGYFVIWCLTLFTFMILSLVYVLNCAFHFHNLKAEFHHVEVNYLLAPWILWLLLLQSARVHFSRRMHASQPVLFKKSMKRFNIAWWASSFLTFLALASMAYAQQVKDIVAKILALVLSVILFIVFFSLLVCSTLKIYSLVHKRVLCFSTDLGSTNM >KVH87464 pep supercontig:CcrdV1:scaffold_3297:30375:34425:-1 gene:Ccrd_025296 transcript:KVH87464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MSIAKKGFQQYLQQLQQHPLRTKALTAGVLSAISDVVSQKLSGIQKLQIRRLLLKVLFGCAYLGPFGHFYHLLLDKLFKGKKDTTTVAKKDDSGST >KVH87469 pep supercontig:CcrdV1:scaffold_3297:8484:8795:-1 gene:Ccrd_025293 transcript:KVH87469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKXAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVH87463 pep supercontig:CcrdV1:scaffold_3297:22150:26327:1 gene:Ccrd_025294 transcript:KVH87463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTKTSIAIFLICSAFLYPILLISASTNYVRIKLKFNNTNRVVSRSELSQSLRARRQNNQDGSPGSDIIMLKNYMDAQYYGEIGIGTPPQTFNVIFDTGSSNLWVPSSKCFFSMSCYVHPKYKSIKSSTYKENGKYAAIMYGSGLVYGFFSNDDVKVGDLVIKDQEFIEAISEPGMSFIDGKFDGILGLGFKEISVGKVAPVWDTMVRQGLVKDPMFSIWLNPGAKQEKGGEIVFGGVDSDHYKGYCQHGCSAIADSGTSMLAGPTSVVTEINKAIGVKTVANQESQFVVKEHGENILDLLSEKVQPKKICSKIGLCASNGTNSHSDDVSSGNGDGFCAACETMVMWMENQRKQNKTRERILSDGNKLTDAFLDANVDCENIASMPDISFMIGGKDFVLSPHEYIVKDTDDDASCVSGFTPMDIPSPNGLLWILGDVFMGRYHTIFDYGNLRLGFAEAA >KVH87466 pep supercontig:CcrdV1:scaffold_3297:3470:8051:1 gene:Ccrd_025292 transcript:KVH87466 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MNTLTKILTTFIIFLSLAMALSSTADQENIPDIKHDHSLSDLRTGTSRFLAQKHRGLMKCNKNPRRCRAKGSSGPDCCNKKCVNVMTDEHNCGLCGKKCNHMEICCKGSCVNPMVNKRHCGGCNYVCKRVFPNSHSTRSMASLFFILTLLSFHASISHANYYNTPTPNKILNTVSHANYYNAPTPTTPKKILNTIDACWRRSSNWASNRRALADCTIGFGKGAIGGKNGAIYVVTNPSDDPINPKPGTLRYGVIQTKPLWIIFAKDMVINLKNELIMNSFKTIDGRGAKVEIANGPCITIQDVSHVIIHGISIHDCKPGKQGLVRSTMAHLGHRGGSDGDAIDIFRSTYVWIDHCFLARATDGLIDVIHASTFITISNNFFSQHDKVMLFGHNDKNIEDKIMKVTVVFNHFGPGLVQRMPRVRLGYAHVANNKYDEWKMYAVGGSANPTILSEGNYYMAAKSATTKEVTKREVNKGWKNWKWRSSRDVFLDGAYFIPSGWGSCSPGYTRAQAFPVANGYLVPSLTADAGPLRCTKYRPC >KVH87467 pep supercontig:CcrdV1:scaffold_3297:52138:58869:-1 gene:Ccrd_025298 transcript:KVH87467 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MALKAVPIWVCVNHSLSQLGLFDFVQNKKKTPAFTNCRVNLSKFRKWECLGLKLAEPERAQTHVEDDKPLSPSDLGSTSTDERIQDTQITESKGFHKDLNSLPKPLSATDLAVELWLVDKAVLPIENSVGGSIHRNYDLLLRHRLHIVGEVQMVVNHCLLGVPGVKKEELKRVLSHPQIVASEGIRDTGAVASSRAAEIYGLDILAQTIQDDLDNVTRFLILAREPIIPGPDKPYKTSIVFTLEEGPGVLFKALAVFALREINLSKIESRPQRKRPLRIVDDSNKGSAKYFDYLFYIDFEASMAEPRAQYALGHLQEFARFIRVLGCYPMDTTI >KVH87465 pep supercontig:CcrdV1:scaffold_3297:45520:50629:1 gene:Ccrd_025297 transcript:KVH87465 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenase acyltransferase, catalytic domain-containing protein MMSATIRRKALSLCSSALANNAFGRGSKLIPEFHQGAPVYSKSMRDVASSLKADFPTQKWIRSFSSYSEPAVRGDINEPIAQIQTNKGIAAPVIQDAVKMNIAEIQKTFNSSTKKAHNRPAYFTVSGAAIGSTLSTPIVNAPQAAKLGMGSTVSSTFLPNLALFWHINNGIEEILADYVHHEMTRSLVLVLMRLFLIVAAKDVFVATN >KVH87460 pep supercontig:CcrdV1:scaffold_3299:25856:28127:1 gene:Ccrd_025300 transcript:KVH87460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19A/S15e MAEVEVDVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRCTYIFHRGLKRKPMALIKKLRKAKREAPAGEKPELVKTHLRNMIIVPEMIGSVIGVYNGKTFNQIEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KVH87461 pep supercontig:CcrdV1:scaffold_3299:13708:16106:1 gene:Ccrd_025299 transcript:KVH87461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEVDVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRFQRGLKRKPMALIKKLRKAKREAPAGEKPELVKTHLRNMIIVPEMIGSVIGVYNGKTFNQIEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KVH87462 pep supercontig:CcrdV1:scaffold_3299:49490:56224:-1 gene:Ccrd_025301 transcript:KVH87462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MTPSSTTTPPPPPPPTTASEIRHSIRPWFDDFLSLSSLSLPISFPQLSLRIQKNLYAFRANYLIVSIIIFLLTLFSHPITLISFLIIVIAWIYLVFARDEPLVVFDFEVGGRLVVILLSVVTVVALAATSVWWNIFVSALISALVVLLHAILRTPDDADDMESPYGALLSVVDEDGPARGPYTLV >KVH92567 pep supercontig:CcrdV1:scaffold_33:125904:132170:1 gene:Ccrd_005372 transcript:KVH92567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF677 MGCLFSKHSGHPRWWSSCSSKFKLNPDLTSYQDACRSDPDLKSFDSTQRDRTTRVIKSVAADVQVRSLSLDSLRQVIGSLHDMNQDVVKFLLECEEDISKSHELSSLVKDFFRLSILILDFCISLENCLKNASYSSSFLQIAIKQFDEDNDHLKTLEHFKQFKALEAPFPVEFFRKYESVCEQQQSMQKKLEKQTGKVAKKLKSAKVWRKLTNVIFVVTFSAVLICTVVTAAVSAPAVVIALAAAAAAAVGPMGKWVDSLWKEYETELKGQRKVMISMDTGNDVVIAELKNIKALVDKFGNEREDLLQKAEFAIKEEEKDAEAVAVADAVAAAVNEMRRIMSDFEKTIADLSLHPPAGSSSSSGTHSTSKLMLSPDLNSYQEACRSDPDLQSFDSTLQDRTTRVINSLAAGVEVRSLSLDSLREVTGSLLDMNQEVVKVILECKKDIWKNDELFSLVEDFFDLSILTLDFCISLENCLKNARHSSSFLKIAINQFDGDNDYLKTLEQFKRFEALEAPFSEEFFENFQSVYKQQLSMLKKLQKQKGKVAKKLKSTKTWRKLTNVIFVITFSTVLICSVVAAAVAAPPVVVALAAAAAVPLGSMGKWVNSLWKKYETELKGQRELISSMQIGSSIVIKDLVNIKALVDKLGIEMEGLLQNAEFAIKEEEEEAVAMAVDEMRKNVNDFGKTIDDLSDHAFKIRGDISKARKMIVQRLSKNPSDSY >KVH92588 pep supercontig:CcrdV1:scaffold_33:355877:367471:-1 gene:Ccrd_005391 transcript:KVH92588 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MESHRRLSFPADPFNFISIIVSNDIKSARIKIPEKFTERHGGNLPETVTLKVPNGDIWQVDLINSNGAIRFSNGWMEFAEHYNLRFGHLLMFKYEGLSNFRVLIFDPSACEMVYPKNRNHQLAYNSTEIKARSSLDSCIKVNLDDSKETVKLEQEACSSWGQDHETKIKIDGEREGEYLSTRVKEKGRKRAIEAAKARFASNKPFFMVYMTPFHIVGRVNVRIPLWFMKENGSGLKKVERCVLRVGMDKRYKKWEIDLSDRALRMGGWKMFMKDNGVSVDDVCVFELIDPHQNLLKMDNKAMEEHQIGGSNCEQLPQFFKFYMPAHCSTQLQIPPAFVNYFKDYLPLKFVLVTSGKKSWEVDMNKVDDDVYFKRGWEEFVQDNSLTFGDFLMFYYNGGSKFFVSVFGNNNCLKEIEVLNNQSEQQPTCIQLGDEANRTGETKIISVEDTDLSFEVTLQRTYINKGCVHMPKVFYDRVKKFRPIAKLQHSGRTWDVKVSISSERYGFTKGWKKFVTENCVAIGDICCFKMIDIKPKFYLLDVNNGKLPKKKKDDAADDDDDCFYDALDEPSVNNNGVRKYTSDDIVDKQCRSPISVENEIPLQKQCITTFEFDKRNCEELEGA >KVH92552 pep supercontig:CcrdV1:scaffold_33:650401:656226:1 gene:Ccrd_005411 transcript:KVH92552 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein MKPPVIYISSSSDDDDDDDEGNFDDEEELESDFSPTDDGDDSESDDQDYDVEEENDSKSDEEDCFDNRDEISNRVVSFHQGSNDVKEPSLDECKAYLRKHGLRLSGTKEECIQRIKEHGRLKEGRCESLYPRSSFSINCTGDACKGDVVLFTQKVYNKFDKASRRGDVVGKRTVAGKIVKESYGASKQQHTFTIEVLWSKGPKKLPPLTPLLVKGRNLYRFRTLRQPWKSEAARSKVLGEKHKRGEAARHKRKLKKTQIAFENEEGRKRQKVSDRRPSTRTDKYESGQKRVNERNAVRFQKRESKTSQTTFGADPNRCVYSDHHHHHLQQASSSSIPNHPISNLPPLGFPGYHGHYYNGEPLLRNHVLYRPMGYNYEQPFPRYRFG >KVH92587 pep supercontig:CcrdV1:scaffold_33:369986:377348:1 gene:Ccrd_005392 transcript:KVH92587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S9A/B/C, oligopeptidase, N-terminal beta-propeller MLTTLRHHHRHLFRRLNPYLTIWFASAHYKPHKPPPPQPPPRPPKPPQKPATFTLHDHTWEDTYSWMSNLNDKVAMRHMDVYMEQEEKYTEAVLSDTDRLQSKLQSEMASRMSSQLSTPPLRWGPWLYYRRVEEGKQYPILCRRLASLNDEFISHKSPTAGFDFRSGKRIEQKLIDYNQEAERFGGYAYEELSEVSPDHRFIAYTMYDKDNDFFKLCVRDLNFGSLCSKPQADWVCNVAWAMGGQALLYVVTDQNKKPYRLYCSMLGSKDEDVILLEELAENVHVKIRHTKDFRFITVNVFSTTYSKVFLINAADPLSGLTLVWECEVCAHCIIEHHQGYLYLFTNADRGDLIIEDADFCNSHVVLTVREGGRFRLCSVALPLPNNKGMVHLREFCPHFLPLPESVSQISPGPNYDFYSSVMRFTISSPVMPDAVVDYDLSTGKWEIIQQHNLLQERTRVLYGTASTGSTINISEISVPDNEVNSEDDHSWNDLSEFYACEQHEVISSDGVTVPLTIVYSHKRKKEGENPGLLHGHGAYGELLDKRWRSELKSLLDRGWVIAYADVRGGGGRGRRWHEDGRRTKKRNSIKDYISCAKFLVEREIVHQNKLAGWGYSAGGLLVASAINCCPDLFRAAVLKVPFLDPSNTLVYPILPLTPVDYEEFGYPGDIEDFEAIRKFCPYENIQKGVRYPAVLVTSSFNTRFGVWEAAKWVARVRERTIYNPNHPILLNLTTDIVEENRYLQCKESAIEAAFLLKMMDS >KVH92549 pep supercontig:CcrdV1:scaffold_33:713231:717105:1 gene:Ccrd_005415 transcript:KVH92549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETIVFFNKDVLIIKPATKSSVMLRLIVLVFSMVFGVYICSICLKQISMQTKNKFVDIEVIERPCYNTLVDRSQIPFLHYPNPKTFDRSECAGNPVRLFAILSMQRSGSGWFETLLNSHINVSSNGEIFGSKIRRSNISSIIRTLDSVYNLDWLTSSSKNECSAAIGFKWMLNQGLMEHPNEIVDYFNYNGVSVIFLLRRNMLRRLVSVLANSYDKNAKVLNGVHVSHVHSHEEASTLSKYKPTINIASLSLDLREMEETAMKALEYFNGTRHMILYYEDLIKKPSKLIEVQDFLKLPRMKLTSQQVKIHKGSLSKHIKNWDDVNKTLYGTSYAKFLEADY >KVH92572 pep supercontig:CcrdV1:scaffold_33:209868:211880:-1 gene:Ccrd_005379 transcript:KVH92572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQQSYHLLGLLGYPFCSHQSANICRTLNLEAHNFANKSCKNAVSLYLERARLIDRIRIILRSNSPDSLVSVLNDPALDSFVVANALKSAPSPDVALSFIETLKHIPHFTHNQNTLYALAKILAKSHQMGKLKALIDGINAGKFRNVARVSFMDQMKLYAAAGDLDSVLCVWHEWRVSQKCPNIESYNIIMMICAQMGKDYEAVTTFGRMIDEGGIPNSRTYTVIIEHLVNSGNLNSAMRIFNLLPSLRVKHTLRQYAVLVDALCGTDQFEVVKSLLSEMQVDGFLPSRGMYSSLQRMHDAGFIEETLELIKEMLPDQRIENVKMSSGDYCDDEDEVDGNGEFECSHNNAKADGIKLKPWLDPAILASSLRYWRPEEVSSLEDANFVWTTRLVSKMIRTFSSAESAWQFFCWVANQPGFSHDVHTVSRMITKLAREGNVNMVEELISIIKIEGIKLSYGTIRTVIDYYGVSGKGEAALKVFQNVKPLCGTLPTNCLLSLYSSILRTFTKCKMNSKALDTLDEMILCGILPKIQTFSELMHHFALEGDMKTVQRLFGMVKQGGIEPDGYMFKVLIRAYCNSNRAVLALRAFEDMKSSNLMTDVSTKRLLVKSLWKEGKLREAAAVEETNMEANNDSYTTSAANFQRVYRVYSSSFPTIIGKKDLCEEGSINS >KVH92558 pep supercontig:CcrdV1:scaffold_33:257868:261539:-1 gene:Ccrd_005382 transcript:KVH92558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRLTKVEQGHTKIRNVPIAVTPEGFWCCPSPVMFQKTLKTQHHHPLNKPKSSSSSSPPIKTSSDHKKHSPELDKKPSSRASSKSETVLPDDQKKNGFEPPALGASLVAERTGSRPNQENLPRKVSIEFGESGTGDLKVVLMGRQGFIVKLSVHKSVLEENSTFFAEKLVAKNPSFHCIEVDDCEDVETYVETVGLMYCKELKQRLIKQSVSRVLLPWVGEEEEERVVSSVLRLQTEGFGVSPILKRVASDVSKPPKDTLSHVLQLVLKSNEEKGRREMKSIVLKLLRENNKSLPNCSSSISGDLCNTTIYASCRTCLGSLLFLFRQVAQSEFAEQPIEVKNPVVKQMALEADNLSWLLDILADRHGADEFAVMWASQQELAALHPRVPIMNRHHISCITARLFVGIGRGELLPCKDTRHLLLKTWLQPLIDDYSWLQHGCKSFDRKVVEEGIGRTILTLPLEDQQIILLGWLSSFLKAGDNCPNLQKAFEVWWRRTFVRPYTEQGNTTQPSSD >KVH92547 pep supercontig:CcrdV1:scaffold_33:26719:27262:-1 gene:Ccrd_005369 transcript:KVH92547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MAQKSFWLQDFLEFDKASKTLDWLFTKYKRQQSRSWLMKQSTPHLPLLVLIHVNWLLETIKGPEDQSVEYKGVKKAEARARSRKGGLKFRMITLHPNQASWDQIESQSVYSDFLQNQLSDEIFVQQSA >KVH92565 pep supercontig:CcrdV1:scaffold_33:59644:64808:-1 gene:Ccrd_005370 transcript:KVH92565 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MEVIMSSPIQNCSGLENAGGDVSASLRSRHQERKKKKLLRRENNKNPDSKLEVAQQNVDVIPQSSIZDNANTDLGTTATFQLSKRKIRRNKKLLREDAENPESKLEVANVDMIPQSSIQDNSNTDLGTTSTVQLSKCQIRRNRKLLREDAENPESKLEVARQNVDMIPQSSIQYNPNADLGATATVELSKRQIRRNRKLLREDTENXESKLEVARENVDIITQSSIQDNPNTDLGTTATVQLSKRQIRRNRKLLREKTENPESKLEVPCRNVVVITQSESFATPDAEMVSKGEERTKVANGRMGSDMPKNVHIEGTIQKKKKKKSRKEKPTLGEILNPRQLEDMEVAIGEMDSGTTATDHIVSQKREKQKLENKDPASGDILDTRGCVQDMALAKKGLSSTRALESTSGESVSCKTATPDAKIVSKGKEHTKVANGEMGSSETKNLNVNGTVLKRNEQKLENTRPNVGEIVNCQYLQNLEAGNYEAHSTSAAKKKRXKLKKKAAKGEISTTSENTEDSVIAKKDAGTNKVFEAISTESKREDSMEIVNGEKCLRTTPLGADTMIKSVGCTEVVSSEMGSSGAMIIVETTGSRGTSTLDMNTVSKREEHTGSSGTTITKTVSKVEECTEVGNIETDSSISRKTEKLRKKKEGVGLKAIDVIPQVPTSRKKLIILDVNGLLXDICFSPPKDXRADMYMLGRAIFKRPFLDYFLYFCFAKFHVGIWSSRTMKVLDPVVNYLLGDLKYKLLFLLDGSSCTNSGMRTLEEKHKYIVFKDLRMIWERNGPPNSWVKGTFNESNTLLLDDSPYKALLNPKHSGIFPVSYTYKDKNDNFLGPMGVLRNYLHGVAATDNLKMYVEQHPFGQSYIDEKNPHWSF >KVH92564 pep supercontig:CcrdV1:scaffold_33:95376:96404:-1 gene:Ccrd_005371 transcript:KVH92564 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MMFPTNSFQQFASSIHVFPPPDSFLDYEKDGVCINHHYRNDNPFVSGDCCFQAFSGSPPTATEKFATCKQDSFEELQQQYYCEDYHHLFESGVSPTKKKKTPKKDNHSKIHTAQGPRDRRVRLSIEVARKFFCLQDLLGFDKASTTLDWLFSKSKIEIDKLVKGKKHSSSSSTVTDQSEVGFLETLTGGSDDQDKRQKKSAPKYCLQGKKKKMTRKHKSGFPVNQSRAEARARARERTQEKLRNRNRMLDNDSKKALGGPCCPASSPSLTVLQPSFWSAIESENEYNDRIAELITAQKISMPSSMLCCYQHNLTVSNNSSSTFTSLPDFTVVHEQQGDSVSI >KVH92560 pep supercontig:CcrdV1:scaffold_33:289309:294856:1 gene:Ccrd_005384 transcript:KVH92560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ternary complex factor MIP1, leucine-zipper MISEVFEDPFHDLSFFPGKNGFEEDSLDHSNSIKLNKSCINSEKNQVPNADIQNSLRNEPATELIKDIAVLEYEVSHLEQYLLSLYRKAFDQQISCPSPLRNDESLKSPLMTPRGKYLETCRADISLKPENPADPAIFPSEINSWKDVNEGTGEDDLLLDSGVHRCHSSLSQHSILSMETPAETLGKALRACHSQPLSMMEYAQNNTSNIVSLAEHLGTRICDHIPETPNKLSEDIIKCMSAVYCKLADPPLTNHGISSPTSSLSSMSAFSPKDHSDILWSPGFRRDSSSFDVRLDNPFHVQGLKEFSGPYSTMVEIQSISRDGQKLGDIEHMLQNFRTLVSLNLFLAGLNSKRPYEIGIFRAAYNVGGQVIRVYTPKRVTQELEGAKEEFVRATFGVTKDHKILLPKAIESFAKDSGLCAGGVMEMIQQCLPESVRKNIRKHQLAKSRKMIEWVPHNFGFRYLICKELVK >KVH92555 pep supercontig:CcrdV1:scaffold_33:328634:332122:-1 gene:Ccrd_005388 transcript:KVH92555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold acclimation protein WCOR413 MVRSDFWTLKTEEVTAELINADISELKLAVERLFDHVTKIGGLGFGTSFLAWVASFAAIYLLILDRTNWRSNILTTLLVPYVFFSLPSSIFYFLRGQFGMWVAVITVVLRLFLPRHFPDWLEMPGSMVLLMVVAPNLFAHTFRNSWVGLAICLLIGCYLLQEHIRASGGFRNSFVQSHGISNTIGILLLLVYPMWTLIVRFV >KVH92554 pep supercontig:CcrdV1:scaffold_33:671215:680208:-1 gene:Ccrd_005413 transcript:KVH92554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M24A, methionine aminopeptidase, subfamily 1 MVGAATATLRPRIFSSVSGNCCYNLSEQPLHRIFRNIDELENGTRKPLRPGKLSPRRPVPDHILKPPYVASKKPPGIASGPEVHDEKGIEYMRAAGRLAAQVLQYAGTLVKPGITTDAIDQEVHQMIIDNGAYPSPLGYSGFPKSVCTSVNECICHGIPDSRELEGYHGDTSATYFCGDVDDKAKNLVQVTKESLYKAISVCAPGVDAHADKHGYGVVQQFVGHGVGRLFHSDPVILHYKPMLTMGSIHPIIWDDDWTVVTEDGSLSAQFEHTLLITENGAEILTQC >KVH92548 pep supercontig:CcrdV1:scaffold_33:685835:697887:-1 gene:Ccrd_005414 transcript:KVH92548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MLTAPDQNNRPLYAAKDIVPFYLENCPKIFKQFRGPFGAIIKLLKALVGPKYNGKYLKELVTSLLGTTQLNQTLTNVIIPSFDIKTMQPVIFSSFQVPREPSMDVQLSDICLGTSAAPTFLPAHYFQNGDREFNLIDGGMAANNPSLVAIGEVTRQTLKEDPNFFPISPLDYGRFLVISLGTGTEKQQPRYDAKMAAKWGVLGWLLTNGSVPLVEAFTQASADLVVFHDNVVFEALNSTDNYLRIQDENLTGDLASTDIATKQNLDDLVKVGERLLDDSVSRMNSTTGVVEPIPDGGTNRDVLKRYNFVVQITVNICTKSRFNKIQFIFKCRFAQQLSDERKLRESNNTDGKVMTTDIPTSNRAGLPPPSVGNLITILSIDGGGIRGIIPGVILKYLESELQYIILASNRTVCFQWCKFRGPFADIIKKSKALVGPQYDGEYLKDLVTSLLGTTRLNQTLTNVVIPTFDIKTMQPVIFSSFQVPRAPSKDALLSDICLGTSAAPTYLPAHYFQNGDQEFNLIDGGIAASNPSFVAISEVARQVTKADPNFSEIAPLDYGRYLVISLGTGTQKEQPRYDAEMAAKWGVLGWLVNAGSSPLIEAFNQASADLVVFHNNVVFEALNSVDNYIRIQDETLTGDMASVDIATTENLNNLVAVGEGLLDKPVTRVNTDTGTIEPVLNGGTNREALK >KVH92546 pep supercontig:CcrdV1:scaffold_33:24173:25141:-1 gene:Ccrd_005368 transcript:KVH92546 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MKSSFINMFPPNSSFPHDLPSSTNHVFPPLNSFSNHELYDVDHFKYLQMHHDPCESLFNAYNNTAPPPPPPVMQNVTTISQDFLESSGLPNCEEYGHDDLLDSVVSRYKKKMVATTRKDGHRKINTAQGPRDRRVRFSIQIAQKFFGLQDLLGFDRASKTLDWLLTNSVTAIKELVEETNQASSDESRQKFLETIIGKKESVIKCGDGKKKKTRQKCTAGCGVNNAARDQSRAEARARARERTRAKMLVKKLDDDDLVPDSKLSLMDSGGCWSEIDQPQSDYKNLRWECIMDQEQMSRQSKDSSSLYTSLYQNLEYYFMSSR >KVH92545 pep supercontig:CcrdV1:scaffold_33:2938:3888:-1 gene:Ccrd_005367 transcript:KVH92545 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MFSSNPLGYLPNSFYDHEKDDFHFNYHQRNHGDPFISGECFFHASNSTALPPPIMENATTIKQDFVGQQQQQQFSERSGLQNCDYRDDLLDPVISRHKKKIGTFKKHGHSKICTARGPRDRRVRFSIEVAQKFFCLQDLLGFDKASKTLDWLLTNSMPAIKELIEETGDCSSSTVNHPYKLKFLEAINGGSDDLSDGKKKNSVLPKRVAGKKKKTRRKCKTGFQVNVTRDQSRAEARARARERTREKMRVKKLGDDLKTLAPDGCEYYEVSPSNLILKSDYWREIEPQIDYKNIRWKCIMGSETSQQSKDSSFTNI >KVH92583 pep supercontig:CcrdV1:scaffold_33:503310:519363:1 gene:Ccrd_005400 transcript:KVH92583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MVTNANELALKTVFGELVKAVASKIKRTAKFKTLLKRLDKTLRTIEPLVYGSGNLGKVLDRPENEIKMFIFYLENAKDLVLMCSTIKCWKVYKKFVHANKLIRLDNELQRFFQTGMEDNMMSVSRRGLIEIYALGEKLDQVLAAVTERAGGFSGSCSVPGLPDVIIGLDHHLDELKRRLLKDDNQVLTVSAPGGCGKTTLAKMALLGTTSFIMATLKSVVQKLFVHHLHVDRCEFQTDEEAKNQLENLLMRQMGSENILLVLDDVWSESVSLIQDLKFPIPGYKILVTSRFLFPRFGSTYELSLLNDEDARTLFCHYAFPCGEILNVRDDLVTKMVKCCKGFPLSLTVIGASLCGQNEVKWRTTLKKWSEGQSIFDSSTRLLLSLQASVDALEELPIARDCFLDLGSFPEDEKIAASALMDMWVELYNLDEEGMKDASELEGYCNEHYVTQHDLLRELAIHLSSQGPISQRERLIIEIHGNDIPAWWIEQSQQPIATRLFKKYTLPEFIQNMSQLKVLNVTSYGICPSELHELPLIGSLSRLRRMRFEHLSISSSIQSIFEVKNLRKLSFIMCEIDNALETCTMDARSMLPNLTELEIDRCYDLKKVPDYLCSLVRLKKLSITNCHELDALPKGLGSLSNLEILRLHSCTRLAKLPNSIGNLHGLTFLDISDCLSIDSLPDEFGELTGLRVLKMSGCRGLEELPASVTNLTLLEDVICDEETSYLWSYYESDLGDLKINVVEDDRFADFMQIVALKIVLVELLKTVSSQIQKTAKFKTLLKRLEKTLKNIEPIFYGNGGLSIVLDRPQKEIKMFIFYVENGKNLVLKCSRIKCWNVYKKFIHANKLIRLDNELQRFFQIGLEDRNHRIMIGIHDLGDKMDQVLSAVTERAGGFSGSCSVPGLPDVIIGLDYHLEELKRRLLKDDNQVLTVSAPGGCGKTTLAKMVCHDNEIKGIFGDNIFYVTVSRTATLKSIVQKLFVHHLNVKCCEFQTDEEAKDQLENLMKQMGSENILLVLDDVWSESESLIQDLKFPIPGYKILVTSRFLFPRFGSTYELSLLNDEDAKTLLCRSAFPYDGIFNVPDDLVSKMVKCCKGFPLALIVIGSSLCGQGVVKWRTTLKKWSEGQSIFDSSKQLLLNLRASVDALEEFPIARDCFLDLGSFPEDERIAASALMDMWVELYNLDEEGIYTSEYLLELSSRNLLSLVPARKDASELEGYCNEHYVTQHDLLRELAIHLSCQEPITQRTRLLIEIHGNDIPTWWIEQSQQPFTTRLLSITTGPKVEVLVLNIRSQKYALPWFIQKMNKLKVLNITSYSNNFTELHQLSLIGSLSNLRRIRFEHLSIPPLIQSIFEFKNLKKLSFIMCEIGNAFDSCTIDAPSMLPNLIELEIDRCYDFKEVPAALCNIDHLQKLSITNCHELDALPKGFGRLSNLETLRLDSCTKLAELPNSIGNLGSLTFLDISDCLSISFLPHQIGELTALRVLKMSGCRGLQDLPASVTSLSSLEDVICDEETSYLWRFYEHDLCDLKINIVEDDRFADFMKIVAQ >KVH92575 pep supercontig:CcrdV1:scaffold_33:598900:604208:1 gene:Ccrd_005408 transcript:KVH92575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MDANSNSRIFHKANLVIVSLIITGVALQLLTVNCANVGLSRTSVVTVLSIDGGGVRGIIPATLLSFLESKLQEIDGPAARIADYFDVIAGTSTGGLVTTMLVVAGDDNRPIYSAEDIKQFYFHHSPKIFPQISRIKFLNKVASFFGAVVGPKYDGKYLRSVTRQILQNRTLKQTLTDVIIPTFDIKRLQPIIFTTDDVISDPSLVAKEFVWKDALLSDVCISTSAAPTFFPPHYFETSDADGTTHGFDLIDGGVAANNPTQVAITHILKEILIGKHKFSDMESMDGRRMLVLSLGTGIPKREEKYNARTASRWGLLNWVFDNGSSPIIDIYSDASSDMVDIHVSTFFRTLHAEKNYLRIQVSRVDLETGMFQEVEGEGTNSEALTRFANLLSAERRRRQ >KVH92590 pep supercontig:CcrdV1:scaffold_33:442225:443253:1 gene:Ccrd_005396 transcript:KVH92590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MIQELFGGGAAGLLNRDRNLPISGVFEASPSPSPSSSTTNGNTTTNAATNNATTVGNNAPTTSDNQKLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKGTTIAAAVGKPISATGKLKAVVSSELGRSGFINGFDQEFTPNPILWSGPPQTSHLLSLLRATQNPNPNFASNSVTHMKDQSFMVGSNMSNLGFEPLGQASSLGLCSSLWRNNQLNHQQAQQNHHDQQGVMATGHEVQNIENNPSGHQRYQRLRSSSPANYYHHDHQPTPLILGGNVITNTSSSTTTSTILDSAPVLASGEMGFWNQNLPWSDLPAANGAYP >KVH92585 pep supercontig:CcrdV1:scaffold_33:474648:476301:1 gene:Ccrd_005398 transcript:KVH92585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MSETRHSPSPPPPPPPPPSPAAPPSTDYNDNYSVKDVIMWRRKRMSVGVLTTATVLWVVMEVYRFNFITVASWIAIFLLSSLFAWANIFKLIYKEEPNMSGLGISENTATRIANRIREFSEEATRWMFKVGAESEWYVFAATIAGLWLLSVIGSSTDLLTLLYIGTVVGMIVPPIWVKYDYKIREYGKRLQMQSKRFYSTIDAKVLRKLKNEVEINPPRTEEKEKKEE >KVH92550 pep supercontig:CcrdV1:scaffold_33:728296:740934:1 gene:Ccrd_005416 transcript:KVH92550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MVEELDGEEARLADYFDVIAGTSTGGLVAVMLTAPDQNNRPLYAAKDIVPFYLENCPKIFKQFRGPFGEIIKLLMTLVGPKYNGKYLKELVTSLLGTTQLNQTLTNVVIPSFDIKTMQPVIFSSLQVPREPSMDVQLSDICLGTSAAPTFLPAHYFQNGDREFNLIDVTRQVLKQDRNFFPITPLDYERFLVISLGTGTEKQQPRYDAKMAAKWGVLEWLVAKGSVPLVEAFTQASADLVAFHDNVVFEALNSVDNYLRIQDDTLSGVLASSDIATEKNLNDLVEVGKKLLDGPVSRVNSDTGVVEPVPNGGKNREILKRYNSVIKSHLIRTSTGGLVTAMLTAPNQNNRPLYAAKDIVPFYLENCPKIFKQTGGLFGGTIKLLKTLVGPKYNGKYLKELVAREPSMDVRLSDICLGTSAAPTYLPAHYFQNGDREFNLIDGGVAANNPVISKQLSCTITRRVQLCIRYNSASKKQALKKDPDFPSTPLDYGRYLVISLGTGAEKQKPRYDAKMVANWGIVGWLLKDGFTPLVDVFTQASADLVVFHDSVVFEALNSVDNYLRIQVWFVHLEYAFDDKLTGDVASLDIATTKNLNALVAVGERLLDGPLSRVNCSTGVVEPVPNGGTNCEALKRFAKQLSDERKLRESNNIDGE >KVH92569 pep supercontig:CcrdV1:scaffold_33:160245:161174:-1 gene:Ccrd_005374 transcript:KVH92569 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein MTRETLIYYLSEHPEIVNFRWSHTQSWGSTWSFLFTSIFTYVFLSLLLHLLLLPRRRPVPLGPIPALHSLFMALISVTIFTGILFSAAAEIRDTRWFWRRTKTTPFQWFLCFPLGTRPSGRVFFWSYIFYLTRFLHTLRTFFAILRRRSLSFFRLFNHSILILMSFLWLEFSQSFQIVGILMTTSIYAVVYGYRFWTAIGLRSACFPFVINCQMALLASNLICHVGVLLLHLKKGGCNGIGAWWINSVLNAAILLLFLNFYVNSHLKERRKNPSPSPEPHDHGAAKGNAVPATVEADLIGRGDTKDKDI >KVH92580 pep supercontig:CcrdV1:scaffold_33:535299:535502:1 gene:Ccrd_005403 transcript:KVH92580 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein MVQVTITVSTRTPQWQCVESVAISKCLLYGRFIPAPLRKGQGDTIGIAMQRAFLGEIKGTCITRTKI >KVH92576 pep supercontig:CcrdV1:scaffold_33:592051:596415:-1 gene:Ccrd_005407 transcript:KVH92576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MNNTNLVIVSLVIVLLTIQPITADDPKRLSRARFVSVLSIDGGGVRGIIPGTILAFLESKLQELDGPEARIADYFDVIAGTSTGGLMTTMLAAPDDRNRPLYAAKDIINFYFQHSPRIFPKRSRSKFVNTVASVFGEVAGPKYDGKYLRTLTKVLLRNTTIKQTLTDIVIPSFDIRRFQPTIFSTSHVSFDQFLVILKLFILYLAREFPWKDAYLADVCISTSAAPTYFPPYYFETRDIDGTKHTFDLIDGGVAANNPEVLNGKYKFTGSRNIDGRRMLVLSLGTGMQKSSEKYTAQIAARWGLLSWIFDNGSAPIIHIYSDASSDMVDIHVSTLFRAFNAERNYLRIQMDISTNENMRTLENIGKRLLNKSVSRLDLETGILEPVEGEGTNAEALTRFAQMLSAERRRRLAA >KVH92559 pep supercontig:CcrdV1:scaffold_33:264511:273165:-1 gene:Ccrd_005383 transcript:KVH92559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-SET domain-containing protein MYDGGANKHELIQEGNTKVDNHVLNMEASDDEQFGDGDWKLDESSPTIEGDPKREPFHELEVDDQKLSSNSRDSGVDSLDADTVGRELPSGNQECESSRSEPKWLEQDQPMAVWVKVGIRCARSDWPLSTVRAKPTHDRKQYLVIFFPRKRNYSWADVLLLRPINEFPEPIAYRSHNVGVKVLKDLTVARRFIMQKIAVSMINTIEQLNNEALTETARSMVVWKDFALEASRCKDYSDLGNMLLKLEKMILARFINSYWLEHSLETWMQQCRNANSAESIEMLKEELGEAINWNEVHMLSNATVQAEVGSEWKTLKPEVMKWFSMSNPSFNTGDTEQQSNDGFSNTGLQVSRKRPKLEIRRAEMQTPQMETEGSNQSLPIEIDSRFFNGQMVNSPIGLSRAEVSLLGTTETIDYPSSSTTDRWGEIVVEAGNMQETRLKNLEVNPVNKNKQCTAFIEAKGRRCVRWANDGDVYCCVHLASRFSANLAKPEVSTPPVDAQMCEGTTVLGTKCKHRSLPGSSFCKKHRSNKDTVVILPSPPENKLKRKIEDRFRDLPEANSCKEIVISGHFGTPPPVDTSNGLFQTEQLGKEYNGTETIYCIGDGVSCRETPKRHTLYCEKHLPNWLKRARNGKSRIISKEVFIDLLKSCQSHEQKLHLHQACELFYKFFKSVLSLRSPVPKEIQLQWVMSEASKDVKTRHFLTKLVCSEKERLIRLWGFNSDNIAQNSSATEEPVKFLMADDNKNNVEGIVKCNICSEKLLDDQMLAKHWIDNHKEEARLLFKRYVCAICLDSFTENNLLEAHVQERHHVQFVEQCMLYQCIPCSSRFGNPDQLWSHVLSHHPANFNLPHVVQLGEDSLETRDYTQVQNVNSDNQDGLQKYICRFCGLKFDLLPDLGRHHQAAHMGSIPTGSRVSKRGVPFYANKLKSGRLSRPRFKKGLGAAQFKIRNRGAGSIKKHIQAPFREVVEGEFQSTESVSLGRLAEFQCSDVANSLFSKINKTKRHPGNLELLAIAGSACCRISFQASLEKKYGILPERLYLKAAKLCSEHNVLVEWHQDGFICSKGCKSITDSHQLPPLKSLSDGSFRPMAEIHQPAAITSEWAMDECHYIINFNHSRQESTERAIVLCDDISFGKESVPIACVVDEHLLGSLHGSADGDDGQTNACFLPWESFTYSLQLGCGCAHSTCSPKACDHVYLFDNDYEDAKDINGKSMKGRFPYDDKGRIILEEGYLVYECNRNCSCDKNCPNRVLQNGVRAKLEIFKTEDKGWAVRAGEPIDHGTFVCEYIGEVIDEQEANKRRQRYVTEGCSFIYEIDARVNDMIRLIEGEASYAIDATKYGNVSRYINHSLDSQLSHIGLYASRDIAAGEELSFDYMYKAPPGKGCECRCGAVNCRGRVQ >KVH92582 pep supercontig:CcrdV1:scaffold_33:521728:526638:-1 gene:Ccrd_005401 transcript:KVH92582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDRSMAAANSGMDLPIMHDSDRYDLVRDIGSGNFGVARLMRDKQTKELVAVKYIERGEKLILTPTHLAIVMEYASGGELFDRICNAGRFNEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGGYPFEDPNEPKDFRKTIHQSTLDDLQRILHVQYSIPDNIQISPECRHLISRIFVGDPAQRITMAEIKQHVWFLKNLPANLMDEEKMMNNQFEEPDQPMQSVDVIMQIISEATIPPVGLYDLDMMDDDLDDFDSDPDELDIDSSGEVIYAI >KVH92577 pep supercontig:CcrdV1:scaffold_33:584174:590852:1 gene:Ccrd_005406 transcript:KVH92577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein ECLFLPLLFNRPFFCKIKSHRPYHPYTTYSPWSVIYSITASGATIYPAYLSIIPHTTFKINSGGRRSRTGFRVLIHSLLSSSSNSVCWCRESEREREREKRKMVNPRCYLDISIGGELEGRLVVELYKDVVPKTAENFRALCTGEKGIGPNTGVPLHLKGSCFHRVIKGFMIQGGDISARNGTGGESIFGMKFEDENFELKHERKGMLSMANSGPNTNGSQFFVTTTRTPHLDGKHVVFGRVIKGMGVVRSVEHTTTGENDVPTLDVIIEDCGEIPEGADDGVCDFFKDGDTYPDWPLDLDVKPEEISWWITAKQDYKNALRKYRKALRYLDVCWEKEDIDEGKTDSLRKTKSQIFTNSSACKLKLGDLKGALLDADFALREMDDNVKALYRQGQVGNCTCVASMALNDIDSAVESFKKALVLEPNDGGIKKELAAAKKKASFIFAPARFCKPQVRLFPALWEIFETTVRT >KVH92586 pep supercontig:CcrdV1:scaffold_33:379524:391167:-1 gene:Ccrd_005393 transcript:KVH92586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MHISLWKPIISHCSFLDKKNRRKNGSEINKNPSILRKLEENNLREALEQASEDGCLIKSQNIDSSDAIDIQDQSLGRSRSLARLQAQKEFLKATSMAADRTFEGEDSIPEFNEAFLKFLMMYPKYKSSEKIDQLRVDEYSHLAETVSKVCLDYCGFGLFSFLQTVNYWESSTIGLSEITAHLSNHALYGGGEIGTVEHDIKIRIMDYLNIPESEYGLVFTVSRGSAFKLLAESYPFSKNKKLLTMFDHESQSVNWMAQSAKEKGAKVHSAWFKWPTLQPCSPHLRKLILTKKKRKKDSATGLFVFPVQSRVTGSKYSYQWMAMAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVIGSLHNQSGHAGSGIVKISPVFPLFLGDSVDGIPALSGIEDGEVGGNGDVISERRAGGQLPAFSGAFTPSEVRDVFETEMDHGNSSDKDGSSSIFEETNSFSIEVMKSPVFSEDESSENSVWINLGQSPFESRSLSPVNKKSNQKETDLEPHLSKVLSFDAAVNKFKILDKFEGEIQENKRDVNGPEIQEESEEKKRNGSSVIRRETEGEFRLLGRREGSKRVSFGLEENDDFTFRHPLEQLEDDDYEGLESERREPEISCRHLDHVNLSGLNKTTLRLRFLINWLVTSLLQLRLPGSNGSIPLVHIYGPKIKYERGASVAFNVRNRSRGLFSPEIVQKLAEANGISLGVGILSHVRIVESMKQNRGPMDLADTTLCRPMDGEKDDGGGFIRAEVVTASLGFLTNFEDVYKLWVFVAKFLNPSFIREYGLSTVVEDENEEQRGCIDLSIFFLFFSLISRKEFLRATSLAADRTFETEESIPDLHQSFSKFLTMYPKYQSSEKIDHLRSDDYSHLCESVSKVCLDYCGFGLFSFLQTVHYWESSTFNLSEITANLSNHALYGGAEKGTVEHDIKIRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVNWMAQSAKEKGAKVQSAWFKWPTLKLCSTDLRKQISSKKRRKKDSSVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVIRSLQNQSGHAGSGIVKISPVFPLYLSDSVDGIPGLAGIEDDDDGGNGEIASEIRPGPQLPAFSGAYTSAQVREVFDTEMEHDNNSDKDGASTIFEENESFSVGEVMKSPVFSEDESSDNSLWIDLGQSPLGSDYGGGAVNHKHKGSTSPLPPFWFSGRNKNKQLSSPKPTSKIANSPIYDKETKESAIRRETEGEFRLLGRREGNRFSGSRLFGVDEIEQAGSKGRRVSFTIEEQNKEFLATNVEDDDYITDGDYVEGQESSRREPEISCKHLDHVNQLGLNKTTLRLRFLVNWLVTSLLQLRIPGSNGQESVPLVHIYGPKIKYERGASVAFNVRDRKKGLINPEVVQKLAESNGISLGVGILSHIRILDSSKRNPNSEDTTLCRPMENGGHNGKNGFIRVEVVTASLGFLTNFSDVYKLWAFAAKFLNPDFNKEDGLSTVVEDEE >KVH92553 pep supercontig:CcrdV1:scaffold_33:657785:666955:-1 gene:Ccrd_005412 transcript:KVH92553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSPPASPSPSSSSCSSSSSSYHHRLLILQVRRLLQFGDIDSAIDLLRLHAPSVLDDQRLLFRLQKQKFIELLRKGTEKDRNSAINCLRTALAPTALDAYPEAYEEFKHVLLAFIYDKDDQTSPVVNEVGILSQLVTISWISSPISDLTERLLLEERDLPAAPQEDQVDIQALAHAVELTRQGAVDSLKFAKGDLFQAFQNEVCRMRLDVTMLDELIHEYCVYRGIVDAGPSNPSSRGMQLVPEPSESNQTESESTLSADFSLEVRSGSSKLADTDMDSPGTGAVSVQNPDAEERYPCGTMSSYDDCSTSGIYRHKASKIMQRNKSRGNTERNKRKRWRGRHEVQDSFPNVLNRGCKQELKAITLVDANMSEEQQGSRRCHGEGQRHKSRNWKKEIFYFYNNFTGGTSPISFICMSATDSGVGILLAFVLQVSEISSAVDASGNKEDRYEIMLAIKELASKGMAAEVVEEINDMHSNFFTQNHVLLFQLKRIEFLKLVKSGDHSRALKVACSHLGPLATRDPTLLKPLKETLLAFLTPNETFVGESLPFHALATSLQVAIGRRLGIEEPQLMKIMRTTLHTHNEWFKLQMCKDRFEGLLKIDRLKEVDSSLLADALSKSSADVCNHSSSQGTATSSSRMQEDGSPAQVSSTGDVASDETAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >KVH92568 pep supercontig:CcrdV1:scaffold_33:172077:173111:1 gene:Ccrd_005375 transcript:KVH92568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MPLSNLSPLLTTFLLLIATTSTSTSTVSLSFKEAPKFYNSPTCLPAIHICSDNAVHVAMTLDSAYLRGSMAAILSVLQHSSCPENIRFHFVASASAHTDNIQLMISTSFPYLRFHVYRFDDSAVLGLISTSIRSALDCPLNYARNYLADLLPVCVKKVVYLDSDLVLVDDIAKLAATPFGDDNDRKVLAAPEYCNANFTSYFTPTFWSNPSLSVTFSDRHACYFNTGVMVIDLERWREGDYTTKIIEWMELQKRMRIYELGSLPPFLLVFAGKIAPVDHRWNQHGLGGDNFEGLCRDLHPGPVSLLHWSGKGKPWVRLDGNRACPLDTLWAPYDLLQTPNFLES >KVH92570 pep supercontig:CcrdV1:scaffold_33:198305:205531:1 gene:Ccrd_005377 transcript:KVH92570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGRKRAPLRGDVAVDREEASAVDKRWSPSRRVRRRRWGGEAMEAMKRVSFKLIKASFFYPLMAPVKIPKSFGCFSQKGLLLKLSSFRSKSSKTTSNSTTPTLMSPRSPEPNGNNREQEFRLVFARFDADNDGKISALELRSYFGSIGEYMSHQEAQGVIDDLDTDGDGFIDFEDFMRLVKGKDENEDVKAAFEMFEYEKGCGEISPKSLQKTLSRLGDSKTYEECLQMIKVFDTHGKGGVDFNEFRKMMMV >KVH92556 pep supercontig:CcrdV1:scaffold_33:334658:337568:-1 gene:Ccrd_005389 transcript:KVH92556 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MATDMAETSKRQAWFCTTGLPSDVVVDVGDMSFHLHKFPLMARSKKLHEVITEHETNPTGRVTAQMESEQEDKDEIQEEKQAETETAADSDDFCHVTLPDFPGGSETFETAAKFCYAVKIELSSSNVAPLRCAGEVLEMTEEYCEDNLISKAERFLSQTVLRSLRDSIRTLKSCEDLLPMAESLGIVQRCIDSIVSKASASDPSLFGWPVNEFTNSGAAAVSSSHETGSRRKGATASGGDRWFDELVFLSPPLFKRLIVSIKARDLNPEIVENCLISYAKKHIPGVNRGGRRSSSSSVLSEIEQKELLETIVTNLPEENGLRSSPAVTVFFGMLRAANILIASNACRATLEKKIGLQLEQATLDDLLIPSYSYLTETLYDVDCVQRILAHFLRSVEQTSTADVIHDDNDGADENRVRSVTLMLVGKLIDGYLSEIASDTNLKTDKFLDLAFALPEQSRLYDDGLYRSVDVYLKAHPWIKEADRERICGVMDCRKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRQAIAGTLINTDIGRLDMERLPEMARQEDESIVEDEVERGIGGTWTAAVRDNQVLRLDMDSMRTRVNHLERECSTMKKAIEKIHKMGPSGWRGSLTKKLGCKFKTQMSMTLVI >KVH92571 pep supercontig:CcrdV1:scaffold_33:179384:183285:-1 gene:Ccrd_005376 transcript:KVH92571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystinosin/ERS1p repeat-containing protein MKLVLNNNSVSYCVKQNKPCIGWVDKYFKDCLCNLRDEISFGLGIASLVCWGVAEIPQIITNFRTKSSHGVSLLFLLTWVAGDIFNLVGCLLEPATLPTQYYTAALYTISTIILVLQSLYYDHIYTWLKSRKADASANIEVEEAKKPLRPSNTTEEPRDVNSKSRAIRTSPSPRQDYFFTSARSMAGSATPPNRSYLWATRSGPASAMAGEDDSSSEDESSVQIPKPAATQPKPIPRSAGYAAFLATSLNLPSHIKASMQVYVGRKLLQEGGGSGDVYGQWLGWMMAAIYMGGRVPQIVLNIKRGSVEGLNPLMFIFALVANATYVGSILVRSTEWEKIKANLPWLLDAAVCVALDTFIIMQYVYYRYLRRGNDEEGQYDDYLEANKSYVS >KVH92578 pep supercontig:CcrdV1:scaffold_33:577619:580689:1 gene:Ccrd_005405 transcript:KVH92578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSKWWRTAVVGLRKTAETSRSYHTIQAIPREISGNRISVKDRAQGRIPAVVFAQPNPSTDGGGSSPVRSVARKHLLTTERKQIQSILKSIDLPYFCSTTFPLQIRAGSGSSTLLESGNVLPIKAKSGCLINSLASIMSLLITNVGILVHMDTETGKLLNLVFVWADEGTELKVDVPIVFKGEDSCPGLKKGGLLNKLRTSLKYKCPSEHIPQKIEVDISNLDIGDRVFMRDVKVHSSLELLSKDETRPICKIVASKQDNPNQTA >KVH92562 pep supercontig:CcrdV1:scaffold_33:314622:317408:-1 gene:Ccrd_005386 transcript:KVH92562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MEEELPGAIGTSASLALRLGQALFSVASLLFMCVGVEFYAYTSFCFLVTIKGLTIPWSLTLAMVDAFSVFVKRPSRQVQIVSTIVIGDWVLSFLSLAAACSTASVADFMITEAGDFFCGRKLCSRYQLSAAMAFLSWCLSIASALFNLWLLPSLY >KVH92561 pep supercontig:CcrdV1:scaffold_33:303939:304430:-1 gene:Ccrd_005385 transcript:KVH92561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGICSSCDSTSIATAKLISHDGTLQEFSSPVKVSYVLQKNPATFICNSDEMEFDDVVSAISDDDELQLGQLYFALPLSRLRHPLSPEEMASLAVKASSALAGCRRKNLSFTTSGEKCHAKSSGRVADVGVFETRSRRRGAASAGGGGSGKRRNFTAMLSAIPE >KVH92573 pep supercontig:CcrdV1:scaffold_33:207174:209030:1 gene:Ccrd_005378 transcript:KVH92573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFLFMSVFLFFAIEIVGQQLYSGDLVMNCDNTDETGPSPAFLYTCNGLKSSCSAFLIFRTQPLYNTVAAISKLMTADPTELARINNIRDSNKILNLNKEVIIPVTCSCSGKYYQANTSYVLSMGENYFTIANSVYQGLTTCDSIQRNNVYERYNVPPGVKLQVPLRCACPTVSQTTEGTKYLLTYLIVLKDSIPKISKQFKVSSQNLALANGFSSGESDEISPNTTLLVPLRSEPLSSQTRTLGQKGSLSKKCIIIGTVTGSFLAILLCCLFVGYLLWKRNRANGGEKLKWVLPKDIQLGIASVDQLLKIYRFEELEEGTDGFSLQNRLSASVYKGSLKGRIVAIKQMGASAPKEVKILQKFNHFNLIGLYGVCEHDGACYLVYEFMENGSLKQWIEEKTSQESRSWNNRIRIALDVAKGLQYLHNFAIPAYVHKDINSSNILLKVLSSMGDEKHAKGKLNYLIDPRLQAKHALGFVIDQDELALCLLKLSIACLEPEPSRRLSINEIVSTLMKIQMDTQSTETMFTV >KVH92563 pep supercontig:CcrdV1:scaffold_33:320924:323004:-1 gene:Ccrd_005387 transcript:KVH92563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbO, manganese-stabilising MAASLQPAATFMQPTKVGGISGRLQLKSQSICKAFGVDQSTGSRLSCSLQTDFKECLQKCSDAAKIAGFALATSALVVSGASAEGSPKRLTFDEIQSKTYMEVKGTGTANQCPTIEGGVDGFAVKPGKYNAKKFCLEPTSFTVKAEGVSKNSAPEFQKTKLMTRLTYTLDEIEGPLDVSPDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLIASGKPESFGGEFMVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELVKENIKNVASSIGKITLSVTSSKPDTGEVIGVFESIQPSDTDLGAKTPKDVKIQGVWYAQLE >KVH92591 pep supercontig:CcrdV1:scaffold_33:414850:420934:1 gene:Ccrd_005395 transcript:KVH92591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP58 [Source:Projected from Arabidopsis thaliana (AT4G37130) UniProtKB/Swiss-Prot;Acc:Q8RWH9] MDRQKVLLQELTANVKDMLRNTEIAVRSFMMLRSKFLHPNKSSAMNPVTTSGATSTTSTQPTTSAMVPVYDFYSGLPRKPSPFLQQTVARFDKYLGECRQWIEELEQLLLESNKNALNPNSSLLQSLPKVMSNVHDFFVYVAAKVESIHQYMESMKTAYLVDQRGRGDGNDPFLEADRRETAKKAAAARRVHPTLHLPSNSQPSTQAGGLLASSATPAALAAPPTSASVPAGSSGSASGLFSTPFSGTSSSSLFSTPAAAAPSFSLFGSSGASPQTSIFGALSSSTPSLGTTSLFNTTPAAGASTFSTPFATNPSTGASTFSTPFATNPSAGASTFSTPFGTGAATGSGASFNTLSVSETDPSVLKQELKVAQEDGGRNSAAGV >KVH92574 pep supercontig:CcrdV1:scaffold_33:606286:615026:1 gene:Ccrd_005409 transcript:KVH92574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MSTLRTRLNLESTSVSLPPRHNPLKLLNLHGANKELRWRRRTQFRSFSVALRPIPPPTAVFFLMADTHSSGDADLNSVDRTFTEVVVVRHGETEWNAEKRIQGHLDIDLNDVGRQQAVAVAERLSGESNISAIYSSDLKRALETAQTIASRCGGLEVIQDPNLRERHLGDLQGLVYSEAPKIKTKAYEALQSHRKDVEIPGGGERERVVVVTHGGVIRALHQRASLGNGRRAGRILNVSVNVFHLSDPDKWDIKVWGDVGHLNGANYLDSGFGGDRTSG >KVH92581 pep supercontig:CcrdV1:scaffold_33:532254:536862:-1 gene:Ccrd_005402 transcript:KVH92581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGREDSDSDAPEEFTVEQAIQKDEEIRTIQKENKARVLRERKERRRTWAQKLTPRVNKSIQDATEEIETQPENKGMLPDDIVKLLAANEKKVFSSDSDEEKSEKRPRKKKSKHSGMGPVILKEIPPPPCLQNSLDFLKKRKMAVPRSSAILDNSNQALRLLSASGMFGKK >KVH92579 pep supercontig:CcrdV1:scaffold_33:568107:575600:1 gene:Ccrd_005404 transcript:KVH92579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Misato Segment II, myosin-like protein MKELVTFQVGSYANFIGSHFWNFQDELLGLVEDAEADLVFKNQNIDMDVLYRTGENHQGMPTYTPRLISVDFQGSLGSMSSHGTLYNQNSSLSSGVTTWLYDEGQEIGANNANGIDKASVESHSEILDTDVVKSLEDGVQFWTDFSKVHYHPQSLFELNGLWMGAQEFNNYRIGRDTVSEGLQGDEINERLRFFVEECDHVQGIQFIVDDSGGYSGVAASLLENIEDEYTNVPVLLYSVRSPDSYTKAANRKQVISRKLHDAVSFSALSSLCKLIVPLGLPSLSENRASQFLNLQNEKPYHSSAVYASAIHSITLPFRMKRVGPAGESLNESGAMDLYEGIQIRFRLVNLQPLTPETAHDVEDLQAIESMVVHGVLGAVREAVETAYENTSTRPRFSHVSVSRCPLPIPLPFPSIFGNLVGRRGELLSNPQSGSGSGSGSRGSLDVHSIPMAARLRSTTAVLPFLENRLNNIHKLGIERGSIGADVLRDWGFGKEEVEEIGESISKMVLALDPQQGYSSDSD >KVH92551 pep supercontig:CcrdV1:scaffold_33:638998:644934:1 gene:Ccrd_005410 transcript:KVH92551 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand-like domain-containing protein MGVCTSKPSTGSKFSGHYDIEIPVKDDDNDDKQPEETTSSSSNKKTAKDEIEVGKKSPFFPFYSPSPAHNWFSKKSPAKSPAPNASSNSTPRRFFRRPFPPPSPAKHIKSVLARRHGSVKPNEPAIPEGNEPEGVSGLDKSFGFSKHFGSKYELGEEVGRGHFGYTCKAKFKKGELKGQEVAVKVIPKSKMTTAIAIEDVRREVKILKALTGHNNLVHFYDAYEDHEQVYVVMEGGKYTEDDAKAVLVQILNVVAFCHLQGVNFLFTSKDESSPLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARXESGIFRAVLKAXPSFDEVPWPTLSSEAKDFVKRLLNKDPRKRMTAAQGLSHPWIRSSNEVKVPLDISILRLMKGYMRSSALRKAALRALSKTLTVDELFYLKEQFSLLEPSKNGSISMENIKALSALQYRRMDFEEFCAAAINIYQLEALDRWEQHARCAYELFEKDGNRAIMIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRTLANKPQ >KVH92584 pep supercontig:CcrdV1:scaffold_33:494902:499563:1 gene:Ccrd_005399 transcript:KVH92584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVAYPGKHRFFQAFRRTAKYDDDDDDDDDDDDDDDDDDDDDDDDDDDDDCIIIFSRLQLFINENTIN >KVH92592 pep supercontig:CcrdV1:scaffold_33:410677:411384:1 gene:Ccrd_005394 transcript:KVH92592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MPSDSFDHRRTTTAKPHHLGGGCGAATGGVPPPEPEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKACRRYWTHGGTLRDIPVGGGSRKNAKRSRVTSTNNHNSIISPSLEFRHMAPATATPILIPFAGEHGGGLHFLGDGKPAVSMCESFTSLLNSTQGPGFFGLGVGGFEDGNFGLGRAIWPFSGIADCGGYAAAGGGGNVIGGGNSWQVEGGDGGAEFFTLPDLAISTPGML >KVH92566 pep supercontig:CcrdV1:scaffold_33:142239:143400:1 gene:Ccrd_005373 transcript:KVH92566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain containing protein 109, C-terminal MAFKQNLVQKLFKICSNKSSNQTLRTCRISSSSSSTVQSLMPPNLDGVAPDPGEDSIFRRFLQRRPLYLSASATLPEIFRPGGEKLLETLREIDIARNRVRLNGLIGTRSSSKTENQQLTVADAKKILRASQIATVKSKLKSSWKNHVSYDEFIQMCIDGCSNRDQGVDLAKALDDSGSVIVLGNVVFLKPEQVVEAINGLMIGDDEQITELEEMERWKSAIDEKAEKAVRRELWGGLGYLVVQTAAFMRLTFWELSWDVMEPICFYVTSAYFMVGFAFFIRTSKEPSFEGFFESRFRVKQMKMMKTEGFDEEKYKRMKKACGFHGHGGLKDSQESLIS >KVH92557 pep supercontig:CcrdV1:scaffold_33:239424:245906:1 gene:Ccrd_005381 transcript:KVH92557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVARFEDEQQHKNKFFGFNSMSQDYIFNFSHGFERPSQDQQQQNHISHQIRRDKLRVQDFEPPAPASLVGLEQVDEGTGGAGGINPAVYETGAGMLSEMFNFPTGGPATELLENQINYQHHRNQRPNSATGDWYGNSTAQAMQLFLMNPSHESPSSQSSSHHHHHHNSSTSSSTLHMLLPNTVPSSTSTLHHQQSFGSTSGSGQGQFGPSTQFAWIPPGGTTHEGGGGGGDSHGLSLSLSSTLQHLEAAKVEELRIGDDPAATAMLYFNQSGSDPYRHLQLQGGGGGVMGSTHHPIHVGYGSSTVGVVKALRTSRYVKAAQELLEEFCSVGRGQFKINKSGSKPANNPNQNPSNSCGGASSASSKDHPPLSSAERIEHQRRKSKLLSMLDEANRLTKITIETKKSRWIGDTITTMVVNSFDLILGFGAAVPYTALAQKAMSRHFRCLKDAITAQLKHSCELLGEKDVGTSGVTKGETPRLKMLEQSLRQQRAFHQMGMMEPEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQESKEEADQHHNHSPHHRHQDDDDDDEDDDQEQEEDKENTKYHNHNHDQNQTDNDGHHHHHPPPQPSSAATQTSMHAPPPPLTSAPTYTTTTTTATDSAAKRSEINDSENDPSLLAINTQHCFSENQAMTYSYSNPIGGITTMAPPPPPPFDGDTCRRGSMLGAEYRTTTGNDAADIGSTLIRFGTTSGDVSLTLGLRHAGNLPEKTSFFS >KVH92589 pep supercontig:CcrdV1:scaffold_33:345234:350395:-1 gene:Ccrd_005390 transcript:KVH92589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MADEAPTSQNLAPNVDEAPASVDATIDSTGGGGTMSSCNNNNVAETSGLTSDGEMDKSLEYADELMERGSKANKEQDYSEATDCFSRALEIRVAHYGELAPECVRSYYKYGCALLYKAQEEADPLVSGAVAKKDVGSEHDSEKGSAKGAESVETSVSSNAKEGTSNIQEVPEDGGGEEDQEEDDDGSDADDAGADEDESDLDLAWKMLDVARAIAEKQAGDSMEKVDILSSLAEVALEREDVETSLSDYLKALSMLERLAEPDSQIGSKGQEAIPYCEKAISVCKSRLQRLTSEIESSSMVSANPEAAVLGRQASNASQTTNHVSDKEKEIEILTDLQQIVSNPSSILSDILGMIAAKEKGGQTSAAAGLSSSRLETANSSGFESPTVSTAHTNGGSSAVTHLGVVGRGVKRVSMSSAATESNPAKKPATDPSADNGGSGNGNGNAA >KVI11629 pep supercontig:CcrdV1:scaffold_330:283607:283810:-1 gene:Ccrd_009959 transcript:KVI11629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVTMKFGGGWCKKHGDGDVDRDNGYDYPPAASFEGDSDGDYEYALVASEADGDDDDGDYDYAPAA >KVI11628 pep supercontig:CcrdV1:scaffold_330:43672:47897:1 gene:Ccrd_009967 transcript:KVI11628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMKPEKSLSTTMNARIMGSGKETLVLAHGYGGDQSVWDKLLPQLTQTYQVLVFDWSFSGAIKDPNTLFDPLKYSTYDAFSDDLIALLEELNLDSTVFVGHSMSGMIGCIASIKKPHLFTNLILVASSPRYVNSEGYEGGFDITYIEQLFSSIESNYDEWASGFPSVVIDKNDQESVKKFEKILKRMKPEIALSTAKTVFLSDHRDILEKVVIPCTIVQTTNDIVVPIQVVEYMKKMIRGESVVEMINTDGHFPQLTAPLKLIEIFDRVMKI >KVI11623 pep supercontig:CcrdV1:scaffold_330:258682:265016:1 gene:Ccrd_009961 transcript:KVI11623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MPQLKQIRDPEQKHVISKRITRGGSTNNQKDFSVEETTDKSVGKRRQGRRKGKGNFLERTPLMLEDSKEEILCGLSSSSEKRLMFPRRPGYGQLGTKCVVKANHFLAELADMELTQYSVKVIPEVNSTKLNKAIMTQLVKVHRNTDLGMKLPVYDGRRALYTAGRLPFTSKGFTVTLVDDLDWIGITKERQFKVTIEFAAHASMCQLHELLSGKQVDTPLEALKIVDLVLKELTAQRYISVGRFFYSPNITNPKPIGCGLQSWRGFYQSIKPTQMGLSLNIDTLATTFIEPLPVIEFVAEILGKQVYTKQISDADRIKIKKALRGVKVEVTHRGNVRRKYRISGVTSQPTRELMFPVDEERNMKSVVDYFREVYGFTIQHAHLPCLQVGNQKKVNYMPMEACKIVEGQRRTKGLNNKQITSLLKFSCQRPKEQEKEILQTMHQSSFKEDPYAKEFGISIDDRLTSVDARVLPAPWLKYHDSGKQKEVLPQLGQWNMKDKKVINGSVVNYWACINFSRTVPDSAAQSFCHQLVQMCQESGMEFKCDSVVPVYSARPDQVKKALKHVYTTTVNKCGGKELELLIAILPDNNGSLYGDVKRICETDLGLISQCCLTKYVLKISKQYLSNVSLKINVKMGGRNTVLLDALRWKVPLVSDIPTIIFGADVTHPESGEDSNPSIAAVVASQDWPEVTKYAGLVSAQPHRQELIQDLYKTWQDPQRGKVNGGMIRELLLSFEKATGKKPLRIIFYRDGVSDGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFPSNHNDRKSTDKSGNILPGTVVDTKICHPVEFDFYLCSHAGIQGTSRPAHYHVLWDENSFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPEVHHDNGSVHSMCMVKGAGVRPLPALKEK >KVI11626 pep supercontig:CcrdV1:scaffold_330:146210:158870:-1 gene:Ccrd_009964 transcript:KVI11626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGFRSRVAALRPQGPIATRFSSKNLTVVVVGESLPLRSRTKNEQEKFKKDFSSGSGSGSGSGSSFGAEKGIQFLGLLGGKQPQDQWLVRLLPKGQVILNHLLVVQHYHEVCYDYAPGVSSQLFLLVFVEDPTVFEEEATSAPETFEISAAKVAIFFLLPESVAGETLTPLDNLLPGDIDILLELGDACFRPVGEGCLYLEGTMIAGSLAAFRFSSLATTKLGTTHFEGTGDDDLFTFPAGEGSLSTTFFFPADTGLCCFIPGLENL >KVI11627 pep supercontig:CcrdV1:scaffold_330:55898:60881:-1 gene:Ccrd_009966 transcript:KVI11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-containing protein MFTSACTVAGGQHSHYLSSYCVGNLCSHRRNFPIMISSISKIRSHSFTVNRNSVAAAAAVSSSSSTRNTNNSINDGSSSFTWDDVFQISESRHVSSDLGGFFQKINLCNRGWDRRADFIPFVIEDQIVGYIHNGFLNHLSKFKDVFTCIKDNTYGSQSGHVTLQSALKTPEYRTEALENVVKCLGEEVIPGIRNEVLTYQNNGPLFPVTSSYGQRTYFSLERAAAPYFGIKAYGVHMNGYVERDGQKYLWIGKRSETKPTYPGMLDHLVAGGLPHGISCGENLVKECEEEAGIPNSISSRAIPVGAVSYMDIDGYRFKRDVLFCYDLKLPESFIPSNQDGEVGSFKLLPITLVADAIRSTQFFKANCNLVIIDFLFRHGYIKPEYLGYLKLLQSLRSGDCS >KVI11622 pep supercontig:CcrdV1:scaffold_330:273572:274801:-1 gene:Ccrd_009960 transcript:KVI11622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVLQDELRRIVVMVLSMKWTNCEAIFMGVEKKMELVTMKFGGGWCKKHGDGDDDGYNYAPSACFKGDGADNDGDYEYAPEVDGDDDDGDYDYAPAA >KVI11624 pep supercontig:CcrdV1:scaffold_330:217377:221176:1 gene:Ccrd_009962 transcript:KVI11624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MSIFGPETAGLLLASNSTISTMIKQFLAKIPRKSSKANATDADGGSSSSSVTTDVGNNGFINTYNAISSRLNTVKKMSSSIFPASIMPAGEMIDPQIPFKDVQNSEKLRLLISKLNLCSKLYDFQDQAQDSVEKDIKRQTLVEIIDFLSSESAKLSEPAMFAICKMCGNNLFRDFPPKNSVYSPRGETEDEEPSFDPAWSHLHLVYEILLRFLSQTSLDPKVAKQYIDHSFILRLLDLFDTEDPRERDCLKAILHRVYGKFMVHRPFIRMVVSNIIYRFVFETEKHNGIAELLEIFGSVISGFALPLKKEHKMFLLRALVPLHKPKSVGVYHHQLTYCIVQFIEKEPKLSSAVIMGLLKFWPVTSSQKQLMFLSELEELLEMIHTDEFEKVMVPLFRRIDCCLRSSHFQVAERAHFLWNNEHVLHLIMYNRQVIMPLVFSSLERNSQTHWSRTVLNLAQNMMKMLNDVDQELVVSCQGQSEEDKSTSTVVAERRRLTWERLENIAAFQPVVGNVSILEETAPCIVSC >KVI11625 pep supercontig:CcrdV1:scaffold_330:201503:205631:1 gene:Ccrd_009963 transcript:KVI11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MVAMAATASLFPVSSPQPHSGTKTSGKLGGVPDSVDVRGIKTKSVTSGGLQVKANAQAPAEVNGTRVRTMIKTDDNSTSHVPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKTKRPDMLADLDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLFWVVTKMQVMVDRYPTWGDVVQVDTWVARSGKNGMRRDWLLRDCKTGEIVTRASSNWVMMNKETRRLSKIPDEVRAEIEHYFVDAPPVVDDDNRKLPKLEETTADHVRDGLTPRWSDLDVNQHVNNVKYIGWILESAPQQVVEQYELASLTLEYRRECRKDSVLKSLTSVIGIADSNHVDCQHVLRFESGGDGEIVKGRTAWRPKYKDPVRSIGHLSAGNGNANANA >KVI11630 pep supercontig:CcrdV1:scaffold_330:300716:301729:-1 gene:Ccrd_009958 transcript:KVI11630 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGANTSLLTSKITEGSENPSKLNLGDIPESCLALVLSYMEPPEICKLARINRTFRAASSADFIWISKLPSNYHYLLGKSFVNDKKTLGVKEIFARLTRPVSFDAGNKEYWVDKITGGVCVSISSKALTITGIDDRRYWNHIPSDESRFPTVAYLKQTWWLDVDGDVEFQFPAGTYSLSFKLRLGKVIKRHGRQVCTTADVHGWDVKPVEFKLTTATGQQTVSKRFLETIGKWDYHRVGDFTVDESNTPTKVKFSLTQIDCTHTKGGLSIDSILISPSNPC >KVI11621 pep supercontig:CcrdV1:scaffold_330:144999:148682:1 gene:Ccrd_009965 transcript:KVI11621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF936, plant MASLTPGILLKLLQTMNTTTRVTGDHRSPLLQVIGIVPALAAGSDDLWPNHGFYVSLSDSVNSTYVSLSDRDTDLILTNRLQLGQFVYVDKFDFDSPVPRVSGVRPIAGRHPFVGCPEQLIARISSTKREFVIQTASDSDASVDPIAAYLSSKKFETAVQETKELKNEGRNRQVLAPRENVNNFDESTKAAEKPPQRFSSPGMKQQRPVSAGKKNVVERDPSPAGKVKRSSSPVPSKCVVPSLVVAKEENRKAAREPAIIVPSRYRQPSPTGRKQASPSSRRMSMSPGRRLSSGVKVSPATDSGNKKKMATLAAEISKVSGALVASSSKTVGSSTKTSRKSWDETPGAAEPKEKSVTKCKPDLQAILKTQAALSRRLSDAHESPTEEKLKASSCDTPPLPDRPTTTALGITLHEKKWTDGSIPLDAVSLDLARLGQDAMRRRNLASVAAAEALQEALVTEAILRSLSMFSNLCSISKAGNPLPTIDRFMSVYNDAVKATSTAESFASNHNRSTPHDNAAPPTDDSKSLALWVEAALATDLEVVSLLANQGIESPSQLQKKSQSQNPNQNLPQKQSLAETVKSHLMISSSCPSSLLGGAWMKGHGLNESYELGVKLQKEMQAWFLKFVEESLDAGFQVMGKNCVAANSYEVGPIKVILSQLKRVNDWLDRMISKQDEVLTGAVDRLKGKIYRFVIQHVGTTYDNSS >KVI04259 pep supercontig:CcrdV1:scaffold_3300:37793:41232:1 gene:Ccrd_017432 transcript:KVI04259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MEVEINMLESEGSIGNKVKHDKDVMKPISEAITSGSLDSDMSNHGDGNDDDDDMVDDAFDFDDDDDYMFENFKEDDEAHYLSMQAQFDNVDLPPGVEASFSWLNDLPSSTSTTVGLSNSSLMDPITLSVETHDHEIPNIPFSKSKDIASSSLSVLPNIMSSSASEKEDVTEEEVKMKFDHFKLFDIVDDFADHHFNDAGFQGQRYFSLYNSSSLCVTTDTIFVRAYETRMDLLRAVVVGAAGTPYHDGLFVFDMHLPPTYPDSPPHFEDLVVGHFRSRAHAILSACRAYMEGFEVGLMVNGGEKKDGSKTFKADVGRMVNGLVSSLSKCGATNCDQFRVGS >KVI04257 pep supercontig:CcrdV1:scaffold_3300:18643:22753:1 gene:Ccrd_017430 transcript:KVI04257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MVKSPGYRMVGGNGLFFPILGFASIVAFIYLSFGDLLSVRYKNEISLSFVERNGSNFIVDGELFYINGWNSYWFMDHAVHYDSRPRIRAMLQAAAKMGLTVCRTWAFNDGDYNALQISPGQFDERVFQSLDYVIAEAREVGIRLLLSLVNNLDAYGGKTQYVKWAWTEGVGLSSSNDSFFYDPSIRIYFKNYLKTILTRKNTITGLEYRDDPTIFGWELINEPRCITDPSGDTLQGWIEEMSTFIKAIDNKHLLTVGLEGFYGPKSPKRKTVNPEFWAADMGVDFIRNSAPSTIDFASVHIYPDQWFKKKSLRGKLKFVAEWMHSHIEDGEQVLKKPVMFTEFGLSDLNKGFDPSQRDEFYKIVFDVIYESAKKKGAGAGSFAWQFLVEGMEDFNDDFGIVPWKRASTYKIITGHTCRLAKIHGTVTPVTGNLKDICNGRW >KVI04256 pep supercontig:CcrdV1:scaffold_3300:23285:23995:-1 gene:Ccrd_017431 transcript:KVI04256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALGPGKFYGSSLPRPRIYTDIKYNTDRVDPPVSVLDPLMSWAEEAHWSMGGLSFQRHRLQGRIEGSVEKLRNQIEKSIKKKESLTPKSSKKQSTSKVLENSGKRKSKKEIDLNRSRSPSPPPAPLANKRKRRYVGLVDEEDEGEENTTARKWPVRKLSDEFERVADTKRSPKTSSGDGESEMETVASRTRGRKTVTEEPMKTVSKGNKRLRKVGEAKTGGASPRSSPRLVKRG >KVI04258 pep supercontig:CcrdV1:scaffold_3300:41375:48076:-1 gene:Ccrd_017433 transcript:KVI04258 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MTTRQRPPPSAPSRRPPEPDPSDKSIYNIIPINNLLADHPSLSYPEVRAATAALRAVGDLRKPPFVPWQQHYDLMDWLGAFFGFQDANVSNQREHLVLHLSNAQMRLEPPPDNVDTLDPSVLRHFRRKLLSNYTHWCSFLGRKSNIWISDSRRGFSATDHRRELLYVALYLLIWGESANLRFIPECICYIFHHMAMELNRILEDYIDENTGRPALPSISGENAFLNRIVTPIYETVKAEVENSRNGTAPHSDWRNYDDINEYFWSRRCFDKLKWPIDIGSNFFVTTSRGKRVGKTGFVEQRSFLNLFRSFDKLWIMLILFLQAAIIVAWKERTYYPWEALGDKNVQVRVLTVFITWSVLRFVKSLLDAGMQYKLVSRETLWLGVRMVLKAVISAVWIIVFVVFYVRIWTQKNNDQRWSAAANRKVVTFLEVSLVFMIPELLALALFILPWVRNFLENTNWRIFYLVTWWFQSRSFVGRGLREGLVDNIKYSLFWIVVLSTKFCFSYFLQIKPMIQPTKDFLDLNDVTYEWHQFFGNSNRFAVGLLWLPVVLIYLMDLQIWYSIYSSIVGMGVGLFNHLGEIRNMQQLRLRFQFFASAMQFNLMPEEQLLNTRGTLQSKLKDGVHRLKLRYGFGRPFKKLESNQVEAHKFALVWNEIILTFREEDIISDHEVELLELPQNTWNVRVVRWPCLLLCNELLLALSQAKDLVDAPDKWLWYKMAKNEYRRCAVIETYDSVKHLLLTIVKFNTPEHSIISTLFQEIDNSINIEKFTKTFNTVTLPKIHAKLIILVDLLIKPNQDVNKVVNTLQALYEVVIRDFFKDKRNMDQLKEDGLAPHRPLPGAGLLFENAIELPDSGNDNFYRQARRLHTILTSRDAMNNVPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVVYNKEQLRTENEDGVSTLYYLQTIYADEWKNFLQRMKREGMKSEGELWTVKLRELRLWASYRGQTLARTVRGMMYYYRALKMLAFLDSASEIDIREGARELMSMKRGGDSQNEAKSSARGISRADSTVSTLFKGHEYGTALMKYTYVVACQIYGTQKAKKDPHAEEILYLMKNNEALRVAYVDEVPVRDGTEYYSVLVKYDQDLEREVEIYRVKLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKIRNLLEEYRSYYGLRKPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRLHYGHPDVFDRFWFLTRGGISKASRLINLSEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFYFTTVGFFFNTLMIALTVYAFLWGRLYLALSGIESSVANNVNTNRALGTILNQQFIVQLGLFTALPMIVENSLELGFLAAIWDFITMQLQLSSVFFTFSLGTRAHYFGRTILHGGAKYRATGRGFVVQHKTFADNYRLYARSHFVKAIELGLILTVYAGYSPVAKGTFTYIALTISSWFLVFSWIMAPFIFNPSGFDWLKTVYDFDDFMNWIWFRGGVFAKSEQSWETWWYEEQDHLRTTGIWGKLFEIILDLRFFFFQYGIVYQLGIAANSKSIAVYLLSWIYVAVALGIYSIIAYARDRYAAKEHIYYRLVQFLVIILGSLIIVALIEFTHFKFLDLFTSLLAFIPTGWGFLLIAQVFRPVLQKTWIWGTVVSVARTYDIMFGVIILTPVAFLSWMPGFQSMQTRILFNDAFSRGLQIFQIIAGKKSKAELNFGQILRHCCFFCWAKTRVGMMVDKADVLLDFVVRMYSSQGFELEIEEESSSRL >KVH89288 pep supercontig:CcrdV1:scaffold_3302:29983:38585:-1 gene:Ccrd_008724 transcript:KVH89288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 MAEGNIQLQPGRRPGRRPGGGTPQRTLLPLRRVSHLSNSISCWYCDFKSSVFNEPLFHFGRKYSRWLRIWFSVGMGFSLTTLIGVTVIILLESARALNLYDGIPQLNSIQNGSILEFYSPVLKYSISITDVGYMCISSIISVLVHELGHALAATRLLLPIHSSFAVSLDLAYLVGEGQVQLRGRKSTLTEWTKDIKNETLGLILPKAASVSGRHMSILGEMMWGKGIHFLGSSEGMQIEYTAVFLAVLFPGALVAFNNEMLQMIPRVATLRIYCAGVWHNAALCVVCALTLFLSPLNGYLSPGDVVTMLDGKHIRTTNEWKEMAYLLDKQVLQTTKQYVSNKGYCVPNLVIAKSKNAEIVDNQFICPNGLTLFTTISCAESSTINDSSSNEIGYQLTREHIYCFPAKGVLGEKKCGDGWVNSVKNDGNCLCTEDESCSTPIHMPGLAWAEITFSRPYSPECQRVGNKMRSSYNNSGSGENSCSGTFVFIGDMMSMARSIWLTEYQSRWLYGVAYIPAVVEKLLVNTFHVSLMLVLLNSLPVYHLDGESILEVALCCFGSLTPTIRKLVLQSSLLAGTLISCLFIFRILLLVIS >KVH89289 pep supercontig:CcrdV1:scaffold_3302:45257:45821:-1 gene:Ccrd_008725 transcript:KVH89289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMTSSPEMTEKKPYFSFFFKRLQKKTRKGVWKRFRSSGFRWKTKFHWFVDGFLFKIVSALEAVGIGFIVANLLFRRRTTSAVIGDGEPKHHRTVVVVI >KVH98756 pep supercontig:CcrdV1:scaffold_3306:5899:27988:1 gene:Ccrd_023016 transcript:KVH98756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MQAIVDIFNDKFSMLQDIIPHGDQKKDKASFLLLNTSVSTRLQEKVHKYEDSYQGWSSEPPKTIPWTCITATTAVDTRLWAMGNTEP >KVH98757 pep supercontig:CcrdV1:scaffold_3306:35277:37463:-1 gene:Ccrd_023017 transcript:KVH98757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MAARSFLYGGGDAGGGGGGGGGGGSVLLTNKRVHCSSSLNTVDSFLLPRSSSFQGSRSMVSFEDRGNGSGAQFFQSFDHEDNGDDEYDEYFHQPEKKRRLTADQVRFLEKSFESDNKLEPERKMKLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYDGLQESYNKLKANYDDLVKEKEKLKSEVQELSDKLQLQEKGTSDSSSTKSPSEPQRCRQILDEDVSKNMKTNGDHLSAKRIGFEDGVHTISLLERGDSSYVFEQDQSDGSVDEVENFSKIFLPSVDELKMENSEYQDPRSGNSYYLGLPGDDQAFGFWSY >KVI10820 pep supercontig:CcrdV1:scaffold_3307:40043:40519:-1 gene:Ccrd_010778 transcript:KVI10820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSTRKNAGGETPDALQKGWLNLSLGLYLGDTRSNSRSTTSMKVYTCSFCKRKFYSSQALGGHQNAHRRERDAVRRYKLPKTMNFSANGSLHTHSLLCSPRRDGESTAVAMFTDDQVCWGQPFAGEEALDLKWPGRSYLNSEPASMQSDPHTLDLNLKL >KVI10819 pep supercontig:CcrdV1:scaffold_3307:6363:6818:-1 gene:Ccrd_010777 transcript:KVI10819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSTRKDGGEEEGWLNLRLGQNEGSSCVRSRSTSMKVYACSFCGRKLHSPQALGGHQNAHRRERDSARRYPAFHVKQSTDVDSHLLARTPGTDDETTVARFADNGAGYGVASVQLYAAERAVDLKSSGSSYSYPEPSSDQFDPNTLDLNLKL >KVH93959 pep supercontig:CcrdV1:scaffold_3309:33530:35785:1 gene:Ccrd_003986 transcript:KVH93959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRKCSHCGNIGHNSRTCTSYNRTIVNNNSTVHLVGGGGGGGGGGLRLFGVQLDSPSHSMVMMKKCLSLDCLPSSSPSLLPSASASQSPSSSLSSSRVSVNDLHKNTSVGYLSDGLIARAQERKKGLPWSEDEHRRFLTGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQATLVKKKRRSSLFDLVRCNGIKNAKNHCVISRSSSFDDDHRDQSDDLSLMDFNSLKQENIVFYNNPIVKSYQTTSIYSHLKNASPSGTIGSKSGTLDLELTLASPKPMDQNKSSTPSLQLGPIISVI >KVH93956 pep supercontig:CcrdV1:scaffold_3309:5444:11467:1 gene:Ccrd_003983 transcript:KVH93956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MMKRQKSGTVRGGLDHVGEKVVLIAVKASKEISRNALIWALAHVVQPGDCAKLLVVIPAHTSSHKLWNFSKFNSDCTTGQWKSLSGDVRDQKDYITESCSQMMLQLHNIYDSDKASLIALKLKKEAKVCIEELECNVVVMKKSRPKVLRLNLVGSPTKEVGEGLSSCLEAPAESSKEEESIWNATKVPNVTPVSSPEHSLFSTTEHGTSSLSSLDLGFSPFLVADHDWDVKKDEFLDESDSDTDSENLSSSPSTSLCSPWMADAFSSSGEFSKMERSPKLLNERALINPITDALRLKFSELDHRLEKATKNVRETIPLRRHVPPKPPPLCSICQHKAPVFGKPPKWFTFCELEDATDGFSKANFLAEGGFGSVHRGVLKDGRMVAVKQHKMASSQGDNEFFSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNSRRFWACEVATGRRNWCGNENNWNFRARPLLEETAIAELMDPRLKQSYSEPEVLWMLENNDIK >KVH93958 pep supercontig:CcrdV1:scaffold_3309:20250:25678:1 gene:Ccrd_003985 transcript:KVH93958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein GVTIGPFCSVGSSTKLGNDCKLHPGSHVFGNTELGDKCILMSGAVVGENIPGRTIIGCNNVIGHHAVIGLKCQDMKYKVIGNNNLIMGSCHIAHDCKVGSNNIFANNTLLAGHVLVEIRSLRAAYRKIFMPTSANLGGIEDRLVEVECHEDLSLVPAVLSLVQSIRESLGEDRRGICKFRSWSFS >KVH93957 pep supercontig:CcrdV1:scaffold_3309:12325:16906:1 gene:Ccrd_003984 transcript:KVH93957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSSTFNSASIVVFFNLPDNGSGDGNHTQMTYAEDRKVDVNAYMHAHGYLVSVLMFVGRLVVYGINFI >KVH93960 pep supercontig:CcrdV1:scaffold_3309:62022:64299:1 gene:Ccrd_003987 transcript:KVH93960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin MAVSNNIIATLNFIALLCSIPIISAGIWLASKPDNECIRWLRWPVIIIGILFLLLALTGFIGAYWNKQGLLAFYLFCNAALIIAGLILLILAFVVTRPSGAYSVDGREYKEYRLSEYSAWLRNHITDSDHWGNIRSCLASSSICTKMTQDSYTASQFFSSDISPLQSGCCKPPTVCGYQYVNPIMWINPTNPTSNMDCPIWNNDPNQLCYNCNSCKAGLLGNLRKEWRRANVILIIAVVALICLYVIACSAYRNAQTEELFERYKQGWT >KVI06877 pep supercontig:CcrdV1:scaffold_331:49411:53227:-1 gene:Ccrd_014766 transcript:KVI06877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILNEDAVLIKEAEKAGDNTIITVNCPDKTGLGCDLCRIILLFGLSIARGDVSTDGKWCYLVFWVVGQPTTSWDLLKNRLLEVCPLCTPAISKIFYFLPEFQQPKPPEVFLLKFWCTFDRKGLLHDVTKVLCELELTVKRVKVSTAPDGRVMDLLFVTDTRELLHTKKRQDETKSHLNAMLGDNMISFEIELAGHEVIANPQGSTFLSPAITDDMFGLEFAGTHMGGPYNSNRVSVTVDNDLSPSHTLIHILCRDHKGLTYDIMRTLKDYNFQISYARFFANAKGNFQVDLFIMQADGKKIVDPEKQNVLCSRLRMELIRPLRVEVMSRGPDTELLVANPVELAGKGRPLVFYDITHAITSLKIRIFSVEIARHRIHDREWEVYRVLLDEGDAGLVPRSIIKEGVVKKLMGWDYKGYNGIVH >KVI06875 pep supercontig:CcrdV1:scaffold_331:177162:183173:1 gene:Ccrd_014763 transcript:KVI06875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MADQEGGDLVSQLVDLVRKFSGLPECRNAVRVMYGNLVRRVKLLSPLFEELKDSGEQLDDDDVQGLRSLKTALNLALQLLKSVNEGSKTFQALQIDRTCDKFRIILVHQQFKRAVQTESPDLQLQTDLAIVKKGKEPDLETVKRLSEKLHLTTINDLRSESVAIHDLVISTVGDHENFLETASFLLKKINDYVMQVNPAHDSPDREKRFTRHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCDSNGIELPKSQGNSKNMKAGRTGSECDQSAIALLLEKLANGNSDEQRAAAGELRLLAKRNTDNRICIADAGAIPLLVELLSSGDSRTQEHAVTALLNLSINEANKGIIVSVGAIPDIVDVLKNGSMEARENAAATLFSLSVVDENKVAIGAAGAILPLIDLLQEGTPRGKKDAATAIFNLCIYQGNKVRAVRAGIVGPLMRLVKDASDGMMDEALAILAIIASHHEGKAAIGRAEPIPILIDVVGTGSARNRENGVSILWCVCAGDMECLQMMKEFGAEEVLKELAENGTDRAKRKAVSVLELLHRVEPVVNL >KVI06881 pep supercontig:CcrdV1:scaffold_331:116025:121023:1 gene:Ccrd_014765 transcript:KVI06881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MLCFRFRMLLPLLLLSLLVASFSPTTSLRLTDPKFPSTQARKLIRGLNLFPKHSINIVDRDVANRSSIVRPKIVEKPFKFPNFVDSGSASVEELGHHAGYYQIEHSYDAQMFYFYFKSRNSENDPVVIWLTGGPGCSSELALFYENGPFKIGKNLTLVWNEYGWDQAANLLYVDQPTGTGFSYSSDKRDIRHDEKGVSDDLYDFLQSFFTEHPELVNNDFYITGESYAGHYIPAVAARVHQGNKDKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGIISESQYKRINLIVPVCEAAIKLCGTDGTVSCTAAYLVCNIIFIAITNIAGNINYYDIRKECVGSLCYDFSDMETLLNERSVRQALGVGDIEFVSCSTSVYFALLMDWMKNLEVGIPALLDDGIKMLIYAGEYDLICNWLG >KVI06876 pep supercontig:CcrdV1:scaffold_331:155631:171531:1 gene:Ccrd_014764 transcript:KVI06876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MAQIPNLQNGPINFTPLRDQSQKELLTILKNIRGKKCLVIDPKLGGSLSLIEHGAELHHLNADPIETTCSKVVYLVQTQLHLMKFISSHIHNDTSKGLQREYFVYFVPRRAVACEKLLEDEKVHNLLTIGEFPLYVLPLDEDVLSFELDLSYKECLTDGDTTSLWHIAKAIHKLEVQLFSFGLIPNVRAKGKASVRVADMLNRMQAEEPVNSTDMGVPEINTLIILDRELDMVTPMCSQLTYEGLMDEFLHINNGAVEIDASIMGANQQDGKKMKVPLNSTDKLFRETRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSTLTSKPSFLGRLDMEHTIVEAESYDICFEYIEEMIHKQEPFVNVLRLLILLSVTNAGLPKRNFDYLRREILHSYGFEHIATLNNLEKAGLVKKQEGKSNWLMIKRALQLVVEDTDTTNPKDVSYVFSGYAPLSIRLIQHAIRSGWRPLEEILKLLPGTHTESKRSAFAKSPSFDILPGGLRMDKVEDGRRALVLVVFIGGVTFAEISALRFLSSQEGMAYDIIIGTTKIINGNTLIETFMEKLG >KVI06880 pep supercontig:CcrdV1:scaffold_331:42589:47198:-1 gene:Ccrd_014767 transcript:KVI06880 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MRALNSHVVLVDLNASWQSVNQIPASTFAYLQSSKIPSSFSAAFRRTRRGRISSTRSSSVPSIRSPEVRRPKERSSFGNGLLSPSSNSTSTSQSQVGSELELFLELVPLRMRTKLFEHSEIGDMIEVVMDLGRQPLARFPSGDWAISDEPVNLEDLRHAISKVGEFSDDNRSGIDHSLHRISAIRNRKRQIIGLTCRVGRAISGSADIIRDLVEGGGSILVIGPPGVGKTTLIREIARMLADERKKRVVIIDTSNEIGGDGDVPHSGIGRARRMQVPNVNMQHNVMIEAVENHMPQTIIIDEIGTELEALAASTIAQRGVQLVATAHGVTIESIIKNPSLQILVGGIESVTLGDDEARKRKVQKTVLERKGPPTFSCAVEMISRTECSVHHRLDATVDAILAGKSPLFEIRHMDAEAERSLDGSQPSVEVKEVELLDEEDELDEEDELDDDISSYFETLTRHEDNKIAKQENVHITLTPKMNKSDSTKRLYEGIKHEIGPDGEDEEHSSNFRKLSTKQQSRKKTPVYVYTYKILEADLQQVAMVMGMTEEIDVTDDISNADAILASSFEMKENPWIRTVAKFHKLPVFVIKSTTMAQMVKAIRMILGRDSFGAKSKQLRKSSMDIEIEDDVPRRKPSLEEIDALEYIVIPSGEPVELLPRCSEIIAQQLELVKSYQLAVENSGTDRNPRLQILPQKLNKKSEKQSKPSSVSQSSTGPGGVAGTSVGRLPFLPE >KVI06879 pep supercontig:CcrdV1:scaffold_331:13988:19525:1 gene:Ccrd_014769 transcript:KVI06879 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MHPSNTTLFCLLTCLFSVISSATLNLTLPYQHPNPQAVVHEVQRRLNVSIYRREMLDIGGGCLTGNPIDDCWKCDPNWGYDRQRLADCGIGFGRFALGGKGGQYYMVTDSSDNDVVDPTPGTLRYAVLQTEPLWIIFASSMLIKLKHELIVNSYKTIDGRGVNVAITGGGCITLQYVTNVIIHNIRIYDCKPSGNADIRSSPTHVGRRGLSDGDGISISGSRNIWIDHCSLSHCTDGLIDAILGSTAITISNSYFTHHNEVMLMGHDDAYLPDKGMQVTFSFNHFGKGLIQRMPRCRHGYFHVVNNDFTEWKMYAIGGSANPTINSQGNRYIAPPDPNAKEVTKRVEANEKNWAGWHWRTDGDLMENGAYFVPSGEDMSAMYAKATSIDPKSAFLVDQLTMNAGVFGGPRDDVGSVSFGDGPITGGGESRNTGGGDHNDDDYFGIVFGSGVTITSPSTTVFLLSLTFLAWYVTTTINADVINL >KVI06878 pep supercontig:CcrdV1:scaffold_331:21478:38744:-1 gene:Ccrd_014768 transcript:KVI06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MMVLKPNSTVCRSFHFPDLSLFGSQSTSMFKIIKGKKKGLKQEAIEPPPGPNASSDVTVNHASRSAIVSDQQPSVRPQAVALLSSTPQLGAVEVLPLLKDVPVADRHVLFLKKLQICSYMFDFSDTLKNASEKEIKRQNLLELVDLVQSGSSKMNEIMQGEMIKMISLNIFRGLPPQAHENTGAENVDPEEDDMYLDPCWPHLQLVYELLLRYVVSSDTDTKVAKRYLNHSFVLNLLDLFDTEDPREREYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETGRHPGISELLEILGSIINGFAVPMKEEHKLFLARALMPLHKPKSINLYHQQLSYCITQFVEKDYKLADIVIRGLLKYWPVTNCGKEILFLGELEEVLDATQPAEFQRCMVPLFRRVGCCINSPHFQVAERALFLWNNERVVSLIAQHRDIILPILFDSLERNIQGHWNQAINELTGNVRRMFIEMDPELFEECQTRYMDKEAMVNEKLKQRDRDASGQHGLIEKNGSALAHLEFFLLSAFSSCLR >KVI07935 pep supercontig:CcrdV1:scaffold_3310:56891:60006:-1 gene:Ccrd_013699 transcript:KVI07935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF914, eukaryotic STQWKTTFSPAITLHFSNHCPIPLLKSPYPAILSTFFQIISSTMNSEGVKYGGFWRVLYAVLLGQLTSFSMALVSFSSSLSANLGVNAPFTLSFFSYLALTLVFGSILLYRRQKLHISWYWYVLLAIVDVHGSYLFNKAYQFSSITSVTILDCATIVWVIILTWLFLGTKYSLWQFLGAALCVTGLCLVLLSDSGVGGGGGSNPILGDALVIAGTCFFAVSNVGEEFCVKKVGRIEVITMLGLFGMLMSVVEMYPFLFKNRIEASGATLFNLSLLTADMWAVVIRVFLYHQKVDWLYYVSFLLVGIGLVIYSKP >KVI07936 pep supercontig:CcrdV1:scaffold_3310:16476:20383:-1 gene:Ccrd_013698 transcript:KVI07936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine/uridine-preferring nucleoside hydrolase MDSTPLISNCDGGVSEKIIIDTDPGIDDSMALMMAFQTPNLEILGLTTTFGNVSTKDATRNALLLCEIAGRRGVPVAEGSSGPLKGGEPEIQDFIHGSDGLGNINLPPPKSKKVDISAAEFLVDKVSQYPGEISILALGPLTNLAMAIKKDSSFVTKVKRVVVLGGAFFALGNINPAAEANIYEDPEAADIVFTSGANIVVVGINITTQVKMSDDDLDELRQSAGRHAQFLSDVCKFYRDWHVKSDGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGLCVGHTLMDQGLKKWNTDNPWTGYSPISVAWTVNVEEVLKYIKNVLMTP >KVI06912 pep supercontig:CcrdV1:scaffold_3315:4458:16750:-1 gene:Ccrd_014732 transcript:KVI06912 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRA domain-containing protein MEPLPNGNSTVTNATTASATATSLPSLHAGVPSSKLSQLTESLKLEHQFLRVPFEHYKKTIRANHRAVEKEVSAVMSGLSDAGDLSQDDAVQHLNSLVSRLQGLKRKLEDGSRAENLQAQRCRARLDHLESADADNISEWNNTRLKRILVDYMLRMSYYDTATKLAESSSIQDLVDIEIFYEAKKVIDALRNKEVAPALAWCADNKSRLKKAKSKFEFQLRLQEFIELVRSDNNVRAVMYARKYLAPWAATYMKELQKVFATLAFTSNTGCEIYKVLFEPKQWDYLMDQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPFCYEDDCTKEDPLSQESFRKLAMPLPFSKQHHSKLALEEMATNNNGKITCPRTGLVYNYTEVTKAYIS >KVI06914 pep supercontig:CcrdV1:scaffold_3315:28191:46016:1 gene:Ccrd_014730 transcript:KVI06914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MGFHVQNITCYKPTLSPTEFKISIAHRSATVRAISADASTMAVNSVRIAAAQMTSINDLATNYATCSRLVKEAASAGAKLLCFPENFSFVGAKDGDSLKIAEPLDGPIMKGYCALARESNMWLSLGGFQERGSDDAHLCNTHVLIDDAGNIRSTYRKMHMFDVNVPGGAVFKESRKEIVAMDSPFGRLGLTVCYDLRFPELYQQLRFHHGAEVLLVPAAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHSEKRESFGDTLIIDPWGTVIGRLPDRVSTGIAVADIDFSMIESVRTKMPISQHRKPIEFWKQASV >KVI06913 pep supercontig:CcrdV1:scaffold_3315:21499:26447:-1 gene:Ccrd_014731 transcript:KVI06913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MRLILRHRPDLYSIFIKPSFLEGDSQNGGREADSGGIVDGLWWYKDSGKHVYGDFSMAMIQANKVLEDQCQLESGCLSSVECGPRGTFVGIYDGHAGPEASRFINDRLFDNMKSLLFNQCTQPVVIATAYVIDFTSIHDAEYASESEGMSDDIVHKAFLATEEEFLSLVESKWLRSPGVASVGSCCLVGIICNGVLYIANAGDSRAVLAREERTSNTFKAVRVSEEHNANMESVREELRSLHPNDPNIVVLKHNVWRVRGLIQVSRSIGDAYLKKPEFNRDPLLPKFRLSESFSQPILKAEPSILVQKLTPKDQFLIFASDGLWEHLSDQEAVDIVKSSPRHGIARKLVKAALIEAAKKREMRYSDLKKVDGGVRRHFHDDITVIVLFLNCHLPTKSSSSGAMISVKGGIMHL >KVI11700 pep supercontig:CcrdV1:scaffold_3317:53688:59731:1 gene:Ccrd_009887 transcript:KVI11700 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MSGGGTQNSLRKALGAIKDSTTVNIAKVSSDYKELDINIVKATNHVERPAKEKHIRAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFQEELLNYGRSRNHVLNLSYFKDDSSPNAWDYSGWVRTYALYLEERLECFRVLKYDVETDRPRTKDLDTPELLEQLPALQQLLFRVLGCQPQGAAVHNFVIQLALSMVASESIKIYNAISDGSVNLVDKFFEMQRHDALKALDIYRRAGQQAERLSEFYEICKNFDVGRGERFIKIEQPPSSFLQTMEEYVKEAPRASTVRKDLAVDDKPKGILAIEYKKEAEVPEKRSQSPPLAEPVPEPAQSLDDPVTEAAEFDEKNSMALAIVPVVDPPASTPITSVNGTSGWELALAGGLDKLTLDSLYDDAIRRTNQNVSYNPWEQNRMGGAMMPSQMAPDPFYASNAIAAPQNIQMAAMAQQQQAFLFQQQQQQMMMMAPPLPQQSSNPFANPYGATPHPYGSGVPVQSYNPYSGLI >KVI11702 pep supercontig:CcrdV1:scaffold_3317:9040:12975:1 gene:Ccrd_009885 transcript:KVI11702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEIGEMDETQFLNSNKKVKKIQVKNKFPLLRYEELPDYMKDNEYILNYYRANWSLKQALISLFLCHNETLNVWTAFGSDHSSTITHQLVSPYMNTTPFQTEATHWPLFVFLGGSMFCFVSSSLCHLFSCHTQHLSCLLSQLDYVGIAVMIITSFFPPIYYTFQCTPLWQYIYLGGITILGVIAVMVLLSPTRVNGKYRFIRTSIFVAMGLFGVIPTIHGTIVNWNVAQRNTTLGFESTMAFCYLVGAMFYVSRVPEKWRPGWFDLIGHSHQIFHIFVVVAALAHYIAVLILYEYRRRVSCD >KVI11699 pep supercontig:CcrdV1:scaffold_3317:59353:64495:-1 gene:Ccrd_009888 transcript:KVI11699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MALQFSSACNFALSQKRVERIRAVASNDFIKVKTEEDKLVKLGGSDLKLTKLGIGAWSWGDTSYWNNFEWDDRKMKACKNAFDASIDSGITWFDTAEVYGSRFSFGAINSETLLGRFIKERKKKHPEDEIAVATKFAALPWRLGRQSVLSALKDSLSRLELDSVELYQLHWPGIYGNEGYIDGLGDAVEQGLVKAVGVSNYSEERLRVAYKQLKKRGIPLASNQVNYSLIYRIPEENGVKATCDELGISLIAYSPIAQGPRGNIYTSKFLTELQPLINRIKEIGQNYDKTPTQVALNWLIAQDNVIPIPGAKNAEQAKEFGGALGWRLTSDEVNELRALASKSKPTMGFPVEKM >KVI11701 pep supercontig:CcrdV1:scaffold_3317:26873:41373:1 gene:Ccrd_009886 transcript:KVI11701 gene_biotype:protein_coding transcript_biotype:protein_coding description:NatC N(alpha)-terminal acetyltransferase, Mak10 subunit IVIAHNLLKHRDASTDLRDGELIHGENFNLFAAMSALEIMDPKMDSGIVCRYYSVDEAIEDGAAPIPLSLNKTVDVHCIIDVMDHLLSCEATWHKGHSLAQTIFSCIYLLRPERTPSHALLHSYCTVIRSTCNAIISAVSDARTHEEEDLFTITSGLPLKKDGDEKCLSLLNVIEETVSRQLHACKAPSHKKRAIEDIEPLQTNLDLEEGYCKAVLCRLRFRKHFYHVLVCLRRPQGRGLDLARKHIASCLVELQSILKTAEFLRSNSRYGMCEDGMEDSMTASGCQPIGFDSTLNSRLSAPTPPRAIKILSWKKAVEYFQKLLHDLEAICSHPLDPSFEGVLRFVVEFQKLQPDLVARSHLQLLLVQDGKLYGRDPIFDVICKAAAVPEITKIHDIQKNESFVHLGQLVITLLKILCTNAAWQRRQLGKVLQDWRIIHMQLELALRKEFEESSSTSIDENLCMKIYKHVLNWVEEQTYWIAFRFLILGFELELYSPSEYCMVYWYLYVVLIKLGEKMHFRMITSTETGKRKGKKKKESVKDVARDQSIPPAVLLLQCHIYLAEGLTLMIAALRNEHGSFQCQGPFNTEQERFIQHFELLQRASIPDHISYYSFRDYTTHAQFDSLVMCNYFKEAQRIAKELRSSFSNDANKLAELRHIEQVAEHNGIALNLVSQLGSLDPSLKVSFEFNHHPHFATAVVKRS >KVH87459 pep supercontig:CcrdV1:scaffold_3318:5474:54750:1 gene:Ccrd_025302 transcript:KVH87459 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2227-like protein MSNSDEELRRRKYEEALEVKSLRRIISAYLNYPEAAEEDVRRYERSFRRLPVAHKALLSHLPLKYQKLRRSIKRFHAVGAYFTWVAKASLEEDFVLLNLKLMWSFVSVSLPVPIIGSKCLIGGTGMCISKNSFFIFEMLKAFEPPVDMSQDDDCCEHQGNGSCDHLLTGDRDPCSCESASVSGRVHSSKSGEAWGGNEEEKNENCCEPESGSFPVGVEGKTNTHKGGCDNVTDVKDQDVSDPTDSSKDISSVHPESPDRLDSMHHFHVPLVDVDKVRCVIRNIVRDWATEGQKERDQCYRPILEELKRHFPERSKESPPTCLVPGAGLGRLALEISCIGFISQGNEFSYYMMICSSFILNQTQAVAEWTIHPWIHSNCNSLSDTDQLRPVSVPDIHPAGAGITEGFSMCGGDFVEVYSDPCQVGTWDAVVTCFFLDTAHNIIEYIEIISRILKDGGVWINLGPLLYHFADMYGQDDEMSIELSLEDVKRIAIHYGFQLELEKTIETTYTTNPLAMMQFLLILVFLLFFLLQLRNLY >KVI06713 pep supercontig:CcrdV1:scaffold_3319:33142:45998:-1 gene:Ccrd_014931 transcript:KVI06713 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MWKEIGDRESGKLRPNSFITRIRSNRVSSLQLSNHKEIVSPHRGAINSLQVDLTEGRYLLSGASDASLAVYDIQRATDYDGGGLIAKHKPILLVDKQHQNGHKFAISTAIWYPIDTGLFVTGSYDHHVNVWDTNTTQVVMDFKMPGKVYRTAMSSLATSHMLIATATEDVQVRLCDMASGAFSHTLSGHRDGIMAVEWSTSSEWVLVTGGCDGAIRLWDIRRAGCFSVLDQSHSQLGRRPPLLERSTTKVSTSKCSLPGQTSSAKARAPQRKGSSGSGSKHSGSSRILRHAKGSAKQRLHPGLLSSQDRATAHYGAAFDVWTGKASMTLHGHYEHVNCCWYGAHDQELYTGGNDRQILIWSPPKLISEDVDEGDRRTGQPLAVDQDNWSD >KVI06714 pep supercontig:CcrdV1:scaffold_3319:55316:61098:1 gene:Ccrd_014932 transcript:KVI06714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbQ, oxygen evolving complex MLTCSCLGKYGHSNENRSKETRIKTPQSHSKHTHITRRKAASLFLLLSCPIPISTQSASATESSILDMFRMTVPDQTVEEAENGIREHAMSLVQVKELLELESWKEAQKELRKSASYLKQDIYTIVQGKPVTKRAQLRSLYSRLFNGVTELDYAARDKDVTHA >KVI02955 pep supercontig:CcrdV1:scaffold_332:140352:141140:1 gene:Ccrd_018752 transcript:KVI02955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MTSTSPDNTHHIRSTSLPTRSHPSILQAQEEISKFKAWQASISSVPTADTICSALTRLQVLYECVDNVLSLPLTQQALTQNQYTQLVNELLDKSISLMDICGSTRDLVSQVKENARDVQSAMRRRKGEVCLTTSFIKNLKKDAKKAIASLKQIDEKIGSMKPLDLDQHLLSAIKVVRDVGVVRSSVYRSLLLFLTGSVAKSKSTRWSLVQKMIQKGTTEGKDQLEVSNKDLVCLFQEMENGLECMFRSLIKTRTSLLNVLSR >KVI02957 pep supercontig:CcrdV1:scaffold_332:174800:177625:1 gene:Ccrd_018754 transcript:KVI02957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MDEVFCRDNVNPALSLTTQVSSILILSHVFQIFLKPLGQHAPVAQILAGFILGPSVLSRSPQIYSFFFENFVVDFYESLALFSRIIIMFLIGLETDVSYILRHWGPTSIVACCGCLICSVLATAIAFFVYEETGSRGSRVMLALVLTVILANAASPIVFRLVADLKFATTDFGRLAICSSLIVDMYAMFILIIITGAKYKETFLTWVLKGFCSVLLLAGVVVFTTYLANWLNHRNRNQKNLKAVEVMLICAVIIFAAMTLETMGFSSIIACFIMGSMFPRGGKSGRTLLTVLTYMVHNFIFPIYFGYTGFRADITYLNKLKNVGIVLMVILSSIGGKIIGTLVACHYLKTPLKEGILLAFLLNMKGHVDLLTLTSAMLNNELTSLVFYNLMLIAIVISSLVSSMLVSFLVKRESETIGVKHIPLEYLSPMKELIVLACVHSPHPVPTMVQLVVSLRGSQDVPITPYLMHLVELPEKPEDGSPYHKHQEDEFSDDEDHGADDAVEINEAVDVFTADTKTVFHQVKNVSPYLSMFQDVCELANDICASIIILPFHKHQRIDGKLEKDKEAIRITNQKVLRHAQCSVAVLIDRGHNAIASQVAGSEPLQRVATLFFGGPDDREALGLSKRLSTHDHMILTIIRFLPTSTKEVNKGLTVSHKGDDVLVAAVNNGTEIEGADRTALNNFYHR >KVI02961 pep supercontig:CcrdV1:scaffold_332:240988:257071:-1 gene:Ccrd_018758 transcript:KVI02961 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MAMEDNESCGSRAVESPKKSRQRRQKLEVYNDVLTRLYDMNHEDTKHPDFEDQLWIHFNRLPPRYALDVNVERAEDVITHKRVLQMAEDPANRPAFEVRLVQVHPTSDGNTPDLDNMEFSMKEDAQSPSTYSSRSGLHPPSFGSAPNLEALALKANKHHDSYADNDVTSTSVFSRPMHEITFSTIDRPKLLSQLTSLLSEAGLNIQEAHAFSTADGFSLDVFVVDGWPHEETAELRNAIAKEIMKAKGEPYLEKHSSSAISKPVPIPSDSVSLNDHVKIPTDGTDVWELDASMLKFENKVASGTFGDLYKGTYCSQEVAIKVLKPERIDSDMLKEFSQEVFIMRKIRHKNVVQFIGACTEPTKLCIVTEFMARGSIYNFLHKQNGSFKLPLLLKMAIDISKGMSYLHQNNIIHRDLKTANLLMDEHEVPYSYLTPLQAAVGVVQQGLRPTIPKQTHPKLTELLDSCWQQNPASRPDFTQILDKLKQLAKEVGNGGEGQKEKSMGGFFAGFKKGHHH >KVI02948 pep supercontig:CcrdV1:scaffold_332:7765:11169:-1 gene:Ccrd_018746 transcript:KVI02948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MIVSLKFNKFQKKSISLPCRSHPSTVRIEEELSLIKASSSATPSVDNICHGLSQLVELHHFTDGLLVLPVTQNLISLHRNTMWVGEVMEVSMKLLDICSIIRDVVLQMEEHVRDLQSALRRRKDHTSIQVSIANYIDFRKKTKKDAKELITKLKQSEKVMGLVADPDNHHLPAVIRVLMEVTEVTVSIFESLLMYVSPPVLKLNGWSLVVWKLRHKGTVACKEDEKHKRILNELEAVDVSLLNIRNGGQQTPEIMQMAQHRLEEMQARMRRLESGLEGIFRESSFDLTIEGVVEGVVEGFSPAK >KVI02958 pep supercontig:CcrdV1:scaffold_332:226530:235333:1 gene:Ccrd_018757 transcript:KVI02958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MTHANYPKLLNHPHQPHNSHSWRPIIPLYIYNLQILHHHTTAHTPPLQTIQKPIHLLHQTPTSSIYTKTLDFDFKGIMTMATQIAVSSLISSVPRLSPSKCVADRRLELRSSISTTKAQLSNQRSRRNGNGNGIGKRKLNFSWMATVGENVKPATPVTPTSVPVRVAHELHQAGHRYLDVRTPEEFSAGHAVGAINIPYMFRLGSGMTKNPDFVEEVLSHFGKDDEIIVGCQLGKRSLMAAMDLLSAGQIKQILIKGDTNFPLKFKDDGKD >KVI02959 pep supercontig:CcrdV1:scaffold_332:209534:211216:-1 gene:Ccrd_018756 transcript:KVI02959 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MTAIMAEELSSEQEKLIDKLNLFKIKGKDKRGRKILRIIGKYFPARSLSIDLLNKYLEKKVFPRLEERPFAIVYIHTEVRKSDNFPGFSVLRSVYDSIPINVKRNLEAVYFVHPDLQSRLFLATFGRFIFTGGLYGKLKYVSRLDYLWDQIRRNAIEIPEFVRDHDEDLEYRPMMDYGLESDHPRVYGAPAVDSPVAMYSMRCIS >KVI02960 pep supercontig:CcrdV1:scaffold_332:298944:299135:1 gene:Ccrd_018759 transcript:KVI02960 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL-like protein MGPCTSTMTTGHRTGWIHRQEERGLFRRSSSRCIAAVREKRSRFYIVRKCIVMLVFWHKYGKI >KVI02956 pep supercontig:CcrdV1:scaffold_332:195527:197738:1 gene:Ccrd_018755 transcript:KVI02956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDRVEFSAMKVGNTIVFLCAVMVTTMMKITMGKGIYGALFIFGDSILDVGTNNHFNDSTARADHQYYGVDYPDSVATGRFSNGYNSADLLARYIADYTYSPPPFLSLVDRSGATFLQEILEGANFASAGSGILIDTGHEKYAREQIQQFSTIRGNISESLGKSAANIFLAMSTYIISVGSNDFFEHQHALSLNQTEPEQLIANLTATYSIHLQSLYDLGARKFALIGIPPLGCCPIERFINLTLLQGDGGCVMAMNDLAQAFHASTESLLQNFSSTNQGVVYSLGNTYNTILNFIDNPRANGFRVVETACCGNGTFNAEKKCERGSKLCVNRDEYLFWDEFHPTQAASRQAALTLAYAEGPEFVTPMNFSSLANVFTYP >KVI02949 pep supercontig:CcrdV1:scaffold_332:19218:20454:1 gene:Ccrd_018747 transcript:KVI02949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, core MTSTGLAVVLINIFVENFVGWKYSLTFRIIQSSYVIGFIVYTVINLALVFSSVFIITQFAPTAAGSGISEIKGYLNGIDTRGILLFRTLIGKISGSIGFVGGGLALGKEGPLVHTGVCIASLFGQGGSTKYHLRLI >KVI02950 pep supercontig:CcrdV1:scaffold_332:44841:46055:1 gene:Ccrd_018748 transcript:KVI02950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant APRPPSSSSSSPSSPTINLAVVLIAAPTTTAAPSTSSRRPHSSADHHSSAVHLFSSSSQQCRPPQQRRPPLPVVLTAAPTTTAAPTPIPVVSLLLFVKREDYLIAAMGLQVLWSFGLACLDIHALRLKKDLHNHXFLSLLVVGDWVTAILSLAAACSSAGVMVLFVKDTDICRSHNLLSCNTFQISIALAFVAWFLLAISSYVMFWLLATI >KVI02954 pep supercontig:CcrdV1:scaffold_332:152604:163920:-1 gene:Ccrd_018753 transcript:KVI02954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MDASASFSSSSTSVRHVRSISLPNQSHPSTLQVEEELTYLKTWETSSSSIATVDTVCGSLAALERLYTSVNDLLNLPLTQQALFTKDEKLVDELLDRSMRLLDVCGSIKDAMEQVREHVKNVQSALRRKKAYLTMDASSLRKLMKDANRAILALKKIDNKIGDNIALLNLDDHLSAVIRSLRDASAVSVSVFGSVLSLESIFLSKPKSTKWSVVSNLIRKGNRVSVNHPQISDEALESHIEVIQNPSSSSSSTSVRHVRSISLPSQSHPSTLQVEEELTYLKTWEASSSSMATVDTVCGSLVVLERLYTSVNNLLSLPLTQQALFTKDEKLVDELLDRSMKLLDVCGSIKDTMEQVKEHVKNVQSALRRKKSNLSMDASLLRKLMKDANRAISALKKSDNKTGDDIALLDLDHHLSTVIRSLRNAGEVSISIFGSVLSLKSIFVSKPKSTKWSVVSNLIWKGNTMSIDQPQISNEALESHIEVIQSSLECVFRTLMKTRVCLLNIRSH >KVI02952 pep supercontig:CcrdV1:scaffold_332:130940:134865:1 gene:Ccrd_018751 transcript:KVI02952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific mitochondrial import receptor subunit TOM20 MDDFVYKYVAIDFHATKKTGSVVSNRISTTASARRITILNGGFGDNVTSLLVPAVALEAMGYGHMWWKGVSFSDLIWGGALLEFSQFGDIKESRKMLQDAVSKLDEALAINPAKHEALWCLENAHTANAFLTPDHDEAKIQFEQAFQCFEKAVEEFFHWSQLCPGLLPVQVEHQVF >KVI02951 pep supercontig:CcrdV1:scaffold_332:92557:93396:1 gene:Ccrd_018749 transcript:KVI02951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MASTFSSAENIHHFRSMSLPTISHPSTIQAEEEICKFRTWEASTSSVPTADTICGALNRLQGLYECVDGLLSLPLTQQALTHGQYTRLVNELLDKSISLMDICESTRDLVSQVKENARDVQSAVRRRKGDVSIATSFMKNLKKDTKKAISSLKQIDEKIGGVPPIDLDHHVLSVIKVVRDVGVVRSSVYRSLLLFLSGSVAKSKSTRWSIVSKMIHKGTAEEKNQVQVFNGDLESLFEEMENGLECMFRSLIKTRASLLNILPPKHSLNLGSTVTMDQA >KVI02953 pep supercontig:CcrdV1:scaffold_332:98415:103896:-1 gene:Ccrd_018750 transcript:KVI02953 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD binding domain-containing protein MATSIASGSANLHFRETGCWQQSLFSRVVSQRCCQKFSWSHGVSNNLQIKRYSYLIGETQRPFQTVVTSCIRDDSTKYFDFAVIGSGVAGLRYALEVAKHGTVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEDTVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLMVVENDPNISVFKHHFAIDLLTSQDGSDMVCHGVDTMNTKTCKVVRFISKVTLLASGGAGHVYPSTTNPPVATGDGIAMAHRAQAIISNMEFVQFHPTSLADEGLPIPPTKTRENAFLISEAVRGDGGILYNLDMERFMPMYDERAELAPRDVVARSIDDQIKKRKEKYVLLDISHKGRDKILTHFPNIAAECLKYGIDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVKPSIDHMKASYIDQSVSRWWDPPVIPMQLGCNILDKIIKRTKEVRKELQSIMWEYVGIVRSTTRLKTAEQRIGELELEWEAYLFQQGWEPTMVGLEACEMRNLFCCAKLVVSSALTRHESRGLHYTIDFPHVDERSRLPTIIFPNCSTMNTTWSSRQLHKQQMC >KVI02962 pep supercontig:CcrdV1:scaffold_332:304337:307276:1 gene:Ccrd_018760 transcript:KVI02962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MVGLQSVPGLVILYGSMVKKKWAVNSAFMALYAFAAVLICWVLWAHQLSFGDDMLVILGMPKLSSVTQAYLIEQQDDKTIPKADYVFYQFVFAAITVILLGGSLLGRMNFYAWMIFVPLWLTFSYTVGAYTIWDKGFLAHRIIDFSGGYVIHMSSGVAGFTAAYWVGPRHQNDRDHFAPNNIIHMLAGAGFLWMGWSGFNGGSPLAANQITSLAVLNTHICTATSLLVWMFFDLIFHSKSSVIGAVQGMMTGLVCITPAAGVVDSWAAMLMGVLAGSVPWYTMMVLHKKSTFFQRVDDTLGVFHTHAVAGLLGGLLSGILAKPNLIHMFYTSKYSKQGPGLLYSIINRSEAVHIRGGFTQMGYQLAGAAFIAAWNVVMTSLICIFVSRIVDLKMHEDDLEVGDDAIHGEEAYALWGDGQRHPRSITPKIPSLFRRI >KVI03238 pep supercontig:CcrdV1:scaffold_3321:44990:46291:1 gene:Ccrd_018468 transcript:KVI03238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MLYLSHMGKSELAGGALAIGFANVSGFSIMKGLCMGMDPICFQAYGAKRFPVLSQIYLKTFFLLLFISIPITFLWLNIEPVLHGLGQDRVITKVAATYLVFSLPELPALAHLLPLRSFLRTQGLNSPATIVATCATILHLPINYFLINYLKLGMKGIALASTCFTYNMNIGLVLYLYRSKVAIKPWITMTNMVSILNGWGPLLSLAIPSVCSVCLEWWWYEIILFLSGLLENPESCVAATGIIMQLTGIIYVLPFSLSLSISQRVGHELGAGQPSRAQWAATIGISIAFTYGLVIFVLYIAFRNVLGILYTNETQILILLSSTLPIMGLAEVGNALQTAACGVLTGSARPKVGVRINIAAFYLIGLPMSIVLAFVLKMDYQGLWLGLVASQAACALLMVYTLIKTDWREQAKRAEELTLAVNKDDTESIELVS >KVI03236 pep supercontig:CcrdV1:scaffold_3321:13129:20001:1 gene:Ccrd_018467 transcript:KVI03236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNCSFFTIISTSNIILALLLLLLSMISDSAAYRPGDIVPMSKMGQYHSSRTVWHDMIGRHCPIFGVNREVLVPISKPTGFTGADPYKISFQVGKEKYYIPWLLVINRKSSEVPMIDVHLRYSGSDFLGVTAKVVDMPHHYVELHPDIGKQFWDAQIWPKHVLVRYTWEEQSEIDVTSGFYVLFGSGLLMSFVLSIYVLQSSRDKIERFVRETVAESSIPGGVAKVE >KVI03237 pep supercontig:CcrdV1:scaffold_3321:10299:11366:-1 gene:Ccrd_018466 transcript:KVI03237 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MITFAFKKICYEESVKRCYLISTYCRHDDSDSDSSSISVIDIFGEINYCPSVQMTTVAARDFAVIGAGAAGLAAALHLRREGHSVVVFERESLG >KVH98745 pep supercontig:CcrdV1:scaffold_3322:38571:39287:1 gene:Ccrd_023028 transcript:KVH98745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSRPYVYQCYAWPTSAASIPNRVVTSVPPNTTRMIFLTLTTIFLNTDTREGPPAITHVWEICYPTNQLSGLIRSAQLAIYGILCDLPGSPVPALRELTVRLMGCITDMVTNFGNTGRTVLPMAALFSCSRISETTGAPALRATVEEEDEDDQEETEEDEEEDEEEQQLKEEFYQQLKRESPLATVSARKKTPCSICLVEIEAGAIGTELPCLHLFHEDCVLRWLTYDFSCPNCRFRMD >KVI00726 pep supercontig:CcrdV1:scaffold_3323:1869:4623:-1 gene:Ccrd_021022 transcript:KVI00726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MFDSDEQKSSSIYNRSYTIHSTSAGFPVKPYSYSSIQRSGSVKKVSNTPFDSIKGKVRNLCSIFESPKGPKPSSNDSQFQTKLKSVKSFSSSDSNLSVPSSPYESSPIRLPGTEDRVVIYFTSLRGIRRTYEDCYAVRMILKFFRVIIDERDISMDSAYRKELLGVLGEKQNVSLPQVFIKGKHVGGADVIKQLHETGQLAKLLKGLPVRAIGPWQVCDECGDVRFIPCANCNGSRKVFDEDEDQLKRCPDCNENGLIRIDVVSCSQMVIAAGSLTMLADCGLSPSSARLISQPDPAGPDLD >KVH97526 pep supercontig:CcrdV1:scaffold_3324:37817:38008:1 gene:Ccrd_000364 transcript:KVH97526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVVISVPLILFSVMLGVGCYFVGRARGRQDIRTHAQAFGVPIAPPNADPSCSKPKIAEMV >KVH97527 pep supercontig:CcrdV1:scaffold_3324:60814:61353:1 gene:Ccrd_000367 transcript:KVH97527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MPSSLHFVTNLPYYHQPSSHPQMPMVDFYPQSSSLSYNNSSTSDEADENQMSIINERKQRRMISNRESARRSRMRKQKQLDELCTQVMRLRNENQGLIEKLNHFSENHEQVIQENDRLKKETTELRQLLSEAQLASTYTTLRDLDDDHEAGLVPSCTTAYLRAQSSTKSTTKNSSNLLH >KVH97525 pep supercontig:CcrdV1:scaffold_3324:39670:42930:-1 gene:Ccrd_000365 transcript:KVH97525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDLQNKMEDQGETLISTPDHPLNNAFGSLNDICYELSSLQELACRGSWRSILDKVTRARTQSLLTKPHEHLIYLSYYAIALTKLRRFSEAVTELDSLENGLDNVIYSYENYPHHYPNRYGSMAPFVLRWLYAELPSRVENRQETLDRFYLLLQFVREKSTKSSSGMSDDIWRKREGLVINSIISHHLSHKEFGVCLDLINDLINRESTPVARAVLTSKLGYIQMQLGDLEGAKASFAAVEGVVTKEVEMKNLVNRNKALMFMVGKDYVSAVREYQECIDRDDSDVVAINNKALCLMYLRDLSDSIKVMENALERVPTAALNETFVVNLCSMYELAHVNHSDIKKTLSNWIARVAPDDFDTSCTRL >KVH97528 pep supercontig:CcrdV1:scaffold_3324:43383:50842:1 gene:Ccrd_000366 transcript:KVH97528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longevity assurance, LAG1/LAC1 MGFIEVLPSFDFEHESYPTYQDFAVLPLFAIFFPTARYFLDVYVFEGIGRRLIFGKEQQRLDVETEERKKKIRKFKESAWKCVYYLSAEILALVVTHDEPWFTNTTNFWTGLYMYAGGFYTYSIFALVFWETRRADFGVSMGHHVATLILIVMSYICRFVRVGSIVLALHDGSDVFLEVGKMSKYSGAEGLAGISFVLFMLSWLILRLIIYPFWVLWSTSYELVPSLNKEKYKTLGPIYYYAFNTLLFSLLVLHIYWWVLIFRMFLGQLQAKGKLSDDVRSDSDSDNEHED >KVH98801 pep supercontig:CcrdV1:scaffold_3325:20807:23489:-1 gene:Ccrd_022970 transcript:KVH98801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 HRHFCDVDRLLGTSFLFISQKLRFRISHLRLFFFPFHSNPQSLASSSVMEEASSIWKKQLSSDTWAKASSSNESCQDHNQQQQQMENENSSILDYDLMIRKQLETIPSSLTQIKLLAQQDLEDKNKAQLWDPRTMLTNLSIMEQKIHQLQELVQLIVGRTAKSSIGQPNELLIQQQQLVTADLTSIIVQLISTAGSLLPSVKHPHFAANPSVGDGLLPSDDNSKDNNDNIDSYTNKNMVHKVEDQSNESDHMDVHEEHESKDDDDVDDGENIPPGSYEILQLEKEEILAPHTHFCVICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKDTGSEPKLIKRYSCPFVGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDRWLCSCGTTFSRKDKLFGHISLFQGHTPALQLDEPKGGTVSAHDQGNNNLEVPTEVGFFDFNFNPNSNGCGGSGVQMGGDPSACFSPLNMDGFQEFPRTLFEDSDSFSFLVSGSCNYLWKNGGESSSKDLQ >KVH98802 pep supercontig:CcrdV1:scaffold_3325:32722:38973:-1 gene:Ccrd_022971 transcript:KVH98802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical LTRLKIKKITGDVDKLIFTNSNTSIHHFSIVVEEQTMILQSRISPPLKQIPLIGSHASLFIPANLPRKLPIKINLTRQHLSLQTCGRDLGALRTLTLANLDESPSDKDARNSEKDTISMAVFSSGSMTLLTWANPVAASEYVKMNPVYEVGELFELGIQLSYLLLLLGLLGVGTFFVIRQVLVRRELDLSAKELQLLHYNNISGKEERYLMIMEQVRSGDASATELFELGAVMLRRKFYPAATKYLLQAIDKWDGDDQDLAQLETAVKIQPGYVTAWNNLGDAYEKKKEYKSALNAFEEALLFDPNNKIARPRRDALKEKVQLYKGVPLKSKQR >KVI03154 pep supercontig:CcrdV1:scaffold_3326:22857:23441:-1 gene:Ccrd_018551 transcript:KVI03154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALKTLSSLHCELKIMNAKNIQATNSNGYIFVRCYLSAGNDKRVRLDSQRVSPNGDFYCDESFSLDCTGTNQSMDMIIHGTIALELRWRSNAVALFGGSQLLGRSEVSWRSVFESPNMELETWVMMKSKKNVVKSPCVRIAMKIEAPPGVDLVERRRKNRWDESCGCCHGDCCNNNTCFDSELFVIGATLDVF >KVH87458 pep supercontig:CcrdV1:scaffold_3327:50755:51699:-1 gene:Ccrd_025305 transcript:KVH87458 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like protein GALDGGLDIPHSEKRFAGFSKDGKQLDADVHRKYIYGGHVASSMRILMEDEPEKYQSHFSEYIKDGVDSENIEELYKKLHSAIRANPTPKKLEKQPPMFNLKKLTYDERKQKLIERLNALNAAVGSVEANMFDFELFEKKLLPLVLGRDVSGEVAAVGGFVQTLNVGQEVFGALHPTAVRGTYADYAILAEDQLTPKPSTISHV >KVH87456 pep supercontig:CcrdV1:scaffold_3327:36980:44176:-1 gene:Ccrd_025303 transcript:KVH87456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIKEPATPPPTIGKMGPYTVFVTPSSDPSSMSVSESPKKYTWALDDYYESNGVKPSNRHHIKNDLLPKAISKWSCKWNSLIDDERTMPRKHAFHRTPYEPRQQAHY >KVH87457 pep supercontig:CcrdV1:scaffold_3327:53268:59664:-1 gene:Ccrd_025306 transcript:KVH87457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDILNPVIMFSSSRNRHLMRTPEAHNTASSDRFCGGFKRFKHDTFLWRFQATDFCEALILIVYGNSGVLGGQNARIYIPDELDDVVDDEEDEAWKEWG >KVH87455 pep supercontig:CcrdV1:scaffold_3327:38660:39883:1 gene:Ccrd_025304 transcript:KVH87455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain, domain-containing protein MFAASSVVIRIFRMSGCICMGLNPNALKLFHETNRKTVSNGSRIHFMKKFETISYLPPLTDDSIAKEIDYMMKKGWVGYIFRENSRLPNYYDGRYWMLRKLPMFGCSDVSQVLNEMEYRKAYPNAYIRCLAFDMLSRLSVCLCYSDTCFPMKAFCSVSFLFDQTFSSLLNVFFFCHGFQ >KVH90976 pep supercontig:CcrdV1:scaffold_333:81646:102377:1 gene:Ccrd_007009 transcript:KVH90976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MATQSHAQAVKSLNNGAGRRRFVFKTFSQRIEEIDVDVFRSLDPLKSEPSEGSSFFRDCLVEWRELNTAEDFISFYEEMLPLVQTLPQIILQKESILSKLLSRLEMKGRLSLEPILRLIAALSRDLLEDFIPFLGKVADSMVLLLGSGAERESDIIEQIFISWSYTMMHLQKYLIRDVVYVLKVTAKLRFYPKDYIQEFMAESISFLLRNAPVEQLNKGIASYICIRASWELFIREAAILTNTPNLSIRKIMSEVVAKPLETRKSGASALLFYVMKGFSSKLHSRAEQVMRLLLHGEVIGSGSNPVVEVVITVFQRLCEELRPSELKLLVQREGKEIYDSIANGHSRHLSHLLSLFISTMHNHNVHKMIEFEPVLELAKLLIGTFIMPSGILLKAEDQSSEIIDKILQLMLCILDGLHSGNHAAVLTELSMQWAPIFEMRNTSFMSFIKELLLKDPSILHTFRTCIISALTDLITTLEEEALYLLIKFFERLQSLKYCLLEEKPRQEILRVGIFLKEQITYWIGMMNDAVHKSPSCLEFRATDLARMWGIVSCYSYMTEFQANPSVLMDFVEALDRLLMINSETIAALPRRTWESLIGAGLASYQKLGFSQMAGHDGLPVDRILYFAKKYKSSIHILSAIADFLDSLDASTTQVGSPKKYHLELEACKTVDAFDVFSENLCHSDKQIRLLTLRILCHYENLNSENLSSNQPSEKDMMIDDPPNFNRSEQSDNVLHQLLAIEATSLSIATSRKVILLITKIQMDLSTGRICGAYIPLVFNAIIGIYHNQFNYLWNPAMECFAALVSHYYGLVWEICVKYLDKSVSNLVSHHGHSDRGNRELCDKSDGMYLISLSKVVISPTPKNLLSCFNAFVAPSYDGISSATVLSLLIKSLQKVPTLVESRSRQIIPLFLKFLGYEVSDLSSVRSYSLHASKGKDWKGVLEDWLNLLKLMHNPKSFYRSQFLKEVLQYRLLDENDPEVQLKVLDCLLNWKDEFLLPYDQHLRNLVNPKTLRDELTRWSLSRESNLVSEEHRDRLVPLVIRILVPKVRKLKTLASRKVASVHHRKAVIGFLAELDINELPLFFALLTKPLQKESLGVDAVDDDLLWGSPKTPAKFDSSGVLRHFSMDNIKSLSSKKIYGFLHVTEEILGVFDESRISPVLDTLMGSVVRILASCAPSMEGTKNCDLSMAVRNTNVCEHGEDSGAEKQAMTSLASKQFKDLRSLCLKIISLVLSKFEDHDFSLEFWDIFFSALKPLIVGFKQEGASSEKPSSLFVCFLAMSRSHKLVSLFHRAENLVPDIFSILTVTTASEAIISCVLRFIENLLNLDIEVESGDNDVKGILLPNIDTLVCSLHCLFTSKSTSRKSLKYSGGNELSIFKLLPKYIKDPSIGRKFVDILLPSLTKKHIDWDPCVSALHVIQKMVPMLGSEGGLRILNTISPLLIHANSEVRLAICDILDALAGSDPSLVLVAKLLREFNALSAVEMDVLDYDVIIGAYEKIDIEFFCSVREEHSLVILSHCVHDMSSQDLILRNSAYRLLLLFLDFCRKILCGELESNMGCWSEACIQNTINNFFLKYMGNAMSKETSVLKVWMDLLREMVLKLPNVSNLTSYHALCSEDAEQDFFSNIVHLQKHRRARALLRFCSVARSCHLSEVITNKVLVPLLFNMLLEVKDGKGEHLRNACIEALASISGSMGWKAYYALLNRCFRELKLRPDKQKLFLRLICSVLDNYHFSEVKHFDREKDSPESRQQASSIVLVKCTTTDKHPDIQSCLHENILPKIQKLLTLDTDNVNVNVSLVALKLLKLLPGNILELQLPTIIHRISNFLKSRLESVRDEARSALAACLKELGLEYLQFILKVMRSTLKRGFELHVLGYTLHFILSKCLADSICGKLDYCLEELLSVAENDILGDVSEEKDVEKIASKMKETRKKKSFETLKLISQSITFKTHALKLISPITRHLQKQLTPKLKSKLETMLHHIASGIECNPTVNQKDILIFAYGLIEDGLKGNQGCENIYGAKSSGDKNDDINTTSSISGRLSRAGSQCSHLITVFTLGILHSRVKKMKLKENQEQVLSLLDPFVGLLCGCLSSKYEDVTSAALRCLSLLVRFSLPSLDSEADNIKSALFVILHGSVNATSALAESCIRLLTVLLRSTSITLSSDQIHMLVQFPLFVDLERNPSFVALSLLKAIVNRKVVVPEIYDLAKQVAELMFPPQVVNEQSQTLFVQLVVCLANDSEKKVRSMIGAAIKLLISRVSPQSLHSISEYALFWYSGEKQGLWSAAAQVLGLLLEVMKKGFTKHIDAIFPVMRRILQSAVNILKNDQADPPSGAGIPLWKEAYYSLVLFEKILLQFPETCLGKDLEDIWEIICEFLLHPHIWLRNISNRLIALYFATITEACKQNNERWIGEFFLLRPSRLFLLAVSFCSQLKASLTDDAANNYLRQNIVFAILGLHSMLGRQKETKVFWSTFEPKDEEILIKAFLILDSRKGRSMFASFASDLNGHSEMENCDHHRYFLISSLLKRMGKTALDMEEVQMRIVFDSFRLVSPKVLDGNEASEELDKKDGQNLAYQVLLPLYKICEGFAGKVRDSIRDAMGVQHFVQVYSSVRKNLKTKRDKRRQGEKVMAVVNPMRNAKRKLRIAAKHKENKKRKIMTMKMGRWMH >KVH90962 pep supercontig:CcrdV1:scaffold_333:161995:165435:1 gene:Ccrd_007016 transcript:KVH90962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor LSLSLNCKRLLSPLQPTTKKPSLSPISDAIRTLVSVGVGEEQEKTNNSKKTESKMGKYMRRKSKTTGEVSSMEVPSLGGVLTRAKTLALQRAAAAVATAGAGSYIQLRSRRLVKPNSQKKPKENCTPTTNPNKSSSRMKVNSVDSCGSVEKLVDKEEENRQGIEIKGNLELAIDDEEASFGENMLEFEGRGRSTRETTPCNLIGDPDAIRTPGSSTKPTKSNDGKRRVQNTTSRHIPSTSEMDEFFTGPEKQQQRLFIEKYNFDPVKEKPLRGRYEWVKVDGTKKS >KVH90979 pep supercontig:CcrdV1:scaffold_333:2832:19881:1 gene:Ccrd_007004 transcript:KVH90979 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad50 MSTVDKMLIKGIRSFDPENRNVITFYKPLTLIVGANGAGKTTIIECLKLSCTGELPPNARSGQSFIHDPKVAGETETKAQIKLRFKTAAGKEVVCIRSFQLTQKASKMEYKAIESVLQTINPHSGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDSSTLKKKFDDIFSATRYTKALEIIKKLHKDQAQEIKLSQKDLEKLQLLKDAAYKLRQSISQDQEESERLGGKMQELEQNVQDVDTKIRQTEAALKELRKLHDQVATKTAERSTLFQEQEKRYRDLEEENDDPDEDLREWNAKFSERIAVLEDKINKSKREVEDIETKSSLSQQQINDYIGKVSKLQAEAESYMSLKKERDMTIQELYKQHNLGSLPNAPFSNEVASNHISRINSRLKDLDRDLDEKKKSMDEELKVAWDLYSDSSDRCKNVEAQKRAKSEIKRGKTERKKEKENERDELELEISGDNVRQIDQKERSLSIEVERLSKQLAEGEFDTKIQAKSTEVETLANKIKALGREKDIMAEDSEERILLSIKKEELESHKKKHKKMQVQSLCLIDEYKSKIRGVLKGRLPLDKDLKKEVTQALRALTIEYDDLNSKSREADKDVNAMQLKIQEVNNNLAKHHKDKDSRRRVIESKLQTLDQQSGSIELYIKVLGVAKEKRDVHKSKYNIADGMRQMFDPFERVARAHHICPCCERPFSAEEEDEFVKKQRVKASSSAERMKSLAVESSEAESNFQQLEKLHLMYEEYNKICKETIPLAEKNLIELKAELEQKTQALDDVLGVLAQISSDKELVESLVQPVETCDRLLGEMQKLQQVVDDLEYRLDFRGVGVKSMEEMQRELHTAQNTKENLERELEKFREERREVELDLQRASSRWLTEREKKVKVENALKNLKKVEDELDQLAEEMNQLDIEMKHLDEDVGLLSKEVEKYKSAHENMKNKHNEEYEERAELKRKYEKENILEKLKDLEEKQSLEKSKLISNNNKKQELLAEVNKTADVVRNQDKLRRDIEDNLRYREIKAKVDGCTLEIESLEERILGMGGISASEADLGKLKNEKLCCILQLNKYHGTVSVYQRAISKNKIDLKNPEYKEIDKRYFDQLLKLKTTEMANKDLNKYYNALDKALMRFHSMKMEEINKIIRELWQQTYRGQDIDYISIHSDAEGGGTRSYSYKVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDVPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRPT >KVH90978 pep supercontig:CcrdV1:scaffold_333:40463:41419:-1 gene:Ccrd_007005 transcript:KVH90978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MYHFPTGCVYITQFLFVLGIEYLHTGCVPSIIHRDLKTSNILLDDNMTAKVSDFGLSKLXVDGNSYVSSIVRGTLGYLDPDVLLQAKLHIENGDIQRIIDPALRDEYDVQSMWKMAEKALMCVXPHANMRPSMSEVIKEIQDAISIERGVNGGSSNEILRSSFHSSLNMGLLDAGIDPYLSFHDSITLPSVR >KVH90964 pep supercontig:CcrdV1:scaffold_333:134043:140447:-1 gene:Ccrd_007014 transcript:KVH90964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDPVDAEIAKTQEERKIMEAALAPNSITFDTELYDGNNRFQDYNREIPANDEEENVDAMDNEVARKLASYTAPRSLLNDMPRGGDDESLGFKKSQRIIDREDDYRRRRLNQVISPERHDAFANGDKTPKPETRTYADVMREAALKREKEETLKAIARKKKEEEENKAAGKGRETVAAQPAQKRRNRWDQSQDDSGAKKAKTGSDWDMPDSTPGIGRWDATPTPGRVGDATPSLSRKNRWDETPTPGRLADSDATPAGGGVTPGATPAGMTWDATPKLSGMATPTPKRQRSRWDETPATMGSATPGGATPAVAYTPGVTPVGGVELATPTPGAINLRGAITPEQYNLLRWEKDIEDRNRPLTDEELDTMFPQEGYKILEPPPSYVPIRTPARKLLATPTPLGTPLYSIPEENRGQQFDVPKEMPGGLPFMKPEDYQYFGALLNEEDEEELSPDEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRSDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVPDIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPVLEDEGENVYSRPELTMFV >KVH90972 pep supercontig:CcrdV1:scaffold_333:293391:295671:-1 gene:Ccrd_007027 transcript:KVH90972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MAMRRNRISESDDNINIAVKDQHNVQLYFKMNKNLPVRKVLAAFCKKRQVDYRTVTFLLDGCRLKMENNAEIEVMSSMSGGGRSGKCLVNRY >KVH90969 pep supercontig:CcrdV1:scaffold_333:206174:207034:-1 gene:Ccrd_007022 transcript:KVH90969 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEDHNFLPKLPSYFHQTTEGWSQTFFDSDVSNCQDDPITHGGGGGGSTSSCSFLTPNGGDICIPPDFLESFRQPSSTPCFPNLTLLSNEPNLQPVGDWFKIDQNSVNHTGYHYWLSTTKAQPMKYTGKRVRNTTFEQNRICSPTKLFRGVRQRHWGKWVAEIRLPRNRRRVWLGTFETAEEAAVAYDTAAYLLRGDCAHLNFPNLKNQLKANSINGNTAALLESKLQAISLGMLNTKTNDSDTSMVGGGLAAVAGVPPDSGEGVQLSKIPSLDMDMIWDALLVSDL >KVH90967 pep supercontig:CcrdV1:scaffold_333:181460:183858:1 gene:Ccrd_007019 transcript:KVH90967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAILLTLLVLFLDFGRSQGGGGGRRPPSEYWSSGGATGAKYQTIVVDQSGRGNYTTIQAAIDAIPSDNMQWVCVYVKTGFYNEQIKIPSDKSMIYLKGDGKRKTYVVWNSNDSIETDATFTSEADDVVVKSISFINSYNYPLGSNDNPIAPALAAKISGDRSAFYRCGFMGVQDTLWDVSGRHYFKLCSIRGAVDFIMGSGRSIYERCTLSVIAGFLSPQPGFITAQSRDDASETNGFVFKDCNVIGNGTTYLGRPWRGHARVLFYNSTNDLMFAEDGCRGEGSDTSGRAGWVKRLSKEEVWKLTSMSYIDEEGWIKDQAFNMLPS >KVH90980 pep supercontig:CcrdV1:scaffold_333:65772:73390:1 gene:Ccrd_007007 transcript:KVH90980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, N-terminal domain-containing protein MAGKQEEVIRPTVNFPRGIWGDQFLIYDKQDDEDEMEQIVEDLKEEVKKDIMTALDVPVEHTNLLKLVDAVQRLGIGYYFKEEIEQALQHIYDTYGDDWTGGSPSLWFRLMRQQGFYVSCVHLILIVSSTVIPTTTTKTACTRSTLGGKTNTNASTVQFLNKQECNEQDDEDEMEQIVKDLKEEVKKDIMAAPDIPVEHTNLLKLVDAVQRLGIGYYFKEEIEQALQHIYDTYGDDWTSGSPSLWFRLMRQQGFYVSCVSRMWEKK >KVH90960 pep supercontig:CcrdV1:scaffold_333:102942:107602:-1 gene:Ccrd_007010 transcript:KVH90960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MEEISSDNVHGLILALASSSGGHSYLMEPMWWAGMISMILGEIANFAAYAFAPAILVTPLGALSIIVSAVLAHYFLDERMHIFGVVGCVLCLVGSTMIVLHAPHETQVSSVKQVWLFTTEPGFMVYAITVLVLVGVLIYRYVPLYGQTHVIVYVGICSLMGSLTVMCVKAVGIAIKLSFSESNQFKYFQTWFFTMLLLGFCLMQLNYLNKALDTFNTNVVSPIYYVMFTSLTIVASVIMFKDWDDQSAAQIATELCGFITILCGTFLLHKTKDMGSPAAGSRASTNSLDGNANASANPNSRHPEL >KVH90958 pep supercontig:CcrdV1:scaffold_333:116711:119079:1 gene:Ccrd_007012 transcript:KVH90958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNHSRIRVRGQRSIPSTFINRTSTDDQLNDGRESLENVSGSKKRAKISLSDFLDRKLQKTSDPSKLVQGKERQFLSPGTSVGAKRSIDGANADEKTEGRELNGILDIVLEQFKHNKENEDNSCFNPEDEVANSPSMHVIKESQIQDLSKRRNAFGGFHGKQPAPKGLVVLGDDPRPKQTTYPKSFIKNEKPLPLYNHYASGSGWWDSDMEGIDNEEVGFNEVWEGVGTATLGGLDWH >KVH90961 pep supercontig:CcrdV1:scaffold_333:110832:113148:-1 gene:Ccrd_007011 transcript:KVH90961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPAPRFVESRLEMEEDLKDCRVENESTDTVFNCVVPYIHDGRDRNSFSLVCRKWSELDGTTRKHVTVHMCYSTTPFRLRQRFPLLESLTLKGKPRAAMWDLVPEDWGGYVTPWVREISSSFNCLKSIHFRRMIVRDSDLELLSRTRGKELRVLKIDVCSGFSTDGLLHIGRYCNNLKILYLQESLIVEKDGKWLHELALHNTCIESLNFYMTDLVKFDFKDLEIIARTCSESLVSVKISECELMDLVDFFSHAIKLQDFGGGAFSDQPEKYAGFKFPQKLHSVALNYMSQSEIPIVIPFAHQLTELDLLYSLFDTEDHCFLIQRCPNLKVLYARDAIGDIGLQIVGQFCKKLRRIKIERGADEEGLVSHRGLISLAQGCLELECLHVNVTDISNEAMECIGSHLKNLYDFRIVLLDKLEKIPDLPLDNGIRSLLSGCTKLGRLCVYLRRGGLTDVGLGYIGKYGQNVRYLLLGFTGESDAGLVELSKGCPNLQKLEMRGCAFSEQALASFVFNVGSLRYLWVQGYRASASGRDILAMARPFWNMELIRSVGDAPESSFQQQPPSLLAYYSLAGQRTDFPDSVLPLHPSLDL >KVH90975 pep supercontig:CcrdV1:scaffold_333:216483:219939:-1 gene:Ccrd_007024 transcript:KVH90975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase D-related protein MVVKRGGGSVIPIGFSCFFLIFVGFVSGIIPTTLDGPFTPVTVPLDERFRGHAVDLPSDDPRVRRTVKGFQPEQISISLSTTHDSIWVSWITGEYQIGDDIKPLNPHTVGSIVEYGKVKSLKTQTQKTEGYSLIYNQLYPFEGLQNYTSGIIHHVQLTGLSPDTIYYYRCGDPSIGAMSDVFRFKTMPVSGPKSYPRIGIVGDLGLTYNSTSTVSHLIKNHPDLLLLVGDATYANLYLTNGTGSDCYSCNHEIEEQVEGTTFAAYSSRFAFPSTESGSKSTMYYSFNAGGIHFLMLGGYTAYNKSSDQYKWLQSDLANVDREVTPWLIGVWHPPWYNTYVSHYKEVECMRVHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIQHTDEPGNCPEPSTTPDSFMGGFCATNFTSGPAAGKFCWDRQPEYSAYRESSFGHGILEFHLDCIQKVKWCSSFENGVTKTVLNNHLRL >KVH90974 pep supercontig:CcrdV1:scaffold_333:223557:286819:-1 gene:Ccrd_007025 transcript:KVH90974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MTKYDYVISDLPIYKAALLDDWDSVSQILEQDPELMTKQITYWWETPLIIAVGTNRSHRFVQKLVERIVAVGASDKLFVTSYGGNSPLHYAAKVGNTIDAKLLVAQNLDMTRVPNPYGNTPLKLAAWHGNKETLQYLLTVTRDLLPGEEGTSPYTGVAGGDLITLTIMAGFYVIPAVKKSEGATKVNMFLSIFSKFTAGFWSALQYVAPPIKNIHDIKVNNIQSSLLVNGICKIVIEKVDHDTAWKILGSAITTAVTYGTHELIEECILTYPGIIWYDVGGFYLFLAAIKQRQERVYNLVHQMSGHRVFAATQLDGEENENALHIAAKLAPPHRLNVVTGAALQMQRELQWFQEVEKFIEPSYKEALNKSGKTPRMVFTDAHKELLVEGQQWMKDTASSCTVVAALIVTMAFAAAFTLPGGNNDDGKPLFLDRGTFMLFIVSDAIALFSSSTSVLMFLGILTSRYAESDFLYALPKRMTIGLLSLFLSLATTMIAFSATIALVLRDKVDGKEDENTLHLAAKITPPHRLKDAASQMQRELQMVQDIQTHSIKKMSSNSHVIACLPIHQAALNNKWESVKPIFEQDPGLMTKQINCRGETPLMIAVGTNRSHDFVKQLVDLIVAVGDADKLFLESDLGDNPLHRAAKIGNTTDARVLVELNQGKTLVPNKDGHTPLNLAAFHGNKRTLRYLLTVTMDQVFEEEGSSPYTGVAGGDLIALTIQSGFLDVALEIIARHPNIALEKDGRERTALEVLAPSIKDIYDIKVKHHQSKLLTKHMCEKVIEKGDHDITWKILGSSTYTAVQHGTVEVIEECVSTYPDIIWYTGSNEGFHLSLEAITQRQEQVYEILSQSSVHKVSQATLIDKETRENSLHKAAKLAPPHRLNIVTGAALQMQRELQWFKEVENFIDPLRKKASNKDGKSPKTLFIEEHKDLLKEAKEWMKDASASCTVVAALIVTMAFAGAFTVPGGNKDDGKPLFVDKATFMLFIVSDAIALFSSTASVLMFLGILMARFAEDDFLYALPKRMTIGLVSLFTSLAATMIAFSATLGLVLEDKVPWIAAPLVRSWILNLDISMNLTKMSYEYKDTYLLIYRAVFTDDWNFVSKTFEEFPDQRTEPINRQLETPLMIAVATGRSHDFVKRLLSSLSTTDGSIASALDATNNQGETVLHYAAMIGNMKDAELLVRYSGSNLERFVATNYINGSTPLSYASWHGRKNKMLEFFFSKMGVLNTPTITWELPTQRSVSGNLLIPAIEAEFLASPITNIYDMKITHRQVKQLVKHLCTTLVQKKNEDTIWAILGSNIFSAVEFGNYEVIEECILAYPSIIWATFFDAHLFHLAIGQRQEKIYNLVYQMSSYKAFIANHVDHKTGENALHMAAKLAPPHRLNIIKGAALQMQRELQWFKEIETNFVQPAYKNVYNNENKTPRMVFTDAHKDLLKEGQEWMKNMASSSTVVATLIVTMAFSVAFAVPGGNKNEGNPVYLDRGAFMLFIISDAIALFSSATLVLMFLGILTSRYAEDDFLYMLPKRMTIGLVFLFLSLAATLVAFSATLSLMLQDKVTWIAAPLLVVTSIPVGLFGVLQFPLLRRHDQYAVWAILQSTIFRAIESGISEVIEECILAYPSIIWASDGFYLFHSAISQRQEKIYNLVYQMSSYKTFIASHVNHDTKENALHIAAKLSPPHRLNIINGAALQMQRELQWFKEIETNLVQPACKNAYNKAGKTPRMVFTDAHKDLLKEGQEWMKETASSSTVVATLMVTMAFVVAFAVPGGNKNEGSPVYLDRGAFTLFVISDAIALFSSATSVLMFLGILTSRYAADDFLYTLPKRMTIGLVFLFLSLAATLVAFSATLSLVLQDKVTWIAAPLLVITSIPVGLFGVLQFPLLIKLHTDLLIYKAVFDDNWNSVRNIFQEHPDLRTKPINSRLETPLMIAVGTDRSHDFVKNLLSSLSSDDLIRVALAEEDKEGDTALHHAAQIGNMIDATLLLSYSCKPETILHKNNVGGTPLLFASWLGRKNDMLEFLFSKLGVLNPPPSGIPNESLVHGDLLSPAIEGEFLVLPDESDQSMPDEKKGQQYVQDEVATEFFCSKSTSKVWFKRILQYLAISQRREKIYNLVYQMSSYKAFIASHVNHDTKENALHIAAKLAPARRLNIINGAALQMQRELQWFKEIETNFVQPACKNAYNKEGKTPRMVFTDAHKDLLKEGQEWMKDTASSSTVVATLIVTMAFAVAFAVPGGNKNEGNPVYLDRGAFMLFIISDAIALFSSATSVLMFLGILTSRYAADDFLYTLPKRMTIGLVFLFLSLAATLVAFSATLSLVLQDKVTWIAAPLLVITSIPVGLFGVLQFPLLIKLSQEIDIKIYNAVIRDKWDFVSKIFQEKPELQIKPISWRLETPLMIAVGTNQSHDFVRNLLQSLNNDHDLINCAMEAKNDEGDTALHYATKVGNMIDAILLLTYSSKPEEMALQKNRDGTTALLYAARCGRKKEMLVYLYSLTSVFLNPLNSRNPTRTLFLGPLLTNAIDAGFLDVALNLVEAYSKGVLGGTLYDSQKSLSVLAGKPELFYSGKKLGFWRRLIYNSLHVDEKRSKLMTPVDEKTTSKRYAHDMETAYTAKEFSNYNYKRTSATTGIKRMFQYLASPIKGIYDLKLTHKQSHHLLNCICKMVIEKGDQDIVSALFVSTVNKAVEYANYEVLKECILTYPSIMWSVSWSDFEHRHIFYEAIKQRQEQVYDLVKEMNSYKGVVLNHTDENTNENVLHIAAKLAPPHRLNIVTGAALQMQQELQWFQEIEDFVEPSLRGGENNDKKTPRMLFTDEHKELLKEGKEWMKDTASASSVVATLIVTMAFAAVFTLPGGKEDERKIAYMLFFISDATALFTSATSALLFLGILTSRYGEADFLHVLPRRLMGGLFTLFVSLAATMITFSATLALVLQDKCNWIAVPLVIITSIPVVLPDESDQSMPDEKKGQHISKIFQKHPELRTKPISWQKETPLMIAVGTNQSHRFVRNLLDSLSNDLDSINDAIEAKNEEGDTALHYATKIGNMIDAKLLLSYSTKPTEIALQENNKGVTPLFYAASYGRKKEMLEYLYSLVSAFLSPSKSRIQTQTLLAGPLLNNAIDAGFPGIALNLLDVYSKGVLVSKPYDLQKALRELASRPQLFHSERKLGFLDVLIYKLLYVDEKHSKLTTSVDEKRTRKRYAHDVEAVYAGNELSYYNYKWTSITTWIKRMFRYLASPIKKIYDLKLTHKQSQQLLDRICEMVKEKDNHDVASAFFSSTIFMAVESVNYEVLKKCIATYPSLIWSEYCSDFEHRHMFYEAIKQRQEQVYDLVYEMNSYKGVVLNQIDNKTKENVLHIAAKLAPPHRLNTITGAALQMQRELKWFEEIEAFVEPSLRDGRNKDNKTPRMLFTEEHKELLKEGKEWMKDTASASSVVATLIVTMAFAAVFTLPGGKEDERKIAYMLFFISDATALFTSATSALLFLGILTSRYGEADFLHVLPRRLMGGLFTLFVSLAATMITFSATLALLLQDKCNWIAIPLVIITSIPVGLFAIIQFPLLIELFCATYGSAFFRKRYKKVID >KVH90959 pep supercontig:CcrdV1:scaffold_333:124826:132752:1 gene:Ccrd_007013 transcript:KVH90959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase, GBA2 type MVSGNIFHFRKNSWPPEEYINRTKFEVDDLWIQLDFDSGAPPEQAWRRKLNTHASILKEFSITFMEAIKMITLGIRLWSYVREEASHGRRAPIDPFNREGKPLASQGIFISREGGHKKYASVLSPGQHEGIGKCTDQGLSSWGWNLSGQHSTYHALFPRAWTVYDGEPDPDLKVSCRQISPFLPHNYRDSSLPTAVFVYTVNNPNNCDPTFPFYRYCKLITQSLHSFPLVGEYREGKGTSQPSLNNSIGGISHLSGDHLCNFAYTLKFSVSYNDRGEDGVSGVLLHHKTAKQNHPVTFAIAACETQNVNVTVLPSFGLSEGNSVTAKEMWGKMAQANFNSGPTVPSSSGDANCAAVSASTWVEPNGRCTVAFAVAWSSPKVKFMKGKSYHRRYTRYYGTSERAAENLVHDALTKVGRRDREVAKSDLEKRQATRMLYFLVAGGTVWIDTPLPTADFVHGQQRHPKAILNTNGGHNGIGDNGFAHDDESSVTTSSEDEPEAPEREDRKRSLELYSGSYNDNEDVGSFLYLEGVEYVMWCTYDVHFYASFALLELFPKIELSIQREFARAVLFEDTRKVKFLADGKWGIRKVKGAIPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVIQVYRDFAATGDLSFGTEVWPAVCAAMAYMDQFDRDNDCLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQATAAMAAQLGDGATAEAYKTKFIKAKASFEAKLWNGSYFNYDSGSSNNSKSIQADQLAGQWYMAAAGLPNLFDDAKIRSSLQKIYDFNVMKVGGGRMGAVNGMHPNGKVDETCMQSREVWAGVTYGLAATMIHAGMEEQALTTAEGIFTAGWSEDGFGPLAIWGMQWALSPAKVVLNAPLINMMDRVPESPSISSSETSVKKMVHKAKCLSGSVFNCSC >KVH90965 pep supercontig:CcrdV1:scaffold_333:141293:145895:-1 gene:Ccrd_007015 transcript:KVH90965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MSSSLPLTFNSLISWQKSSRIPSEFNNNAIGFPNFNKPTSLSLSKSHICFTFNNNSSEYEREETRWLREEQRWMREEQRWIREEQRWEAERESLLNEIKTLKLQIEELRREGGNTSVSNVAKLLHVLKKEVNQISDSGSSAAPLVVEAAAAAAAVEDAEEVVVKEVIKVAEETKGRNKDKEVKKKRAMLRVGSEGENGVAVTSVTQVPEILSRVVKEYVEPDVYDNRVFLLGENRWEDSSRLKNRNKQDSQFLEWVGEDTKCPYCEGIGHSICDVCQGTGFTTA >KVH90971 pep supercontig:CcrdV1:scaffold_333:184230:191616:-1 gene:Ccrd_007020 transcript:KVH90971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MidA MAYTYRYSRPLLHPGTILHRPNTVSSCRQFRFSAFFSTKLVGDDPVLVRDFIHSALYHPDHGYFSQSPRSVGVLDRSINFHKLQGRKDYMSHLEKIYKQNDVSWFTPVELFKPWYAHGIAEAILRTTNLSFPLKIYEIGGGSGTCAKGIMDYIMLNAPTRVYNSMSYTSVEISSSLAKKQLETVGEVSSHLSKFRVECRDAADPSGWGDAEQQPCWVIMLEVLDNLPHDLIYSENQVSPWMEVWVEKQQDRPQGTRDASLPSNIWAKIFPKPRRSWLPTGCLKLLQTLHGALPKMSMIAADFSYLPDVKVPGERAPLVSTKIDGSSSDHSTYLDAKGDADIFFPTDFWLLERIDHYSSGWLKSRIKNFDRSSKQGKKRRTITLDTSEFMEEFGLPTKTKTKDGYNPLLDDFINTKFYLSVPTHNTK >KVH90977 pep supercontig:CcrdV1:scaffold_333:68965:76851:-1 gene:Ccrd_007008 transcript:KVH90977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAFYNRIGSLMRQSISHNIVSNGLVTGPSMFNAIRCMSSKLFIGGLSYQTDEHSLKEAFSGFGDVVEARVITDRESGRSRGFGFISYTSDDSAKEAMTSMDGQELDGRSIRVSLATERAPRTGGFGGGGYNRAGNDQY >KVH90968 pep supercontig:CcrdV1:scaffold_333:215257:216555:1 gene:Ccrd_007023 transcript:KVH90968 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MGTLSKVVVAAFTVVLLLLHGGLTTATWCVARSDATQEALQIALDYACSAGADCAPLQLSGLCFLPNTIQAHASYAFNSYYMRKSMAPGSCDFSGTATVAKTDPSYGSCVYPPSPSTAGGTTPITTPVSGTVPPPPFGGGLTPIGNGMVPTLSSPPISKAFREYSVSRITVVVLIFQSIQILSA >KVH90981 pep supercontig:CcrdV1:scaffold_333:42633:43924:1 gene:Ccrd_007006 transcript:KVH90981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEGNSRTSNSTKLLSAGNSEANMMKQRHKVERESNIREVGEGEPESSSNEQEGWDDSCNILLDDNMTAKVSDFGLSKLAVDGNSYVSSIVRGTLGYLDPE >KVH90973 pep supercontig:CcrdV1:scaffold_333:289285:290968:-1 gene:Ccrd_007026 transcript:KVH90973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYESIDEANDSLEKLVHFAIGRSHGLVTEMSIFKAFGVTKPFELCDQL >KVH90963 pep supercontig:CcrdV1:scaffold_333:165961:166665:-1 gene:Ccrd_007017 transcript:KVH90963 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5, heme-binding site-containing protein MDLKNKVFDLADVFVHNNDKDCWVIINARVYDVTNFLNDHPGGNDVLLAVAGKNASEEFEEAGHGSAARLMLDEYYVGEVDHMTPSSDMSKTTTSKLENYLKQQSNTKEDKQPGSSYKTNSVVFLLSLTILGVATGFVLCM >KVH90966 pep supercontig:CcrdV1:scaffold_333:169112:178556:1 gene:Ccrd_007018 transcript:KVH90966 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory complex, non-ATPase subcomplex, Rpn2/Psmd1 subunit MAATMVSSAGGLLAMLNEPHPSLKLHALSNLNAFVDYFWPEISTSVPIIESLYEDEEFDQRQLAALLVSKVGPISLFCCCIIAAKFVVDMDMLIFLHKEKMLSSVFYYLGELNDSLSYALGAGSLFDVSEDSDYVHTLLAKAIDEYATLKTKAAESKEESSDVDPRLEAIVERMLDKCITDGKYQQAIGMAIECRRLDKLKEAITNSDNVHATLSYCMNVSHAFVNRREYRREVLLLLVKVYQDLASPDYLNICQCLMFLDQPEGVAKILEKLLRSENKDDAMMAFQIAFDLIENEHQAFLLSVRDRLSSPKLKPEQPTAIETDTAQSGNPSVSEDTQMADETQAPTANVPETDPSEVTYAERSDLLILKTIKQSIEMRNSVCHSATIYANALMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQSGSGGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRSTNVEVVQHGACLGLGLAALGTADEDIYDEIKSVLYTDSAVAGEAAGISMGLLMVGTASEKAAEMLVYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAAMAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLAFSPTALIGLNYDLKVPRFEFLSHAKPSLFEYPRPTTVPTATSAVKLPTAVLSTSARAKARANKKEAEKANAEKLSGADSSAASGSGKGKSSGEKDADSMQILTNPARVVPGQEKFIKFLEDSRYAPVKAAASGFVLLKDLRPTEPEVLALTDAPTSTTSAATATAAATAQPGSTAAMAVDDEPQPPQPFEYST >KVH90970 pep supercontig:CcrdV1:scaffold_333:197269:204829:1 gene:Ccrd_007021 transcript:KVH90970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MYSGIHSISLDGTSMGHGDFSGQLDPTNFVGDPCLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGKYRLGKQSCKELTENSKDASCIAESQDTGSSTTSSTRMMPQDSNDGFQVQRRLQLRIEAQGKYLQSILEKACKALNDQAVATAGLEAAREELSELAIKVANECPPSVIPIPSLPEVAAYLENGIAPSVDSCLTSNGSPVSPVGLSSQAAVLKKRQRAMFSNGGDSLPLDNSTYHTCSSFKSLSNKVGGILCCCNSPNRYERLDSKLERKMMEVKNSSSQGSTSFRSINSIILRFPRFKEGLKEIRGVFELYDEDSNGTIDNEELKRCLQKLQFHCTEQEIKDLFESCDMDGSNGIQLNEFIVLLCLIHLLAAFLFLDKNGNGKLNKKDMIKAMNEDFPMEKSPTHITKTRFKEMDWNKDGKVSFREFLFSLINWVGFESTDEVTTPI >KVH96823 pep supercontig:CcrdV1:scaffold_3330:29799:31344:-1 gene:Ccrd_001085 transcript:KVH96823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKNYTLGLVRRTSSFGRKRILILNEMDIDSISPMKKRSMVNNFSSERSLLEALPQDILVSSDLMLIRVLCGVEHDDLKKLFHVSKPIREAATIAKKMHFAYSTPKKVPAFGCSIDPSLDDADEIEAPNAPRQSRVARSRLNRKKLADISVALFADEE >KVH87454 pep supercontig:CcrdV1:scaffold_3332:7932:39677:-1 gene:Ccrd_025307 transcript:KVH87454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRDAISIPWTFRWIVSLETKLWMFTKVFDLKRPSIVIIDSMNPDGMVNDIYGSNTVVFQDMMIMHLLREGHNVVKVYVEMDQDQIKTRWQFRESSVDCGVMLMRHMETYFGGDEWKWDYGLYKESKKQKRQLKDLRTKYCSKILLSDENIRKTSIITDVERFIAMETSYNANKRAWINRTVYESMPWKMEDFIQSCFLFTNLEKIRSHGSDLALIVGIGGLGIIFQIIIRLSQKLFQGLFFSMFFFCSSLATPPQCSSFASWLAMYVDLIPLDHDKLWESYRKSMGSM >KVI11260 pep supercontig:CcrdV1:scaffold_3333:29076:45548:1 gene:Ccrd_010332 transcript:KVI11260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSPVQSYDWYSPVPTYEAMIGNFEGTLEVLTVYLIDSSISLTIAAIFLALHISSIIKKCKHGWLVVECANSSTTGDLVNCRIYGYGRISVLTEDRVLVPLSLYKAKSVSVCDHRSTLNFSFRSSCIINLCKKASAVFNIRCVFNLLITMGTVARLSNTNYTGFAYNVQNVVYHLATNGIVAIPGEKKDLKELEGRSWNIRPSEVASIRVPSRVAVNQRLDRSISRKR >KVI03580 pep supercontig:CcrdV1:scaffold_3334:6344:11265:1 gene:Ccrd_018121 transcript:KVI03580 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein Rad51, C-terminal KPINHNHSSSCVIFLIAVSNSIEVILTARQHPLRFETTERMGALKSLKQEYAIIDSIFLQFCASHGIFSVEDFLVHDVYMLVASTEQLANSDRLKQLLFVLMHRFLLFYTRCYTDSVEQQGINQILSIIETYHQPWMNGLVLLEDREQNKHCLSTGCESFDMLLQGGLHEGHVTELVGPSSSGKTQVCFQFASNVAMKLGGVVFLDSGNSFSPTRMQQIVTHISGSAENKINGILQQAMRNIECHAVFDVYALLNVLHQQKLKLKSQTGYQVRLIIVDSISSLIAPILGGSNAHGEGGTLKPALGESWKNIPHVRLQLSQDHARN >KVI03578 pep supercontig:CcrdV1:scaffold_3334:31793:33757:-1 gene:Ccrd_018122 transcript:KVI03578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKTAELFCASPASTAICTTMNQHAMVRRGGGGGGGGSTPRPINHRHHHQYYNNHYQFDFGDKPKFKVPAIPCTSQHHIDPKPYTAYLQKIRKSTSSATTTSTTTATAKSAVARIGDEGALVARRKSSADINDRDAPRGSSSRYLLNNPTIIEDLQDSDDVCNAGALVVSEPAWPEYQTDSPVIKKSLSSSRRSRGYDSPALVKSRSPSLRLDAPTESPGSKSSRSRSRDQVVELRVSIHCKGCEGKVRKHISRMEGVKSFHIDLESKKVTVVGDVTPLSVLSSISKVKNAQFWPSPSPTSASASPSPTPFVAIGY >KVI03579 pep supercontig:CcrdV1:scaffold_3334:567:3279:1 gene:Ccrd_018120 transcript:KVI03579 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MDIDLSPKLPQKLYGGAGGSYHAWCPNDLPMLRQGNIGAGKLALEKGGLALPRYSDSAKVAYVLQGNGVAGIVLPEKEEKVLAIKTGDAIALPFGVITWWYNKEDTELIVLFLGDTKTAHKPGSFTDIFLTGSNGIFTGFSMEFVCRAWDVDEATAKQLVGNQTGNGIIKVDAGVKMPEPNKDHRNGMALNCLDAPLDVDIKGGGRVVVLNTKNLPLVGEVGLGADLVRLDGNAMCSPGFSCDSALQVTYIVRGTGRAQIVGVDGKRVLETTVKAGNLFIVPRFFVVSKISDNEGLEWFSIISTPNPIFTHMAGRTSAWKALSAGVLQASFNVSPEIEKTFRSKRMNSEIFFAPSK >KVI07995 pep supercontig:CcrdV1:scaffold_334:134525:137938:-1 gene:Ccrd_013639 transcript:KVI07995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKHLHELLSEDQEPFQLKNYIADRRCQLKSATPYSAGETALKPRKRKPLIQSTSASTTARNFCINHVCLFSFHDSPDVRRSPFLEFPSPAIKSPYNNNGKTPNNATVFLHIPSRTAAMLLDAAIRIQKQKQPTHKHKPKYESSRNAGFGLFGSLFKRLKDRRTRIKHREISLSSPPTSRNLKRDSDLDVAGNNSRLTSPDWSEKLSDLETSCSSWSVHDEFMSENCFCSSPFRFSLERSPSSGHRTPDFVSPETTPTHHLQQETCQEIHLEEEDKEQCSPVSVLDPLYEEDEEEHDGSVLTIVPSHGLLACKSCIGGGAKHQLLQKLHRFEKLAKLDPIELEKHMLEQYCQEEDSSNEMVLRDIFNVLGGGKVPTHVKRIVSDLVAEENKNEEERGVVVRRVLSRLESWEMVESNTIDMMVELEFRKEKGEGWRRYDEVKIKEIGMEIEVAIFGGFVEELTQELLIVSGD >KVI07999 pep supercontig:CcrdV1:scaffold_334:2025:2264:1 gene:Ccrd_013635 transcript:KVI07999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis protein MASDQTCNKISPAMAEALVHYATSNITPQQTYKEISVSLQVLTKKSPCNFLVFGLGYDSPMWANLNHDGRTVFLEEDKS >KVI07996 pep supercontig:CcrdV1:scaffold_334:102759:103283:-1 gene:Ccrd_013638 transcript:KVI07996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSSTSPLIGSSKKHPIYHGVRRRGRNGKWVSEIREPKSPNRIWLGTFPTPEMAAVAYDVAALALKGGAAQFNFPTSISSLPVPASAASRDIQAAAASAAAAAGAAMDALVSCHGGGVTAEPPAIDEFMDEDLIFNMPNLIIDMAEGMLLSPPRLDLITEETATDHNLWNYT >KVI07998 pep supercontig:CcrdV1:scaffold_334:21185:23491:1 gene:Ccrd_013636 transcript:KVI07998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSCYRGKYADELIANATHIGTPGKGILAADESTGTIGKRLSSINVENVESNRRALRELLFCTPGKLFVDVMKEANVLPGIKVDKGTVELAGTNGETTTQGLDGLGARCAQYYSAGARFAKWRAVLKIGPNEPSQLAINENAYGLARYAMICQENGLVPIVEPEILVDGPHDINKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDSKKVAPQVVAEYTVRALQRTMPAAVPAVVFLSGGQSEEEATVNLNAMNQYKGKKPWSLSFSFGRALQQSTLKAWAGKDENVKKAQEAFLARCKANSEATLGKYQGGGALSEAASESLHVKDYKY >KVI07997 pep supercontig:CcrdV1:scaffold_334:45936:47862:-1 gene:Ccrd_013637 transcript:KVI07997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MEEQYGIANLAHYMNISPIFTAITQPPCGPHHDLHYEMVVVGSGGGGRTIPPGPGSRIGGLSVGDGGLEMGIGGGDVNGRWPRQETLTLLEVRSRMNCKFKEANHKGPLWNEFLDDKNRQLNWLIFRIMSEEHGYQRNAKKCREKFENLYKYYKRTKEGKAGRQDGKHYRFFSQLEALYGERGRTTCPNPNCKHAMGSFTENTDCVGRKMKTRKLRKRSWKNIEDFIDAQTRKIMDKQEAWMEKMMKTIEQKEQERMSRVEQWRKEDVGRLEIKHKFWGKRRAWMESREPLLMQALHKLTEKKSLQNHCFPECNDYINNPMCKWGENEIRQLIHFRRTNIDAGIEQVGNMEGILWDEIASKMASLGYNRNKSICKTKWDSINELEERSKRRKESTRSTNFQYQEGTFQEHERPVEPSDHQNDGCYRLLMGDQEDV >KVI01680 pep supercontig:CcrdV1:scaffold_3342:13330:38390:1 gene:Ccrd_020041 transcript:KVI01680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIAISNSVSSSLFVSPYANGCASSSKIVAQKNKNSQSPKGSEPPARITSNVKQNLQFLRLWKEYQKRKSSTPKPATSYRKKKVEKDDLPDDDSELYHTAVPVLLVDGYNVCGYWPKLKKHFLGGRLDLARQKLVDELITFGMLRVQDGRMSSAIKFFEVVDIVYSTETCADAWIEKEDMEIVNKMMNKLIKLYDKPMKMKSTRSEPLKNTRSEEEAPERVAALREDGCPRVWVVTSDRCQQHAAHGAVLQRHWSRRSTSVQGKLLKHNLDSGVVDALKDLRNKLSETESRG >KVH95052 pep supercontig:CcrdV1:scaffold_3346:52953:53298:-1 gene:Ccrd_002879 transcript:KVH95052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVWKHLRELFQDNRSIRRAFPLFLPTAKTSNHANKLLSFDNPIFDECLFIQLVSGLSCDYNMVATVIEQSIPLPSFTKA >KVH89199 pep supercontig:CcrdV1:scaffold_3348:42283:53023:-1 gene:Ccrd_008816 transcript:KVH89199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MATRKDVFIRVFYPKLKLLYFKLLDSSLYRARRAAENHFKIYTYGTRNGMTIIDSDKTLVCLRSACNFIGNLVRENGRFLFVNTNPLLDEIIEQMIKNTGCRNDNSWRLGGFLTNSLSPKKFRSRNKKLNLTSVYQPDCVVIFDTERKSSVILEASRLVDPSMPLETYKKITYPVPANDSVQFVYLFCNMITKTIQYEQKKLAAAKGKVSKEEETKTGEAAQPIEKIKIDGTNDESCVLPSSASDDPLKI >KVI11997 pep supercontig:CcrdV1:scaffold_335:284814:285716:-1 gene:Ccrd_009582 transcript:KVI11997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSNLLFLLFTLQSLLVAMASTQPKSDLFREYIGAEFNNVKFSDVPINPNVEFHYILAFAIDYTTSSSASPTDGQFNVFWDTDNLTPSQVSSIKNQHSNVKVALSLGGDSVGDGSCYFTPSSVDSWVSNAVSSLTKIIQQYNLDGIDIDYEHFHADPDTFAECIGKLITTLKNNGVISFASIAPFDDDEVQSHYMALWKSYGHIIDYVNFQFYAYDKGTTVSQFMNYFQTQRSNYGGGDMLASFISDGSGGLSPENGFFTACNRLKSEGKLGGIFVWSADDSKALGFRYEKQSQALLAV >KVI12000 pep supercontig:CcrdV1:scaffold_335:277546:282945:1 gene:Ccrd_009581 transcript:KVI12000 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S globulin MVLCSVFMAILFLLLISSCSAANSNLFREYIGAESDSVKLSDVPINPKVEVHFILAFAIDYTPDNHPSPTNGKFNVFWKTNNLGPTEIASVKAKNPNVKVAVSLGGDSVNGRNKVYFSPKSIHSWVQNAVSSLTSMIKQYNLDGIDIDYEHFRSDEKTFVECIGQLITILKKSQTIKFASIAPYEDNGPVQSHYLALWKKYGHVIDYVNFQFYAYDKLSVSQFIYHFNHQQDSSYAGSQLLASFISKGNLGLPPNDGFFEACRELKRQGKLGGIFVWCADESKAFDFKYEQESQALVLEYLCSPSFKIQHNTLIKMGFCKLLIVLFTLQALLAMVSTQATAPKSDLFREYIGAEFNDVKFSDVPINPNVEFHYILAFAIDYTTSSSSSPTDGEFNVFWDTDNLTPSQVSSIKNQHSNVKVALSLGGDSVGGGSCYFNPSSVDSWVSNAVSSLTKIIQQYNLDGIDIDYEHFHADPDTFAECIGKLITTLKNNGVISFASIAPFDDDEVQSHYMALWKSYGHTIDYVNFQFYAYDKGTTVSQFMNYFQTQSSNYGGGNILASFISDGSGGLSPENGFFTACNRLKSEGKLGGIFVWSADDSMSLGFRYEKQSQALLAVPR >KVI12015 pep supercontig:CcrdV1:scaffold_335:188113:195924:1 gene:Ccrd_009574 transcript:KVI12015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MEGDDSKGEGLVLKGVPAYGGKYIHYSVLGNLFEVSSKYVPPIQPVGRGAYGIVCRGRVAVPMQRGRPWISKEVSCAKNSETKEEVAIKKIGNAFDNNIDAKRTLREIKLLCHMDHENIVKIKDIIRPPDKEKFNDVYIVYELMDTDLHQIIRSSQALTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTTAIDIWSVGCILMEIILREPLFPGKDYVQQLVLITELLGSPDDADLGFLRSDNARRYVKQLPHVPTNTFQQKFPKVSPVVIDLAEKMLVFDPSKRISVEDALNHPFLQSLHEINEEPTCSSPFVFDFEQASLSEEDVKELIWKETLTFNPDSVAGV >KVI12012 pep supercontig:CcrdV1:scaffold_335:214883:217095:1 gene:Ccrd_009577 transcript:KVI12012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 MAPSPSSAQHRPHSTSLRNSLSWLFLSLFFLYILYYSTILFEPAPVVDTTTRTTTTNTTTDCHDHLSAAENLQNPISSSNTTIPEPLSTQPVLRFDTELKHIAFGIAASSRLWRNRKEYIKLWWRRGETRGAVWLDKHVKTMKNESLPDIHISEDTSKFPYTNPDGDRSAIRISRVVSETLRLEMEDVRWFVMGDDDTVFIVENLVRVLSKYDHNQFYYIGSTSESHFQNMLFSYGMAFGGGGFAISYPLAVELEKMQDRCLHRYPGYYGSDDRMHGCMAELNFDVHGNLLGLLAAHPVTPFVSLHHLDVVDPIFPGMTRAQGIKHLLQSTKYDSASIIQQSIGYDKKRQWSILVSWGFAIQIIRGILSPRELEIPTRTFLNWHKRLDYTAYAFNTRPVTRNPCQKPFVYYMSSTRYDKSKGKIIGIYTLHKERYPHCKWKMESPETIDTIVVLKKEDNLRWTKAPRKDCCRVLPARKKGILYLWVGNCRKNEVIEL >KVI12008 pep supercontig:CcrdV1:scaffold_335:64460:64888:-1 gene:Ccrd_009568 transcript:KVI12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BIC1 [Source:Projected from Arabidopsis thaliana (AT3G52740) UniProtKB/Swiss-Prot;Acc:Q9LXJ1] MDAHKNPDLETTQTVHVASPSSQDFKEGCSEDDEYGGNMRETTGLSLAAGEDDQRTPPPAKASRRKMMVVVDESESSSGRERLKRHRVEMAGRVWIPDIWGQEHLLQNWIDCTVFDSSLKKSNIMSAREALIQETATSTLSC >KVI12019 pep supercontig:CcrdV1:scaffold_335:78996:81043:1 gene:Ccrd_009570 transcript:KVI12019 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEHLPVEVIGNILSRLGAARDVVIASATCRKWREAWRIHLHTLSFNSNDWPVYHELTTSRLEILITQTIFQTTGLQSLSIIMDDVDEFSAAPVIAWLMYTRESLRQLHYNVRTSPTINIIEKCGRQKLEVLNLSHNTISGVEPSYQRFPSLRSLSLSHISISALDLSYLLTACPKVEILTLLSLDIAMSDAQTSMELNSPSLKDLSVEAISLEKFILEADGLEKLQLKDCTLEVFELIGKGTLKLLKIDDVSVIHLDIGENTEHLEVVDVSNFTIMWAKFHHMISKASKLRGLRLWGVVFDDEDEVVDMETISICFPQLTHLSLCYDLKEAALQYSLQRSFELKNVIMLELGWTVITDLFSQWAAGLLERCPHLRKLIINGVVSEAKSHEECQVLASFTTSMVGLMRKYLHVDVQFEYE >KVI12011 pep supercontig:CcrdV1:scaffold_335:222697:227021:-1 gene:Ccrd_009578 transcript:KVI12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding 8 MDLDSAERRSISSDSREQSSRKSSFKEGRLSTSSRLSRNSSKATSGRRRRLPGEVPQLERQVSSAARGLNGLRFLDRVMTGKEGDAWMAIDKRFQRFSTNGKLPREKFGICVGMGEGNEFSGELFDALARRKGIDTSEGITKEEVKEFWMELTKEDLHTRVLIMSASANKLSTFKNHAGTYAALIMEQLDPDHYGYIEMWQLETLLKGTAKDTSNLSSDDAADLEKTMIPEQYRNPVRKYCTRIFESTLDNWKIVWILFLFWEINMALFLWKFHQYTFMPSYQVLGYCSCTAKAAGETLKFNMALILLPVCRRTLTALRETFIGRLLPVDENINFHKIIALAIAIGTLIHTVAHMACNFVRLSTTPNNQFTTVFGNLFPEQPSYLDLVLSIPGFTGIIMDIMMAICFLFATSSFRRNVVKLPEPFSNLAGFTSFCERLITTDHTLRVDTIKAIIYTGNVLALYMTKPPGFKYKSGMYLFVHCPQISRFEWHPFTITSAPGDNYLSVHIRTLGDWTKALREEFAKACEPQMKNPVAGSLARMETNAKISIKEAQAIYPKIYIKGPYGAPAQHYREYSVLLLIGLGIGATPFISILNDLLHHLKQSKSGDINKNLDKRAYFYWVTREQGSFEWFKGVMDDIADHDHENIIEMHNYLTSVYEQGDVRSALVSMVQSLQHAKDGVDVVSQSVFYCGTRALTRPLSNLCKEFSLQNTTRFDFHKENF >KVI12002 pep supercontig:CcrdV1:scaffold_335:27426:27830:1 gene:Ccrd_009564 transcript:KVI12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNCIIRQSKVMQTGWDVTEQKERMKVHRVLPEVLPVVQHLRQETHMISGQMYHPVPLSVPLLEFNKNPVMEAESQSNHEVVRIKLVITKQELEVMLRKGGVSVSELVSHTNKESLDETDGRWKPVLDSIPEFN >KVI12007 pep supercontig:CcrdV1:scaffold_335:27352:35191:-1 gene:Ccrd_009563 transcript:KVI12007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory factor, effector, bacterial MTIITMSRSFYPSTPTLIFKSITTQEPPSSVVAAPSRRRTTTANMSALEARVSLIFALASQTSSVSQRLLADLATETAKYVFPKRFESRNLEEALMSVPDLETVKFKVVSRNDQYEIRELEPYFVAETTMPGKYGFDLNGASQSFNVLAEYLFGKNSANEAMEMTTPVLTRRTESDGETMDMTTPVITKRMGDQDKWQMSFLMPSKYGSNLPLPKNSAVTIKEVPGRTMAVVAFSGFVTDEDVMRRESTLRNALKNDSQYKVKSGASVEVAQYNPPFTLPFTRRNEISLQVERKQE >KVI12005 pep supercontig:CcrdV1:scaffold_335:53781:62893:1 gene:Ccrd_009567 transcript:KVI12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MTLLEEESLKLSEVQSLEGHTDRVWGLAWNPATGADGVPAVLASCSGDKTIRIWQQRSPSSTSFDCKGHENEVKSVSWNASGSLLATCSRDKCVWIWEVLPGNEFDCVSVLQGHTQDVKMVQWHPTVDVLFSCSYDNTIKVWAEDGDSDDWRCVQTLGESNRSLPTRIHGANSADPLILGHSSTVWALSFNGTGDKMVTCSDDLTIKVWGVDITRLQSGDGNASWRHLCTMSGYHDRTIFSVHWSREGIIATGAADDAIRLFGESEDHPVDGPSYKLLLKKEKAHAMDINSVQWSPAGNRLLASASDDGTIKIWKLESLQ >KVI12001 pep supercontig:CcrdV1:scaffold_335:289667:295813:-1 gene:Ccrd_009584 transcript:KVI12001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGKGAQNYGKKDSTGKALSSDSHVAWAKEVRECEENYKVKRDYGLSDDEVEKRLQIHGLNELEKHEGPSVFRLILDQFNDTLVRILLGAAVISFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSQQATVIRNGKKISGLPAKELVPGDIVELRVGDKIPADMRVLNLISSTLRVEQGSLTGESEAVSKTTKPVPEESDIQGKKCMVFAGTTVVNGNCICLVTDIGMNTELGKVHFQIQEASQNEEDTPLKKKLNEFGEMLTILIGLICALVWLINVKYFLSWEYVNGWPANFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGHRANAVRLFNVEGTTYNPLDGKIEDWPAGQMDANLQTIAKIAALVNDASIEQSDKGYVASGMPTEAALKVLVEKMGLPYGLSSGSSTGYNGLMGCSQAWSKTGRRIATLEFDRDRKSMGVIVSLDSGRKSLLVKGAVENLLERSSYIQLLDGSVVELNRRAKDAILDSLNELSMSALRVLGFAYKDDPSEFTTYNGDEDHPAHNLLLNPATYSSIESNLTFAGLVGLRDPPRKEVRQAIEDCRAAGIQVMVITGDNKNTAEAICREIGVFGLDEDITSKSITGRQFMDHPDQKGHLTQKGGLLFSRAEPRHKQEIVRLLKDAGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAAIGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDGHSPITFSQLRNWDQCSSWENFTVSPFKAGDQVFDFESDPCEYFRAGKVKAMTLSLSVLVAIEMFNSLNALSEDESLLTMPPWVNPWLLLAMSISFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLAVALPVILIDEILKCVGRWTNGAKTSSKSSKHKDE >KVI12009 pep supercontig:CcrdV1:scaffold_335:70817:77681:1 gene:Ccrd_009569 transcript:KVI12009 gene_biotype:protein_coding transcript_biotype:protein_coding description:FeS cluster biogenesis MSKTSNPNNRNFHFHFHLNLIQDHNFTQLPNPIYRMASPVLAKAAGKVGSAARRQALTLTEAAADRIRQLLHNRQRSFLKLGIKARGCNGLSYTLNYADEKGKFDELIEDKGVKIVIDPKALMHVIGTKMDFVDDKLRSEFVFINPNSKGQCGCGESFMT >KVI12018 pep supercontig:CcrdV1:scaffold_335:82113:85457:-1 gene:Ccrd_009571 transcript:KVI12018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MADASGGGQYTDFPAVATHGGQFIRYNIFDNEFEITNKYRPPIMPIGRGAYGIVCSMLNSETNEMVAVKKIANAFDNYMDAKRTLREIKLLRHLDHENATHIDCDLKHNSGNRYKRYNPPTSTQRLLRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARTSAENDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNKKPLFAGKDHVHQMRLLTELLGTPTESDLRFIRNEDAKRYLAQLPQHPRQSLTKTFPHVHPLAIDLVDKMLTMDPTKRITVEDALDHPYLARLHDVADEPICMDPFSFEFEQQVLGEEQIKDLIYQEALAHNPGFA >KVI11998 pep supercontig:CcrdV1:scaffold_335:287172:287678:-1 gene:Ccrd_009583 transcript:KVI11998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVI12006 pep supercontig:CcrdV1:scaffold_335:9600:13072:-1 gene:Ccrd_009562 transcript:KVI12006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLGIAKRHCGRASASSNIIGEVLSVNGGGPQFSYLGPSGPQKWGNLSPTYSACSNGRFQSPVNIVRSKCVSGRHLKPLDVEYSLAANATLVDNLFNVAMKFDGNVGVLRLNDKNFSFIQMHWHSPSEHQLDGVRYDAELHLVHKADDGGIAVIAVLYRYGHPDPLLTKIQSKLAQLMKVVHHSSSHEQPQVPLGTFTTKQIRKHTRKYYRYVGSFSTPPCTEGVIWNILGKVRKVNFTRAGRGFKGTIDLGMQEQLKTGSTIEREKNRDV >KVI12013 pep supercontig:CcrdV1:scaffold_335:210284:211485:1 gene:Ccrd_009576 transcript:KVI12013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFQITKQSWRKRSMSATDLTGELPIYNPASAIGRNWSSRSVVKYNRIHLVPLVVMLCFFILWCFSSPVEMETKDGKTNFVPRTKKPPQESEATDVDLTVLALESPPNGFLSLSDEPNSSVAHHNQHNVSFLDSHDHNASLTDSHEPNASYLVSHEIQAPSSVSQDPSASFSDPHEPNELLSNLHELNASSSDSHDAKLLISVSQVSRISNLGRSIGAAPQPSGGG >KVI12017 pep supercontig:CcrdV1:scaffold_335:103717:106935:-1 gene:Ccrd_009572 transcript:KVI12017 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MPRHDANEIMSAHRKLTDKGSTSACICVLGLETCFDSMGKCYPTVSEEYNKAIDKARRKLRGFIADKKCAPLMLRLAWHSAGTYDVKTKTGGPFGTMRFKVELGHAANNGLDIAVRLLEPIKEQFPIISYGDFYQLAGVVAVEVTGGPDVPFHPGRVVSSHDKEEPPIEGRLPDANQGNDHLRNVFVETMGLDDKDIVTLSGGHTLGAAHKERSGFEGPWTSNPLIFDNSYFTELLAGEKEGLLKLPTDKALLADPVFRPLVEKYAAVSTYLQRLKDCNERGEKAREGDYLLQSS >KVI12003 pep supercontig:CcrdV1:scaffold_335:36652:41789:-1 gene:Ccrd_009565 transcript:KVI12003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel LLVGSSFGKYANSKPSCQFNLVATQCLCVKFRPCIDIHKGKVKQIVGSTLTDLKESGSTLVTNFESDKSAAEYATLYKEDELIGGHVIMLGADPLSNESAIGALHAYPGKVVVTYAFSLQIVPLLNLLRGSYYLGGLQVGGGINSDNALSYIKEGASHVIITSYVFNNGQMDIERLKGLVHLVGKKRLVLDLSCRKKDGKYAIVTDRWQKFSDVFLDEEILNFFSTYADEFLVHGVDIPVTYAGGVTVMEDLERIKIAGMEHVDVTVGSALDIFGGNLAYKDVVAWHCQQEAVAA >KVI12004 pep supercontig:CcrdV1:scaffold_335:44661:47638:-1 gene:Ccrd_009566 transcript:KVI12004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MTSVVKSEEEWRAILSAEQFRILRSKGTEPKGTGEYDKFFADGIYTCAGCGTPLYKSTTKFNSGCGWPAFFEGLPGAITRTPDPDGRRTEITCTACGGHLGHVFKGEGFKTPTDERHCVNSISVKFTSQDSPSSI >KVI12014 pep supercontig:CcrdV1:scaffold_335:197500:203527:-1 gene:Ccrd_009575 transcript:KVI12014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MACARFLTLKPIHGTSTLSQFHRPRIQTFCKVRAFSALMSPTSTAVFYENHGPPDTVTKVIELPPVEVKENDVCVKMLASPINPSDVNRIEGVYPVRPPVPAVGGYEGVGEVHSVGSAVQGLSPGDLVMASPPSAGTWQTHVVKDKSLWHKIDRYTPVEYAATVTINPLTALRMIEDYVELKAGDAIVQNGATSMVGQCVIQLAKIRGIHTINIIRDRTGSDEAKEKLKKLGADEVYTESQLEVKNIKSLLGNVPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMAKKPITVSTSSFIFKVCNQFIIHCMELVPFSEFHTALDKALGKHGSQPKQVIKF >KVI11999 pep supercontig:CcrdV1:scaffold_335:241058:261536:-1 gene:Ccrd_009580 transcript:KVI11999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MERRGNGVPSSPSNTPRSIDKVVRDVRPGEGNISGKHDREKGVNVQVIVRCRPLNDDETKSHTPVVITCTENRKEVCAIQNIANKQIDRSFVFDKVFGPNSQQRELYHAAVSPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKRNGEFPSDAGVIPRAVRQIFDILEAQSAEYNMKVTFLELYNEEITDLLALEESSKFPEDKSKKPIALMEDGKGGVLVRGLEEEIVSTADEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKERTPEGEEIIRCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHSLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMMKDLYSEIERLKQEVYATREKNGIYIPKDRYLQEEEEKKAMAEKIERMELDVESRGKQFMELQELYNSQQLVNANLSGKLERTEIKLQETEHSLLDLEERHRQANITIKEKEFLIYNLLKSEKALVERAFDLRAELENASSDVSSLFTKIERKDKIEDGNRILVQQFQSQLTKQLDILHKSVAASVTQQEQQLKGMEEDMQSFVSTKGQATAELQAGLEKLQTLYGSGIKALDNLANELDGNSQSTLGHLNSQVSQNSSALGDVCSDFDLRILSKFVLVMLLGMYVLTPTNSMQLFKGIASEAEELLNDLQGILHSQENKLATYAQEQREAHARAVETSRSISNITTNFFVTLDMHATKLTQIIEEGQTVNDQKLSELEKKFEECAANEERQLLEKVAELLAVSNARKKELVTTAVNGLRESAVSRTNRFQQEMSTMKESSSSVRVEWKNYTIKAEAHYLEDTAAVENGKKNLDDMGSQQWSSAQESLLSLEKNNVASVDEIVRGGLEANQNLRSQYSVAASLALNDTNVASSNLLSSIDHSLQLDHDACRNLDSMIVPCCGELRELKGGHYDKVVEITDNAGKTLLNDYTVDEPSCLTPRKRPFNLPSTTSIEELRTPPFDELLRSFWDSKSSSPKLPNGDAKHLDGAAHSMRDSRHPLTAVN >KVI12016 pep supercontig:CcrdV1:scaffold_335:131332:134876:-1 gene:Ccrd_009573 transcript:KVI12016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNMSFVHEDERMAFRGEVEESATSNSSVINAEGCNSSNAGEGDDDSISAAMDGDSFRCFNFDILKVGDTAALPSNGNSNSNDEDRMSQTQSEFVTRTLFPVSHGEGGGGGRLNQGQQVNSLLLFPNNNELQDTRMIQVPQQKPTVQLNQVGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDMNQIKNLSKEDFVHILRRHSSGFSRGNSKYRGGVTVQKCGGSEAQMGEFLGKKAHEKAAIKINGGEAVTNFEANTYKGETVSASHCGSDHNLDLNLGISTPSCLGKCSSRTENLEHMQLNHGLHDIRRLQVENPATMTLRGLPVISEHGQSWTGMYPTFFPSYEVGATYSRFVGGSSQGPSNWAWQFHGQSRPPTTLFTAASSGFPAPTAATNSPHHHYQIRPRPSPPPAPPPTP >KVI12010 pep supercontig:CcrdV1:scaffold_335:231937:236048:1 gene:Ccrd_009579 transcript:KVI12010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKGPDLESVEIDPINKQYPQDVNDQKSFLANAKGRASKRVTSIKDRGGLLPMLSRNTSRATSIRKKQPPPGGGGPPKMGRTTSSAAKGLNSLRFLDRTVTGKEGDAWRAIERRFHQFAVDGKLPRDKFGICVGMGDSIEFAGELYDAMARRRNIDGDGGLTKEQVKDFWQEMTKKDLDARLSIFFDMCDKNGDGILSEEEVKEVLIMSASANKLSNFKNQAGTYAALIMDELDPDHRGYIEMWQLEILLTGMVTKDETNPKLTKNDTKNQNTTNLARTMIPQKYRNPITRFINEWIEAIQDNWKRVLVTLFLLLLNIALFAWKFHQYTLMKSFEVMGYCVCLAKAAGETLKLNMALILLPVCRKTLTALRETFLGRLLPFDDNINFHKVIAGAIVIGTLIHTLAHMCCNFIRLSTCPPNTFNRVFGNLLPKQPTYMDLVLTLPGLTGVIMDIMMIFCFLLATTAFRRNLVHLPWPFNHLAGFTAFWYAHHLLIIVYILLILHGYFLVFTTEWYKKTAIIYSGNVLALYMSKPNGFKYKSGMYLFVQCPTLSGFEWHPFSITSAPGDDYLSVHIRCLGDWTTALKDEFAKACEPPPKPQVADAAASKAMRGNLVRLETRANTNVPLEESQAIYPKIFIKGPYGAPAQDYGKYDILLLIGLGIGATPFISILKDLLNHQRDSGFDHKGPDRAYFYWVTREQASFEWFKGVMDDIAEYDKNDMIEMHTYLTCVHEEGDARSALIAMVQSLQHAKNGVDVVSQSRIRTHFSRPNWKRVFSTLAGRHASAKIGVFYCGSQTLTKPLRELSKEFSIETSTRFDFHKENF >KVI02965 pep supercontig:CcrdV1:scaffold_3350:19746:28193:-1 gene:Ccrd_018743 transcript:KVI02965 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MSYEASTMSNNMQLAYTADGTATYISMSRPASSSSPSPTPTYNNPNGAGAGGRNTGENNSIAMVTAGMDAYGGEVVKRKRGRPRKYAPDVSLSPAARLSPPGQAAPQVSGLSSPALSSGKKPRGRPPGSLNKQQPAASGSPGVGFMPHILDVKAGEDVLAKLMWFAQNSTRALCVLSANGAVSNVTLQQSATSGGTVTYEGRFEILSLSGSFMVSETGGQRSRTGGLSVALSGSDGRVLGVLRSHGL >KVH87453 pep supercontig:CcrdV1:scaffold_3352:9632:12013:1 gene:Ccrd_025308 transcript:KVH87453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAHQDEHDRGTKRFHYPLDPNSYQILDQIGRGCSAIVYKALCTTTSAIVAIKSIDLDRTTTDDFDNVRREAKTMSLLSHPNVLKAHCSFTVGRRLWVVMPFMSAGSLQSIMASSFPNGISEPCISIILKEALMGLCYLHDQGHLHRDIKAGNILMDSSGSIKLADFGVSASVYESSSRYLMLNEITGTPYWMAPEVIHSYNGYSYKADIWSFGITALELAHGRPPLSHLPFSESLVMKITKGLRFSDYQKDEEKGHKNPKFSKYFKDMVGLCLDQDPLRRPTAEKLLKHYFFKNCKGCDFLVKNVLRGLPSVELRFNEAKLLQRVGSMSKLGQHEMEEDENEEEFLIGSNMTKHRRISGWNFNVDACELDPVFPLVDTSPQDDFVKQIPSGGETMISEKMEELDVNKASSPSVDGDGEVDKGGGVDREVVVGSLMALKKSLDDQREKVVYMLAMAGAEETAVGDGEAENGNREEQLMQVIQKLRSDLENEKRKRTSMEMELEIVRVVITDGLPMLLKITDGLPTVNITDELSRFKPLTDYLGSNHDGLLRDLITDEFSSMDYRRLYPLL >KVI06696 pep supercontig:CcrdV1:scaffold_3354:11448:14013:1 gene:Ccrd_014949 transcript:KVI06696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTCCQQMQDHVQRILIKIAVVLSARVEAEMEIKEAMSKFPDDEEFKEYKNQLDDMFKERACNMTHDTHSSGLKDHSIAKNDGQPSTDIVVSQPSGFNENPLPKIWLSPGFIEAVDKVVENTISTSKRKRPYAAITPPKFDLGISPIKQSEPLSMVLHEEAECNVSEDATKYQVERATRRELKLGDHLRSPFVIRAVDLNVTPEERRIHEWSVAGLGGKYELLFSTPNDTTLHRHAIESLGRTTTIYVSVINAWATLLNYEERYRNRDSLRRYFFTTEVMVDTKLRSTSVNHNTQYALFKKGLLSYAKNNWEVVQMRNVDLQRLMIRHLNAVGHPAGRELDEIGQERLRMDWQTRNNFDDCGVFAMRHMEXYMGDVRTWNTGLSKEGKTQEIQIASLRMKYVAKLLVSNYNKKKEYVVKEVEKFQSMDEGIRKKLRKHADDTKAERLRI >KVI00924 pep supercontig:CcrdV1:scaffold_3355:60768:61133:-1 gene:Ccrd_020815 transcript:KVI00924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MDHRQSLFVTILIVLLTLMVSNSSMAVGDSALTGGWEPITNVTDPTVLDIGKFAVDEHNKQHKESLKFTKVVSGKSQVVAGRNYNLTITATDGGVENNYVAIVWDKPWENFRQLLSFKGPI >KVI00925 pep supercontig:CcrdV1:scaffold_3355:34095:35486:1 gene:Ccrd_020814 transcript:KVI00925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIPNPHGRSYSKKEQALLSVFKKSCTKRDIEQLHAHLVQTGFTQSLFVVGKIIVFCAVSDECSMDYAVSIFKTIENPDGFLWNTMIRGFGRMNQVHQVFSYYKRMLDHGEIADNFTLSFLIKSSAQSGSILLGKQTHCNAVKHGLDGHVFVRNTLIHMYGMLNNLRIACQLFDEMPNPNLVAWNTIIDSHVCCGKHQEALELFSQMLDAGIKPDDATLVVILSACAALGALELGRWVHSIVDQNCSMNDVSIANSLIHMYARCGELEEAHEIFNRMKSKNTVTWNTMILGLAAHGHFREALDVFSIMINEKDAPPNDITFLGVLSACSHGGMIEKGRQYFDKMTKEYQTKPAIKHYGCMVDMLCRAGLVIEAYLLVQSMPMKCNAIIWRTLLGGCRIHGNVKLAEKVRMHLLEVEPDHSSDYVLLANTYASLEDWNQVSRVRRSMTANGVQKPSPGNSFIGVP >KVI00923 pep supercontig:CcrdV1:scaffold_3355:22926:24485:-1 gene:Ccrd_020813 transcript:KVI00923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MIRAHQVPCFFIFGDSLVDNGNNNGILSLAKANYFPYGIDFPGGHTGRFCNGKSTVDVIAECLGFSEYIPAYAYATDQDIIRGVNYASAAAGIRDETGQHLGERFSFRMQLHNHKNVVSRIKKLLGSKADAFEHLAKCIYSVGLGSNDYLNNYFVPQSYSTARDYSPEQYAEALIKHYFHQIKTLYKHGARKVVVTGIGQIGCSPHALAYMSEDGRTCVQTVDIDVQLFNTKLKKMIEDLNNEFLDAKFIYVNAYDIFGDLLKRAQDYGFEVTNVGCCGVGRNHGQISCLPMETPCQNRSKFVFWDAFHPSEAANVIVGLRSYKAESESDVYPMDIYHLADLHIGE >KVH98744 pep supercontig:CcrdV1:scaffold_3358:37263:39134:1 gene:Ccrd_023029 transcript:KVH98744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEREIVRFLQKCKSINHLKQIHLRILINGLEDNKSILHNLITVSSDLISLDYAFNVLHVFVGNTLLNFYGKHGTLGVDLACKLFDDMPERDAVSWNTMIGMYMDCGQVESAIRLFESMPEKTVVTWNSVITGLAKNGKMESARLVFDKMPEKNEVSWNCVISGYVKAGDLANAETIFKEMPIKSVVTCTAIISGYASIGDIESARKLFDQMGSKRNIVTWNAMIAGYVNQSIFDEALSVFRLMLFDGKCRPDQITLISVLSACSHLGSLENGKWISSYINKNKINLSTPLGNALIDMFAKCGDIESSKAVFHRMSNRCIITWTTMLSGLAVNGMCKEALALFNKMCDDGTKPDDVMFIAVLSACNHGGLVEEGKILFKKMVHDFGIEPQIEHYGCIIDLLARSGELDEAVRLTENMHLPPNVVIWAALTSACKVHGNGKLFNYVTKKVLDQEPSNPSYLTLITNLSSSIGKWQETLRFRRVMRQQGIEKVPGCSLIEIGDTVHEFVARDTSHMHRSDIYAILESLNGHLSSHCDLKCGFTGQKS >KVH89906 pep supercontig:CcrdV1:scaffold_336:7068:8045:1 gene:Ccrd_008099 transcript:KVH89906 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4408 MDDSAPSLPSIWASMNSWFTPTVLFVFLNLMIGTILFTSNIPNYNHHHNNNNKQEDHNEKTQNHNELIQSKLVRSPSILHRLRSFNLYPHRSQQDASPAIHHQQHEALEIAATQYVFNHPFSHEHDVQTVSANPDGLDLNPTRFDHESESQYAAFNHTNEVLLPNVQTHFVFEQKHTVEKVTAHFDVGPENPEDSGFEETHEQENDEFQSLDEVYSIITGGHVNRTKSDTLPASGEIPVKLPVKMKKSASLKSAFSHFEEEKIVEARRPATVRERRSASRATEEDDVEVDAKADDFINKFKHQLKLQRLDSIIRYKDMVHRGSGK >KVH89915 pep supercontig:CcrdV1:scaffold_336:168341:171290:1 gene:Ccrd_008090 transcript:KVH89915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLRMLQSKAAEASKFLSKHGCTYYKQTLEKNKKYIQDPPTIEKCQQLSNQLLYTRLASIPSRYDAFWKELDQLKQLIKTRQDLKTEDLGIAALFGLECFAWYCAGEIAGRGFTITSYYV >KVH89907 pep supercontig:CcrdV1:scaffold_336:30962:31780:1 gene:Ccrd_008098 transcript:KVH89907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MTDKVYPSSKPNASTAATKNPKLPPPPMKAQLYNQNRHPYRPNLTSYHRKRRHGLRRCFCLCCFWSILIVILLLLLATISGCVLYLLYHPHRPTFTVASLKISQFNLTTATDGTTHLTSQLNLTVSTKNPNKKIVFHYDPIAITCLSDGTQIANGSYGNSFTSNPNNITILRSSLSSNSLLLETETVNQMRSDLKKKSRLPLKILLDTEATMKIESFESKKIGIRIKCEGIHSLTPKAGGGKSNSSSSSVAATVSDAKCEVDLRIKIWKWSF >KVH89911 pep supercontig:CcrdV1:scaffold_336:110240:110800:1 gene:Ccrd_008094 transcript:KVH89911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MDRNSIPQGLTPDEFSDLKPLINAYHMFDKMPNTCTSLVTQRIDAPAHVVWPLVRRFDNPQRYKHFIKSCSMIGDGGVGSIREVTVISGLPASTSTERLEILDDEKHILSFRVVGGEHRLNNYLSVTSVNEFKKDERVYTIVLESYIVDIPDGNTVEDTKMFTDTVVKLNLQKLGVVAMASLHGNG >KVH89912 pep supercontig:CcrdV1:scaffold_336:120328:125815:-1 gene:Ccrd_008093 transcript:KVH89912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr MADSSDSVSIDMETSSLAGKEHIVKTSYGFVNVAVFGDQDKPALITYPDIALNHMSCFQGLFLCPEAFSLLVHNFCIYHISPPGHELGAAAMSYDDPVLSVDDLADQVAEVLDYFGLGAVMCMGVTAGAYVLTLFAIKYTRRVLGLILVSPLCKTPSWTEWLCNKVMANVLYYYGMCGLVKELLLIRYFSKEVRGGAIIPETEIVHSCRRVSPPFILLLGERQSPNVLNFLEAMNGRPDITEGLKRLQCRSLIFVGENSPFNSDSLHMTSKLDRRFSALVEVQGCGSMVTEEQPDAMLIPLEYFLMGYGFYRPSQMNVSPRSPLSPTSISPELYTPESMGLKLKPIKTRLSQI >KVH89908 pep supercontig:CcrdV1:scaffold_336:38255:41470:1 gene:Ccrd_008097 transcript:KVH89908 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1747 MADHRNGGNHPPASKGTGGAGGGYAIDATTLVRRLQSLYSHWREHRDELWGSSNAFAVATPPPSDDLRYLKSSALNIWLLGYEFPETIMVFSDKQMHFLCSQKKVSLLDVVKKSAKEAVGVDVVMHVKAKNDDGATQMDAILRAIEAQEKSNGNDDTPVLGYIAREAPEGKLLERWTEKMKDSSLQLCDITNGLADLFAVKEAGEITNVKKAAYLTASAMKQFVVPKLEKVIDEEKKVTHSSLMDDTEKAILEPARIKVKLKADNVDICYPPIFQSGGNFDIRPSATSNDDHLYYDSASVIICALGSRYNSYCANVARTFLIDSNATQSKAYQVLLKAHEAAVGALKPDNKASAVYKAAYAVVEKEAPEFISNLTKSAGTGIGLEFRESGLSLNEKNERILKAGMVLNVSLGFQNMQTKSSKAKSQNYALLLADTVIITANSHEVVTSLSSKAFKDVAYSFNDGEEEEDERPQVKVEAKRTEALYSKATLRSDNHEMSKEELRRQHQAELARQKNEETAQRLAGGKNATGDGRSSSRTSNDLIAYKTVNDLPPPRDMMIQVDQRNEAILIPLYGSMVPFHVATVKTVSSQADTSRNCYIRIIFNVPGTPFSSHDPSSIKNQADIFLKEVSFRSKDPRHISEVVQQIKTLRRNVVSRESERAERASLVTQEKLVLAGNKFKPIRLTDLWIRPPFGGRGRKLPGTLEAHDNGFRYSTSRSDERVDILFGNIKHAFFQAAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDLWGQPKFKGLDLEFDQPLRELGFHGVPHKASAFIVPTSSCLVELIETPFLVVTLSEIEIVNLERVGLGQKNFDMAIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNMNWRAILKTITDDPQNFIDEGGWEFLNLEASDSDSDNSQESDQGYEPSDVEPESESEDEGSDSASLVESDEDEEEEEEEGSEEEEGKTWEELEREASNADREHGDESDSEEERKRRKMKAFGKSRAGASSSAPKRPKFRR >KVH89909 pep supercontig:CcrdV1:scaffold_336:74606:77370:1 gene:Ccrd_008096 transcript:KVH89909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf36 MALLLSLKPPSPPPSSPPLLLSPLTSSLFPHTVKPSKSHHHRQTRFSFSYSSRNPPETDCPVPPEQQPINEYQSLSTSSPFSWASGDFVEYCSRLLATGVGFALFVGLPVSWYGSVGVGWEPIQRILGAVCSGIFAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAEVLARDRLLGSFTVKPVLNRLKNTLVTLALSLFMFIVLLINTEGSQKEANIITSGAETGGRAVAGVYNDESARSFEPEAFCGPSMAE >KVH89914 pep supercontig:CcrdV1:scaffold_336:159789:166733:1 gene:Ccrd_008091 transcript:KVH89914 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MAEEAQYSSPGDFPTTKRKYDDSTTPPPSATRRPTGFSAPIIPSQSPDSAPAYNSVPPPVDEIELAKQRAQEIAARLFNNAEAKRPKFENGGGFDSNDSKGFSSGPTDYGQKPFSTTASSVPSSYGYGSPSKKIDIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPHSLTRAVELTGSAESIAKAEQLIKDVLAEAESGGSGIVSRRIPGQPGGAEQFVMKVPNNKVGLIIGKGGETIKNMQASTGARIQVIPLHPPPGDTSTERTVQIDGSSDQIEAAKLLVNEVISENRLRNPMGSGGYSQQGYQARPQTNWAPPGPQMQQQGYGYMQPGAYPGQPAQYSQAPYGSYPRPQTSGGYAAGWDQSAAAPAQQTTQGGGGYDYYNQQQAPQTQAPGAPAGPTDASTYGYNQQGQAYGQDGYGGYASAPQSGYVQPQAGYDQQGYGNPTPGYNTETTDGQTASYGGQADNGANQAPPASAPQSGYVQQPSPNPNYPQGSTQPGYGVAPTSQPGYGAQPPSGYGGYGPPQTQKPPVTPAAYGQQPPQQSPNAAPQGGYAQPAPYPGGYAQPDGSAQRPPAAAYGGGGGYAQAPYGASAGGTQAGGYGGQQAAYGSSYGGGYPQQPPAYSGDAAAAPAAQGSQPSGGGAVAKASPQQS >KVH89923 pep supercontig:CcrdV1:scaffold_336:273456:276863:-1 gene:Ccrd_008083 transcript:KVH89923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MEEAKDFPNATVVVRPNQSRGFPHRLMHLLILFLVLCFSISLFVICTVRKTGVATAVAPRVSVSQPCVEEQPKTGLDHWIKSPSSLLHTMSDEQLFWRASFMPQLKSYPFTRTPKIAFMFLTKGPLPLAPLWERFLKGHEGLYSIYVHSLPAYHANFPRTSKAEWGRVSMCDAERRLLANVLLDISNEYFVLVSESCIPLYNFTLTYNYITNSNHSFMGAFDDKGPVGRGRYNNKMLPEVDISQWRKGSQWFEVDRKLATIIVSDVTYYPKFKEFCRPACYVDEHYFPTMLTIQASDSIANRSLTWVDWSRGGPHPATFGKSDITEKFMKKLQDMHNCDYNGKPASVCYLFARKFSPGTLQPLFLLAPKYLGY >KVH89920 pep supercontig:CcrdV1:scaffold_336:214006:227337:1 gene:Ccrd_008087 transcript:KVH89920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MYPNSNSSSGSQRDPNHAINGSSNTTGGGGLGLARYRSAPVSLTTTVDSVIDAQGQNTVGNHMVAGGGTPTRYFSPTDTTSSQLSSSVSTRDRLQTTSFRLNEFASAFNGLKGNTTTQTTPSPLFRHGSSPAGFLNTLTSSTPTESDIANSLMFSSSSSHNKRTKMDMNGLNIMESELNFGLSESALEAAAMEKMMDLPHDSVACKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKNLNHELENCSCGCKPR >KVH89918 pep supercontig:CcrdV1:scaffold_336:266672:272587:1 gene:Ccrd_008084 transcript:KVH89918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MTIKMIRYVIVLLALSIITVVHGRELADDLIRLPSEKHDFFDAGKDDSVGTRWAILLAGSNGYWNYRHQADVCHAFQILKNGGVKEENIVVFMYDDIAYNRENPRQGVIINSPDGDDVYQGVPKIKETRSESFFTDVPHCLKQDYTGKDVNVDNFFAVLLGNKTAVKGGSGKVVNSGPNDRIFIYYTDHGGPGVLGMPTNPYLYADDLNAVLKQKHASGTYKSLVFYLEACEAGSIFEGLLPQGLNIYATTASGPDESSWGTYCPGEYPSPPLEYDTCLGDLYSVAWMEDCDVHNLRTETIKQQYKLVKERTSSDNSYYGSHVMQYGDLPLSKDNLYLYMGTNPANDNFTFVDEDSLQRPAKAVNQRDADLLHFWHKYRKAPEGSETKTEAQKKFAEAMSHRMHIDSSIQLIGKLLFGLEKGPQVLNAVRPAGRPLVDDWARLKTLVRTFETHCGSLSQYGMKHMRSIANLCNEGITNEQMAAASSQACTTFPSNPWSSISNGFTA >KVH89921 pep supercontig:CcrdV1:scaffold_336:287878:292036:-1 gene:Ccrd_008081 transcript:KVH89921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKDEEKTMGPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFNGGVLPNSLPPSSSTPGTMNEIQQSSPMHPTRKRDTYHSDFSNQRVHQEHKRRQEDDDFRVPVFDHQSGTSQNRSVNVNHQNRENEGITPFGAVFSGRLANIQNARQSNRFQKENSQEFARSASNQSSRQNIKAPLKETNESSSFSHRNVSNNSSRLQSNGNLRDYRLEADNTLWGDNVLNEAPKVGFGNASVPVREVQQEAVRSPNDPTNADAVSETSMVDSICGVDISPDDVVGIIGQKHFWKARRAIVNQQRVFAVQVFELHRLIKVQRLIAGSPHLLVEDNAYLAKPPKVSPIKKLPLEYVLKSTLNTPKHKSDVEKPNEETEFSAENAVGKASLSSVQNDSQMPNCRPYSGNPLPPSEPNMGGSWNFNPPPGHQWLIPVMSPSEGLVYKPYPGPGFMNPLYGGCGPPGPPPGSMPMIESSTGHNFPNYGVPPDHHYEGPAGGHPFAPPASHGYFPAYGMPPMVNPSVSSSRCELTNPFNEQTNPFSAHHQSSSNVPIEKKGAAVPNVVKFKASKDSEVQVSTASSRSDRTRGRNALPLFPTSPPAPAQAPSPAPEPTAPTAAPARFEAAEPARVIRVVPHNARSATASVARIFQSIQEERKQYDSG >KVH89916 pep supercontig:CcrdV1:scaffold_336:197291:210318:-1 gene:Ccrd_008088 transcript:KVH89916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MACLHDHSCEDHDCSSDWSLYKHIDIPKVSALNEAAPGSVKTVFKAWEQRLSSSEGFLESNEGDPELIVFIPFTSDVKIKSISIVGGADGTSPSKMRAFINREGIDFSDAQSMQSIQEWDLAENLQGVLEYQTRYSRFQGVGNLTLHFPDNYGGDTSRIQYIGLKGEATQLKRDVVATIVYELMPNPSDHKAKSESGGGMSHVE >KVH89913 pep supercontig:CcrdV1:scaffold_336:146958:157197:1 gene:Ccrd_008092 transcript:KVH89913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MEGEDDVHMATEGLDWKFSQVFGEPAAGEEVQEVDIISAIEFDKSGDHLATGDCGGRVVLFERINKTDHADHRRDLDGMDCSSSRRPEYRYKTEFQSHEPEFDYLKSLEIEEKINHIRWCHTANGAMFLLSTNDKTIKCWKVQEKKIKKICHMNIEPPKPTSNGFVSGSNVPTMSKPHTANGDGIDKHLRCMSDNVPFPSDGETFISGDDLRINLWNMEISNQSFNIVDVKPANMEDLTEVITSAEFHPSHCHMLAYSSSKGSIRLLDLRQSALCDGHSKLLKEHEAPGARSFFTDIIASISDIKFSKGGRHILSRDYMTLKLWDINMDSVPVATFQVHEYLRPKLCDMYENDSIFDKFECCLSGDGQRVATGSYSNLFRVFGCSEGSSEEATLEATKNPTRRQIQTPPRFPRSLGTLPRVSRRGMFDLATGFYADSAPILSYDLKGSDNLGIDINGSSYDFSRKLLHLAWHPNENSIVCAASNSLYMYYA >KVH89910 pep supercontig:CcrdV1:scaffold_336:83741:96249:1 gene:Ccrd_008095 transcript:KVH89910 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 6 [Source:Projected from Arabidopsis thaliana (AT5G11800) UniProtKB/Swiss-Prot;Acc:B5X0N6] MNRGIPSSSSHLRRLSLFYFLSLVSFYLSLPAAALAATDQLKQLEDTTVGFVDNTTVISSNDSSTTDRDRSFAGMIDRALEKEFTESDQNEENDAGSFNNSVAGQQAVLETVARVKSKKNDTKDDKSFQLHHVFNMDNDNGAEETPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLVGPGGLDVVSELVQVETVAQFGVIFLLFALGLEFSMAKLKVVRAVAVLGGLLQSFLFMCMCGITASLCGGKPSEGVFVGVLLSMSSTAVVLKFLMEKNSVNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGLISMTKSLVALVAFLAVLSVLSRTCLPWFLKLMISLSSQTNELYQLASVAFCLLVAWSSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHIDILLASVLLVVIAKTIVISIVVKGFGYNNKTSVLVGMSLAQIGEFAFVLLSRASNLHLVEVTTPLLFKIIPAVVHLGVLLRWFTPDSQSEMGFKVDALRSDSAKQRIALIAKDLLIHEG >KVH89917 pep supercontig:CcrdV1:scaffold_336:198289:198840:1 gene:Ccrd_008089 transcript:KVH89917 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNGNRSNSGGGTSSVYRGVRKRKWGKWVSEIREPGKNSRIWLGSFETPEMAAAAYDAAAFYLRGDVARLNFPERANGLPRPVNHSADCIRMAAQEAAVRFRPSMPEYEQDQGGSSSGHTVPVNIGLSPSQIQAINDSPLDSPKMWMELSDALIADQKMYFSNTANFDHMGDWEEIPDYSLWDP >KVH89919 pep supercontig:CcrdV1:scaffold_336:227994:234063:1 gene:Ccrd_008086 transcript:KVH89919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNCLCTYCVCVRERERERERERESMEGREGQSSTAAVRFTLPPSRLSSEDILFCIDVDPETLVEMKNTSVSGRPFTRLESIKQAILLFVNAKLAINPDHRFAYCALGKTPFWLKKEFSSEVDSAIAAFRGITVDSSAGQADLTHIFKVANHEAKKSRAQNRILRVILLYCRSSVVPQHRWPTNQKLFTLDVIYLHDKPGPDNCPQKVYDGLVEALEQVSEYEGYIFESGQGLTRVLFRHMCVLLSHPQQRCVQDDIDIPKSLTKKSPAVESAPAEQESVPVSSQ >KVH89922 pep supercontig:CcrdV1:scaffold_336:279963:285543:-1 gene:Ccrd_008082 transcript:KVH89922 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 GDIIDCVEIYKQPSLNHPALKNHTIQLAPTFFSKTRKVFDDAKKEDIAMTITSQRWQKSGSCPKGTVPIRRTQKRNPNKDDFHAYGRKTASNMKNEVGDLKYTTNSTNSIANHSVALIETVGYSYSGAKVDIKVWTPYVEKEDEYSTSHVNPSVYDDHQTRFVQVNHEIALGGAIYPISNPNGLPYQITDPTTKNWWVNYGESINIGYWPGELFMLLKYQGIMVKWGGEVYSSKVKTHPGHTATGMGSGNTPPSIFENCGTMKRMRVEQNSQPLMIPEWSTTVVDEYRCYGALYEVDYIPDPIFYYGGPGRSPWCP >KVH96731 pep supercontig:CcrdV1:scaffold_3363:35489:35844:1 gene:Ccrd_001178 transcript:KVH96731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSTTIYKETDFIKRFNKLVWNAHSEPEEFENKCLSLISKFKLEKRKWFSYMFRIHQWQIPAYFKSESINSFFNKFAHHSNNFVTLMLAFENAMQNEEILNVILTT >KVH96730 pep supercontig:CcrdV1:scaffold_3363:64782:68578:-1 gene:Ccrd_001179 transcript:KVH96730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYCSSLTGDKNGTMANFTKVAYISHDLRYFQIQSMGATTLDEYLKHIKKDLALDR >KVH96732 pep supercontig:CcrdV1:scaffold_3363:14634:15902:1 gene:Ccrd_001177 transcript:KVH96732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MGRFPRMAEAFDQVAAKVRDCDSSGSEHSPETMMDLSDLVNSFIENGNGFVDSEFDSRIADEYCTFDGIDEDLEEMKESLNRLFRFEYGDDVRKKLVFDVEKAWHAMTEDRSSPPSLAVKRQLMARLRDQGLDAGLCKSKWEKKGRLLAGDYEYVDVNVGGIRYIIIISLREEFKIARPTDNYTSVLEILPRVSVCTIEELKETVRIICKAIKKSMNQMKMAVPPWRRREYVQAKWFGSYKRTTNEFSTKDTINLNENKTKIVGFVSLHTTWYGRRKEDFARKDKIGNLAMAINGAS >KVH87452 pep supercontig:CcrdV1:scaffold_3367:12327:17615:1 gene:Ccrd_025309 transcript:KVH87452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36 MKVRSSVKKMCEFCRTVKRRGRVFVLCSANPKHKQRQGLSTISFEEGPLTSRISEMQMTRSSYQAKDAPNYGGIGGGLASLLVERKVPALFAGWRVSLASLLQTRAK >KVI00713 pep supercontig:CcrdV1:scaffold_3369:3520:7842:1 gene:Ccrd_021034 transcript:KVI00713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRKPDQIGREKVCNDTNSNKGMLKLRKGLWSPEEDEKWSQIAARLPGRTDNEIKNFWNSTIKKRLKVNNNVSRSSPNNSTDDTSSDPSRDIMGAGMFMSMHDHDLMTMCMDSSLTSTTTTTTSSSSMHNNSMLIKGHDHFGQLPPLLQNNINRDASSLFNVSSCLGEVGMGGDGQYGDCGILEVPYMMGMENDNTNLGSRVMDGDINANATNYMFDKKSANFNHHFNGDIDGKVKVEEVVGLENHWSGESLKMGEWDLEGLFANIPSLPYLDF >KVI00714 pep supercontig:CcrdV1:scaffold_3369:22525:27109:1 gene:Ccrd_021035 transcript:KVI00714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MVAYLDINVPVMVDLWSMVLVHNGCLFLHGSLFRQQVTVMLIGETLEEVKAVADMHQRKAEMAKHSDAFIVLPVDMARWLYDQSKSKLIYDLIHKGSAVNSGHYVAPFKDQDTGLWWEFDEEIVSDMGQHPFGGNSSNVVAKPLQNIPAGRSCFSEPDGVVNGNHVNMYGLHVSSLETTIHVQTFSSSDAYMMMYCLRYQTNGHRKAQLGSGGGIPKDGNSISLQXDAYLPSHLMKEVIELNKSYLESCQQYKTKKEAKIMHHLYLGIFLCIS >KVH99540 pep supercontig:CcrdV1:scaffold_3373:33816:37195:1 gene:Ccrd_022224 transcript:KVH99540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology-like domain-containing protein MADLVSYGNADRDTEQALIALKKGAQLLKYGRKGKPKFCPFRLSHDESSLIWISSSGERSLKLTLVSRIIPGQRTAVFRRYLRPDKDYLSFSLIYNNGKRSLDLICKDKVETEVWIAGLKALISSGQGGRSKIDGWSEGGLYVE >KVH99541 pep supercontig:CcrdV1:scaffold_3373:44931:59849:1 gene:Ccrd_022225 transcript:KVH99541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein ESVQLTYNSSINIAVAVAVAINGGLITPVLPDADKPHVISYSHFFLLTNRYQDNKDLTSNSASDGSVGAPRDFSSPDASISSNSNTSPMNYHPENSANIERSHAALDQTNMQVKGFGSDAFRVSVSSAPSTSSHGSAQDDYDALRDVYIWGEVICDNIVKLGPDRNANPLSTRADVLLPRPLESNLVLDVNHIACGVRHAALVTRQGEVFTWGEESGGRLGHGFEKDVTQPRLVESLAVSNVDFVACGEFHTCAVTLSGELYTWGDGTHHAGLLGHGTNVSHWIPKRIGDPLEGLKVASVTCGPWHTAFITSTGQLFTFGDGTFGVLGHGDRENVSCPREVESLLGLRTIAVACGVWHTAAVVEVIVTRSSSGVSSGKLFTWGDGDKNRLGHGDREPRLKPTCIPALIDYNFHKVACGHSLTVGLTTSRHVFTMGSTVYGQLGNSECDGKLPCLVEDKLAGEAVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDIEDRKTPTLVEALKDRHVKYISCGSNYTAAICLHKWVSGTEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSKKALRAALAPNPSKLHRVCDSCFVKLNKMAEAGVSNRRNVMPRLSGDRLDKAEMRLASNQDLIKLLDNKAARQQGKKADLGRSSQATSLLQLKDVVLATGGDFRYMVPKPILTQSGVNSRSVSPFSRKPSPPRSATPIPTTSGLSFSKNVADSLKRTNDLLNQEVVNLLGQVNSLRHQCEMQEAELQKSRKQAQEAMVLAAEESAKCNAAKDVIKSLTSQLKDMAERLPAGTYDLDSIKLPNGLDPNCTSDTTNGENESRSNTCYLISPSSTSINKTFTENKEPPIRTTSNGNDGYSDAARSLNGTAVEMENKYLQREDNGFKPRNPVVPSSSSSSNATDQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYERYNVRGLDNKSTVSSSRRSGESVAAPTQ >KVI01646 pep supercontig:CcrdV1:scaffold_3378:40094:40306:-1 gene:Ccrd_020077 transcript:KVI01646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAAKNGLRSGLVVIGALAFGYLTLQLGFKPYLEKAQLYNDDGRNPQSDEQQHSTNDAAAIILDDASPS >KVI01647 pep supercontig:CcrdV1:scaffold_3378:29178:29592:-1 gene:Ccrd_020076 transcript:KVI01647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRTPGAQEIDRGNDHSTKSNAQGTKGIQSPSYLSTTRQCQSQACFRALQWHRSFLKNKGVANEPSREVQIEAIKRRLGERVHEDPMADLE >KVH93700 pep supercontig:CcrdV1:scaffold_3379:80722:81539:-1 gene:Ccrd_004247 transcript:KVH93700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MNSGDQNGSLSMMKRRWLQEHQRRLMSQPYVNMFLSSPPPPPAPPIHKFLKEEDDLIAGVIPAVTVVVEGRSICHRINLHNHDGYNSLAKALRYMLVDDSCNDNDDEKGVIDLSNAVPGHIIAYQDLENDLLLAGDLNWKDFIRVARRIRIIPVKGNSSKGKRFK >KVI04070 pep supercontig:CcrdV1:scaffold_338:24959:28589:1 gene:Ccrd_017625 transcript:KVI04070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MANTGLELLMENLKHIIYCDNNPLIKNNLFVQDKRPQILFLYQELASLRTFVIDMEVAKKPKELKKVGNLERRLRNMVEEVEDIVDLFLTSTFIRNNTSMTMCDFDSMIHSLNFDRVMEEIRDVKEEIMDKKMQPRPEGVQPAAGAMISRNLSSAVLKEEILVGLDDASMVLVERLTGNHKNLDVISVVGMGGLGKTTLATKVFNDRFIVYHFHVRAWVLVSQSYGKKDLLISLLTSIGKLTPEEINKLKIDKISELLYKSLKGKRYFIVIDDVWSAKAWDDLKMYFPNDNTGSRILLTTRLSEIAFYAKPSGFAHFLRFLTNEESWELLRRKVFQEDGCPERLIKPGKQIAKKCQGLPLAVVVIAGVLVKGEKSQELWEKVAESVNSYIVGNPKGYLDTLALSYNHLPRHLRDCFLYVGGFPEDCKIPVRRLIWLWVAEGFIREEGERLLEEVAEDYLMDLVDRSLLIVAKRRSNGGVKACRIHDLLRELCLKKAKEENFLQQISRSSYLSSSKFIRFTDKQRRLFADSNFFTEISTDHSAPHIRSFLCFNKEWYFSLGVQRCFHPFLLLRVLDLQTIHTSTVPLALELLVHLRHLALWSEVTKLPSSVCNLWNLQTLILKENYSGFMKLPENISKMINLRHLWIEMIISIPDVHNPTNSHVFFNLQTISMLQLHGRAESLLKRIPNVRKLGCAVYGDQKDYAFPNFVLLDHLETLKVIQPEVQEVESLLSKKLISFPVTLKKLTLSGCRLPWSGMSKIQWLPNLEVLKLLNYAFEGPSWDTGEGQFHQLKFLKLQNLDIQQWDAYSSNFPCLKRLVLLECYYLKGIPDEVGDIPTLEIIDIDKRNHSLVKSADKIREEQHTMGNYELKISKEGDNAVEQVLLSPHSLNKELSQGKLLDE >KVI04073 pep supercontig:CcrdV1:scaffold_338:114141:115382:1 gene:Ccrd_017628 transcript:KVI04073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAIQTQLYSENLGFPFVAGDDGGGGGGGGGGGGMNFSQDWMENGCGFNDFYLLNLKQQQKYELKSEVLLKQKDDEIKRATMRRMELEELLRRTDIERQTWQIAAKEKEAMVMNLNNTIVQVRERWMKQQDEEKLQVVEDEGSCCHGNDDVSKQKKMMMKMKMVICKSCFNEDSCVVMLPCRHLCSCRSCDAFLNSCPVCKMVKKATIQLDMISDSNL >KVI04058 pep supercontig:CcrdV1:scaffold_338:194362:205430:-1 gene:Ccrd_017637 transcript:KVI04058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYDYLEKMVENSEPTKSEERANGGEETGKKEDKDRRPSSRHRSEKNNDERRSKRAKSGEESRDRERERGSSHHRSSPRDGDRSDRDRQRSNRETKDRDKDKSREERNGKSRDKDRERDRDRGVDRERERGRGRREHGREFEKEREEREQEKDQERPRRSVSRSERHGNDRDREKSRDREFKDREREREREKEVREPDRESRCARAILRWSFWLLSQAQGLRNAVVGVVGFALQRLCLRYKDKKEGAVEPEVDPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTATAAGVAGGTGAYAGGARKLYVGNLHYNMKEDQLRQVFESFGTVELVQLPTDETGNCKGVFVPIQFARLEDARAAQSLNGQLEIAGRMMKVSAITDQSGMQEMGVNPGDFDDDDGGGLAPFSALSTIPGAALSVPPVTIPSAESVGVPSECLLLKNMFDPELEDEPDFDLDIKEDVQSECSKHGKLRHIYIEKFHKTTRPNFPTASSGCIENFQLFLVLHLKVYGSIRCVEEGKFDTLFMLRMYNR >KVI04061 pep supercontig:CcrdV1:scaffold_338:175696:177714:-1 gene:Ccrd_017634 transcript:KVI04061 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1279 MAGGRFRELLKKYGKVALVVHCSVSAASITGLYVAIMNNIDVESALERVRFKQLESVLEKFGMGGPKEELEVETTGFPQSDPEMVGEAAKPRNRTAELAASSGGALALAVLCNKALFPVRVPITIALTPPIARSL >KVI04063 pep supercontig:CcrdV1:scaffold_338:210444:217940:-1 gene:Ccrd_017638 transcript:KVI04063 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit MAMAALRREGRRLVPLVSSPRPINAIQSTLAPAEEQVGLGVRSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAIQVRAENSRGLWQPFTALLGDTPSVSVKKNVIVTISSDKGLCGGINSTSVKISRALHKINSGPDAECKYVVLGEKAKAQLIRDSKKHIELTMTELQKNFLNYTQVSVIADDILKNVEYDALRIVFNKFQSVVSFLPTTATVLSPEVVERESEAGGKIGSLDSYEIEGGETKSEVLQNLSEFQFSCVMFNGVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELTEIISGASALEG >KVI04059 pep supercontig:CcrdV1:scaffold_338:185234:192165:-1 gene:Ccrd_017636 transcript:KVI04059 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit, C-terminal MTQDVEMKEQQVPAAPSNSVSSTAPSILQHLKEIAALIETGAHAREVRRILRAIRLTIALRRKLKASAISSFLNFALAPGSEAHSRLASYLPKDDQHDMEVDTASSTTQANVKHSPELEIYSYLLVLIFLIDQKKYSEAKACSSASITRLKNLNRRTVDVLASRLYFYYSLIVEHSGVYPFLMDDMQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAVIVRLLLGEIPERTAVRIGDLELFKTVAEKFSTTFTSDRTNNLIVRLRHNVIRTGLRNISISYSRISLADVATKLRLDSPNPVADAESIVSKAIRDGAIDATLDHTNGWMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >KVI04067 pep supercontig:CcrdV1:scaffold_338:14341:16984:1 gene:Ccrd_017622 transcript:KVI04067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAYADEMPQFQLMIKTIYIDKDQNLLEKGLNSNFFVKSLAPSSKPFQISINTITYMSSNKLEISRKRFKEAAEEAQVIIDLFLSALHFRNRRLSPDSDVFKTSLALENVTRSIDLKTQSAAAAAGTSCTRKHLVTKKPLEEKIVGLDRDSELIRDRLAEDTKQLRIVSIVGMGGIGKTTMAIKLFNDCFVVYHFRDFLIQILTSIGVQEGLEETRDYQLRGKFHKHLTGKRYLIVIDDICSIEAWDDLKMFFPHDNTGSRIQLTSRLNEVALHAKPHGETKLGVIARPERMPGNTSFKLPPFTSSLERVLSLSRRLPGRLQDECKKVDMVIGGAEGFIEEAGNQSLEDVAKAYLMDTIDRNLVVVAERKFNGDVKACKNPRSCEGTMPRKSERRKILS >KVI04074 pep supercontig:CcrdV1:scaffold_338:118147:123628:-1 gene:Ccrd_017629 transcript:KVI04074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nickel/cobalt transporter, high-affinity QDFYPEIHHFSVNSVEQLLTHSERGGDNGRHGGATMEKLIYNPNHHILSKHLKPRPFFPHLARFQPTKLFNPSHYTIRVNSISCKHEQNPSFDSSSPVIKTPERDLTFSENKGSVIPKPQFLQQLSQAFSHKQKAAAAGTVILLSALLVFVVQPVFVSPAFASFQTATKTGSGAFARSELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRSRVESAMVGALWGCGHDAGQVIFGLLFLLLKDRLHIEIIRTWGTRVVGFTLLVIGAMGIREASEVPTPCVALENGECDVSVYEASIADPTVGKKKKIGFATFATGIIHGLQPDALMMVLPALALPSRMAGAAFLGMFLVGTVIAMGSYTVFIGSCSQALKDRIPRITEKLTWISSLVAIALGLGIIVSQLFGFSLY >KVI04064 pep supercontig:CcrdV1:scaffold_338:249347:258551:-1 gene:Ccrd_017640 transcript:KVI04064 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERGosterol biosynthesis methyltransferase, plant MDTTILISTVALLATALYWFVCIFRSSQQNRRCAGNLSFGSIHREQVHDKFNQYLSFFHHPKQLTEMAETVPAFVDIFYDLVTDMYEHLWGQSFHFSPSIPGKSNLESTRIHEQMAVDLIDVKPGQKILDAGCGVGGPMRAIAAHSGCNVVGITINEYQVSREKILNEKAGLDKHCQVICGNFLQIPFENESFDGAYSIEATCHAPKLDDVYSEIFRVLKPGSMYVSYEWVTTELYQGEDPKHVEIIHGIERGDALPGLRSYSDVAEVAKKVGFEVVKEKDLAKAPAKPWWRRLKKGRIAYWKKRIVIMLLEKLGIVPKGTVAVHEMLFETMNSFPISTTMDSIAIVCTASLLAGGIYWFVCMLGAAEQKGKRAVDLSGGSIDREQVQENYNQYWSFFRRPKEIETAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSNLESTKIHEEMAVDLIGVKPGQKILDAGCGVGGPMRAIAAHSGCNVVGITINEYQVSRAKAHNKKAGLDKLCDVVCGNFLEMPFEDESFDGAYSIEATCHAPKLEDVYREIFRVLKPGSMYVSYEWVTTELYRGEDPEHVDIIQGIERGDALPGLRNYSDIATAAKKVGFEVVKEKDLAKPPAKPWWSRLKMGRLAYWRNHIVVMVLETLGVAPKGTVDVHEMLFKTADYLTRGGETGIFTPMHMILCRKPEKSN >KVI04072 pep supercontig:CcrdV1:scaffold_338:56148:60066:-1 gene:Ccrd_017627 transcript:KVI04072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MGALDHLSNMFDCSGGSNRKYKKRKQLQTVEIKVKIDCDGCERKVRRSVEGMKGVTSVSIERKQHKLTVVGYVEPHKVLSRVAYRTGKKVELWPYVPYDIVAHPYAQGVYDKKAPAGYVRYVDDPHQNQLARASSTEVRYTTAFSDENPAACAIM >KVI04055 pep supercontig:CcrdV1:scaffold_338:141799:148883:1 gene:Ccrd_017632 transcript:KVI04055 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit PLKSGPISFTRKVGVGPSDHINVGCECDYQRYFKAFELQQIDQKSPKLTLLFRNTKPVTPMAMAALRREGRRFVPLVSSPRPINAIQSTLAPAEEQVGLGVRSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAIQVRAENSRGLWQPFTALLGDTPSVSVKKNVIVTISSDKGLCGGINSTSVKISRALHKINSGPDAECKYVVLGEKAKAQLIRDSKKHIELTMTELQKNFLNYTQVSVIADDILKNVEYDALRIVFNKFQSVVSFLPTTATVLSPEVVERESEAGGKIGSLDSYEIEGGETKSEVLQNLSEFQFSCVMFNGVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELTEIISGASALEG >KVI04062 pep supercontig:CcrdV1:scaffold_338:230457:233936:-1 gene:Ccrd_017639 transcript:KVI04062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVSLSQPKLPVIHMADLNPGTESWISTSQKVTQALEKYGCFVAVYERVSQQLKKEVLDSLRTPFDLPTDTKINNTSDKPFHGYMRPVPTRPLYQSLGIEHATSLDDVQRFTNLMWPSGNHHFSNTMHSYATLVTELENAVRQMVFQSYGVEKYYESFNESMNYLFKVMKYSAPKPDQTNLGVAVHTDKSFITVLGQINEVNGLEVEIEDGKWITFEFLPLSFVVLATDPLMAWSNGRVKSPRHRVIMNGQEDRYSIVLFSYKKGIIQTPEEIVDQEHPLRFKPFDHYKFLDFVSKDENYLDEKAIKLFCGV >KVI04065 pep supercontig:CcrdV1:scaffold_338:268561:280487:-1 gene:Ccrd_017641 transcript:KVI04065 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MVTVDNESCGSMSMESMRSFTAANNGNSNRHQIQKLEVYNEILRRLKESNNGEAKKPGFDDELLAHFNRLPTRYAFDVNVERAEDVLMHKRLLNLAHDPSNGIVFEVRLVQVSPLAESNSDDLGGCSSPGKPIAQSIHPPPAFGSSPNLEALALESLALEAKESLTRNGNGAVNSHTNLPWPMHEITFSTDDKPKLLSQLTCLLAEVGLNIQEAHAFSTVDRYSLDVFVVDGWPYEEIEQLRDALERKLFKLEKQSWSDQCSSSPVSEQDQAGKNCHVDHLRIPNDGIDVWEIDPQFLTLDHKVASGSYGDLYKGTYRSQEVAIKVLKAERIDTDMQKEFAQEVYIMRKIRHKNVVQFIGACTKPSSLCIVTEFMSGGSVYDYLHKQKGTFKLPILLKVAIDISRGMDYLHQNNIIHRDLKAANLLMDENDVVKVADFGVARVKAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKLPYEYLTPVQAAIGVVQKNLRPTIPKNTQPKLTELLGRCWQKDPSLRPDFTEIIDILKKISSQIENTEDRRTEKGSGRFMSLLRRGHH >KVI04066 pep supercontig:CcrdV1:scaffold_338:9625:10903:1 gene:Ccrd_017621 transcript:KVI04066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MGGLGKTTLATKVFNDRFVVYHFRVRAWATVSQTYIKRDFLTQILTSIGVQKDLEETSDSQLRGKLHKQLTGKRYLIVIDDIWSIKAWDDLKLFFPNENTGSRILLTSRLNKVALHAKPHGFVHSLPYLTKDESWELLKEKVFHGDKCPEWLIKPGMQIAEKCQGLPLSVVVMAGVLAKETMSKYLWQKIARGAGSYIVSDQKGCMETLSLSYDHLPHHLRECFLYIGGFPEDFVINIKKLIILWVAEGFIEEVTNQSMEVTAKAYLLDLIDRNLVCNRLLTEEI >KVI04056 pep supercontig:CcrdV1:scaffold_338:136780:141536:-1 gene:Ccrd_017631 transcript:KVI04056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIYTYKLGFLDRIPASFVYLKQIDNRWRRKETTQLLKCPCVLPANLLFLFRGEVILDVERLAYLLRCLALDHVCHRLTSQIQQALDVQIDLACDVRERDAGVGTVIFNHMFDCLGFQRHRFFNLEGFTVGALENDDL >KVI04054 pep supercontig:CcrdV1:scaffold_338:164645:169141:1 gene:Ccrd_017633 transcript:KVI04054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MSKEVSEVGETARKDYVDPPPAPVVDMAELKLWSFYRALIAEFVATLLFLYITVATVIGYKNQTDPCGGVGLLGIAWAFGGMIFILVYCTAGISVAYMVAQCLGAICGVGLVKSFMKSPFNSLGGGANSVAPGYTKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVLYNNQKVWDDQWIFWVGPFVGAMAAAAYHQYILRAAAIKALGSFRSNPTN >KVI04071 pep supercontig:CcrdV1:scaffold_338:44366:49744:1 gene:Ccrd_017626 transcript:KVI04071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MEDGELDFSSHELFTGANMGDIPSSGSIDSFFDDIFKDTHACTHTHTCNPPGPDSSHTHTCYHVHTKIVPAMSGDDDKTPTDDTAESNEKKGKKRPLGNREAVRKYREKKKARAASLEDEVVRLRALNQQLMKRIQSQAGLEAEVARLKCLLVDIRGRIEGEIGSFPYQKQLPANHNIANPNMTGGYVMNPCNMQCGDQAYCLHPVVDGKTGEDASIHDPALNGCEFENLHCLGNQNPLLNELPGCGTEDGFPVANPSGGRTKRKGEEQ >KVI04057 pep supercontig:CcrdV1:scaffold_338:136655:139518:1 gene:Ccrd_017630 transcript:KVI04057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKIIVLKSSDGETFEVEEAVALESQTIKHMIEDDCADTSIPLPNVTSKILSKVIEYCKKHVETAKADDKAAEEDLKSFDSEFVKVDQGTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >KVI04068 pep supercontig:CcrdV1:scaffold_338:16280:29237:-1 gene:Ccrd_017623 transcript:KVI04068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIGPITYIISPPYSLILFLTSSTVDADRRPERICRWWLEDATSTKQLRIFLGADRLLGSLRHRENSLSSDEDSHLPEILSSAIAPNSPRWSEIVESERNRWVLRRKQSRRGGWLAKFYSRCYHLEISLSTKTIEASSRPTRISSFSTALDRFLLIIAPAAGCTPSDSTMDSLQLSVSISSRVVISPISRGISSRSLQNNTANLLRRGKLGSKCDFCGNLPLTLRFQGSIDCIVRMGNLMNSKLQGTLSEPVTPSLAFSHKIMEFTSFDITIKLPFCNNYKISVNQIHQLCSSFRQGKEWTSPCGFAYKATSLRRLVSKILLLVLSSGKKNFKSYQALMLQISSITIKYRLPIRLYGVLVDFNQMLVKLSSKLIIPGFFKAFLNTDGCQNLN >KVI04069 pep supercontig:CcrdV1:scaffold_338:19785:22553:1 gene:Ccrd_017624 transcript:KVI04069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MFMANLKQLIHGNHDPLINNDPSILSQRPQFQLLYQELASIIQTFSNIHQHHLHELQEVQNLKKRFKDAAEEAQDTIDLFVSAVHFTNKGISPDSNIFKASLELTDTFMRKYIMTMNIDNMEMDSILNNAYCRSRGLPPRSYAFKIPLNLENAMSSIESIKVELMAINIDDMKMNSSSRSNRLKTQSAAAGTSCTRNPLGMKKPLMKEIVVGLDNDVNLIRDKLVEDKKKLGVVCIVGMGGLGKTTLATKVCNDPYIVHYFYVRAWVTISQTYEKRDLLVQILTSIGIQEDLEEDGYSQLRGKLHKHLMGKRYLIVIDDIWSIKAWYDLKFFFPDDNTKSRILLTSRLNEVALYANPHGLVHSLPCLKEEQSWELLKQKVFHGGDCPEWSIKPGMQIAKKCQGLPLSVVVMAGILAKEEMRKDLWEKIAYSVTSYIVSDQKGNLETLALSYHHLPHHLRECFLYLGGFPEDHWFNVKRLTRLWVAEGFIEEAGNRSLEDTAKAYLMDLVDRNLVIVAERKFNGDVKACKLHDLVRKLCQEKAKEERVFFKACRPPNEVTPLPIPYEVVAQYKQRRLFTNQDITIVNLVHPPTPSIRSLFYFHKNPRSIDDTESEYFYSFSLLRVLDLQKSKYEYVPQGMALLVHLRYLAIWNSSGFPSSLCNLWSLQTLILKTSYSHMNLPSNISDLVNLRHLWSNVELFLLTIEKPINLQSISEVRYGDGVDTFQKYFPSIKKLAYTFSSGEKGHFELLPYLETLKLRGGFHRNHICYPATLRKLTLVKCRLPWSNMSIIQSLLNLEVLKLKDNAFEGTQWNAREQQFRQLKFLRLEKLNIIQWEAYRTSFPRLKRLAVLFCRDLEEIPLEIGEITTLELIETDNWSESIVESVERIQQEQQDVGNYELKITVDGMELSVYLSKHESSESE >KVI04060 pep supercontig:CcrdV1:scaffold_338:179092:183197:1 gene:Ccrd_017635 transcript:KVI04060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin-like domain-containing protein MIVCIAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPMLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDAELLTAL >KVH87447 pep supercontig:CcrdV1:scaffold_3380:17511:18126:-1 gene:Ccrd_025311 transcript:KVH87447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETSSFMPSSQPMVSKRILRPMILKPLPVEEAVQKRKSTHPTKNEKNLKLARVANEVEDEVVQSILHFSIEYIPSRLGFFVVDNFDDERMVLKLSVGELEIAKYSVQKMLGFPTGPIRITQKRTNSNDK >KVH87448 pep supercontig:CcrdV1:scaffold_3380:4117:5555:-1 gene:Ccrd_025310 transcript:KVH87448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDNMVELSLVGTRIKVVPSSIGKYCTNLLSLDLLCCESLKSIEGNFHSLKHLKEFHIQGCRELKIPAEGLFDLKGCLEVLNLYNTSFKNLQPXIASMKFLGFPPSXRRLGLGSCNLISGDISAILCELSNLLVLDLQENNXSRLXCSSLLXLRGLKFLDLSFCCNLVELPDLPSSIAVLRAYGCNKLKIVDLPINLKWLWRISFXMKSISGDVHRKVQSMLQGKDNSISLFFDAENIPTRDFARRRLMLELPRNWYNEFSGFLICIKVAGIFASKSDVITIEDVMGWENEDLFDGTPKETYDDICICYVYISFNSLRHTSWWKWKSTYATISFSIKAHAYLKVELVPGRSKGDSVERVKHTT >KVH87450 pep supercontig:CcrdV1:scaffold_3380:21574:23232:-1 gene:Ccrd_025312 transcript:KVH87450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein HLPNLKVLNLSHSVKLIRTPDFYGLPCLERLLLTYCDQLTDVHPSIGYHERLIYVNMSFCTHIKKFPPIRGMKKLETLILSRCPQLCMFPEIQMNMDNMIKLSLTETGIKVVPSSFGKYCTNLLSLDLGRCRSLESIEGNFHHLKHLKKFSIARCEELKIPAEGFFDVTCCLEVLDLSDTSFMNLHPGIVSMKFLGFPPSLRRLHLVSCDWINGDISSVVCEYSNLQVLNLQHNDFSRLRCSLLQLYSLKVLNLSYCYDLVELPDLPSSIAVLEAYGCKKLKLVDLPTDLKWLWRISLPMKCILGDMERKVQSMLQGNSIYDNSISLSFHGDNIRLEDFARRRLMLELPRNWYNEFSGFLICFIVKWMLGDIHNVITIEDVMGRENEDVLEVSDGTPKLIWGEAYRCYIYISFGSLRHTSWWKSTHTTISFSIQRGTYEKVELVPRSKGDPIERVKHTTNFSEFWEASPIEITHDSKSCIEINWRHPTVDYRPDNRYLFY >KVH87451 pep supercontig:CcrdV1:scaffold_3380:46351:48019:-1 gene:Ccrd_025314 transcript:KVH87451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLPNLKVLNLSCSEHLIRTPDFDGLPCLERIKLNHCVNLTDVHPSIGYHERLIFVDMGSCTRLKKFPPIIGMKKLETLILSWCLQLCMFPEIQTNMDNMVELSLDRTGIKXVPSSFGKYCTNLLSLDLGYCIILESIEGNFHHLKHLKKFSIAGCKKLKIPPEGLFDVTCCLEVLALSLTSFKNLHPGIVSMKFLGFPPSLRRLRLVSCDWINGDISSVFCELSNLQVLNLQENNFSRLRRNLLQLCSLKVLNLSYCCDLIELPDLPSSIAVLQAYGCEKLKLVDLPTDLKWLWRIXLPMNCILGDVERKVQSMLQGNSIYDNSISLSFHGDNIRLEDFPRRRLMLELPRNWYNEFSGFLICFKLKEMFGNLYNVITIEDVMGRENEDVLEVSDDEAFKWIRGKGDVYRCYIYISCSSLRHTSWWKSTHTTISFSIQRGTCVKVELVPRSKGDPIERVKHTTNSFEFWDEEPIKITHDSKSCIEINWRHRNVDYLTEDKC >KVH87449 pep supercontig:CcrdV1:scaffold_3380:40377:40901:1 gene:Ccrd_025313 transcript:KVH87449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MKQSPIIFPIPFCILITLFISSTEAQSCNPNEKLAGKNSLLRRLNHSDRCKNGKFSVASKGSPLVVGNTNSIMTINSFEKSGDGGQPSECDGKDHSDDTLIVALSSEWYNHGQRCFKLINIYYNDKSVQAMVVDECDSNKGCRDDIVDASKAVWKALQVPKDEFGETTVTWSDA >KVH89862 pep supercontig:CcrdV1:scaffold_3383:18507:22452:-1 gene:Ccrd_008146 transcript:KVH89862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MGSRNGPFSHTLLVIHVLLVVTTVFSDSTESEKLVTFKRSLLKAEALSNWITSAAPCNGDKENWRGVICGKDGSVFGLRLENMALSGTIDMDMLAELPGIRTLSFINNSFEGPMPDVQKIRLLRSIFLSNNNFSGVIRDDAFAGMSSMRKVELQNNKFTGRIPRSLSEMKILVDLQMQDNEFEGEIPDFEQKNLKVNFANNRLYGPIPPGLRNQDPSSFAVVFVISPLIFRARTTAKNGYKYQQTTKLDKNNDYKTNTKDIEMPSYEEESYKRTPNGGKLQFVRNDITEFKLHDLLRASAEVLGGGSFGSSYKATLANGPAVVVKRFREMSNVKKEEFYSHANRLGSFSHPNLLPLVAFYHKKDEKLLITDFAQNGSLASHLHGKRKPNEPGLDWRTRLSIIKGVAQGLDYLYKELPHLVLPHGHLKSSNVLLNDAFIPLLADYGLIPLVNKRHAQKFMVAYKSPEFTYHDSTTTKTDVWCLGILILEILTGKFPANYLKQGKGGSSDLETWVNSVVREEWTGEVFDKEMKGTKNSEGEMLKLLKIGMCCCEWNIERRWDLKAAVEKIGELKERGSDEDYSSCTSDGAYSSRAMTDEDFSFSVTG >KVH89860 pep supercontig:CcrdV1:scaffold_3383:8188:11260:1 gene:Ccrd_008144 transcript:KVH89860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13e MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRNARQAKAVKDFPRPAGKLRPQVHGQTLKYNMKLREGRGFSLEELKGAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTFKAKLVIFPRRARKMKAGDSTPEELASATQVQGPVLPIVREKLAPEFVKVTDEMKSFGAYAKLRVERTNKRHLGARLKRAAEAEKEEKK >KVH89861 pep supercontig:CcrdV1:scaffold_3383:39867:42655:1 gene:Ccrd_008147 transcript:KVH89861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF599 MEWKKCYLDVILVPLSFFITIGYHLWLWHKVRNQPLTTIIGTNNRGRRLWVSAIMENLNVLSWNREYNGVQFRARIQVLTHFYDNDKKNILAVQTLRNTIMGATLMATTSILLCSGLAAVISSTYSIKKPINDTVYGAHGEFMVALKYVTLLLVFLASFMCHSLSIRFINQVNFLINCPSESSVTCEYVAELLEKGFTLNAVGNRLFYSALPIVLWIFGPVLVFVCSVTMVSMLYNLDFVFGKLKEEKMSEIPNGV >KVH89859 pep supercontig:CcrdV1:scaffold_3383:15687:17834:1 gene:Ccrd_008145 transcript:KVH89859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTLTRTTMAAISFSTPSSYHPSSSSSSNLHQFQPAKPPQSLLLRRAPPPEQCIKCSITPSPLALGSDFEHSLKILASSPQSPATAMRGAETDAMGLLLRERIVFLGSSQIDDFVADAIVSQLLLLDAQDPTKDIRLFMNSTGDLLSNVVNRVQTGAFTYDPPVCTLASMAIYDVLKLVRADVSTIALGISASTASIILGGGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAQEMMHNKENVTRIIAESTGRSFEQVQKDIDRDRYMSPIEAVEYGIIDGVIDEDSIIPLMPVPDRVKPTLSYDAISKNPEKFLNPDIPDDEVY >KVH89858 pep supercontig:CcrdV1:scaffold_3383:51255:57333:-1 gene:Ccrd_008148 transcript:KVH89858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MTGLSCNACNKEFQDENDQKLHYKSEWHRYNLKRKIAGVPGVTESLFIARQSTLAEEKNKLNGPAMLYSCRLCGKAYRSAKAHAQHLKSRTHTMRISEGGHEDENSAIIKPLPPRVVKKSPQQKEEFDDESDDSEWEEVTQNEDLVGEMATSSTHMEVNESQSDDDTDEDMHEDEDELDPACCFMCDRKHKTIESCMVHMHKHHGFFVPDVEYLKDPGGLLTYLGLKVNRDFMCLYCNSNRQPFNSLEAVRKHMVAKSHCKVHYGDDDEEEEAELEDYVDANGKQLVTANGTSDGIELGSGGSELIITTVNDDTISTKAIGSREYLRYYRQKPRPSSNGVPITAVLAARCLHPMILNMYRSMGLSTVQSKENMVRMKVMKQMNRSGVDAMRSKMGMKSNVIRNLPKNASGAAAEEQREILGYVDEGYPENKEPPKALNLIF >KVH96236 pep supercontig:CcrdV1:scaffold_3384:1768:5249:-1 gene:Ccrd_001676 transcript:KVH96236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase, conserved site-containing protein MGSTNALIYNLHLNTNNCFNCVNSRTNHSTSLHINHRKSPLNVSSKRQRKINKFVCSAIEDVREMQKRLGIGGAGSVLDDRPEVADDIDENAPANSGPNDGSALYNFLYPDKELLPEDKEMSIFDHLEELRERIFVSVLAVGAAILGCFAYSKDLIMILEAPVRTQGVRFLQLAPGEFFFTTIKVSGYCGLLLGSPVILYEIIAFVLPGLTRSERRFLGPIVLGSSVLFYAGIAFSYVVLTPAALNFFVNYAEGVVESLWSIDQYFEFILVLMFSTGLSFQVPVIQLLLGQVGLVSGNQMLSVWRYVVVGSVAAAAVVTPSTDPLTQMLLAGPLLGLYFGGAWTVKLIGK >KVH96239 pep supercontig:CcrdV1:scaffold_3384:34566:41094:-1 gene:Ccrd_001679 transcript:KVH96239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGHKGGRRKDDVSQQNLDVSTSISDDELDPWTAWAYKPRTITLLFIGSCFLIWASGALDPESNSSDDIVTSVKRLILVVDEVGFSFCMFCIFKETNIQMFIGHSRGIWAMIAVFLTYCLLQAPSTLLIRPHPAIWRLVHGMAVIYLVALTFLLFQNRDDARQFMKYLHPDLGVELPERSYGADCRIFVPDNPTNRFINVYETLFDEFVPAHLFGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWLGIWAGMHTVRYFDGKTYEWVGISRQPNILGKVKRTLGQFTPAHWDKDEWHPFLGPRRFIQVLTLCIVFLTVELNTFFLKFCLWVPPRNPIIVYRLMLWWLLAIPTVREKPVKKVGAFCWLSLAICIIELLICIKFGHGLFPTPMPRWLVILWSSVGVGLLGFLILWTWQSHRTLSKKRQ >KVH96238 pep supercontig:CcrdV1:scaffold_3384:31869:33920:1 gene:Ccrd_001678 transcript:KVH96238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MNIFSLSLKTTSLITTSQADDHDHDCRRIISGSFYKITGAKIGRTTTMAIASVAGVHVNGKVMGERTIIPTQKQFVDPFRQGVIILDGVGYRQTVVVRSYEVGTDKTATPQSLLNLLQETALNHVWMSGLLGDGFGATHGMMKNDLIWVVSRMQLQVDQYPIWGEILEIDTWVGASGKNGMRRDWEIRSHATGIVFARATSTWVMMNQKTRRLSKMPDEVRAEISPWFIKKQAIKEDCPEKIEKLDNNARYLSDITLEYRRECGSSDVVQSLCEPEETGMIKDGVQDTKLMDRCSMGGGIIQGNGLLNCLMNEGSTVRYTHLIQAKEESKCQEIVRGKTIWKKRINLLSFSG >KVH96237 pep supercontig:CcrdV1:scaffold_3384:16023:16716:-1 gene:Ccrd_001677 transcript:KVH96237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MATETIKPSVHLTNIDEVEKVMTTIDTDCDGFINLEEFAGFCKGNADEQDGGIKELEEAFQLYDLNKNGLISSTELHQILTRLGERCTVDDCVTMIKSVDSDGDGFVNFEEFKKMMSNNSSNGDAGP >KVH87446 pep supercontig:CcrdV1:scaffold_3385:38066:39656:-1 gene:Ccrd_025315 transcript:KVH87446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLCFFRFWLGFKIGGDYPLTTTIRSEYANRKTRRGFIGGFCHAGVWDFDRWDGVLYHLDGIQVEIPSSDVQGGSVCINGSRSQLCVADNFNVGIDFGSNDLLQANEDAINHSVYSLSCENEEQAATDMSKVSEIELEVDQKNVDHLVNDAEKSGDIDPQEAQQTLEIAEAVLRKAEGKRQTIEANLALRRARTRVEAINXIS >KVI00903 pep supercontig:CcrdV1:scaffold_3386:23987:32982:1 gene:Ccrd_020835 transcript:KVI00903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WSHLLTNAWRLVKVNRDKKSIYIFSCSFVDIIRYFSALKMEDETRTYTVDDALAAVGFGKFQILVLAYAGMGWISEAMEMMLLSFVGPALQSAWNLSSREESMITSVVFAGMLVGAYSWGVVSDKYGRRNGFLITAMVTSVAGFLSALAPNYTFLIILRCLVGVGLGGGPVLSSWFLEFIPAPSRGTWMVVFSAFWTVGTIFEASVAWFVMPTLGWRWLLAFSSLPSSLLLVFYRVVPESPRYLCLKGRTSEALRILERVAKTNGQPLPPGILVSDHEIELHGKPTEPEGAHLLSQTKFDDEKPEIIDHSKRNVSTMSLLFSPELIKPTLLLWVVFFGNAFSYYGLVLLTTELHNGSNTCVRTGSQATHSEEVSYKDVFITSFAEFPGLLISAFTIDKLGRKGSMSTMFFLCCLFLLPLVFHQPQAVTTGLLFMARICITTTFTIYPTSVRTTGVGVGSSVGRIGGMVCPLVAVGLIHGCHQTAAILLFEFVIFASGVCVLLFPFETSGRELTDSTAPEKAITSEAA >KVI06695 pep supercontig:CcrdV1:scaffold_3387:31866:38777:-1 gene:Ccrd_014950 transcript:KVI06695 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSICCSSYSSFYNHHFPFCYNPNSFSISTLRTIKPIRFAKCTPSDDIHELLKILPHDLRNNLVMESKRDQLLEVILDLGRLPVACFLGDSGRRYLRDTEDGPMDTCAVNFLKLIVIDKQISIEELEYAQNALGEIGGDNRAGIAGTLHRISAVRSRKGVVVGLTCRVGRAVRGHIDMVRDLLQFGESILFIGRPGVGKTTVMREISRVVVDTSNEIGGGGDIPHPAIGSARRMQVSKPSMQHKVMIEAVENHMPQVIIVDEISTWAEVKACKSIAKRGVMLIGSAHGERLGNIIKNPVLSDLAGGVETVTLGDHEARKRDGRKSQLNNISLRPGYRPSGLGLNIRYLWLYAGLNETQFSLSFRMSTP >KVI07984 pep supercontig:CcrdV1:scaffold_3388:3678:12246:-1 gene:Ccrd_013650 transcript:KVI07984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MYGSGQAAGTVNCCIASAMSSLEEPLGMDKLPGLSTIDLFQRFSSNGCSTSRGDTGMGSCWIEQNTSSSSNSYEEDFEYEQEAFTWRHHARDIPENDVICRSQGRNYAAYGNRCDSRHLANLQHNSYCNDVCLQDITNKIFRGIPKYVKIVEVGARDGLQNEKNVVPTSVKVELIQRLLSAGLSVVEATSFVSPKWVPQLADARDVIEAFKSLDTARLPVLVPNLKGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLTRYRAVVQAATKLSIPVRGYVSCVIGCPVDGMIHPSKVAYVAKELHDMGCCEISLGDTVGIGTPAVMAVVPVEKLAVHFHDTYGQSLPNILVSLQMGISTVDSSIAGLGGCPYAKGASGNVATEDVVYMLDGLGIKTNVDLGKLLQTGEFICKHLGRPSGSKAAVAFTSRI >KVI11838 pep supercontig:CcrdV1:scaffold_3389:25463:51655:1 gene:Ccrd_009745 transcript:KVI11838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYWESFLQADDCSWGILEETFSGYYSSSPDGPQSSATSKNIVSERNRRKKLNDRLFALRAVVPNISKTEFLSMERSKKKKLEQAFDSSGSRTYPIQVIQLSVSYVGEKTVLVSLKCSKRRDTIVKLCEVFESLKLKIVTANISSFSESLFNTLFVQTTWNPSFNLTIAGTFSGYYSSSPDGPQSSTTSKNIVSERNRRKKLNDRLFALRAVVPNISKILIIFILICELYETCIIVWICTSNLMIALHFLKMDKASIIKDAIDYIQKLQHQERTIQAELMELESRKLELENLDFYEETEFLLMEGSNNKKLEQTLGSSGSRPYPIQVIQLSVSYVGENTVLVSLKCSKRGDTIVRLCEVFESLKLKIVTANISAFSESLSNTLFVQAEEEDMDILRIQIEGAISVLNDPQSLMSM >KVI11839 pep supercontig:CcrdV1:scaffold_3389:57282:58035:1 gene:Ccrd_009746 transcript:KVI11839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTMNKLRSLVSKWQSLIDAHVDIRRKMREVMVSQAQSCDLKVHGDYSEDVGVKMERPADKLIAEETEVIGV >KVH92081 pep supercontig:CcrdV1:scaffold_339:40751:43737:-1 gene:Ccrd_005889 transcript:KVH92081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Twin-arginine translocation protein TatA/B/E MAISSASSNLTSLYTPPISQRTLPTPSTTLFSSSNSSFVTNTFKPLTLRSIPLNAKKSGFSCNCMFGLGVPELVVIAGVATLVFGPKKLPEVGRSIGKTFKSFQQAAKEFETELKKEPELLEEPQTGGLEIVQQEIEDVKSTKVSL >KVH92067 pep supercontig:CcrdV1:scaffold_339:279004:282129:1 gene:Ccrd_005902 transcript:KVH92067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDPIVPLTTDVGRPTTGQITSLVVVEVTPPKQASIIDTDLRSPLSQFWTSPTVIAEVDRASNEKSIQLAKQSRRLTHFAQKEKVGIGSLRSQAEKVGIVRGRSSDQAETRTSKVCKRGGSSDRAIELYGGIDPPSFDLGISPAGKEVVAIGDELNTSTMHDGARPTLSKRDSNLSFKLRSPYVARAVTFDVTVDERKLQDWIMRGIGGILEPVFVTQYGRTVTRQAMQSLATQSVVCQEVMDGWSIVLNRYERLRSDDSPRRYFFPTDVSMNHTMREQTLDVHHRYKVFKNNISCWTNNDRELISMRNLDMVFFPIVEDSLYYLIVFNLKRPSIIDSKYRDGNIYEIYGHSTVVLQDMMILHLMREGHDAGKVYAEMDQEQISTRWQSHETSVDCGVIMMRNMETYFGADGGKWESGLYKESTKQKRQLRDLRSKYCSKIILSEENIRKMAIITDVERFIAMETSYNAKRTQQDIAVCRLRIKGMACPSCSESVERALSMVEGVKKAVVGLALEEAKINYDPNVINTNRIIEAVEDAGFGADLIGSRNDGTKCI >KVH92080 pep supercontig:CcrdV1:scaffold_339:187963:211728:-1 gene:Ccrd_005897 transcript:KVH92080 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MALKLLANPLHSASSSQSQSKIPTLLRSFRSFHKHSFLLQWRSKVIVSGISSSGLMVRATVKEDMNVVEKGGSDWGKVSAVLFDMDGVLCNSEESSRLAAVDVFAEMGVQVTVEDFVPFMGTGEANFLGGVASVKGVSDFNPEAAKKRFFEIYLDKYAKPNSGIGFPGALELITQCKNSGLKVAVASSADRIKVDANLAAAGLSLTMFDAIVSADAFENLKPAPDIFIAASKILNVPPSECIVIEDALAGVQAAKAAQMRSIAVTTTLSEDALKEAEPSLIRKDIGNISLQDILGGGSSYRNTKIQGSQSVKNPAETSSVLKRNTEGGSFQEAYSTNDSVFSVGGLQGSRRQILRYGSLGIALSCLYFTFSNLKAMQYASPKAIWNLLFKGNSPPFGQSEDATRSSRIQQFINYISDVESKGSTTIVPEFPAKLDWLNTTPLQLRRDLKGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFTVVGVHSAKFDNEKDLEAIRNAVLRYGITHPVVNDGDMYMWRELGINSWPTFAIIGPTGKLLAQLAGEGRRKDLDDLVEAALIFYGRRKSLDTTPIPLSLEKDNDPRLLKSPLKFPGKLAVDVFNKRLFISDSNHNRIVVTDLDGNFLVQVGSSGEEGLRDGNFDTAMFNRPQGLAYNATKNLLYVADTENHALRVIDFVNELLNSPWDVCFEPVNQTVYIAMAGQHQIWEHSTLDGVTKAFSGDGYERNLNGSSSSTTSFAQPSGLSLSQDVKEAYIADSESSSIRALNLTTGGSRLLVGGDPIMTELALKCFFNTRWASYVERMIKKLDPATKKVSTLAGTGKAGFKDGAASSAQLSEPAGIVEADQGRLFIADTNNSLIRYLDLNKENAELVTLELKGVQPPAPKSRSPRRLRRRTSADTETIVVDGVSSNEGNLILSISVPEGYHFSNEARSKFSVEIEPEDAAVVDPLDGNLSPDGTAILHFRRSAPSATLSRVNCKVYYCKEDEVCLYQSLVFEVPFREVSAEIKAPQEIKLPFVVKPKSSEGSLQLPVAQ >KVH92074 pep supercontig:CcrdV1:scaffold_339:59763:65152:1 gene:Ccrd_005891 transcript:KVH92074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METILAPHSFPSLFNIKSSLQQQQNPRSTLANAKPICCNLKKDSGFQSFSAIPTNHPNWFSHVQHGFAALAISLALNFTPILATGSAFASEFDVLNDGPPKETYVVDDAGVLSRVTRSDLKKLLSDLEYRKKISINFVTVRKLTRWYPTVEEGNNKGIVVLVTSQKEGAVTGGPEFIKAVGDTVLDATVSQNLPVLATDEKYNEAVYSTAKRLVAAIDGLPDPGGPEAKDTKRESNFKTKEETAEKRDQFTLVVGGLLVIAFVVPMAQYFAYVSRK >KVH92075 pep supercontig:CcrdV1:scaffold_339:64884:70402:-1 gene:Ccrd_005892 transcript:KVH92075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MNHLLILLFTCCIPYLSNGIEFNYPAVFNFGDSNSDTGDLVAGVGDNLDPPNGQTYFKGPSGRFCDGRLIVDFLIDAMDLPFLNAYLEALGVPNFRKGCNFAAAGSTILPPTPNSVSPFSFGIQVAQFFRFKSRVLQLQSKSRRFNKYLPDEDYFSQGLYMFDIGQNDLAGSFYSKSYDQIVASIPLVLIEFEAGIKKLYDQGARNFWVHNTGPLGCLPQNIAKFGTDPSKLDALGCVAAHNKASNIFNLQLHALVTKLQAQYLDANITHIDIFTIKSNLIANFSKYGPPLNYNSQVACGQTKTINGSSITTTVCNDTTEYVNWDGIHYTEAANQHIASQILTGKFSDPPFADKMPFLLNLKF >KVH92083 pep supercontig:CcrdV1:scaffold_339:11585:19292:-1 gene:Ccrd_005887 transcript:KVH92083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3007 MASNPVGMKRIAMVSTSFVGISALPLSQLKPTAIQVPFKERVLSLRFSTTGNPAYSTKEFHGLISKKMTSIIPRSSNSSSSDGATDEASDKKKACDTHLRSKLLHVPFGYNRKDVLFIGLGVTFFGIGLKSGLEFFGVDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYEMKVMEKRLEGLSEAELEALLEQVEEEKQRVASKRE >KVH92073 pep supercontig:CcrdV1:scaffold_339:49366:56161:-1 gene:Ccrd_005890 transcript:KVH92073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MNDPLPSDPLKTVVFMARLLSLTSQSHPPKPYLNAFNSITNSLSEQTTSRREFAVNTVSICSLSSLITLVQPPTLIAQTSSATKTILSGITSTKSWFRFFGNGFAIRVPPDFEDIMEPEDYNAGLSLYGDKAKPKTFAARFATPDGSEVLSVVVRPSNQLKITFLEAKDVSDLGTLKEAKRIFVPGGTSLYSARAIKIKEEEGYRTYYFYEFGNDDQRVALVAAVNSGKAIIAGATAPQSKWVDDGVKLRSAAFSLTVL >KVH92078 pep supercontig:CcrdV1:scaffold_339:141155:150833:-1 gene:Ccrd_005895 transcript:KVH92078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MAVVFVSPTKMLSSLSRRQYDSYRYSSTTKLHASPRSLIPRFPNLRLNNLYTRCSITNTEVFNDIITEEVCHDSSSDASNCSVPILHLNAEIHDTESANLLVEGTFVDMILTTLPILSEEEQDVIAAMPAHPAGLYALYASCLAGNLVEQLWNFAWPAAIALLHPSLLPVAVMGFCTKVAIIAGGPLVGKLMDLFPRVPAYNFLTTVQLAGSNRPIALAQANATLSRIDLLCEIAGASLFGILLSKYETVTCLKLALSSGVLDRAKCPQTCCRTFSAGPVPHVDNLVDVSINAIKNGWDEYMKQPVLPASLAYVLLCFNVVLAPGLSPSLIGGFSCLCALMGVGATFMSAQMVKKLGILKAGAAGLILQASLLTMAVAVYWSGALSQHTALLFFLGFIILQTGIPPSKANLIGATEVSIASLAESIILGVVIIANDISHFGFLAMLSLLSVVGAAWLFCRWLANPTDVQRSLFSF >KVH92072 pep supercontig:CcrdV1:scaffold_339:254602:255212:-1 gene:Ccrd_005899 transcript:KVH92072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAMEALILFGEMRRHGTQEDASTIAIVLSAMHAHACKFGVTRDLVVASVLVDTYAKCGRPDSACEFFPELNTYDTILLNSMINVYCTCGIIQDAIQVIQSIPSKSLISWNSMISGLSKNGYPTEALACFGEFNTKGFHIDRFSLASVISTCATISSLELGKQLYAKAIVIDLESGKVVSTALVDFYCKCR >KVH92070 pep supercontig:CcrdV1:scaffold_339:255890:261089:-1 gene:Ccrd_005901 transcript:KVH92070 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MVTVCLEAENQQRNQPRLHTLHVQPKTEQSILVIVVFGGINQQRNQIVDAVVIASILGAALVVPILQVIVIWGDERFVTLGPVCRTICFSGFILVEDS >KVH92076 pep supercontig:CcrdV1:scaffold_339:81341:105788:1 gene:Ccrd_005893 transcript:KVH92076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane transporter, Tim44-related/Ribosomal protein L45 MMAFRGLKNTRNLYQALEIRKTSNLFESLRNCSNVTVEVPEIIRRRNTHCFYKGQNYLPCIHGDNMILRTATVAESSIFFNGSRSLSTQVQAPAQARKMGALKVSMISPGIIYEPYGPREPISFLRRQHVEETVPIIRREKKDDVVHKRWMEKNKRRYLSGGCILLFITLYMTHAVDMDMLQQFISIYYNILNFLEPKFVVVLKNAYAIAKLRKSGYSKQKFYAEAINMYKEVVICIYIIMHLILTFLFQINTLMANGDKTSLRKMVTEPMYSALKSEIKQRESRWNKVYWEMIEPVIKIRTLRARMASETLIGVDRDDLSKVFIQLTLEFLSKQVLVRDIWVFEKSQFQPAAYWRLCGRIPVKPAKAKKQNIFEANLLFLLHLQHEEGIKLEATFYSHVKNNFNLIFKEISSGGSRPRTITVLEDKPDPNGPPLHPFGSTKGENGRDVAAKPKAARKTVSINHNVEYIEDYTSNKKRKRKAMEQWPSMEIEGDELKPLKSILKVGSKQNMNRNGVNEKLNV >KVH92068 pep supercontig:CcrdV1:scaffold_339:282159:288948:1 gene:Ccrd_005903 transcript:KVH92068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MTAVKSYLESLAGVNHVEIDMEEYEVAVSYDPDMAGPRVYVGSYHTLRRKSAYMDVLVAIGTNTAYFYSVCTVIKASFSDGFEEQTSDALSNLTDLTPDTACLLTMGDDKNVISETEISTQLIQRNNNLRIFSGSKFPVDGIVIDGHGYVNESMITGEVVPVAKNPGDKVIGGTVNDNGCLLIKATHIGSETALSQIVQIFEAAQLARAPVQKLADRISRFFVPVYLERVTTKIAVALTELGNYNHVHQVNLKNQ >KVH92069 pep supercontig:CcrdV1:scaffold_339:255265:255873:-1 gene:Ccrd_005900 transcript:KVH92069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSGLKGNALPGNSMIEGYVKSRNKEESLHFFYAMPHKNSFTWNMIISGFVKASKWNVARKFFNEMPTKNGVAWNSMIRGYAENGHSVEALRLFKDLKSGCYGPCHVDMYVLATVFGACTDLLAFQLGKTIHACIVVDRIKFDPVLGSSIVNTYGKCGDLVSTSLVLRSQPYPDDFSLSSLISAYSNNGRVADAKRISHQE >KVH92077 pep supercontig:CcrdV1:scaffold_339:111331:126657:-1 gene:Ccrd_005894 transcript:KVH92077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 QAQRIKQTLLSFPSLAPTARKTETRRRRRRIQKMERKKGMKNIFKKLHIGSNHDPNRSSNDSSSSSSSSSSSSSSFATADQRTPSTFTGQTTAQSPSTAATPPSSSPTVNVTTRPQQDYYSSEEEYQVQLALALSVSNSESRGDSDSDQIRAAKLLSLGNHSSNNHVPDRGDAAADKLSRQYWDYGLLDYEEKVVDGFYDVYGLSTEMVAQGKMPSLSDLETDTGSSGFEVIVVNRAIDPALEELLQVAHCIALDCPPAEVNLLVQRLAELVTEHMGGPVRDANIILARWIERSTELRTSLHTSVYPVGSLRVGLLRHRALLFKVLAESVGITCRLVKGSHYTGVEDDAVNIIKLDNGSEFLVDLMASPGTLIPADIFSAKDSSLKSYMPKSSKLPGVQSTNDFGLPLRPVSSNIGQNIEVQDGVGSGSMSGSERKEPSNSVASPSDTGIISSPAGKRASPSSQLDHIPSSAIGSSLYKGGRGPNAAGGGLRMNVNIVPYTQNSAEDNKNLFTELNPFQIKGSGKASMQTSNMENKNEELRRAKSNQVSGRPPVPLMWKNRPAINEVPRKKEHDYIEGISLKNHRETNDSTMPASTSSAAPGRIHSDGLKLRVNFNVTPKENNESTSNAAESEAAWAEWKNYRLSLEVVGNNSNAFPRNNRLDQGKMHENNAVGGKDVRKTSNDRVNLNNPKLMGPESSSSSVDSFPPQIDPVIDDVGDCEIPWEDLVIGERIGLGSYGEVYNADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMQRLRHPNVVLFMGAVTRPPNLSIITEFLPRSHKQLLLFRGSLYRIIHRPQCQIDEKRRIKMALDVAKGMNCLHTSIPTIVHRDLKSPNLLVDEDWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNENSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNRRLDIPKDVDPLVGRIIWECWQTDPNLRPSFAQLTVALKPLQRLAAVSSQIDEQQEI >KVH92071 pep supercontig:CcrdV1:scaffold_339:231644:254571:-1 gene:Ccrd_005898 transcript:KVH92071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSDEASWNYKLMGYATNGYGIEALHLFSDMRKVVDNETALAAVWEEQNTAALVAVGRGKKQPQLLSGRNKKQHSCCFGRETTSCLLGLTYRRSRSSWSTSDACRRLPPAATPAFYRTDGTGMDHFSAGLTHRFNLQPIFDCFSACSVRQQNSRYRLHRLHLLPPASIASSPLQSIVALISLLLATVAQLIAGSSTNSQSSQRTKTTLLSKDSQKLSDIETRQSESLTSDHPTSSSISSQKGISSRNAAAQCRIGTNKEKERVVSSSDSRRLSPCPVVKASQGMKIGKSLNGILPDR >KVH92079 pep supercontig:CcrdV1:scaffold_339:159439:167094:1 gene:Ccrd_005896 transcript:KVH92079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAATTTTTVEPPYHHLPTNNLTQKSLLNLLTTKCTTSLHHLKQTHALILKTNHFQHHFISGSLIKSYANPSFNTFDSSLQLFHQVPNPNVFVWNSVIKGCFDNSKPCLALLFYSKMVGLDSKPNKFTYPMLFKACMVVKSVEEGAQIHCHVVKNGFMEDGYVKSAGIQMYSSFGRLIEARMILDFNGESDVICFNAMIDGYLKHGEIESAKALFEVTMKKNVGSWNAMVSGLAKCGMVEAAREVFDAMPERDDISWSAMIDGYNKNGCFKEALEVFRAMQREKLRPKRFVLSSVASACANVGSLDQGKWIHAYIRRNSIELDGVLGTALLDMYAKLGRLDLAWDVFETMKSKEVSSWNAMIGGLAIHGRAKDAIELFSQMENEKLKPDEITFVGLLNACAHGGLVDEGLEYFNRMEAVHGVEPTVQHYGCVVDLLGRIGWLAEAEELISRMPMTPSPAVWGALLGACRVHGNIEMGERIGKMLIELEPQNGGRYALLSNIYAKAGRWEDVEKLRALMKEKGVKTSTGRSTIDLGGVVHEFKVGEGAHPRTREIYEMVEEMIAKLEKDGYVPKTSEVLFDIDEDEKETTLWRHSEKLAIAFGLISTSTGTPIRITKNLRMCEDCHSASACLIRAAGIEGTAEVLEKQLASELSKMTLEEALKFARVFSHFLSLMGIAETHHRFIIHSLLSYPLNQFTD >KVH92082 pep supercontig:CcrdV1:scaffold_339:28879:37409:1 gene:Ccrd_005888 transcript:KVH92082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper, C-terminal MDRMFSVDDIAGHFWSPSPAAAGAADEDESSSSSSASKNKSTPAMMNRSMSEWAFQRFLQEASAPPESSSSRPNDVVEIKDQDEVQDKNQPIATTTATLKNNESGRTTGSASGGGAAAAATTSFGASVVGGPPNVPIDSEEYQDYLKNRLNLACAAVALTRASSAKPQDFAALLDNGSQASNTSQLGYQAPAKVSGFDLKGPGKDGGGPIGIPSLQGIQKKPAIPVKSATSGSSRELSDDDELDGDTETTQNMDPTDAKRVRRRKQAHLTELETQVSQLRVENSSLLKRLTDISQKYNEAAVDNRVLKADVETMRAKVKMAEESVKRITGFNPMVQAMSDLSTMGPMPSYSCSPSDASADAAVSQDDMKQQHFYQGQPPPPPTTTNHHIPATRPPPQNMVNLPPVENVQQHNPVMSEVGNQKMNRTASMQRVASLEHLQKRFRGDVGSSCGTTTQGSTGDR >KVH87445 pep supercontig:CcrdV1:scaffold_3391:21019:22815:1 gene:Ccrd_025316 transcript:KVH87445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HQTWPSCCSSSSHSPNPNPNRAAFHKGLSGFTGSNRFTAKLLKGSTVAFIAQQTSAGFTCQGNSFIKLIVLCRDWCIIPFLTDLLVSGFFKLDSSYEWVVTKKLGRSSESDLLAFVETAEATYPQTLFGVESFRSEKETEKPLPEKLVRCPRYSLLFPIVSGVTFLVVGLDLIGEQVKPRFVVNKALEQVAYADSIIVNKIDLVSKADLEDLTIFLLRVSISISISSSVVWFPFELFGLSIGGWKSRSR >KVH87444 pep supercontig:CcrdV1:scaffold_3394:18604:35147:-1 gene:Ccrd_025317 transcript:KVH87444 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4094 MKNNRSGGGKVSPKWIVIFSIFSFVFGMLFTSRVWAPVESDGRIMRRKEQDMSFVADDCITNKDNDLMREVHKTQEAIQSIRSLGNSISELRLELPGNQSSSEEVDHDEMQANNTAADNSRRRKKVFMVIGINTAFSSRRRRDSIRETWMPRGEKLIQLEKEKGIVVRFMIGHSATSKSILDRAIDSEEAQHKDFFRLEHVEGYHELTAKTRIFFSTAFAIWDAKHVSYNSWTTSIKTADLYRMNVKYHEPEYWKFGEEGNKYFRHATGQIYAISNDLAAYISTNQPILHKYANEDVSLGAWFIGLDVEHIDDRNMCCGTPPDCEWKAEAGNVCIASFDWSCSGICRSVERLKDVHKRCGEDSAALWSAQY >KVH87443 pep supercontig:CcrdV1:scaffold_3396:1421:3304:1 gene:Ccrd_025318 transcript:KVH87443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAYNTVPRVVLKVAISADDGDSWKDVATLEEIEGMEFSYLAVIEASDG >KVH87442 pep supercontig:CcrdV1:scaffold_3397:49450:60587:1 gene:Ccrd_025319 transcript:KVH87442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKGRKLEEHRDLGYVSHRRDVIAGGGREIKQLLKEAAQRWRPYSFINEGTLGCGIGHSISPLTISIMAKIGFAELIDLCPIHATDVKLPAGGSFVIANSLTLWCATL >KVH87440 pep supercontig:CcrdV1:scaffold_3398:43057:46095:1 gene:Ccrd_025320 transcript:KVH87440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MGACSPPISHLLPNPNKTLITNTTRKPFFIILSSSSKHQLTIQRRQLLLLSLPISITTIQLTCSSSSAVAAPIFDPISEPERAASLEVSRRVAEAVELLEKGREFQAQGDYVQALQYFTQYARVGRALALYEVGDKNEAISEMEDVSISLKGSPEVHAALAAALYSDKHASVLAENQFTIATLLDPKYTDLGYVKDTKHWPPSLVRSLQDFITLS >KVH87441 pep supercontig:CcrdV1:scaffold_3398:59084:59489:-1 gene:Ccrd_025321 transcript:KVH87441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MPAALCGVVGLKPSFGRIPHSGSIGYSLELDSWNGWDTSRYG >KVH90391 pep supercontig:CcrdV1:scaffold_34:721084:722072:-1 gene:Ccrd_007602 transcript:KVH90391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase MAAERVIMTLKPQIRWNHLHTLRNHHHRSQIARRFPQFSFRPLIPAHFHLPVRHPHLRPHPLLLPSRPLNMSSLASPVSPPDSSAPIETVRVMIKGRVQGVFYRNWTVENAQELGLKGWVRNRRDGSVEALFSGSADKVGEMQERCRRGPPSAVVTGLDVNPSTEDPGTGFERRSTV >KVH90399 pep supercontig:CcrdV1:scaffold_34:336586:336891:-1 gene:Ccrd_007570 transcript:KVH90399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAFKKSSNKLSQAAILKHIMKRCSSLGKKQQQHNDDVPKGHFVVYVGESRSRYVIPISFLSRPEFQNLLQRAEEEFGFDHDMGLTIPCEEQVFESLTSLIA >KVH90420 pep supercontig:CcrdV1:scaffold_34:175686:185649:-1 gene:Ccrd_007564 transcript:KVH90420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MRGLQKSKRVSWASDLNLCQTLWLTYWYTAVMERHVKLFLSDESPSQVGLGGQDHLQAKASWPWHSAGAGSDDNLPPGFEGIQPANLLQNKLSQVPLIQWKCPSRVIAAGEESKELEVESQREMRVLEAVYPRPSAIPPKLVYSPSSESYFFYFLFFICHIFVVLKCECLSSFCSPASAMGADEPYYNDQHTPLIPITPIEDEDMAPDSSSIAANTSLQAPATMATQSGNGGMVSGVEPDVVSAAYSALNAAMSNGSQGSLIDPNLLIKILSNPTLIEKLVSSTQGPSASGPQISQALSMPTLPAISVAEPPPPPPPVHGRTTHLPFSTASSSSSNAHYPPASRVGPVPVPVPASNLAPPEVNPAKKDINYYKSLIQQHGGEKAESVNSNNVKTRGDTKGKIMKPCIYYNSTRGCRHGANCVFQHDSSSSQQQQRVSLPDSKRMKMDSREISGT >KVH90442 pep supercontig:CcrdV1:scaffold_34:558584:566046:1 gene:Ccrd_007586 transcript:KVH90442 gene_biotype:protein_coding transcript_biotype:protein_coding description:[2Fe-2S]-binding MTTRFVKDDDFKWEGSYSHTPRFPWMDLHHLQPPFIGRTTMEDTQRTSTTPTETVKQNQRLVFAVNGERFELSTVDPSTTLLQFLRSQTRFKSVKLGCGEGGCGACNVLLSKFDSTLKQVEDYTVSSCLTLLCSVNGCSITTTEGLGSSKDGFHSIHQRFAGFHASQCGFCTPGMCVSLFSALVNAEKTHRPEAPLGVSKLTASEAEKAISGNLCRCTGYRPIADVCKSFSADVDMEDLGINSFWKKGKSSDAKLQKLPFYDSKQICTYPEFLKNEDNPPPLLKYQKRSWYSPLAMEDLRSLLESISAESDVMVKLVAGNTGIGYYKEVEHYDKFIDLRFIPELSTIKRVESHLEVGATVTISKLILALKEETNDDSCSEGVMVFKKIASHLEKIASESIRNLASLGGNLVMAQKGFPSDIATVLLAVKSKVAVMTGIEKEFLTLEEFLARPALDSRSVLLSVHVPFLKSTKNGYLDKSNTKLVFETHRASPRPLGNALAYLNASFLADVSTCDNGVVINSIQLALGSFGIKHAVRASTVENYLVGKMLTFGLLYEALKLMRAAVSPEDGTSHPTYRSSLAVSFLFEFLLPLVDAGASNISGCINESTYNSPRVTFDHGERTQLLSSAKQVVESSHEHYPVGEPIIKSGAAIQASGEAVFVDDIPSPLNCLHGAFIYSTKPLAWVKGVKSKSEKDLHAVVSFRDIPKGGVADTQKNADVAADTAIVDYDIKDLEPPILTVEQAVEKSSFFEVPSFLYPSHIGDFSKGMAEADHHIHSAEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQVPEFAQSVIAQCLNIPENNVRVITRRVGGGFGGKAIKAMPVAAACALAAHKLRRPVRTYLNRKTDMILAGGRHPMKINYTVGFKSNGKITALHLDILLNAGMAPDISPIMPWNMVGALKKYDWGAFSFDIKVCKTNHSSKSAMRGPGEVQATYIAEAVIEHVAAVLLIDVGSVRETNFHTFDSLRLFYGNDSAGEPLEYTLPTIWTKLMRSSNFADRVEKVKKFNQSNKWRKKGISQVPILHEVSLRATPGRVSILRDGSIVVEVGGVELGQGLWTKVKQMAAYCLSEVRCDGDDALLEKIRVIQADTLSMVQGGFTAGSTTSEASCEAIRLCCKVLVERLTPLKEKLQDQAGSVNWDFLILQANFQSVNLSASSYFVPEFTSMRYINYEYLTNSDGLVIVDGTWTYKIPTIDTIPNQLNVEILNSGHHKKPSGEPPLLLAVSVHCATRSAIKEARNQLRSWKGLDDGPESLIQLDVPATMPVVKTLCGLDNVEFYLQSLLPGS >KVH90408 pep supercontig:CcrdV1:scaffold_34:674431:680580:-1 gene:Ccrd_007599 transcript:KVH90408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DP MVRMGSNDNLENVVGNTNPFSRGGGATRSWGTTASGQSVSTSGSVGSPSTRSEAAMAATPASENTFLRLNHLDIHADDAGSQGAAGNKKKRRGQRTTAGDKGVCEKVESKGRTTYNEVADELVAEFADPSNTDQTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPRTSLNDIEELKVPLFFSF >KVH90401 pep supercontig:CcrdV1:scaffold_34:371895:379265:1 gene:Ccrd_007572 transcript:KVH90401 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MHIQPMSASNQWRRTSLISSNSAFGANTGIIMCCWILVAVLTVCERIGDTFISWVPMYSEAKLAFYVYLWYPKTKGTAYVYDSFFRPYISKHETDIDRNLAELRIMAGDMAVLYWQRAANYVQTRTFDILQYIASQSTPKPRPSQLQHIIGLIFPIWKENLLHLGLLSSRWGTRSWERS >KVH90440 pep supercontig:CcrdV1:scaffold_34:520502:527082:-1 gene:Ccrd_007584 transcript:KVH90440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAVPGRRNGMMDGDDDEEGALPESDFEDTPPHLRDLAAAAMHGDIDALRQALDNLDGSIDEPVEDGDTALHLTCLYGHVSCVQLLLERGASVEAQDEDGGIPLHDACAGGYLEIVQLLIGKDNSLECLKRMLESVDVEGDTPLHHAARGEHKEIVVLLLSIGASPTMTNVYGKTPGELVEANTEVWTILEAATRASAMASQA >KVH90435 pep supercontig:CcrdV1:scaffold_34:581464:582597:-1 gene:Ccrd_007588 transcript:KVH90435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRDVGNLRRGPWLDEEDERLTTIVKALGDKNWDALANESGTRNVAEQDLSTPKCHRSPEYIIGENERDGVFGTSSTEAPEISSNMSAFGCSSPYERQITDWMSSCCWLLHDDNQLQTYEIKQHEDYMGMYPCFCQPESKPEEDMMHNVCDLWSPIWEMG >KVH90411 pep supercontig:CcrdV1:scaffold_34:599673:599977:-1 gene:Ccrd_007592 transcript:KVH90411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKWMSMSDTGLVITSFYRRPVVFISMVGSSTCFRLWSGPHESESIGPIVVAHVTQYRQLTHNGGGIGLIEHLYGKT >KVH90425 pep supercontig:CcrdV1:scaffold_34:142426:148431:-1 gene:Ccrd_007561 transcript:KVH90425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQLNNVEATECKDEDSCYMPSLIIADHYRLVGAGKLCDSSSKCSDIVALCGRLLSSYNGPFELQRRALSSMSRSDQEQIRKFDGERQGVESVCQILEGGPWGTSLENALSTCNDSAQTDVVIAVLRKLKDVNLAVNYFRWSERKTNQAHCPEAYNSLLMVMSRSKKFHQIEQVLEEMNLAGFGPSNSTCVELVVSCVKSHKLREAYDLIQLMRRFKFRPAFSAYTTLIGALSTVHEPDLILTLFHQMQELGYEVNVHLFTTVIRVFAREGRIDAALSMLDEMRSNSVDGDIVLYNVCINCFGKAGKVDMAWKFFHEMKVHGIMPDDVTYTSMIGSHKLDIAVKIQDSMKEAGLYPNILTVNIMIDRLCKSQQLDEAHAIFENIDHKVCPPTSYTYCSLIEGLGRHNRVDDAYRLYERMVDSGVIPDAVVYTSLIRSFFKLGRKEDGHKIYKEMVRGGISPDLTLLNAYMDCVFKAGETDKGRALFEEIKARGFIPDTRSYSILIHGLIKAGFARETHALFHAMKEQGCLLDTLAYNTVIDGFCKSGQVNRAYQLFEEMKVKGHPPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVELNVVVYSSLVDGFGKVGRIDEAYLIMEELMQKGLTPNIYTWNCLLDALVKAEEINEALVCFNSMKDLKCIPNAITYSIIINGLCRVRKFNKAFVFWQEMQKQGLQPNVITYTTMICGLARAGNVLEAHRLFERFKKTGATPDSACYNTMIEGLSISNRAMEAYSLFEDTRLKGCNIYPNTCIVLMDSLHKAECLEQAAIVGAVLKETAKAQHASRSM >KVH90396 pep supercontig:CcrdV1:scaffold_34:733759:734865:1 gene:Ccrd_007605 transcript:KVH90396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain transcription factor MNNGGRVEDNEFDEKKVRVASDEFGRAISKVAVAQICERIGFQSFNDTALEALAGVAIRYVRDLGKIAKFYANVANRTECNVFDVIQGLEDLGSSTGFPGGSEICTSLVGSGVMKEIMEYVEVIEEVPFAQPVPSFPIVRDRKRTPSFMQMGETPGFKHVPEWLPAFPDPHTYIHSPVWNERTSDPRSDKVELARQRRKAENSLLSLQKRLLSSGSAVPSTSEVATGSKKSRFLAESENPSLASGLHREEGDGSSFVLPTKDVNEPHMENHVSILEMFAPVIEALNGSVSESGENGERELPDKRPAVRLNFNNGRKMIGDSLDLRLWNRGTGRISSWFGRDDMMDDKKRRAEYILRQSMENPLDLPQL >KVH90419 pep supercontig:CcrdV1:scaffold_34:266983:267399:1 gene:Ccrd_007567 transcript:KVH90419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MSGCSKIRHIVQLRQMLRRWRKKAAMASRKCIPSDVPAGHVAVCVGSNCRRFVVRATYLNHPVFKKLLVQAEEEFGFSNSGPLAIPCDEYLFEEIIRFLSRSDSAASRFVNLEEFQRHCHVGIRSNLDFWPESRPLLR >KVH90414 pep supercontig:CcrdV1:scaffold_34:657210:659999:-1 gene:Ccrd_007597 transcript:KVH90414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-heme cytochrome, transmembrane MAMMLSASFHRPTSTCLSPFIPLPVPVPVPVITPSSASLSRTTSRSSRNRGFLTAFALKTPIQMEMEEEEEMVKESETVVYSFSPLPLLLLAALPGAGTVRSLFGPFVELVKSFDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRFSDDVEEKAMAKDLHPKLLAGMFFFFALGATGGVTSLLTSDRPIFESPHAVTGLIGLTLLAIQTALPTLFEGNPGLRNVHGILGSGIMTLFVVHAALGLQLGLSY >KVH90423 pep supercontig:CcrdV1:scaffold_34:163657:169077:1 gene:Ccrd_007563 transcript:KVH90423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSTTSCFKIISCGGSDESVDKDDIDASSQNKGPDKRGWSFRKRSARHRVLSNTVVTEIPSSENKESSVTEIPSSENKEISVTEIPSSENKGSSVTEIPSSETKESSVTEIPSSENKETSEPVTVSSEALVNSTISENQWTEEMPRLSNSITKDSTVSTMTKEAACEDDIKREFVPDESATTEAAYEDDIKCESGPDESAILVIQAAVRRFLAQRQLIKHKNVVKLQAAVRGHLVRNHAVGTLRCVHAIVKMQTLVRARYASLSAERSAVGEKGLQNPGISSHPSYISIEKLLNNKLARQLLESTPRSKQINIKCDPLNSDSAWNWLERWMSVSSLETVKSHAPEQDQDKVKNTENQVETVTLHCESTDMTSNVGEASVPSAGQENLIDIEQDSNARNHEEEKPQPANTCTPDSMEKPDSSPDETMHLNAGAQIEVSSISEKPVSETEPKPPAQRFATDQADSEGRKSVFGSRKASNPAFIAAQSRFEELTSKSNPLKSVDSFNQDNEAGLSADIDSAAPENATVMTDVEREEHLVVHSSRVVLNSGSECGTELSITSTLDSPDQSEAGNKKSEEEAKVLDETVGDMNGNDNIDVEEHAFPSSNKTSDDLLMPEKHDSGDDSETEKLKPGNNIEQKLLDNSPSDADIELEPESGHQVYKSNAPMELEQETVHQAYKSSGEASPRSHITVPESQGTPSSQLSTNTKKTRSDKKVSSQKRKSWSTSKKSSVTSSPDSGLRNSLEQLPKDSKPGKRRNSFGSPRPDHIYQEASGNSSVPSYMQATESARAKAIANSSPRSSPDVQDKEIYLKKRHSLPGAVNERKWQR >KVH90393 pep supercontig:CcrdV1:scaffold_34:687722:692415:1 gene:Ccrd_007600 transcript:KVH90393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLFHREPEVNANYDFTGSSSDVWQHIRVSMVMPPLTVAICICAVMSLMMFIERVYMTVVVLLVKFMGKKKYTQYKLEALKEDLEQNRSYPMVLVQVPMYNEKEVYKLSIGAVCSLTWPSDRLIVQVLDDSTNEILRVTLNHTSIVQELDMKWLFINKLISCMQALVELECKKWIQRGVNVKYETRNNRNGYKAGALREGLDKDYVFDCEFVVIFDADFQPEEDFLWRTVPYLLENPELALVQARWKFGTAGIWRIKAIEDAGGWKDRTTVEDMDLAVRASLKGWKFVFVGDLERVSIWKKLHVIYAFFFVRKIIAHWVTFFFYCVIIPICIMVPEVHLPKPIAIYVPATITILNSSCTLRSLHLLVFWILFENVMSFHRSKATIIGLLGANRVNEWVVTEKLGNATKQKSNVKPIKKARSRFGERLHFSELIMGLFLLYVAIYDMLFGKDHMFVYLLLQSGAFFVVGVGYVGISVSN >KVH90439 pep supercontig:CcrdV1:scaffold_34:515239:520262:1 gene:Ccrd_007583 transcript:KVH90439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSTSSGPYDLSFKILLIGDSGVGKSSLLVSFISNSVNDLPPTIGVDFKLKQLRVGGKRLKLTIWDTEELNHAREGLGAELYKQCIKTRMKGHSSVFLMMSCCSRTGEIQDIDKLVLQRYPRDYS >KVH90432 pep supercontig:CcrdV1:scaffold_34:29612:33865:1 gene:Ccrd_007555 transcript:KVH90432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGYDIIKREDVFAIHSCIANMASILKGGGVLLALALITIIILGSFSHTIPLVDAQTPATDCNSAVQSEIPGCGDGGGGGGSGGSSRTEGSGPTPAGASSCRGDMCYVKKQGGLGTRSLPEWNKALLAKHVRTWWMRKSPFGLSGFTPIDSWEETFSDVQEGFNSSWSWRKFVRIRSISRDHIIHEIGDGDNISIRHGAGLFQLCVVTYGGAPKRFGATSLLSDNQYGVESSTTTSGCRRVIEIQPRIWHQAMDQGETRRHDVEDNDMTMIDGRLSTRG >KVH90398 pep supercontig:CcrdV1:scaffold_34:358798:361679:1 gene:Ccrd_007571 transcript:KVH90398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MGSNTQAGGETLVKSSRFKRICVFCGSSPGKNPNYRHAAIQLGNQLVERNIDLVYGGGSIGLMGLVSQAVYDGGRHVMGVIPFSLMPKEITGETIGEVRPVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHEKPVGLLNVDGYYNSLLSFIDTAVDEGFITPVARHIIVSAPDAHELISKLEVTLNLLSLVNLIIYTLLAKQEYVPDNNGMASKLSWEMEQQLGYSAKSEIAR >KVH90429 pep supercontig:CcrdV1:scaffold_34:73549:74822:-1 gene:Ccrd_007558 transcript:KVH90429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMNDATTNENVLKRVPVKKPPFEISDLKKAIPQHCFKRDLSRSLYYLFGDIAICFTFYQLASNYIPLLPKPLAYIAWPIYWFCQGSSFMGIWSIGHDLGHHSFSDYQWLDDAIGFVVHSSFLTPYFSFKYSHRSHHAHTNSMEYDEVWIPKRKADTFYSEILNNPLGNLFMTFVRLLLSFPMYFTFNIHGRPYDGFVSHFYPQSPLFNDSERKLVWLSNAGMLVAIYALYQIAATTGATWLFCIYGAPLLVMNAHFIFFTFLHHSHPSLAHFDSREWDWLRGAMSTVDRDYGILNHVFHDVTCAHVLHHLISTIPHYHTVEATKAVKPILGDYYKYDDTPILKAFWRETKNCIYVEPDEGEEKSGVYWFRK >KVH90434 pep supercontig:CcrdV1:scaffold_34:14069:17761:1 gene:Ccrd_007553 transcript:KVH90434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MKCSCERSSWMVSLDGQFGAWHEGTIDFAPTYKYLPDSDEYFVKNQEMKRAPAWCDRIIWSGKELKQILYTRSISGKNGLRASLTEMFLRNT >KVH90394 pep supercontig:CcrdV1:scaffold_34:755139:755342:1 gene:Ccrd_007607 transcript:KVH90394 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL-like protein MEVKESWRSRSWNDGGSGSGGGGGDDGGGDGRRLGDKCRHIGKKQRAKFYIVRRCIAMLVCWHDQDK >KVH90404 pep supercontig:CcrdV1:scaffold_34:435685:437700:1 gene:Ccrd_007577 transcript:KVH90404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSISGGGGWRIPKEDEVEVLEILLTLPKLIAKSELLSRYTFTWGRKKKRSVLVLKSESTPSTHQQIDDNRPEKLTAADADKSPSTPLCFLPSGSGSDGADKPKEPSSLKKKFKRKATDDLLEKFTGMQQQREILIQKIRAMETLRQKLGAQNLELKAKRQEINYSKNIEDFRLWNNSMNMNLDQQHYHQQITMFAPPPQPQQQQHCQQLMVDPNNGKLVAMPCSSSSNNGGRFGLFNQIDSRVKIHGETFDFMASSQPLDQSKYLVMDNDLRIRTAAAARKRRIMRMKENKNSLMAMKLSRACR >KVH90430 pep supercontig:CcrdV1:scaffold_34:54177:68470:-1 gene:Ccrd_007557 transcript:KVH90430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MIPKLPYVASIYKAPLPKKTFQKNAPPKTTIQDDDSFKMEFLHVSVVHRFVLILLRFTGSVFYRFPSPPHRSVLRRSGSGCCITSLSLLALHHHIVQLESISVHNKAAAKLNPSLSGIYLVFEIIYVPTILCFARIHAYKLIDFFFCRSFLEHRKMGAGGRMNDATTDKNVLKRVPVKKPPFEISDLKKAIPQHCFKRDLSRSLYYLFGDIAICFTFYQLASNYIPLLPKPLAYVAWPIYWFCQGSSFMGIWSIGHDLGHHSFSDYQWLDDAIGFVVHSSFLTPYFSFKYSHRSHHAHTNSMEYDEVWIPKRKADTXYSEILNNPLGNLFMTFVRLLLSFPMYFTFNIHGRPYDGFVSHFYPQSPLFNDSERKLVWLSNAGMLVAIYALYQIAATTGATWLFCIYGAPLLVMNAHFIFFTFLHHSHPSLAHFDSREWDWLRGAMSTVDRDYGILNHVFHDVTCAHVLHHLISTIPHYHTVEATKAVKPILGDYYKYDDTPILKAFWRETKDCIYVEPDEGAEQSGVY >KVH90406 pep supercontig:CcrdV1:scaffold_34:461896:463081:1 gene:Ccrd_007579 transcript:KVH90406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSASVNSISSIPSPVYQCKKALRLNMAVNCSLTRLNISWIEVEFPTNVDAIFNPSASLSRTIHSSAFSTNWCTESVALYGSTTVSDTLGEGKTENVSIILSGYSSLIFEIKSVPMPEPVPPPREWQT >KVH90410 pep supercontig:CcrdV1:scaffold_34:618341:620484:-1 gene:Ccrd_007593 transcript:KVH90410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MAKTISYITGSELLSLKERANIAIVDVRDDERSYDGHMAGSLHFASDTFQDRIPNLVQAAKGKDTLVRGPKCARRFADYLAEAKESAGIKNIMVLERGYNGWEASGKPVCRCSGTTCKGGC >KVH90416 pep supercontig:CcrdV1:scaffold_34:631343:632515:1 gene:Ccrd_007595 transcript:KVH90416 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAIVNYEKIVQLMPLTHVLATKLGAKLTEVRKNKTCGWLRPDGKTQVTVEYHNDNGAMVPTRVHTVLISTQHDESVTNDEIAADLKEHVIKPVIPAQYLDENTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASQLARRCIVQVSYAIGVAEPLSVFVDTYKTGTIPDKDILVLIKENFDFRPGMMAINLDLKRGGNFRYQKTAAYGHFGREDPDFTWETPKILKPKA >KVH90415 pep supercontig:CcrdV1:scaffold_34:648412:650992:1 gene:Ccrd_007596 transcript:KVH90415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MGFLWLFSVVFILTGPSVSNGGYWPPSPGFYPSSKFRSMTFNQGFRNLWGPNHQTINNNALAIWLDRTSEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTKNFHHYAILWTPSHIMYLRKSAATFPLRPMWLYGSIWDASSWATEDGKYKADYRYQPFVGRFTNFKASGCSAYSAPRCHPVTASPGRSGRLSRRQTTVMKWVQSRYMVYNYCWDNKRDHSLTPECWK >KVH90409 pep supercontig:CcrdV1:scaffold_34:662315:670467:-1 gene:Ccrd_007598 transcript:KVH90409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MISPMAMHLTYSHSFPSYKLFFVAAVAPEPRLCQFRVLHGRRLLAAVCASSLDETSVRVASRKQVRTNADLCNDLREFMSEAGLPDGHVPSLKELLQHGRQDLANVVRRRGYKLIRELLAASREINVADSNVEGSLTDKQDKSSIKEDELTGLDENGKELAEDVFLSSEDTIIEETSNSKSFDDDLEPDVESCFLVDDYDLDVVNAMENSGSQQSTEPELSSASEGQVLCVSDSPSLANGSILSSELVLSTSQLRNISSNDQLASAESANTDKDVDAEIRTKEDKTEINRIKVMLHHKESELSQLKEQIEKNKQALLELQAKAETEISKAQKLLLDKDAELHAAEESLSGLKQVQVEYWGDGETVEVAGSFNGWHHGVKLDLQPSSNITDPVELRTSRLWRSMLWLYPGIYEIKFIVDGKWMIDPMKETVTRGTIHNNVLRVDR >KVH90403 pep supercontig:CcrdV1:scaffold_34:405983:410471:1 gene:Ccrd_007574 transcript:KVH90403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex, sigma subunit MIRFILLQNRQGKTRLAKYYIPLEESEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLEGIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGEFQETSKKAIIERMGELEKQE >KVH90438 pep supercontig:CcrdV1:scaffold_34:482623:493764:-1 gene:Ccrd_007581 transcript:KVH90438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brain/reproductive organ-expressed protein MAVDSMPPIVYTQLNYLLTHSPHSIKVEQIWSGSKNPGLLDRFTLAIPFCLDYIKWDVIYNAQFPLLAPDIVFGPEDENFRPYPAGGEGDLKPKNSLSDWNCKDPTRLLSLILELRSLYMAYQKKRVGEVDDERLKFEINTIYSREGIEMYMSSGVDKVIYPVSRKYLAMASAPRLKLVSSPELKAFFSIDEFRLPSWLEGMCMAEYLPTLEEMLESQIRDSISSIEVRRKFITALAIPFGRPVESDPVFCRKATFLACSGVFTFLHFHSHPGNAPIKSAILQDYPWSPRWDVVEMADRIFDYLVEECLNFKKHCNEVLVQQR >KVH90426 pep supercontig:CcrdV1:scaffold_34:297048:297287:1 gene:Ccrd_007568 transcript:KVH90426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKIDWKALADASKTMGYDELPDEVPDPSVLDSQFLQKFHHALLELHLEEGTLVCPETGRRFPVNKGIPNMLLHENEV >KVH90395 pep supercontig:CcrdV1:scaffold_34:736971:742957:-1 gene:Ccrd_007606 transcript:KVH90395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MTTSMSFVVGVIAVLMLSHAAYSTIQYRALLKITEDEFSGPPFEVLVELTLVLILSLFAGLTVPGNFRSILPDSDENRVVSLPSNMNFMIFNHRGKAFPTETDLKLN >KVH90436 pep supercontig:CcrdV1:scaffold_34:583683:586497:-1 gene:Ccrd_007589 transcript:KVH90436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGGAALQKDAPWRAPSTGVKPLPKIHHSPLLCLPQNPYTDYAVSLMKHPDPIGHGFGTEAIVEAAGPECIVPGQVTPVKLLGLKVWPIEVDLKFLEPVGKELKNIGKFMDSAVELMNKSFMDQR >KVH90397 pep supercontig:CcrdV1:scaffold_34:729885:731628:-1 gene:Ccrd_007604 transcript:KVH90397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYFGNAYRGDPGIPHCGKENFLSIWIGSLAFSAITWSHPYIWQVSNRFNWHDHAMLYEQYHLKKALKKRQKYEYSWNKNWSKAARDSYYYNWPVYFP >KVH90428 pep supercontig:CcrdV1:scaffold_34:76874:102150:1 gene:Ccrd_007559 transcript:KVH90428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGAGGRMNDATTDINVLKRVPTEKTPFEISDLKKAIPPHCFKRSLTTSFYYLFRDICICYTFYHLGSNYIPLLPKPLAYIAWPLYWFCQGSSFMGIWSIGHDLGHHSFSEYQWLDDALGFVVHSSFLTPYFSFKYSHRSHHAHTNSMEYDEVWIPKRKADTLYSEVLNNPLGNLFMTFVRLLLSFPMYFTFNIHGRPYNGFVSHFYPQSPMFNDSERKLVWLSDVGMLVAFYALYKVAANTSATWLFCIYGAPLLVMNAHFIFFTFLHHSHLSLAHYDSREWDWIRGALSTVDRNYGILNTIFHDVTCAHVVHHLISTIPHYHTVEATNAIKPILGDYYKYDDTPILKAFWRETKECIFVEPDEGAENSGVYWFRRALHPMGISEDDKKEVVIIPLTMRKLRKILDGGSELVRQGPINPTIKPTLLSLNFEAKQLITSSYRYVHFFGNNKMGAGGRMNDAINDKNVLKRVPTEKTPFEISDLKKAIPPHCFKRSLTTSSYYLFRDICICYTFYQIASNYIPLLPKPLAYIAWPVYWFCQGSSFMGIWSIGHDLGHHSFSEYQWLDDILGFIVHSSFLTPYFSFKYSHRSHHAHTNSMEYDEVWIPKRKADTLYSEVLNNPLGNLFMTFVRLLLSYPMYFTFNIHGRPYNGFVSHFYPQSPLFNDSERKLVWLSDAGMLVAIYALYKVAATAGATWLFCIYGAPLLVMNAHFIFFTFLHHSHPSLAHYDSREWDWIRGALSTVDRNYGILNTVFHDVTCAHVVHHLISTIPHYHTVEATNAVKPILGDYYKYDDTPILKAFWRETKECIFVEPDEGAEKSGVYWFRSDFFFHRLFAFGDDKMGAGGRMNDTTTEKDVLKRVPTQKPPFEISDLKKAIPQHCFKRDLPRSLYYLFGDIAICFTFYQLASNYIPLLPKPLAYIAWPIYWFCQGSSFMGIWSIGHDLGHHSFSEYQWLDDAIGFVVHSSFLTPYFSFKYSHRSHHAHTNSMEYDEVWIPKRKADTFYSEILNNPLGNLFMTFVRLLLSFPMYFTFNIHGRPYDGFVSHFYPQSPLFNDSERKLVWLSNAGMLVAIYALYQIAATTGATWLFCIYGAPLLVMNAHFIFFTFLHHSHPSLAHFDSREWDWLRGAMSTVDRDYGILNHVFHDVTCAHVLHHLISTIPHYHTVEATKAVKPILGDYYKYDDTPILKAFWRETKNCIYVEPDEGAEKSGVYWFPSRQSSSKPHYFLEVYFFVNKMGAGGRMNDATTDKNVLKRVPTEKTPFEISDLKKAIPPHCFKRSLTTSSYYLFRDICICYTFYRIASNYIPLLPKPLAYIAWPVYWFCQGSSFMGIWSIGHDLGHHSFSEYQWLDDILGFIVHSSFLTPYFSFKYSHRSHHAHTNSMEYDEVWIPKRKADTLYSEVLNNPLGNLFMTFVRLLLSYPMYFTFNIHGRPYNGFVSHFYPQSPLFNDSERKLVWLSDAGMLVAIYALYKVAATAGATWLFCIYGAPLLVMNAHFIFFTFLHHSHPSLAHYDSREWDWIRGALSTVDRNYGILNTVFHDVTCAHVVHHLISTIPHYHTVEATNAVKPILGDYYKYDDTPILKAFWRETKECIFVEPDEGAEKSGVYWFRR >KVH90405 pep supercontig:CcrdV1:scaffold_34:425081:428103:1 gene:Ccrd_007576 transcript:KVH90405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNEYPPSISPFVLPKIPSFELSKPSCLLSILYHHTKMSSEDAKRVKNEVDEDDNDDKSLSSMIQNKNKKPNGSTPSTAKSLSLKSKKVASKLKKDEDSDDGFTPKKITLNNNKAKKEGIGSAETKKTPISKVKKEEAGSANTKKTPNNEAKKEKTSNSDKKKGGKITEQNGKTKEEKRKGGKVEPSAVKEKKEKKVYDLPGQKRDPPEERDPLRIFYESLYKQIPASEMAAIWMMESGLLPRDVAKKLFEAKRKKAQQQKLGSPMKTVVNVKKKSDSSVSIKKKTVSTEKKKTPPCKAPSMQSKKRKIADDIDESSEESDDDFVIPRTIKKSKAA >KVH90418 pep supercontig:CcrdV1:scaffold_34:211003:215364:1 gene:Ccrd_007566 transcript:KVH90418 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein ACTHHLRSSTTDDRPPNLSSDLLVVSVHCGSKLHSLPNKVLIGPADMMLPVTYSGCNALDGMSSSSSAEQSRRSKSKFITKPSWLLLSISDLEDKIQAINGEEKPDTFGERADFYYRKRPELLALLQELYNRYLYLADRYTRTLSKQQLRHHEVICPPVDDNNNTNADYSDDAESSLSYQPPADTQKPIASELVISELVMRFVEYEIEESKKKIELQKSLLEVLESERVVLTNENSRLASESLFMKRKAGELARCVLLERSEDQRVFVLSRKIDDLQGQIYELEKRNKEYYDKLKKQHESSEGKSGKKNIKRLMVKSNGGGGSSSSISWSGGEDETGCSMSSTSNSSSTCTSLAQVMKEKKHPGGSGKKVYGWWDRVKKFDMFMCGPHLDATC >KVH90437 pep supercontig:CcrdV1:scaffold_34:464013:468269:1 gene:Ccrd_007580 transcript:KVH90437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPIVSGTGLAEHKVIRAKDLTIGTRSDTVHGPRLKIHKNSTRNIAATGGLIEINVDTFKLEISSGSIIPSGLINAMLITDNFPELCTDLVAALASLDVQDFSHVVGYIRKEGAGRSRNGGSEDWFDGGRKLRWGQSQPHKP >KVH90433 pep supercontig:CcrdV1:scaffold_34:15735:22218:-1 gene:Ccrd_007554 transcript:KVH90433 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEAWCGVGIVVTVIGLWYGWRFVNWVWLKPKKMEKCLREQGLKGSSYNFLFGDLKEMVKMTKEAKSRPINLTNDIVPRVLPFEYKSVTAYGKYCFTWMGPKPIVHITEPATIKEILADYRQFQKPKGGNPLLKLLARGLASVEGDQWHMVPAFYMSCAEMINKWEEMLKKEDSCEVDVWPSLQTLSSDVISRTSFGSSFEEGKRIFELQRELAELIVEAGQSLYIPGSRFLPTKSNKRMKEIDREVKASIRSIIDKRMTAKKAGERSNDDLLGILLDLNYNEIRQHGSSNFGLSIEEVIEECKLFYFAGQETTGVLLVWTMIMLGQHTSWQARARDEVSLVXGKEKIDIDGLNRLKTVTMIFNEVLRLYPPAAPLVRMVDEDTTLGNIFLPAGSHLELHVMLLHHDKDIWGEDVNDFKPERFSEGVSKVTKGQASYLPFXGGPRICVGQNFALLEAKIALAMILQHFSFDLSPSYAHAPHNIITLQPQFGAHLILRKL >KVH90413 pep supercontig:CcrdV1:scaffold_34:588275:590521:-1 gene:Ccrd_007590 transcript:KVH90413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKFMKRGSRKPSKSDTDAYGYPPGGNQNSGSVSNSKGAAAATGGQFSDGTAAATATTATIEVLPMFRDVPVPERQLLFLKKCQICCFQFDFSDTLEMVREKEIKRQNLVELVDYVQSGSGKISEGNQEEMIRMISLNIFRCLPPGSHENHGSVSIETEEDDPYLDPSWPHLQLVYELLLRYVVSTDTDAKIAKRYIDHSFVLKLLDMFDTEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYQFIYETEKNCGIAELLEILGSIINGFALPMKEEHKLFLIRALIPLHKPKSIGMYHQQLSYCITQFVEKDHKLADTVIRGLLKYWPITNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFSKIARCLNSPHFQVAERALFMWNNEHIVSLMALNRTVVLPIIFEALETNIQAHWNPAVHGLTINIRKMFMEMDAEFFEECQRQHSEKQAHARVAEDQRRQTWQRLADSSVAHQIG >KVH90390 pep supercontig:CcrdV1:scaffold_34:723532:726678:-1 gene:Ccrd_007603 transcript:KVH90390 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPM domain-containing protein MAVLLQLHPPFRFRNTSKNFTHLVTTRASSSSSSSVSNQSLSTHKSSDFGRLVSKFKSNSLSFALSGTLALAVALSGVGFAEAKVGVNKPELLPKEFTTVIDVAGFLSDGQEKRLAKEIDSIEKDTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGESVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASVEAAVMAISNCLREPVGANNCAEVK >KVH90443 pep supercontig:CcrdV1:scaffold_34:572251:576622:1 gene:Ccrd_007587 transcript:KVH90443 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MEQKWRCIVVLCSLLAVKMVAGASMEVFNFKNLTVLPGQHPSPELVAQHLQRRVNASITRREMLSYANMDSSTSCLTGNPIDDCWRCDPNWHLNRQRLADCGIGFGQYALGGKGGRYYVVTDSSDHDAVTPTPGTLRWAVIQTEPLWIVFPTNMHIQLSQELIFNSFKTLDGRGANVHITGGGCITLQYITNVIIHNIHIHHCYQSGNTNVRSSPTHYGYRTESDGDGISIFGSRDLWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHDEVMLLGHSDAYLPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNVYSRWEMYAIGGSGNPTINSQGNRYTAPANRNAKEVTKRVETAQEHWRGWNWRSEGDILVNGAFFVPSGQGLELKYEKAYSVEPKSAALIDQLTMNSGVLGSRSNKLGKWTGEGEGEDGVGDAENDYGEDSDGSPQLNTLTLVSSCLVALLSFMFLSSLCDTIL >KVH90431 pep supercontig:CcrdV1:scaffold_34:41310:42994:1 gene:Ccrd_007556 transcript:KVH90431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFTSNFHSFKPSFSFIDVDQNMELLNQFSIQYDNPSMSSQNFMGFSNDNFFSQQVLPPPDYQFMQTFQPVFQHEKKNVMVIPEPVPLGPVVNGKRKATDVSASSSGNSSPNPVSENEIDEKKYQSSGKGKKAKVSENGEVPKEVVHVRARRGQATDSHSIAERVRRGKINERLRCLQDIVPGCYKSMGMAVMLDEIINYVQSLQNQVEFLSMKLTEASRFPDFDSDSRPVVAYQMGNANGGVKMQRLEEREEIGPVDLSFGSYPSLPYHTT >KVH90424 pep supercontig:CcrdV1:scaffold_34:110435:111697:-1 gene:Ccrd_007560 transcript:KVH90424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGAGGRMNDSTTPKDVFKHVPVEKPSFGIADLKKAIPPHCFKRSLTTSFYYLFRDLGLIYTFYYIATNYITLLPQHYSFVAWPLYWIAQGSILMGLWNIVHDCGHHCFSDYQWLDDTIGFICHSLLLTPYFSFKYSHRTHHANTSSLEKDEVWVPKRKQDTWFYEVLSNPVGNLIMLAFRLLFGFPLYFMFNLHGRIYKGFPSHFNPLGPIFNDRERAQIWLSDAGVLTVLYALYRIGAKEGVQWVFYIYGYPLMAMSAFFIMFTYLHHTHPSIAHYDSSEWDWLRGALSTVDRDYGILNNLFHDVTSAHVVHHLFSSIPHYHTVEATQYIKPILGDYYNYDYTPILKAIWRDTKECLYIEEDPEKKGVYWFRK >KVH90441 pep supercontig:CcrdV1:scaffold_34:549686:550840:-1 gene:Ccrd_007585 transcript:KVH90441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDALFVNPSLSALKLPPKLLPTTSSPLSLSFHLRLPPPHHPLNLSVSASASAVENHPQSESDPPQFADYDEDESYGEVKTIIGSRALENGAGMEYLIEWEDDHAPTWVPANLIAGDVVAEYENPWWIAAKKADDRALKEIIESADGRDVDAVDNDGRTALLFVAGLGSESCVRTLVEAGADVNHRDNGGGLTALHMAAGYVRPGVAKLLVEFGADPEAGDDRGRMPLDLAREVLNATPKGNPVQFARRLGLESVIKILEGAIFEYAEVQEIMERRGKGNNLEYLVKWKDGGDNDWIKAKLIAEDLIKDFEEGLEYAVAEGVLGRRDGDDGKNEYLVKWTDTEEATWEPEENVDPELIKEFESGGGGQEAGVDFAKTSLSSNGTI >KVH90417 pep supercontig:CcrdV1:scaffold_34:624552:627819:1 gene:Ccrd_007594 transcript:KVH90417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVVNLDLIPLFFLFFLYSIVEILITRTMEDYRLIQIEEEFEDEEEDYEGEYEEELIPEGIVFPRDINLIINEEDEEDYDDDDDDEEDYEEGEEGEELIPLATMLPGGSIDDEDYEDGDEDDEYYEEEEVEGLIPMEMISPRVSSSISHGRTLLGEVAINNGLVDNSELFVGNLGNQENDGGDGGNLEFNRGEIDGLFCPICFEAWTSGGDHQICCLPCGHIYGSSCIKKWLQQRRSSGKCPQCNNLCTLKDVRVLYATRLCVADEELQKRVRSLEARCSYLEQKDKYKEDDGWRGKFETYLEEFKAHRKEFVELRQEVEAFRQEVEAQLVALRQEVEAQLVAFRQEHEAVQQEFVAH >KVH90422 pep supercontig:CcrdV1:scaffold_34:151601:155931:1 gene:Ccrd_007562 transcript:KVH90422 gene_biotype:protein_coding transcript_biotype:protein_coding description:WIYLD domain-containing protein MPLECLPYSPTKSSYMASKRRRKMGLTRMDAALDQMSTFGFSRQLVRKTVRDLLKLYGDDGWILIEEDGYKVLIDVLLDEQETEQTRNLLKDASSEIENTGNELVTVESNEVAAEDASFNGNNNASLTNYTGSENKECLKDIALDKSTLKSPFDTCDNHSADEVNLQCSKDPPVSELASIAANTPVNVSVGRRKPCYGWISDDDCDEETKFIALTPAI >KVH90402 pep supercontig:CcrdV1:scaffold_34:406298:421925:-1 gene:Ccrd_007575 transcript:KVH90402 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MKGTMRRPIQALRSWLRRQPPRVKVFLAVVSAIAALVVIRMVVYDHDNLFIAAEAVHAVGISVLIYKLATEKTCAGLSLKSQELTAIFLGARLYCSYVMEYDVHTLLDLATLTTTVWVIYMMRFNLNSSYMHEKDNVSVLYVVIPCALLSLVVHPTTQHYIVNQIIWAFCVYLESVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWILQVIDTRGRLLTALGYGMWPSLVLLSEIVQTFILADFCYYYVQSVVGGRLVLRLPSGVILQEECNT >KVH90412 pep supercontig:CcrdV1:scaffold_34:596345:598841:-1 gene:Ccrd_007591 transcript:KVH90412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHEDVVIIKQTEKEDEATVITINCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYIVFWVVGKASIRWGLLKKRLLEACPSCSSANTLIYYPAESQPPKPPDVFLLKFCCRDRQALLHDVTQVLSELELIIQRVKVSTTPDGMRKEDTYGHLKAVVGDDMVSCDIEMVGPEITACSQGPPFLIPPAISEDTFSLEMPDGCKHGSLQSDSVSVTMDNSLSPVHTLIQIVCRDHKGLLYDIMRTLKDYNIQISYGRFMTKGTKDCEIDLFIVQADGKKIVDPSKQNAMCSRIRMELFRPLKVALVSRGPDTELLVANPVELCGKGRPLVFYDITLALKMLSTDIFTAEISRHMIGGREWEVYRVLLDEGDGSSVPRRKIKEAVWRLLMGWER >KVH90392 pep supercontig:CcrdV1:scaffold_34:700695:702321:1 gene:Ccrd_007601 transcript:KVH90392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MGEKDSMKKEDNEKKVVDAGGTPKVEDSRITVVLKLHLHCDGCAKKIKRSLRYIEGVETVNIDSANNKLTIIGIVDPVHIKERVEFKTKKKVEIISPKPKKDDGGEKKVDDKPTEAKSNDQKPKEPQVITMVLKISLHCDGCIQKIKRIILKIDGVESVIADSSKNLVTVKGTMNMNELVPYLKEKLRRNVDIVPPKKEDNKVEEKKDEKKVEGGGDKKEKEGGNDGDDKKKTDVEKAPESNEGGEKKKNDVGKVTDGSEGGGEKKNDKVEATSGGDEKNKSDGEVKPPTGDGGSDGGNGGGGSKSVDLVNKSEYYRYNPYIYTMPVYNQNYHNQDYGLSVYPGRGYANEGYVNHGYVVEYPHGPPAPPPPMYLHDSRASGMDMLNAENPNACSIM >KVH90400 pep supercontig:CcrdV1:scaffold_34:396637:399678:1 gene:Ccrd_007573 transcript:KVH90400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLPPSETQKVQPTKRRRKHHPQNPKLKQQHSSSSTSSSWDQIKNLLKCKQMDVGGSDQKVQDPVRNPNGYSKLSSCGSICNFRDVANGNTRVVHRADNSPESSTVGQDSGLLRRKKHVSNNGASSSSSRSLTGSVRSNTHGSYTSSSRGIQLRKLSGCYECHAIVDPARHVIPRSTICVCSECGEVFPKMESLEHHQAVRHAGLFMQIAFIVSELGLEDSGRNIVEIIFKSSWLNKDHPIFTIERILKVNNTRRTIQRFEDCRDTVKIRANVTATASRCAADGNELLRFHCTTLGCSLGSHGSSSLCGSVPGCGVCTIIRHGFQNPKSCEGGGRKGVCTTASSGRAHDCLGVGARGVKAMLVCRVIAGKVKRVAEKTEAEEEGGPYDSMAGHPGLYSNLEELYVCNPRAILPCFVVIYKTMES >KVH90421 pep supercontig:CcrdV1:scaffold_34:199814:201079:1 gene:Ccrd_007565 transcript:KVH90421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGSVSLKIGDGTARFKSATVCSSAVHILMLFSVITTNLFALYAFTYSPKPHHQIHFLTHHHTHKNISLISEQVSLILREIDSSQKKLAQMEKQLLGYESIDLSTPNMPNELKLFLQRNQLPLGKDSRTGITEMVASVGHSCYKSMDLLSQYMNYKANGACPDDWSLSQKLILRGCEPLPRRRCIASKKLNRDCAGCFDITSGNEKQRFVTSRGKNDFLIDDVLGLGSGGIRIGFDIGGGSGTFAARMAEKNVTIMTATLNIDAPSNEFIAARGIFPLYLSLDHRFPFYDNVFDIIHVGNGLDIGGQSQKLEFLMFDIERILRAGGLFWLDNFLCSNDEKRKNLTRLIERFGYKKLKWVIGEKINGSGNSEVYLSAVLQKPVRV >KVH90427 pep supercontig:CcrdV1:scaffold_34:313358:321159:1 gene:Ccrd_007569 transcript:KVH90427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF869, plant MDRRSWPWKKKSSDKSSADKAVAVSESISIPTSAGSQGDKVKQDSYKKPNYVQISVESYSHLTGLEDQVKSFEDQVKSYDEQVKRYEDQQLVYEDQIKNLEDEVKELNEQLSEAHSEMTTKENLVKQHAKVAEEAVSGWEKAEAEAATLKNHLESVTLLKLTAEDRASHLDGALKECMRQIRSLKEEHDKNLNDVELANTKKWDKMKLEFDAKIANLDQELLRSAAENAAVSRSLQERSNMLIKMSEEKSQAEAEIELLKSNIESSLAQMKLEVDSLGRDYGETRIKRSPVKPPSPHSPHFSSLPEFSLDSIQRYQKENDLLTERLLAMEEETKMLKEALAKRNTELQASRNICAKTVSKLQSLESQLQSNSQLKSTTRSGLQTPTLGSLSNPPSVASFSEEGNDDEMSVAGSWATALISELSHNKKDKSIESPQRADSANRLELMDDFLEMEKLAYSSNDSHGTISSPDTSGHARCGIPNQDQIAVATDSELQAKEKPELEKPNGDSLTLVPQPDGDLMNLKSKISVLLMSLPKDTDPVKLYEEISLVVQAVRGPSHEGLAVGVKDATGCSDATSDHQASSKNLELIEGNETLHAKDQELAAAISRIYDFVLILGKEAKSVLGTSTEENGLIQKLEEFYVAFKEIVGSKIDLNDFVLCLSGILGKAGELRFSFVGYEINDAETSSPDCIDKVALPENKLDQCPASEGYSNGCTQFSDSTSDPDIPHDGNSVPTSELNVSSWKCMQEEFEQMKLEKENMVMDLARCTENLETTKSQLRETEIHLSEVKSQLTAAQKSNGLSETQLKCMAESYNSLEVRADDLQSRVNLLESKISMLDNELEEERKNHRDTEARCKDLQEQLQRVETSPAADLDGKSNQERELAAAAEKLAECQETIFLLGKQLKGMRPQTEFMGSPLNERSPKGQTFAAEEEVEEEELTTSNGMSLHQQVGEVGNESPVHLDNHQISPWDSEANDLLRSPMGSSKTTSKHRPTKSGSSSSSSNPTPEKNTRGFSRFFSTKAK >KVH90407 pep supercontig:CcrdV1:scaffold_34:461796:464396:-1 gene:Ccrd_007578 transcript:KVH90407 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-tubulin MREILHIQGGQCGNQIGAKFWEVICDEHGIDQTGRYNGTTADLQLERINVYFNEASGGRYVPRAVLMDLEPGTMDSIRSGPNGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDSLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSNPSCKYPSFINYRGVSPITKAGSNKKMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEEYDEGVEEEDQYEE >KVI10024 pep supercontig:CcrdV1:scaffold_340:277628:278787:1 gene:Ccrd_011580 transcript:KVI10024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MASIGINAAMQKACSSSHLTNKEPSLPRTTPSFRTKKTTTFVALNVEAQMALNTPEEQNHINNGVTIGDGAETSSVKFADERWKHGTWDLNMFVKSGTMDWDALIVAEARRRKFLELYPEEATNHDPVLFRSSIIPWWAWVTHSHLPEAELLNGRAAMIGFFMAYLVDVLTRLDVVGQSGNFICKIGLFATVMGVVLFRQTNSLQDLKNLADEATFYDKQWQASWQDQDSSDDTSGKK >KVI10044 pep supercontig:CcrdV1:scaffold_340:140397:140807:-1 gene:Ccrd_011566 transcript:KVI10044 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function CP12 MATFSTSFLGSITDVNHIRSPLLSHKLVASSLCFSGQKLQLAAVSTVVEMGGGAKFKGTHMREKKLTDMIENKVTEAQEVCAGNEGSDECKLAWDEVEEISQVKAHLRAKLEHEEDPLESFCSGNPETGECRIYDD >KVI10043 pep supercontig:CcrdV1:scaffold_340:142890:144919:1 gene:Ccrd_011567 transcript:KVI10043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKNPIQFAAVATFILLLHNLLITTADLTSDSEALLQFASAVPHVRKLNWNSTIPICISWVGITCNNEGTRVIAIHLPGLGLFGPIPPNTIGKLDALRILSLRSNFLNGTLPFDISSIPSLQFLYLQHNYFSGNIPPSLSPQISILDLSFNSFSGNIPQTLKNLTHLTSLNLQFNSFSGLLPDFNLTRLRLLNVSYNLLNGSIPSSLQKFPASSFAGNSFSCGLPSNQCSSLTSSPSPSPHYSPSMPIHPKKHNKKLSTGAILAIAIGGFLLLLLLAVFLFCFLKKKDGDSVGELTVKAVPPGKNEKSDDFGSGVQAAEKNKLVFFEGSTYNFDLEDLLRASAEVLGKGSYGTAYKAILDEGTTVVVKRVREVGVAEKEFDQHMEFVGRIGRHPNIVSLCAYYYSKDEKLLVYEYIITGSLSALLHGIESSIHPGTRGIGRTPLDWDTRVKISLGAAKGISHIHTEGGARFTHGNIKSSNILLTADFDGCVSDLGLAPLMNFLPTKPRCIGYYAPEVIETRKFTQKSDVYSFGVLLLELLTGKSPLPSSGHDEVVDLPRWVRSVVREEWTAEVFDEELMKYPHVEEEMVQMLQIGLACVARVPDMRPSMDEAVKMIADLRSSDSSEYRASSEDNRSNVQTP >KVI10038 pep supercontig:CcrdV1:scaffold_340:72865:78501:1 gene:Ccrd_011558 transcript:KVI10038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor S-II, central domain-containing protein METELKELFDAAKKAADAAAVVGGGADSSPEEDRCIDALRALKKFPVNYQILVSTQVGKRLRQLTKHPRRKIQSLAAELVEIWKNIIVEETLKNKKNGSLDAKESLKSEHVAETSDKKVQRVNSIKVEKHGHSGTHTSEKKVNVVKVEKREHTKVEHVTKQENKQTSDANKPNRSNNIAPPKLTSLVYCKDPVRDKIRELLSEALCKVPGEVEDDLRDEVDGCDPYRVAVSVESAMFEKWGRSNGAQKFKYRSIMFNIKDPKNPDFRRKVLLGHVMPERILELTPEEMASTERQMENVKIKEKALFDCERGGPPKATTDQFKCGRCQKRKCTYYQLQTRSADEPMTTFVTCVNCDNHWKFC >KVI10025 pep supercontig:CcrdV1:scaffold_340:8024:9613:-1 gene:Ccrd_011556 transcript:KVI10025 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEKNDTILVETLTRGRNSAIRLLNLLRRKENGDGLVSADDLLKEIFRSLVDGLSMLNFSGPGEDYRVQASPSQVGLACSVNQSSEVFTGDIGKIPPPSENKGRGRYKRSDTIADDYEWRKYGQKEILNSQFPRCYFRCTHKDGHGCKALKQVQRLEEELSSKFQITYFGLHTCPTPHAVSDHGPGVILDFEGFRNRENLPNNPSITGKIREDCSRKQNDPSNKTVSSAACLVSMERSTDDLDXRDKAPRFDHQDSCPTNIXDGCLNDDFLGDISTDDMLSMIESYWGGNNRT >KVI10039 pep supercontig:CcrdV1:scaffold_340:155421:158590:1 gene:Ccrd_011569 transcript:KVI10039 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MEGVESKITPENFTCLAENVEGFQKCVEEILKLIEWDGDFFEMTAEIYDHKKSELTTQVAELSRMYTALADQHGHLIGEFSRNCPSGIKKQHLDASDSSSPQVTQMFTPDQMSNTHKFRTPIGFDVLLSSGGAGSYISRREGSESSFSLSSDSDSESFMSTNKLLISPVNDDASKVKETKVSEVLLKKFANLEEELVSLNTKLQTLEDENTKLKSRMHENESVTEIASDMQSQLELAQDDIRTQNAYLEAEKAKVVELQKQVADLKLVISDSSCEIEIMGVQLKESKEKLLAAEDEISKLKHELGSTEQEMALLQRQFDSEKDKVLVLQEEVSLCVADISVREDQITELNNNINQSISQILLLKSTHEAKEDRWNTDIERLKMELREKYELADNLNKEMDALKQKRDAVMVEKDGVKAQLHTLQAEMCSQDNLIRELENRLNALQFEHVGLLASFDSVQKDTNELRLKVVELEAEVDRQQEVISDRAEEKREAIRQLSFSLEHYMSGYKELRQAFTGHKRQAVLTS >KVI10029 pep supercontig:CcrdV1:scaffold_340:175670:199855:1 gene:Ccrd_011573 transcript:KVI10029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MKIPAGGGDFFEVLKNDVREDFTLRNIGCLVFDALSLSEWRLVRYTQSLAHFGCWAGFGVQLKYTWSFDFFLEKFKIFLTDATLVSNASTVMGRKKQIRPRRAGGNVDSGGVSKEGLCDSDAAQTVENEFSGHDHPFYVEVDRHNWVSEEHYDISEVLLMNLNVNGEFQDTKHKDQHCCNDEKYGLRFRLSNVNEFLARMKLGHWPVLSATDIYLEFVEKRESMDIEPQVMVTGNFDGPSEGVSGLVHLVNMKFLTLRPIMGLNFSGDLSSIRLRVEIQKCAFEACESLFENTRQLWKKSMMNVMTWLRPEVMTSEVRYGYKVPEDMEIGLEPNEESLVSRKRARLDAFGFYEAIKPSKDNPVLIDDMPDLLPELRPYQRRAAFWMVQREKGAFGRLRGSESSQSVSPLCMPVDLVDSCSKIYYNSFSGSVSLNHENCSSYVVGGILADEMGLGKTIELLACIFAHRKLDAEADDIQDETMQVAGEQKLNVRRMKRDRVECVCGAVSEGSKYKGLWVQCDVCDAWQHADCVGYPDTGTNSRPKKDYKLQGDRKSLTGDSRKRKSNRGSKIVVCDEDYICSLCSELIQATNSPVATGATLIVCPAPILPQWHAEIIRHTSPGSLEVLVYEGVKTTSPSITSVTKLGELLSADIVLTTYDVLKEDLSHDSDRHEGDRRLMRYQKRYSYNSSVTFSLIQLAFACRYPVIPTLLTRIFWWRLCLDEAQMVESNAAAATEMAMRLPAMHRWCITGTPIQRKLDDLYGLLRFLKASPYDVLRWWVDVIRDPYERGDAGAVEYTHNLFKQIMWRSSKSHVAEELLLPPQEECLSWLSLTPIEEHFYQRQHETCLTYAREVIQSFQSNISEEQAAGNVSSDSVLTHVEAAKLLNSLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILMVLVGKTKVEGEEALRKLVVALNGLAGIAIIKQDFPQAISLYKEALELAEEHSEDFRVDPLLNIHIHYNLAEILPLTSVELSKHNPGCSGPGRCEGNICLTCDGKEYDQHDIKTIDVSQEDLDSTISTGSDDENNTVDGQHSMFSRSTSYQSLQKTCNSLKQKFLSVFNSRLFVAQQEFRKSYELVNKALHDRRTHHTAWWAEALQYIEQNKDSSTDFIRKIGDAVSGTLNTSRTSRLAACFRSITALRYYIQTGLDSLEDSRKTLLVRLLQLDQTMENPRQEDVERVRYCPNCQVNGDGLICVHCELDELFQVYEARLFRLNKGRDGGVIRSAEEAVDLRKKMSALNRFYWTLSQPDKASPPSSYEDEGKKRDVGEKVLVSKSPSELEVVLGIIKSYSKGLLDKEAMSAARNHLFILEGMRKEYAHARSLAIAQAQVLNAHDEIKMATSRLRLREDEDDKSIDALSLEELEAASVENSSEKFFALSSLSRIKGQLRYLKGLVQSKQNFHSEGTCDPSQDEVRTHSHANSLKEGEKEQLLQLDEETCPVCQERLSNQKMVFQCGHVTCCKCFLAMTERRNNYHGKSHEKWVMCPTCRQHTEVGNIAYVDDRQNKTPDASVHTFRSSEASLTVNGSYSTKIAAVTRRILCIGSTNPEAKILVFSSWNDVLDVLEHAFTANGISFIRMKGGRKSHVAISQFKGEKVGVKTSKKNRQAKPDSIQVMLLLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRVHRIGQTKKTLVHRFIVKGTVEESIYKLNKSRDRDSGSFISGNKKNQDQPVLTLKDVESLFKVAPSTIEQQQKPTSNLMHLPPGIAAGLAAERRLREQTSTGSSYPSNQSSSVGQLTKLDDALHMFDQMRHRQPPPSIIEFTRLITLIVKMEHYSTALSLFKKLNLMGISSDLYAMTIAINCHCRLNQLTSGFALLATIFKKGHPPNVTTYSTLINGLILADRVFEAVELFKKLLKENLCEPNQVMYGTIMNGLCKVGHTNRALELLRFLEEGSCKPCVEQYNSIITGLCKDGMVDQALELFAKMIRKGLPATVYTYNSLIQGLCICGREMEADQMLKDMEDEGISPGVHTFTILADAFCKQGSIEDAERVVERMIQRGLDPNEITYSALIDGYCSRGEIDEAQKVLDLMLERNLVPNIITYSSLINGYCKKKQIDEAMDLFRELPDKGLVPNLFTYNCLLQGLFKTGNSAAAKEIFKEMQAKGLTPDLCTYRILFHGMCKNSRCSEALILFRSLENNKLLKDVGLYTILINGCIKCGKPKLAMDMFDELSLKGLKPDVRTYTVMIHVLCKDGLLGKAKELLAKMEENGCLPNSITYNVIVQEFLKQNECREAEILLEEMINRGFSPDSTTFSMLLSLIPSVGQDSPMRTIVHKLTRVERRDGAVPDLSTWSISSTFQGNIKGQFGVFDDSC >KVI10031 pep supercontig:CcrdV1:scaffold_340:167487:172149:-1 gene:Ccrd_011571 transcript:KVI10031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MASVSVFRGFVHGHRFPLFPHQSQLPVTSILIGSKKLEYRSNGLRLYARYSDSQSARTQDLFTSRLQDSMENLPKLVEDIVQTSINTGPRGALRLAQGIQAVIGVGSEWLTDISQSRNPPSGIPSQMQLGLLSPLYLRKLFERLGATYIKLGQFIASAPTLFPAEYVQEFQYCFDRAPVIPFDEIKSILREELSSPIDSIYEYVDPTPIASASIAQVHAARLKGSQAEVVIKVLKPGIEDVLVADLNFIYVVARIIEFLNPEVSRASLVAIVNDIRASMLEEVDFKKEAANIESFRRYLESMGLTRQATAPKVYPECSTKRVLTMERLYGVPLTDLDSISSLVSSPETSLITALNVWFGSLMACETFHADVHAGNLWVLRDGRIGFLDFGIVGRIAPKTWSAMEMFLGSLATEEYESMASALIDMGATNVDVDSRAFARDLEKIFSSIQA >KVI10033 pep supercontig:CcrdV1:scaffold_340:242906:245821:-1 gene:Ccrd_011577 transcript:KVI10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MISHSYSWALSIFLLFHPSLSDENPSNVSCIESEKFALLEFKNDLTDGGNRLLSWNSSNEDCCKWYGITCNNQTGHVSEIHLRGSDHRFGGELNPSLLNMTSLVYLDLSCNDFGGNPIPTFIGSLHTLTYLNLSMSRFSGEIPSQLGNLSMLRVLSVHNVYRSVHNVYRSDQYAQKVKSLWWLSGLSSLRHLDMSGVTLGKVFDWSQVNRILPSSLVELYFSSCGLPPIRPGSALDNLSLLSILDLSDNNFSTNSIPSWISSLSSLVSLNLANCDFSGPIPAGLMNMVSLITIDLSNNQLTSIQTMLNSSPGNICNLREINLSWNKFNGKSLLEVLTSLFKCESSKLESLRFAASGLSGYLPPQLGNMKNLVHIDLNSNSISGSIPDSLGNLQSLQTLELKSNSISGPLPDSIGRLSSLLRMHLPYNSITGPLPDSLGRLSSLERLDISNNEINGTLPQSVGQLTKLMILNIRNNLLTGVVTEDHFANLTSLTNLLADANMLRLQISANNWEPPFRLQILILNSWSLGPKFPSWLQNQTNLSILYLASTGISDNIPSWFSTTLLSLQYLNLSDNNLSSVSLKDLFCSKIDAEQKQVIYLNLGNTNLSGVLPDCWGAWGTLKLLNFQNNNLTGEIPRSLASLMTGKANPNKIVLLAGNEFMGSAWLVLKGREIGYSSNLGLVTFLDLSGNDLSGVIPSEITRLVELKYLNFSGNRLTGRMPEKIGDMKELEALDLSMNELEGMVPLSMSSLSFLNWLNLSYNNLTGRIPSSTQLQSFEDWSFVGNRLCGAPVRLGCERRQGEKVGEVGEGGGGPDWRLVISIVVGFVVGFWIVVAPLMVSKAWRVSYFQFMCDIWYKFYDFVVKYSSNKNVSL >KVI10047 pep supercontig:CcrdV1:scaffold_340:126872:129404:-1 gene:Ccrd_011563 transcript:KVI10047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MRRLMETTGVNVDPTSSDTHVSNNPNSSNPPSPTIRRTTSSSLSPRTMSSSPFPIIRFLQAPVTTIIEYSGVLRPRSNNDYHESETLISNHHHHDHNSTGSGRTASNTSSNGDDSNGEVSIRIIGGPDQEEERGGEDGEPAAVNRGDGGGEREMADSGEVAGGNSGSNNNVDSAYQQRYDLQQVSRWIEQILPFSLLLLIVFIRQHLQGLFVTIYITAFMYKSNDILRKQTALKGERKLSVLAGYCIVFMLHVIGVYWWYQNDDLCLPLFMVPPKAIPPFWHAIFTIIVNDTMVRQAAMGFKLVLLMYYKNGRGHSFRRQGQMLTLVEYTLLLYRAFLPAPVWYRFFLNKEYGSLFSSLTTGLYLTLKLTSVVEKIGSFYTALKALSRKEVNYGTYATPEQVSEAGDLCAICQEKMQAPILLRCKHIFCEDCVSEWFERERTCPLCRALVRPADIRSFGDGSTSLFFQLF >KVI10030 pep supercontig:CcrdV1:scaffold_340:164326:167100:1 gene:Ccrd_011570 transcript:KVI10030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3133 MNTKVRLVRCPNCRNVLPEPPGVPVYKCGGCGIVLQAKKRKNGSDDQVIDSSSNQQPPVSSIGAPGHNRMDGDQDAAMKSENDTVDAPSNGMEQYSSGKRKMEQLSDDQEAASSSNQDLLVSSVDEPHRDGDHNDIHTGHEDPESLPETTTHNRMNQDHDATKMQLSGRLEEYSSGKWKTKQLSDDQEAAYSSSNQQSLVNSINEPDRNTDHNDPQSSPEAAVHNRIDPDQDHHHDHDYDQVMFPINGVLGNHKIGDEFEENSSISDFNEIEEPSREAIANIKIVRDSDGGSKSSFKSLIAEKLLDTRQKKVVYLDDDDTISEDGSADLCHRQRFERVSSAETLENGRFGGMSYYGYEGSVSSFDGNNNQILRKNHIGPKGDERHHYKRRNGHGVKDEHRSMDVRSFYGNTSPLRHGMNEFHGNPRHRSSVIPENPKMERLELLKMVRELQDQLERTNVSNAAPQIPSYRNNFSNHPGRYGQRMAYSGETTAVNRQRDGGSCYYCCPQDRHFSAQLPRQCVYCNGPPYVPTPCYSSHCSGSSSPQHHSESEFLAPVRSKPEPEDRRQRNDVRKQYRSPKKRFIRPIAGGSPWITCYRCSQLLQLPQSFLVFKRRCHSVRCGGCLKVLNFTLSNGTHVSRYYPEEMIAAPPSSEVEEYANSRADPVSCSDRSFQKSYSTETDRNGSREFIDRRKAIMSRDPSGSAGPSSSKISGRRKATSEIEEVEPAGGSPLHWLMGYASPSKVIRG >KVI10048 pep supercontig:CcrdV1:scaffold_340:94967:100131:-1 gene:Ccrd_011562 transcript:KVI10048 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain-containing protein MVALGRYIRRGQPDAGFALFTSESLKWPGFVEFDDVNGKVLTFSAQDNIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFNKSSGHVPLKILSIEDGTVLKSFNHLLHRHKKVDFIEQFNEKLLVKQENENLQILDVRTSDLIEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDALTEGNGSINISNILTGKCLTKVKATNNLPIDECSCSDGGRRCKCNSRRRIQGSRIASSVTEALEDITALFYDEERNEIYTGNRFGLIHVWSN >KVI10040 pep supercontig:CcrdV1:scaffold_340:147030:148099:-1 gene:Ccrd_011568 transcript:KVI10040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKLNEQDAETQLKKKKKLHFVFIHGISGGSWCWYKIKCLLYNSGYAVTCIDLKSAGIDLSDPNETLSFDDYNKPLIDFLASLPDHEKVVLVGHSAGGLSVTDASSKFPNKISLAVYVAATMLKNGFLTEQDIKDGVPDLSEFGSVYDMEFGLGPDQPPTSAIIKKELQRKLVYHMSPPEDFTLAAMLLRPGPIYAIQSARFKEGNEGVDKVPRVYIKTMYDKVMKPEQQDKMIAKWAPSDVYVLESDHSPNFSNPFILCGLLVRAAISFGHTHT >KVI10041 pep supercontig:CcrdV1:scaffold_340:135762:139107:1 gene:Ccrd_011565 transcript:KVI10041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSSSKLIFLRFLYQQRSTPLSPPKPPISSTPISQILHRNPWSPLSHRPHFMSSSISKISQNPSQKLPLEANLSRNLTGVGSSQTTHFTSSSISRVSQPSQTNLIRNFIGFRYISTGSSNLGGKLDVNLLKSKVKEPATAVKKAFSRYKQAVGLQMEAFWRRNSMVLLGAGGVFVCILLWRIMFGIANTFIGLSEGIAKYGFLALSSAIVAFAGLYLRSRFTINPDKVYRMAMTKLNTSADILEIMGAPLTGTDLRAYVMSGGGITLKNFKAALRSKRCFLIFPIRGAEMKGLVSVEVKNKKGKYDMKLLSVDIPMATGPDQRLFLIGDEEEYRVGGGLISELRDPVVKAMAAAKEFEARDIIEDKEDAERELAEAERKHREEVEKIEREGS >KVI10046 pep supercontig:CcrdV1:scaffold_340:86982:88740:-1 gene:Ccrd_011560 transcript:KVI10046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTKKSSVVPLETKPFLRKGSGIGPGVGPVVSKPKLVAEPEETLRSSGGLNKSEENKVITRIDIMSQNQETKCEIPENRASLDLEPQVVSPTKFEESESSAQLYGCSGGGDSRAKVSGNTATEEEIEISPTAWVEIEEHHQNEIISCKESLFQITSPANVTAPVGFSSVRHSLSQMLFEESNEADIGEWGNAEHPPTMVYQKDAPRGFKRLLKFARKAKADSHLTGWSSLSAFSEGEDDAEESKGQSNFSRFTVQNHRRVPEGNVSASMNTTKAPSDVNFLLLKTNQWNPSQNGYDTDFIHITSDRR >KVI10032 pep supercontig:CcrdV1:scaffold_340:231399:237493:-1 gene:Ccrd_011576 transcript:KVI10032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MIPQRNYLTDPGSLQMKYLRAEIIDDEEFHGDELEEALAKAATENNTVILAVANKAYTEGDKPMLDIFLDAFWLGLDTHPLTKHLLIVAVDQTAYDRCNFLNLHCYKLETEGVTYDGEKLFIVDNFNGDQWSDNNPINTGFYMIRSNEKTIALYDEWYDEKDRSPGKKEQDVLFDLMRKGAFKRLGLQVRFLDTIFFSGFCQNSRDVNVVSTIHANCCRSIRAKVSDLIAVLHDWKRFKASSGDVSNFKWSEHIA >KVI10026 pep supercontig:CcrdV1:scaffold_340:32176:33397:-1 gene:Ccrd_011557 transcript:KVI10026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKRRLTETLIRGQDSAKRLQKLLPRRENDDGSDSAYCLVMEILGSFSGGLLLLDGCDSGEFSGVPASPHVGNLASSVDQTPEVHSGKKPAAAVKERRGCYKRRKTIDSRVKINDTADDGYAWRKYGQKEILHSKHPRCYYSPSTITNIHNEPSVKHEVESKAQSIDVSDTISSANDDRSSPVLGWDEILGYGHGHGHGHGHEAAISFVGFDHEDSSVTTSSHDHFRMDFLNTGSYLNDLFLDETLSEVNLMSGAFPRFD >KVI10045 pep supercontig:CcrdV1:scaffold_340:90832:91223:-1 gene:Ccrd_011561 transcript:KVI10045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQVYGVATEKRKCHLDP >KVI10028 pep supercontig:CcrdV1:scaffold_340:172616:175815:-1 gene:Ccrd_011572 transcript:KVI10028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MATKGGRKNLKRAVNDETLTLQPGQSIMQVVSLRGSNSIEVTDAKGEKALAFFPAKFQKSMPEIFKSTILDSSSGNTQRNRSTTREENELDSSEDDGLPPLEANTNRRIPVETQSDTGSDSEIEDS >KVI10036 pep supercontig:CcrdV1:scaffold_340:252562:268069:1 gene:Ccrd_011578 transcript:KVI10036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVDERQALLDFKLGLIDEGNRLASWVAEEKDCCTWTGIVCDNVTGHVHSIHLPTNYYVNSYTTTTKEYNEASKHRLSGDLSPSLLHLKQIKHLDLSGNDFGGIQVPRFIGSLGNLEYLNLSGSGFGGVIPDQLGNLTKLHILCLGSLHASELTSMRNMQWLSNLPFLQHLDMSGVNLNKAVDWFQVINTLPSLVQLHLSNCQLPHIYPHVPSLNLTSLSLLDLSNNDFTNTSMPRWIFSITGLVSLDLGWCYFHGLIPNSIDSFRNLTSLKMLCIRGNDFRNSSLVLEGLSSVGSNLITLDMHSCRVPSSVLDSLHNLTSLLSLDLSENQLTETIPKSLSNICNLRHIDMGGNYFPNISLTSLLENIFECKSPSLESLFLENSQLSCHLPDQLGQLMYLVDLQLRNNRIAGIIPDSIGRLSFLRSLGLDGNLISGPIPYSVRRLSSLEYLNLSDNQLNGSLPDSLGQLSKLNTLDLSYNQLSGSLPDSLGQLSKLNSLGLSNNQLNGSLLDSLGQLSKLNFLDFSSNLLTGVVTEVHFAKLARLETLVGSGNNITVRSHIANWIPSFRLLTLSLSSWNLGPQFPVWLTLQRDLISLDLSNTKISSTIPESFWKSLPNLRYLDMSQNHIQGMLLGIPRPLLLLDLSFNEFGGQLPTLLDGSEISFMDLSNNSFAGSLRHILCPNSQKSVRVLNLADNNLSGVIPECWMRWPGLSFLSLENNNLIGRIPRTLGSLSRLQSLNLCNNKLSGILPVSLKNLISLQILQLARNELVGRIPSWFGKQFSSLRILNLRANHFDGHINDGLCYLNSVQILDVADNNLSGNIPRCFNYFSVLSGKQTPLTTEFAYARAYGTTHGSASLVIKGREDTYSSILGLVMLLDLSGNNFSGSIPSELMSLQALQSLNLSKNQLTGRIPKTIGDLKSLVAFDASLNRLSGELPVSLSSLSFLSSFNVSYNNLTGRIPSSTQFQTFNESSFLGNKLCGDQVTESCAVEVPDHRDEEEEGDDDGSHGADWGLIISIVSGFIAGFWVVFAPLIVSTTWRKQGGESFLNCIQKKQQIKILCCLEAPLYPGGLGLHVLTFLRLSCSMDLKNLADEATFYDKQWQASWQDQDSSGGTSGKKIQILDYQKMNSLILSFSYLWVLTIFILFHRSLSDEDSSNVSCIGYEKTALLEFKNDLNDGGNRLFSWNSSNEDCCKWYGITCNNETGHVIQIRLRGPDHTDSFKDQEASIQRFGGKLNPSLRNLTSLDYLDLSCNDFEGISIPTFIGSLQNLTYLNLSESRFSGEIPSQLGNLSELRMLSVGNVYNGDQYEQTVKSLQWLSGLSSLLHLDMSGVQLGKVFDWRKVIQTLLPSSLVELHYSSCGLPPITPTLSIVNLSSLSVLDLSYNNFSTNSIPNWISSLQSLVSLNLANCDFNGPIPGALMNMASLITLDLSNNQLTSVQTILSSVPGNICNLREINLSWNKFHGKSLSEVLTSLFNCESSKLESLKFASSGLSGYLPPQLGNMTNLVLIDLNNNSISGSIXDSLGNLLSLQTLEIESNSLSGPLPDSIGRFLGPKFPSWLQNQKNLFILYLASTGISDNIPSVLPDCWATWGFLNILNLQNNNLTGEIPRSLANLSKLESLNMRNNKLXGELPMNLMNSQRLLIIDLSENEFIGGIPIPIGGEATRLRVLSLHSNKLKGKIPREICRLDSIQILILANNNLSGEVPNCFHNFSLMTGKANPNQIISLAGDEFMGSAWLVMKGRVNGYSSILGLLTFLDLAGNNLSGVIPSEITRLVELRYLNISGNRLTGRIPEKIGDMKLLESLDLSMNQLDGMVPSSMSRLSYLNLLNLSYNNLTGRIPSSTQLHSLSESSFVGNKLCGAPVSVKCERRGGRAGDTALLDFKHALIDEANRHASWVPDMKDCCTWTGIVCDNITGHVHSIHLPANEYSKQWLSGHLSPSLLNLKQIRHLDLSGNYFGGIQVPSFMGSLGNLRYLNLSRSGFGGPIPPQLGNLTELRILCLPSFYAYDTNENEYTSMMWLSSLRLLHHLDMSHVDLSKAIDWFQVINTLPSLVELHLYDCQLSHIYPHVPSLNLTFLSVLDLSYNYFTNNFVPRWIFSLTALVSLDLAGCDFHGLIPGSFDGFHNMTSLELLRVPRNNFMSSSLVLEVLSSIGGNLILLDISSCGVSSSVLDFLHNLTSLHSLDMSGNQLTEAIPKSLGNLCSLKHIDLGGNYFPNISLTSLLESLFQCKSPSLESLSLESTGLSCHLPDQLGQLIYLLNILHLSSNLFTGIVTEAHFAKLTRLEILNGEGNNLILRSCISNWIPSFHLWGLSLSSWDLGPQFPLWLQLHTNLIVLEMSNTRISTTIPESFWKSLPDLQYLDLSQNHIQGMLFGIHAPLVFLNLSSNKFGGELPKLLNSSWIAVLDLSYNSFSGSLYHMLCTNSEKRQQLGVLNMGNNNLSGVIPKCWARWLDLSFINLENNKLFGRIPQTLGTLSSLKSLNLCNNNLSGRLPLSLKKLRNMEIFQLAGNELDGRVPTWLGREFSNLRILNLRSNNFDGHIIDELCYLTIIQILDLADNNLSGNIPKCFDNFSVLSGNGTSSTKKLIYGTPIGGSVANASLVIKGREDTYSNFLGLVMILDLSSNKFSGSIPRELMSLRALQSLNLSRNQLTGRIPENIGDLKLLASFDVSLNQLSVELPVSLSSLSFLSSFNVSYNNLTGRIPSSTQLQSFNESDFLSNELCGDPITKSCVVEVPVPAAGRDQDEEENDGAHGVDWGLIISIVIGFIAGFWIVLAPLIVSSAWRITYFRFLSDVRFMFYDAISTYFRYMFHKY >KVI10037 pep supercontig:CcrdV1:scaffold_340:271114:277195:1 gene:Ccrd_011579 transcript:KVI10037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTETRFLFKYQPQLGVLEKAYLPQGMMEVRLARQVLDLMAVEHLWIALNGMMVDRPASASWPVKSTNTATFPNIDPLRPYPVLGPAKKEPKSVTETKRNHGSVLRAILQAKVSNAIASIYGRMGGFVGPVPMTGLLPGGSEPSMRYHGSTLIDFGFTND >KVI10027 pep supercontig:CcrdV1:scaffold_340:284051:291501:1 gene:Ccrd_011581 transcript:KVI10027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSSRISSFSYLWVLAIFILFHGSLSDENSGNVSCIGYEKTALLEFKNDLKDGGNRLFSWNSSNEDCCKWYGITCNNQTGHVTEIRLRGPDDMDSFEDQEASIQRFGGKLNPSLRNLTSLDYLDLSCNDFEGNLIPTFIGSLQNLTYLNLSESRFSGEIPSQLENLSALRVLSVRNVYSGDQDEQTVKSLQWLSGLSSLRHLDMSGVQIGKVFDWRQVIRTLLPSSLVELHFSSCGLPPFTPSLTMVNLSSLSVLDLSDNNFSTNSIPSWISSLQSLVSLNLANCNFNGPIPGALMNMASLITLDLSNNQLTGVQTILSSVPGNICNLREINLSWNKFHGKSLSEVLTSLFNCESSKLESLKFASSGLSGYLPPQLGNMTNLVLIDLNNNSISGSISDSLGNLLSLQTLEIGSNSLSGPLPDSIGRLSSLLSMYLPDNFISGPLPDSLGRLLSLELLDISDNEINGTLPQSVGQLTKLMTLNIGNNLLTGVVTEDHFAKLTSLATLRADGNMLRLEILADNWEPPFQLQRLSLNSWSLGPKFPSWLQNQTNLFILYLASTGISDNIPSWFWTTFLDLQYLNLSDNDFSSVSLSEYFCSKADAEQKQVKYVNLGNTSLSGVLPDCWATWGFLNILNFQNNNLTGEIPRSLANLSMLESLNMRNNKLSGELPMNLMNSQRLLIIDLSENEFIGGIPIPIGGEATRLRVLSLHSNKLNGKIPSEICRFVSIQILILANNNLSGEVPNCFHNFSLMAGKMNPDQSIKLDGIEFRGSAWLAMTGRVNAYSSILGLVTFLDLAGNNLSGVIPSEITRLVELRYLNISGNRLTGRIPEKIGDMKLIESLDLSMNQLDGMVPLSMSSLSYLNLLNLSYNDLTGRIPSSTQLQSLPEWSFVGNKLCGAPLTVMCERRGGRAGDTGEAGGSGGPDWGLIISIVVGFVVGFWVVVAPLMGSKAWRVSFFEFVYGIWYKFCEWXGIVCDNFTGLVQEIHLRGPDPELEEASTQMLGGKINPSLLSLKQLRYLDLSCNDFGTTEIPSFIGSLQNLRYLNLSMSQFYGRVPQQLGNLSRLAVLDLRNGPWLSNVQVNNLHWLSSLPLLQHLDMSGYDLSGASDWLQVINTLPSLVELHLSSCNLSQISNHLTTVKFTSLTILDLSYNIFDTLMPGWIFSLTKLVSLDLTRCLFHGPVPGNVGGFHNMIDLKVVHVSENDFMNSSSVLRGLLSVTGLVSLDISTSNLSTSILGGLQNMTSLVSIDLSQNQITETLPNSFGTLCNLRYVDFRANYFTGSVSGILDNLCECNSPKMEYFALSANLLSGRLPDRLGNLQNLATLDLAFNYISGVIPYSIGRLSNLKQLVLNVNSMYGLLPDSMGNLTSLDWLEISFNNFNGTLPQSLGQLGKLTYMSVHHNSLTGVLTDHFANLTTLKSLWAGANMLTLELSVRNWVPPFQLERLRIGSWKLGPRFPSWLRSQTNLMNLDIADAGISDIVPSWFWISFPDISFLNMSHNNIRGMLIGDLILAPEAVVDLSDNQFEGSLPGKFNEADILLLDVSNNNLSGSLEQFLCPSLESERQLQVLDLANNNLSGVIPDCWTNWQALSVVNFENNNLSGELPQSVGSLSSLQSFNIRNNKLSGKLPASLLNLKSLQIIELAENDFTGSIPLLIDGEETKLKLVSLRSNKLEGEIPDELCRLTSIQILDLAHNNLSGTLPTCFYNFSIMSGRQKSSAIVLYDLPFQVQVLGSASLVTKGREFDYSTILYLVTTLDLSGNKFSGPIPMELMGLLGLRWLNLSGNHLTGRIPEAIGEMILLESLDLSLNQLDGRIPSSMSRLTTLNWLDLSSNKLTGEIPTSTQLQSFNESSFMANTLCGPPLAEICNKKRVSPGDSDGVNDDEDESDGVKWGFIISIVVGFIIGFWGVVGPLIASKAWRSAYFYFLYEVWFKIRIACRN >KVI10042 pep supercontig:CcrdV1:scaffold_340:131588:135536:-1 gene:Ccrd_011564 transcript:KVI10042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MKVIHIPCLEDNYSYLVIDEKTKQGAVVDPVEPEKVVGVAKENGVDLKLVLTTHHHWDHAGGNEKIKELVPGIKVYGGSVDNVKGHTKGHISYYLTGKEEEDPAVFTGDTLVGASLRVLTEVGLIIYQTGFVAGCGKFFEGTAEQMYQSLCVTLASLPKPTRVYCGHEFRCLKSFTSSLWPRDQNPLHEYTVKNLQFAQTVEPDNAKISQKLSWAQQQRQSGLPTIPSTIQEELESNPFMRADLPEVQERVGCKSPVEALREIRQRKDNWRG >KVI10034 pep supercontig:CcrdV1:scaffold_340:210159:212881:-1 gene:Ccrd_011574 transcript:KVI10034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase MAVFATLCFAPSFTFSANQTHNPAILSHHHILGRGSTTIRTKRLALGNDSLGDFGARDPFPAEIETNFCDKVGVYDTEHKILIPTVAAMSLSQQECTPISHLRFPIPEEDAQKLLRKVIGWRLVNEDGKLKLQCLWKLRDFECGVELINRIFKAVGSTGHFPNLHLEQSNQVRAELWTSSIGGLSMNDFIVAAKIDDIRTSDLVPRKRAWA >KVI10035 pep supercontig:CcrdV1:scaffold_340:224793:227437:1 gene:Ccrd_011575 transcript:KVI10035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MALEGASMANKTVIIAVVNKAYTEGDKPMLDMFLDGFWLGEDTRQLKNHLLVVAVDQTAFERCRFLGLHCYKLKTEGGDFVGEKVYMSEDFIKMMWQRTRFLNEVLRRGYNFVFTDTDVLWLRNPFPRLTLDGSVDMEISVDNFNGDQWSERNLINTGFYMIKSNNKTIALFDEWYGRRNNSAGKKEQDVLLDLTREGAFRRLGLRVRFLDTIYFSGFCQDSRDVHVVSTVHANCCRRISAKVADLTAVIHDWKRSFNISAAGNQTMEFRWSPHSACRNSWIS >KVH90774 pep supercontig:CcrdV1:scaffold_3403:54491:55280:-1 gene:Ccrd_007216 transcript:KVH90774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKVMRPRSMAKELQGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPQD >KVH90773 pep supercontig:CcrdV1:scaffold_3403:49514:50780:-1 gene:Ccrd_007215 transcript:KVH90773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVAVEEGYGGVVWWWKTTTTTKREFDSPYLRVFPLLSSRRRICHFQSSTDSHTTQAASTTMATDRFIYSLAFTLLFTLSVSSDPPQISPSPAPQLGSVDLPPVPSPSPTTGSPPAPPPSSDLSPTPSFDTSSPPSLPPAPELADASDVSSANVKTEETKEASSGGMSSGKKAGIAIGVIGAVCVVGLGGMLYKKRQYNIRRAEFSSAARREFL >KVH90775 pep supercontig:CcrdV1:scaffold_3403:1342:4988:-1 gene:Ccrd_007210 transcript:KVH90775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap TRPALPLYKWLLLLLHKTIHFIFCLILQTKIPTDDKTHRAFDMGKKSILLMAFLLVCISIQPAIVRAADDDKEEKPKPKPTEADATNYDVLSPEPETGRQRAFCKSQGDCYYKTLTCPAECPERKPKKNKKQKGCFIHCGSKCEATCKWRRGKCNGYGSLCYDPRFVGGDGVMFYFHGGKGRDFALVSDTNLQINAHFIGNRPTGRTRDYTWVQSLAVMFDTHTLIIAAKKVQKWDDSVDVLLVKYDGQEVTVPFDGEAEWKTITEEREVVVERTDDTNTVRVTIGGLVEIDMKAVPITKEDDKAHNYQIPSNDAFAHFETQFRFSNLSEEVEGILGKTYRPGYVSPVKRGVAMPMMGGEDKYETKSLTSTLCKTCIFQKQPATAEDDKDHGDDNSKKSPAPVAAPKEQTPPAKTDDDSKKSPAPVAAPKEQKPPAKKDDDSKKTPAPAPKEQKPPAPKEKPAPAPKEVKPKPSPVEDDATNYDDLTPDPKSGCERAFCKSKGECHYKTLTCPAECPQRKPKKNKKNKGCHINCGSKCEATCKWRRPKCNGYGSLCYDPRFVGGDGVMFYFHGSKGRDFALVSDTNLQINAHFIGNRPIGRKRDYTWVQSVSVMFDTHTLVLAAKKVPKWDDSVDVLLMKWDGQEVTIPSEGNAEWKTTTGVREVVVERTDDTNTVRVTVGGFVEIDMKAVPITKEDDKAHNYQLPFNDAFAHFETQFRFSNLSDDVEGILGKTYRPGYVSPVKRGIAMPMMGGED >KVH90778 pep supercontig:CcrdV1:scaffold_3403:28894:36301:1 gene:Ccrd_007213 transcript:KVH90778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase domain-containing protein MATETLDTPTNGSTSNVTGELNPPEDCANVSHSSPDATPVGDVEQGDKRKRIESETDEKTVAVHPLWKTSLCSYFRRTGGECSHGETCRYAHGEEELRPRPDNTWDPTSEMAKKLKLEHEVREKRSDERDEEVMMTETISEDGCSESTLDKCLVNLPMKWSSDNLRSFLNEQGIQYKSAKTKKGMVVGFVSFETAEQVKTAIEKLQGKPFGNKNLKIADVIPRAFDKIVKPALISDNSDILESANEVEDGDSVNGSSTPGSSVLKGRSAREVVTPLAHMSYAVQLEHKKNSLAQTMKKLTRNARKACPNGVSLPDWILKSREIGGLPCKLEGIIESPLVNGYRNKCEFSVGYSLQGKPTVGFSLGNFREGVTAVEEPLDCPNVSRIGCKYAEIMQNFLQISLLPIWNRMNNTGFWRQLTVREGRTPGKNAEAESGEENISEVLLMVQICSTGFDNEVINVELENMAEAFVVGASTSSPSLPLTVLVVQDHLGISNVAPADAPLRTLPLTKAVSRSVEDSEVVAEARIQDYINNLRFCISPTAFFQVNTLAAEKLYSLAGDWAGLGPNTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNASAVADANRNAEINGIKNCRFVCSKAEDVMGSLLKEYLTKKVDNDADIGNKEETNASEEKAPDAEDSSGLNLKDEKESNCVTESSSSKMHHFENVVAIVDPPLTFHAIPKA >KVH90772 pep supercontig:CcrdV1:scaffold_3403:46650:49072:1 gene:Ccrd_007214 transcript:KVH90772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MSFLDHFQVVEEEISTVGTETPNGKNWKKQNLFLEIPSRTSQASSSHESVQIKITPTPTPTSKKVNFNLTPSPSEIRPNAPAPIHSSKTKSSKKSLLPKLSFMNKTTIPDTERIDSNVVPAVSSSIPQEKTSIARSWSLTKIFTPQRTASLPVTPIAQSDQGSVLGNSGGSLNLETKVQGCIARSRSVPVLNEDISIKRMDSFFRVIPSTPRVKDSDTVSPTPSPARDAGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCHQDVQNLPVTLLRIQSTVGNMGTSAIALSLPFSCVLGLLSSMTSWTMVRRRFVWLYASIQFAFVVIFAHIFYSVVHVQPVLSILLATFAGCGVAMSGSSIIVEVLRLKRRWWHDRSDQQMNTQIVLHPEPRRTQTASSSHAAPTHDVEIGNTEAQRGS >KVH90776 pep supercontig:CcrdV1:scaffold_3403:12968:21039:1 gene:Ccrd_007211 transcript:KVH90776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MTKTPLAIFIIKVPQVTKVLLFLRTQEKTLPHAHPTRHPRFAFQRRIHRKTFTTASTSSTIDQFPVVRRNSIMIKERSQGIVLVEPGLQNLVWAAVFIKEAGELLLRDVVGFESEWGLVLIKLVNCCSRLLKLIQYWEDQVSMFIKGYSIASVAEMGCCGCFGFSFAKKQKKTRPRMHYGNHISQELLLNDEVEEEEEEEEEEEEDNCSYGEDMSDTEKGDHEEFRNPAKRSEEILLYRTQNGLICREFPVKETHKVVRSEVLYRSQVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKMLNHPNIVNLIEVIDDPNTDHFYMVLEYVEGKWVFEGAGPPGGLGQHVARRYLRDIVSGLMYLHSHNIVHGDIKPDNLLVSATGTVKIGDFSVSQVFEDENDELRRSPGTPVFTAPECCLGLTYHGKAADTWAVGVTLYCMILGQYPFLGDTLQDTYDKIVNDPLWLPVGMNPLLKNLLEGLLCKETVAVHPWVVGDDGPSPHYVCWCKRNMLQREKKSDGSITDALINTD >KVH90777 pep supercontig:CcrdV1:scaffold_3403:22376:23734:-1 gene:Ccrd_007212 transcript:KVH90777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNVLKWQILRGSLAWRLILRAMFFVVAMVVLSFTRIANEIRTTDPILLNFDKCSLNIGSIVNTQLKTPRVSLSQEEISIPCVDGTNLTVSVIRELMNKEMLNLDARSLCVGKDSDSIALTMRELGFSNAFGVRSNPLLSLLRKQFHHKLDFGSNSFDLVFSVTPDKVSVPALLVLEIERILRPGGVGAMLVGSSTFHTRSLVRSATPVSLLLRNSEILHVCGIGSFTLIVFKKRLENVAFFDDYKLPNDCPSISKNKPFMKSIEPLVDQNSARFQELSYLPKFLNVSSRNRLIYINMGAVEFDHRYPIHPDAFNVYVVDHNVSALTSHVKKPGVTFVYHPGLDEDDEIAPSLMSVDYLEAPLHEEQFEFIDWFKETAKDGDFVVLMMNAGVRQLKVLFELFVSGAICHVDELFLRCSDGVDCRTSYCRDCTSLYNGLRNAGVFVHQWLGD >KVH87439 pep supercontig:CcrdV1:scaffold_3404:49078:52984:-1 gene:Ccrd_025323 transcript:KVH87439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MNTNQVNQGTIENGGEDSVAVLTPPLSLDCESGTDYRAPNLLHRILALLKNVRPGSDLTRFSLPPIFNIPKSQLQCYGESVYCVNSDMLTKCANGETPLERFISVVAWTISTLRPLMFGVAPYNPILGETHHVSRGNLNVLLEQVSHHPPVTALHATNEKDKIEMTWCQYAIPKFYGINKDSIVLLVKHTPYSLGRGQRWDILGLFGFFLYNYAAPLYHIGTSIETQVLGKRQLKLLNNGETYVMNSPNLVIKFLPVPDVEWLGNVTVRCQETGLEAELCFKGTSFLGRRGNYRSIKGKILSSMKTIYEISGHWDRTVTIKDISNGKMTVIYRAKDVISAMKTPVVKDVKSWDKAKDSKTAIEDKERELVRNRISKGEAWVPNHFVLSNCKQETGEWEWEWEDLDVS >KVH87438 pep supercontig:CcrdV1:scaffold_3404:42837:48938:1 gene:Ccrd_025322 transcript:KVH87438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKAAQAWRIGMKDNHILPPPRHRAQLKKPTWIIVLVSLVCVFLVFAYVYPLQHSGACYIILSSSCKTFSSWLPPPTRQLSDDEIASRVVIKNILKTPSIESNNPKIAFMFLSPGSLPFEKLWDKFFEGHEGRFSVHIHASREKPVHSSRYFINREIRSGKVDWGKISMVDAEKRLLANALKDPDNRHFVLLSDSCVPLHDFDYVYNYLMYTNVSFIDSFEDPGPHGSGRYSDHMLPEVEKKFFRKGAQWFTMKRQHAIIVMADSLYYTKFRDYCKPGMDGRNCYADEHYLPTFFHLLDPNGIANWSVTHVDWSEGKWHPKSYKEQDISPQLIKNITSISESVHVTSDEKKETMVKPCLWNGINRPCYLFARKFLPETLDRMIDLFTNQTMF >KVH87437 pep supercontig:CcrdV1:scaffold_3408:27022:33164:1 gene:Ccrd_025324 transcript:KVH87437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHFYITFTPCYTKNQRFLEAPRRFLFSSEAKGNRRNVGAIRVSDDGDSYMGMWKKAMDRERKEIEFKKIAENVAGKEETGGRVENLETKSSEFLKILEVPTEERDRVQRTQVIDRAAAAIAVVSTLLRDDKMTVENDSYGLGNLDNGHDELDAIIGDQQQGSASIGTPGPNFWSWVPPSDTDYNVDDGAESASSRKTLTSPRQPNPVMELEPYMPLPFESNYNPPLPPFQSLMEVEKQDSVLEENPQLREETEVDNLFSTHAEDAAHALAEVDPVSCEGTNAQGSKWWKETGTEVRPDGVVCRWTLTRGVSADKTVEWEEKYWEASDELGYKELGSEKSGRDVAGNVWREFWKESMSQIEGCLHIEKTADKWGKNGEGDEWQEKWFENYGAGQADKWAHKWCTIDPTTHLEAGHAHVWHERWGEKYDGQGGSVKYTDKWAERSEGDGWTKWGDKWDEHFDPNGHGVKQGETWWEGKHGERWNKTWGEGHNGSGWVHKYGKSSSGEHWDTHVQQDTWYERYPHYDFYHCFENSVPLRQVKKPSD >KVH94838 pep supercontig:CcrdV1:scaffold_341:127603:139199:-1 gene:Ccrd_003090 transcript:KVH94838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MDWGTVTTEDLIEALREVDWSSPPRPLNEFFSRFTVPRSSNKWNSRLKCNLYYYRTNYFIMIVLILGLGFLWRPLAIFAAMLTALTVAFLNDSFAGTFSEKVTRTVRRVSPHLAAKMRPPLTPVIRGRPSSKRAIYICGRPRWLVSFYGTVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >KVH94833 pep supercontig:CcrdV1:scaffold_341:204312:209530:1 gene:Ccrd_003097 transcript:KVH94833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingomyelin synthase-like domain-containing protein MSLYIGRETSKLWKRICAETTTEVNLLAENWKYILGGLICQYIHGVAARGVHYIHRPGPILQDTGFFLLPELGQERAYVSETVFSFVFLSFVLGSRLATLPRPDNPLEVLLIIPRGVLYGCGDLIFSSHMIFSLVFVRTYQKYGTRRFIKQCAWVIVVVQSLLIIASRKHYTVDVVVAWYVIIHSLQHLYHAFSTSQVLTDSCLYSRYTVNLVVFFVDRKLAELPDRGAAVLPLSKDVRMKEENIILVNGNPGETTDWRLRGQANGKINEDSNNVHGDVLDSV >KVH94840 pep supercontig:CcrdV1:scaffold_341:209105:211216:-1 gene:Ccrd_003098 transcript:KVH94840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MANSEMAGIDLECGGGETSRRSTSDENNQCFSDAEEGSCYSQFYSTYGDANYDDPEIGHVSRRVSSAGSDCSVDVLGDDEGKVVIVHLGMKLDKRDCRICQLSLVDDGDGDAIELGCCCKDDLAAAHKHCAETWFKIKGNKICEICNSIVSNLVVPNETLLGHGTMDVNPVATSVATAHVSAATAIRGGRGCINGHRLLNFILACLVFAFVISWLFHFNIPS >KVH94839 pep supercontig:CcrdV1:scaffold_341:148361:153604:1 gene:Ccrd_003091 transcript:KVH94839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEECTTPKQPENRIPAATKCPPTPRKKSAAGGRKKREQPKNGYFHPPELDTFFATDAAGRKVPLLLSGLASDSGHHLQDDDDDDD >KVH94837 pep supercontig:CcrdV1:scaffold_341:169667:172847:-1 gene:Ccrd_003093 transcript:KVH94837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKLEMDEDPCGINRKLYMNKRKFHHGSLDLPVSKHACFEKSSNAETCFPSNIQSDIEDLRNSRKERSTYNSHSIDDQIEQGSEKDSNSFSEDADSVMSVSIDSKNELHYLKICPPDQNSDASVNWGSRFFDSSVNYLDRSETKACDENFMNPAYNVYESPSFEEQQMDCGNIDEFDSSEYKNGGTKPLDDLFCSDGVIPDNFVLSSGRWNVNQDTEQGTEKMTIDKEFEQYFSMLML >KVH94832 pep supercontig:CcrdV1:scaffold_341:196056:198254:1 gene:Ccrd_003096 transcript:KVH94832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKKDQKYIVEQLTCAILMMRECIKEMSYDDNACTICGHKRITNGLFSRFSYAELAFSTYGFSQKYLLSKRRKRVYKGTLRCGLKIVIRKHFFATIKEEDFHTLAFALSKARHEHVATLLGFCLEGFHRSEELTWERRLKISLGTSKGLEYLHTNNIFGCVRPRNILLTHDYQPQIANFGLTKNPYEDLNHLSEARVMKTFEYLAPEYDETGADSSKTDVYSFGVVLLELLTGKATIGRKDVRGFSRSVYEGRHSSLSTCFDCSGCGGLSFL >KVH94843 pep supercontig:CcrdV1:scaffold_341:9881:21116:1 gene:Ccrd_003086 transcript:KVH94843 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein MGDFNLALVIVAIVVCVLVFIFNLYLLVNYQHPDDKNQAYFPKFVVVLGLSVAAISILMLPADVANRQACQHAIYNGACNLTLPMKDLWLAIYIIDAILVFFVIPFAMFYYEGDQDKTVGKRIKSALMWVIATAIICGLVLGVIGKVDFTVRHLSSSTMSFPSTFQLSSSQPCIGNGARQCSAYTASPSSETTWTMRSTFPEYVVALATIVGSVLFSIFGGVGIASLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAADALHQEERSGSKGRKWRKNKKALEKELLLLEDDVKALEEMYPQGEKAETTWAMTVLGYLAKLILGVCGSSGYCSLRILLLLPSACSYCWGDDAWWGATLMNSFLFNVALILLCSIRDGGEESRVAGSNYRLKACYDLKSTNVN >KVH94835 pep supercontig:CcrdV1:scaffold_341:184814:189664:-1 gene:Ccrd_003095 transcript:KVH94835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGMKIDEEFVPDRRIEVETDDEENEAEIDCDDDDDETGSECGVPYATAQRNSSIITDSQSQNHEPWPQTYRQSMDMYTMPLHSMNSFRGASELNLPIKSTFGSTNDQSTLRKRLISATSLDKDQVPVSTLPIKLSQTSNLRISGLHDLPPPVEQCTFYQSVLNATNVLCGIGILSMPYTFKEGGWLTLLLLMVFGAITCYTGILLKLCLERFHGLQTYPDIGQAAFGFFGRICIASSCVEYLIMMNDNLSALFPHAHLDIGGIHLDSYQFCAIISTLVILPTVWLRNLSLLSYISAGGVLTLATVVFCLLWLGVVDRIEYHPSGTALEVGSFPLAVGLVGFCYGGHSVFPNIYTSMKEPWRFPSALIISFSVSFVLYTAVGVYGYLMFGDSIKSQFTLNMPTNYTTSKVAAWTVVVAPVTKFALTLTPIAFGIEELLPPSQQNSYVVSIIIRTALTFFILLVALTVPYFGVVMGLIGSVLVMLVSIIFPCACYLRLLRGRTTTFQITICCLMIFAGLVCAVAGTYSSLASIPG >KVH94845 pep supercontig:CcrdV1:scaffold_341:59966:64688:1 gene:Ccrd_003088 transcript:KVH94845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MSNPQIIRHKNQPKLTWKQIPGLRPLSSHKNHHLQWRRGGGAGFATVSMMEKTLEFEPTPAQLWKHPLAIVALVPKDAAVFAAGALSGAAAKTVTAPLDRIKLIMQTHGLRVGQESAKKTLGFIQAFVSIGKQEGIKGYWKGNFAQVTYPLDVLRLRLAVDPGYQTMTDVFVKMLKEEGLGSFYRGLGPSLIGIAPYVAVNFCVFDFHRCNTYMLPIGYYQKTNANEGYTLQKRFRRVSSIKLTTFDAMKRMISASEKEFQRILEENRNEQKQSANDSTF >KVH94844 pep supercontig:CcrdV1:scaffold_341:70519:77777:1 gene:Ccrd_003089 transcript:KVH94844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase, C-terminal MAEVDKDGGSAAAAGGGGKAPTVKSIFVYPIKSCRGISVSQALLCSTGFVWDRQWVVVNSKGRGCTQRVEPKLALIQVELPIEAFSQGWVPKNTSYLVVRAPGMAELMVPLTKPSLQSDGVSVWEWSGTALDEGDKAAKCIFVDGCEPFAEDLWKQIKINELTFQGVMLCPRCKVPTINQEDAMQGSEPTETMMKFRSAKALEVNATKTKYKGRVYLGQMLVCEDKDSSWRKVINVGDIIHVEKILPSYADVAV >KVH94846 pep supercontig:CcrdV1:scaffold_341:275864:278826:1 gene:Ccrd_003100 transcript:KVH94846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MLMASRVFAVGFVLAFLAFSVSITSASIVETSFLVKNLTVNRLCQEQVIAAVNGSLPGPTLRVNEGDTLVVHVFNRSPYNLTIHWHGVFQRLTPWADGPEFVTQCPIMPGNNYTYRFNLTGQVGTLWWHAHSQWIRATVYGALIIRPREGQKYPFVKPYREDTIMLGEWWNADVIEVENSALATGSAPNNSDAYTINGWPGDLFSCPSNKTYRLEVVPGRTYLLRIINAALNNQFFFKIANHNMTVVGVDAAYTNPLLTDVIVLGPGQTTDVLITADQSPGSYYMAAHPYASAAGVSFNNGTTTAILVYENSTSSTPILPILPPFNDTATVFRFSSNLTSLVTSPFWQPVPQTVDENMFITIGLGLAACGANQTCGGIFGQRLSASMNNHSFVLPTGISLLEAALRNVSGVYTTDFPDQPPLQFDYTNVSNSFDLNLLMTPKLTSLKSVKYNSTVQIVFQNTALIGIEDHPMHLHGFNFYVLAQGFGNYNPQNATANFNLINPQERNTLGVPVGGWAVIRFRANNPGVWFMHCHLDVHMPWGLGTAFLVENGGTPESTLPQPPADLPQC >KVH94834 pep supercontig:CcrdV1:scaffold_341:175366:183163:-1 gene:Ccrd_003094 transcript:KVH94834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MASSSKLSPHVIVVSFAIVYVYLSTIFVFVKQWFGLGSSPGIMNTVAFTAVAVMCISNYVIAMFRDPGRVPPSFTPDIEDSNHPIHEIKRKGGDLRYCKKCSLHKPPRSHHCRICKRCVLRMDHHCVWMNNCVGHANYKVFFVFVVYALIACLYSLILLIGSLTVDTQKDGEGSYRTVYIISGLLLIPLSMALGVFLGWHIYLTVQNKTTIEYYEGVRAMLLAEQGGNVYSHPYDLGVYENLITVLGPNILCWVCPVSSYIGSGLQFRTAYDHARSLTSTR >KVH94836 pep supercontig:CcrdV1:scaffold_341:157439:173252:1 gene:Ccrd_003092 transcript:KVH94836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e MVPLRVVHRSIVVHNRPKSSFPPLIVFRFCCRKIILHCFAPNRSIASKSQVDSTAAVVAPPAKTKVLLRGPKNCREAVRHFGKAPSVPHSHTKPYVRSKGRKYEKARETHNHLPTPPPPPPRP >KVH94841 pep supercontig:CcrdV1:scaffold_341:222837:230074:-1 gene:Ccrd_003099 transcript:KVH94841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDEDKDTQMEDFSTGQHDSGDVTTAAAENHDADDCDAVVVGDGIGCSSASGGGGRAGKKSGDRVKGPWSPEEDAILSRLVSNFGARNWSLIARGIPGRSGKSCRLRWCNQLDPAVKRKPFTDEEDRIILSAHAIHGNKWASIARLLPGRTDNAIKNHWNSTLRRRGLEHGKFNLGSGNTMEEASVERSKASSEETLSCGDVNSSKIFEGVDVTSDHNAENAQTKPHFNNVPNDQPTLFRPKARVSAFNVYNSLDSPETQGTSIHSSKADVGLSKLLEGAYNERLVPHRCGHGCCDTSTIPISHKSLLGPEFVDYVEPPSFPSHELAALATDISNIAWLRSGLENSSVRPTDNASGRANPKAKDHFRLVTDVLSPMPISWQPSAQPANVGSSG >KVH94842 pep supercontig:CcrdV1:scaffold_341:42622:42888:-1 gene:Ccrd_003087 transcript:KVH94842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYRRALMNLLPWRSLLVFTIFVFFMVGQTECTRTSSDHVFRVVDPNSNHQFSGNFFGFLPKRKTPLPTSGPSRKHNDVGPENWRIP >KVH94847 pep supercontig:CcrdV1:scaffold_341:285798:295838:-1 gene:Ccrd_003101 transcript:KVH94847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPRSRSVETISSSKQRERTATLLCYLCVFFLHRQQTARTDNSLAEDNRLVIRCKTMFMGMRLSVEEEDAMDGDEETAAGRGREFDSGEEEKELGSLGV >KVH87436 pep supercontig:CcrdV1:scaffold_3410:29625:33499:1 gene:Ccrd_025325 transcript:KVH87436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MMKSLVSILTLCFLGIVGTEAAGRNLKDVISLPSQRFQQPYRTGYHFQPPSNWMNGPMLYEGVYHFFYQYNPYAATFGDLILWGHAVSYDLVNWIHLDPAIYPTHEADSKSCWSGSATILPGNIPMMLYTGSDSQSRQVQDLAWPKNLSDPFLREWVKYENNPIITPPEGVKDDCFRDPSTAWKGPDGVWRMVVGADRDNDGMAFLYQSTDFKNWKRHEQPLSSAEDTGTWECPDFYPVPLNSTNGLDTSTYSGSVMHVMKAGFEGHDWYTIGTYSPVSENFLPQNGLRLSGSKLDLRYDYGNFYASKSFFDDSKNRRVLWGWIPESDSQEDDIEKGWAGLQSFPRAVWIDRSGKQLIQWPVEEIETLRENEVKLENKKLDSACPVYEIQGITASQADVTVSFKLEGLTIADTEDLDTTSVDPQALCTERGASSKGAFGPFGLLAMASKDRKEQTAIFFRVFYDQKIKRYAVLMCSDLSRSTIRSNIDTTSYGAFVDIDLQNNNEISLRNLIDRSIIESFGAEGKTCITSRVYPKFAYNEDAHLFAFNNGTRSVTISKMSAWSMKDAEFVIDQTEKSAV >KVH98743 pep supercontig:CcrdV1:scaffold_3413:16:52220:1 gene:Ccrd_023030 transcript:KVH98743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLNSLRMKKQMLLIELSAVEQKISTLELIPDYLKALQKEPEVFYVIYKGPHTGVHIDWKLVESYCKTDKKCIGYSFEETQPDPSVYDEENVFKNDENLVVSENEAVLEIFYCVSTKEISIDIADVSGQVYLPLITKSEIRQKLEKIPIDIRKKITFAHIGAIKILIKAQFRNGIDSPFKMALETQSDPSIYGEENVFKNDENLVVSENEAGFSADLMVHQDILDKINKLNLNLDKTKVFKIQNSLTKAVQKAFRRKNEIFYCVSTKEISIDIADVSEDSNHAETSATASRRKRPTKTQRASSRSVKNTSSLKAAKQIETVSVLYCCGEGENSSKKL >KVI04579 pep supercontig:CcrdV1:scaffold_3415:42272:47862:-1 gene:Ccrd_017103 transcript:KVI04579 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand-like domain-containing protein MGHCCSKDAVVDSVVKDDNNAGSTVPNRPRSPRPSAVVANGTNGRSSSNTPTHSFTGSPWQSTYPAGVPPTPSPARTPRRMFKWPFPPPSPAKPIMSAILTRQGKGKGKGNTTPTGTGTGTIPEDEGGDGERALDKSFGYQRNFGSKYELGKEVGRGHFGHTCWAKGKKGALKNQPVAVKIISKAKMTTAISIEDVRREVKLLKGLCGHQHMVQFHDAFEDDQNVYIVMELCEGGELLDRILSRGGRYTENDAKSIIVQILSVASFCHLQGVVHRDLKPENFLFSTKNEDSPLKVIDFDQRLNDIVGSAYYVAPEVLHRSYNVEADIWSIGVITYILLCGSRPFFARTESGIFRSVLRADPNLNDSPWPSISPEAKDFVKRLLNKDHRKRMTASQALTHPWLLDENRAVPLDILIYKLALSKALTEDELVYLRAQFDLLEPKDGCVSLDNFRTALVKNSTAAMKESRVLDILDTMKPLSYTRLTFEEFCAAAISPHQLEALEGWETIASTAFEYFELEGNRVISVDALAQDWIRGTDGKLNFLGYAKLLHGLTIRSSNTRHH >KVI04578 pep supercontig:CcrdV1:scaffold_3415:54342:54608:-1 gene:Ccrd_017104 transcript:KVI04578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCNSQGKLLKSCGTQVAEPLRAIAIGVSLEDTRHPTLHYDTIWQEVEAQVIEVFRRSTKVMDGTGNQELRTRLEADESEADESKWK >KVI04580 pep supercontig:CcrdV1:scaffold_3415:40866:41888:1 gene:Ccrd_017102 transcript:KVI04580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSVFATDYYGRHASFLILLPSNLTASDFATLSVIAVVLLLSLVSLFCIFHLRRKSRASLHLRSFNSLWAVRLLLVVFISCWAANEILRLPFIRQRFLFPFLSSLTFSKQDNFCKLQVVLSLGFLEPGFLVTLLYLINVSIKQRNPAKKWSVLIVIVMSLPPLILQILFLFFTPLKEQLPMVMTRTSLFSVDSFGNNRMICTFPLLSSISFCVFAIIYSMGLLVSCWKVVSMVINKTIRVRINMLGLTVMMALLIQTLFLGAESLWMREDIGFDGVSLGLIVSVAVSAAVGEIVLVIKPIMEALETGSHCSGQLNPWLQQAAEGGGGWPWAAKRPLPTG >KVI07905 pep supercontig:CcrdV1:scaffold_3416:36579:53873:1 gene:Ccrd_013730 transcript:KVI07905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKGKWSGDLNSFPKAWKLKQLGREGVKSGQARSESRGGNGWHPSIFTGGSSATSPTVNVLPIDNICLSGKDLKAGTDNYGDNNIMGGGSAIKSIVVGGREENPILGNLNPSELMQQGVVEDRLPLLVDVTSAFRSVCLLHWWGVSGAGKTTLMDVLAARKLVE >KVI07906 pep supercontig:CcrdV1:scaffold_3416:32648:34904:1 gene:Ccrd_013729 transcript:KVI07906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MEVEMDASGVVHHHHHHHHQQELSSQTLESMLVCTKAQQEKKPRPAEQALKCPRCDSTNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSSATSSNSTSSSKIRGTHDHLLNQQHHNIITSNSNNTLLSGLPHLPYDSNCTDLSLAFARLQSQANGHLGFDHFDQNPNSSHTPTHQADHLGFLDAIRGGFLGNLPNGYHNMIYNGGNAGNGDMGSVENGGILMGLTNASSDHDHDLDQEIMNPMFHDHHNQEHLVNNNGASGAATTALMMAAMKQESTCHGRSELGENRGSSGGVLWGFPWMMGAGGGDQGMNMVHEVESGRSQIAGWNGLGSTAWHGLLNSPLM >KVI07907 pep supercontig:CcrdV1:scaffold_3416:6244:7755:1 gene:Ccrd_013728 transcript:KVI07907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MALFFYSDLLVKGLVGDSFTYPYVLKACTLLSTLRVGXQIHSHVVKTGFVLNLYVVNILMRFYSECGVLEGAQKVFDGSPXRDLVTWTTLIQGYVSMGFCEKGIEVFYEMCDAGVRADEMTMVVLISASARLKDLNLGKKLHDYICDHNLNFDVYXGNALVDMYLKCGEASLALKIFNKMXVKNVVSWNSIILGLVHQEKFKLALNLFKEMQKQGVKHDEFTLVGVLNCCANLGTLKDAIWVHSYXDTNGIRADGFIGNALLDMYMKCGHLKKAYSIFNNMKHKDVYTYTSMIFGLAIHGEAKRALEIFSEMPKMGXVPNDVTYVGILMACAHAGLVKEGCNHFVEMWMVHNIKPQKEHYGCMVDLFGRAGLLSEAEDFXQNMIVEPDGLVWGALLAACRIHGNVEIGERVMEKLDRIDEEKDGAYILMSNLYSSLYRFRDAVKLRKTMKQRKMKKIAGYSSIEVDGIAYEFRKGEKSNIKSKQIYMLLDVMGKHLKNGGEIM >KVI04425 pep supercontig:CcrdV1:scaffold_3418:14904:20870:-1 gene:Ccrd_017261 transcript:KVI04425 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MPLFSNKLLFVPINTLRSVFFSNRSYIRPTKNYNPNFKILVSSMTTTQRPNEEGIAKRLWVRFNKESILSLYTPFVVSLASGNLKLDTFRQYIAQDVHFLKCFAKAYELAEECADDDDAKVSITELRQSVLEELNMHGSFCQYTDFLLATASGKIEGVKGLASLATPFEKTKVAVYTIGAMVPCMRLYAFLGNELQSLVDNNGNHHPYKKWIDNYSSDAFQAAALQIEDLLDKLSVSLTGEELDILQKLYHQAMKLELEFFLAQPIDQQIVVPLSKEQNPRQNRLMIFSDFDLTCTVVDSCAILAEIALVTTPKLDQIQPENQNQVAQMPSADYRNIWEALSRQYAEEHEKIMECMLGDQKGKSSNLFVIPWMLWMLLLDTYARRRKGLRKALEQLSDFEKRANMRVIESNVLKGLNLEDITRAGERLIFQDGCMDFFRCIMKDERLNVDVHVLSYCWCGDLIRSAFSSGGIHDLHLHSNEFIYEGLISTGEITRNMESPIDKLQAFIDILKEHDQWDGKNLTVYIGDSVGDLLCLLEADIGILIGSSTSLRRVGTHFGVSFVPLFPGLVVKQREHVEGKFFSWKRVFGIVYTVSSWAEIHAFIVGS >KVH87435 pep supercontig:CcrdV1:scaffold_3419:38076:39124:1 gene:Ccrd_025326 transcript:KVH87435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGEAYQIININKVDGKQKRSRKQASSTMKEFDATSKDDVYNIDYHGVKTHPDPTPKHP >KVH96771 pep supercontig:CcrdV1:scaffold_342:232254:236360:1 gene:Ccrd_001138 transcript:KVH96771 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELK-like protein MDGHAGGGGGGTSSLMGFGENNSLNRSSNGDNNNSIGSLCLPPPVIYNNTLIFSTQDHHHHHNNCGTSASAMMLEDNNNSNINDGGGLGFISSSSSCSSSVKAKIMSHPHYPRLLSAYLNCQKIGAPPEVVERLEEACRASVMMAGRASTGGGGGGMNTTIVGQDPALDQFMEAYSCGEGGMDRNVSSEEEVDVDVNNNMVDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWTRHYKWPYPSEAQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYFMDNIFGNPYPMDVSLL >KVH96770 pep supercontig:CcrdV1:scaffold_342:244242:247115:1 gene:Ccrd_001139 transcript:KVH96770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein EDCSITQQLGVTCLAGYAAGSVGSIISNPTDNIVXSLNNKKVGSLKLVVKKIGLRNLFARSLPIRIMLMGPVVTLQWLFYDTIKVLSGLPTSGHIRSEVEDTGAKIQIVHDED >KVI01832 pep supercontig:CcrdV1:scaffold_3421:22169:23875:-1 gene:Ccrd_019888 transcript:KVI01832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MSSLDNVAKCSDLSSVSPEDQPICCPDCRDVFWVDGETPVQSSRDRGKLVCDTKGLNIRFKKMWQRRQLPDPNYFSSYEKLAIEMIRNWEPTKGKRALLCGVTYNKQKYKLKGTNYDVNSMRELLISRFQFPSTSIRTLAGFRSIISIDIARELAETDSHLLPTKRNIQEALRWLVKDNQKGDSLVFYFSGHGLRQPDFFDDERDGFDETICPLDFKTAGMIIDNEINETIVRPLVKGVKLHAIIDACHSGTILDLPLVFNTKNPPSGVYKGTSGGHAISISACEDNQLAADTSAFSKQMEGAMTYTLRKAVEGNRRVTYASLLASMHKDILAAKATRFCLRRLCHRERQQVSLGFRLLIA >KVI01835 pep supercontig:CcrdV1:scaffold_3421:51634:58360:1 gene:Ccrd_019885 transcript:KVI01835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MELYDNRPEKILTDFLTEYYSDQLHSILLSSDLRLHYPLYVDFAEVLEHDSPLSHEILFEPTKYLRIFDESAKLAQEIMFGQAKKVWEHERQKEDLERPPPSIKEFVHVRIEIHGPMLDNPELCPSIGRVRVKHRGILLTLKGTVIRSGSIKMIDGEREYECRKCKHRFKVHPELESRNSIPKPISCPSKKPYCESTSFQLLEGGKICHDYQEIKIQESTQVLGVGAIPRSVPVILQDDLVDIVKAGDDVIVTGVLTAKWSPELKDVRCDLEPVFVANYVRRINEVKLDIEIPDDIVWKFKTFWSDFKDTPLNGRNAILRAICPQVYGLFTVKLA >KVI01834 pep supercontig:CcrdV1:scaffold_3421:29797:32059:-1 gene:Ccrd_019887 transcript:KVI01834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase METTESRADASDNPNNGSSGDDNTSLENNHPPPLLPPTSAPSLVGTESDNIFPDSTLQTTGESIELPLPAPDNADAGLPAPRKRRRRKKQFPEMISSAAAVNGLRVIRHKSGNRSAEEIDGYGDDDPSRRRRISSDLDVESLIAISVGFPVDSLTEEEIEANVVKTIGGTDQSNYIVVRNHILARWRSNVDIWMTRDHAFESIRVEHTNLVDSAYSFLLEHGYINFGLAAAVKEAKLKSPEGSSRGDVIVIGAGLAGLVAARQLIFLGFKAVILEGRTRPGGRVRTKKMSGGDCVAAADLGGSVLTGINGNPLGVLARQLGFPLHKVRDICPLYLPNGKTVNPEIDSKVEASFNKLLDRVCKLRQSMMEEAKSIDVPLGTALEAFRQVYRVAEDPQEKMLLDWHLANLEYANATLMSNLSMVFWDQDDPFEMGGDHCFIPGGNDRFIRALAENLPIFYNRTVESIRYGSDGVLVYANGKEYHADMVLCTVPLGVLKKRSIEFVPDLPQRKKDAIERLGFGLLNKVALLFPYDFWGGEIDTFGHLSDKSSMRGEFFLFYSYSSVSGGPLLVALVAGEAAIQFEQMSPVESVKKVMEILKGIFNPKGIAVPDPLQVICTRWGQDQFTYGSYSYVGIGSSGDDYDILAESIGDGRVFFGGEATNKQYPATMHGAFLSGLREAANMLRVDKRRRSKSNNTNRSLTFPEKKLFENPDSSFGNLRVLTDLGSVNLESSFFVTSWIQMEIT >KVI01833 pep supercontig:CcrdV1:scaffold_3421:5438:15185:1 gene:Ccrd_019889 transcript:KVI01833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MSLKSVNLEKLKINVSNPKVLIVIGVSVAGILILTAETRRRRLKGRHTVKEDYGAFVERFELLPFPQLPPPAAKQLLSGLTFAINDVFDVKEYVSGFGNPDWKRTHEEAGKTAIVVTTLLKNGATCVGKTVMDELSFGITGENSHYGTPTNPAMPSYIPGGPSGGSAVAVAAELVDFAIGTDTIGGVRIPAAYCGVLGFRPSHGVISTVGVLPNSQSLDTVGIFARDPSVLHRVGHVLLQLNPVEPRRTRRIIVADDLFQLSKVPQQKTVYIVSKVTEKLSGYQPPKHMNIGQYILSNVPSLKYFHEQTSNPQKGTNTLKALSSAMLLLQRYEFKTNHEEWINTVKPRLGSGVFDQIHAAITAQHENIKAYYKVRTEMRAALCSLLKDDGILVLPTVADTPLKLNSKKAMLSEFHDRAFALLSITTMSGCCQATVPFGKHEDCPVGVSFIAFHGSDKFLLDTVLDMYQSLQGQVSAITSLPPSLDLNGNMDASELLKEKGNAAYKGRQWNKAVSYYTEAIKLDESNATFYCNRAAAYLELGCFQQAEEDCSRAISLDKKNVKAYLRRGTARESVLYYKEALQDFKHALVLEPQNKVAKGAEKRLRKLVS >KVI01831 pep supercontig:CcrdV1:scaffold_3421:36276:50000:1 gene:Ccrd_019886 transcript:KVI01831 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein MWVFYLISLPLTMGMVIFTLRYFAGPDIPRYVLFTVGYTWFCSLSFIILVPADIWTAMSDQNRGGMSFFWSWSYWSTFLLTWAVVPILQGYEDAGDFTVTERLKTSLHVNLVFYLLVGSVALFGLILLILMHHNWRGGIIGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWINADYITRQKVLSHKVARAALQLDDAHQNLSNAIVVAQATSKQMSKRDPLRPYMNIIDKMLTNMVNLLYTLILISFVQLNEDPSFKPQGGRLGENDMDYDTDEKSMATLRRQLKIAKGEYYRCRSSLFHCLLNHSCFSLLISEYMNFVMEALELEDTLKNYERRNATGWKYISSFRPERSGKIGSCLDTIELVWRCILKKQLKKLSAIILGCLTVAILLAEATILPSGVDFSLFSIIINAVQTSGVLVQVTSLSFLKCSGMQNLCVSKHLYA >KVH99855 pep supercontig:CcrdV1:scaffold_3423:18974:23013:-1 gene:Ccrd_021903 transcript:KVH99855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVQVEELTSGASGRIIPVFKNVRRSVFSSASIRRAVIFFQSIVLWFIFHLRRCRQHHHRPPPPSSPSSKRKFSFRRRELEEDVLRRRALAEEIQMVKSSVDDDWSSQCHATTSLFFGTGRNALFCRSWLPVAGEIRGILIIIHGLNEHSGRYADFARQLNSCNFGVYAMDWIGKPCFLYGHSTGGAVVLKAASYPYIQELLEGIILTSPALRVTPSHPIVGAVAPIFSLVAPRYQFKGANKRGIPVSRDPAALVAKYTDPLVYTGPMRIRTGHEILRISSHLMRSFKSVTVPFFVLHGSADKVTDPLASQDLYNSAASKYKDIKLYDGFLHDLLFEPEREEIAQDIIDWMVRKLSCHHS >KVH99854 pep supercontig:CcrdV1:scaffold_3423:16495:17019:1 gene:Ccrd_021902 transcript:KVH99854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MAEERRESKWEGKVVAEVRSITPQQVWPLLEDFCNVHKWLPTIDTSRHVEGVYGQPGLVRYCASTLSSLPSNGDDQTPTTVVNWCHEKLLSIDPVQRSLSYEITENNLGFTFYVAEWKVIELKGDDNAGGCRIEWSFSCNPVEGWKLEDFSGYIGSGLEGMAKRIEKELLVATS >KVH99856 pep supercontig:CcrdV1:scaffold_3423:35819:36400:1 gene:Ccrd_021904 transcript:KVH99856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1677, plant MSPDRDSTLLASYNLQKPSRLSMDTLQRTISDISFELSKEVDSVADHLMLPTISEVEDAKCECCGMFEECTPEYIARVREKFLGKLICGLCSEAVKEEMEKSGGKIEDALREHTRVCVRFNRFDRTNPVLFQAAAMKEMLKKSSRLDGNRAKSLSPRDQKRGNGNVNNKGGLTRSSSCIPSITREMSERKAVN >KVH99857 pep supercontig:CcrdV1:scaffold_3423:40887:41726:-1 gene:Ccrd_021905 transcript:KVH99857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1677, plant MYFQANVCVPYRSFQNPHSLRKAVSDVSNALVKVGKDLEVLTKIYDQVFQAECGCCGQKEDCTKDYIVHVTNDHAGTWVCGLCSEAIKEYLSKNPEKAMQEAVDAHNQICQNFNITTRINPKLTLTWAMKDIAKRSLENRRLRNSSKLNIYRSSSCIPQINPNTK >KVH99858 pep supercontig:CcrdV1:scaffold_3423:55965:59782:1 gene:Ccrd_021906 transcript:KVH99858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEKTRERKAQKICFMFLFLFFSWRSCDGFRDVNEHKLLLSIKASIYDPSHFLSNWNTSSASFCKWNGVTCNSDSTHVTGIDLSGKNLSGSITESLFQFLHVETIDLSNNQLSGGIPKNAFSCSSLVHLSLSNNNLTGWIPGGSIPNLEKLDLSNNFLSGKIPAEIGYFSGLQYLDLGGNALVGEIPVSISNLTRLKDLTLASNQLTGGLPSELGFMKSLKFIYLGYNNLSGRIPNEIGELTFLNHLNLAFNNLTGEIPSSLGNLTDLHYLFLYFNKLTGPIPKTIFSLKKLVSLDVSDNLLSGEIPELVSEFQVLEVLHLFSNNFSGKIPKSLSSLSHLQVLQLWSNRFSGEIPEDLGKYNNLTVLDLSTNNLTGKIPDSLCDSHHLQKLILFSNSLEGDIPTSLSHCRSLERVRLQNNRLSGPLSAEFSELSQIYFLDLSANNLSGKINSWHWKMQKLQMLSLARNRFSGKLPESFGSNKLENLDLSENDFSGSIPPSFGKFTELMELKISRNRLSGKIPKELSSCKKLVSINFSYNHLSGGIPETLSRLPVLGNLDLSMNRLSGEIPENLGNVESLVQVNISHNHFRGRLPSTGVFFAINSTEVTGNNLCGGASVTHLPPCKEIKYSDWWFWGTALAAVTFAAMSIVVFLYVNRRNEAANEVIKKVESKLDEGGEWELQFFDQKASKFIAIDQILQSLKGHRSVVVATKKMQYFVKEVKDINLNNDPIRGLNEIGKLRHPNIIKLVAVCKSEKGRLILVHEYVEGKKLSEVVGGLSWESRGKIAVGIAKALRYLHGCCSPAAIPVGNVSSENVVVVDGNDEVRLNLSPPAMIFAGSTNDIHWFGLILIELLTGKTPVDAEIGLHENLIEWARYCYSDCHLEAWVDPLLKGQTQKNPSEIVETMNLALHCTARDPAARPCAEDVFKTLESITRSSSLCF >KVH99853 pep supercontig:CcrdV1:scaffold_3423:953:4621:-1 gene:Ccrd_021901 transcript:KVH99853 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein RecA MSKKDLALKQAIDQINTSHGKGSIMFLGQCASPRQVPVVSTGSFALDIALGVGGFPKGRVVEIYVPEASGETTLALHVIAEAQKQGGEQEVPVSNTGFYLCQLAANDMGQVNDIGPTEHVGEQGNAIVGA >KVI11523 pep supercontig:CcrdV1:scaffold_3424:22502:24705:-1 gene:Ccrd_010065 transcript:KVI11523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLTSTTNVLSRRKLETGKLAHSFLVDSCTKLSIANFGIINYLLVYIGNECCERLAYYGMSTNLVNYLQDRLNQGTVRASTTVTNWQGTCYVTPLLGAFLADAYLGRYWTIAASVKGLKPTCDPGGCHPTSTQTAFTFVALYLIALGTGGIKPCVSSFGADQFDETDKSERKRKSSFFNWFYLSINVGALFASSILVWIQMNVGWGWGFGVPAVAMALAVAFFFSGSRLYRLQKPSGSPIVRLCQVIVASLRKFNVKVPNDKSLLYETSDAESQINFSNHWRLCTVTQVEELKSIIRILPIWASGIVFAAVYSQMSTMFVLQGNTMDQHIGPNFKIPSASLSLFDTLSVIFWAPVYERVIIPTARKYTRHERGFTQLQRMGIGLGISLFAMIAAGTLEVIRLNYVRQHNLYEVETIPMTIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDAMRSLCAALSLTTVALGNYLSTVLVTVVAKVTTKNGKMGWIPDNLNKGHLDYFYWLLAVLSLLNMMVYVAIAKWYTYKKVSGFPNRG >KVI11522 pep supercontig:CcrdV1:scaffold_3424:28682:29330:1 gene:Ccrd_010066 transcript:KVI11522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MNLVIVKHNLMNWLDLSQHIEIIPSDYLNVCFEDGDSKVATVGTSCLNCITLNFDSPRCQNLEYLDLYGCVNVTSRDIKNVASNLTIGSCRISDFKQMFLKKGICHTTHTMVDGGGGHGKVTTRCLRLCLFVFVYYNVCVH >KVI07884 pep supercontig:CcrdV1:scaffold_3425:17528:19363:-1 gene:Ccrd_013750 transcript:KVI07884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MVVAQPSGSGGGRGGGRGGGGGMKEFTSLSLQVITGRWFMVFACLLIMSVAGATYIFALYSGDIKTSLGYDQSTLNLLSTFKDLGGNVGVISGLINEISPPWVVLLLGAAMNFSGYFMIWLAVTGKIAKPPVWQMCLFICIGANSQTFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIITQLYHGFYGHDPKSLILFIGWLPAAVSVVFLRIVRVLKVFRQTNELKTFYNFLYISLGLAGFLMVIIITQNKLQFSNVAYATTASVVAVLLFAPLSIVFKEEFKLWKRRQEVVNQFPVKVTTENPPMATTTDSSAAPTPPPETSVSCWKTVFTPPERGEDFTILQAVFSIDMLILFTTTTFGVGGTLTAIDNLGQIGRSLGYPKTSITTFVSLVSIWNYLGRVASGFVSEILLAKYKFPRPLMLTLVLCVSCVGHLLIAFGVPNGLYVSSIIMGFCFGAQWPLIFAIISEIFGLKYYSTLYNLGGGASPVGAYILNVVVAGRLYDKEAERQMRARGMVRKEGQDLSCIGVECYKMSFLIITVATVFACFISFVLVIRTKKFYHGDIYKKFRDAPDVATAHTEMVVQPGTAKDPPIQPSDRKIGEEHNN >KVI07885 pep supercontig:CcrdV1:scaffold_3425:46543:50862:1 gene:Ccrd_013751 transcript:KVI07885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MFLCGSHAEQLTVIKYLFLLFFTQLEAEFFTEYGEASRYQVQEVIGKGSYGVVGSATDTLTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIRHIMLPPSRREFRDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRGLKYIHSANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVIRNEKARRYLNSMRKKQPVPFAHKFPNADPLALRLLERLLSFDPKDRPSAEEILEYHPQMLQEYLRGGEQTSFLYPSGVDRFKRQFAHLEEGYGKGGSSSKTPLLRQHASLPRERVPAPKEEGTSEENELEKRTSEYVASTLDSPEGPENSNAQNGVNKGNYSARSLLKSASISASKCIGVKGTQPTEEEADDDQQKEQ >KVI11437 pep supercontig:CcrdV1:scaffold_3426:1305:7345:1 gene:Ccrd_010149 transcript:KVI11437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MMNNVGANLIRSNCSGTGDRDGATVATGDGTMVRRRRAETGAATSGDRGGDERRGGRWCVGIKQQEGYGGVWALSSERKAVVWRGEGEDLGDEEFSCFSFLGLQKGDVFVFPQGLIHFQRNVGNGHAXAIAALSSQNPGAITIANAVFGSNPDIARDILAKAFQVDKNVVYQIQSKF >KVI11439 pep supercontig:CcrdV1:scaffold_3426:11016:11928:1 gene:Ccrd_010150 transcript:KVI11439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLCLFSLLIASCSLAMASDPSPLQDFCVAAPNPRVFVNGEFCKDPKLVQADDFFFRGLHLMGDTSNAVGSNVTVVTVAELPGLNTFGISMARIDFAPWGINPPHTHPRATEILTVLEGNIEVGFVTSNPENRLITKGLQKGDVFVFPQGLIHFQRNVGNGYAVAIAALSSQNPGAITIANAVFGSNPDIARDILAKAFQVDKNVVYQIQSKF >KVI11438 pep supercontig:CcrdV1:scaffold_3426:54501:56992:-1 gene:Ccrd_010151 transcript:KVI11438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MDHGHLHHMHTSSVGVDPSIASGSNDRFPQWSVQETRDLLMIRSELDPTFMETKRNKLLWEVISTKMKEMGHNRSAEQCKAKWKNLVTRYKGCETMEHDGMRQQFPFYNDLQAIFSARIQRMLWMEAEGVASGSKKRVMQLSSDDDDDDDKEEISDPEKTTSTGAKNKKKKVVKSGTVIKNPSGTISNNTKEMLEEFMNQQMQMDLQWLKTFEAKEEERRSKEMEWRQTMEALENERMMLDQRWREREEQRMMRDEVRSEKRDALITALLNKLKRQDM >KVI00819 pep supercontig:CcrdV1:scaffold_3427:6262:16992:-1 gene:Ccrd_020927 transcript:KVI00819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MTALHSFLNIIHIHTNTHTHTSFITSIMWKLKIGEGNDENLFSTNNFVGRQIWEFDPTAGTEEERSRVEDAREQFLVNKKKLGIHCCSDLLMRMQLIKESGIDLSEPAVRLGEDEEVNYEAVTTAVRKAVRLNRAIQAWDGHWPAENAGPLFFTPPLIFGLYISGTMDTILTKHHKKEMIRYMYNHQNEDGGWGFYISGKSTMMGSALNYIALRILGEGLPTDDDDYSAIARGRKWVLDHGGATSIPSWGKVFIAVRALGVYEWEGCNPLPPEFWLFPNFLPYHPAKMWCYCRETYMPMSHLYGKAFHGPITDLVLSIRREIYPIPYHQIDWNKQRHNCCKEDLFYPHSFIQDLLWDGLHYFSEPLMKKWPFCKLREKGLKRVDPDGVEFKRHIARVPDFLWLAEDGMKMQSFGSQLWDCTLATQAIIASNMVEEYGDSLKKAHFYLKESQTTQKEIMLKFVANLPKGLGLSLIKIMCLLALSQMPEEIAGEKADNERLYDAVNVLLYLQNPDSGGFAIWEAPVPKPYLEKLNPSELFADIVVEREHVECTGSIIQALTMFKRLHPGHREKEIEVAVEKGIRYLEKKQWEDGSWYTYENSETVRKGVKFFLSTQNAEGGWGESFESCPKEKFIPLEGNRTNFVQTAWAMLGLLYAGQDITGAYMKNCMLHYAEYRNIFPLRALGEYRTRAWLSK >KVH99903 pep supercontig:CcrdV1:scaffold_343:106682:108692:1 gene:Ccrd_021855 transcript:KVH99903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNKYVESLKSTSLLFPTLCTQLFEEVAIKRVEDGGSSSTRSRSCAEPILIEENEDMNEAPETSTPNCSSHAKDERPTKKTKALKNPNIQLTKAAMLCGGGG >KVH99902 pep supercontig:CcrdV1:scaffold_343:123376:126992:1 gene:Ccrd_021854 transcript:KVH99902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKHLHELLREDQEPFQLKNFIADRRSQLRTATATATATATDALQLRKRKSIVESTSSSTAARNFCINHVCLFSFQDSPDFRKSPFLDFPSKETRSPFNNKTPNNAAVFLHIPARTAAMLLDAATRVQKQSVSSKPKPGSSKHIGFGLLGSLLRRLKDRSTRTKTHEIGPVNVTPSSPPTRRSRKKMVNGTGDGADEKRLSFSCNNSRISSADWSEKSSELETSCSSRSIHDSEEIEFVNIERENDCFISCEKCFCSNPSSPFRFSLQRSPSPTRRRPDSSSPDKENYDGEHAQEIREEDDKEKEQCSPVSVLDPLFDDDEEEHDGGPREQDVYDIECSYASVERAKHQLLQKLQRFERLAGLNPIELEKHMLDRYNEDAAADDDDDDDYDDDEEDIIVDEEETTNEEFVREIFNHLGVGKIPWYMKKLVFDLIAEENKNKEPQVVVQRVCKRLHSWTVVELNTIDMMVETDFRSEGWKRCDEEKIKETGMDIEALIFAVLVEELAQELVNSSNNVIFAK >KVH99900 pep supercontig:CcrdV1:scaffold_343:95710:99364:1 gene:Ccrd_021856 transcript:KVH99900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin, RAB6-interacting MTTQTQLQTQRQSSEQLQTLMQAGQISGSLSFNGLMTKEDEEMSRSALSTFKAKEEEIEKKKLEVRERVQAQLGRIEEETRRLANIREELEALTDPMKKEVSVVRKKIDSVNKELKPLGQTCQKKEREYKEALDAFNEKNKEKLVSESEKMRMKKLEELSKSVETIQ >KVH99907 pep supercontig:CcrdV1:scaffold_343:6536:9450:1 gene:Ccrd_021862 transcript:KVH99907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTTMAAPTISAAAATSHTRKPNSDSSSRFPSGMLKKLLLVFFTGFLAWAYQSALAPPPKTVGSPDGLPVTSPRIKLRDGRHLSYKEYGVPKELAKYKIVFVHGFDSVKYYAALIEDLGIYIVSFDRPGYGESDPDPNRTLKSLALDIEELADQLGLGSKFYVFVQDQWTLRVAHYLPWLTYWWNTQKLFPSLTIIAHSPLVLSRQDRELIPKFTAGREAVQGQVRQQGEYESLHRDLNIGFGTWEFDPVEIENPFPNNEGSIHVWNGDEDLLVPVTLQRYVVQQLPWIRYHELKGAGHMFPYADGISDAILKTLLLGTK >KVH99909 pep supercontig:CcrdV1:scaffold_343:44564:46030:1 gene:Ccrd_021860 transcript:KVH99909 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAIEDPFVLLFFLLLLISFFSTLIYCTSRVHCSCEICHAYVTSTWSIQFDNLCDWYTHLLQKSPTKTIHIHVLDNIITSNHESVEYMLKTRFENYPKGKPFSVILGDFLGRGIFNVDGDSWRFQRKMACLELGKLSMRSHAFEVVNNEIDHRLIPLVSSFSEKQREDLDLQDVFRRFSFDVICKFSFGFDPKCLDESLPVSDLAVSFDLASKLSAQRAMNPSPLVWKMKRMLNIGSEKRLKDSIKMVNMFAGEVIQQRNKLGSCSNHEDLLSRFMATTKDSKYLRDIVISFMLAGRDTVASALTGLFWLLAHHPRVVATIREEVDKVEALTSFDQIREHHYLQAVVFEGMRLFPPIQFNSKFCLQNDTFPDGTFVKKGTRVTYHSYAMGRMETIWGPDCSDFKPERWLKDGVFFQETPFKYPVFQAGYRMCLGKEMALVEMKSVVISLLRRFNIQLATPVQTPRFSPGLTATFTGGLQVRVSERRGKT >KVH99908 pep supercontig:CcrdV1:scaffold_343:9085:17359:-1 gene:Ccrd_021861 transcript:KVH99908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin, type III MRFEKWWLPVMLAFVLAGAAEIVDSYERPPPRESLVVSPSVDADPTTPQQVHVSLIGKDRMRISWITDDHTPPTVDYGTSPGKYQYSANGTISSYEYLTYASGEIHDVVIGPLDPNTVYYYHFGPGSSPEFSLKTPPAQFPIKFAVSGDLGQSGWTKSTLEHISKSNYDVLLLPGDLSYADTIQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKIPVIHHTPFTSYNARWHMPFEESGSSSNLYYSFEVSGVHVIMLGSYTDFGPGSDQYGWLESDLEKVDRSKTPWLVVLIHAPWYNSNYAHQGEQESVGMMESMEGLLYKARVDVVFAGHNRVYNQEIDNCGPVHITIGDGGNREGLASKYKEPQPTISVFREASFGHGEFEVVNASYAMWSWRRNDYDEAVQSDSIWLRSLASDPVVLAAGGGSPEIVHSYVRPPPRETFIVSLSEDALPTTPQQVHVSLVGEDKMRISWITDDHTPATVNYGASPGKYQHSANGTISSYQYINYTSGEIHDVVIGPLDPNTVYYYQFGISSTAEFSLMTPPAKFPIKFSISAYAEMVQPLWDSFGRLMEPLASQRPWMVTQGNHEMEITPGIHDTMFTSYNARWRMPFEESGSSSNLYYSFEVSGVHVIMLGSYTDFGHGSNQYGWLESDLKKVDRSKTPWLVVLIHAPWYNTNYDHQGEIQSYGMMESMEGLLYKARVDVVFAGHVHAYERFSRVYNEEPDSCGPMHITIGDGGNRGHPGAKYKEPQPKISIFREASFGHGEFEVVNASHALWSWHRNDDDEAVQSDSVWLRNLASNPACNKLET >KVH99911 pep supercontig:CcrdV1:scaffold_343:277001:278152:1 gene:Ccrd_021848 transcript:KVH99911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MEELETPANNAADLISFSDDFDSACSTPFVSAPSSPGRGPTSSYGGGFFYSAPASPIHFMLSSNLGSSNSTSSTPLEGAGGSFEFEFTAKQAAHGALPTGSMTSADELFLNGKIRPMKLSSHLQRPQVLAPLLDVDENEIGDGSDDEDKLGRGRDLKFRDRSKSLRRRARSMSPLRTNNAFQWLEEFEDGRESTEINEIKEKLEAEDKAKADEDVQDAETPPSGASSRSSSVGRSSKRWVFLKEFLYRSKSEGRSSTSSNGNHKFWTSLSFSPSTNKDKKSSDTSAVKNKATTATTGDGSSTAEGAKTTVRKAVNGVGVTGKRRVARSAHELHYTTNRAQAEELRKKTYLPYKQGLLGCLGFSSKSYGAMNGFARALNPVSSR >KVH99910 pep supercontig:CcrdV1:scaffold_343:220525:228758:1 gene:Ccrd_021849 transcript:KVH99910 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MEVEKRSSKGGFLQLFDWNVKSRKKLFSNKPDLPESSKHGKENLDNLAISRLQQMELDEALHGPSLTGSDWASSMSNDEGCGTKAPGVVARLMGLDSLPTLDASDPGFTPFVDSHSFRRSLHPRTTTEFESENHFAGMRNKLDGFSRNPVEDRLQKLQNRPIERFQTEVLPPRSAKSVPITHHRMLSPIKSPGFILSRNAAYIMEAASKMIEQSPHSTLSGRLPSFGTSSVPLKIRDLKEKMEAAHRTSRIPEASQRPKVHSPASSSKLQPPDKHQCRSEVVILKQGVAESLKQKNKSVSLTTPAKPTIQKGEGTTSRNTRSNMKQKEDDVKSVAVQLDKKERNTPKRVQNRSKTGKTPEVLTQNNQKQNRASQKDRLSLKPRVPCQHDRKATSTNGFCREVKTSKKATENSAVGARKVQLATYETGKEFSLTKTKNFSGKKRPTDRDIVLDGTTANNVQMKEKERSVKCNITIDGSSNWESVDRKNGMDVVSFTFTSPIKKSVPESEPSGQSAVKSRGLCLNFEDDHPDTGTAEFPSFRAPMIDSDALSALLEQKLKELSSIVETSQCDTVRGGPTPNSSSAIPGFLPCFDVVTSASAKHDKMFHLNMQKDKSVIEHVSDVSSVDQMPVEAKLEWQGVEVKECNSNSETEESGMSHQYQHPCTLSSLEPSLSDDSCITSNSTTTLTSNGNKQCTSTRSMELLAEEIELQDSATSLPSTIFEFTSTERWSSQWEFDYIKELLIHAELVFEDFSFGHTQKVINAHLFDQLENQNKNMDPFLKVQRKALFDCVSACLESRRDRALSGSYEEWAKWSTMFQRKDLLADEIQKEIYSCTSMEDLMVDEVVDKDMSSRDGKWLDFKAEALEEGVVIQNAILTTLMDEMLTDFLCF >KVH99904 pep supercontig:CcrdV1:scaffold_343:71300:75834:1 gene:Ccrd_021858 transcript:KVH99904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFYGTHEIVELRDEPKLSDYSKPYLQARVNDKEKRPQGCSRYLEDDINKLFEAINVRSSFNGSSFSDETGGATPRKNPSKKPMRMSTSSTSGIGYSEPVSLKQALRGLCISQASEMAAIKRLSMPPGSPALSDSGKLANLYKSVVKASESGSSVAEDKVVEVGTSFMTEDSTSNSSGRIPWYLREPKVKPYSNSAQSSPKLNIEKSAKHVGPAVKLNEILQEPQSESQFMVNESVQEKTIISIPSPPSRIAADGSLKAVDSEINLVNDCEQDNLMPGKPTLKSRHKGKSQSVTSSSGSTKNSKLGKSTRTIPRAVKTVVIQNKNLVKKKSKQDFASANVSSNASIAVNAKLAPDTSKLICQRCHCAIKDATEESNKDSESPLSTSGTTAEGGSNNRNSVMPKEGSIPTSYGKTVSFLNNNKNSKFGDKGEFTQSSNSSICEYSSSTSISEERNLSGCSIGNRPHMSKDIKWQAIHHMMKQDGFLGLRHFNLLKKLGCGDIGTVYLAELIGTNCPFAIKIMDNEFLERRQKMPRAHMEREILSILDHPFLPTLYAHFVSENLSCLVMEYCPGGDLHVLRQKQPARFFHEQAARFYVAEVLLALEYLHMLGIVYRDLKPENILVREDGHIMVTDFDLSLRCSVNPTLLQSPSSGTLEPPRVSGPCAGSSCIDPFCIKPTCQVSCFRPRMLPAPKGRKTKLDPAAFQRSLPQLVAEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGIFLYELLYGKTPFKGSVNDQTLANVVLENLRFPETPLVSFQARDLIKGLLVKEPENRLGSQRGAAEIKQHPFFDGLNWALIRCASPPELPEPYDVSASKAAALEKAKKYLDYDGSSGGHLEFELF >KVH99901 pep supercontig:CcrdV1:scaffold_343:78115:78518:1 gene:Ccrd_021857 transcript:KVH99901 gene_biotype:protein_coding transcript_biotype:protein_coding description:G10 protein MPKVKTNRVKYPEGWELIEPTLRELQGKMREAENDPHDGKRKCETMWPIFKIAHQKSRYIFDLYHRRKEISSELYEFCLDQGYADRNIIAKWKKAR >KVH99898 pep supercontig:CcrdV1:scaffold_343:151256:159816:1 gene:Ccrd_021850 transcript:KVH99898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFFTQFVNLNLNLNLNLKLKLKLKPSHNSSSLASRLADERTHEHKPIHDSRIEIAIGLDSIGSRSLPLSNSLVEMSFHKPNSNTQFVGDENRSSAECEGIQHRDSSWRNFKEPSILSLVINYQKSQTMASVKLYIALLFIFTIAGTEMMVGEACVETWEINNCSEPDCVQTCKIRHGSFAEGRCLLSRNTPKESELTHQILHLVAVDWRLMLMVQNLER >KVH99906 pep supercontig:CcrdV1:scaffold_343:999:2079:-1 gene:Ccrd_021863 transcript:KVH99906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAGGVSVAVAIVACTAMTIFYVAILYSPTLIFRLPPPSSFKSFMIRRFVCAGISTVVSLLVCAFILPIEEVKIGHDDVVSMTEVCDVLPMN >KVH99905 pep supercontig:CcrdV1:scaffold_343:52993:59329:-1 gene:Ccrd_021859 transcript:KVH99905 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MHAPSSLSFIGGLSSSSSSSMAEKCFKYVIIGGGVSAVAPYERPALSKGYMLPEGTLFLSLQYQLQLVGPNYWCCFSQFLVFTILQEQQDFQAFMSVLEVEEKNYYPSGMQRKARITLILSTEIVKADLASKTLTSAAGETFKYEVLIIATGSTVLRLEDFGIEGADAKNIFYLREIHDADKLVESIKNKKNGNALVVGGGYIGLELSAALKINDYNVSMVYPEPWCMPRLFTADMAAFYEGYYMKKGINIIKGTVAAGFVKEVKLKNGKMEAADIVVVGVGAKPLINLFKGQVEEEKGGIKTDAFFKTSVANVYAIGDVATFPMKMYGDMRRVEHVDHSRKSAEQAVKAIFASEQGKGIEDYHYLPYFYSRSFDLSWQFFGDNVGETVVFGNHDPQSEKPKFGTYWVKDGKVMGAFLESGTPEENQAIRKVAREQPAAPSLESLASEGLKFASKI >KVH99899 pep supercontig:CcrdV1:scaffold_343:143348:145022:1 gene:Ccrd_021851 transcript:KVH99899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVPQNMGFGQCPQSTIPTPCSCQIPLSGDVVTPQDCKQHEAEIREEVFDKLNQILSEFLELQDAKDNGVLLLRRKIFIGIGKETRDLDNFIVEWLNQYSQVKVISELLQSYREVDYDKQDVMQIRGFNNHLMEGCNFQSSCKLERFKGLR >KVH99896 pep supercontig:CcrdV1:scaffold_343:137251:138597:-1 gene:Ccrd_021852 transcript:KVH99896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MDFEQGGEDEHAIRIWEVNEDRLRSMEHKLSNTPKLLSVAAGKSTCSIFKVPQTLIDINGKSYQPHIISIGPFHHGQPHLQMIEEHKWRLLRQLLNRTQTKGMVLEDYLKAVQPLEVKARECYSETIPYGIDEFIEMMVLDGCFLVELFRKFNGGTVKFDECDPLKTMSWIIPFLLRDLIRLENQIPFFVLECLFDLTKMPGEEESCSTLATLALGFFDYDLQRGNILDKYANIKAKHLLDLLRSTFLPAEHEHSQKPDSHPPSHVIHTITKLRRAGIKLKPGKADSFLVVNFKHGVIHMPTITIDDFMSAFLLNSVAFEQCHSGCSRHFTTYVTLLDYLINTSKDVGYLCESNIIENYLGTDAEVATFINNIGKDTSFEIDTCYLAQLFDDVNFYSRSERNKQWASFRYTYFDTPWSFISAVAALVLLVLTVLQTVYTIIAYVHHRD >KVH99897 pep supercontig:CcrdV1:scaffold_343:130826:132169:1 gene:Ccrd_021853 transcript:KVH99897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MDIDSQESEPQHAIKIWEVNRDRLNSMQHKLSDTPKLLSVAAGKPTCSIFKVPQTLIDVNGKSYRPHIISIGPFHHGQPHLQMIQEHKWRFLHHLLNRTQPKGLTLADYLKAVQPLEVKARECYSRTIDYSTDEFIEMLVLDGCFIIELFRRIAGLVKCDDHDPLITMSWIFSFFLRDLIRLENQIPFFVLECLFDLTKMSDEESSQTLATLALGFFNYALQRPENILEKYANIKAKHLLDLLRSTVLPPEVESSEKPDNHPPAHIIHSISKLRRAGIKLKPCEAESFLIVNFKHGVIHMPPISIDDFMSAFLLNSIAFEQCHSACSKHFTTYVTLLDCLINTSRDVGLLCDWNIIENYFGTDAEVAAFINNMGKDISFDIDSCYLARLFDDVNRHYRSGWHVQWASFRYTYFDTPWSFISAMAALILLILTVAQTLYTVLGYVRPR >KVH89324 pep supercontig:CcrdV1:scaffold_3430:43792:58877:-1 gene:Ccrd_008689 transcript:KVH89324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MEGQRTMAAPVVLVAVIFINMMLLGQSVTFNRTAFPSDFVFGAASAAYQYEGAAFEGGKGPSIWDTFSHQFSGKIINGDNGDVADDFYHRYKDDVKLMDDIGLDAFRFSISWARVIPSGKLSGGVNKEGVAFYNNVINELLSKGIKPFVTIYHWDLPQALQDEYGGFLHPQIMKDFQDFAELCFKEFGDRVKHWITMNEPYVFIVNGYEMGALAPGRCSSWMNNSCPAGNSATEPYIVAHYMLLCHALTVKLYKQKYQASQKGVIGITLVSHWFIPYSPRKANKKAAQRALDFMLGWLPKFSPEESKLVKGSFDFIGINYYTSNYAADIPSANTIHFSPSTDGRTNLTAYRNGRPIGTPSDVSIFFVYPKGLCDLLVYMKEKYNSPTIYITENGYGDADNGIVKHGVNDTARPKVWHCLRRLQKRHNTIPEELSYVAQEVLG >KVH87434 pep supercontig:CcrdV1:scaffold_3431:7121:7442:1 gene:Ccrd_025327 transcript:KVH87434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAVCTKHIEECTSITSKLELLKLTCITSSISSIMRCSHLCT >KVH87433 pep supercontig:CcrdV1:scaffold_3433:22169:27364:-1 gene:Ccrd_025328 transcript:KVH87433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEGAPTLPWSRLPHIVHPLPSSTLQPHEAPPPPPPSQVLFTPRFRATTTKAPSQPTAIPPLSAGTNVVAPPSPPTAPRQLVAKATAAPTSSPVVPKDTQYEAATTSPIVTHAATPTSSPLKTVKQSAFRGQSTQQSKELRTAEVX >KVI11269 pep supercontig:CcrdV1:scaffold_3435:44224:47089:-1 gene:Ccrd_010322 transcript:KVI11269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSALAFWIVQSLAGFCDRGGTRPASVDIVCLVRVRAISG >KVI06318 pep supercontig:CcrdV1:scaffold_3436:4904:9106:1 gene:Ccrd_015331 transcript:KVI06318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLKRSAFSILRAHHPQAVEGLVLQSRRQLHVEPGAREKALLAKDPALERFKSYRKSASRIRSIGDYLTIAVVAGCCYEIYVRAVTREEARKSK >KVI06320 pep supercontig:CcrdV1:scaffold_3436:17111:22306:-1 gene:Ccrd_015333 transcript:KVI06320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAGAAKPDELLAPHPPRDQLPNVSYCITSPPPWPEAVLLXFQHYLVMLGTTVIIPTALVPQMGGGNEEKAKVIQTLLFVAGLNTLLQTMFGTRLPAVIGGSYTYVAPTISIILSGRWSDPDPVARFKKTMRAIQGALIVASTVQIVLGFSGLWRNVARCLSPLSAVPLVALAGFGLYEFGFPGVARCVEIGLPQLIFLVVLSQYLSHMMHSGKAIFDRFXVLISVAVVWIYAHILTVSGTYNHTRLRTQANCRTDRAGLIHAAPWISAPYPFQWGAPSFNAGEAFAMMMAAFVALVESTGGFIAITRYASATPLPPSILSRGVGWQGIGILLSGLFGTVNGSSVSIENAGLLGLTRIGSRRVVQISAGTKFILGFSVFLGLSVPQYFNEYEAINGYGPXHTSARWFNNMVNVPFSSEAFVAALLAYFLDNTLHYKDSSVRKDRGKYWWDKFHSFKTDTRSEEFYSLPFNLNRYFPP >KVI06323 pep supercontig:CcrdV1:scaffold_3436:28644:42582:-1 gene:Ccrd_015336 transcript:KVI06323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L47, mitochondrial MAKASPALKDVHLSGIMFLTRVFGRTLLAAAKTETSAAGAAAAYTVRTGHNPLEELFEADRNPEEEKHVIYDSSIQTIHSVLKALIQSISKRKSPGPVSVSGTPPSAFTDISYGSKSIKPSNCSREKKITNDTVRKLKEKSLLSPNLTTVTGGEREAESDERRREAGRQPQRRERPPAPGSRRPTAVGFNSRERQSGREREREPFAILISIEIERQR >KVI06322 pep supercontig:CcrdV1:scaffold_3436:28590:35178:1 gene:Ccrd_015335 transcript:KVI06322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MSKVRALWQASLTATKRALTWNIEDMIPPSERFIYNFNSKEELKKWHLYSDSEYGGLSSAALEINDAGNERSGPGVFSGNLSLDVTEGTKWNISRSGFCGMRSKKVDISSVVFDGFIDLDPYDTIALKVKGDGRSYISTIYTENWVNSPGQMEDNSWQAFVCVPKDNWVVAKANIEMNPSKVVGMSLSVNAEGGVPDTETGPGFLSASKSSSRGLCPVLTVYAAAAPAAEVSVFAAARSVLPKTLVKNMMPDKCTA >KVI06321 pep supercontig:CcrdV1:scaffold_3436:26517:27688:1 gene:Ccrd_015334 transcript:KVI06321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRVFGRTLLAAAKSETSVAGAAAAYTVRTGHNPLEEFFEADRNPEEEKPLEAFELHVKSWDDLHKLWYVLLKEKNMLMTQRQMLHAQNLPFPNPERLPK >KVI06324 pep supercontig:CcrdV1:scaffold_3436:39673:46461:1 gene:Ccrd_015337 transcript:KVI06324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAGAAKPDELLAPHPPRDQLPNVSYCITSPPPWPEAVLLSFQHYLVMLGTTVIIPTALVPQMGGGNEEKAKVIQTLLFVAGLNTLLQTMFGTRLPAVIGGSYTYVAPTISIILSGRWSDPDPVARFKKTMRAIQGALIVASTVQIVLGFSGLWRNVARCLSPLSAVPLVALAGFGLYEFGFPGVARCVEIGLPQLIFLVVLSQYLSHMMHSGKAIFDRFXVLISVAVVWIYAHILTVSGTYNHTRLRTQANCRTDRAGLIHAAPWISAPYPFQWGAPSFNAGEAFAMMMAAFVALVESTGGFIAITRYASATPLPPSILSRGVGWQGIGILLSGLFGTVNGSSQFSELILTQKHFFATLQREFWSFGFDPYRQSKSRAAGLSFLQFCNLNTFRTKFILGFSVFLGLSVPQYFNEYEAINGYGPXHTSARWFNNMVNVPFSSEAFVAALLAYFLDNTLHYKDSSVRKDRGKYWWDKFHSFKTDTRSEEFYSLPFNLNRYFPPVVNGSKLTKDESHIVGDERGKLEYPCLHNCKTTKT >KVI06319 pep supercontig:CcrdV1:scaffold_3436:10438:12568:1 gene:Ccrd_015332 transcript:KVI06319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHGTLRIKIEIFKQFSRFTASHTFCSSRVNFPTNPTPKTLDLLLNQRPPLLQLEQVHAQVITKSLSSYSILIDSLIHCYLSTNSLTIARTLFDQYPNYPPPILLWNLVIRSYSKLPNSLEPISLFCRLNALEDDPPVIADKFTFTFLITSCTHQTSELHGLIVHGIVTKNGYLSNLYVGNSLINLYGVFARLDDACKVFDEMSERDVFSWTSLLGGHAKQGEMDKASEIFAMMPLRNMISWTVIISGFLDCGKYIKALVCFYDMLMESHDSLKPNEAVLVCALSACSPLGALDQGNSIHAYINKSGFTKRSNISTALIDMYAKCGTINSAYQVFHKISQPDVCNYTSMISGFSSHGLGGNALQVFHQMLAENIAPNEVTLLGVLTGCSHSGLVEEGSSIFHKMASMWNIAAKVEHYGCYVDLLGRAGYLKTALLIAIKMPLDADIVIWRALLSACRIYKDVSLADKIIAYVRQGYFSQFDGSEVLLSNLYASLNTWERVGEVRKLMSQRKNESESESESKSNFGCSWIEVNGVVHDFRVDDQLHPQIVMVRDKLHKVKKTPLD >KVH99360 pep supercontig:CcrdV1:scaffold_3437:7205:25059:-1 gene:Ccrd_022408 transcript:KVH99360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MARLRYLKQISIRALISPLSKNDTFYKYSTTAASAATNIVLLNPLYSSDSPVQKLQAEDVVVTFREWFMSGRSPFIERILEILSFDGGSRGIGENEDFMSSREAVDVALSQLNLHLTESLVLDVLSYGKDVLSCLKFFDWAGRQPGFHHTRATFNAIFKILSRAKLMSLMLDYLDNYSKHRGGHKTNFHAILVMGYAVAGKLEIALQLFGRMRYQGIDLDDFSYHVLLNALVEEDDFDGVESVARQIKSRGFESEVTYSILIKAFCRKKEFDRAETYLREMMDSGVKIKSRGHMVGALVDGLCKNDQFDKAGKLVDEFGEFYVYDIWIRELLRARKLDGAMEFMQKTRNQELVVHYVPDIFRYNTLIMRLLRENRLEEVCDLVIEMRENNIPPDELTMNIVLCFFCKAGMVDVALKLYDSRGELGLSPSSMAFNYLLNTLCGDGSVVDAYRIFKNLIKQGYFPGKTTFSILADALCKLEKLELMNELFLIALEKNVVLTDSIHENYIMALCRTGRVEDGYFIHRELNKLQKVTTRRAYSNMIIGFIKSKRGDIAARLLIEMQEKGHTPTRLLFRAVVQSVCEMENPEKQFQRLLEMQLSLHELDCGVFNIFMEGAGLAKKPDLAREVYEMMKRSGISPNVRSDILMLKSYLRSEKVSDALHFFYDILKRRKIGRKVCNTMVTGLCKANKPDIALSVFSEIREKEKTVRPSLECYEELIYALCRHKRYDKVMDLIVDLIGVGRPLSSFIGNNLLLHSMKHQELYNTWMDLRSPDEMSPIWRLMELVDLFSNHFRNDIDPNDLEKVVGNCFPLDIYTYNMLIRKLSMKQVDDASLLFQKICQKGHEPNQWTYESLVHGFYRHGRKTDAKVWVEEMLRRGFVPSEATKLLL >KVH99361 pep supercontig:CcrdV1:scaffold_3437:36624:41795:-1 gene:Ccrd_022409 transcript:KVH99361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein SRFETLTPSQRLISKIPRLCYENKGKAEIKSTVRSKSITKKALTEKNEEPARDNSGFIDNQQREEEEQEFGKETISSVCPSTPLWNIDTPFLTGQFHQEVESTPGLAESKGNSVDLGLESEKPIGCYSASVQVYAILTPGHRTHQIDDALDCLNGMVDWGVHPNAITYNILIFSLCIIGDVFKAKALMKNMQTNGVKPDVLFFQCFDSELLYDEEGREGTQGPLDDVDFRFDSRSRDTLLLLLLLYFVVLNSMRTGQTSNMRKGESLGSLSAVPYKLRASSLPPIPKIENMLLDPPSNMKRLVDEIMIAFIQSIMITAPPSPFLPVSDQKIDDCA >KVH87432 pep supercontig:CcrdV1:scaffold_3439:15425:16511:1 gene:Ccrd_025330 transcript:KVH87432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISKPGLALALIVIIVVSSSSYTVTTVDARSPYNRVRKLATDCDSPIQGEIPGCGGGGGSGGSSRPRPRPRPSGPTPGASRCRKGCCGRNKLGDCVCCE >KVH87431 pep supercontig:CcrdV1:scaffold_3439:3537:7663:1 gene:Ccrd_025329 transcript:KVH87431 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MELIAVCCVVGVTSIVVSCVWRVLNWLWFEPKKAEKGLRDQGLDGSWYRFMFGDLKEMAQMTIEAKSKPMSLSHDIAPRVFPFFHKANCFTWMGPKPLVHISDPAMIRDIFADYHQFQKARGGNPLLKLLARGLFDAEADQWVKHRKIINPAFHVEKLKHMVPAFYVSCSEMIHKWEEMLSEKSSCEVDVWPHLQTLSSDVISRTAFGSSFEEGRKIFELQTEQTELVMKAAQSFYIPGLRFLPTKNNRRMKETDTEVKGLIRKIIDKRVVAMMAGEASNDDLLGILLDSNYKEMKQHENNGSGLSIEEIIEECKLFYFAGQETTGNMLVWTMILLGQHTNWQTRARAEVLHVFGEKRPDVDGLNRLKTINMIFNEVLRLYPPVIALRRMIHKDTKLGNLRLRAQTMIQLNMLFLHHDHDIWGDDANVFNPERFSEGVSKVTKGQTVYFPFGGGPRICIGQNFAMLEAKMALVMILQCFSFELSPSYSHAPYTVITLKPQYGAHLILHKL >KVI04807 pep supercontig:CcrdV1:scaffold_344:51494:52108:-1 gene:Ccrd_016875 transcript:KVI04807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGFGILLGFSLHRTEVQKRTANCFKKRFPQEKYPFLEIEIDPLCASQVNGNRYSVPDKFSGFVQDSLHLCV >KVI04808 pep supercontig:CcrdV1:scaffold_344:200132:206604:1 gene:Ccrd_016865 transcript:KVI04808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex-binding protein MVGRQYDCLVNPLGAVRFTFEKAVASGSDPASFDRKDWGVADLFREFLFDSDGLSQVPILTPSTVQLAQPNTLVRFRGMIQDMLGNEFYVGAYKNEDTWNTNKFTDVSQFPMGTSPNMRVWERRLLYCVPVPGQNSWADSTSEGVIYPCTNVASANREKRLRENCSVSADVDMQDSSNDLSLPPCAKKMRGEFSPSENITEGTDCGTSMVLDSDGNDFACLVKIYDSPESDLKLNDVFEFIGVLTYDTEVKFDKGGDNELANSFPEDESVNLPPSKVPRLHCLVHRKLSVDDMILSSPAMEPKPHLVKGMRESLLRHLTAVLGDDELAAHFMLLHLLSKVHARVDSFAVGKLSLNLTGFDKASISVFGNRINDAVKNLVPFSHRMPLTVEYLNTASLAPVKDYQTNRLVCGVLQCAEGSHLTIDETSLEAGTLNSNGVENTKILKDLMEFQMVEYDFKYYKMKMNADVQLLILSEGKSNIIPADLVVPFHPSSVGPLGTVDAETLNAWRWYLATLRSLPHSIETEMQKMAKYGAANVSELW >KVI04819 pep supercontig:CcrdV1:scaffold_344:267008:272739:1 gene:Ccrd_016858 transcript:KVI04819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2985 MLSPENGGVEGENSNEYEVKPPLPLYIKNSNIPHSNEDAQVDGGHISLSKQTSTFLRLGSLDSSSLNLQRIASERDKFSRTIPSRTSHTFRERFSRIFSRKLDRESLQKTAKEWIRNPMNMVLLAWIICVAISGAILFLVMTGMLNRALPKKYQREAWFEVNNQILNALFTLICLYHHPRRLYHLVLLLRWRSEDVTKLRKVYCNGGTYKPHEWAHMLVVVLLLNLNCFAQYALCGLNVGFNRSQRPAIGVAITISIAIAAPAIAGVYTIVSPLGKDYDMGSGEESQLEEGNVEYIGSRQERVKSLEKRFSFSSRNKTITSENSPKWSGGILDFSEDISIAYLSLFCGFCVFGWNMERLGFGNMYVHTATFLLFCLAPFWIFNMAAINIKNETIREALGTTGLLLCVFGLLYGGFWRIQMRKRFNLPPSNSCCGKPAVTDCALWLFCCWCALAQEVRTGNSYDIIVDKFYNKPEDELTKMPSREESGPSSPPCDIPSMTLGPRRVSEDDGSSFAQPRIVEKDSSSRGKDATLEPPFQCIILREDN >KVI04820 pep supercontig:CcrdV1:scaffold_344:266563:266916:-1 gene:Ccrd_016859 transcript:KVI04820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MGSTVRVKQEDPERDSYITLKVVSQLNELDPYFRVRRDEPLQQLMMRWSGRAGVDDYRTFRFLFDGDRVPENETADEFGLLDGDSIDAMHDQDGGGMVVFLGCSLCLKHVICCFLCV >KVI04809 pep supercontig:CcrdV1:scaffold_344:209023:220170:1 gene:Ccrd_016864 transcript:KVI04809 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier protein MIIFRRPQIQSFISLFVTIHPHETYTVFYSTSSFFFVLSAYFVVLPLRDEGAISLGIGNLPGLFIGSLILTLIAAPLATLIFSSPNLSKAKALVLIHRFFSASLVLFFILWVLSSPASSILNLKGVLSMSSSIKEEVKVEVHHTSRNHSDWEDHGWFYISVRIGFFLWVALLNLITISSTWARVIDVMDNESGSRLFGFVGAGATFGQLFGSLFATGMAWLGPYLLLIASLLMELAAQSSERINKDISHSSEELSLLRTEFGHQDETNELNIKLIHKDSSLRTANSAAASTKLQFWALLDGLRLIWSSTYLLCKVTIIASAVTSPTGRRQSFAQINSFIAVFILAGQLTVTGRILTVAGVTTALCSAPFIALLNLIAIAIWPTYVAVAVLETFRKVATYVITRPGRELLFTVVSQDEKYKAKVCIDVLVQRLGDATAAGMYKLLYSSLNGRTTSVSLYAVPVCILWILTGFRLGRRQTQLANSHNLSFS >KVI04801 pep supercontig:CcrdV1:scaffold_344:114539:128088:1 gene:Ccrd_016873 transcript:KVI04801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MRFIIGIFIFLLSLSLIEVVKGSIHEYKNEGFIPRFNSFFFHGGSEGMYASKVHGNASTEDKPINGKSFIRFESIIFRRPKEVAAKQNEMQQSTGLVEAIIVEVKNRDKIGGAYLNSNAICCTPELANDGSCKVGEVIIRQDPDNPGWPKRIQTPFEGKNEEAKMLLQTVEINRTGMYYLYFMFCDPQLKGTIMSGRTVWRNPDGYLPGKMTPFLPFYGFMSLAYLMLGLLWFLRFVQHWKDIIQLHYHITAVIGLGMCEMALWYFEYANFNVTGSRPMGITLWAVTFSAFKKTFSRLLLLVVSMGFGIVRPTLGGVTLKVLLLGLIYFVASEALELVENLGNINDFSGKARVFLVLPVALLDSCFILWIFSSLSKTLEKLQIRRSMAKLELYRKFTNALAVSVLVSVAWIGYELYFNASDPLSELWRRAWTIQAFWAFLAYILLVVICFLWAPSHNPTRYSYSEVEDVEEEGISLTGSGVKVSGELMERKPAIITDHVFEVIEEDKRE >KVI04800 pep supercontig:CcrdV1:scaffold_344:133869:136307:1 gene:Ccrd_016872 transcript:KVI04800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSGERSEFKKMNYEEFEEERTKPCNLASEGEFTSNIPSTLDLNPKSNHLQAANEPCFLGKTKETEPTNSFGKQTSFGLDLNSQDVSSCIDHDPFHDALSECGSTCGSAGDKDSMRIWKQMKQNGFLSSSHGGVLVPPMPKPRGRKKGNASVIKKKIEMAKREQVSRFAKVAAPSGLLNELNPGIINHVRNRRQVHSIIENLVRSARNKKKNSEHKRDDGTVNHMSRSFCSSGGVTGDDDSSLMDRQVFARSKTSYHPANPNKECEDDGLELKLSSASTMASENMSSLSNEEPSNISTVDSLSIKAASVASQWLELIHQDIKGRLAALRRSKKRVRAVTQTELPFLISCDQENDPSNRANNDMHKFKWTALFHQMDKSLSEEEKHLVSLTMFVLHFFDYCQLLSIFKAGIFDISQEDSLNQVREMLLHCEHGLLQFPPPNGVAVQSKQIFQKAEPVLDKGLAVRAAAAAIYSTSNFLQSAENLPCF >KVI04811 pep supercontig:CcrdV1:scaffold_344:194729:196061:1 gene:Ccrd_016866 transcript:KVI04811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGMGMKALLPISSGFAASNFTLSKQTLTPTNSYYNYKSTIVSAKTEKGNKEEEPQKRKQNLFESVTEALDFAQVRSPEDAQLIEDARSATKSGEKMSREQYGALRRKIGGTYKDFFKSYVEVDGEYVEEGWVDKTCKVCKKDTRGEARQVDKRGRYVHVACLDKPKSGNFFTNLFSG >KVI04812 pep supercontig:CcrdV1:scaffold_344:258571:260908:-1 gene:Ccrd_016861 transcript:KVI04812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAELEKQRRMVTKSSNKRKPFSNLTNVIRKPNHTVHTYSFSQSKKPGSSTASDSSVGTTQSRIHAVPSDHPLPSTPQRLTRDHLVGDENHLAYARRWSAQTTRSNVKDAVQETRSNGKGNQSCLDKTTNDGKGKSVVLQCSSMEKIKDKREAIDVPFSAHHMKNAKTSMDALIPHSTVKIKDKVKEKDVQSNSSMRSTNINTSFIMPPLLKRKDKGKAIAVPPDYPPVKKVKNNMVEVRVPLSGVKIKDRGETDKGSSRVDLAQKDKGKAIATPISYRTLGKKDKRSVEEYALPQDFVEQQRAYFQEIDEFELQVEEV >KVI04806 pep supercontig:CcrdV1:scaffold_344:73769:79601:-1 gene:Ccrd_016874 transcript:KVI04806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKETPLKAPPHFVLIHGISGGSWCWYKMKSLMYNSGYMVTCIDLKVYVAATMLKNGFLTEQDVKDGAPDLSEYGDAYDLEFGLGSTQPPTTGTVKKELQRKLLYHMSPPEDYMLASMLLRPGPIYAIQSAQFPEGNEDVEKVPRVYIKTMYDRVIKPEQQDNMIAKWTPSQVYVLESDHSPNFSSPFALFGLLVKIAASIGGT >KVI04810 pep supercontig:CcrdV1:scaffold_344:188713:193928:1 gene:Ccrd_016867 transcript:KVI04810 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MCGPQFGAAIMQLLLSHKDSWRTTLVLAYQSLGVVYGDLSISPLYVYKSTFADDIHHSETNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSSICRHAKVSLLPNRQVSDESLSTYKLKQPPEKENNSQVKMLLEKHKPLHTALLILVLLGTCMVIGDGLLTPAISDAVIPITCFILVCLFALQHYGTHRVGFLFAPIVLLWLVCISGLGLYNIMHWNPHVYEALSPYYMYKFLKKTKKQGWMSLGGILLCITGSEAMFADLGHFSYTAIQTAFTFLVYPALILGYMGQAAYLSKHHQMAHDISYYVSVPGLAVMAVMLVTTCLMALVIVLCWHKPPILALCFLLFFGSIELLYFSASLVKFREGAWLPILLALFLLTVMFVWHYATIKKYEYDLQNKVSLEWLLALGPSLGISRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRILVFVCVKSVPVPFIPPAERYLVGRVGPSTLRSYRCIVRYGYRDVHQDVDSFESDLVNRLADFIRYDWCRPESTSDTVIGNMDFSASWAFEAEESMQHVSVSVGSHTDDSVTDIIEMVPQIKRKRVTFAVEDHDEVDAPKHVQMREELEDLLGGQQSGTAFILGHSHVKAKHGSSILKRLAINFAYNFLRRNCRGPDVALKVPPASLLEVGMVYVV >KVI04818 pep supercontig:CcrdV1:scaffold_344:281219:281839:1 gene:Ccrd_016857 transcript:KVI04818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence associated gene 20 [Source:Projected from Arabidopsis thaliana (AT3G10985) UniProtKB/Swiss-Prot;Acc:Q94AK6] MTTLPKFRNYPLPLSYKSCPSRSHFIIPIFRLPPPITSFSRVNLTELANPQEALEDSGNESVVLALYHALSSRDVQVVHKLLAPDLEWWFHGPPSHQFMMRLLTGTDNDVADSFEFTPHSVDAFGSTVIVEGCDQHRDISWIHAWTVNSHGIITQVREYFNTSLTVTRFGNSTKPVSVTSLHCPYLWESSLSNRVGKSVPGLVLAI >KVI04802 pep supercontig:CcrdV1:scaffold_344:166030:168998:1 gene:Ccrd_016870 transcript:KVI04802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MQDPSNPNSKPPNAAAFPFRPSHHRRAHSEVNFRLPEDLDLVSDSFDAPSGSFEEIGSEDDLFSTYMDIEKLGSTLNDGGGVLDSNRINNAVLGGGASDDNYGGGGDGEKSSTRSRHRHSNSVDSSSLFTESIEAKKAMAPDKLAELWTVDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLALFQRDTTGLSSENTELKLRLQAMEQQAQLRDALNEALKQEVERLRMATGEISRCSDGYNMGMVHHVRYNEANLFRNQEQTEYHQQDALGRFQGLDISNSNRGGSHLVKSESLSMSAGETSGTF >KVI04805 pep supercontig:CcrdV1:scaffold_344:34569:43877:1 gene:Ccrd_016877 transcript:KVI04805 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit, helical insertion MTDGDYLIKEEEPSNNHWEDLLRRMLPAGAPLPDEDQLDYSISVQYQPPTHPYQSLSGNTNPVPIIKSSTFTKPRKIPQHNHKYSTATTNLAASVVSNRLREKEDCIVCDARYCSNCLLKAMGSMPEGRKCVGCIGQPIRESRRQTLGKCSRMLSKVCSPLEVRQIMMAEKECSANQLRPEQLVVNGRQLQQEDMDELLGCPVPPLKLKPGSYWYDKDSGLWGQEGEKPDRIISSKLNVGGKLQVDASNGNTQVYINGRQITKVELRFLKLAKVQCPQGTHFWLYDDGSYEEEGQNNIKGNIWGKASTRFICSLFCLPVPHEHSHGSNNDPSTFLSKSMPQNLEHGRVQKLMLFGLEGSGTSTVFKQNLKLMIQSNMYRYVSVLLEGRERFEEEALMEEKPSFSGNQDSHFSDWLLDILTMGDFDNYFPAATREYAPLVDEIWKDPAIQETYKRRHELHHLPDDILYAEGVTPSNGLSYLDFSFNDRSPMSEIHDENGPSPLVKYQLIRMSTKGKPFDTCKWLDMFEDARVVVFCIALSDYDQVWSHGGNGIMENKMLANRDSFSSLARQPCFADTPFVLILNKYDIFEDKISRIPLTVCEWFSGFGPLKPQHKQQSLANQAYYYVAMKFKELYGSITGRKLFVCQSVGRESGSVEEALKYIREVIRWDEEREEDVYGINVDGDDDSLYTTQFECR >KVI04815 pep supercontig:CcrdV1:scaffold_344:236196:238571:-1 gene:Ccrd_016862 transcript:KVI04815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 52 [Source:Projected from Arabidopsis thaliana (AT5G05340) UniProtKB/Swiss-Prot;Acc:Q9FLC0] MGFSSSLVLVKLVFVMVFMGVVSAQLSTNFYSKSCPNLLPTVRSAMQSAISKETRIGASLLRLHFHDCFVNGCDGSILLDDTSSFIGEKRAAPNFRSARGFEVVDNIKSAVERACPGVVSCADILAVSARDSVQILGGPSWNVKLGRRDSRTASQGAANNSIPPPTSSLSGLISSFSAVGLSAKDMVALSGAHTIGQARCTNFRARIYNETNNLDASFATSRRRNCPRPTGSGDDNLAPLDIQSPTTFNNDYYKNLINQKGLLHSDQQLFNGGSADSTVRQYGSNPRLFNSDFVAAMIKMGDIKPLTGSSGEIRKNCRNRN >KVI04804 pep supercontig:CcrdV1:scaffold_344:44183:51036:-1 gene:Ccrd_016876 transcript:KVI04804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MVRKRRTEIPGAGESSEPQEANTGRGTGAQRPPAQQQQQQPQGGYQGRGRGGQGAQGPYQGQIGQPQGGRGWGPRGGPGVGGPQRGGVAPQQYPGGPLDQPQQGRGSQQQQPPRGTAPSQHGGGMGGDLHGGSGPVYAGGPSRTSTPELHQATQAPQQPGVTMQPILYGKLATEVVHAESSSGSSTNQPVDEVAGQLKQLSTQQDDPVQAAPASSKSMRFPLRPGKGSSGTRCIVKANHFFAELPDKDLHQYDVTIVPEVTSCGVNRAVMAQLVKLYKDSHLGKRLPAYDGRKSLYTAGPLPFVSREFKITLLDEDDGSGSARRDRDFKVAIKLASRADLHHLGMFLQGRQADAPQEALQVLDIVLRELPTNRYSPVGRSFYSPNLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIGFSLFSPNQLVIVVPADMSSTAFIEPLPVIDFVTQLLSRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELNFPVDERGTMKSVVEYFRETYGFTIVHIQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQQREMDILKTVTHNAYGQDPYAKEFGIKISQKLASVEARILPPPWLKYHDTGREKDCLPQKMVNGGRVASWICINFARNVQDNIAHTFCQELAQMCNISGMAFNPEPVLPPLSGRPDQVERVLKARFHDAMTRLRPHKKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLLDAISRRIPNVSDVPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKEWQDPNRGKMSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNSIDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFAADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMTSGRGMGMGVRSTRVPMPNAAAVRPLPALKENVKRVMFYC >KVI04816 pep supercontig:CcrdV1:scaffold_344:174076:177823:1 gene:Ccrd_016869 transcript:KVI04816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing MPPISASITSLITSTLLSSLSNGSLNPRFIFRYTPPESRISIFVDFRTVGKRSFSVIQGNGGLRASSNMSRDGGDAGKRVAHFNLSPTSALKIQKGDITRWFIDGSSDAIVNPANQLMLGGGGADGAIHQAAGPELRTACYHVPEVRPGVRCPTGEARITPGFKLPASHVIHTVGPIYDANSNVAAFLSNAYRNSLRVARENNIQYVAFPAISCGIFGYPFEEAATVAISTIKEHCNDIKEAHFVLFSDDIYNVWLKKAEELLQN >KVI04803 pep supercontig:CcrdV1:scaffold_344:150896:152810:1 gene:Ccrd_016871 transcript:KVI04803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MTVGSEMVMFTAIGVYVDPEIVAHLQKWKGKLGTELAEDDEFFDSIVSAPVDKYLRIVVIKEIKGSQYGVQLESSVRDRLAADDKYEEEEEAALEKIIGFSSEGKEEPKTMKVENGNVVDTIKKWYLGGTTAYSPSTISSLADTLSLELSK >KVI04817 pep supercontig:CcrdV1:scaffold_344:181855:185416:1 gene:Ccrd_016868 transcript:KVI04817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese/iron superoxide dismutase MALRTLATRKTLGELCKFQQQIRGVQTFTLPDLSYDYGALEPAISGEIMQLHHQKHHQTYITNYNKAIEQLDDAMSKGDASTAVKLQSAINFNGGGHVNHSIFWKNLTPVKEGGGEPPHGSLGSAINESFSSLEKLIAKMNAEGAAVQGSGWVWLAVNKELKRLVVETTANQDPLITKGPSLVPLVGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASQVYEKECL >KVI04814 pep supercontig:CcrdV1:scaffold_344:221647:231764:-1 gene:Ccrd_016863 transcript:KVI04814 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MTQLLIIGAMESAFSFRLSTPSLFLPNHHALNFRLSRLQRLSFVDQQRNRKNVIRSSYGSDENGSLDGFQFTPSKIFMEEAIGAEYGEGFETFRPDGPLKIDVDFLNDRLQEGFLKRIRYAMKPDEAYGLIFSWDSVVVGASTYDFFVVFFRAGTQALKLSAWRQLAHEEGKEIPDDDDVQRLLLYGAADHVLHKVLLWENEACELERLKSKLSQLYSNNLLELSEPKEGLKEWLDAVSTARIPCAVVSSLDRRNMVEILEKLGLMKYFQATVTEEDGMDSMAHRLLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAVGLIGAHPAYDLVQADLAVGSFNELSVINLRRLFAHKGSTFMELQKQVVEKTPPRRRLTIDTIF >KVI04813 pep supercontig:CcrdV1:scaffold_344:262627:264972:1 gene:Ccrd_016860 transcript:KVI04813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTCTLVPDERAMEEEAEKKIGWLFKLIFAGTATIVGYHIFPYLGDNLIQQSVSLLHVKDPLFKRMGASRLARFATDDERRMKIVEMGGAQKLVDMLGAATDDRTRKEALNAIAAIARADEAARALQSAGAILVIMATPEATEDAEIDKYKAKLLSRLGDMKFDENSS >KVH99745 pep supercontig:CcrdV1:scaffold_3440:48149:51911:1 gene:Ccrd_022019 transcript:KVH99745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MLYTWKVTCYYTARKNGFREGSFREESESVSKGLEDSSITDSLSYSRAYWVSRSVIAWNVDAVGGSCFLYSSSSAALTIVETVIEGYNLFVELKRYDQRLPESVRDKLPHIRDYKAFKVPTALDPKTILKDQLVVAFFDGYYLRRNADGFIENSTCVNNTASEHFIVDRLIVDDLLNRACNYKMRAKSLLRNLSRDKDEVDGSSMVKVGTLVKWQTMVVA >KVH99742 pep supercontig:CcrdV1:scaffold_3440:56196:57889:-1 gene:Ccrd_022021 transcript:KVH99742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWLCFLQFPHHNLTLEAASPGLFVDKDSTFWDVPLTMAIDLASVASDSGTSYHXCINHNAGSPXVFGSHQNGAMVASLQPGLSATTAFSFKHNIDIWRSGAPKLKMVQPYDTFLSNPHISVAGIIGGVMTACFGDNSVRAPTGNGLNGSFGLGVRRGNCAILADSFATVSLSAQHGTFQRMFLDLTRCQALLEFXSASTFLSGSAXXAKDVYNSHPPKLEAVREICPITTLSFQQQLIGPFSFRVDSGVELDFKKDDMFLKMKDPVFAVEYALQVLGSAKAVAWYSPRQQEFMIELRFXETXIIFXCXD >KVH99744 pep supercontig:CcrdV1:scaffold_3440:38681:39018:1 gene:Ccrd_022016 transcript:KVH99744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta YGESFPISGESLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAXEILEKEEGINA >KVH99743 pep supercontig:CcrdV1:scaffold_3440:40663:41455:1 gene:Ccrd_022017 transcript:KVH99743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLRWVMDGSGFWDLDVSTPATLNGLARPVPGDPTPSILGLSRGIRLSRPKQVDFFQRFMFMPFIPSYSIASPHAHGNGFSLQRLLSLPFALDRWQPTHQSPLFQSIGRHLSDKSLYAIDXCSEFFLTPDDSLLLSLESYGDDDYKTPRKKAVFHHKASMS >KVH99741 pep supercontig:CcrdV1:scaffold_3440:54612:55813:1 gene:Ccrd_022020 transcript:KVH99741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MALGLLPLPTIHPYPHQQPAYRWRLVLSYDGTRFSGFYLHLHLDYYQPXTPTIQCILEQALTRVTKLERKDLCVVGASRTDTGVHALGQVAHFVTPFNYQGLQDMHAALNGLLPPDIRVTEICPAMPQFHARFSVTGKIYHYKIYNDXVMDPFHRLYAYHNRSKLNTCVMMEATKHFLGKHDFSAFANAQRNDRTVNPGPILQIEVEGSGFLYRQVRNMVALLLQIGREAIPSEIVPKILATCDRKELAKVALVAPPHGLCLVEVKYNEEHLQLPEGGPATSFGRHHSISK >KVH99746 pep supercontig:CcrdV1:scaffold_3440:43694:44940:-1 gene:Ccrd_022018 transcript:KVH99746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MALGLLPLPTIHPYPHQQPAYRWRLVLSYDGTWFYPTMALDFLVAHFVTPFNYQGLQDMHVALNGLLPPDIRVTEICPAMPQFHARFSITGKIYHYKIYNDPVMDPFHRLYAYHNRSKLNXCVMMEATKHFLGKHDFSAFANAQRNDRTANPVKNIFRLDITEKIGREAIPSEIVPKILATCDRKELAKVALVAPPHGLCLVEVKYNEEHLQLPEGGPATSFGRHHSISKCKLQYY >KVI11859 pep supercontig:CcrdV1:scaffold_3441:11791:14903:1 gene:Ccrd_009725 transcript:KVI11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDAFYASLLSLFLVLVSFSFHLVFYKSKPAVEGKLPPGQTGWPVIGESIEFLATGWKGHPEKFIFDRMVKFSSHVFRTSLMLEDAAVFCGSAGNKFLFSNENKLVQAWWPSNVDKIFPSSNQNSKVEAIKMRKMLPNFFKPEALHRYVPIMDTMTQKHFENGWEGKDQIVTYELTKNFTFGLACKIFVSIDEPERVKYLSXPFESIALGLLSIPIDLPGTPFRRGINAANFIRKELVAIIKQRKTDLAEGKASATQDILSHMLYFRDEDGKFMEESDIADKILGLLIGGHDTASSACAFIVKYLAELPEIYEGVYKEQMEIAKSKASGELLKWEDLSKMKYSWNVACELYWSANSTHKNPEFFSEPQRFDPSRFDGKGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHHLVTKFKWEKVIPDEQIIVNPMPTPAKGLPIRLYPRNI >KVI11173 pep supercontig:CcrdV1:scaffold_3443:3746:4105:-1 gene:Ccrd_010419 transcript:KVI11173 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal MAARLHNIGTWDLVQENTQLANKRLRIKIKSEKLKDKVALKSIWSRAASKSDQVVNKKKLIDHVRQALYASKICSYAQGMNLIRAKNVEQGWDLKLGELARIWKGGCIIRAIFLYQAGL >KVH87430 pep supercontig:CcrdV1:scaffold_3444:198:506:-1 gene:Ccrd_025332 transcript:KVH87430 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand 1, calcium-binding site-containing protein MATTNQSQFEEFLPLMAEKLGGDGLIEELCNGFQLLMDAKKGVITFDSLKNNSLILGLDGMSDCNLASMVKEGDFDGDGALNQMELENRIGFRDIRDRDDDI >KVH87429 pep supercontig:CcrdV1:scaffold_3444:43423:50729:-1 gene:Ccrd_025333 transcript:KVH87429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIFRRVGYSELSQGVSIMGIRLGLQVHLDGSHPPLRFLQPLIPLVGHMAVLALSGYLLSNMSFVFAALFLYRYSESLYALLSIGGLYFLMSSANDFAVLWLAFSGCARSNGVLNAGYIVVGSDLMVDDGSGVKISPVVRWVSTALGSTDLLVPFVAQGHLNQLLHLSRLLSSYXLPVHIVGTTTHNRQAKLRIHGWDPTSTANIHFHEYQTPQFQSPPPNPNASTVFPIHLIPSFQASSLLREPFSKLLAGISPTARRVIVVHDNLMSTVVQDVVSYQKVEAYSFHCASAFTTFSYVWEEKGKPCLDVESDTQLSNIPDSLYEIIPEDLFEFIMRNDDCKRFNSGNVHDACKVVDGKFLDFLSKEGISGSTKQWAMGPFNPVAISDNSNPSKRHXXLEWLDKQATDSVIYVSFGTTXSLSDEQIQELAIGIENAKQSFIWVLRDADRGDIFEGEVRRIELPXGFEERVEEKGLVVREWAPQLEILAHPATGGFMTHCGWNSSMESITMGVPMAAWPMHSDQPRNATLITEVLKIGISVRDWEHRGEVVKSATIMNTIQKLMASNEGDEIRKRAAKLGDDVRQSVDEGGVTRKEIDSFIAHITR >KVI03900 pep supercontig:CcrdV1:scaffold_3446:17207:24236:-1 gene:Ccrd_017793 transcript:KVI03900 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MAEDNISEHETEVMPENSVPIEVQVSENDQGDAIETQDNENEEGGDALEGAAVEGAAAEGVVEKKWPGWPGENVFRMLVPVQKVGGIIGRKGEYIKKTCEETRARIKILDGPPGTTERTVLSNLRQHSIVKVVPISRAKDPDCSSALSITTAEKLSVSRSMYVLISAKEEPDVSIPPAMDGLLKVHQRIIDADSDSTHAPPGGAGTICTRLLVAGTQGGSLIGKQGATIKTIQDSSNCKIRIQGETTGVHKAVELIATHLRKFLVDRSVIGIFEMQMQMPNARPNQEMPPPQPWAPSPQGFPMNAGGGPGFGPNQYMPPQHQYDNYYPRVDMPPPMDKQPRQGPPSYGRDPSMGPPHSAGVQPQQSIVTKNFVAEAATAAQNSAGPPPSQGYNPYPGHAPAYPSQPPPAGHAPAAADYGGPVYGGSYGY >KVI03902 pep supercontig:CcrdV1:scaffold_3446:37960:38538:1 gene:Ccrd_017795 transcript:KVI03902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen Ole e 1, conserved site-containing protein MATCATVLTLFCIIISISGTIAGRGNTTAASPTPAPAPAPSNGGGGGRKGGGGGGGGESFVVQGKVYCDPCRIQFPTKISYPVPNTKVILSCRERENDAETFTVEGTCDSNGMYSITASGDHEEEICDVRVTESPDKKCPEVMDDESSSRVSLTDKNGVRGKTRMANPIGFMVKEVDPRCKDILAEIGIMGY >KVI03903 pep supercontig:CcrdV1:scaffold_3446:54079:56131:-1 gene:Ccrd_017797 transcript:KVI03903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRFLGHKIRYSCLLNDLEQHSIVFEDEYVVETFLNSLPRQWSLISMVIRESKNFKTMSLQTLYGKLWSHEIRNEMTKLSVIQPCHGSECRKKQTENKVVVKDEGWARLSSTYL >KVI03901 pep supercontig:CcrdV1:scaffold_3446:31355:35967:-1 gene:Ccrd_017794 transcript:KVI03901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP9/SRP14 subunit MVYIVSWDDFVERSVQLFRSNPEKTRYVMKYRHSEGKLVLKVTDDKECLKFKTDQAQDAKKMEKLNNIFFTLMARGPEVDVTEVTGKEQTAQPAKRGRGRKQ >KVI03899 pep supercontig:CcrdV1:scaffold_3446:7080:11524:-1 gene:Ccrd_017792 transcript:KVI03899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MAASKGFMYHLSMAACEWFLMFLMLLDAALAYLLTKFAHHCELQSPCMFCLRLDHFFGNEKRGSYLSLFCHQHRAEVSSLISCDLHDKLADAREMCDDCFMSIMNCKIGAKGYQKSYLNKNFVRSPSSSGLCSCCETYWKPKPIGRRLQSGSVRLGPVRVTMKPPLPRVTGRGRFRRRNHFKRVRDKISGNSTPCHVENNISGNMDMDTLSDSGCVRFNSDSECEIPVSKHVLIMSEDSFYSDSDSEFDIPVSKNVPKAVVVHRKRGSKDQTRAIHGTSGQKQDRTRAKRSHGVSDRETSILDGHHSESTPIPASLASKDPIGHGPKEFNHAELHSRDVSVPSVPQERCSTSKGSIQDSIGHVELHSRDVSAPSVQERSSTSKGSIQDSIGHVELHSRDVSAPSVPQERSLISRVSNEDAIGHLELHFRDDSGPSVPQDSGSTLDQRKLSADDVLNGNSLPEVSKNERSSPSRGSNQDLPSSTDIKRDLSKXDGVNNDHKASSSSDKSDGYESPAASVSDIEGESVVDKLKRQVEHDRERLHLLHMELEEERSAAAVAADEAMAMITRLQEEKAALHMEALQYLRMMDEQAEYDMEALDKANDLVAEKDKEIQDLEAELEYFRSRYDEELFMGNPMVETENFQKNHLGNGKTDAKISTFDSKSRKAPVLDLQDEKRYILQCLSELETKFNQVSSDQHTSIGKSNGNIHTEIENLEDLQADNGTQSKHKDSSTSNGSKKVDVGILENEIADLNERLEALEADRDFLEHACNTLQANGGIEFIQEIAHHLQDLRRIRCILERPTVSMIDTKEVEIDTIFLLMNLDAIDDLV >KVH98836 pep supercontig:CcrdV1:scaffold_3447:30200:32119:-1 gene:Ccrd_022935 transcript:KVH98836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLDCAMSSLLCAEDNDSICYNDNDGDDFKGFGDSFSNDGIDRNINQTQNHNLVKNLETEYSVLDLPLQNDECLTLLIEKESQQFVGFVDYLNKLKNENLFLVARQQAVDWISKVHAQFNFGPLCAYLSVNYLDRFLAVYELPKDKAWMMQLLAVTCLSLAAKMEETEMPLNLDLQAGESRYVFESKTIQRMELVVLNTLNWRMQSVTPFSFIDSFIGKLNDEDHGDDNGQSQTNSRSLIFKSTTQLVLSLIRGIEFLEFRPSEVAAAVAISVVGIGVEKLETSAIFQHANKERVLKCAEMMKKGCTMGFRSGKMTSLPESPIGVLEAAILSYKTDDSPTCAKRRRI >KVI11194 pep supercontig:CcrdV1:scaffold_3449:544:2778:1 gene:Ccrd_010398 transcript:KVI11194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor MPCVQISTNVCLDGVDTDSIFSEATKAVAEIIGRPEKFVMVILKGSVAISFERNKEPAAYAEIISMGGITSETKKKLIFQLGTILLEKLSIPRTRFFVKVFDTTMATKYAKL >KVI00599 pep supercontig:CcrdV1:scaffold_345:132052:135218:-1 gene:Ccrd_021154 transcript:KVI00599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MVNPHFDDTIKVVGVDADGKKYDKVSRVQARGEESDMHIVLDVNSQLYPMHAGEKYRMVLSQTLNEDGSAVTNNSGGSKKSLADRFEYVMHGLLYKIADDKNQSGDVEVAVYISFGGLQLLMKGAPAKMGKFKVDQRLFLLLLKE >KVI00595 pep supercontig:CcrdV1:scaffold_345:115024:116580:-1 gene:Ccrd_021152 transcript:KVI00595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MLVNSEMYEGSAYYDPSHLESLIDHQDDNALSQTHLHNFHQTPNFSSIEASADYQLINMDMDHQNQMIHDLNWTTHSHDQIQMGNDNNCISNMPLITPNPTPPDLLNLFQLPRCSNSSISFSNPTHMDQTSGQVTYDPLLPLNLPPQPPFFRELLHSLPNGYNLTASGSIFGEMDMEREGMHQLYHEGDGVLKFSGDINGIVGKGRDVKDTKHFATEKHRRQQLNDKYDALKNLVPNPTKADRASVVGDAIKYINELKREVAELTILVERKRCNRGRMKKHKTEDDSTLDVESISTRPNGGGDHDQQAAYNGNSTSTLRSSWLQRKSKNTEVDVRIIDDEVTIKLVQQKRINCLLFVSKVLDELQLDLHHVGGGLIGDFYSYLFNTKICEGSSVYASAIANKLIEVVDRHYASIPAATGY >KVI00594 pep supercontig:CcrdV1:scaffold_345:129536:131670:1 gene:Ccrd_021153 transcript:KVI00594 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MHEARCFLVVSGFLQGIPSWDLDEMSRYSFSKDVQFVICGVPFNLNRDLLAARSSKLCRLFKENPDEDLSHLLCDIPTTPEIFELIARFCYGFNVNFTPENIIPISCLACYLGMTETHSPKNLLNEALYFYEHEIITSWNESLRSLKAAENPVVLQQAAKLGLIDACVDSIISKAIDNPLLIGERIKNPALDDEDDDSDEFNGNVFKPNARRKLFVLDWKKSEDLSLTSLHLQFYELIIRGMIQCKVGSNYIASSLYQYAKKWVFFEPKETDEESSSSEGVCSNSRKDTIEAIERLLPHDRGLLPCALLCEMLQYAMVLEANAGCTEGFEVRIGRQLDLATVNDLLIPSQGYSKEEKYDTGCFRRILKHFYSNFTDHDQSRLVIVADLVEDFLGEVASDVDLKKDSFISLAEMSNAVSGGIERSSDGIYKAIDIYLNKHGYLTESERETICGVLDCNKLSPKACEHAAQNDRLPVRVVVQVLFVGQLQLRETITKEVVAAAAAEDGTKKSKSIEDEEDDEEEEVKVELERMSCKVVELEKECLVMRKEIQRGYCRKTKEKSNVWMEMKRKLGCVSSLNNCNCHVKKKKVYPR >KVI00596 pep supercontig:CcrdV1:scaffold_345:104410:107259:-1 gene:Ccrd_021151 transcript:KVI00596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELILGAIMDVLFQKLASGDLLKLARSEGIHSQLDKWNNTLLQIQALLVDAANKHITDRAVDFWLRNLQDLAYQIDDILDDLATEAIRRKLNKDSHTSSSTNTGKLRKLIPGCCINFTPHTIIYGHKMSSKLDEITTKLHTLFDQKHTLGLDVNVKRPNKRNRRLEETSLVDESKVMGREGDKDALLHRLLGNEACNQNVSIVSVVGLGGIGKTTLAKLVYNEEKVQDHFELRAWVCVSEEFDVFNISKTIFQAVAGTNQDFANLDLLHVALKQRLSNKRFLVVLDDVWNEDYREWELLQSPFVVGAPGSKVIVTTRKTNVASVMNSFQPYDLKVLSKEEATTLFAQYAIDEHNFDKHPTLKLHGEAIVNKCGRLPLALITLGRMLRRKTEDDEWEEVSNSEIWNSDDGREILPALRLSYYDLPSHLKQLFAYCSLFPKGYVFNENELVLLWMAEGFLNQSNGRRSKESLGREYFEELKSRSFFQTSTIKQRYIMHELINDLATSVAGEFFFRLDDKMDTYDVNESFEKFRHVSFIGREYGTYGKFKELQRARGLRTFLPVSLNSWSGFNLSNEVLAELLPQLQFLKVLSLSNHPITKIPHSIGSLKHIRYLNFSRTNIERLPEQVSDLYNLQSLLVCGCRKLSNLAISFVKLINLRHLDMSDTPMLKKMPLGIGELTGLQTLPKVIIEGGTGFKISELKGLSDLKGRLSIEGLDKVISPIQAIDAKLQEKNGLDDLVMEWSRNFDNSRIHTSEYEVLEGLRPQYKLRKLMIWFYGGMKFPSWVGNPSFDWLTELRLRGCRRCTCLPALGHLESLKELCVEGMDGVKTLGLELFGPADSLHGIVFPSLETLRFDDMQGWERWSTRRGDNDGIARSFPRLGEVSIRNCPKLVEISIDLIPSLXDLHIEGCSKDVFNSMVGVSSSIRVLDIENIEGLAQLTGELFGRLDI >KVI00590 pep supercontig:CcrdV1:scaffold_345:240047:290698:-1 gene:Ccrd_021161 transcript:KVI00590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MWSSRGLENLDAMSSDRSLMNKNNTIGIQTLASDSSTGHDPQTGPFSKEDDNTEYSSDEQGRHARAKAQKEEIQFLREKIVFASVKERQLLNEKYTLEKKFAELRLQNEAIESAANELARRKGVLDENLKLAHELKVTEDERYIFMSSLVGLLVEYGILPQFTNAAALSDSVKHLHDQMQQKIRESQGQQRYSPSPYDNSIGGRHLEPIYDVSRYTPESDPRGRNSLMLNGQMNTSLDNDIRPVSRLHSQNAPVYASNRVDNRFEETPDNNYFQPPPMHDGGDSYALEEDGPGIESFQIIGDAKPGGKLLGCGFPVRGTSLCMFQWVRHLQDGTREYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGEIVRLFANEQNKITCDPEMQQEIDKYMAAGQASFGVLLLMDSSENWEQTTLSLGRLSYQIKVNRTQDVFIHEKYSNDVSIKIPAGLTTQFVLTCADGSSHPFNTFHDVRMRDTLVLTMRMFQSKMKELNHLKIPLEAIKNCSQDFNETNFIGKGGYGRVYKGILFWMDYQNLPVAVKQLDVTGFQGSKEFYTEIQMLSQYRHKNIVTLIGFCDDGNEMILVYEYASRGSLDTYLSDPSMLGQLSWEKRLDICVGAASALDYLHNHVSTNHRIIHRDIKSANILLDENWNAKLSDFGLSKICLANQQNTFVVTNFAGTYGYCDPQYERTGILTKESDVYSFGMVLFEVLCGRLACVLKYHDERRFLHHLARTCYQNGELDKIIDHRIREHIMAEPLNMFSAIAYQCLHKTREQRPTIAEVALTLENVSRIQIGAPIIKTSNHPPSSFSSTSSEDLPGIEDFQIQGDAKPGGKLMCCGYSVRGTSSCTFRWVRHLLDCTKEYIEGAVMPEYVVTADDVDKLISVECIPNNYQGRKGEMVRLFANEQKKIMCDPEMQQELDKYMSVGQASFSVLLLMDSSEEWEQATLSLERSSYQIKVDRTQDIFVHEKYSNGLSNSRYFGAHHENVPKQDWNPYHQDFQPSSITNLILNFSKKLLDADFQLKYCIIPMNYEVTDTSLFVCLCVSGFITFWMALRSTLRVRATNPEYVVTADDVDKLIAVECIPMDDQGRQLLRSIRAKGLMMKTLSAYPPSTRPTTAAIGATNHHYQCHCFTHRNPTPTTSDSGNRLIFCQGKMVRLFANEQNKITCDPEMQQEIDKHMMVGQASFSILLLMDLSEKWEQTTLSLERSSYQIKVTRTQDIIIHEKYSNDLTIKIPLGLATQFVLTTMSLNGSSHSFDTFNDVRLRDTLVVTMRMFQSKALEDKRKSKA >KVI00600 pep supercontig:CcrdV1:scaffold_345:192629:200505:1 gene:Ccrd_021158 transcript:KVI00600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHQGWSDNLLNLIGGEEHLKVWDKGSGQVALDSGALAIAEHEGKILYTDADKILLSGSQAIQCKDPLKNTCDWLGRMEKQRRSSGNVTALQTAVLTANNAIAAPDYLVTVDVRTNNFEGEFNRSLRIDSEMLIVQMNSVYLLVLPQFKSTEEVARVWFVEIVKMHESEFGGRDQRQP >KVI00602 pep supercontig:CcrdV1:scaffold_345:2358:7863:-1 gene:Ccrd_021145 transcript:KVI00602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLKRSSERRLRRKENERNWQGSSKKKGFLKGSIQAWCRKFWRLERWSSVFGSKEKNKGKYRILPLLNYSTTQTSAFKLKLTIIVVYFLQHLPGSWEGVTHHEKSNEQSEIIEEDQIKNQIQVAEDSLIFEHAGCQDASQTIEALIGGLST >KVI00589 pep supercontig:CcrdV1:scaffold_345:219229:238063:-1 gene:Ccrd_021160 transcript:KVI00589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein-like protein MNQKNPSSLRSCCISHEFCKSASKNPNKIAVIHASPRHRGDRTKFATGIDQFIEASPSSDHPPVYEGDVCFTYSEILEAVDTLSRRLRFILDGGVDPSLIKPSPDLRHTDQSANTPRIVGIYMVPSVEYIISVLSVLRCGEAFMPLDPSWPKERVLSVVSSSTANLILCGSTSFDGNYSHYIDKYHWLVDGSGCPVLIISTKTNLKKHTASPCANMAYPCGNKKLRPFCYLMYTSGSTGKPKGVCGTEQGLLNRFMWMQDMYPLCGEETLLFKTSVGFIDHLQEILGGLLTTCTLVVPPFNVLKENILSITKYLQDYSINRLVAVPSLMRMLFPTLQSSFSTKIQSSLKLLVLSGEILHLSLWNSLAKLLPNTAILNLYGSTEVSGDCTYFDCKRLSSLLEAEVLSTVPIGVPIPNCKVDLVGEDAPNYGEIYVSGFCIATGYFDHDIMPLNDVKVLPGSTFCCSADEKESLLYFKTGDFAKQLPGGDLIFLGRNDRTIKVNGQRIALEEIENTIRTHEDVGDAAVIFNNAEGEVAYLEAYIVTKQGLDCVRSLRYSLRGWMVDKLPLAMIPSRFFFIESIPMSSSGKVDYTLLPSSRCSMSEICSEINEIPDSNLLQMIKEAFCDALVVEKVSDDDDFFVMGGDSFSAAHTSHKLGINMKLLYAFPSPLKLVIALLDQGQQNHDRKRSVHSRVDMEVLGASRPLPILSEVSDLKTKKLHGRLSRKLGESDAEYYPSKVIRMDDDLNVDNIATGDGNTWDSISKHTSCAFSRCNKVMFGEKLDINRSFQATLFHETLGDKKTSMRELWKVHMESCVDASPLIVVREKDIYWFCPVAASAGLSRQVARCGSYDHNLYALDYQSRCCVYKLHCGGSIYGSPAINEVDETLYLASTNGCMTALSLKDVPFSVLWLQDLGAPIFGSLSIDHINGNVICCLVNGHIVAVDATGSIVWRGITGGPIFAGSSLSHVLYNQVVACSRDGSVYSFNLEKGNLVWKCDLGDPISSSAYVDENLQLTSDHSLISDRLVCVCTSSGSIVVLRVNKEQNAVQKFGRIDVEGGIFSSPVMIGGRIFVGCRDDYVHCIGINGQLQFNQ >KVI00593 pep supercontig:CcrdV1:scaffold_345:146790:147911:1 gene:Ccrd_021155 transcript:KVI00593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MICFLNQSTHSETTVDPSDEPALLPGLPNHLAQACLSTVRPSLLSAVCRQWRRLIYTPYFPPFLSLYAIVANNNGSHLSDSVGFFNFDPISSKWTTLPTPTVDPPLRFLHRHPSFISRNLTIQSLTVSSRLVLIAATGHNFLPALSHPLIFDPLTGEWFLGPPLSNPRRWCAAGSIGDAVYVASGVGAHYRGDVARMVEKWDVKRRRDEWRWEEMAALNDGRFSRESVEAVGYKGKLCMVNVKGNAGKEGVVYDVEENRWEKMAAGMLSRWKGPVGVAEEKVMYVVDEEKGAVRKYDDENDCWEEMIEGSELLKGAEQMAVGGGKLCVVSGGGRRITVVDMVAEPVKLWAVDPPLPENEVISVHILSRPSKSL >KVI00605 pep supercontig:CcrdV1:scaffold_345:83594:84262:1 gene:Ccrd_021149 transcript:KVI00605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIEGCFCKGLVGEAKKLFSSCLFEDVLINKAMLTGYVEIRDLESLWKLFTGMTELDVASWTSTIHCFTRAGKMEKAQELFDEMPTKDIIAWTVLIRGYLQNNKIKEARKLFDEMPHRDTVSWNSMVDGYVKIGRLEEAFELFKKMPKRNVVSWNTILQGYAQDHDMLKARIFFNKIPNKDRTTWNIMICGYQNDESLDLYTQMLQNGIKPDQVTFTGLISVC >KVI00591 pep supercontig:CcrdV1:scaffold_345:176693:177539:1 gene:Ccrd_021157 transcript:KVI00591 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MASRLPNVLIVEILSRLPSKSLLRFRSVCKSWLSLISSTEFRHNKEVYTVHLDDQQFTLDAEAPIKFPFKIVGPDIYCYTIIGCCNGVVCLCNDNTTEEVILWNPSVRRNITLVPSIFPNNYWYKLILVLGFGYDTMSDDYKVVRVAYDGLFFARPHVSVALHKLIAIISTNHDYLFTSPSQVRFTPLKLQFGEQSSFLISIASPSFPTRHKCFSMDLCTG >KVI00592 pep supercontig:CcrdV1:scaffold_345:165656:170906:1 gene:Ccrd_021156 transcript:KVI00592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MNDEIHRPQKLNSTPFASSAHFNLFLTLNSNPKEILKDTIIPLFAMAEIILGAVMDVLFQKLASGDLMKLARSEGIHSQLDKWNNTLLQIQALLVDAANKHIXDXAXDFWLRNLQDLAYQIDDILDDLATEAIRRKLNKDSHAXSSTNTGKLRKLIPGCCTNFTPHTIVYGHKMSSKLDEITTKLHTLFDQKHNLGLDVNVKRPNRRNRRLEETSLVDESKVMGREGDKEALLHRLLGNEACNQNVSILSVVGLGGIGKTTLAKLVYNEKKVQDHFELRAWVCVSEEFDVFNISKAIFQAVAGTNQEFANLDLLHVALKERLSNKRFLVVLDDVWNEDYREWELLQSPFVVGAPGSKVIVTTRKTKVASVMNSFQPYDLKVLSEEEAMSLFAQYAIDEQNFDKHPTLKLHGEGIVKKCGRLPLALITLGRMLRTKIEDDEWEEVLNSEIWNLDDGREILPALRLSYYDLPSHLKQLFAYCSLFPKDYVFDKNELVLLWMAEGFLNQSNGRKSKESLGREYFEELKSRSFFQTSTIEQSEYIMHDLINDLATSVAGEFFFRLDDKMDSYDVNESFEKFRHVSLIGPEYGTYRKFKELQRARGLRTFLPVSYSWSCFNFPNKVLAELLPQLQFLKVLSLSDHWITEIPHSIGSLKHIRYLNFSKTFIERLPEQVSDLYNLQSLLVRGCAQLSNLPISFVKLINLRHLDISDTPMLNKMPLGIGGLTGLQTLTKVIIEGGNGFKISELKGLSDLEGRLSIKGLDKVTSPIQANDAKLQEKKGLDDLVMEWSDDFDNSRNHTSEYEVLEGLRPHYKLRQLEILFYGGMKFPSWVGNPSFDRLTELTLRGCRRCTCLPALGHLQSLKELFVDGMDGVKTLGLELFEPTDSFDGIVFPSLETLKFNDMKGWERWSTRRGDNDGIARSFPRLVNVSIRDCPKLVEISIDLIPSLGDLHIEGCSKDVFKSMVGVSSSIRVLKIQNIEGLAQLNGELLGAVEDLSISKCDELRYLCQSEAEACKFLVSLRKLEISLCKRLVSLPALPSSLEALSVLHCDNLESISDKGFGIIPLEHLRIVNCKNLKLFPHEHLGSLTSLEELQISHCPSMDYSFPCGLWPPNLRRLMIGSLNKPMSKWGIQKYPTSLVHLTLFGKNSGAVSFVANAKDVTSTSFLLPPSLMTLRIFDFMELELVSEALQHLPCLEILDIYSCPKVEDLRETNTTGPPSLRIIVHQ >KVI00598 pep supercontig:CcrdV1:scaffold_345:199231:200595:-1 gene:Ccrd_021159 transcript:KVI00598 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MASLIPDELIVEILSRLPSKSLLRFRSVCKSWLSLISSTEFRLMHFHNFNKPNPRHLLRRFELRENKEVYTVHLDDQHFTVDAEAPIKFPFKIVGPDIYCYKIIGCCNGVVCLCNANTTDEEVILWNPSVRRNITLVPPIFPNNYWYELILVLGFGYDTTSDDYKVVRVANDGLFFFARPHVEIYTVKTAVWRAVTFPDDLRCFSILPNQSQVFFNGSLHWIACDPMLEVSDYSIMTFDMSTELFGEIQLPNDLELESMTEVVVTVVEEFLGVIYSCRHSSSWWGSSTYVIWAMKEYKNPATWTKMHTMYYPDEDVGRALQVRSNGDLITVSNYGDVTIYNREMCHYVYTSSMGLMLADSIFFERYQESLALLDAEHDALDEERVEEGHDEGFDDEWLARYEATYLIPLFDEREL >KVI00597 pep supercontig:CcrdV1:scaffold_345:84293:84913:1 gene:Ccrd_021150 transcript:KVI00597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHLCVIKYAYDNDPIVLSSLISMYSKCGFMNDANLVFDTTSRKDTVSWNAMIVANAYHGSAMKSLKLFFSMTKFGFKPNHLTFSGLLTGFAHSGMVHESWKLFNSMEKDWNVKPTTEHYAIMIDVLGRTGMLAEAYELVKQLPVELPVYTWETLLSCCRVHENFELGEVVALKLSGTRPLDVGMGVLQSNIYAARGMWKDVALERC >KVI00603 pep supercontig:CcrdV1:scaffold_345:33320:50915:-1 gene:Ccrd_021146 transcript:KVI00603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYNCPSMDYSFPCGLWPPNLRSLEIGCLNKPMSKWGIQNYPTSLVHLSLLGENSGVVSFVANAKDVTSTSFLLPPSLMTLTIFDFMEMDYSFPCGLWPPNLRRLQIGCLNKRMSEWGIQNYSTSLVHLTLYEVNSGVVSFVANTKDVTSTSFLLPQSLMTLDILKFMELELVSEALQRLPYLKNLDIYSCPKLEDLRETNTTSTSSLRIKWLE >KVI00604 pep supercontig:CcrdV1:scaffold_345:61410:65160:-1 gene:Ccrd_021147 transcript:KVI00604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAEIILGAVMDVLFQKLASGDLMKLARSEGIHSQLHKWNNTLLQIQALLVDAANKHITDTAVDFWLPNDAKLQEKKGLDDLVMEWRDDFDNSWNHTSEYEVLEGLRPHYKLRQLEILFYGGMKFPSWVGNPSFDRLTELKLRGCRRCTRLPALGHLQSLKELSVESMDEVKTLGLEVFGPTDSFHGIVFPSLESLSFSHMKGWKRWSTRRGDNDGVARSFPRLGNVSIRDCPKLVEISIDLIPSLGVLHIQGCSKEVFKSMVGASALIRVLKIENIGGLAQLNGELLGAVEDLSIRRCDELRYLCESESCKLLVSLRELEITCCRKLVSLHELPSSLWVLGVYDCDNLESISDKGFGIIPLEHLRISNCKNLKSFPHEQLESLTSLEYLEISHCPSMDYSFPCGLWPPNLRRLTIGCLNKPMSKWGIQNYPTSLVHLTLWGQNSRVVSFVANAKAVTSTSFLLPPSLMRLSIYYFMELELVSEALQRLPCLKNLHIFSCPKLEDLRETNTTIPSSLRIMHQ >KVI00601 pep supercontig:CcrdV1:scaffold_345:2:814:-1 gene:Ccrd_021144 transcript:KVI00601 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC-like protein MAELILGAIMDVLFQKLASGDLLKLARSEGIHSQLDKWNNTLLQIQALLVDAANKHITDRAIDFWLRNLQDLAYQIDDILDDLATEAIRRKLNKDSHASSSTNTGKLRKLIPGCCTNFTPHTIVYGHKMSSKLDKITTKLHTLFDQKHNLGLDVNVKRPNRRNRRLEETSLVDVSKVMGREGDKEALLHRLLWNEACNQNVSIVSVVGLGGIGKTTLAKLVYNEKRVKDHFELRAWVCVSEEFDVFNISKAIFQAVAGTNQEFANLDLLHV >KVH95033 pep supercontig:CcrdV1:scaffold_3452:22668:28535:1 gene:Ccrd_002897 transcript:KVH95033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDMMNRSSSKDSLIGAGGRNHSNNINHNATTNGILPHRRAMSFTKNNAAENFNSNNNMDLFSRNRTSLPLASSDESDLQVRLARLSVGSAKPAKSILDDMLSSNEGGKHDYDWLLTPPGTPLFPTSDAKGSQPTPVTARSRSSVRSSSTNKTSRLSVSQSESSHPSRPARSSSVTRSSISSTQYTSYSSRNTNILNTSSASVSSYIRPSTPTNRSSSTARLSTPSTRTTPSRASTPSRTRPSPTTASNERPTRSSQNSRPSTPSSRPQTPGNLTSPTIRPPSRPSTPTRRSLTPSLSPSTPSLASGGRGLSSNGRNVGSTSRPSSPSPRLRPPPQPINLPDFPHETPPNLRTTLPDRPLSAGRSRPVVKGNLETSNTASITRRHSSPIVSRGRIAEPPGRGRPHANGHVTESLEPRRTSQLPESLTRRPIKSSNSENGTGFGRNISKKSLDMAIKHMDIRNGGARPLSGSTLFPQSIRSGNSRTQPGRAISAPGSVDGSINGENGNYTWNRVVEDQSPHSSKLSSEVDIYESSRYDAILLKEDLKNTSWLHSADDKIDESPLFDNGFETLPEPFGPL >KVH95034 pep supercontig:CcrdV1:scaffold_3452:48252:51587:-1 gene:Ccrd_002898 transcript:KVH95034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MFICITTSASLQSTPFSAADYVPADKILLNCGASGELNDDDGRQWTSDVGSKFALEGANSIVSDAATQKPSVPTVPYMTARIFRSAFTYSFPVASGRKFIRLYFYPASYANLDASKGVFSVVSGPYTLLKNFSAAETTTNLNYDFISKEYSINVESGTLNITFTPSPETPDSYAFVNGIEVVSHPDIYASAGTSTSVGATIAVDIDNSTVLENVYRLNVGGQSISPSGDTGLFRPWGGDTPYTFGASNGVPVAADPNITITYPLGMPEYVAPVDVYKTARSMGPTPAINVGYNLSWYFDVDTGFSYLVRLHFCEVAPDISRINQRVFEIFINNQTAETEADVIAWATKSKVPVFKDYIVFFPPGAPRDDLWLALHPNTAAKPERYDAILNGVEIFKINASSGNLGGSLPAPAPTQEIIDPSRGVSSRKSGESSNKSGIIGGGIGGGIALILLVGLFVCFLSRRGKQRKDPNSSDGPSGWLPLSLYGNSHSSGSTAKTNTTGSYASSLPSNLCRHFSFSEIKAATNNFDEALLLGVGGFGKVYKGEIDGGVTKVAIKRGNPLSNQGLNEFQTEIKMLSKLRHRHLVSLIGYCKENTEMILVYDYMAHGTLREHLYDTQNQPLTCKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPAVDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWAQHCHTKGILDQIIDPYLKGKISPECFKKVAETAVKCVADQGIERPSMGDVLWNLEFALQLQESAEDSGGKGALELEEGVYDDVPLKGKTEKGGYEGNVTDSRSSGMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >KVH87427 pep supercontig:CcrdV1:scaffold_3454:22813:33561:1 gene:Ccrd_025334 transcript:KVH87427 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 34kDa subunit (p34-Arc) MILLQSHSRYLLQILTNRLQNIEKGVELDCHWVEFDDVRYHIQASIKSPNLLHLSVSLPTPPPETDFSSGLPLGAIEAIKAAYAGVVHILDPPRDGFNLTVKLNLAKLPPLEGLELILVVDLTVRIQYSIHGFTSFDFILFWNHPISAFASMIFQLSWIIPEYRESLACLAESTELNKFLGSQLFPTHPNLTFFWKLLSSSDNMTLSLLDGAEIRHALVVKIASVREVVMGAPLRVILKRVASRSVPSNAGVLVPLVHRPNESFFLVPQVEKATVVFPMRFNDSVDTVLATSFLQEFVEARRTAGLNNAPTCLWSASPPDELKDAPEQALHANAGFVSFVIYPRHVEGKKLDKTVWSLSTFHAYVNYHVKCSEGFMHTRMRRRVESLIQALDRAKPDEHANISKSYKRLSIEESRGNSHS >KVH87424 pep supercontig:CcrdV1:scaffold_3454:46516:48132:1 gene:Ccrd_025337 transcript:KVH87424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGFMSSIFPHSPTKTIEQKIMSLIHDSKELHDILQIHGFLLKTCLQSNNFIIAKLLRKFSLNSSNNMCYARSLFDEMPSPDTFLWNTMIRAYLNCTNHDECLSLFLRLRRQDHLFIDSFSLSLVVQACGRSGFLQNGQTIHSQVLKLGFDGDLFVQTGLTEMYVKFGWIEFARKVFREMKNPDLVSYNVLLAEYVRIGEMGLARQLFDKMSLRDLVSWNTMIHGYASLGHVGSAHTTLDACGEKDFFSWRSTVGQYSNSKQPHEALRLFHEMQLTNLLPDKITIISVLSACGDLGALGMGRTVHKYIERNRIEVDVKLGTSLVNMYAKCGDIDNASKVFNGIKKRDVFLWSAMIMGLSNHGYGEVALDHFDNMISEGIKPNGIIFIGVLSACSHVGLVNKGWKYFSAMSDEYGLTPEIEHYGCMVDILSRAGCLDAARELIRNMPFEPDPIVWRAILGACKIHKNVELAEEATTKILSLEAHADGNYVLLSNIYSQAKKWEKVVDVRRKMKENKIQKVPGSSSIEVGTAVHEFVAGDR >KVH87428 pep supercontig:CcrdV1:scaffold_3454:48244:48483:1 gene:Ccrd_025338 transcript:KVH87428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKREGVLAHHSEKLVIAFGLLSTAQGSCIDVVKNLRVCDDCPVVLKLISKIYNRKIIVRDRNRFHHFVSGSCSCKDYW >KVH87426 pep supercontig:CcrdV1:scaffold_3454:34368:40065:-1 gene:Ccrd_025335 transcript:KVH87426 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/TrEMBL;Acc:A0A178V110] MSEKFSATLRIGDLNDFIAPSQGCVVSMKSGSSRLVDKPKKPMKAAPATETLQTDPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNINNGKTVIVSLSPQSRASIAVHYGLSPVQVFRKLITLFKSLGVKAVFDTSCSRDLTLIESCNEFISRYKQNHSDEKEESRSFLPMISSACPGWICYAEKTLGSYVLPYISSVKSPQQSIGAIIKHHLCQKLGLRPAEIYHVTVMPCYDKKLEASRDDFVFRDQSDEGSGSDQMTTEVDSVLTTGEVLDLIQVSQEFYKFIVLLSNVSEEGHLFGVRGSSGGYADTIFRYAAKTLFGQDIKGPLDFKTIRNSDFQEVTLEVEGKTVLKFALCYGFRNLQNVVRKLKIGKCDYHYLEIMACPSGCLNGGGQLKPKPGQSGKDLIQALEITYMEKTELFNVKFRNFGRP >KVH87425 pep supercontig:CcrdV1:scaffold_3454:41358:46271:-1 gene:Ccrd_025336 transcript:KVH87425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1423, plant MLPPRQQPRSGVLHTSLSLVPADACGSPNVQERGSNSDQVRDSPTESASSRETWPTADALTLSKQLEREKAKERDNGSAEHSVIRHISSSDKMSLRDISRERVDVIAERMHHLPDEFLDKLKNELRGLIEGMGGQQHREEYIFLQKMVLSRGDLTEKTLILAHRGQLEILVAIKTGIQAFLHPTVSLSQASLIEIFLYRRCRNIACGNQIPADNCSCEICSKRNGFCNLCMCVICSKFDFEVNTCRWIGCDVCSHWTHTDCAIHNAQIGMGPVANGGSSGEMLFRCRACMRTSELFGWVKDVFHHCAPLWDREALVRELEYVSRIFRGSEEPRGRKLYWKCGELVEKLKSGIAEPVASKAILSFFQEFDMDPTKHQENGDGRLMAPQEAFNRIADVVQEAIKKMEMVEEEKVRITKKARLALEACDQELKDKAREVAALKIERQKKKQQVDELESIVRLKQAEAEMFDLKASEARREAERLQRIALAKAEKSEEDYASRYLKQRLHEAEAEKHYLFEKMKLQESSSRASQSSVGGSEPSQMMMYNKIQDLLKNMYTAPSKGDGPSSDIRSLGSV >KVH96861 pep supercontig:CcrdV1:scaffold_3456:32144:33843:1 gene:Ccrd_001047 transcript:KVH96861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein METTKSGRVVRSMNDKLKNEMMEDGEEDDDENFGEGSRKKKVLGKRGSGSGGSIQPCCQVEGCTTDMTNCKTYHRRHKVCEVHAKASIVITGGCQQRFCQQCSRFHDLTEFDDAKRSCRRRLAGHNERRRKSSYETYGESS >KVH96862 pep supercontig:CcrdV1:scaffold_3456:40248:44158:-1 gene:Ccrd_001046 transcript:KVH96862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAAQPVDQAENIIAPTQNRTRRKIDRGRGRGNVAVDAKGPSVAILVKPSAAGRGKGIRLIDSDLVPPCEIVPNTVAVGVVGPTIDDVADKHVVMGGGSAEKITGVEQEGNTTPGPEKVQVGNSYKLGVSWEQPPISLRGSKTTPSYVGFILSISSGMLPRIRLLLIDSKELLVLIFVACVEKAGKSLQFFFTVSKISRTWRLIPIFGMEEICL >KVH96863 pep supercontig:CcrdV1:scaffold_3456:50936:57754:1 gene:Ccrd_001045 transcript:KVH96863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MSFWGIEVKPGKPYTHSSQNGSTRLRISQATLANGSATLKSLVQCNVGDKQPVYTSLDTMLVTIDNQIYKMNHEAMFLFLHYGGKRESYGEDIANSETQESNHNSDEDEYEDSFINDDEPEPLTPSPISSSREDDDGDCLKKKGNGNGGRKRLKKKYKSIESDDEMSVPEIEDEDDFPISSICKNNKSQVSEEKTDKETKGELDRVTKNNDMLKLDGVHSGILDSKDKVNVHLIDDKAKEKGDQTNMSVPSLAKVVPEGRSKPKKKKQESTKEERATGPSSVDHPSVQVSLTEVKTKKKKKENTKKGNADKADDEGHAGPLEDNMHQCKVESNSINHDVLAAKDDKKPNDMGVDRSFEVLASAEVVPEKNSKLKKKRQGRSEVSTDGTDGNLLAGNKQEDDQQAIDKGSGIDSKQLPNGIQSEEKRVKKKRRKTSKTQEVEENINMEVEKENEPSATQPEGKDKESESCDKEVMVGLNVGVDGMRVGDKRRLIIPPSMSSGYKGTGENVPPNSWLVYDVEVTSIH >KVH87423 pep supercontig:CcrdV1:scaffold_3459:30895:31890:-1 gene:Ccrd_025339 transcript:KVH87423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MVSGIEFAKTGKHLATRDRGGRVVLFDRVDFDYLKSLEIEVKINMIRWCQTANSAIFLLSTNDKTIKFWKSKKRRSSNFNVEPSRPMSDGFISSLNVPTSFKACYANGGCIDNCLSCRSNNLSFLPGGITSLRLHM >KVH93440 pep supercontig:CcrdV1:scaffold_346:109329:112219:1 gene:Ccrd_004498 transcript:KVH93440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRRSNPSFWLPKNHIHRAAIHFPSASAPPPPSKQMAGSIDMMLRDLNLEKVESLPEDFDPTTVIKDPLPPIARDSSGLNGGHPVNGQEKPREIVLGRNVHTTCLEVTEPDADDEVTGEREAYMASVLARYRKSLLERTKHHLGYPYNLDFDYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPEGILYASHESHYSIFKAARMYRMDCEKVNTLISGEIDCKDFKAKLSLHKDKPAIINVNIGTTVKGAVDDLDLVIKTLEETGFTHDRFYIHCDGALFGLMMPFVKLAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSRNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYRGFRKEVQKCLRNAHYLKGRLTSAGIGAMLNELSSTVVFERPQDEEFTRKWQLACQGNIAHVVVMPNITIEKLDDFVNELIEKRAIWYESGKLQSPPCVASDLGNSNCLCAIHKGTALES >KVH93445 pep supercontig:CcrdV1:scaffold_346:67762:68943:-1 gene:Ccrd_004493 transcript:KVH93445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MSPSPLSLLCALLLTLPLAIVFTITTDGGTTTTTTHPPNEPISPPPTNIKTQLPKPIHINQMPPPPPPPPPPLLMMEEDDDDGSLFELASRVNPNPSPIGAPKKLAFLFLTAGPLPLAPLWELYFNRTKRENLYNIYIHADPNLRYDPPFQGVFSNRTIPSKPTRRHTPSLAAAHRRLLAQALLHDPSNYMLALVSPSCIPLHSFDFTYRMLVKSKKSFIEILENEVGARGRWAARGETTMLPEVTLETFRIGSQFSVLTRQHARVVVSDTRLWSKFKLPCLQENIYRCYPEENYLPTLLSMVDRKGCVPATLTYVDWKGHHNGHPHTFHESEVGPDLIAALRRRRPRYGDEEMNGSDASVRRRSHPFLFARKFGADTVGALMEIANDVILRE >KVH93452 pep supercontig:CcrdV1:scaffold_346:259116:260390:1 gene:Ccrd_004507 transcript:KVH93452 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEVSSLNKTLILLVLLLATFTTMSLGQGNGRGRQGTRVGFYRATCPRVESIVQSAVQSAVRANPTVAPGLLRMFFHDCFVNGCDASILIDGPSTEKTAPPNSLLRGFDVIDAAKSQLETICPGVVSCADILALAARDSVVQTGGRSWQVPLGRRDGLVSQASDTAALPAFNDPISVQIRKFADKGLNTQDLVTLVGGHTIGTSACALFSYRLYNFNNTNGPDPEINQAFLPQLRALCPNGGDGLRRVALDTGSVDRFGSSFYENLRNGRGVLESDAKLWSDRRTQRFVQGFLGTRGQPGSRFNSEFGRAMVKMGNTEVKTGMQGEIRSVCTTTN >KVH93439 pep supercontig:CcrdV1:scaffold_346:117978:127938:-1 gene:Ccrd_004499 transcript:KVH93439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MSVLIVTSLGDIVLDLYTDRCPLTCKNFLKLCKIKYYNGCLFHTIQKDFTAQTGDPTGTGSGGDSIYKFLYGDQARFFGDEIRLDLKHSKMGTVAMASAGENLNASQFYITLRDDLDYLDGKHTVFGEVAEGLETLSRINEAYVDEKSRPYKNIRIKHTYILDDPFEDPAQLTELVPDASPEGKPKNEVDDEVRLEDDWVPMDEQLGAGELEEVIRAKEAHSRAVVLESIGDIPDAEIKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVSSAEIIRDYKTGDSLCYAFIEFDDKESCEQAYFKMDNALIDDRRIHVDFSQSVSKLWNQYRRKGNQAGKGGKGCFKCGSLDHIAKDCTGSPDAAKQPPKYTLKDDGFSRKGGDDGSRYDMVFDEDTRVSPERKKPPRHREVDPHQEQRKLSHRVTDETKQDDYRHKDLADGQSDRRKEHRRDDRHHTSGGRKDYKRDELEYRTSRNDDRHRGRSNDRRQDGRDESYSRKRHHEDENDGRKVSDKDGRRDGRDRDESYRRKIRADDVGHTRSKGEDRDRDRVKSSARYDERDRHRRE >KVH93443 pep supercontig:CcrdV1:scaffold_346:83784:99769:-1 gene:Ccrd_004495 transcript:KVH93443 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF125, transmembrane MAAQNGLHIAVPEDKSRQEHVPMSIENDFDFSQRGQWLCAAVRGATDGLVSVASLMMGVGAVRHDVRAMILTGFAGLVAGACSNGNSVLPTRCRGGSNEESCENCGKQGKWEGSITKSLSGSCSISPCIYVGGHHALTCCYFYSWVHSDLGARGFLVDWSYSREEALPNSFQAASASALAFMLGAIMPLLVATFIVHHKIRLGVVVATMTLALVVFGWIGAIIGSTPVMKSCFRILIAVPEDKLEHIHVQVSVEEDFDYSQRGQWLRAXVLGATDGLVSVASLMMGVGAVKQDVRAMILTGFAGLVAGACSMAIGEFVSVYSQRDVEVAQMKRDAGNNKESEDEALPNPIQAAAASALAFMLGAIMPLLSAAFIVDHKVRLGVVVATVTLALVVFGWIGAILGRTSVMKSCLRILVGGWMAMAITFGLTKWIGSTRLKGVYLVLIFFLGPFIIPCDIIISFLHSFTIMAAQNDVRIAVPEDKSEQKHVQVSIEKDFDYSQRGQWLRAXVLGATDGLVSVASLMMGVGAVKQDVRAMILTGFAGLVAGACSMAIGEFVSVYSQRDVEVAQMKRDARNNKESENEALPNPIQAAVASALAFMLGAIMPLLAAAFIVDHKVRLGVVVATVTSALVVFGWIGAILGRTPVMKSCFRILVGGWMAMAITFGLTKWIGSTGLGQWLRAAVLGANDGLVSVASLMMGVGAVKHDVKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVSRMKINGSRNENEALPNPTQAAAASALAFVFGAIVPLLAASFIVNHGVRLVVVVAAVTMALVLFGWIGAFLGRTPVVKSCVRVLVGGWMAMAITFGLTKLIGSSGL >KVH93455 pep supercontig:CcrdV1:scaffold_346:132251:133303:-1 gene:Ccrd_004500 transcript:KVH93455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSADGQTEHCIAHGGGRHCSYPTGCSKAARGKSGLCIKHGGGKRCVVEGCTRSAERQVGLCISHGGGRRCRFDGCDKGAQGSTTYCKAHGGGKRCVFVGCSKGAEGSTPLCKAHGGGRRCLYEGGGICPKSVHGGTNFCVAHGGGKRCDVAGCTKSARGRTNCCVKHGGGKRCKFDKCTKSAQGSTDFCKAHGGGKRCIWGGEGKCKKFARGRGGLCAAHGNMVQESEGNKKGVLGIGSQLFHGVVLGPVSASLDNYSLSGASVVSNSGNWLQNPVRNRQLIPPQVLVPFSMKSSTFSSVTGERSSDQKEGSNGGLKGSEVVVPEGRVHNGGLLLLMGGNLRDAVIDGI >KVH93448 pep supercontig:CcrdV1:scaffold_346:277944:279421:-1 gene:Ccrd_004509 transcript:KVH93448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C15, pyroglutamyl peptidase I MSGMTGYDLLKKVKTCSIDAICEFLKNIKCYDAMISDDAGSFVCNYLCYNSLRFAEQKGHNSPPFSRINKETKM >KVH93453 pep supercontig:CcrdV1:scaffold_346:239098:240372:-1 gene:Ccrd_004506 transcript:KVH93453 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEVSSLNKTLILLVLLLATFTTISLGQGNRRGSQGTRVGFYRATCPRVESIVQSAVQSAVRANPTVAPGLLRMFFHDCFVNGCDASILIDGPSTEKTAPPNSLLRGFDVIDAAKSQLETTCPGVVSCADILALAARDSVVQTGGRSWQVPLGRRDGLVSQASDTAALPAFNDPISVQIRKFADKGLNTQDLVTLVGGHTIGTSACALFSYRLYNFNNTNGPDPEINQAFLPQLRALCPNGGDGLRRVALDTGSVDRFGSSFYENLRNGRGVLESDAKLWSDRRTQRFVQGFLGTRGQPGSRFNSEFGRAMVKMGNTEVKTGMQGEIRXVCTTTN >KVH93446 pep supercontig:CcrdV1:scaffold_346:63198:64984:-1 gene:Ccrd_004492 transcript:KVH93446 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MGLSSFREAIGEVKDQTSISLAKVASFSDLRVAIVKATRHKACPAKEHHIQEILSLASYSRQHAASCIEIIAQRLKKTKDWVVALKALILIQRLIQVDNPVIEQGIFFASKNGTHILDLSNFRDTSWANSWDYTAFIRSYAVYLREQLDHRMQERKARHDMLSYEEDEEEHPITPRAIIVRPTPIREMQNKQIYTRSQRVMKLLDSFLVCRPAGAAKNSRLVDVALYPIVKQSFRLYYEMEEIVTVLMDRFTELDVADCKKLFEMFCRVAKQFDELDAFYCWCNDARIARTSDYPEVAILSQNKLDVMEEFIQEKIEMEQQKKIDYEPKESEPEPEMEPEPKPDVNATKALPPLIEGFEEEEEEKEEKKTQDIGHLLNLEYDDVPTVEEHGDKLALALFGDGRKTGAPAWEAFNDESGDWEKALVETASQLSTQQPSLPGGFNTLILDGMYQQGATQAAMRTTGSASSVAFGSAGTPAMLALPAPLSSANGSFTGGDPFATSLTVAPPSYVQMFDMEKKQRLLMEEQMMWQQYYNQGQVGLGNTYHNTYPYNQPCYNMVGY >KVH93449 pep supercontig:CcrdV1:scaffold_346:265343:272330:1 gene:Ccrd_004508 transcript:KVH93449 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MLSRSRDLTGGRSWQVPLGRRDGLVSQASDTAXLPAFNDPISVQIRKFADKGGHTIGTSACALFSYRLYNFNNTNGPDPEINQAFLPQLRALCPNGGDGLRRVALDTGSVDRFGSSFYENLRNGRGVLESDAKLWSDRRTQGFVQGFLGTRGQPGSRFNSEFGRAMVKMGNTEVKTGMQGEIRRVCTTTN >KVH93438 pep supercontig:CcrdV1:scaffold_346:290646:291816:1 gene:Ccrd_004510 transcript:KVH93438 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEVYSLNKTLILLVLLLATFTTISLGQGTLVGFYRATCPRVESIVQSAVQSAVRANPTVAPGLLRMFFHDCFVNGCDASILIDGPSTEKTAPPNSLLRGFDVIDAAKSQLEXTCPGVVSCADILALAARDSVTGGRSWQVXLGRRDGLVSQASDTAALPAFNDPISVQIRKFADKGLNTQDLVTLVGGHTIGTSACALFSYRLYNFNNTNGPDPEINQAFLPQLRALCPNGGDGLRRVALDTGSVDRFGSSFYENLRNGRGVLESDAKLWSDRRTQGFVQGFLGTRGQPGSRFNSEFGRAMVKMGNTEVKTGMQGEIRRVCTTTN >KVH93442 pep supercontig:CcrdV1:scaffold_346:99369:108859:1 gene:Ccrd_004496 transcript:KVH93442 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1/V1/A1 complex, alpha/beta subunit, C-terminal MQGLMLQLPERDLVMLPSHFPCFPQFSQLSSFEPPLHLVGSTLKQIHLLPLLHAPATKPAKPVRIMALTSCLTAPTPIIKDATETKPSVAPRTAAHSHCPLCEKSKSFSIDIGTCSCLDLSSGTAMCKPFCAAMKSSKRISPHGSLPQFSPPGVDVIQDLAGSLLVMNRTHIQVAGKLKLELAQFTELEAFAQFASDLDKATKNQLARVFFANRSEDESEDTVDSGDAVTKLSLGFGSVSSRFRGGPSFRSPFVSFSITADF >KVH93451 pep supercontig:CcrdV1:scaffold_346:217471:218432:1 gene:Ccrd_004504 transcript:KVH93451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSAAVLNGLGSPFLTGGKRSHTLPAPIGAAIGGAVSAPRRLVIAAAVAPKKSWIPAVKGGGSLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPESQSVEWATPWSKTAENFTNYTGEQGYPGGKFFDPLSLAGTIQNGVYIPDTDKLDRLKLAEIKHARLAMVAMLIFYFEAGQGKTPLGALGL >KVH93450 pep supercontig:CcrdV1:scaffold_346:218985:233384:-1 gene:Ccrd_004505 transcript:KVH93450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALLSQINSPSPNSNPSPETFSFNRCSLPTVSRPPLLSTQLKTNQSSFIANCSSASTDRPKDETPIELRFPAFPTVVDINQIRDILPHRFPFLLVDRVIEYNPGVSAVGIKNVTINDNFFPGHFPERPIMPGVLMVEVKTSFLFMPYAMAQVGGLVMLQPEMGGSRDSFFFAGIDKVRFRKPVVAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGEIACEGEFLMAMGSSE >KVH93444 pep supercontig:CcrdV1:scaffold_346:79427:81709:-1 gene:Ccrd_004494 transcript:KVH93444 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEINLSGVIIMFAMMISVMGISEGRLKVGFYRNTCPDAEAIVGDFVRDAARFNPQVPAFLLRLHFHDCFVQGCDGSILIDNGAISEKLAVGHQGVKGFDVIENAKAELEFVCPVCVCVGDLIPLYTLVFGFDRVLGQFMRWKLRLYNFMPGGGPDPSINPNFLPELIETCPPNVDINVRLPMDHDSGNTFDDHILQNIRNGFAVLQTDAKLLDDPTTKQIVDSYFRFSNQTVRPSFEADFVKSMVKMGRIGVETGPKRGEIRRVCNAFNN >KVH93457 pep supercontig:CcrdV1:scaffold_346:156103:161378:-1 gene:Ccrd_004502 transcript:KVH93457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin type III-like domain-containing protein MASKNRLTSVFAILAIFMLWRSKVLAQNSPVFACDIDKNPALKNFTFCDSSLDVKTRVDDLVKRLTLQEKIANLVDNAGSVDRLGIPKYEWWSEALHGVSYVGPGTHFSSVVPGATSFPQVILTAASFNETLFKTIGKVVSTEARAMYNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPTLTSKYGAAYVQGLQDSDDGDKDRLKVGACCKHYTAYDVDNWKGIDRYHFNAVVTKQDMDDTYQPPFKSCVVDGNVASVMCSYNQVNGKPTCGDPDLLTGVIREGAIKAGLVKESEVDRAVSNNFATLMRLGFFDGHPSKQIYGKLGPKDVCTPANQELAREAARQGIVLLKNSTPCKYTTPLQGLTASVPTVYQAGCDNVGCGTAQIEAAKKVAAAADAVVLVMGTDQSIEAESRDRIDITLPGQQNNLISEVANVSKGPVILVIMSGGGMDIQFAKDNPKITSILWVGFPGEAGGAALADIVFGQYNPCGRLPMSWYPQSYTTKVNMTNMNMRPDPATGYPGRTYRFYKGDTIYTFGDGLSFSEFAHHLVSAPKLVSVPLEEGHVCRSSKCKSIDAVEQTCKNLAFDVHLRVTNNGKMGGSHTVFLFSSPPSVHNAPQKQLLGFQKVNLASRQQGVVKFSVDLCKDLSLVDELGTRKVALGQHILHVGNLKHSLNVRI >KVH93447 pep supercontig:CcrdV1:scaffold_346:31156:32740:1 gene:Ccrd_004491 transcript:KVH93447 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGDNNNVNLPPGFRFCPTDEELVVHFLQRKASLLPYHPDIIPDLDLYPYDPWDLDGKAMVEGKKWYYYSRRTQNRITVNGYWKAWGCDEQIMSSSSSKRVGVKKYYVFHIGEAPEGVKTNWIMQEFRLSDGAASSSSSGSTGRSKRRSHSKIDFSKWVICRVVEHSCDNDNDDDDGGTELSCLDEVFLSLDDFDDISFPN >KVH93454 pep supercontig:CcrdV1:scaffold_346:145944:155066:-1 gene:Ccrd_004501 transcript:KVH93454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 194 MVGDAATSLRLQAALLLLLLVALANSAFFVVSGELPSLTVAEFASLQLSPSLVVEGSPGSKPGSQVICERVEINGLSRLRNLTSFFSSVKVKVLNMNSTGRPPNITVCFHRNASLGVGMCPEGQWEKLTKGSWVRSMSPFDHKLLDIRITGSSVGTVEVLLSEEFYLYRLIFLVLGITLMTFASSLSNSLVIYYGGAMTLGILLVVLVVLFQVGVVSFLTSYIPTLLRSLLLEMGIEEDLYNPVAVFLLACVVLTGAWLGFWAVRKLVLTEDGSIDTGVAQFVAWSFRIVAASMILQSSVDPLLAVDALMSIHFQICRLSFRRNKNKHRRSYKPVSSPAVDSYEHEHKFQRLSDSNTFYSSFHDAPDRKHYSKDEWDEFTKESTKKALESLVCSPDFSRWAVDHADRITLLPKKDTNRRHQSWLPWS >KVH93456 pep supercontig:CcrdV1:scaffold_346:182875:208578:-1 gene:Ccrd_004503 transcript:KVH93456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C (PP2C)-like protein MSNQCSNLFEYRSFSLFTPFYTPLKPYPTILRPIFPFHNSLILNSRRSRSRTSYVPLAAQSSSDIVLIATTERQDGSFVFRFGDACEVVEEIESQAEPESNAVTILDGDQERQVLTETIEGGYGNDGGTLTEVDDGIRNADIDSDFAETDTKVISDFRDYHAELSETLSDISEEEVLSLQSDAQMNNSLEGVESTLNNKTECAAQLDGGESLNPTISLLDNSPNRDKSADPEGFILKDSDDEIEDPSVSVKLESMQVSHIEVMDVKKSHAKDELHKVKREDTSESDTKDVTPPATMRISDVELTDAAKEDAEEGLQDMKINEGTLIDEMPPSNLGGEPTLDEVSQLQFGHVEVEAIMKVSSTKAMDVEDDQAMEDKLQQMSTNKRDEIDVAYEMPISYIEEAEPLLEEEVSQLQSAPVKLEATMEVSSTKGIEVEDRSVEDEFQQGSTNSNDENDVAFAMPAEPVLEEEVSQLQSAPVKLEATMEVSSTKGIEVEDRSVEDEFQQGSTNNNDENDVAFAMPAEPILEEEVSQLQYAPVKPEATMEVSTTKGMDIEDHAVEGELQQASTSNNDENDVAYVSPVEPILVEEVSQLQSAPVMLEATMEVSTTKGMDIEDQAVEDELQQASTSNNDENDVAYGSPVEPILVEEATMEVSATKGMDVEDQAVEDELQQASTNNNDENDVAYVSQAEPILEEEVSQLQYAPVKLEVTMEVSTTKGLDVEDQAVKDDLQQGSTNNNDENDVGYVMPAEQILEEKVSQIQSTPLEIKPTTQVSDIEVQDTIGQNLDDGIVQVIDEDASKSCIKNVERSSYQLETQPVQDEGVNHNMLEQCAETDPLGSSILLKQGTCSSLLEAERIEDMVSSSELVEASEDSATVLATEAKVIREEIYLTGYFLSSGAALLEHPSKALTGGDDAYFVAGSKWLGMANGVSQWSFEGTDPGIYAQELMRTCEEIVLDTSRAPISNPAELLCRGVKETNMSGSSSVLIANFDDQALHVANIGDTGFLIIRHGAIYKKSSPLLHEFHFSLQVDECDDPLQLVEEHTIELDEGDIIVSATDGLFDNLYEREIAMIVSKSLQAGMKPEEIANALATRAQEVGRSAFVRSPFSDAAQAAGYTGCAGGKPDNVAVIISLVEKRSNLLAPSDSLISTSRGAYDHTHGNSQVQYLLLFFIHYHFWWGRFHAKNLNTHDE >KVH93441 pep supercontig:CcrdV1:scaffold_346:105988:108843:-1 gene:Ccrd_004497 transcript:KVH93441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETKGDRNEGPPRKRLLTDPNPKESFVTASPESTVSSDSSSDRFAKKTRELPNLSDCHSCGVRINYTNPRERLQPLESMWRVVLLCKKCTKRVKSSELCTYCFTSAIGENDCFKCRDCQHSIHKDCVAKHGPGLGFSVCVDCWVPDAVANSIKARKRKSKRKNRESCERAATLPESRVSVRETNVPHLSSEVVEKKVAAAARASQTALRKAVVAKNAVELAKGVLSVVASRKAAGSPQKKVVDDAELAFMLHRAINSSPRTSRYACLMSSYSGENASITCYSRRRGRKKTSLMNSSSLDVPLICYSRRRRQCGMRNSALDAPLVCYSRKGGMRNSAFDAPLVCYSRRRASSRACSHVRECEQNSLNGKECQETDGERFSKEPHRYLLKYHRIGKGTPRPSVFVKNPDCGSCMDSAHLNTEAMSVSVSYGNGNECHEICEKCNETTNRFLLKYKRSVRSKPKFSCKIKEFSPPLPSNYPLESTSLLDTRFQPSYKDPACLGPSQKFHAEDAKFGDIAKGCLLVSGLGLQFNIGSATGYCVSVKEQDTSLFGYQAM >KVI09831 pep supercontig:CcrdV1:scaffold_3460:19151:27553:1 gene:Ccrd_011780 transcript:KVI09831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative domain XH MEDYMDQSSGGDTDGSDSEVEEFVDKSYEELKGGKHRVKLSDETFTCPYCRNKKKRDYQYKELLQHATMVGKSDSQKRNARDKANHLALVKFLEKDIAEMSGPSKPKDEVDHLADHDGDEMFVWPWKGIVVNLPTELKDGRYVGKSGSNMRDYLTTRGFNPTRVHPLWNFRGHSGCAVVEFNKGWDGFNNAMSFEKAYEADHHGKKDWKVNTEPKDAIYGWVARADDYRANNIIGEHLRKIGDLRTVSDIMAEEDRKANTLVSNLTNVIEVKKRHLEEMENKFVETENSLSKLISEKDVLHQAYNEEIKKIQVSAREHFQRIFNDHEKIKLQLENQKRELELRGNELEKREVVNENERKKLSEEIEENTVKNSLLQMASDEQRKADESVMKLAEDQKREKEELHKKIIMLEKQLDAKQAVELEIERLRGQLNVMKHMGDDDLEVLKKVEDIHKNLREKEEELDDLESLNQTLVVQERKSNDELQDARKELIEGLKELPKTSHIGVKRMGELENKPFHDAVKRKYGDMDAEDRASELCSLWEEYLRDPNWHPFRIITVNGKSQGIIEENDDKLKGLKRDLGEDVYKAVTTALTEINDYNPSGRYITTELWNFTEGRKASLQEGVSYLLNMWDVQKRRRNILQEMRNLKAMV >KVI09830 pep supercontig:CcrdV1:scaffold_3460:15487:17411:1 gene:Ccrd_011781 transcript:KVI09830 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MVEEEITFLERKVDKLNLSLFLEKKQTREWELQKLRDLQPVRPRKQSFRRQQNQPKFMGYDDQLQDYGLHVRQRRPSFGSSIDIKHSKLRLSVLGTDDRITEYSRYKNFDTKSPNKLSEDLIKCLIGIFLELNQPLLDGEDGSDYVPKQISCMNSKSFKTTFNCTAPPFFFNNHASNLDPYAILLDFDGGIRDIGPYKTFTQITLNSLDHTRISQCSTQVGKLRVLMQKLCTVDLNLLTYKQKLAFWINIYNACIMHAFLQHGLPSTQEKLLVLMNKAVINVGGIVVNALGIEHFILRHPSNPNHGPADEREMVLRHAYGLGFPEPNVTFALCRGSWSSPALRVYTAEDIVDELARARLEYLEACVGVVSKKKIMVPKLLQWHIKDFADDMESLLEWIYSQLPQSGSLKRLIMECLNGETKSSPAKLIEIQPYVYEFRYLLPA >KVI09832 pep supercontig:CcrdV1:scaffold_3460:5776:13278:1 gene:Ccrd_011782 transcript:KVI09832 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MTIGLMEVNLADAHGLSKYDFFHKIDPYVLIQYRDQEHKSSIAKGEGSNPKWNQKFTFRVEYPGADEQPKLVFKIMDHDTFSPDDYVGQTTMYLKEVLAVGVEKGKSELLLQKYRVVDKNQSYRGDIRVGLTFTPRKVMHKNLEDGKRANDKIDPYVLIQYKNQEHKSSIANGQGSNPKWNEKFTFRVEYPGADQQPKLLLTIMDQDTFSSDDYIGLTTIYLKELLEQGVENGIAELHPHKYNVVNSSQSYSGEIRVGLTFTPREETETYDEEVFGGWKESQW >KVI09829 pep supercontig:CcrdV1:scaffold_3460:28432:30294:-1 gene:Ccrd_011779 transcript:KVI09829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MEVRGLRFLVILCTILTAVNARIPGVYTGGQWETAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCVNDPQWCHSGSPSIFVTATNFCPPNFAQPSDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGIRFTINGFRYFNLVLITNVAGAGDITRAWVKGSRTEWMSLSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPANWKFGQTFVGKNFRV >KVI09828 pep supercontig:CcrdV1:scaffold_3460:44530:49102:1 gene:Ccrd_011778 transcript:KVI09828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVSKKRLYYGGFDGFRAPVVPKAPRSLRRNWHKKSSEGSEICPFELLAAVAGKLLEESESSASSTGSEGKEQISIPKDGVKQEPLDVKLKPLRLEYLDQGCCAESGFVHEHSNPELKIEPLLTDLPQSDNDSGLEHASVVTTSDFLKEVGTNVQLEASDGKHGVDGPLSGDLCDVNMDTNRAELHTEAVEKQSEDLTAVKTCSFRDTGKSSVNHGVLSKSYSSVHVPFFSDPVPSACFPKHKGNVKIGIRDDDENSFSCNHHSTKMRAFRLQSRAGYRRIRKILTAKYWKAAPKLKDYELSNPTSGGVNPFDHNKKNMYMRKCCQAEAASKRRKLFHHSLKSDYVQEASSESISNLHERSARGDKKRSPAASKRARGVTSSVINHKGSFQSKDGHVKFSIKSFKVPELHVEMPETSTVGSLKRTIMEAVTAILGGELHVGVLVQGKKVRDDNRTLQQTGISLNSDTLGFMLEPNLPQPSESLIQEEHPLLLPCDTHQSPARGPATPITDLGLSNSLGDPPSATSLDNQVEMNRESKPLPDEVSTEEIVVDSKALVPVPAMNVEALSIVPLNHKQSKRSELSQRRTRRPFSVSEVEALVEAVETLGTGRWRDVKIRAFDDANHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQDLLDRVLAAHAYWSQHQSKQQGKHQSEGVGSPVKVCLELES >KVH94635 pep supercontig:CcrdV1:scaffold_3463:25679:27712:1 gene:Ccrd_003299 transcript:KVH94635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTELETPQKQLVLSLLKTPQSIKCVKHLRSIHVILLRTGLHRTSFAIGNFVNCCANQGLMSYAAQLFDQMPEPNSFVWNTMIRGFQQNHQPKNAMLLFDRMRFEPAGPDCFTFPFVIRACAELMDHLRGLCIHGLVLKVGLELDTFVGTSLIGFYGGFGDTKAARHVFDEMPMKDKVAWTVLLSSCVNKCSDLEDARKLFDQMPNKDLVVWNIMIFQYIKSGDVHNAEVLFNLAPVKDLLMYNTVLGAYARNGEIDDMLKFFRDMPTKDLVSWNSVIGGLVRDRRIDEAMSHFHQMQTEIVYPNEITLVSLLTACAQVGALDIGRWLHSYIDRNNHGSNIVVGTALVDMYSKCGDLESAEYVFNKLQNRDVVSWNAMIMGFSMNGQSNMTFQFFSRMKQESVVPNETTILSVLCACVHGGFVNEGRELFDSMIQELGMVPRLEHYGCMVDLLGRAGLLDEAYKLICTMPMEPHTGVWGALLGACKLHKNVELAETAIKHLNEIEHNDGGYLTMMSNIYANAGRWDDVSKTREFMLQKGVDKLRGCSSIEVNGEIHEFGAEEKIHPRVEEIRNMIDEISRRLRAVGHVSSRNEVFFDVEDEEKDKVLAYHSEKMAVAFGLIATEKGSVIRVVKNLRICGDCHAVMKLVSESFEREIVVRDRSRFHHFKNGCCSCGDYW >KVH94634 pep supercontig:CcrdV1:scaffold_3463:21537:24670:-1 gene:Ccrd_003298 transcript:KVH94634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MVGKNSHGHIEIKVQSVPPPPPPSLFRPPYEWYPWIVTMFVNIDIIIFIVSMYINNCPAHSRNCIGAPTLQRFAFENIHQNPLLGPSTDTLVKMGALDADKVIRGGQQWRILTCMWLHAGVFHIFANMLSLLGVGVRLEQEFGFESDWFIYCPESVVACCRRYSSGKRSPLVHQERYSMAALTTLIFMILINLMVGILPHVDNFAHIGGFVTGFFLGFILLIRPHFHWTSQPISPFGYYGHQRKTRYKLYQYILLILSIIVLIVM >KVH94636 pep supercontig:CcrdV1:scaffold_3463:40155:53022:1 gene:Ccrd_003300 transcript:KVH94636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MEPRQNEFSDHINGFTLYDEDILPIFDQNPGLANEYKFKDEHLDLSFLEHPYTAPDPNPGSLSVSPGMLAQVESPDEFNDYVFKFIDQILVEEDDEDIQSMFCDPLDLQATERSLYQVLGEAYPSSSQPPLTLSPNVENTEDNVFGSSGEYSTNSSTSNSNSTHPDWPGSYTFDSLPPFTKTQSLENPPLWSFGSTTSDVGGTMDEMLSTQIAQNIFTDSESIKQFNKGMEEASKFLPSSKPLVIDLDDYDLPSDSRDAPPEVVVKEEKVEKEKPSNGVRGRKHYQLEDNDYPEERSSKQSAVYVEEDELSEMFDRVLLGADANGKPIACCKEPPILVDNKSQKNGLVVGSNNGRNAHPQRKKTNEAVDISTLLLSCAQSVAVGDRRTANEQLNQIRQHASTSGDASQRLAHVFAIGIEARLAGTGSQLYAAKSAFRISAAEKLQAYQVYLSACPFKKIAMFFANKMIYEASSNSSTLHIVDFGIAYGFQWPILIKHLSERPGGAPKLRITGIEFPQPGFRPAERLEETGRRLANYCERFNVSFEYNAIASQNWDTIKIDDLKLQRNEFLAVNTLIRFKNLLDETVVVESPRDKVLKLIRDMKPDIFVHGVVNGSYSAPFFVTRFRETLFHYSALFDMFDATIERENEHRLNFEKEFYGREAMNVIACEGADRVERPETYKQWQVRISRAGFKMKPLNQEIVSKLRCKVRGGYHKDFKPIFPSTIEALDLNFPSTIGVVSSRGKKNRQAPECGGYEQHRSNKHLAGGWPEEEQMETKEIVTMIDKLLLCPGSNHVGLHDELACCPFDRPVSPLITGKQRRKRSDATKEIVDLKSLLTQCAQAVSSNNKLGVERILKKIRNHSSPRGDSEERLAHYFADALDARYAGTGMDLYSNLALSKIMASDIVKAYQVYVSTCPFKKMSNIYANKMIGNLARGSPRLHIIDFGILYGFQWPCLIQGLSARLGGTPRLRITGIDFPQPGFRPAERVEETGRRLADYCKRFNVPFEYHAIARQWDDIKLEDLKINEDEILVVNCLYRLRNVPDETVLGAIDCPRESVLKLIKMIKPNLFLHGVVNGNYNAPFFLTRFREAYFNFSVLFNMFEAAMNREDEERLLFEKEVIGREVINVIACEGTRRIERPETYKQWQARNERAGFTPVPMNGEIMKEVRAKVKIGFHRDFMVDDDGHWMVQGWKGR >KVI03143 pep supercontig:CcrdV1:scaffold_3464:10205:16791:-1 gene:Ccrd_018562 transcript:KVI03143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSLMFQLKASASGPDAEEGQMAIKKEKNRWKIDFSGEKPGTPLLDTINYPDLEQLAAELRVDIVYTVAKTGGHLSASLGVVELAVALHHVFNTPDDRIIWDVGHQAYPHKILTGRRSKMNTIRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVGRDLLGKNNNVISVIGDGAMTAGQAYEAMNNAGFLDSNLIVVLNDNKQVSLPTATLDGPATPVGALSSSLAKLQASPKFRKLREAAKSFTKQIGPQAHEVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNIEDLVTIFEKVKSMPAPGPVLIHIFKTKSPTLSYTQYFAEALIKEAEVDNKVVAIHAAMGGGTGLNYFQKKFPDRCFDVGIAEQHAVTFAAGLAVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDIAYMACLPNMVVMAPSDEAELIHMVATAAAIDDRPSCFRFPRGNGVGVLLPPNNKGVPMEIGKGRILLEGSRVAILGYGSIIQECLGAAGLLQAHNISATVADARFCKPLDAELIKRLANEHEVLLTLRAMTLPDRYIDHGAPQDQLEEAGLSSRHICSTLLSLLGKPKEALQYKSII >KVH87422 pep supercontig:CcrdV1:scaffold_3465:11819:51671:1 gene:Ccrd_025340 transcript:KVH87422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MEYETPPPPPPPPPPPPMETDAVHALASRDPPRIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDAGSTSINVVVKDGGLKLIQVSDNGHGIRREDLPILCERHTTSKLSAFEDLQSIKSMGFRGEALASMTYVAHVTVITITSGQLHGYKVSYRDGVMGHEPQAIAAVKGTRVAIENLFYNMIARRKTLQNSADDYPKIVDLLSRFAIHHKNVSFSCRKHGAAGADVHSVAMSSRLDAIRSVYGASVANNLIKIEASDANPSSSVFEMDGHISDPNYSSKKTTMVLFINERLVECTALKRAIEIIYAATLPKASKPFIYMSVILPPEHVDVNVHPTKREVSLLNQEVIIERIQSTIELKLRNFSEASTYQEQEVDCSPVSLVAADKVSPINTSTSGSQKVPVHKMVRTDSQAPSGRLHAYLQVKPSMHHESICSLNSFRFSDSHISSPDCRSSIRQRRNPKETADLTSVQELIDEFERGCHSGLLDIVRNCTYVGMADDVFALFQHNTHLYLANVVNLSKELMYQLVLQRFGYFNAIELSDPAPLRELITLALREEDMDPEGSENDDLKEKIAEMNAELLKQRAEMLDEYFSIHVDPHGNLCRLPVNWNDERLCFQTIAAALGNFYAMHPPLLPNPSSGDSLEFYKRGCAEEGKTSVSGEDDIDEELLSEVENAWAQREWSIQHVLFPSMRLFFKPPASMATNGTFVQVASLEKLYKVSLSFQTVFSFGFQPHFFRVRFRV >KVH93615 pep supercontig:CcrdV1:scaffold_3466:13336:19071:1 gene:Ccrd_004333 transcript:KVH93615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brix domain-containing protein KLNRLHPLVEKISTCFFVSTPSANFSDCQSFFPDLVDQSYIMLHIKTPKSMRAKRALEKRAPKLVEYGKKTLILHGTKTSNVLNSVLTEIYHLKKDNAVKYSRRNDNIRPFESGGETSLEFYSLKTDCSLFVFGSHSKKRPDNLVIGRTYDHHIYDLVEVGVENFKSMASYSYDKKIAPLIGSKPFFAFIGEGFENVEELKHLKEVLLDLFRGQVVKNLNLAGLDRVFVCTAVSSKKVLFTHCALRLKKSGTIVPRMELVEVGPSMDLVVRRHRVPDESLKKEAMKIAPELIKKKEKNVSKDAIVGKIGKIYVPDQEVGNASLPYKPKGVKRERREAKAAKGEDNSNKHAEKKLRKQQDDDVSA >KVI04160 pep supercontig:CcrdV1:scaffold_3467:12556:13400:-1 gene:Ccrd_017532 transcript:KVI04160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVGKATKIFIFIITVLVITGLVIGFSVIRRTIHPKSHKCSGESCFQSEFYPPPPPPLEMPISSAPDPNASNPSDPTSNPSSFPPPPPPSSSSSGSSSNLSTPPPAASLPSPPSGSSTNQAPPPPPSVVTTPPPPVVIPAVPPAFNPPSPVPVTPEFDLQFVLNGGSEFVGQKGQNRVDFCLTVVNRKIASPYDSPVTLVIGIQSAVASLYNKQVKLQDIRWVVVAGFK >KVI04159 pep supercontig:CcrdV1:scaffold_3467:27921:33501:1 gene:Ccrd_017531 transcript:KVI04159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MASIVCPKLGAVPTGQRSCHQLELHPKTTQKSTQPVAHRLGENLGFGFRRTIKSPHALATEASTPLNENDKMMANYVPIYVMLQLGIVTIDNVLEDKVGLEKQLKQLKGANVDGVMCDVWWGIVESKGPKEYDWSAYRVLFQLVQDCGLKMQVVMSFHQCGGNVGDVVNIPIPQWVRDVGETNPDIFYTNRAGNRNIEYLTIGMYRDYMKSFKETMADFLEAELFTDIEVGLGPAGELRYPSYPQSQGWVFPGIGEFQCYDKYLQADFKEAATNAGHPEWEFPDDAGEYNNNPDVTGFFGSKGYLSEKGKFFLTWYSNKLLIHGDQILNEANKAFLGCKVKLACKLTAGYYNLNDRDGYRPIARMLSRHYGAFNFTCLEMRDSEQDAAAKSGPQELVQQVFSSVKREDVHIAGENALERYDRDAYNQILLNVRPNGVSRNEPPKLKMEALTFLRLGDELLKKKNFRLFKTFAYNADPRTYSSVVPLERSKPEIPIEELLKASEWLEPFPFDDQTDMSVGGKLNDFIDGLLDKIPFF >KVI04158 pep supercontig:CcrdV1:scaffold_3467:47752:52977:1 gene:Ccrd_017530 transcript:KVI04158 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIR synthase-related protein, C-terminal domain-containing protein RIHRGVLRLPSSSLARIADSPSPSLKSPSLCNQKIYSSNMASSLEITAAEFLQGSSHHKFFLPRALPKSRSSHFLFRSSQRKRNDSLRISNQGVRRNASKKVKAVVSVDAQELGNVDKSAAEQVIHFYRTPLIQESASEELLKSVQTKISDQIVGLKTEQCFNIGVNGNLSNQKLSVLKWLLRETYEPDNLGDESFIAKEKKEGFNTVVVEVGPRLSFTTAWSANAVSICQACGLSEVNRFERSRRYLLYTKAGSVPLLDSQIDEFAAMVHDRMTECVYPKKLVSFKTSIVPEEVYYVPVMEKGRKALEEINQELGLAFDEQDLQYYTKLFKNDIQRDPTNVELFDIAQSNSEHSRHWFFTGKIVIDGQPMNRTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFLVKQLRPVXPGCTSPLSLSNRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSYVVASTAGYCVGNLNIEGSYAPWEDASFEYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRAFGMRLPSGERREWLKPIMFSAGIGQIDHTHITKGEPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGKDNPIISIHDQGAGGNCNVVKEIIHPKGATIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVNAKSRELLESICKRERVSMAVIGTISGEGFVRLIDSHAIEKPPAVDLELEKVLGDMPQKTFEFHRVKDAREPLDIAPGITLMDSLKRVLRLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDYTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKITSLSDVKASGNWMYAAKLDGEGAAMYDAAIALSEAMVELGIAIDGGKDSLSMAARAGGEVVKAPGNLVISAYATCSDITKTVTADLKLKDEGILLHIDLAKGKLFEVVQDLLTDELISAGHDISDGGLIVSVLEMAFAGNCGAQISLNSQGKSVFETLFAEELGLILEISKANLDTVATILGNRGVSAEIIGQVTTEPIIGLNIDGITHLDEKMTDVRDIWEETSFHLEKFQRLASSVTAEKEGLKNRREPSWQLSFTPTFTEKKYLNAITKPKVAVIREEGSNGDREMSAALFASGFEPWDVSMSDLLTGSISLSDFNGIVFVGGFSYADVLDSAKGWAASIRFNQPLLNQFDEFYNRENTFSLGVCNGCQLMALLGWIPGPQVGGAMANSGDTSQPRFVHNQSGRFECRFTGVTIKESPAIMLKGMEGSTLGVWAAHGEGKAYFPDNGVLDGILSSDLAPVRYCDDDGKPTETYPFNPNGSPLGVAAICSADGRHLAMMPHPERCFLMWQFPWYPKHWDVEKKGPSPWLKMFQNAREWCS >KVH87418 pep supercontig:CcrdV1:scaffold_3468:24948:26336:1 gene:Ccrd_025342 transcript:KVH87418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLQAAEEFCENANPNVVKEVQNVVKEVPNVQKAFYDVDILEEEYVKNSKDKIAIRRAEKEQLKEGEAANKVHKNMMKDVKKGSSSSKDGHVRTTSSKKKGNAAYEDHASPTISQAGDKDYVVEDDVQW >KVH87420 pep supercontig:CcrdV1:scaffold_3468:24297:24902:1 gene:Ccrd_025341 transcript:KVH87420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRAEMERLKEGEAVDKVQKKLTKNVKKGSSSSKDGHVKTTSSKKKGNAEDEDHASPTMSQVGDKDSKEEDDVQWQTDMSAEAARQCIQEQLSIVTAGMVMLDTDELVKKVAKPKENSNGKMTGCKKLVDTAKESLKKGVGPKELMALLSGPCQENFSSLYEALLDGVEKGFAKHMLK >KVH87421 pep supercontig:CcrdV1:scaffold_3468:29344:30253:1 gene:Ccrd_025344 transcript:KVH87421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MVVVAKALARPASYTIKYFGYELGVQSNFDKKTRASLVNGAQDTAKLAGLLKILIKKYCDACGFVSYVDMRDKLTSFILKNPPEQKKNSKDKKAMRRAEKERLKEGEAADKVQKKLTKDVKKGSYSSKDGHVKTRSSKKKGNASDEDHDSKEENDVQWQTNTSAEAARQRIQEQHSTMMADMVEGEDSRMLLLQAVEEFCGKANANAVKEVPLVLNALYDVDILEEEYNAKPFVEWLQSMESETEEED >KVH87419 pep supercontig:CcrdV1:scaffold_3468:26402:27043:1 gene:Ccrd_025343 transcript:KVH87419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MVMLDTDELVKKVAKPEENSNGKTTGYKKLVDTVKKSLKKENVSTLYEALLDGVEKGFAKHVFKKKNYLVVVVVEGEDSVEELCGKAKANAVKEMPHIQKALYDVDILEKEYVVKWYEDGCSGGYKSSLIWKNAKPFLEWLQSVESKSEEKD >KVH88824 pep supercontig:CcrdV1:scaffold_347:96911:97227:1 gene:Ccrd_025347 transcript:KVH88824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MNFELLLRQGFMISDWQGIDRITTPTHANYTFSIIAGMNAGIDMFMIPLKYTEFIDGLTNLVEHKFIPMKLVNIMYNIYS >KVH88823 pep supercontig:CcrdV1:scaffold_347:33336:98482:-1 gene:Ccrd_025346 transcript:KVH88823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, superfamily MMKYAFSNFKNTIDTPVDVDEEPMNTEATGRLVRKKSVKQVKNPSTVIDEPHKGRLVKKDNIPIVDNSIEDATNATKDHHVPSFTGEIGSGKNPMNAGIKRDNDFQTVDQLRETAVNRVTRRGKGLAIGYDKEVPVASDSKDMTFCIRTRTSPKTLYDTVTSLTVAQRAAVKDMGFQSLLDMTLDGIPAKIGFYVVDNLDTAAMNIKMNAGVIPITINSIHEVLGLPMGGLDLNSADPSPCIDDIVTAWRKQFTKDRMRPKDVMNVIXKSADADTLTSLLPVPQPLFLIPDGGRMSASSDKKVAACDKHYVGDGGTHLDTNEGNTIIGFMISDWQCIHRITTPAHANYIFSILAGMNAGIDMPNSHHLDHRQQQQCVASSLCLPPQTGLMTGSSFHHRFAVAAPRSFFNAAMEATNQQTIGSFPPTELTRI >KVH88826 pep supercontig:CcrdV1:scaffold_347:109924:111120:1 gene:Ccrd_025348 transcript:KVH88826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLCKSISLTLNPPSSHQLPPSSFNFTTTTTTTFRRCRCSFMSSDHPASMSEIDMVPTKQGFFIAKPKKVVILWDLDNKPPRGPPYDAAMALKNIANHFGQVVEASAYANRHAFIHLPHWVLEQRRDQRRVEILERKGVATPSEPYICGVCGRKCKTNLDLKKHFKQLHVREREKKMNRMKSLKGKKRQRFKERFISGNHKYAEAARTLVTPKVGYGLAAELRRAGIFVKTVEDKPQAADWALKRQMQHSMSRGIDWLVLVSDDSDFSEMLRKARASNLGTVVVGDWDRALGRQADLWVPWNRVENGEITEEDLVPRSTSGEFVNDLNAGVCVSNLDELLGEKSDFYQSKISVFSEGEDEDEVEDEDEDEEDVMDASDYLSDSDDEEEDDEALDWYT >KVH88825 pep supercontig:CcrdV1:scaffold_347:20811:25230:-1 gene:Ccrd_025345 transcript:KVH88825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWIHISLVVASAGIFLLLFLFFHCFCHTKQPEPVAGPPSIQNGISKLHHQDNAFNNKRRTNYYVSRRGLSSKPLFNWSDNPSLIADAVENGWSQFAFTDYASSLSVRSNRFLLGSCASSGDGSGGDAEEVEISWEVCQGSADFMQKIHLNSGLKKMASSTSSSMAAGSVIKSALPLPGPALGNSSPFPQEAYFEITILSICENENGIDSDGKARLNTGEGENIKLIQEHGEMHANCEESNDRTSVSKDTVEGKNEVFIMLSVGLTGGGSLPVKLPGSYPGSVGFNSDGSIYLDGVKLITELEIERWERNEKVIGCGYNPSQKKVFFTIDSKLVQEIHCKTEEYGTPLYPTLAANSDIMVLVNFGQSIFKYAPANSQRTQNPCFVGPMANSSSSGYEDSKEFFSMGRIDSQWLNRPTTRSGQYNLNVNKGKTKDYDEASEGDLFEIVLDSNSYRKSPGTPF >KVH88827 pep supercontig:CcrdV1:scaffold_347:118526:119133:1 gene:Ccrd_025349 transcript:KVH88827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLEKGQLLEKGQLRRNKKQETITVQVFVCFLLFWMVT >KVH88822 pep supercontig:CcrdV1:scaffold_347:262535:263020:1 gene:Ccrd_025350 transcript:KVH88822 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEADGGCSPTSSGEKRKKRDTKSNNQLLHVDKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPIAAARAYDTAVFYLRGPSARLNFPESIGDEGYLHDLSAASIRKKATEVGAKVDALQNQNGGPNGHHSPSTESYSGRVCLNPDLNEYPTPETSDEN >KVH98748 pep supercontig:CcrdV1:scaffold_3470:60002:60211:1 gene:Ccrd_023026 transcript:KVH98748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPTISAIPALTRTNVFQMLKKVLVTPSFTPHTAMPTKMILKSDMLPGEFHPSCLIVRWISWERRLKL >KVH98747 pep supercontig:CcrdV1:scaffold_3470:5308:32871:1 gene:Ccrd_023025 transcript:KVH98747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNFWESIGLSVHALELILEGFTFIPIIHYVIAFVCFLLIFIYNFLEFHFVEDVLTLFRGSPVSLTYNSASEIYQGVASKCNLLHGRYMATPWLSSPHIQTAFLNFFGRPPAVNYRRQLFHASDGGTFALDWLRSSDVLGDPTYEDDASLTHDKTPIVVVIPGLTSDSSSAYLKHLAFSTAERGWNVVICNHRGLGGISVTSDCFYNAGWTKDTRDVINYLHHEYPNAPLFAVGTSIGANILVKYLGEDGEDIPIAGAVAICSPWDLLIGSRFICRRTVQKFYDRALTVGLQEYAQLHESLYSRLADWDGIIKSRTIRDFDNYATRLVGKFETVDTYYRHCSSAQYVTKVSIPLLCISALDDPVCTREAIPWDECRENKNIVLATTRHGGHLAFFEGLTGSSLWWVRAVNEFLGVLHCSPFMHTQKKNPPHCGLESSVDKGPYVNIAHGMVAAIGNDPLATDAGEGSSERQKVDDKRDDIIVPDAEDHVVTRSCGRTFKQSENMKDVKPRDMSGIVKWLDQLFQQNRRSTWMLAYIAIISTLPLMGAALHFLFRKKFKKTIPAKSLKL >KVH87417 pep supercontig:CcrdV1:scaffold_3471:89:1986:-1 gene:Ccrd_025351 transcript:KVH87417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCSVRISSDVFMQIHSNSSSSTTSLSQQFNRLQNSTAGSQCAAATTSCDLDSSRLSILEITATVHRSIIWNLSKSVGVKTAVDSGKESSSSTSKYNLKGFKA >KVH94171 pep supercontig:CcrdV1:scaffold_3475:11155:16740:-1 gene:Ccrd_003764 transcript:KVH94171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MVSLLNRFHDRSADGSIGKRLSEKIILTLQKSRHHVKLSNLEPEFTHVRDHDFIKNRFLGLGKRSAILGWLQFYGSVTKTDYAALRLGFITLLFFVGTKLEHIIIQLAHEVAEKHVAIEGEVAVKPSDDHFWFRRPRIILLLIHFILFQNAFEIAFFFWILVQFGLDSCIMGKLRYMIPRLVIGVFIQVLCSYSTLPLYALVTQMGSHFKKSIFEERIQTSLTSWAQKAKRKKALNVTTNGSSQDGPDGGGGGGSSGVGNDGVELQSIHIINDPPQSTNR >KVH94169 pep supercontig:CcrdV1:scaffold_3475:1305:6674:1 gene:Ccrd_003762 transcript:KVH94169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENPTDSSSTANIATVKRYAPPNQRTRSLGRRKSGGDRLERASSYGNDGDKNQVAALRNVPILDHADPPRLIALQGCCSSEAYQLLNNRWAAAMTAYENPSTDFAERPVMYSGNTASAWGQFRLPHQMISPTSGVGPSGPQIDFLSELRRALRNSNPSSDK >KVH94176 pep supercontig:CcrdV1:scaffold_3475:44470:48239:1 gene:Ccrd_003768 transcript:KVH94176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MDKPWAASSDFTLNTTPFLLVKCGLHFLLAISVLLVCVCVSASPISIVKNAVLQHVRLVNPLVRPLTRLESTSAARIEEHGFESTTISDNKLITVTPDTKVLKAMQLMTDNRIRHIPVIDDKEMLGMVSIGDVVRAVVSEHREELDRLNAFIQGGY >KVH94175 pep supercontig:CcrdV1:scaffold_3475:53401:58136:-1 gene:Ccrd_003769 transcript:KVH94175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C12, ubiquitin carboxyl-terminal hydrolase 1 MNCPEVDIGPELSALKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKSAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCSGGQGDLDWLKLVQPVIQERIERYSQNEIRFNLMAIIKNRKEMYTAELKELQRRRERLLQQLSTVQTDGGNVEALNKSLLEVNAWIEGATEKILVEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLRPLIEKAKQKTSNPR >KVH94172 pep supercontig:CcrdV1:scaffold_3475:16779:18178:-1 gene:Ccrd_003765 transcript:KVH94172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDEGEGTSLEFTPTWVVAAVCTVIVGVSLAVERLLHHVGKKLQKEGKKPLFEALQKNHQDLCEREYYGTLSSLLVKQQGPIVIIRGAASSTHLYFHTSYRAPNLFCSHRCIWRHEG >KVH94173 pep supercontig:CcrdV1:scaffold_3475:19084:29522:-1 gene:Ccrd_003766 transcript:KVH94173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MNELPNAFSLLELDVEDDNQQVASAANSTEETNGLNVQVDRILEIACGPDLVIHQPKDCLDKMGEWCQDHHAASGLTEKVIQSTVSEKEAEEQVIDFVKRHVSAYTPLLAGNSIYIDFISTCRIWRVFSRMLWLMSAVSRLYVGSDKKNAPKKEKKHRAMDDIRESIAELKYYKEHVFKSPES >KVH94174 pep supercontig:CcrdV1:scaffold_3475:32245:38958:1 gene:Ccrd_003767 transcript:KVH94174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic porin/Tom40 MATLVPPPTTPPPAPAAEAVKPEKVDYMDLPCPIPYEEIHREAMMSLKPDHFEGMRVDFTKGLNQKFSLSHSIVMGPMEVPSQSAETIKIPTANYEFGANFIDPKLMLFGRVYTDGRLSARVKCDLSENLIMKANAQLTNEPHMSHGMFNFDYKGTDYRTQFQLGNGNLLGASYIQSVTPHLSLGGEVFWTGQHRKSGIGYAARYNTDKMVASGQIANTGMVALSYVQKVSEKVSLASDLMYNYMSRDVTASFGYDYILRQCRLRGKIDSNGCTSAFLEERLNMGLNFLLSAEIDHKKKDYKFGFGLTVGE >KVH94170 pep supercontig:CcrdV1:scaffold_3475:7324:9779:-1 gene:Ccrd_003763 transcript:KVH94170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2996 MKIQKQTKRGELNQNSVVPNPPNSVDVINELTPTSFSSSLIPTLTILQTTIMMKLYVSSSSSIRMPTTGGFITLPNLNFSSSSHSSRDPKSTSSSLPLIATKNVGSHRNAVCYAVQESSSASTVAAETKEEKEGEPSAPAAKEEVAAPAKPKPKPAAKAPAKSLPEMMEEDVIPSLRSILETQQDISQLQLFFEDNKLEGSFWKKGTPYSFWAFFPDGNITGPKGFSLSSYGSTASNVEPFLVDEKKVTAKLLVFWVEKRLAAQGIIPVWTD >KVH93645 pep supercontig:CcrdV1:scaffold_3476:20811:23433:-1 gene:Ccrd_004303 transcript:KVH93645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIKSSKRHIFKIFTCLDTSADVLPEVWYTVHELAQDFFIFIDSAMKLQIIAKAVKPVVVLRFIRSLIILKGVEFEYVAEWGGVGVAVVVIVRANRLANLFTL >KVI01718 pep supercontig:CcrdV1:scaffold_3478:27671:30322:-1 gene:Ccrd_020003 transcript:KVI01718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSVETICQKDWRIMSHIAVERNRRRQMNEHLKVLRSMTPCFYIKRGDQASIIGGVIEFIKEMQQVLQSLESKKRRRSISPSPGPSPKPILQPSTPQSERSTIVHENIKELGASCNSPVADVEAKISGSNVVLRTVSRRIPGQVVKIINMLENLSLEILHLNISSMEDTVLYSFVIKIGLECQLSVEELAVEVQKSFSANLT >KVI11537 pep supercontig:CcrdV1:scaffold_3479:33017:40668:1 gene:Ccrd_010051 transcript:KVI11537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3245 MLDRLGIQREDTINREATVDYAGEKPRLDMERREAYRRLEQWRREERRSFSFHLGLNKNYRYSSPGSPRTSIDSRLAYLARRSHLTHSQSQTANALSLCLTASLESRLLTPVSDCRRPSVCGCLPASLVALCGSAFRSRSLHPTEQTTVYCCDFLGTGKEVEEKESSKKIARPELVKLNRAFKLAENWVSNMTRTTDNESTRVVLEARPPGLGIGAVVPRKPTLVLSNDPVERKLRAQLDAGKRKLSRTAEESEVRDDGSSDEEDEAESRTKAFAKKKTSKKHK >KVH89677 pep supercontig:CcrdV1:scaffold_348:281321:287298:-1 gene:Ccrd_008326 transcript:KVH89677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MASDHFTDKTTVFRKLKAKSENKMCFDCNAKNPTWASVTYGVFLCLDCSATHRSLGVHISFVRSTNLDSWSPEQLKMMWLGGNNRAQVFFKQHGWNDGGRIDAKYTSRAAQLYKHLLAKEVARLSAEASLPLSPASPKSAEAAIGSPNVTLSETPKESSSAKHDTNVSAPVRAPPKILTSSIKKPVGAKKTGKTGGLGARKLSTKTNESLYDQKPEEPVAQVSTSASSTPSTATTPYSSRFEYGSAAISSADLFGRESPNSNIDLSASDLINRLSFQAQQDISSLKNIAGETGKKLTTLASSLMNDLQDRIL >KVH89678 pep supercontig:CcrdV1:scaffold_348:87467:96717:-1 gene:Ccrd_008329 transcript:KVH89678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVVATPIQIEKIREVDVPVIDLSCKQSKVAKLIVKACEEYGFFKVINHGVPHHIIKNMEDESFQFFDKPLPEKMKLVGSADPFGYGSKNIGLGGDRGELEYLLLQTNQNAIDDTSKFMRCRYAVSSYVDGVRELACELLELMAKGLGVPPSSFSHFLKCFNSDSLLRLNHYPPVTHTSHSSFQRGIIGFGEHSDPQILTLLASNFVPGLQISLGNGVWVPVSPDPLAFCVNIGDVLQAMTNGRFVSVRHRAMANSLPTQSRLSMVFFGAPPPEATIVCPLQLLKQNKPVYRAFTWAEYKSHTYAHRLGEVRLDHFKISDDEKVT >KVH89676 pep supercontig:CcrdV1:scaffold_348:272559:281313:-1 gene:Ccrd_008327 transcript:KVH89676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MQEVRQQSSEKEKENEGGVEGGASGSASETSDDEDDSSDDGEPIVIDFSSSSESVDFYPTNVIESSSSAGSMPLPPPLTQTLDQDLSFCRQKVDDHAGARKRVLFDEMAQRPLETNLTESGSHPDEEHIEPSGFPEGFEELLLSSLTGEDNVISQPSGPFIVDDDTNNHVDETKEWISYDRSDTEVDKPLHLVASGSSSWYNEVKRPPLVVCPFLTPTHENHNIYNLDQYDYIHLNSKFCRVQGLSIWGILAFLMLFFSASPTPFHLFRASIHILMRRLVIVSNNERFCLFCALWEHIDLSLLSMRKIVSPLKFVDNLGCILWRYQQEDAHEFLQCFLDRLESSCSFLKAKDVRFSSQNDNLAKQVFGGRVVSKLRCCNCNHCSDTYEPSVDLSLEIEDANNISTALESFTKVEHLGDEEIKFTCENCNQKVSVDKQLVLDQTPSVCTLHLKRFKNDGCYVEKIDKHVEFPLELDLQPYTYNSQASNVTKVSEGHVLSEEAYILFYARQGTPSLSNFMETYKLSMDRPLSNNSPKSVLDNVDHHCASPYASNYHSHSIIDTRKAGHSPESFDRSKYERVEATGSKDPPQTYTPIPLEASNSSAPPAFKECIYSHRLEVRSNVGMRQDRCEVTGSKDDQDGVFTAPLPRPSYPSEGKTMFDIDNVSSPSTPLRSPGIDVSDDKDSVIQLTRQTVLCYTEVVFAPKLEQLKLVERTLCKRPRNKDVVDDTAKREALKQCRKMPGARGSLLMAALDASLKNEGSVHKRSKKIVSSPRKN >KVH89679 pep supercontig:CcrdV1:scaffold_348:245509:255549:-1 gene:Ccrd_008328 transcript:KVH89679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEPGSGTLTSRNPSQFFLNLSRNLLLAYQSFGVVYGDLSTSPLYVYRSVFVGNLPDHQTPEVIFGAFSLIFWTLTLIPLLKYVFIVLSADDNGEGGTIALYSLLCRHAKFSLLPNQQAADEELSSYKYGPSRPPSPSPLKRFLEKHKKLRTSLLIVVLLGAAMVIGDGVITPAISGECYLGLKEFYWMVILKQQSIMSLVMSAVSGLQVQGVGVELTHGGVLLLACVILIGLFALQHTGTQRVAVVFAPIVILWLISIFAIGLYNILHWNPKIVYALSPHYIITFFRQTGGDGWLSLGGVLLSITGTEAMFADLGHFTAFSIRLAFALVVYPCLVIQYMGQAAYLSKNVTSIPESFYKSIPEPVFWPVFVIATLASIVGSQAIISATFSIVKQCHALGCFPRVKIVHTSKHMFGQIYIPEINWILMVLTLLIAVGFQDTTLVGNAYGLACMTVMSITTFLMALLIAFVWQKNVLVATLFLVVFWIIEGIYLSAAIMKVPQGGWVSLLLAFVFMVIMYVWHYGTRKKYNHDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPSIFSHFVTNLPAFHNVLVFVCVKHVPVPYVLPEERFLIGRICPRPYRMYRCIVRFGYKDIQRDDGNFENQLIQSIAEFIQMEAVEPQFSSSENTSFDGRMAVISTRSNATLLVSDQLDSTSSIQSSKSSMLQRLRSDYDDENPQVRRRQVRFQLPPSGPAMDAAVRDELLQLIQAKEAGVAYIMGHSYVKARRSSSYLKKLVIDIGYSFLRKNCRGPAVALHIPHISLIEVGMIYYV >KVH89680 pep supercontig:CcrdV1:scaffold_348:77179:84760:1 gene:Ccrd_008330 transcript:KVH89680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 100 protein MGSYSGIGLSTMKPCCCRILISYRRSSLFGFQIPRCNHSPVINLSKSRFKLHGSRGIHSCNSKLLGFREGVIDPKLGFRDAIYSNQKGFCGSASNLKGQPRVLLNGCLVGGNDRRFSRLVSKVASDFRNHSTSVESHVNDTSWERIYIQGGLNVKPLVIDKVEGKEENEEKVLDYTSSNVNIESQDTVLDKESEIEREAWKLLRGSIVNYCGTPVGTVAATDPADKLPLNYDQVFIRDFVPSAFAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCHSPGQGLMPASFKVRSVPLDGKTGEFEDVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMVTVNDTTKDLVAAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDAINKFNIYPEQIPAWLVDWIPESGGYLIGNLQPAHMDFRFFTLGNLWAIVASLGTPKQNNGILNLIEEKWDDLVANMPLKICYPALEYEEWRVITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMKRPELARKAIALAEKRLSSDQWPEYYDTRYGRFIGKQSRLYQTWTIAGFLTSKKLLENPEMASKLFWEEDYELLENCVCGLGKHGRKKCSRSAARSHFAV >KVH97034 pep supercontig:CcrdV1:scaffold_3480:52805:56981:-1 gene:Ccrd_000872 transcript:KVH97034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LESEKPIGCYSASVQVAAAVYDRQQVADHAQNLATKIRNNLTNSMKSLGVDILTGFGIVVGPQKVKYGKVGGSETLITTKDIIIGTGSVPFVPKGVEVDVPEWIVIVGSGYIGLKFSDVYTTLGSDFCRSIRSVDAWFDPENGELAQRVLVNPRKIDYPTDGKPVAIELIDAKTKELKDTLECLHDFALMELNCTFVQNVYEVVHSRKGSMLNALAMVAIFSCELYCFVDPYEAYLLSCYLFLLAYSIWGAVGGNSSLMSHGNFHLYNRGSLKICVESMDCMSLSNIIVNYPHMVMMGTGLAFGFLVIVVLPGSRTLSWFSSQDPSPGLFLPVFVST >KVH87414 pep supercontig:CcrdV1:scaffold_3481:56437:58583:-1 gene:Ccrd_025356 transcript:KVH87414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSSKVVSSSCAAIYPNKGSLVACVMANLS >KVH87415 pep supercontig:CcrdV1:scaffold_3481:22552:27206:1 gene:Ccrd_025353 transcript:KVH87415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQEDFIATYNQTLEVLLSPQLLQNLNNFSEIIEQQRKRMVSIFQMEDLYKMKLKEDTFSHELKYVSHKGCHYLLQV >KVH87416 pep supercontig:CcrdV1:scaffold_3481:13819:21762:-1 gene:Ccrd_025352 transcript:KVH87416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MDVGWLSVAAVLMVVLVGGGNGFPAEDLVVRLPGQPIVDFRQYAGSSMLFWLFLSSSCPGCSSMGGGAFTELGHYIPQLAIALLDHNAHSTDFKFNIKGVAGSAWEMCLAACEMSLIGNPLLKLERDVPAVYEYYWSHGMISDEIGLTIMKDCDFEDYTFASPHNESGACNNAISQANNVISEYINNYDVILDVCYPSLVEQELRLKKTATKISFGVDVCMSLERRFYFNLPEVQRALHANRTKLPYPWTMCSGVLNYNDNDGNIDILPLLKRIIRNQIPVWIFSGDQDSVVPLLGSRTLVRELAHDLQFKVTVPYAVWFHKGQVGGWVTEYGNLLTFATVRGAAHMVPYAQPSRALHLFTSFVHGQRLPNNTRPSIDD >KVH87412 pep supercontig:CcrdV1:scaffold_3481:47619:51817:-1 gene:Ccrd_025355 transcript:KVH87412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MHKRSLPNSNDGQLKGFVLYSFNVLFATPDHAVSLLEKVKAAESSLNGGTMSGRRRRSESLWDRKEEEATRTEISEKVPYSSFIGDGSPKRSNSEANDAGDISGQPSREPMQGNQNISMDNDNSSGRHGMKMDPAFDEWENQYSSRPSDNSYDLPFRSGERGVGGTGNMSKDRDRSRSPHRSRGRDRDRDRDRGSTRGLARSRSRSRSRSRGRGRSPFSDERRESYESGDSRVSSRPCKDFSAGNCRRGIQCRFLHQELVDSRGGDLMEKYSGGLRFRDDKNSVSKGSDGYRKDTGRKSGVDHTTSSGDDYHKGNRNAYDDQDHRRQSNQTTRAPCRFFIMGKCNRTNCKFSHDVPKSGGHEGRSHDNSRPWNDRQPQDQVSASGFSDFPKSSHDFDDKNKSWSGPLWNDLESGGFDEMSRDNILDDKNRTWDPPGWNGSEKNSDILSQPRSVTNHMDMNQESQIIHDSSQLHSQHVMPETSGSQLTNATTTVIPEVPRIPCFQQHQKQGEGSVSMMIDSRNMVSGQTNKQIHSYGSDFVPSVHNMAFQYPSTLNGTEKSSDMLSLSSLNGPKLQSNGSVQGMFLHVDLQKQTDIQNKRGGKPLETSKNDIPQLDATVASNEQVSQVSSLPVSLPQISQNLNLANALELLYSLPNTASSGAPVDSMVVQQNLDTKSKEHYESSRDMVVNESNISVPMGILSNSMEQGNQVSVEQVSSIDPNPSKLVAVGMPDGKQEPVGILQVNENRKADKNENTNPGKSEAQGKVEEGNISNDEKAMRQFKIALVEFVKEILKPTWKEGKMSREVYKTIVKKVVDKVTSTIQGNQIPRTQEKIDQYLTFSKSKITKLVEAYVGRFLKA >KVH87413 pep supercontig:CcrdV1:scaffold_3481:38790:41778:-1 gene:Ccrd_025354 transcript:KVH87413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome maturation factor RimP MKMCSICNHHTRLLAGSSSQTNPFIITKFSKIPFCSPYPLLSYSVNLISNKTLWCTVTAKREARSLLSPSIVEPVSEDEPYEEFVDDNDEEFDEDDAIQDEDADPRAGDGGGGGGVSLAGTTWDKEALTIAEQVSMSFDGELGIYAFKTERLNEAEAAGSIPDDISLEVSSPGLERVIRVPQDLDRFKDRPMYVKYTVKVDDATSSVERDGILRLESFDLETECCTWGLADVRVNREKAGKGRPLNKKQREWPLVTHFDSLLLVRIYADL >KVH96488 pep supercontig:CcrdV1:scaffold_3482:9143:9996:1 gene:Ccrd_001426 transcript:KVH96488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSVSIDIEVPSSFSAEKVFKVFSDFDNIAPKVNPELFKSIDIIEGNGGVGTIKIFTFGDAVPFTSGKYKVDAIDASNFCYTYSFFEGDNLMGILDSITNHVKIIPSADGGSIFKQTVIYNCKGAEKPSEEVLKIEKETYEKTFKAIEAYGVAHSENY >KVH96487 pep supercontig:CcrdV1:scaffold_3482:23415:24293:1 gene:Ccrd_001427 transcript:KVH96487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSVSINIEIPSSFSAEKIFKVFSDFDTIAPKVNPEVFKAIETSEGNGGVGTIKIFTFGDAVPFTSGKYKVDAIDASNFSYTYSFFEGDNLMGILDSITNQITIVPSADGGSIFKQTIIYNCKGNEKPSEEVLKIEKDIYEKTFKAIEAYGVAHPDNY >KVI06731 pep supercontig:CcrdV1:scaffold_3483:55672:57484:-1 gene:Ccrd_014914 transcript:KVI06731 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNYYLLVTLLLLIPLFFFAAQFRRKIKNLPPTIFPTLPVIGHLHLLKRPIYRTFATISAKHGPILLLRFGSRRVLLLSSPSAAEECFTKNDIIFANRPRLLAGKILGFNYTGIVWAPYGDHWRNLRRMGAIEIFSSHRLNDFHDVRADEGRLLVHKLVSEGWSTVNLKSIFHELTLNMMMRMISGKRYFKGGTDEEEGKQFEEIVKETFLLGSALNLVDHLPILSWLGVKGFEKKLISLQKKRSSFIQDLIEQFRNAKHVEPEPENNKNKKKTMIEAFLLLQETDPDYYTDELIKAFVLNLLTAGTDTSTATMEWAFALLLNHPHVLKKAQNEISTHLNQKRFVDESDMGSLPYLQCILNETLRMYPAAPLLVPHESSEDCMVGGYHIPRGTMLLVNQWAIHHDPDLWSEPERFNPERFQGTRDGFKFMAFGSGRRSCPGEGLAMRMVGLTLGLLIQCFDWERVSEEMVDMSEGPGLTMPKAQPCVAKCRPRPMTLRLISQANTCP >KVH87411 pep supercontig:CcrdV1:scaffold_3484:10850:14874:-1 gene:Ccrd_025357 transcript:KVH87411 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MSHKGMKVTTLNDNDLYVYENQFSSYQATTINVNKIFKKPYTRFHQGSVTAANRYCKVQCQNSPHETDHLVESRERSPNPDTWQPLEGMVRSSVNYVPLSPISFLERAAEVXRNRTSMIYGSIKYTWEETHRRCIKLASALNGLGVSRGDVVAVLAPNIPAMQELHFAVPMAGAIICPLNTRLNPSMISNLLKHSEAKVLFIDYQLLQIAKEALNLLNNTHSKAPRLFIISESNYKSSLTLTHKYDYERLVESGDTEFPIVRPHDECDPISLNYTSGTTSSPKGVVYSHRGAYLNSLATVFIHGMREMPTYLWSLPMFHCNGWCFSWGMAIVGGTNVFLRQNNPKDIFDNIMIHKVTHMSGAPTVLNMIVNYIEINRKPLPHKVEIMTGGAPPPPXILSRXEEIGFHVTHIYGLTETYGPGTWCLWMPEWDLLSFEEQTKLKARQGVNHFGIEEVVVKDSITMESVKCDGTSIGEVMFRGNTVMSGYFKDLRATEKAFEGGWFRSGDLAVKHQDGHIEVIDRSKDIIISGGENISTIEVESVIYSHPAVLEVAVVAQPDDHWGQTPCAFVKLKNGVHVDAQEIIQYCRDHMPHFMAPXTVIFEDLPRNSTGKVQKFMLRERVKNLK >KVH87410 pep supercontig:CcrdV1:scaffold_3484:14250:31424:1 gene:Ccrd_025358 transcript:KVH87410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLTLNLTITVRSSDGTLVKPGIWFLEDLVHVYGGSLVGGKLFSGVVSISEFNRIKIDTYVSYAVAVIRKSRRVGMVLVTIEDRRGEEDIIKNSIKRRSICEYLYTNVTGSPLRNHPLEKDTYVALKSFMYPLMTARNPTQASTNSKFLDTELWWCTVGQDLNLVSKWFLNGHTGEFTIMFKTVGAAHLLLPSSYIMEHNLLSTGPHPPMVALLLDGIPPQVLPSGGMQFCACGDRDGVVELDFLYPSEGIHRRWDNGYRITSTAATWDQTALVLSVPRRKPGDETQXTLRTSQFPSTHVKVSLLDGNY >KVH87409 pep supercontig:CcrdV1:scaffold_3484:41328:43249:1 gene:Ccrd_025359 transcript:KVH87409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANNWSYFVLQEKSNQLTVITGQAEFRSNGLRRQPVAMIKDRDLLKDNGGESDMLEENIQRFPGRGEGWDKKTKRKRSVGTVFTRPMDSNGEQKTIVQNKVVSEHXLXSNDTHPYRLLLIDEDKHKENATEELEQQPSLKKMITTNGSVVSESNDKSMV >KVH87408 pep supercontig:CcrdV1:scaffold_3485:40226:49903:-1 gene:Ccrd_025360 transcript:KVH87408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MACRLADSSFLLKGPQQKPIYGQRFGSLYPAGTSYLKWDVLSQSKAKHQRYVIPLRRTKIVQAASSPVTAPLPADSAERRKELCESYGFRQIGEPLPDNITLKNIIDTLPKTVFEIDDMKALKSVLISATSYALGLFMIAKSPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLDEDTAWHPVLETHFKENPVFQKAIIFGYGPIRPIMSISHWLIWHFHLNKFRPNEIGRVKISLACVFAFMAIGWPLIVYKTGIVGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKSSDEWNAAKAQLGGTVHCDYPRWIEILCHDINVHIPHHVSSRIPSYNLRAAHKSLQQNWGKASWNWRLMKTILTICHVYDKERNYISFEEIAPEISHPIAFLRRVMPDFA >KVH99482 pep supercontig:CcrdV1:scaffold_3489:18298:22047:1 gene:Ccrd_022284 transcript:KVH99482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin MATHRLNPSGIAFLSLLLFISIASAKVFFEERFDDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDANDKGVQTSEDYRFYAISAEYPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTYNGENKLIKKDVPCETDQLTHVYTFILXPDATYSILIDNEEKQTGSLYSDWDLLPSKQIKDPEAKKPEDWDEKEFIADPEDKKPEGYDDIPKEIADPEAKKPEDWDDEEDGEWTVPTIPNPEYXGPWKAKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPKLKYVGIELWQVKSGTLFDNVLICDDPEYAKQVAEETWGKQKDAEKAAFEELEKKKEEEESKDDPADSDVDNDDDAEVEDDEEAEESDVKDEL >KVI09513 pep supercontig:CcrdV1:scaffold_349:110805:112732:-1 gene:Ccrd_012112 transcript:KVI09513 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSDQRTANPHAIFIPYPLQGHLIPSVQLAIKLASNGFTITFINTQSIHHTLTKSSTTTQDVFAEARKSGLDIRYATVSDGLPLGFDRSLNHDQYMESLFHVFSAHVDELVGNLVKYDPSITCLIADSFFVWPSMISNKYKLLNISFWIEPALILTLYYHLDLLKKNGHYDPLDKFDDVIDYIPGVTSIKPTDLMSYLQAADTNTVVHRIIHKSLFEATKKADFIICNTIQELESHTIWTLNHMQPFYAIGPLFPDGFTQELVSTSLWSESDCTSWLDHRPPKSVLYVSFGSYAHTSKHELAEIAYGLVRSGVSFIWVLRPDIVSSNDDALPFGFEDQVKDQGLIVPWCNQKTTISHGSIGGFLTHCGWNSILESIWCGVPLICFPLLTDQFTNRKLVVDDWKIGMNSCDENLKVEREEVSKKVKDLMIGIKSNEVRNGVKKVQKTLQDALGK >KVI09507 pep supercontig:CcrdV1:scaffold_349:121208:132356:-1 gene:Ccrd_012114 transcript:KVI09507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLLGDEGLDPFAKDMPCVPAFHQNRFSGISVQPSMKLKLYVLLFDERICQALEMMYMKHLEALLFSALRYGWFTNADCSYVPLQEPLLTCAAQRINMNGVEVENVDDKVCHKNAALSILERADSITNISVGDLLSDVSHNINASVIHYRLAFLQQVPFSCDSFDAAIAVSSIWDAEETCDAFTFYKNIVLSEKWRKHTLPKRIANRILPLSCCICMQTNLEIEGSSSRDLKQRNKHDNGLLGHVRKRKASLMLYVKLARQNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELSLDAKNSKDTNAAMLRWWSLLEKHSCKASKLHLKPRRFKIFLETLEHQLLKDRKKNIRKRPFNGENCSITPSHAVSNQGRPCAHDARINLVLIDSQSTQNLGSGKGSSLRRNASTCLDRNNCKGDLSPVKPARQRRRAGTASTAAYKRWEKAAIAGVSLVADAAAHLEQTSLDKLVDSVQYMQGEKGLDPVAKDMPCIPSFHQNMFSGTSIQHSMKLKLQLFPVDESIRRALEMDNHNPHLELTLSSRKKISSVLEHMNRKWGSSSIASGELTLLPYYAQSSNLMSCQKWTQDSILSAADVYAALGSPPVFRLRYGWFANADCRYVPLQGPLTTHAAQRINMNGVEVQNVDLTKCSQPPECSMVPQDTCTIPGACNSGKSLDHDDKLVSAGKVNTLTASSSNLPDKPIECVDVGVSKNFPEGFDHASGMSWQRRDTRNGAFSRRLGHMDKGSHKNAALSILEWADSLTNISVSDLLLDASHNINPLPPGSQCLQQIPFSCDSFDAAIAAHVSKNLNSNTVEPTIVSSIWDAEETCDAFTFRKNNDLSEKTHTSKENCKQDTSTSLVPSGSAIEKLVEAEEPMEDHPAEAHSMDECQLSDGNPEDGSAKDLRTLTDMYWPESLGPLEVDVAPCRYQSDDLILSDGLSRILSSSLDAFQNFSLFGLEQQREGGSIEDDKIHDSRV >KVI09498 pep supercontig:CcrdV1:scaffold_349:12716:13369:-1 gene:Ccrd_012105 transcript:KVI09498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWPLHIAVNTLSNSSPGEVTFSPVKNPVPSSSLTNLYNGYDLQALILFGIANVPSSRDRPELVGASASKDKWRCKGRRCMQRRTLYRLLENLEGWSSPFTPMVRTFLATWLTVFFTVDLMFSSKYLKSMDWHRATKNEVDMSFKISTASDVFLAEINPKEFTSWLCCSAL >KVI09508 pep supercontig:CcrdV1:scaffold_349:149214:151963:1 gene:Ccrd_012117 transcript:KVI09508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGEDACCPLLHAALDYSICSSSNVHNLFCFDRVSLNVTEDEFSPPTFDVISLRRLTVVSITPDMNQSAWKGFPMFISYRTRLKHRPSERLVCMPYLRYMTSF >KVI09511 pep supercontig:CcrdV1:scaffold_349:72326:74158:-1 gene:Ccrd_012110 transcript:KVI09511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKTLLAAFKKSIPKVPTPLNSDQRTANLISKSIITSQSFQFPLTSLNPNIIHLVLSNTHIPPQSCFNFFNSLQPKKLNLIEPYITLSCRLYKSKDFVLAKDILNQLAINNGIQQPIQKITCFVNESCVSGFSKVVVAKLLDTLFRVYADNQRFEESLEVFDYMKSKEFSKIDDRSCMVFLLAAKRCEKFELLSEFFRKMVDSGVMITVYSLTIAVSAMCKLGQNSEAKELMDEMITKGVRPNANTYNALIDAHLKKSEYREVEMILDSMKREGVSYSVATYTLLIELSLMMGKIEDAEKVFDEMLLKGITPDVYVYTSMISCNCKFGKMKRAFELFDELTEKGLLPNIHTYGVLINGVCKAGEMKAAEVLLIEMQSKGLDVNDVIINTLMDGYCKKENVDDAIKLQSLMEKKGFKPSFISYNIIATGLCKADRLEEAKTLLFQMADNGIALNTQTYTTLIDIFCKQGDFSEARRTLMEMESKGERPNVVTYNAFIDGYCKKGLMKEAHKVRSEMEDKEVMPDVYTFTCLVHGECMAGRVDDAMKLFDEMPQRGFVRNVISYTAMIAGLSKEGRSEEAFRLYDEMKNAGILPDDTLYSTLVGSLHSFRG >KVI09509 pep supercontig:CcrdV1:scaffold_349:139327:146833:-1 gene:Ccrd_012116 transcript:KVI09509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase MSRILDMHHCRPTPSLMFFTCKSQDSSGNYVKLKKKGTVTSSIQANSSEVGLGHRTDRGSLSHKVKEDDVKAFDALPHVRYLSEFQKDELMGKVVMVRFDSNVLLGEKQDQQAKVFISALSTIKYLHEAGAKVILMSSWSVKTNSKLLSAESVSAYLSSILKLRAIPMKSFPGYEQPTMEDSLKPSIYLLKNLSQFKEDLANNSRFSEELCSGVDIFVNDAFFQSHKVLASTVGVTSFCYTSVAGFQFEEGLIQLEKAFVTKRSPYIAMVGGGNLVEKSAAVHYLVSSCDGLVFVGNMSFQIMHALGLPVPKKLVEVGAFNEAIRIIRIANSRNIPILFPKDFWCLNDHLKKPQLVPTHCLFEGWSPVGLGPNSLEEITALLSKSKKIVWIGPVKFGLSIQDSYGTSILAKLFGKLSQGNCDVTVVGNMACKALMEETSVSSGCNVIENASIVWEFLKGRNLPGLMALDRGYPYSIDWHAIFDDPARPLLLVKRCLETVRQSGLKNGYFIETNATSTFRSIVSGYPGELVLASIQRSLIEAIRDLLSLDGKVFLQSDIEAVALRMKQQFLKYGNGKFTIDHQEEWLKENPFGVESDWEQHVLHRGLPMYRLMLSKSLR >KVI09510 pep supercontig:CcrdV1:scaffold_349:103615:107735:-1 gene:Ccrd_012111 transcript:KVI09510 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSNQAESSDSKGTKPDFSTAILERKKAANRLVVDEAINDDNSVVSLHPETMEKLQLFRGDTILLKGKKRRDTICIALADDTCEEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGSLFDAYLKPYFLESYRPVRKGDFFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPIRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKMAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLSDDVDLEKVSKETHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSQGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKSALRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKRRSENPEAMEEDVEDEVPEIRAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGIGSEFRFSEASGRSAATDPFAAPAVAADEDDLYS >KVI09500 pep supercontig:CcrdV1:scaffold_349:66392:71101:1 gene:Ccrd_012109 transcript:KVI09500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MWEPNSDEKWGPSYHDIDQFFSYGYYGDYGYHHNRNICDDQQPYNSSYYQDNQYGNVDSQMWVNSLQNGLSELSIADGNESSNAVHEHMQVQEDLQLQVQPYAIQEHRQVSYPVEENLQAVSSNAVQEHLQPSYPVGRFQAYTGDETKQLEASAPSSSPENQPSDWEENSYTFEIKDEPQIDGEVGKRLTEITPVAHTPKINGDIPSMDEASSDHQRLLDRLELYGLVESKVEGDGNCQFRALSDQLYRTPEYHESVRRQVVKQLKSHPEMYEGYVPMAYTDYLSQMSMNGEWGDHVTLQAAADSYGVKILVLTSFKDTIFIEILPKVLKSKRVVFLSYWAEVHYNPIYPADQEELPPSRHEEDEKEEVDKKEEKKKSKKK >KVI09495 pep supercontig:CcrdV1:scaffold_349:10471:12544:-1 gene:Ccrd_012103 transcript:KVI09495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPMEAIAKRVLSMETPMGVPWKFPPCKALFLATSIKGLSLTELISLSIIFVDDRITSICGPSHWGELEEATRDTGEAEGLFGIEDFSVGTNSFGVGTTGEKSGNVGQGNKVAGGGDGATESGLKLKILPNELLLKCPTLLRPPVRGSSEAGGNTVTISSFAHALHHPVTTGLHPSPRRLRQLHFHHRTVTGSRDSSHLRHRQSLSSQLHHRLPELRNHSLHLIKMAPRHRLCCHTPVQW >KVI09512 pep supercontig:CcrdV1:scaffold_349:116059:119714:1 gene:Ccrd_012113 transcript:KVI09512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arv1 protein MNFRCVQCGYHIKILYVQYSPGNIRLMKCKNCRAVADEYIECEIMILLIDLILHKAKAYRHLFYNMFDREAMNFEILLDTFLGNLSFIGILLLGTRSLLNMPVEVSGYKNILLAILASSYLKMFLIAMMVWEFPSSVIFIIDIFVLSSNTLALKVMSEESAMAKCFGVCFCAHVVKFLISLALNIHLPKLITRMLTL >KVI09504 pep supercontig:CcrdV1:scaffold_349:193945:207058:1 gene:Ccrd_012119 transcript:KVI09504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MAASPSVLILHPRLTLFATSLRPFSLIHHQFSLRPPTPSRLPILFARSRNHSSSISPLPTATPLSTDDSKETPHAGRSGSVSSPPFQAADYSQKIDVNPPKGTRDFPPEEMRLRNWLFQNFREVSQLFGFEEVDYPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVMQKGKSVPLPIKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVPDVTGEAELISSIVTFFKRIGITARDVGFKISSRKVLQEVLSFYSVPETSFSKACIIIDKMGKIPLEEIKKELNSVELSTEAVDELLQVLSMKSLTELEEKLGAAGEAVSELKQLFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIIELLKERKLLPELPLEVENIVCSLDPDLQGAASSVATVLRGKGQVFKRAARINARRLILVGSSEWQRGMVSVKILSTGEQYEVNFDELG >KVI09506 pep supercontig:CcrdV1:scaffold_349:133882:138025:-1 gene:Ccrd_012115 transcript:KVI09506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MNFCIYVSKELGTNFIGFLINPATKQTRQWAAWTRQEEESFFNALHHNFEKITSCVQSKNKDQVRHYHYRLVRPVNKLLDPELSLDAKNSKDTNAVMLRWWSLLEKHSCKASKFHLKPRRFKIFLATLLSVFASDDSFSFTKLKIFLYHEGAPTIERPGEEFKKTSFSGGKTILYITCCLKSG >KVI09505 pep supercontig:CcrdV1:scaffold_349:184064:185888:-1 gene:Ccrd_012118 transcript:KVI09505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MGEKDDTKKEAGEKKPADAGGAKKPDAGPLIVVLKVDLHCDGCAKKITKSIRQFEGVESVKADTAGNKLTVTGKVDPMRIKERVEYKTKKTVQILSPQPAKKEEEKDDKKPPAAKPDGNNADDKKPKEPQSSTVALKIPLHCDGCIHKIKRLISKIDGVESVMPDSGKDLVTVKGTMNVKELIPHLKEKLKRKVDVVPPKKEDKGGDAKDDKKVEGGGDKKEKAAGGGGGGDAKAAGGGGDDGKAAGGGGGGEDKNKGIEVINKFEHYGHNPYTHTMSMPTQMPIYNQNYYNQDYGMGASSSHGYVQQGYNYGYPMEYPRGPPMPPPMYLQDTRVPDSGMFSDENPNACSLM >KVI09502 pep supercontig:CcrdV1:scaffold_349:242066:248245:-1 gene:Ccrd_012122 transcript:KVI09502 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPSQESQEEIKRDTEQWRWSEMQGLELVSENPNPNPNLNPPFSSDPHTEEDREAQKTRLGMEAEGQNSKIDGGGEKEKKKEEKGSSNPAVGFGELFRFADGLDYVLMGIGTIGAFVHGCSLPIFLRFFADLVNSFGSNANNIDKMSQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALSQDIQFFDTEVRTSDVVFAINTDAVNVQDAISEKLGNFIHYMATFVSGFLVGFTAVWQLALVTLAVVPLIAVIGGIHTTTLSKLSSKSQEALSEAGNIAEQTIVQIRTVLAYVGESRALQAYSSALKISQKLGYKSGFSKGFGLGATYFTVFCCYALLLWYGGYLVRHHHTNGGLAIATMFSVMIGGLALGQSAPSMSAFAKARVAAAKIFRIIDHKPSIDRNGEAGLELDSVSGLVELKNVTFSYPSRPDVKILNNFTLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVMLDGHDIKGLKLRWLRQQIGLVSQEPALFATTIQENILLGRPDASMVEIEEAARVSNAHSFIIKLPDAYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVVVLQQGGVLEMGTHDDLIAKGENGTYAKLIKMQEVAHETAMNNARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSDFSLSLEGGYPSYRHEKLPFKEQASSFWRLAKMNSPEWTYALIGSVGSVVCGSLSAFFAYVLSAVLSVYYNQDHAYMIREIGKYCYLLIGVSSAALIFNTLQHFFWDVVGENLTKRVREKMLAAVLKNEMAWFDQEENESSRIAARLSLDANNVRSAIGDRISVIMQNSALMLVACTAGFVLQWRLALVLVAVFPLVVAATVLQKMFMQGFSGDLEGAHAKATQLAGEAVANMRTVAAFNSEAKIVNLFTLSLQKPLRRCFWKGQIAGSGFGVAQFLLYASYAVGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMKSVFDLLDRKTEIEPDELDAIPVPDRLRGEVELKHIDFSYPSRPDIPVFRDICLRARAGKTLALVGPSGCGKSSVIALIQRFYEPSSGRVIIDGKDIRKYNLKSLRRHIAVVPQEPCLFATTIYENIAYGHESATEAEITEAATLANAHKFISSLPDGYKTFVGERGVQLSGGQKQRVAIARAFLRKAEVMLLDEATSALDAESEKCIQEALERICSGKTTVVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNHPDGCYAKMIQLQRFSHGEAANMVSGGSGSSSKRHIEG >KVI09496 pep supercontig:CcrdV1:scaffold_349:28407:31260:1 gene:Ccrd_012106 transcript:KVI09496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid lyase MGSLTVESVYQNGVASELCVKGDPLNWEVAAEAMRGSHLDEVKRMVTEFRNPIVRLGGETLTVSQVAGIAVSGNAAVKVELSEEARAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTKEGGALQTELIRFLNAGIFGSGTESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNTNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGXXLNAEKAFSLAGVSGGFFDLQPKEGLALVNGTAVGSGMASMVLFEANVLALLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEYILDGSDYVKAAQMVHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAESIDILKLMSSTYLVALCQAIDLRHLEENLKATVKNTVSHVAKKVLTMGANGELHPSRFCEKDLLRVIDREYVFGYIDDACSSTYPLMQKLRQVLVDHALNNGEKEKDAGTSIFQKIGVFEDELNALLPKEVESVRSAYDIGSLAIPNRIKACRSYPLYRFVREELGTEYLTGEKVTSPGEEFEKVFTAICKGELIDPLLECVEGWNGVPLPIC >KVI09503 pep supercontig:CcrdV1:scaffold_349:286710:288185:-1 gene:Ccrd_012123 transcript:KVI09503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRAPCCDKANVKKGPWSPEEDRKLKEYIESHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDDEDKVICTLFASIGSSYPSLSIFQNSNININAPLSSSSPPSSYLYSTNTSSHHDHQTLSIPSSPTTAPADRHRLLRSQDHRYLGLGPMEGYQAVKDSSTLVMFGGDQASCSSNSDGSCHYEYGTVGVYDHNNMGNLQSDSYKEFGGNYEKEKGYYGDSSLDCSLEEIKQLISTNLCSSNIDNNLNFFVDETKEVEKVMYY >KVI09499 pep supercontig:CcrdV1:scaffold_349:55453:57158:1 gene:Ccrd_012108 transcript:KVI09499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCFQFSYCEDKDEAPISPTKSSSIQSFTSESTDRFVSGPESSFPKVPDPSTKLRKFKHPSFSKRSSNLREFKFSELKSATKNFSLSAKLGEGGFGSVYSGTVKNLRDSAKDIDVAVKQLGKRGFQANSSILYSICRVLHAILNNKLWFESFYGYELPAHSLGSVFEQGHKEWVTEVNVLGIVQHPNLVKLVGYCAEDHEKGIQRLLVYEYMPNRCVEYHLSNRSGTVMTWAMRLKVAQDTARGLAYLHEGMDFQEQFLSIALTFEEVQQEVKGYFRYCV >KVI09501 pep supercontig:CcrdV1:scaffold_349:209603:209868:1 gene:Ccrd_012120 transcript:KVI09501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVHFDFNSSLFEIHDDNHVLEAMKLCEESKGGGNGNASLDPVSASSP >KVI09497 pep supercontig:CcrdV1:scaffold_349:32305:34923:-1 gene:Ccrd_012107 transcript:KVI09497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MFPTTDKKRGDGFENPEPLHHIGPHPLLPPPATSLSASSLTMCPTTDCDKCWQWAFRWPSSFKHERKEEACIPKDVPKGHLVVYVGDNQRRFVIKVKLLKHPLFSALLDETREEYAFTADSRLHITCDEDIFLGVVRCAMTSRDRRTMWCF >KVI03198 pep supercontig:CcrdV1:scaffold_3490:47792:48391:-1 gene:Ccrd_018506 transcript:KVI03198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRKLDMEENMYKAFQFFNKDNSGFITRVTEKKNEYLESAGRLKLQEDPLSFSLQIYEIKKRKKKSQN >KVI00721 pep supercontig:CcrdV1:scaffold_3493:43057:44904:-1 gene:Ccrd_021027 transcript:KVI00721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVVDPYHPTDTSRSHPSSTVVALIQFSSSCWKQSSFKVIEQFEAGFGSQTELTSSSKCEILSGMRNRGHVASLGKFGSXHHVKGPFIIQGDKEPHAF >KVI00722 pep supercontig:CcrdV1:scaffold_3493:25654:26509:-1 gene:Ccrd_021026 transcript:KVI00722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 MTSSATSSPYESEIEELEQSLEDTPMFWSTPKKILSKQLSMLETQRDLAWEKKRHQMLMQERRREAAIIASSELCDEDLKELKGCIELGFGFNEDKGGQSLTNTFPALDLYFAVNRLGSPTSPSSSSSSGSRFSPIRSLSSRSLEGSPSSVCREDPQQVKTKLRHWAQAVACSVMQSS >KVH87407 pep supercontig:CcrdV1:scaffold_3494:50733:58250:1 gene:Ccrd_025361 transcript:KVH87407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydrolase FSH MLGTKPKKDSKKKLETSRLGTGKEVEESNLLQKLSEACICPSGIIHNRTMGSEGSDMVVTRKPRILCLHGFRTSGEIFRIQSKKWPESVLEKVELFFPDAPFPCNGKSEVEGIFDPPXYEWFQFSKEFTEYENFDKCLEYIEECMIKYAPIDGLLGFSQGAILAAALPGLQAKPHGIELLKSVEDGVIIHHPKGHTIPRLDEKGLEIMMKFLDRIQKIVSNKEDVVTVG >KVH98673 pep supercontig:CcrdV1:scaffold_3497:16115:24070:-1 gene:Ccrd_023101 transcript:KVH98673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPKESGLRNVSSVCSIPEMDDFELTKLLDRPRLTIKRERSFDERSLSEMSLSRGLENLDLGYSPGGRSGLDTPASSTRNSFEPHPMVAEAWESLRRSLVHFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPERKTDTIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPDCQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCSLAMLKLDEEGKEFVERIMKRLHALSFHMRSYFWIDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQASAIMDLFEARWEELVGEMPIKICYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPARRAIELAESRLLKDGWPEYYDGKLGRYVGKQARKHQTWSIAGYLVAKMMLEDPSHLGMISLEEDRQLKPIIKRSSSWTC >KVI01648 pep supercontig:CcrdV1:scaffold_3499:34906:35964:1 gene:Ccrd_020075 transcript:KVI01648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSGEKRKGAYGRQVRKRVDQGLIGPQLPSTGRDDQIDGEGSDGPEHQQSEGVRRNVAEGPESGNDHNFRGETPSTXEVKHRRMSKKKARDKTKITDLCHEASSPXDKIKVFSESSSS >KVI05992 pep supercontig:CcrdV1:scaffold_35:602676:604841:-1 gene:Ccrd_015685 transcript:KVI05992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHTKSESDITSLAPSSPSRSPKRPVYFVQSPSRDSQDGDKSSSIQATPNFRSPMESPSHPSVGRHSRNSSSSRFSGIFRSHSGRKVGRKRNDKGWPECNVIVEEGKYDEYDDEKRFTRRLQALMALLTFIVLFTVLCLIIWGAARPFKADIAVKSLAVNSLYVGEGSDSSGVITKMLTINGSLRLGIHNPATFFGIHVSSSPVNLVYSEVVIATGQQRKSRRTATVSLEATKVPLYGAGSSLVVSDAGVFEIPL >KVI06025 pep supercontig:CcrdV1:scaffold_35:15848:16618:1 gene:Ccrd_015631 transcript:KVI06025 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX, N-terminal MKFGKTFRIKISIIMPQWEGECMSYKDLKKQLNLGDPFSRDEGFRQLLKNELEKINDFFLRKEGEYMSRFQELKGVVADINSSEDTTQLTKELLQFHNKLVLLLHYNVLNCDGFLKIIKKHRKKTGRSFSLSFMEGDDEQLYFIAHSLNELFAECEEILRQL >KVI05971 pep supercontig:CcrdV1:scaffold_35:306961:318884:-1 gene:Ccrd_015663 transcript:KVI05971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MGPQGLGLEITELRLGLPGGGGERSEKKRRVVCGGGGGGKNRDMDVVVVGWPPVCLYRKKSIQVRKMYVKVSMDGEPILRKVDLSCFQGYCDLGMALENLFHCHGIDIGEAMKEESESGEYVAIYEDKDGDWMLVGDVPWLMFTETCKRLGIKKRSDANGIIPPNTMSSETSNITSEIPTTATVDGSPNFDDTELTLGLPEGIYGFYREQVVGWPPVRSYRKNMTKSDCKYVKVAVDGAPYLRKVDLELFTGYQQLLCAFENLFSCFTVRNVLNERKLMDPVNGLEYVPTYEDKEGDWMLVGDVPWKMFVESCKRIRLMKSSEAMN >KVI06009 pep supercontig:CcrdV1:scaffold_35:656591:658708:-1 gene:Ccrd_015690 transcript:KVI06009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVLSVCAEANLPNDALELYTLIRKDGVFPSLVSFNLLLECLVSSCQYGRVLDLFDHVLSSGIRVDKFTFGKAVQSAVKMGNLRRGMELMDCMKTNGRMSVSKFVYNVLIGGMCKEKRVMDARKLFDEMLERDVVPDKVTFNTMIDGYCKVGNLDEAFRIREKMKASNVGANLVTFNTLLNGLCRGKRMEEAKSVWEEMKVHGFVPDGFSYSFMFDGFLRSGDLDSCMALYEQVDKDGIRINGYTCGILLNGLCKGGKTNKAEVILAKLMENGFVPTEVIFNIIVNGYCKEGNTEMALLTMEKMKSHGLNPSCSTFNSVINQYCQSGNLIEAEEWVKRMAEMGASPDVVTYNILLDGFGRNLQFDKCFEILEEMESYGLNPNPISYGSLMNQLCKNGKLVEAEVVFRDMIGRGVLPNTNIYNILINGYCNGGKIQDAFKTFDEMLRNDSVPTLVTYNTLINGLCKMCRVEEAEELASKMANGGLKYDVITYNCLISGYSAKGDSDMCLELYEKMKGSGINPTTNTYHPLIVGCKNQGLVVVEKLMDEMSQMNLNLDRVLYNELIRCYSEHGLVEKTFELYHEMVGNGILPDRMAYNCLIIGYLKEGKVHEAKDLVDKMKETELVPKSDTYDTMIKGLCDIKKFSEAYIWYREMVANGFLPSASVANQLLTGLTEEGRLQEVQIMCSEMGIKGSDCSSANDENRRYS >KVI06018 pep supercontig:CcrdV1:scaffold_35:100552:102083:1 gene:Ccrd_015641 transcript:KVI06018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asp/Glu/hydantoin racemase MVLNKSLLRHDHSSQENDYTQIVENLRHKRVFLERSGACCIVMPCQTSHSWYDEVSDGCSVPVLHMGECVAKELKEAKLKPLEAGSPLRIGVLATDATLKARFYHDKLQKEGFEVVMPDKATMEHTIIPSIEAIRRKDIEGAQNLLRIALQVLLMRAVNTIILASDDMRELLPRDDPLLRKCVDPLDSLARSTIKLAQSTVKDS >KVI06012 pep supercontig:CcrdV1:scaffold_35:160649:161564:-1 gene:Ccrd_015649 transcript:KVI06012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSTCFQPITTVSNSRNSRIKRPAASASAKWWIPLFGWSSDPDYIQNPTDGSITESSDPDNGRSRFAPGCFTEEKAKQLRMKTTEMANFHDIMYHSAIASRLASDVSDRHDR >KVI05966 pep supercontig:CcrdV1:scaffold_35:372928:373986:1 gene:Ccrd_015666 transcript:KVI05966 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDLIPGLPNDLGLDCLIRLPYNQFSLAASVCRSWKSQIELPHFRNHRKSAGLSRRIVVMVQSQVDSTHNHGLRKYTTPPVYRFTVYEPETGNWSHLPPIPGFSDGLPLFCQIAPVGYNLVVMGGLNPENWEASNFVFVYNFVSATWRRRSDMPGCTRSFFGCASDGDRRVFVAGGHDNEKNALRSGMMYDVEDDRWVPLDDMADERDECKGAFHRGKFYVIGGYNTQMQGQFGKSAEAYDPSTCQWDPTEGDFLGSDTCPRTCVDGGNRLMYMYRDGAVVALDHSSKTRIPKDMDTVPYMIECDGKLLAVGSVGYGRGHGVYVLDLHDSTWTAVEVPEEFSGHVQSGCCLEI >KVI05990 pep supercontig:CcrdV1:scaffold_35:572301:573500:1 gene:Ccrd_015682 transcript:KVI05990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae/L37e MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRK >KVI05985 pep supercontig:CcrdV1:scaffold_35:391094:405959:-1 gene:Ccrd_015669 transcript:KVI05985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer beta' subunit (COPB2) MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQIMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVYEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKSWFCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDRPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADYEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSSDGEYAVRESTSRIKIFNKSFQEKKSIRPTFSAERIFGGSLLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDSSFHIIKYNRDVVSAHLDSGRSLDEQGVEESFTPLYDIDERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLTLIEYKTLVMRGDLERASGVLPSIPKEHHNSVAHFLESRGMIEEALEVATDPDYRFELAIHLGKLEIAKDIALVAQSESKWKQLGELAMSTGMLDMAEDCLKHANDLSGLLLLYSSLGDAEEIAKLASLAKENGKNNVAFACLFLLGKLEDCLQLLVDSNRIPEAALMARSYLPSKVSEIVGLWRKDLNKVNQKAAESLADPEEYPNMFEDWQVALEVEAKAASTRGHYPPAVEYVNHIDRSHVNLVEAFRQMQLDVEEPLENGGFDHEGVEHNGKEGEFIDGQEEDQEVGQEGAAAKDNDSSDGAVLVNGHEADEEWSTNDAGTPSA >KVI05998 pep supercontig:CcrdV1:scaffold_35:477188:478974:1 gene:Ccrd_015673 transcript:KVI05998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MDDTGEDSKYPPNYGLNQGYGSSQHPKASVHNVPYVQSQQIVKYVDEDDDEVEEDDEEEEEQEQEERNAGDDEMNGAIQKNGDDDNDNDNQYDDINMNDDDDGENHNGRNDTVSLQRHPKKRKLKSLLSSYEFAPRVPPPTMAPTSTAKPSFGGRNTLTDWSEHETFVLLEAWGDRFLQCGRKSLRSEEWQEVADRVSQDSKIERTDSQCRNRLDTLKKKYKKEKANLERSRSVNTKWVYFKKMDMLLSSNPHQTGLSRGVDSGENAFLNPSANGVDEMRDSAGNSDSQDDDDDDSDLVPPKKSKSKADRSGGVSFKLLADSINKFSEIYEKIENSKIQQMIELEKMRMDFHRDLELQKRQILDRVQAEITKARQGDYEDNDVSAENIGG >KVI05984 pep supercontig:CcrdV1:scaffold_35:176049:183011:1 gene:Ccrd_015650 transcript:KVI05984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, C-terminal MEHMRSPFRGIVEDVRGRALILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLISTAICGLIHSILGGQPLLILGVAEPTIIMYTYLYNFAKGKQALGQHLFLAWAGWRNFWDVNCRSFHSRGRKVSEFKVPKNDDPNKAKYQFQWLYANGLLAIAFSFGLLYTALKSRKARSCWLSKKNSTSRILQRKLTHRKLRSKTPSICSNNLKVVYQFIRKKLVASAKESIKQKASNSEIYNKMQTDAVMKVEEDTKLGKFDPEEHIDAHLPVRVNEQRVSNLLQSLLLAASITAMPLIKLIPTSLLWGYFAYMAIDSLPGNQFWERILLLFVHASFVESVPFRSIAIFTIFQIMYFLVCYGVTWIPIAGILFPVPFFLLISIRQHILPKLFQLHHLRELDAAEYEEIAGEVTMCDAEILDELTTSRGELKRR >KVI06030 pep supercontig:CcrdV1:scaffold_35:85099:86165:1 gene:Ccrd_015638 transcript:KVI06030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase/fosfomycin resistance/dioxygenase domain-containing protein MKETAQNPLHLKSLNHISLVCQSVEESIDFYTNVLGFAPIRRPGSFDFSGAWLFNYGIGIHLLKSENPDNIPKKSEINPKDNHISFQFTKSARIISYFEVRSSNLDSCESMGAVEKKLKDMGIDYKRQRVEEGGIYVDQLFFHDPDGFMIEICNCDNLPVIPLAGDMVRSCSRLNLEIISQQQIQTFVQL >KVI05987 pep supercontig:CcrdV1:scaffold_35:638992:649521:1 gene:Ccrd_015689 transcript:KVI05987 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA element modulatory factor 1 TATA binding MAWLRGKVALGSFPDLAGAVNKISESVKNIEKNFDNALGFEDQPGGASTSINEGTYLTASIPNSESIHHFIVLILHMGANAYCTGFGLCTGYIGVRNQRFEDCASHYWSPELMAFTGQTDGKGIAAEASKKHESSKKHESSEKHESSKEKEGAGADDSTNTTGQKDGKGIAESSKTLESSEKHEFSSHPSFSQEKEGADADDLTNTTEEHSSPVRESSEETLKPEEHHVFSKEKEGYDAEDSTKSNEAHFPHVTEASVKTLKPEEHQAHAVQMNITIPEETDNSAEKPVDIYAENIAEKPVETNIAISHEATAVADNHIEAEADSQAKPSADDAEESKFLGREENSEIDSVKNYGSVEDESTNSVDQVSTTSPELNEKNAAPDMQKSDHELNMQDKMTTQEDSAVEAVDSSYEDQSANESEPLGPQYPVSEVDESVEECQPHLLPSSDDASKMVLEVASKDNNDNAKVHLVNQLAGDDQRSNLSGNADLKIELETLKKEMKKMETALLGAARQAQVKADEIAKLMNENEQLKSIIEDQRRKSNDAEIESLREEYHQRVSTLERKVYALMRERDTLRREQNKMNDANALLKEKDEIITQVMAEGNESVNCLRRGEELSKKQAVQESTIRRLRAQIREFEEEKKGLITKLQVEENKVESITRDKAATEKLLQESIEKNHAEVAALKEYYTNALTAAKEAKALAEARANDEARTELEGHLREAEEREAMLASERRCEELVMQVPESTRPLLRQIAAMQEATATKAEAWAAVERSLNIRLQEAEAKAAAAEEREHSISCLRAEQTQLTRSLEKERHRASESRQDYLALKEEADTHKGHANQLKEEMRELKRKHKHELHEALAQRELLQQAQQVTNGNGHPLPKQRFEAPVHEEVPLGPIFANSEPSHTFTKSLFQAVTPEIEREKAARLELQKTARPQLSPVSGQSSIPKPKSPSENGLTRRLSSASSLSSMEESFYLQASLDSSNTFSERSLREPTITPYYLKSMTPSAFAAALRQKEGELASYMSRLCEKLRSEVALLPSIKAELEALRVRHSAALELMGERDEELEELRADIVDVKEMYREQVNMLVNKVLYPAKNFWIHL >KVI06003 pep supercontig:CcrdV1:scaffold_35:507904:510730:1 gene:Ccrd_015676 transcript:KVI06003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYPASITLNHEFDIRIRRLPAAAALNHHQHVVVDGHGHGGAAQVKKQCLCSPTVHPGSFRCRHHHSKYVWVGVGPNPRPTQ >KVI06024 pep supercontig:CcrdV1:scaffold_35:48065:50533:1 gene:Ccrd_015636 transcript:KVI06024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLLSRITPRHSRSSKSPTTNTITITYRIKKIVNELCHILTTRNTHWEQTLETRLSEEDIVPSDVAHQVFDKIRDVESALKFFNWISQRPYGCPLDGVAYSSLLKLLAKAKAFREIDSLFIRIKNEEEPPTHEALNDVIRAYSECGLIDKALDIYSFVVETYNCVPNVFACNSLLTGLVKTNRLETAFQVYNEMLHRSDASGTGCCADNYSTSIMANALCKKGKVEEAKKLISNRWGQGCIPNVVFYNTLIDGYCKKGEVKRAFMLFKDLKLKGFLPAAKTYGAMINGLCKEGDFGIVERLMNEMKSRGLAINVNVYNSIIDAQCRHGCRLKAEEMFKEMIKIGCPPDIITYNILIYDSCSHGKAKEAEELMEQAARRGLRPNKLTFTPLLNAYCRQNDTEKAFNLFIKMIDSGEKPDLLTYGSLIHGFVVLGEVDTAMVILNKMIEKGVFPDAGIYNVLMNGFCKKGKLSAAKNLLSNMLDQNVAADKFVYATLIDGFVRDGDLDEAKNLFELAMEKGLKLDVVGYNAMIKGYCKVGKLKDAVSCVKRMTKARVSPDEFTYSTIIDGYVKQHDLDGALGIFSRMLKQNCKPNVVTYTSLISGFCQKGDHIGGEKLFNEMKLRGLIPNVVTYSIVIGSYCKMRKLAKAASFFEQMLASKCKPNDVTFRYLVDGFAKYAGDAVSEKDIKNSMFLDINSKMISDGWSPRPAAYRTIVVCLCLHGMLDISLQLSKKTAIRNCPVVFGALLYGICLERKAEEWNRLISCNLNESELLVAVKYSSIFDQFFRQRAPSEASVILQKLVDDRKSKHYKPGCLEVSVS >KVI05995 pep supercontig:CcrdV1:scaffold_35:575337:588944:-1 gene:Ccrd_015683 transcript:KVI05995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain-containing protein MSTGRQTVTARDLIDEAKKRIVFLFMCVVGLSYLMSLTSASVLVNLPAALLLIVMLRYFALDYDLRRKTASYSRIPSSAHTFSQKKQSVEPTFLSGKSEWRKKVNSPVVEDAIDQFTRHIVSEWVTDLWYSRVTPDKQGPDELVLIINGVLGEFSSRMRNINLIDLLTRDIIKLLCTHLELFRACQMKIMNQQSLTISQRDIELKAVLYAENKLHPALFSAGAEYKVLQHLMDGFISLTFRPEDLQCSLFRYIVREMLASRKTNKGANTEEVNSQSEPKGSPKLSSQPSMVLDPSVKGVELTLLKKENSDSTIDKVADNLHAKDPLLSMDTRSTYSLSSFPSDTGTSKHHSGGERGDKLDIISRRKTEALAPENFENMWAKGRNYKAKESVNRVAESLRQNSSAKSSNSVNHSKTLPSHPQKYNRPWPSLGTSYEEDEDEDEDEEESRSSRDYSTEDEENSNITGLNSPGTKVWDGKSNRNHSVTHIHHPLESFEGQKMRKTGKGFSKPEGSPKGHPGRKRSRVSNQKEEVWHEVERKTFLLGDGQDVLSSSKGHSRSGDSSGDSDGESLGRTYSGISASSSLPSITIPESINSSVIKSQKSLPLDDSFFRLRCEVLGANIVKCGSKTFAVYPISVTDVNNVSWSIKRRFRHFEELHRRLKEYAEYNLHLPPKHFLSTGLDVPVIQERCKLLDIYLKRLMQLPTISGSIEVWDFLSVDSQTYSFSNSISIIETLSVHSATTVREKSSGVGNDVRFVGDPLSSKRELSDAVMKNSSSEIKHNYVIDGSRGSKNVVLSLGKPTKELGKPSEDSDSDSEIGVRSKLYMAGKPEQTLKGLEDSNLHAPSGMLIDDDDDDSVLPEEWVPPNLSVPILDLVDVVFQLQDGGWIRRKAFWVAKQVLQLGMSDAFDDWLIAKVQLLRRGSNILWPDGIFITKHPKRQQPPTASSKVAAAQVSPPPSPRYPPSDEETLTWEEIREQEAKQRSKLVYELMIDKAPAAVVGLFGRKEYEQCAKDVYYFIQSSVCLKQLAFDLLELLLMSAFPELDFVFQQLQDEKHKFGVLEQ >KVI05970 pep supercontig:CcrdV1:scaffold_35:299762:302883:-1 gene:Ccrd_015662 transcript:KVI05970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEMYCAKRSDQIDAGSKCNKTIVEGLSGTYNQNENQYIRLYLDAFLDPSTRFRQSRSTIFVFFLRTDLLLAMSVESLKTSNIVNGDSNFSNGHTKKPCRIYVGYDPREDVAYEVCRYSIMKRSSIPVEIIPIKQSELREKNYFWRERGKLESTEFSFTRFLTPFLAGYEGWAMFVDCDFLYLGDIKELFDLIDDKYAVMCVQHDYTPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNKESGAFLHRFQWLEDHEIGSVPFVWNFLVGHNRVEKGDPNSYPKAIHYTLGGPWFEAWKDCEFGDLWLNELEECEIAKKVEKLE >KVI06023 pep supercontig:CcrdV1:scaffold_35:50907:58008:-1 gene:Ccrd_015637 transcript:KVI06023 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MAIRSLSHQTKTIYRRLFTGTLPSPTPLISPYSSQPFPSSSSFSSTQLVNDISRILSDYRSPRHDIVSALTPFASGVTTDLVEQVLKRCNNLGFSAHRFFLWAKDLPDFVHSKNSYHILIDILGRSKQFPLIWDFLGEMKESQSCEISSVIFWVIFSAYSRANLPSDAIRAFKNMVDYGIHPSITDLDQLLYVLCKRRHVRDAQMFFDEIKHEFNPSVKTYSILVRGWGYIGESSEAQKVFDEMLERRCCVDVHAYNSILESMCKGGDVTGAYKLFRDMRPKGLEPDAFTYSIFIHASCNANDLHSAFRVLDRMKRYNLVPNVFTYNAIIKTLCKKDKTEEAYQLLDEMIERKVKPDVWSYNAILNFHCNRLEVNMATKLVSRMDTDSCEPDRHTYNMLLKMLIRVGRFDRVTSLWEKMEETGFHPSASTYAVMVHGFCRKKGMVEEACRYFEMMVDGGIPPYGSTCELLRNKIIGLGFAEQVEILAEKMERSSSCSIQDLSGIMRGNRKSTKREEDNVINFCEETPVHSHFLHYHPIVRTLPAESNGYIRVDCYGGLNQMRRDLCDGVGVARLLNATLVLPKFEVAAYWNESSGFTDVFDVDYFIQQLKGFIKVVKEPPAEVASREPVRVDCSKRKGHFDYVESAALCQACYSALRLTSTLEKKSSELLQAIPKPFLSLHLRFEPDMVAYSQCEYEGLSPASMESIEAARGDRKPWTGETARLWRNRGKCPLTPNETAFILQSLSIPTNTNIYLAAGDGLMELEGLTSVYTNVVTKSSLLSGEDFKNMHGNTKAALDYYVSINSDAYVATYFGNMDKMVAAMRAYQGFYKTLFLNRKAFADLSSEGLRGKELMEAMRKAHKADFVMGRGSALPDCFCDFKL >KVI05994 pep supercontig:CcrdV1:scaffold_35:613488:614377:1 gene:Ccrd_015687 transcript:KVI05994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MLEGKALVEDTDMPIKMQIQAMAYASQALDLFDVLDCKSIAAHIKKEFDKKYGNGWQCVVGSNFGCFFTHTKGTFIYFTLETLNFLIFKGASSLSS >KVI05997 pep supercontig:CcrdV1:scaffold_35:435338:443394:1 gene:Ccrd_015670 transcript:KVI05997 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MGINSNRVDHYSGSGHANRGPTSTAPVTITVSGETMTSLELHNVCLPPQKSTLQKLRHRLSEIFFPDDPLHGFKNQSRLKKLVLALQFFFPIFEWAPNYSFTLLRSDVVSGLTIASLAIPQVYSSFVPPLIYSVLGSSKHLAVGPVSIASLVMGTMLNEAVPYTQNPGLYLKLAFTATFFAGVFQATLGLLRVTRDRPFHYQNANNPRFVIRDSARKRGTEHGMRKPKLFWVSAAAPLASVILSTLLVTLFRSKLHGVATVSTITSNYLISEMDYSDIGHLDKGLNPPSSNMLYFHGSFSRSAVNANAGAKTVVSNIIMASTVLITLLFLMPLFHYTPNLILAAIIITAVIGLIDYQSTVRLWKVDKLDFVACLSSFFGVLFISILLHVTRPNTSVLGNIPGTQIYQNVNRYREARRVPSFVILGIEAPIYFANSTYLQERCVLQLANAIEAKFYKLETRFGVIESLGFFGFRILRWIREEEEWLAANNGSSLRCVIIDMTCTLSGFKKNEYHTNEIENNNPFFNVNFAAVTGIDTSGLAMLVLANPGGSVMEKLHQSNILETFGLEGIYLMVDEAVADISSSWKAQP >KVI06011 pep supercontig:CcrdV1:scaffold_35:151969:156864:1 gene:Ccrd_015648 transcript:KVI06011 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c1 MSLGKKINVGVDGFRRIGRFVSGVTRQKEIPKLSDVNSPLKVTDFKAPLPSAYFVSEQGQDGSRVFALLGAGISGFLSFSTVAYSDEAEQGLECPSYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGFKWIFVLSLALLQAGYYRRMRWSVLKSRKLVLDVVN >KVI05986 pep supercontig:CcrdV1:scaffold_35:617818:624890:-1 gene:Ccrd_015688 transcript:KVI05986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSSSNDNHNHNHPSTSLTKDLFPSTSLALTLGFFREGEEQAVDDRREDGGNTTTTVTEISSENSGPARSRSDDDFDVDPDVDDGDDDNNKNKSKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKRLGLHPRQVKFWFQNRRTQIKAIQERHENSLLKSEMDKLRDENKMLRETIKKGTCPNCGFGSSSKDAITYTDEQQLRIENAKLKAEIEKLRTSIGKYPQGMSPTNSCSAGNEQESRSSLDLCSGVFGLEKSRIMEIVNLAMEELIKMASAGEPLWIHSFETGREILNYDEYLHEFPIDTSSNVQHKRCIEASRDSGIVFMDLPQLVQSFMDVMFAELQMLTPLVATREMYFVRYSKQLNADKWAIVDISIDNVEKNIDVSLARCRKRPSGCIMEDKSNGHCKVTWIEHLECQKSISHSMYRAIINSGLAFGARHWMATLQQQCERLVFFLATNVPTKDSTGISTLAGRKSILKLAQRMTWSFGRALGASSHCTWKKILSKTGDDIRVASRKNLNDPGEPLGVILCAVSSIWLPVSHTVLFDFLRDETQRNEWDIMSNGGPVQSIANLAKGKDRGNTVSIHTMKSKENMWMLEDSCTNSYESMVVCAPVSVSSMQSVMAGCDSSNISILPSGFSILPDGVETRPLVITSKAQDKSWEGLGGSLLTVGFQILTSNSPTGKHSIESVESINTLISTTLHNIKVGLQCDDQ >KVI05983 pep supercontig:CcrdV1:scaffold_35:184836:191720:-1 gene:Ccrd_015651 transcript:KVI05983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAPKKSNTWKAVLFGCFTNKTATFDPQILVPKTGLSQRLSISDVSSSLSVINGFSSYINGWNLHEFTLAELTRITHDFAASNYLGEGGFGPVHKGFIDDKTKPGLEAQPVAVKLLDLDGGQGHNEWLAEVTFLGQLRHPHLVKLIGYCCEKENRLLVYEYMARGNLESQLFRIHRNNSICCSSHIVGLGDLGSGTWISFSNWKVRFLGFLCNSVFLRGLMDKKKVAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFTAKLWDALTGDVLHSFDHKHIVRACSFSEDTHLLLTGGFEKILRIFDLNRPDAPPREVEHSPGSVRTVAWLHSDQTILSSCSDSGGVRLWDVRSGNIVHTLETKSSVTSAEVSKDGRYITTADGSSVKFWDANHFGLVKSYDMPCNVESASLEPKFGDKFIAGGEDMWIRLFDFNTGEEIGCNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLVPNGPLKPITADEIGAKLEDLKVGKQVVN >KVI06014 pep supercontig:CcrdV1:scaffold_35:119137:121823:-1 gene:Ccrd_015645 transcript:KVI06014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MSKMSYSSASMGSGSRTARRTVEFGRTYVVRPKAKHQATIVWLHGLGDNGSSWSQLLDNLPLPNVSIYQPFVFSFFALFLKMAFGDSKTVTFLQIKWICPTAPTRPVTALGGFPCAAWFDEGELSEDGPNDVEGLDASIAHIANLLSTEPSDACFAQGKYGNGNPYPINLKAVVGLSGWLPGARNLRNKIGGSTDAARRAASLPILLCHGLCDEVVPYGYGERSSQMMSSAGFRYVSFKSYEGLGHYTVPKEMEEVCQWLNARLGA >KVI05993 pep supercontig:CcrdV1:scaffold_35:613094:616516:-1 gene:Ccrd_015686 transcript:KVI05993 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, catalytic domain-containing protein MVDKIGVWMTCRMSEYLQTELAKRFNVFKSWEIPSKTDFFNQHSRSIRAVVGNGAHGADSSLIESLPYLEIISSHSSGLDKIDLVKCKEKIIRVTSTPDALTDEVADLAILLILATLRKICAGDQYVRNRMWNQGDFESTTRVSGKPVGIIGLGRIGSAIAKRLEAFCCSISYYSRSEKPNSGYKYYPNVIELAINCEILVIACSLSQSTRHIINREVIDALGPKGFLINIARGGHVDERELVLALVERRLGGAGLDVFEHEPEVPDQLIHLDNVVLSPHVGACTAETRMAMADLVVANLVAHFSDQPLITPVI >KVI05977 pep supercontig:CcrdV1:scaffold_35:252974:264017:1 gene:Ccrd_015657 transcript:KVI05977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDIYKAANSIRLGSLRATSGRASSLRSGSTSVWRNSGMDVFSKSSREDDDEEALKWASLEKLPTFDRLKKGLLFGSTGPSNQVDVDNLGTDDRRHLLDRLVKVADEDNEKFENVTVEADVNTGSRALPSFINFHIDVFEGILNLFHLLPNSKRHITILDDVSGIVKPKRMTLLLGPPSSGKTTLLLALAGTLAKELTCSGKVTYNGHELHEFVPERTSAYISQNDVHIGEMTVRETLAFSARCQGVGSRYGEMIVGPSKVLLMDEISTGLDSSTTFQIVNSLKQFLHILEGTAVISLLQPAPETYDLFDDIILLTDGKIVTSKKDQQQYWMKRDEPYRFVTAKEFAEAYQSFHVGRKLGDNLSTPYDKSKSHPAALTHEKYGLNSKELLKACTDREILLMKRNSFMHKDDLEDGGLYTGALFFGVVMIMFNGMSEISMTIAKLPVFYKQRDFLFYPSWAYALPSWVIKIPVSFLEAGVWTILTYYTMGFDPNVSRFFKHYLILLLVNQMSSGLFRFIGALGRNMIVANTFGSFAILVPLNGTTLGTWAITGRGFFAEAYWYWIAVAALLGFIFFFNLIFALSLAFLNPFGKAQANASAEDEGNAGAVELSSTKKESKDEGKQGVGEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDVKISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLASDVDENKRKMFVDEVMDLVELNPLKDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQELYVGPIGRHSCELIKYFESKNPNFLVNQDIEGVSKIKDGYNPATWMLEVSTSAQEMSLGVDFTEIYRNSERYKRNKALIAELSVPRSGTQDLHYPTQYSQPFLVQCKACLWKQRWSYWRNPPYTAVRFVFTTAIALMFGTLFWDLGGKRDSQQDLINAIGSMYAAVIFLGVQNASSVQPVVDVERTVLVEIPYVCVQTGVYSIIVYSMIGFEWTAAKFF >KVI05973 pep supercontig:CcrdV1:scaffold_35:293799:299068:1 gene:Ccrd_015661 transcript:KVI05973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MTRGTTVGESSFRVTRARAAVYQSLVGSEVQKEQGQGGVLRKNPKRGVQDEKKNNANTTKAGGQNKRRAVLKDVTNICCDNSYKNCITAAKIPKKNSKMGKKNSVNMSKVAASVTVKRQKVSAHSQTKKSDETEGTQTRPSAIQKAPDFAERERHVNSSPIEKRASSQLQSFSKKGSSNIHEKLMITDIDSDQKDPQMCSLYASEIYNNLRAAELMRRPRSDFMETVQRDVTQSMRGVLVDWLVEVCEEYKMVPDTLYLTVYLIDLFLSQHYIEQQKLQLLGITCMLIASKYEEICSPSVEEFCFITDSTYTKSEVLKMESLVLNYLNFQLSAPTAKTFLRRFLRAAQASHQIPNLELEFLTNYLVELTLIDYNFLVFVPSTVAASAVFLARWMLNQSCHPWVSVVFFKFLKNDTLEYYTWYKPLDLKNTVFALYSLKSSDSRSPLNAIRSKYKQDKVADKRLINFSTLHLTFDLKSCKLYMFKGVASLPSPEVPETLFYYSRP >KVI06026 pep supercontig:CcrdV1:scaffold_35:7184:10658:1 gene:Ccrd_015630 transcript:KVI06026 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MKKGKSACYVRHRLVANYGSKKCTTSIPTVRAAKKEGGWKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVVKAQTSGDTSDATATATTTTTTTSPPSSTGLSAILSAKLRKCCKSPSPSLTCLRLDTESSHIGVWQKRAGVHSESNWVMTVELGKNNYNNSNNNEREIDREPEVETPMAADTPEMVSSFPERDGIMSLCGPNAGDEEDAIALQMIEELLNTN >KVI06013 pep supercontig:CcrdV1:scaffold_35:114681:118509:-1 gene:Ccrd_015644 transcript:KVI06013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIWAIYLAQILGSWFIVFAFGSGLWAISHRPPVCSLDAFLCFSFLFSPESGRRLSDDFSTLPLAIKPSSNSLQKDAAKSPSFLDQTGDSVKTAAQGASDMAGGAANSVAGFAQGAATGAANLAEGAVGAVKNTFTKDPPAK >KVI06010 pep supercontig:CcrdV1:scaffold_35:732849:736451:-1 gene:Ccrd_015694 transcript:KVI06010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MGEQVEELNQHRQLSTGKKGGLVTMPFIIANEAFEKVASYGLVPNMILYLISDYKIGVAKGTNILFLWTAASNFAPVLGAFLSDSYLGRFLTIGLGSLFSLLGMFLLWLTTMVPNLKPPACNQLTETCKQATHSQYAFLIFAFIFISMGSGGVRPCSLAFGAEQIDNKNNPNNERALESFFGWYYAAAATAVLIAFTGIVYIQDHAGWKVGFGVPAILMLLSALLFFAASTLYVKMKVKKSLFTSFIQVIVVAYKNRKLPSQPPNADAFLNKACIIQNPKDLTADGVASDPWSLCTVEQIEELKSLIRVLPLWSSGIMMSINVSQSSFPVIQASTMDRHLGSSFQIPAGSFAFFTIAVLALWVVLYDRVILPFASKLRGKPVHLGVKLRMGIGLVFSSMAMVVSAIVEHARRKKAIEQGLFNSPQTVVAMSAMWLVPQYCLHGLAEAFSAIGQNEFYYSEFPKSMSSIAASLFLLGMAVANLLASLILSTIEKLTKDGRKEGWIATNINQGRYDSYYWVLAIMSFANLLYFVACSWAYGPCADELVKQETKSSKDSTEELQRARSVADERQSLFSSLPS >KVI06008 pep supercontig:CcrdV1:scaffold_35:701888:712072:-1 gene:Ccrd_015691 transcript:KVI06008 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter AGFPPTLPPEILLFIKSGWQVQLRISLLHLNMRGRQRDNIHTPYKRASSRDRLNAQDREDGLGGNPNPVQNNTGKGYGNPPWIRSLPHVIVAAFSSFLFGYHLGVVNDTLESISLDLSFHGDTMAEGLVVSTCLGGAFIGSTVSGWIADGIGRRRAFQLCAIPLIIGASMSATANGLGGMLFGRFLVGTGMGVTPPVAALYITEVSPAFVRGTYGSFTQIATCLGLIASFFIGIPAKDVPRWWRVCFWVSTVPAALLALLMEFCAESPHWLLKVITYNIFLFFDIIQRGRSAEAEAEFGKLLGGLHVKSSMAEFSKSDRADEVESVKLSELLYGRHFRVVFIGSALLALQQLSGINAVFYFSSTVFKSGGVPSDIANMSVGVVNLSGSIVAMVLMDKLGRKGLLLGSFMGMVCSSVVYLSVGGVLLFVLSFAMGVGPVPGLLLSEIFPSRIRAKAMAICMAVHWVNAESLSSTFGAICSWELGCGFMLLISWTLLVEFWCEICIGRTHSRSLGYNEQGNILPLPY >KVI06027 pep supercontig:CcrdV1:scaffold_35:27225:30872:-1 gene:Ccrd_015633 transcript:KVI06027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTNREMVQIFFSPKIVIIVSPKTLFSRVNSSMAGNGSNWMKDKCRIHGRILRSCRLRLRPFSDSGGESNIESGLQLDERPVPQPWAYFEEWQIEVVCDQMGCKF >KVI06002 pep supercontig:CcrdV1:scaffold_35:514274:517671:-1 gene:Ccrd_015677 transcript:KVI06002 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic MFDALLKSKFYSRCKSGIKLTNKRIEIIMRKRKAMQKFLKNDIADLLRNGLDSNAYGRVEGLYLEQNLSSCYEFVEQSCLLILSHLSAMNKQRECPEECKEAIPSVIFAAARFADLPELREVRSLFNERYGNYLEPYVNKEFVKKLKADPPTKEMKLQMIQEVALESGIQWDSKALEQSLYKPPPFVQDWSQYANERNHEALKTHHQNGFETRIQEKEEKHSNKQTEVAKKGHNALPYKSRAEIEKKEEKKHGHGSVSSNWSSNTSSSSESTTSPEYSASEDLPEERKSYPLRSMAPPSIKTDSTKKDISSGFGREEEVSGSKCKDGNLQNGSEDIQKSRKLYGFRSMAPPPYIKTDSTKKATVSDLYSGYGREEEVFGSKCKDGNLQSGSEDIQERRKPSGFRSMAPPYIKTDLSKKATISSTSFGPGKEKVAGSKCEESVNITKPVPRSVRIRRALKQVTNEVSEPCPKISNGEYRDLDHGDEAEKKMDKLLSHYSRKASKLPADSSMVNNAHGPIRAGSLPHEPVSATNERKGIARAATFQPDSGLSPLXHVHPKLPDYDDFVARLAAFRASS >KVI05974 pep supercontig:CcrdV1:scaffold_35:380809:381694:-1 gene:Ccrd_015668 transcript:KVI05974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINQALFEKIYLLGFRNQFFDLSEIFNDQLQVNQHISANNKDSNASFQPAIEQASVMTLAASLPPSTKMIKMICIRVIFRSSSIVRKLKYQEC >KVI06007 pep supercontig:CcrdV1:scaffold_35:714918:718575:1 gene:Ccrd_015692 transcript:KVI06007 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome C biogenesis protein CcmH MENEEDPFKRQQIVDARARNISHNVRCLECGGQSIEESQADIAILLRKLIRDEIRSGKSDKDIYNKLEEDYGETILYAPKFDWQTAGFWLSPLLIAGVGGGIWAYSRHRQRTNVHLLALNLTRGVPLTPKEKETMMEILIPPAPQGIRRLSSWWRRLLPQ >KVI06020 pep supercontig:CcrdV1:scaffold_35:105725:110275:-1 gene:Ccrd_015643 transcript:KVI06020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MEDNPKPEFRSVPAFLALIFTAPLQSQRQFVVHKPFHGCLYGGSISNLRLGAARIEPASSVLPLHGIKVPNFTRFGGLVLSQRSCKGSIRYLCLAAKNAQSSTNPEAWSEVENSSESTSRLVPNALEVESLLTEICDTTSIAEFELKLGGFRLYVMRDLTGKSTSLPPPLSASIVDATPEVPELNGSASSPSLAITKATPTSGGVQTLLDKAADEGLAIIYSPRVGYFRRSRTIKGKRAPPPCKEKQIVKEGQVVCYIEQLGGELPIESDVAGEVVKILCEDGDAVGYGDALIAVLPSFPGIKKLQ >KVI06015 pep supercontig:CcrdV1:scaffold_35:139155:143145:-1 gene:Ccrd_015646 transcript:KVI06015 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase, subunit Vb MWRRLPSQLQKFRSVQRSYGVTTLSPSPVTLQPCASLVSRHISSVSGNVSKKRVEDVMPIATGHEREELEAEIEEAPAVVKSYYDQRIVGCPGGEGGESTLPSAIS >KVI05967 pep supercontig:CcrdV1:scaffold_35:374743:380737:-1 gene:Ccrd_015667 transcript:KVI05967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MDEYNRVDVQRNSGRQSSRPVLKSILSGKSTARGSPSFKRLNSSRTPRRDGRTGGFGTNCFRSNRIVLWLLLITLWAYAGFYVQSRWAHGDNKEGIFGGIDESDESSEVEEVHRRDLSASNDSSTVQIGINGNQTHVNKMDLVLAKNGTGGTGVSRSIVTTKKRSKRSRRSSRTKRGNQKKALGTGSNNAEVQEEEIPKTNATYGMLVGPFGSIEDSVLQWSPEKRSGTCDRKSQFARLVWSRKFVLIFHELSLTGAPLSMMELATELLSCGATVSVVALSRRGGLLPELARKKIKVLEDKDRLSFKTAMKADLVIAGSAVCASWIEQYFDHSVAGTRHLVWWIMENRREYFDRSKLVLNRVKTLVFLSESQSKQWLAWCKEENIQFKSAPSLVPLSVNDELAFVAGISCSLNTPAFSTEKMLEKRMLLRKSVREEMGLKDSDMLVMALSSINPGKGHFLLLESVHLTTDNKSSPSDIQIAGSVEDGGSLRKMLAGSEEKQGKALKLLIGSVGSKSNKVPYVKALLSFLSKHSNSSKSVLWTPATTRVASLYSAADVYVINSQGIGETFGRVTIEAMAFGIPIVEHNVTGLLHPIGHPGTTVLSENLRYLLENPAERQRLGLAGREKVKRMYLKKHMYKILWEVLYNTMRVK >KVI05972 pep supercontig:CcrdV1:scaffold_35:279950:285696:-1 gene:Ccrd_015660 transcript:KVI05972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGSYGMLAKRVVSTETPVMVQIQELLRGINGAISLAQGVVYWQPPKPALEKVKELVWEPSISRYGADEGLPELREALTKKAFVNIVLALCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGPGDPKTLHPDADWLERTLRETKPTPKLVTVVNPGNPSGTYIPEPLLKKISDICKDAGCWLVVDNTYELALHSMEVGSQWVTDQVKDLVNNKLLLIDALSPLGEGAVKGGEGAIYLWAKLPDKFIDDFEVVRWLAKKHGVVLIPGTPCGCPGHVRISFGGLVEKDCRLASERLKRGLEELVKDGM >KVI05999 pep supercontig:CcrdV1:scaffold_35:459532:464631:-1 gene:Ccrd_015672 transcript:KVI05999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFAMGTSSSPLHSSTFHPLFPSFSPSSPPLFFYSSQRKPHDFSKRTKIYAAMQNHHPNDDVSCGRRAILFVGISVLPFLNLKSKAVENPDPHELEDSAEEQELNQIAEVPPTNGDASPNPFLTLLNGIGIVASGVLGAFYALSQKEMSANNATIESMKAELVKKEAAIVSMQKSFELKMLNEKEERNEQIRKMNEEKLSLTSQLSSANSTVNGLGKELQKEKKFVQDLKNQIDRLTTDLKKALDDKEDLKLQVKDKLFSIEVLQERINLLTSDIKDKDNNLANLSSAIAVKESEFKKLSSMYEQTRVELAESKLEIEGLKQEILKLEKELELKSSSIDDLNAEIRSLGDEKDVIGEELDSARKEYTELTISLERKTASDAKILEEKEKKLEELKEKLESALVEVDKNEGLIGELTNERNYLRETLDFEVGKVGILENELLVVRRTLEDVRNESSELKKDLELSQITCKELEATVSRVQAEYSEAKEALQKNFERLKRTAEMLADELSSTKDISEKTKEELKRVSLELAESSENHDNLRKELDEAYSKLESGNHELKEEKKNVVSLTKEVNRLEGEIKNDRESRKSMETDLEEATKALDEMNQNTVILSRELEITKTKISGLEDEKEALYKSLDDQKRAIQEARENMEDAHSVIVKLGAEKESLEKKGSKLEKELGAAKGEILRLRSEIRVPSETAESAKKNGSAESIKKNGSEDENAAVAVKKVGRRKKIVSKQEES >KVI06022 pep supercontig:CcrdV1:scaffold_35:34039:40070:1 gene:Ccrd_015634 transcript:KVI06022 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal PLTSTASGLPLPRNPRFFSELSLRYLPLCLSSSIRRLFDSSRRVSSAREEFARSLCLKKYCCAVGVFMGEVKDNDAYEEELLDYEEEDEKALDSVNGKLGSETGKKGYVGIHSSGFRDFLLKPELLRAIGKRTSFLLMKDSFAGIFFASSVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICNEFERFSRYLPDLKVAVFYGGVNIKIHKELLKNECPHIVVGTPGRILGLARDKDLGLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLGEMEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMLTRYKGFKEGLKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KVI05991 pep supercontig:CcrdV1:scaffold_35:592748:601171:-1 gene:Ccrd_015684 transcript:KVI05991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein MMSTPKPTSRFIYLTIILLLSLTFIINSKANEDSDDIEDLIALDEEEEEQSSPASDGTHHPKPSSEAELLTKAQRIVLELNNDNTQMAIDRNEFVLVLGYAPWCVRSAELMPRFAEAASSLNELKNPILMAKIDAERYPKVASNLGIKGYPTLLLFINATSQSYTGGFSSEEMVIWVRKKTGTPVIRINSIDEANDFLKKHSVFAAGLFDKFEGPDYEEFVDAATTDNEIQFVETSSPQIADILFPDVKSTNAFLGIVKSEPERYTLYEDAFTKDGILQFLNDNKFPLVTFLTEVNSAKVYSSDKLQVTAFDYKSGAKYLLESDPTPARIEIHTPWCLSCETTSKQVEKLAKHFKGLENMVFAKIDASVNEHPKLETKSKG >KVI05988 pep supercontig:CcrdV1:scaffold_35:555461:567148:1 gene:Ccrd_015680 transcript:KVI05988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MNAVGRNGQRSGSSGAHHQRQYSDNYIENSSSSNGQWLQSTGLQHLQTQTSNSSSSMDFAYYGGGGVHDSRMYNNNNRNNSTVSGGTNLFSLPLTPPGRQKKLGGGGGGSDDPGDFSPGLLDLHSFDTELISEVPMASIHGASLHNSAHGRSFDDADPYYANTKQSNRLRGLPENNLSKSFAPDKEKASSVAKIKVVVRKRPLNKKELAKNEEDIITTESHCNSLAVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYYETVEPIVPIIFQRTKATCFAYGQTGSGKTFTMKPLPLKASRDILRLMHQTYRGQGFQLKLCMREDGKQQVCIVGLQEYRVSDVETIKELIDRGNSTRSTGTTGANEESSRSHAILQLSVKRSVDGSESKPARVVGKLSFIDLAGSERGADTTDNDKQTSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMVSCISPNAGSCEHTLNTLRYADRVKSLSKGNKKDAINLRESTTVPLSSAILPVSPYEDGTIDSWPNQPDEDDYDTTEEFSEPEPPSWKNSGKFETQSFSNVEDTMDIVRVEMNLLVEADDPGNQVDDYVTKLNTILAQKAAAILQLQNRLAHFQKRLRDHNVLISSSELMKQSVDFSVITGARNETVFDPTWELVGRVKALS >KVI05981 pep supercontig:CcrdV1:scaffold_35:195267:198607:-1 gene:Ccrd_015653 transcript:KVI05981 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit C-like domain-containing protein MSGTAIVLGASSSWARALVKISPYTFSAIGIAISIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISAMTQPVNPCCIFSVIFCEAVAIYGVIVAIILQTKLESVPPSQTYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTK >KVI05969 pep supercontig:CcrdV1:scaffold_35:347037:350732:-1 gene:Ccrd_015665 transcript:KVI05969 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MGAEYQADWNTKCTLLVCAFPNTPKFRQVEADNGTIVSKDWITECHSQKQLVGIEPYLLHAGKPWRHQSGSVGASEDPKPSSSKNSLKQVDKLADSKPRPSASTKTDNKHSRNEFPTSEVKKWAIDDMKKTISWLDSQEEKPDPSEIKKIAAEGILTCLQDAIDSLKEGKGMEEIMEQWSFIPRVVMELNKLEIARDSPVSASKTETYKQAIVFKRIYETELRKLEDETNDKKKRQKIEKGGKTSKDEEGYDSDDTIEMTEEEVKEAFNSVASRIVVNDNQFGHR >KVI05979 pep supercontig:CcrdV1:scaffold_35:231218:233537:1 gene:Ccrd_015655 transcript:KVI05979 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPH3 domain-containing protein MNHQSSPETAIFPDDKPTSLAARCWLDDASILDIDQFVKTLSGIKSKGVRPDLIGSIITHYASKWIPELSAEPPQSPVINDLLQSPPESATASWLKKRFFLETIVTVLPPEKDAVPCSFLLRLLKKANMLGVDSNYREELEKRVAWRLDQAALKELMIPCFSHVRGTLLDVELMLRLVKRYVELDIEGLRSGVGIFKVAKLVDAYLAEAALDSELALPEFMELAGAVPPQARATDDGLYRAIDTYLKMHVNVTKQERKTLCRLIDSQKLSIEASLHAAQNDRLPVRSVIQVLFSEQTKLHSHIDWSRSFSITKSPNPGLDPHERCHSSRELAMIQQMEIKKLKENVSKLERQCHMMQSQIEKLSEKKKGFFSWRKFAMSTTLRDISVEVANESLEGTGFNSSSIGRQTPVNGKKGRSKNSRKWRQSMS >KVI06005 pep supercontig:CcrdV1:scaffold_35:547260:550252:1 gene:Ccrd_015678 transcript:KVI06005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MDFWPEFLASSWGKEFVAGGFGGIAGIMAGYPLDSVRVRQQSSPSGSAFTILKNVAATEGPFALYRGMAAPLASVTFQNAMVFQIYAILSRGFDSSSSSTEPPSYKGVALGGVGAGAIQSLMLSPIELIKIRLQLQTRVQHDHIERGPLSVAKNILRTEGWRGLYRGLTITALRDAPSHGFYFWTYEFMREKLHPGCRTSGQESFNTMLVAGGLAGVASWVCCYPLDVVKTRLQAQTPTSTVKYNGIVDCLRKSVQKDGYSVLWRGLGTAVSRAFVVNGAIFTAYETALRVFNRVQEIRSEISKDSKQ >KVI06001 pep supercontig:CcrdV1:scaffold_35:483722:485545:-1 gene:Ccrd_015674 transcript:KVI06001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFRLSGPREDTRNLPINQRTSRKSGSARTLDERFIRILKIFKWGPDAEKALEVLKLRVDHRLVREVLNIDVAINVKMQFFKWAGKRRHFEHDSTTYMTLICCLADSGMFGDMWKMIQEMVRQSVVIDPKDLSEVVRLLGKAKMINKALSLFYQVKGRKCKPTASTYNSIILMLMQENHPDKVYDLYSEMCNEGNCFPDTVTYSALMSAFSKLGHHNPAIRLFDEMKENGFHPNAKIYTTLLGIYFRDGKVEKAIDLVVEMKEKGCAPTVYTYTELIRGLAKAGRVEEAYGIYRDMLKQGCKPDVVLINNVINILGKVGRLADAQKLFQELRENCGSSSSRVYAVMIKNLGKVGRLTEAIDLFNEIKKVNAVPDIYAYNALMSAMVRAGSIDEAYSLMRNMEENGCAADINSHNIILNGLAKSGGPQRAMVMFEKMKHSKVQPDGVTYNTLLGCLSRAGMFEEAAKLMREMRSCGFEYDVITYSSILEAVGKIDVDSTHQAP >KVI06021 pep supercontig:CcrdV1:scaffold_35:40912:46089:1 gene:Ccrd_015635 transcript:KVI06021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIGDSIDSLKSINVRQALEQAITLASHLLSSFFPKAWSRHSRGMGEDPIRAGEIVVFNIDRREIPIVHRVIKVFTLRWIRYNHHDGHPDYKVCSYWSFGFTCNYIQRLITLSPSDLVIYTFLSTNKIQMIVGEKLMYFYFFLWPFMMCSLAHSLLFVGNLC >KVI05996 pep supercontig:CcrdV1:scaffold_35:445780:453911:-1 gene:Ccrd_015671 transcript:KVI05996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein SYS1-related protein MFYGSVVWDPWLIVAQIVCVQCLYYLTLGLFMAIIVGPRVSKMSLVYFFDYATVTLSTVTGWSVIASFLLSSIAGAGFLLYLIERAKKCLDFSATLYIIHLFICIMYGGWPSSVTWWVVNGSGLAVMALLGEYLCIRRELREIPISRLRSSV >KVI06006 pep supercontig:CcrdV1:scaffold_35:720667:730362:1 gene:Ccrd_015693 transcript:KVI06006 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MNRCSPFIIGLRKTCSYFLTHYTSVITSSFPQSPQVSGCRTFMEVVKAIASQGSASLESVALKADDKSYGYNHLVSSARRISLMLSGCEQKIVDWTTENRSLNGARIGVVAKPSAEFVAGVLAIWLSGGVAVPLALSYPEAELLHVMTDSDVSMILSTEDHSELMQKIAAKTAAQFALIPHVPSMTSQAADHESTDANDGVHQKEIQFSNKVKESDPALILYTSGTTGKPKGVVHTHSSILAQVEFMPKFSVRGIWQRWRESYPKDGTKLDNAITFVMAISNPLKGIRKGGTVGKPFPGVQVKILAEDDGGEGVGELCIKSPSLFKEYWKRPEVTKESFIEGGFFKTGDAVKVDEDGYYVILGRTNADIMKVGGYKLSALEIEAILLEHPAVSECCVLGLPDNTYGEAVTAIIVPDMEIKKVREKDLNPAISLDELRTWAKEKLAPYKIPTRLFLWDSLPRNAMGKVNKKELKMKLAAEEQ >KVI05982 pep supercontig:CcrdV1:scaffold_35:191896:193699:1 gene:Ccrd_015652 transcript:KVI05982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAPKKSNTWKAVLFGCFTNKTATFDPQILVPKTGLSQRLSISDVSSSLSVINGFSSYINGWNLHEFTLAELTRITHDFAASNYLGEGGFGPVHKGFIDDKTKPGLEAQPVAVKLLDLDGGQGHNEWLAEVTFLGQLRHPHLVKLIGYCCEKENRLLVYEYMARGNLESQLFRSPVT >KVI05976 pep supercontig:CcrdV1:scaffold_35:265038:270276:1 gene:Ccrd_015658 transcript:KVI05976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLPTIDFTNKRNLKPGSSSWLATSIEATRALEEYGCFIAEYDNVPLDLNGAVFQALQDLFRLPIETKVQNKSTKPLYGYVGQIPFIPLYESLGFDYSNTLDGVQKMVTRMVFESYGVEKKLDAHRKMVTYLCRGMKYRGPEKNETNMGFVAHTDKDFITVLHQNGVDGLEVKARDGQWFAVELLPASLHSPYHRVTMNGNETRYSIAQFSFLEGIIETPEELVDEEHPLQFKPFDHLKYLDFYSREENRTLESAIRTYCGVDVMHALEEYGCFIAMYDGVSQELHDAIFLVSKDLFDLPNEVKVLNTSDTPSHGYVGQEPVVPLYEGLGIENATTAEGAERFTKLMWPSGNQGFCGSALMFAKAVAELDQTVMKMVAKSYGIEEHYKSLLGSTSYLLRLIKYRSPQDNERNLGIVPHTDKSFMSILHQQEVKGLEVKTKDGKWIEVDPSPSSFIVMAGDACMAWTNGRIEAPCHRVMMQGNEERFSLGLFTFIRDLEIQIPQELVDDDHPLQFEAFDNYKYIHYYYTDEGKRSKCPIKSYCGI >KVI05989 pep supercontig:CcrdV1:scaffold_35:564779:572112:-1 gene:Ccrd_015681 transcript:KVI05989 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase, C-terminal MGEEMKQKFGGFPFKPYSIQVDFMNALYDSLDKGGIAMLESPTGTGKTLSIICSALQWLVDRKQRRNDDSSVSVDTQQNGTTGDRSGPDDDPDWMRNILGNKEVEGPKKKVKLKKRYGFKSKKRDKTGIEEGLNDLFNHFREIEVDYDMYGKEQNNLIAKGDGEKLDDKEFLVEEYESEDEKSGLSKRKSGGICSSSSSEGENEADEFSDEEEEAEFKIYFCSRTHSQLSQFVKELRKTVFAGQLKVACLGSRKNFCINEEVLKLGNSTLINEQCLDLQKNKKNQVSKMKNSGVGGRVRKTKASGGCPMLSKHKLQKQFRHEMTDQGPVDIEDLVHIGSSLGTCPYYGSRSMVPAADLVVLPYQSILSKSSRESLGLSLKNSVVIIDEAHNLADSLISMYDSKITLSQSKISWDLLYTSNLVIQIISQLELVNSSLEGYFQRFRNLLGPGNRRHIQTLMVLTRAFIQTLCNKDNASLVDSSCCPAKNVSECSLCINEFVFSLNIDNINLVKLLQYIKDSNMIHKVSGYGNKIINLQNDTTLQDKECRAEGSFLSGFRALAGMLLSLTNRDSDGRIIVSRKRPSDFGQQGGYLKYVMLTGEKIFHEIVDEAHAVVLAGGTLQPIEETRERLFPWLLPDQLHFFSCGHIISSDNILPISVSQGPSGQSLDFSYSSRSTSTMVVVPQGIVVFFSSFDYEECVYSSWKTSGILGRIMKKKHVFREPRKSTEVETVLKEYKESVDALSNANSKDVPVAHNGAVLLAVVGGKISEGINFSDGMGRCIVMVGLPYPSPSDIELMERVKHIDGLGDGPCFNGEAQAGFDILRSCKRRGREYYENLCMKAVNQSIELLDTLMIMQQSYWWTRVTHQILQERAFTRPTSSHVGSKTVSFLAPVITEKSTDCFINSSNFTKASPFSRYKKLTKAPSLNLFSANLNNPKMQQLDNFYKEFCEQWDHAGNQNSQEKGDIESNTNVINSEEERIPNNSNGKKSAVTSRRVEEDEDVGCSQNGQNRDVLEQKMKELEMMDMKDEEHVLDIEEVLHSYSLLTSPIYQGLVDKFFTDMYSEFFLPQPSIRTNSINSSMRKLGPLNI >KVI06017 pep supercontig:CcrdV1:scaffold_35:95307:96383:-1 gene:Ccrd_015640 transcript:KVI06017 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MWNNLPSDLLANIFSYLPPPSLVRAMATCHHWHHSATSATTNIHHHPPWFIALPTRTTTIPTHLCFIHNPIQTTWHLLNLDNVPSLSRPISTIGSQGLILFKPSGGVPLRLSVCNPFTGQFRHLPSLQKPRTNPAVGVIEVSTSHFKVYVAGGMSEATSGGAASYEPTLEMFDSRTNNWILMGSMPVEFAVRLTVWTPNESVYSCGVLYWMTSARAYSIMGYEMGTNKWKKLSVPMGDTLEFAALVPHDGKLRVVGGSHDGDVTVWELGEEDNWKVIERMSVEFGKRLVGGGTKCVGIEGGVCLYRDIGSGMVVWRRDRDNNKDKWKWCWIEGCNRVENYPIKGLFLHPNLAAASTFI >KVI06016 pep supercontig:CcrdV1:scaffold_35:145868:151510:-1 gene:Ccrd_015647 transcript:KVI06016 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase, FAD-binding/Cryptochrome, C-terminal MRLSSRSLSSSHCHCCSTPFSFSSFNRYPKMKSGSNTLMWFRKGLRIHDNPALEYAAKSSTYVYPIFVIDPHYMKPDPDAFSPGSKLAGLNRIRFLLESLLDLDSSLKKFGSRLLVLHGEPSDVVIRCLKEWDVKRLCFEYDTDPYYQALDGKVTNYASESGIEIFSPVSHTLFHPAEIIQKNGGKPPLSYQSFLKLAGDPHWSSSPLCTTLPMIPPIGDTRNCEVSEVPTEWVAKFEKPKGDPSAFIKPATTMLSPYLKVLLPVHSRGSKRGQKPHLSTNFPYWAAAWRDARTGFPWIDAIMVQLRKWGWIHHLARHSVACFLTRGDLFVHWEKGRNVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGKKYDPSGAYIRHFLPVLKDMPKEYIYEPWTAPLSIQTKAKCIVGKDYPKPGQFNLQASAYQLLFVYLNQNQTNQVCPVNPSWRPRWRW >KVI05978 pep supercontig:CcrdV1:scaffold_35:235683:237029:1 gene:Ccrd_015656 transcript:KVI05978 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] MDEESPTTTPLLHHRRQWWRQIPSNATSSLCLHKTTLWSELGGSVGDLGTYIPIVLALTLVSNLDLGTTLIFTALYNIATGLLFGIPMPVQPMKSIAAVAISESPLLTLSQIAAAGICTASVLLFLGATGLMSFLYRFIPLPVVRGVQLSQGLSFAFTAIKYVRYNQDFAGNKQGGSRSWLGLDGLIVALSSIVFLIITTGSGETYQNRETVAGKRRVRRRLQILSSIPAALIVFLIGIILCFVIDPTIINDLQLGPSKFHVLKITWDDMKTGFLRAAVPQIPLSVLNSVIAVCKLSDDLFPEHEASVTAVSMSVGLMNLVGCWFGAMPVCHGAGGLAGQYRFGGRTGASVVLLGAGKLVLGLLFGNSFVRILNQFPVGILGALLLFAGIELAMASKDMHTKEESFVMLVCAAISLTGSSAALGFVCGIVLFLLLKLREVECGPDVDE >KVI06000 pep supercontig:CcrdV1:scaffold_35:490735:504637:1 gene:Ccrd_015675 transcript:KVI06000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYKIRDINVEFPFEAYDCQLVYMEKVIQSLQERSNALLESPTGTGKTLCLLCATLAWRKSLGDFSTGKSVKRVQDPGNKHSEDSSSQTESSKLPTIIYTSRTHSQIRQVVQELKRTVYRPKMVVLGSREQLCIHPDVSLLHGKTQTNACHFLCQKRTKRYCSHFPRVSEFVKNNPGLGDEPIDIEDLVNIGKSSGPKALTPHSKCRCPYYMSRELHKVVDILFAPYNYLIDPGNRKSLSIEWDNSVLIFDEAHNLEGICADAASFDLPSGLLTSCISEAQNCVDLAVARRDESNDKSYNPDNFAILRGILSFVYDRNERILLFFSPALLLKLEKRIAEVPIDSKELGFTKPGPYIYELLGDLNITHKTANMLLDTIEEATVLLEEDAKTTASQKTKGTVCRLESIGDILKLIFKDDGNAHAKHYRVSLDLVHVQEVEASSSDAFKGKQESTSVAFGDAGKASRIFSWWCFNPGVAMEEFSRRGVRSIVLTSGTLSPMDSFAEELKLAFPVRLENPHVISSNQLWAGIVPVGPSGHPFNSSYRTRDSAEYKLNLGNSIGKYGDFYEQTNYQLAHVISLVLLATFLHIDFPEAMDPHCMIAHDKCTNTCLLVLSDKLAPCFQSVTSTNSSTIWERICKHKLPVVEPRQSSLFPQAIDDYMAKLRDTSSTGAVFFAVCRGKVSEGLDFADHAGRAVIVTGIPFALRNDPKVRLKREFLDQQVSQRKGSKEKSGIANKHHGLLIKLLDVLFDIVMIMGQSFFVMKGSCYSKFGDVVFTLTRFFRDAAITCPKKQKLTQIEESAADKCCSVGSSSMADVKRGSLSCKLSEILPANRSNISLNTQKQRPILKHTNNQLSEEKLFPGKAHVQGVKPEVVDLAGDALLDEESSSQLTAPYFLKKRRLGNAKHDSLLQRCESSYDGTNESIPHGKFSSSPQYVKSGNKEVVQECSTLQVKNEMATQKRSTEFVSSETRSAKSTCSPCDDEEKKGSTFLIQVREKLSDGEYKEFVGFMKALKSKAMKIGHI >KVI06029 pep supercontig:CcrdV1:scaffold_35:91783:95082:1 gene:Ccrd_015639 transcript:KVI06029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formylmethionine deformylase MAVIRRMWQCLSSKPLAGECFRLGRSNPFHHTRIPIAGSRHKPILNPGCPQSKPTINVNFITTSRGFSSPTARTGAGWLMGLGNKKKIIGLPAIVKAGDPVLHEPAREVSIEEIGSDRIQKIIDDMVQVMRKRPGVGLAAPQIGIPLKIIVLEDTKEYIAHVPEEENKAQDRRPFDLLVILNPKLKKKGNKSALFFEGCLRAMVERFLEVEVRGLDRDGKPLKVDACGWQARILQHECDHLDGTLYVDKMVKRTFRTIDNLDLPLANSCPKQGVH >KVI05980 pep supercontig:CcrdV1:scaffold_35:207718:211905:1 gene:Ccrd_015654 transcript:KVI05980 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier protein MEAVIQTKGLLSFPSNPKPKSFFNQPQSGLRHRFNLPNSLKPKSTNGLSLNLDGFTKFRSFTTNPSLVCGRKTKTFHVCKASAAAAANAVPGGDGLESAKFMGVEVVTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAQGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVILPFIAFFGAFGFVFYPLSNLIHPTALADRLLEFLGPRFLGPLAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNMRKHLGPGVDGWAISLKYMMSIVVLMGFAICGLYWWVNTYVPLPTRSKKKKEKPNMTTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGITTFTMMLLSQWIFNKYGWGVAAKITPTVLLLTGIGFFSLILFGDPLGPGLMTFGITPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAARSLDKQFTALRREEELEKEMERAAVKIPVVSTDGDDNRSLGSSPETSFPRNS >KVI05968 pep supercontig:CcrdV1:scaffold_35:328342:345388:-1 gene:Ccrd_015664 transcript:KVI05968 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase A, auxiliary subunit MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPEHGETLSMKGLTLNCMDRKPEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLGGFVETRQQLLTLKPNHRMNWIGFAVSHHLNSNASKAIDILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGSLEKALQELRKKEFKIVDKLSYKEEEVSLLVKLNRLEEGEKLYRVLLAMNPDNYRYYEGLQMCVGLHSKIGQYSPDEIDKLDALYKSLGQQYTWSSAVKRIPLDFLEDVKFRDAADNYVRPLLTKGVPSLFSDLSPLYDHPGKASILERLLLDLEESLKTTGGYPGRSEKEPPSTLLWTLFYLAQHYDRRGLYDIALAKIDEAMQHTPTVIDLYSVKSKILKHGGDFAAAAALADEARCMDLADRYSSTEEDDELAKLPASQKKKLRQKQRKAEARAKKEAEVKGEEANVGGVSKSGKRHVKPVDPDPHGEKLLQTEDPLMEAGKYLKLLQKHSSDFLETHLLSFEVNMRKQKILLALQALKQLQRLDAGKPDSHRCLLRFFHKVASRPAPATDDSLMHRAAVAEMSYCLEPNKKGEAIKLIEESPNNLVNGSLREWKLKDCVAVHKLLVSNFDDQDAALRWKSRCAGYFPYSSYFEGRHCSANRDSKQAHTENGSVEFLPSNGKVEKLEALKNLAI >KVI06004 pep supercontig:CcrdV1:scaffold_35:550441:551307:-1 gene:Ccrd_015679 transcript:KVI06004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDDESAVTIYSNTTVSNLGNKKDQNPFSVKVGLAQRLSGGAIIHVTDVDQAKIAESAGASCVIVSNPSPPTSAISRMLDPAVIKQIQESVPIPVMAKARVGHFVEAQILEAVGVDYIDENELLAAADDSNFINKHNFRVPFVCGCRDLGEGLRRVREGAAMIRTQGDQSGSGNIVDTVRNVRELMGKVRVLTNMDEDEIFTFAKELGAPYDILAQTKQMGRLPVVHFASGGILTPADAALMMQLGCDGVFIDSAIFNCSDPYKHVRAIVQAVRNYNDAHMLAEAVN >KVI06028 pep supercontig:CcrdV1:scaffold_35:19143:20577:1 gene:Ccrd_015632 transcript:KVI06028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific 3 MRNDANRFRRKMMKAVGFILLFALISISSQARSIIQNPQPNPIFKPKTNSTNHQNARTCSFTVEITTSCSSVRYTRDQISISFGDAYGNQVYAPRIDDPSIGTFERCSGDTFEIYGPCTYQICYLYLYRSGYDGWKPERVDVYGYNTRAVSFYYNVWIPADIWYGFDYCSSYAMR >KVI06019 pep supercontig:CcrdV1:scaffold_35:102326:104147:-1 gene:Ccrd_015642 transcript:KVI06019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MENQFPKRPRSSEPLPNRRTQCKTEPCLRFRRGTYDHGDRCCFVHGNGDLRTVDGRNELIGADESSRVKHWDENQNPRCSDKTKLCWRFMNGEKCQFGDRCHFNHIRTERKSVAISIVNVAGNGQMQQHKQTPWKTRLCNRWMSTGSCAFGLKCCFAHGESELQKRGSNDAQDYADGEPCKWVDMTCEKKVDGKRWEFKWKDVEKIGRIYADWINDTSLVHVENGNVAS >KVI05975 pep supercontig:CcrdV1:scaffold_35:274709:276319:-1 gene:Ccrd_015659 transcript:KVI05975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MGSEAPFQLPTVDFSDLYKQDSDSLIWDSAKTKALQALQEYGCFEATFAQISSDLQESVFDGLEQLFNLPLETKQGNTSDRDFHGYIGQIPFMPLYESMGIDAPYIPEKVDKFTSLMKSIQTYSKKLWELDEMVKMMVFEGLDLEKYLDEHLEATNYHLKVMKYRAADPSESTMGLDSHADTSILTILHQNGIQGLEIRTKDGDWLTVNVSPNSFVTRLSVGLFSLPKIGSLVKPPKEMVDEEYPLLFKPFDYGEFMDYFCMAGVKKDTYSLKAYCGVSNS >KVH98594 pep supercontig:CcrdV1:scaffold_350:81950:89986:-1 gene:Ccrd_023183 transcript:KVH98594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 2, hinge domain-containing protein MAAAIVMAGALGGAQTNTNTSQNGVQSQPVLGNDPLTLHLAKMPKGQLAEVLTELKTIATQNKEQARQLLLAHPQLSKAVLQAHIMLKSVPPHMLQMPNTRQIPGPHAHPSLQGSSLGQQSSAQALPGLPPLAQNKMQLGFTPQSQSQPSSGHPVSLIPSQYAAPSLSLQPRFQTPQPAQHQVLQHSTLSGLPTGGNLQPLHLQQPVSLSNRAENQMATSSSMQPSLLQYHGQNASANLGHNTHVVAPVQSSIPTHPLLQDNVFKPSSSIGSATLNNGNKDHDKPPHLLHNPAWGHKVEHHPNMASRLNDKDQISHPPKLLKMEDGRGSTFSPADLNVSASVMGSSQAVPLSGNQILKAGTMDSQKQNHELPVGIESALLQQVLNLTPEQLSSLPPEQQQQVIQLQQMLRQSS >KVH98593 pep supercontig:CcrdV1:scaffold_350:93811:96535:-1 gene:Ccrd_023182 transcript:KVH98593 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-actin capping protein, beta subunit, conserved site-containing protein MEAAMGLMRRIPPKHTETALSALISLLPTYSSDLLSQVDQPLQVLCDVDNGREFLLCDYNRDADSYRSPWSNKYHPPLEGGNYPSLELRTLEIEANDVFTVYRDQYYEGGASSVYLWEDDDSEGFVACFLIKKDGSKYAHGRRGYLNEGGWDAIHVIQVGPDVEGMADYCLTSTVMLSMTTDHETSGTFNLSGSIRRQMKAELSVADGHLCNMGKMIEELEGKLRYSLDQVYFGKTKEMIWTLRPPTDLPSRRLP >KVH98590 pep supercontig:CcrdV1:scaffold_350:6651:8886:1 gene:Ccrd_023187 transcript:KVH98590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MNMHVLVLGLLVILGFIQSQAALVQYTFVVQENNYTRLCNSKNILTVNGQYPGPTISARRGDTVIVDVINQATQNITIHCQKIILSDEEGTLWWHAHSDWSRATVQGDWWKNDIQTVMEDFLRTGGDPAPSDALTINGQXGDQYNCSRPGTTIVNVDQGNTYLLRMVNAAMNNIMFFAIDNHQVTVVGTDGAYTKPLKSNYVTISPGQTLDLLLEANQPHNRYYMAAKFYNSNPRSLFDRSTTTAFIKYNGNYTPSPSPALPVLPAFNDRNASAGFTSSLRSLASRDHPIDVPLKITRKLLYTLSINTLPCASGTTCAGPQXTRFRASINNITFDTPRTSILGAYYRGMNGVYGDDFPDNPPFIFNYTADSLNTSLXTPMNGTEVKILKYNDTVELVFQGTNVVSGIDHPMHLHGHSFYVVGSGFGNFDRQRDPLNYNLVDPPLQQTIAVPQNGWTAIRFRANNPGVWFMHCHFERHVSWGMEMVFIVRNGKSGDARILPPPPDMPKC >KVH98598 pep supercontig:CcrdV1:scaffold_350:265027:271921:1 gene:Ccrd_023172 transcript:KVH98598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MSLKNWRFQTVLWNTKNLGGSVKNRMQSATPTITLRLNVRISVGLLAMFLLKAWRSTAFGVYGYLNFTKSGFLGHSKNFNPEDMSTRIDGKNCIVTGANSGIGYATAHGLASRGATVYIVCRNKERGEAALSEIQSTTGNQNVHLEICDLSSVNDIQSFSSRFKAKEIPIHVLVNNAGLLENSRVTTSEGYELNFAVNVLGTYGITELMLPLLEKSQPDARVITVSSGGMYTAPLTTDLQFKGEKFDGVEQYARNKRVQVALTEKWAEKYSNKGIGFYTMHPGWAETPGVTKSLPSFSKSLEGKLRTSEEGADTVIWLALQPKEKLVSGGFYFDRAEVPKHLAFAATKSSHDAIDSIVATLDSFGNFSI >KVH98597 pep supercontig:CcrdV1:scaffold_350:259640:260107:-1 gene:Ccrd_023173 transcript:KVH98597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRSHISLCKLLSRSPFARSSKPTSLIGFKAITTKSFHLFSNPTTFPPSNSSFEKAPYAQFKIYRSYTVETDKVVDEINLKFAEAREEIEMAMESKETVYFNEEAECARAVVKEVLDMYEGLLSKLPEKERGGIQRSMGLKIEQLKAELGQLNE >KVH98601 pep supercontig:CcrdV1:scaffold_350:224090:232061:1 gene:Ccrd_023175 transcript:KVH98601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILSRSTRQQSMHSATAATCEMLKNIFLDVKKKFETAVGVLRKEKITLAPEDPAAVDQYAKVMKTVREKAGLYSESERIQFTIKSRTDGIQDARSYLLVLKDIRIKRGLTDELGAETMMMNALDKVEKEIKKPLMRNDKKGMALLNEEFNKINQKLGIRKEDLPKYEEQLEKKIAKAQLEELKKDALEAMETQKKREEFNDEEMPEVKSLDIRNML >KVH98603 pep supercontig:CcrdV1:scaffold_350:163043:167145:1 gene:Ccrd_023179 transcript:KVH98603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGYMSNEHKEQLHAASESADPSSVSPLRISTSPKSPKLRYGKESPIKGSPTKGISPKKNDRHSHSGTDGRPKKGGCGGKGTWGGLLDMDDNHFIDSNDPNYSSSEENDKSVRKVCPRFEEYKKKAAIIVEEYFATDDVVSTANELKDLEMASYSYYFVKKLISMAMDRHDKEKEMAAVLLSSLYADVIDPRQVYKGFRKLVESADDLIVDIPDTVDVLALFIARAVVDDILPPAFLKKEMDALPSGSKGIDVIKRAEKGYLSAPLHAEIIERRWGGSRNKTVEDVKGKIDNLLVEYAVSGDKKEALRCINDLKVPFFHHEIVKRAIIMAMERKKAEGKLLDLLKTASEECLINSSQISKGFTRIIDTIDDLSLDIPNAKELLQSLISKAASEGWLCASSLKAVSFQPEKKLVEDSIVRAFKAKAQSIIQEYFLTGDVLEVNSCLESENLSSIPELKAIFVKRLIMLAMDRKKREKEMASVLLSSLYFPADDVVNGFTMLIESADDIALDIPVVVEDLAMFLARAVVDDVLAPLHLEEIGGDSLRPDSVGNQVLKMARSLLNARLSGERILRCWGGGGSYTNGWAIEDVKDQIGKLLEEFESGGDTREACRCITELGMPFFHHEVVKKSLVTIIEKKNDRLWKLLDECFNMGLITPTQMTKGFGRVAESLDDLSLDVPDAKQQFGALVERARAHGWLDSWFSFSKGPHPMENGFHS >KVH98602 pep supercontig:CcrdV1:scaffold_350:252894:256945:1 gene:Ccrd_023174 transcript:KVH98602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMSVTRALTRMVCPSTFAPVNGFVNSSLYVGDLDGNVTEGQLFDLFSTVGRVASVRVCCDREKGVSLGYGYVNYNNAQDAKKAQELLNFTRINGKQIRIMFSNRDPSTRKSGIGNVFVKNLDSSIDNKFLYDTFAVFGTVLTCKVAVNSNGVSKGFGFVAFSKPDEAKRAAHFGPSWVHPGAPNLAPQPLYLGQGGPRLLPRPGYGIMTGVHSSNFLMIPYVPLRRGRSENHSAPISPPSPHAMSRQPMPDGPSQLFRHVFSGRFVADNRMVEALMDNDVFQVPFDIASSSSQVNLQLSGPIDHRGKFASVLAFATPQNQRWILSEHLFPLVEQIERENAAKVTEMLLDSMDLTEVIHLIESPEALKTKVAEAMETIISRVEF >KVH98589 pep supercontig:CcrdV1:scaffold_350:15084:17401:-1 gene:Ccrd_023186 transcript:KVH98589 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MATTISYLLRREDMSWWAVTHPLILQASNLNVTFVFFYVVIAFSAFGIVAWTLSCHGGPAWKNGRNRVGCVSIPSPTGLPIFGSLLSLVHGLPHRTLASMARTSQAATQLMAISIGNTATVVASDPQTAREILTSQHFANRPIKQSAKLLLLNRAIGFAPDGPYWRQLRKIASSHLLAPKHIFAHEPTRRLECTSMLNGIAKEQSLNGFVVLRKHLQTASLNNIMEIVFGKSYNKAGDVDESMELQEMVTEGFELLGAFNWSDHLPWLKYFYDPLCINHRCKALVPRVKIFVQKIINEHKLRGSVDLHEGSDFVDVLLSLDGEEKLSEDDMVAILWEMVFRGTDTIALLTEWVMAELVLNKGIQSKLRIELDNVMGDKSVNDAEMAKLPYLQAVIKEALRLHPPGPLLSWARLSTSDVHLTNGMVIPSNTTAMVNMWAITHNSDVWDNPFAFEPERFLLSAGGSKVDIRGRDLRLAPFGAGRRVCPGMNLGMVTVSRWVAMLVNRFDWVQDMARPVDLTEVLKLSCEMKEPLYAKVVSRNDHVSSMM >KVH98592 pep supercontig:CcrdV1:scaffold_350:39896:51495:-1 gene:Ccrd_023185 transcript:KVH98592 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MAETRIRFGILGCANIARKVSRAMLLSPNTTISAIGSRSLDKATAFASENHFPESTKVYGSYDAVLEDPDVDAIYIPLPTSLHLRWAVLAAEKKKHVLLEKPLALNVGELDTILKACESSGVQFMDGTMWMHHPRTAKMKEVHSTFTYVGEGDFQKNDVRVNPNLDSLGALGDTGWYSIRAILWAHGYELPKTVIALRDPEYNESGVILSCGASLKWEDNGKVATFYCSFLSNLCMDIIALGTKGSIRVHDFVIPFNEKVGPFYAVANSKWAELSLGCEPEPSEFRIPTIFPQEALMVQEFARLVEGIRSGEAKPDKKWSIISRKTQVVIDAVIASINNETLIRFGILGCANIARKVSRAMLLSPNTTISAIGSRSLDKATAFASENHFPESTKVYGSYDAVLDDPDVDAVYIPLPTSLHLRWAVLAAEKKKHVLLEKPLALNVGELDTILKACESSGVQFMDGTMWMHHPRTAKMKEVHSTFTYVGEGDFQKNDVRVNPNLDSLGALGDAGWYSIRAILWAHDYELPKTVTALRDPEYNESGVILSCGASLKWEDNGKVATFYCSFLSNLCMDIIALGTKGNLRVHDFVIPFKEKVGHFYAVANSKWAELSLGCEPEPSEFQMPTVLPQEALMVQEFARLVDGIRIGKKKPEKKWPIISRKTQVVIDAVIASIKNGFEPIEIVY >KVH98604 pep supercontig:CcrdV1:scaffold_350:172374:186597:-1 gene:Ccrd_023178 transcript:KVH98604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4 domain-containing protein MGANIRSISNQRAVVLHNSETKSSTQGLKPLLAALLTVCSAAPPPCFAVSPRLFHHSSLRLLHTLGTSYKLHWSNHQDVVHQSIHTLSTALRCHDIGGKLSSMDYPIFRQGFMVLLLFAAPYCRNFSISSSCQEVISGLLQDLMIPVTNFNNEDKGFMMLAGDVFDMPIRKDIIHRVVRWQLAKRQQPRSHAFKLNKKVRRLGLKIALSARAAEGKASLFPRVLLVFDDLELPTHKTKNIVSYMKQMEETKKLLLVDGGPIDEKLKLATSNLHYVNVLPSIGLNVYSILLHDTLVMSRDAVNKIVERMQTPINR >KVH98591 pep supercontig:CcrdV1:scaffold_350:75596:77810:-1 gene:Ccrd_023184 transcript:KVH98591 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MAETPIRFGILGCAEIARKVSRAISLAPNAALYAVGSRSLEKATKFAASNGFPESAKIYGSYDAVLDDPNVDAVYIPLPTSLHLKWATLAAEKKKHILLEKPVALNVGEFDKIIEACESNGVQLMDSTMWMHNPRTAEMKKFISDPEKFGELRSVNAIFTFAADSDFLENDIRVKPDLDALGALGDAGWYCVRSILWANDFQLPKFVKALPGTLFNKAGVIMSCGASLHWEDGKVATFHCSFFSNLTMNVTVTGTKGTLHLNDYVIPFEEKQGSFMTATESGFTEFVTGWVPLPSQHTVMTGLPQEARMVSEFSRLVNSIKKDGSVGEKKWPSYSRKTQFVLDAVKTSIDKDGESVSLS >KVH98596 pep supercontig:CcrdV1:scaffold_350:108505:115001:-1 gene:Ccrd_023181 transcript:KVH98596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF647 MQSALHISTSKSAFNLGSQWERRNKVQTFLPFKQNLCIRRISELPNSLTTSIHFEFEEEKLRKELEPTSPTLLPVVIRQSDGVSQYFWDGNAIKLVSVDGKPIYYDNFDDLRDGCRRLFRSCSLGVRNFLLPREINENYLEYVKWKFLHRVFSSALQVLATQAMFRAIGIGYARSLPSAAALSWVLKDGLGRLSRCIYTASLASAFDTNLKRVRFSTSVVFSLSIGLELMTPAFPQYFLFLASIANIAKQISLACYLATGTAVHRSFAVADNLGEVSAKAQIQTVCFDNLGLMLAATLNIMLKNNQRLQAGLPFVIYPIFTAIDLYGIYQSLKHVHLQTLTKDRLEMIIDTWIQLGYVPSPAEVSKREGINFFSRKGKQKWLIRIGCLNPKGQIPKLSMMAMQSLSKDDFYFVCTEIGFHGFQRHEGILLCLREGASTADIITGLLQACYIRERLPYGMKKRMEILEGCDDSHLGIINWFKLADECKQYAKGDVKLLKEKMVELGWTCKNILLSTDEQTRFSFVDD >KVH98595 pep supercontig:CcrdV1:scaffold_350:120857:144908:-1 gene:Ccrd_023180 transcript:KVH98595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MDDNQSELIMELRSKLSSFEQKVKELESENARLASLVLSCSCHKVEENFNTNFTDSNTFSDKLEKLKLGNCVGNGKRKPRKRLSGYNLNSMNHHSQRFVALKIMYFGQRFYGFASEAQMDPTIESELFKALEKTRLVLSDKKDLQYSRCGRTDKGVSSVGQVVSLLLRSKRKEHGGNTYEELVDKEGELDYVRILNGVLPDDIRVIGWSPAPVNFSARFSCLSREYIYFFWREHLNILAMQSSCKRLIGEHDFRNFCKMDAANVHNYKRRITSFDICPCNGSDELMLLKVKGSAFLWHQVRCMVAVLFLIGQGLESPDVIDALLDVEKTPRKPQYKMAPEIPLVLQSCEFEGLKFICSSDARRALQLHLEKESQTYKLQAAIFHEALTSLSSTEDDAGATSNKIKKKFSSHVPLMSRPTE >KVH98599 pep supercontig:CcrdV1:scaffold_350:186630:194845:1 gene:Ccrd_023177 transcript:KVH98599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MSFRKRTLLKVIVLGDSGYVHKRFSQQYKATIGADFVTKELQIDDKIVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMRSFDTLDNWHEEFLKQANPSDPSSFPFILLGNKIDIDGGNSRVVSEKKALDWCTFKENIPYYETSAKEDYNVDAAFLCIAKSAMANEHEQDLYFQGVPDAVTETEQRGGCAC >KVH98600 pep supercontig:CcrdV1:scaffold_350:212738:217149:1 gene:Ccrd_023176 transcript:KVH98600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAAYHSINFYLPGRRGSTKTCAWTNIRNKNQSQFSQRLKLIKGVENVHNLGSFGQTRLFLDPNSLPVHETRVSTIPDDGHSPSVCVSEDLADTNDKPFQEFEPFFSKWSPPRYLWRGLSVFILAGQVIMRILNGKIHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRAVGGVLALAFTRELSPVITSIIVAGRIGSAFAAELGTMQVSEQIDTLRVLGTDPVDYLVTPRVIASCVALPFLTLICFTVGMASSALLADGVYGISINIILDSAQRALRSWDIVSAMIKSQVFGGIISVVSCAWGVTTLGGAKGVGESTTSAVVLSLVGIFIADFVLSYCFFQGAGDSLKNL >KVI00608 pep supercontig:CcrdV1:scaffold_3502:24577:27665:-1 gene:Ccrd_021141 transcript:KVI00608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MQPNFQTIKGRWRQGGKKKSKGGNCSGAVGRNAKPFSKSIRTNPMKGGARRKTISSELPSPQQHSFQSAINDQGNDQVEYDERMREQEHQQLLNDGEDGGNQYDEEEGEDCEEDDQSGDGDIHGGADEGEHNGDEERPKLAEGFYEIEAVRRKRIRKGETQYLIKWRGWPEAANTWEPVENLVSCSDFIDAFEESTRSGKQRSNRKRRRKHSVTPTPQSKKKQKQQSQESSPAATYDVPSVKITIIEEPLSFPSVHNSNFCDGTENNVGGTSNNGAANHSNDTRSLMVSPQIGERKARNKLDANLNELKVASSSNQESMSEFAIHIQEDRPTEGDSGVEIGPANGILKADGAEPLRASPRIGARRRKCGAVKRFKQEPDSVLRNDASGNTTRGSVVVVDAEIKNINDLDCMNIVDAPRSISTISKIIKPVNYSTSILNDTEEVSVSFLVRRFVDLSDGEEVVVDNKYLKENNPILLINFYEQHLRYNSPSE >KVI00606 pep supercontig:CcrdV1:scaffold_3502:37358:54103:-1 gene:Ccrd_021142 transcript:KVI00606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLYVVSGLRSKLTKLHKTLDKIFDDIFEEHKGKRRDGKEAWEEDLLEVLLKIKEEGGLEFPITNNNIKAIFVDIFAGGTDTSSITIEWAMTEMIRHPSVMEKVQHEVREAFQGKRKIRELDIQSLSYLQCVIKETLRLHPPIPMLLPRVCREQCKIGGYDIPIKMKVMINAWACSTDPEFWEDPDSFRPERFETTSVDFKGTHYHYIPFGSGRRMCPGITFGLASIELFLAQMLYNFDWKLADGSNPLDIDMMEGEGVLAAKKSEFAAAAMVTKMAFSLIVVTTSTCCLIIIFLYFMLINKRAKAQNLPPGPPKLPLIGNIHQLAGLLPHRAFRDLARKHGPIMHVQLGSISAVIISSPRLAKEVLKTHDVALADRPKTFGSELVLYGTTDVALSPYGDYWRQMKRICSLELLSAKRVQSYAMIREHELDGFMEFLRLSSGKPINIHKTITKLINNVVCIASFGKNCKQQHALLEFLDEFARVNSGFYLADLFPDFKFLYVVSGLRSKMMKLHKTIDKIFDDILEEHTGKRRDGEAGEEDLLEVLLKIKEEGGLEFPITNNNIKAIFVDIFGGGTDTSSITIEWAMTEMIRHPSVMEKVQHEVREAFQGKRKIRELDIQSLSYLHCVIKETLRLHPPIPMLLPRVCREQCKIGGYDIPIKMKVMINAWACSTDPEFWEDPDSFRPERFETTSVDFKGTHYQYIPFGSGRRMCPGITFGLASIELFLAQMLYNFDWKLADGSNPLDIDMMEGEGVFAAKKVHLHLIPTPYAPDN >KVI00607 pep supercontig:CcrdV1:scaffold_3502:54872:55570:-1 gene:Ccrd_021143 transcript:KVI00607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MADTKAVTIRKRKFTTNRLLSMKQFVIDVLHPGRPNVSKAELKEKLGRIYDVKDPNSIFVFKFKTHFDILF >KVH87406 pep supercontig:CcrdV1:scaffold_3504:58007:58562:1 gene:Ccrd_025363 transcript:KVH87406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKQASRLAPSFHYCASRPGRARERGKGEAGLKE >KVI11732 pep supercontig:CcrdV1:scaffold_3506:19672:25044:-1 gene:Ccrd_009857 transcript:KVI11732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MDVCESNQALETRRPPSFPEKNNGRIHQPLTREVSSRYKSPTPRRFPSPNTTRTATTSAPAPKRAVSAGRRPPATPPSPPSPSTPIHDTSVYTELAARKTNGSKLPESLWPSRMRSLSVAFQSNTFSMPISKKEKPPPQALYDRTLKPSSNVAHKLPVASPTYRKATPERKRSPLKGKNAADQSENSKPLDGLHARLVDQHRWPSRIGSNVLSKSIDLSDTSIKKFITPNPGSLRRMSLPNDTNNPSQKLKGDAARLLSPLPHNPQRISKLSSSEKNGLVTAAPNNSSGLVSRGVSPSRTRALISAPSRGVSPNHMRSSSPSRQLSNSSTVSVLTFIADIKKGKKVANRIEDAHHLRLLYNRQVQWRFVNASAEAALSYQQVTAEKSLVNAQRTISKLHDSVTAKRIHIYQLKLQLKLYLALNQQITYLNQWSSMEREHNFALHGATEDLQASTLRLPVTGCATLEGTNWLVSELATVAAQERALFDEFEALMASASSLQEEEYSLRTHLVQLKQASQSV >KVI11730 pep supercontig:CcrdV1:scaffold_3506:3886:9865:1 gene:Ccrd_009855 transcript:KVI11730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 MPLPMCCRNLMARVTTSSIIPPFKHPTSVSSLQSKSSSLRLQFPNLYVTCGSRITCRYGGGGGSSRQEDSRRSQQASFDDDPALDISTISRQLEQPARNKYSRVLPLGPASVARTCKDPIRVRSNTVRFIDDQQNMVGIVSKSAAIQMAEDAELDLVILSPDADPPVVNKYKYEQQKKKREQQKKNAASRMDQKELKMGYNIDVHDYSVRLRAAQKFLKDGDKVKVIVNLKGRENEFRNNAVELLHRFRDDIGELGIEESKNFKDRNMFMVLIPNKVVVQKEPPKKKGKSTGTELSASV >KVI11731 pep supercontig:CcrdV1:scaffold_3506:14436:19191:1 gene:Ccrd_009856 transcript:KVI11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASAGLVAGSHNRNELVVIHGHEEPKPLKDLNGQVCEICGDEIGLTVDGDLFVACNECGFPVCRPCYEYERREGSQNCPQCKTRYKRLRGSPRVEGDDDEEDVDDIEHEFKIDDDEQNKNKNIAEAMLHGKMSYGRGPADQDDHDNNAQYPPVISGRSRPVSGEFPISSQPHGDQMLSSLHKRVHPYTAPEFGSGRWDEKKDGGWKERMDDWKMQQGNLGTDHIDDSADPDMAMLDEARQPLSRKVPIASSKINPYRMVIVARLFILAIFLRYRLLNPVHDAFGLWLTSVICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLCPVDIFVSTVDPLKEPPLVTANTVLSILAMDYPVDKISCYISDDGASMLTFESLSETAEFSRKWVPFCKKFTIEPRAPEMYFSDKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKALKAPAEGWIMQDGTPWPGNNTKDHPGMIQVFLGQSGGTDVEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYLNNSKAAREAMCFLMDPQIGRKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYDPPKGPKRPKMVSCDCCPCFGRRKKIQKFEKNASGGDLENIQGYEDDKELLKSQMNFEKKFGQSAIFVTSTLMVDGGVPPSSSPASLLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPVWYGYKGGNLKWLERFAYVNTTISTLASLFFIALFLSIFTTGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKILAGIDTNFTVTSKASDDEDFGELYAFKWTTLLIPPTTILIINMVGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVIWSILLASIFSLLWVRIDPFVLKTKGPDVKQCGLNC >KVI06852 pep supercontig:CcrdV1:scaffold_3507:17929:35234:1 gene:Ccrd_014792 transcript:KVI06852 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAAGSKQFSSSPKSTTSLAMAITTLADIHPHIIQTHILPRLDGPSLSTAAAASSYLQALCSDDHLWAHVSNSTWPSINHPHLHHLISSFPTGYRSFFDDSFPALVTDVNHHNRPRTWSKSQSDCYLNLPSELISAIDIRYQNDVIFSRIEITDTTTDFLSPAFRMELNDPVPENFQSIDLKVDELAGADQETLSHLKESVTLNWILIDPTLKRAGNLSSIKAVSARQDWMTNETLLRYVTVLPGCEANEVVQCRIQVVLGAGKGGVGLHVKEVSLQLQDLDCCCLNGREFLVITQGAILEKNSVKRKVVDDDERREMYQKLKQLRKKRIEWVHPRKNVGIDKDHTIFFLIDGLVKVEKFRPDSEMFKIQ >KVH87405 pep supercontig:CcrdV1:scaffold_3508:33367:63544:-1 gene:Ccrd_025364 transcript:KVH87405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKVNSIFEHAIGVLSRKDDVNHTRDDRLWQVDVDMMDVLFTSLVEYLDLENAYNIFILNPKRDARRGKYGYRRGLSESEINLLKEDKSLQDKILRSGSITENPLAMDKIKRPLYEKHPMAKFSWTITEETDTVSSQIEPSKIKNIEWYNSCLNALNNVERIYQEKDVADIIQSKVIQLLNGKNEDMKLQLAKDLKSGDLSGLHPECLTDTWIGKDRWAFIDLSAGPFSWGPAVGGEGVRTELSLPNVEKTIGAVAEISEEEAEDHLQDAIQEKFAAFGDLDERMRDLKTELQSFESDESVESHKQKAIDALKRMESWNLFSDNTYEEFHNYTVARDTFLAHMGATLWGSMRHIISPSIADGAFHHYDKISFQLFFITQEKVRHIKYLPVDINAIMDGLSSLLLPSQKPMFSQHMLPLSEDPALAMAFSVARRAAAVPMLLVNGTFRRNVRSYLDSSILQHQLQRLGDHGSLKGSHAHSRSTLEVPVFWFIHGEPLLVDKHYQAKALSDMVIVVQSESSSWESHLQCNGQSLLWNLRRPIKAALAAVSEHLAGLLPLHFVYSQAHESAIEDWAWSVGCNPLSVTSQGWHISQFQSDTIARSYMLTTLEESIQNVNSAIHRLLMEPEQSFKVFQAHERQLVDKYNYVVSRWKRLLESYAMFVDYVNVTVSSLHQIHCTKQRKVKVELDLTTIPAFSVVLFVLWLVLKPRRPKAKIN >KVI11148 pep supercontig:CcrdV1:scaffold_351:152299:187410:1 gene:Ccrd_010444 transcript:KVI11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEYGKERQPLKANGNSSPAQQDEEQLLWDLWFSQQQQRNGEIAAVGLRFKRQQQQEEETATAGLRFTRQQQRNGEIAAVGLRFKRQQQQEEETASASLRFTRQQ >KVI11149 pep supercontig:CcrdV1:scaffold_351:94540:94776:1 gene:Ccrd_010443 transcript:KVI11149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTSWQKKTSFAILNIFKTKKAQADQEDAWDDSLKAYKVFPSDQDGVRWVAEPGIDKRASAYINSTTDRWSHLDVAD >KVH87403 pep supercontig:CcrdV1:scaffold_3513:25012:26927:1 gene:Ccrd_025366 transcript:KVH87403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSRSVYPHDHYLSYGVPDEIVENLEQFFPDNDGLSYEEVLLQQASMYQSFQERDMSNSVGTYDDGIQNWGRPVQDEGESSRHVGGLSQEAMDEALARSLQELEDGFEGVFISEHSGSASGGLRLYPICSLTLERRSIYKHVVITCCAGNTGSSIATPSRAEVASSDSGQNSIDPDNMQYEELVNLGESIGVENRGISGALLSRLPTSKYRSGLFSKLKKKEESCVICQMNYNSGDLLIMLPCSHRYHTKCITDWLMVKKLIHVGSNGNFLNFALRTALFVKRRWFKTLKK >KVH87404 pep supercontig:CcrdV1:scaffold_3513:43100:45173:1 gene:Ccrd_025367 transcript:KVH87404 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG domain-containing protein MMRMRTRATELTSMDRSSVPGDNEWEVRPGGMLVQKRDPDAEIDRIPPPTIRVRVKYGSIYHEININSQATFGELKKMLSGPTGLHHEDQKLMYKDKVRDSKSYLDVVGVKDRSKMVVVEDPISQEKRHLEMRKNAKMEKAAKSISDISLEVDRLAGQVSAIESVISKGGKVAEKTLLNLTELLMNQLLKLDGITVDGDVKLQRKMQVKRVQEYVETLDVLKLKNSTASHTGNHVQEHKDPTQPQSRRTIGNSSSTPVVDQHQPAVMVTTQWEIFDSLAAPTSTATIHNTVNPTFNWDLI >KVH87402 pep supercontig:CcrdV1:scaffold_3513:13408:15240:-1 gene:Ccrd_025365 transcript:KVH87402 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNKVIHTKMDHTTFLMSFSLFLMSSFVLFTIRTYLKSEKGLPPGPRPWPIIGNLHQVGKNPHVSTAILAEKHGSLISLRLGTQLLVVASSPEAATGILKTQDRFLSSRFIPNAFQSYLLPFALIWSADCDENWKSLRTLCKTHMFSTKALESQSSLRERKLGEMLDFLCSKKDEVVNIEEVVFTTMFNTLSNILFGKDFLDLRDRDGSASGLKEKLFKILANGLAPNVSDFFPMLDRWDLQGIKKEQLKHMKEIFSSWEDIINDRRLMVVVKEEEQCFLDQLLENGFSNDQINILALELFTASTDTTTSTIEWAMAELIKNKKAMFKLQEEIRNEIHSDTIFECELSKLSYLNACVKETLRLHPPTPFLLPHRAIQTCEVMNYTIPRGSQVLVNIWAIGRNPKYWEDPLSFKPERFLNSKLDFKGQDFEFLPFGAGRRMCPGLFMGINGVQSVLTYLILRFDWILPNDEDPLKIDMNEKFGVTLQKEKPLQLSFKHLK >KVH87401 pep supercontig:CcrdV1:scaffold_3517:44710:46196:1 gene:Ccrd_025370 transcript:KVH87401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIDAPAFYLGISPSKEDVIACAGISKAIDGHENVISTMPKRDLKLSFKLRSPYVTRAVTFKVSSDERKLQDWILRGIGGTLESVFMTIKGKTVTRQTMQSLVSQSMVSTEIIDVWSMVLNKKERLRSNESPRCYFVQTDISMDAIIRDEDLNVFFPVVEPLFYYVLVFNLKRPSIAILDSQTRDGKQYMMIMHLLKEGHGAWKVYAEMDQDHIKTRWQFRENTVDPGVMLMRHMETFFGGDVMKWECGLYKESTKQKRQLKDLRTKYCSKMLLNDENILRHLQYRM >KVH87399 pep supercontig:CcrdV1:scaffold_3517:21666:29534:-1 gene:Ccrd_025368 transcript:KVH87399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MILEGCEKETSPQKYDSSLGFRVILFEETLIPCSKRFRLILFEETLSIHHSGFNPSLSLSRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETYHLCSYVQSRSYRAPEVILGLPYDKKNDIWSLGCILAKLCTGNPNAGHTGDTWNMILFSFGSMLECISSPCEDDDSEEKLDSGEKFDATEVSSKEISGDYLSASKDHDLVMAPEEDSDSFES >KVH87400 pep supercontig:CcrdV1:scaffold_3517:37105:37472:-1 gene:Ccrd_025369 transcript:KVH87400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMNTGFLVAVRVQRQTQFGDEFGMINNRCSLPQAHSFTFQSSTVTYGCSNGAYYTNSTTRRTGSDGVCYVGFQLRFEEYKEADSXTGQAAHRXLRGIHDK >KVH87398 pep supercontig:CcrdV1:scaffold_3518:29896:34018:1 gene:Ccrd_025371 transcript:KVH87398 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase, subunit H/Rpb5 C-terminal MVKIRFLHMAANLAQMGCCQEVIIAAYNQTLEVMFSPQLPNFLRSRNAYARLERLRILLQEAELLLNVKDHILVLEHQLITAEEKKTLLARYTVKETQVAFSSTIFHFCS >KVH89281 pep supercontig:CcrdV1:scaffold_352:285995:287814:-1 gene:Ccrd_008737 transcript:KVH89281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGTVTRSLRSNKQETSVEQRIPVEIKKGPWKIEEDELLIKHVEEYGPRDWDLPLSKGHLQRTGKSCRLQWLNNYQPNLKKGDFPEIEKQMLFDL >KVH89276 pep supercontig:CcrdV1:scaffold_352:218291:261825:1 gene:Ccrd_008731 transcript:KVH89276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEPSQPTVHLRAIPPIRFLQSNGNSATARGSTDYNLLKKEVDFFILQYSIFKLRFSQPEPHTPEKHLVPKGHRLSKSKPSTHGPVCAWAAPRPSRGPLHRGTSRARRSSHHIWFVAAEHRQPMWLQEELQRAGRWLLCLWITEQMLEARLGQHEKALPKRSERCWLRYERRRLGVPSAGGVFSRIPSASRRSDLRSPICPSLIISEAIGLPPYGLCAKARPATLSLKSGQYIDKAAAASIPPLLWPRSPQEEKEGIWVCWVPSDESECLVISSQVGDALVAVSSDPEAAESLPTCPLLLNKGSLSSLEANAAVSCPSEPLAASVLKVKEKEEHSTHAGSRIIPEKELDLPDYNSKITDSNIKGVQDQSHEILDIDIFGHDFPSFAISFATAPAALANFPPFPSVISVLYMVVPKVISVEVDSSFLSTKIPSQLYKLLPKHTTFWILIGGSHWRASSRNWTYEFANYELGYELKFWILELRGIKNSHYFLDS >KVH89284 pep supercontig:CcrdV1:scaffold_352:52702:57351:1 gene:Ccrd_008729 transcript:KVH89284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYGSDSRWVEEKPTRQNGGMSDALSIAAHIGFPVLSPPSQEDKNVGHLTHVSEMEKKIETLARITAILKGAIQNKDRIIARLQQPHLSNFIPVEAEYQKQFVELIMNAASDYGTLTTSVSDLQWAQNFKEPPSVWGLRTYSSQLPSEYHSRITSPIDSECVSPCSSRTGLSSDDLDLRSVINQDVEKQQEEDDKYTDVDDINDIRLAWPS >KVH89278 pep supercontig:CcrdV1:scaffold_352:260947:264188:-1 gene:Ccrd_008733 transcript:KVH89278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22, bacterial/chloroplast-type MGTIKNRQSRFPLLEVRMLPAFGAKSWEGILVDKKEESTSTDMTLGTTIYNTEITLGKGGKLARAAGAVAKLIAKEGKSWPNISMSAHKVRRVIDQIRGRSYEETLIILELMPYRACYPIFKLVYSTATNASLNMGSNETNLVINKAEVNEGTTVKRLKPRARGRSYPIQNLLSI >KVH89280 pep supercontig:CcrdV1:scaffold_352:281202:285987:-1 gene:Ccrd_008736 transcript:KVH89280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein METNGQKLPHICREDRFIMLRIFAIIIKLKWPDFTRKGPWKIEEDELLIKHVEEYGPRDWDLPLSKGHLQRTGKSCRKYGNKWAKNATYLTGRSVYHVKNVWYNHQTKMARFYKGKTECLKFSSCPDKKRKRKRNRKRKKC >KVH89285 pep supercontig:CcrdV1:scaffold_352:15080:20266:-1 gene:Ccrd_008728 transcript:KVH89285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MDPGQRTHQNVVVMRHADRIDNFEPLWTEKAARPWDPPLVFEGKVRAFCTGKKFNNLTFPIHRVFVSPFLRCLQTASEVIHALCAVNDDVTHKTSADGVVIDPSKLKVVSVEYGLCEMLNKRAIRAENAPKDGDFAFNISECEAVLPEGSVDSSVERVYKELPKWEESIESARDRYKNIIKTLADKYPTENLLLVTHGEGVGVSVSAYAQENLRVCEVDYCAYSVLQRTISSEDYESLTAGKFELCATLGQNGIYFEPIKAAADEVVKEPEPPIS >KVH89282 pep supercontig:CcrdV1:scaffold_352:272549:273155:-1 gene:Ccrd_008734 transcript:KVH89282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MDDDQNNNLNTSTSIAQVKSYSDHLLMHHSRQLFKVLNATMAEFPALNGQSFSYAVLQFPNGTINPLHTHPRSSELLFVLMGSLEVGSIDTTNKLNAKDPAFALSAFGSASPGTGSVPNSVFNTTINDQVMALSFKTDVAMPTVHKIKSGFSS >KVH89277 pep supercontig:CcrdV1:scaffold_352:227328:237113:-1 gene:Ccrd_008732 transcript:KVH89277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MPTMDATNTNKEESRIPLMANNNDVRKRQITPPLFPSVPALNGAASYLAETTSYLTSCFPAIPDDAARGSDGQDTAALASKELREPLLSNNGHVGSDSAASGSLLTATNASPTCEEITRHSDSQNNMAIVQSDQTRQSGISMFQGTIPTLLAQLVKHEDGISLVERVRRTMHGSSDDIGWLQRAPEMPPVEDGTERFNNILDNIRHGIHVLPNSVVYLLVPVEKNAREIKEYVEEMYWGSNKRVLLLGHSKGGIDAAAALSMYWPDLRDKVAGLALAQSPYGGSPIASDIMREGQIGDYFNVRKLMEILIYLTYEKRKEFLRKHHLPKELPVVSFHTEANISPTVLATLSRVAQAELPTFAPLSTNTTTTVLPVVVPLGATLAACAQLLQTRYGEKNDGLVTCRDAEVPGSVVVRPKRKLDHAWMVYSSTNDDPLEPDASQVCEALLTLVMEVGLRKKHYLSVKEE >KVH89279 pep supercontig:CcrdV1:scaffold_352:137462:138250:1 gene:Ccrd_008730 transcript:KVH89279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASQDNHFSSSTTTSSSSTTTTTTGCSSVSSIFTSDASTVQIITCGEIDNKSQKGLKRVQKGNDGNERKKQSRNDAGGGASEHLTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAAIAIKGHSAYLNFPKLAHLLPRPTTISPKDIREAANKAATTCGGHEPEPPSQPTLSHSHSSTTLSSNNTQKSITSTSIEYDDTFFDLPDLSVNNTDRNDTFHFYPPSWQLEVEADKGFRIEVEEPFHWHISH >KVH89283 pep supercontig:CcrdV1:scaffold_352:278608:279228:1 gene:Ccrd_008735 transcript:KVH89283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MAINSSTSPILLLALAMILAISRTAQANDPDILTDFITPNTSAVGASFFTYSGLNGIYNPYLKNFTVIKASMTEFPALNGQSVSYAVLQFPPAGVNPPHTHPRAAELLLVIMGTLEVGFVDTKNVLFNQTLKEGDMFVFPKGLVHFQYNKNHIQSVIAVSAFGSANAGLLSVPKSVFTTGIDDNVLAKSFKTDVPTVQKIKIGLKN >KVI11256 pep supercontig:CcrdV1:scaffold_3520:37431:50979:-1 gene:Ccrd_010336 transcript:KVI11256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MRVERREQRRTDTYREQTYRSVKDWPSTAEAECRLYLRLPSTLVAALSLPCSVDQTCTVCSTLLSSSSSTQAAVGSGGFGEEVEVAGEEEGEPDNISKPDRRSREAATYAFGSILEGPRVLLESIKDSPHVAEKVCGAIYYLAQGYEGFETXSSVLTPYVIDVITSLIATAERTDVNDSKLRSAAYETLNEVVRCSNLAETSQIITQLLPVIMTKLGQTIDLQILSSDDREKQGDLHALXCGVXQVIIQKLXSIDETKPVIFQAADQIMMLFLKVFACRSSIVHEEAMLDIGALAYATGPEFGKYMPEFYKYLEMGLQNFEEYQVCSISVGVVGDICRALDDKMLPYCDNIMTLLLKDLSSGDLHRSVKPPIFSCFGYVALAIGEHFEKYVPYAMPMMQGDAEVCAQIDINDEEMVEYGNQLKRSIFEAYSASLYDNKMEDESVVRAAVAVLGDLADALGSHVKIIRFL >KVI11304 pep supercontig:CcrdV1:scaffold_3521:49020:49751:1 gene:Ccrd_010287 transcript:KVI11304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVSNSQINFTEETAGGSDTDTISDDSPEYYEPISSGAIDDEDSSDQNSDNDLEPNFHCLQNGDARCVQNGMDSLDLSDEDDEEEEEDDRMRQAMQRAFREDESRRRAPLTPENTTRVMEAMRGISFRGLAPDWAGQVPEDRWINQLASIRQPPSSTAS >KVI00854 pep supercontig:CcrdV1:scaffold_3523:36183:36656:1 gene:Ccrd_020890 transcript:KVI00854 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MGGKGVFPPTTHLPTSCQITFPTSYSSSSRRWHSPLPISAASPPWTPYRLHLPISPSISIQSPPPQPPEYIQQQQLKNIIDTLIGAGDFTAWANILFNPNTNSSIPTTTTATLIPTTATMFVPGNDALTHLSATATGAYNFDPFIIPYHILPQRLTF >KVH87396 pep supercontig:CcrdV1:scaffold_3525:38211:43462:1 gene:Ccrd_025372 transcript:KVH87396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MGRHEEERLLLEGRLTEPEGSLYTGDGSVDVKGNPVLKSNTGTWRACPFILGNECCERLAYYGIATNLVSYLTKKLHQGNASAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAIFSTIYFIVMIIGMCTLTLSASVPSLEPVECVGAMCPPATPSQYAFFFIGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVTKGSFFNWFYFSINIGALVSSSLIVWIQDNRGWGLGFGIPALFMGVAIISFFLGTPLYRFQKPGGSPITRMCQVVVASFRKWSLPVPIDSSLLYETPDKECLDKAAVLSPIENSTGNYNNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMMMDTRIGSFIVPAASLSAFDVISVIFWVPVYDRILVPIARKFTNQGRGFTELQRMGIGLFLSVLCMSTAALVETKRLDIATSLGLIDQNTPVPISILWQIPQYFLLGAAEVFTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTLVTSITTRGGQLGWIPDNLNQGHLDRYFWVLAGLSFVNMVVYIFCAKLYKSKAAT >KVH87397 pep supercontig:CcrdV1:scaffold_3525:45505:46590:-1 gene:Ccrd_025374 transcript:KVH87397 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MATLLQILLLLLSIINRPTTTAAAYLPPSMPEEQDQAPPPYNLSTLLYTLGFHDLSVAATSSAINTANLTTIFTPTDVSLRSCPYCSLPLLLLEHSIPGLYPFRLLSNLALGTKIETLASTPTTALCLTLTKSTPENSTIDPTLFVGGVEITRPDLFNDGTIIIHGIQGFLAHLSPFSCQIERMTSLSFPSQPASSPPSTVMRTLLKDAMIRLRMSDYTVLALLIQENLDQLLQLNSMTIFSVDDAGVFGDGHTYVSNLRFHIVPNLRLMALGLMNLPSGSVLPTMEPGETLVVTVAGGEGLLSPMRINNIKVTGTNIVFNEGIVVHGIAAPFPRVHRTTMGFWPDQTETINLGPTTTSDT >KVH87395 pep supercontig:CcrdV1:scaffold_3525:38308:44485:-1 gene:Ccrd_025373 transcript:KVH87395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYEKLKSVTEIVAMKAMQQGMMLMKFPLNWYSSNNKNSSCPINVNTSAAPNKKYCGICQRILIGTGVFWSIKPSDVAISRRFVSTKAAVDMQRTERKRPMPIRCSSVRVQQLYRDTYDKKKSKGNYLCKSAFQQQPFLFMATHQATGRLTYATSSFSSPRNLSSKEEEKRWIKDKTTKGL >KVI04330 pep supercontig:CcrdV1:scaffold_3527:21867:22611:1 gene:Ccrd_017359 transcript:KVI04330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDLNATDYGQSFTQQVKINRFDYQRDVCKDYKQTGYCGYGESCKFLHDYGDYKSGWHQRRKERGNWH >KVI04328 pep supercontig:CcrdV1:scaffold_3527:25431:30589:-1 gene:Ccrd_017361 transcript:KVI04328 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MMATRGSSNTEVVMMEVEANKPQAGNGIVVGGLSPLSETIWKEKTTTDMVGDVSAKLAWKDLTVMVTLSNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLATNAFLSGSVFLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSDKRALVESTIVEMGLQDCADTVIGNWHLRGISGGEKRRTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTFFAHAGFPCPTLRNPSDHFLPSDDPLEKVTTVEAIRALTDYYRTSQYCYAANEKVQEMSKIKGTVLDSGGSQASFFMQSFMLTKRSFINMSRDFGYYWLRLVIYVLVTVCIGTIYLNVGTGYNSILARGACASFVFQRERLNGHYGVTAFVISNTISAMPFLILITFISGTICYFMVRLHPGFMHYLFFVLCLYASVTVVESLMMAIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLNGLMFDNQTPDLPKIPGEYILEYVFQITITRSKWIDLSVIFSMIIIYRIIFFLMIKINEDLTPWIRGYLARNRMKQNGVGQNTTVAPYGLTQSPSLRTYVADHRKR >KVI04329 pep supercontig:CcrdV1:scaffold_3527:23326:24159:1 gene:Ccrd_017360 transcript:KVI04329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MLDCSPLSIRHWIVKNTRTVNRTRQLIWYKLLHLVPIAYLWQVQKPITLYASADDFPRGEFPNQNPRVGEGLASWVQQNRSLEETDIVLWYVFGITHVPRLEDWPIMPVELIVFMLQIVLQPHGFFNCSRAIDVPPGACESDVKDSSNHVKDAIAPKAVSNGLIAAKL >KVH93728 pep supercontig:CcrdV1:scaffold_3528:18603:23838:-1 gene:Ccrd_004218 transcript:KVH93728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVVIEPVPSTVVESKRSTSTSAAAGREDMGSSDQANAAGVDSKSDGKAADDGYASDGFETASETEVGDDETVSDDNNNLNDTVEHQEHQPVEVSVASNSKDQSYEDRLNEDKLKQELIVQMNEAKVEGNKLFGDGLYDEALLKYDYAIQLAPQMPSSVEIRSICHNNRATCFFKLGKYEDSIKECTKALELNPTYMKPLVRKAEAHEKLENYDESIADLKKILELDPSNQQARRSIIRLEPLAIEKREKLKDEMLGKLKEMGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQQ >KVH93729 pep supercontig:CcrdV1:scaffold_3528:9382:15323:1 gene:Ccrd_004217 transcript:KVH93729 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AFG1-like protein MRRAFGPIRSAFRYHGDRMPNGVAKRWSLLNINNATFEKSSYKASICKYGHPCYMFSRTMSSDAAQLNYKGTLREIQRLYDELVESADACRLDRYSDSGKSGRRRWLWSRLMPQSSVSPVKGLYLYGGVGTGKTMLMDLFYDQLPCDWRKKRIHFHDFMLNVHTRLQRHKGVSDPLEVVASEISDESILLCLDEFMVNDVADALILNRLFGHLFSNGAILVATSNRAPDNLYERGLQRDLFLPFIAALKERCAIHEIGSSIDYRKRTSAEEGFYFIKNDSSDFLMQKFKDLVGEHTPRPQEVEVVMGRKLQVPLGANGCAYFPFEELCDKPLGAADYFGLCTYRFVTLVDVMYENKARLMCTAEGTSFELFERIVTISDAQSRAPRTSSRSRKNDDYDLCVDNELGFAKDRTISRLTEMNSSEYLEQHAEMTVEKQQRQTQRVEDDHDHVVQA >KVH93727 pep supercontig:CcrdV1:scaffold_3528:36882:49616:-1 gene:Ccrd_004219 transcript:KVH93727 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing protein MQILCKLLVKHEFSGSHVTGPVPGSIIREPNQKMASESSSSEASLSPSPSSAIDFLSLCHRLKSTKRAGWVKRDIRGPESIADHMYRMGLMALIASDAPGVNRDKCIKMAIVHDIAEDGVPKLEKSRREKEALDHMCKLLGGGPRGADTLFLFLLYITAEEIYELWMEYEENSTTEAKVVKDFDKIEMILQALEYENEQDKDLEEFFQSTAGKFQTDVGKAWASEIASRRKKQD >KVI04355 pep supercontig:CcrdV1:scaffold_353:14999:15539:-1 gene:Ccrd_017333 transcript:KVI04355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVQLNPEAASYVESLSTLKFAERVSGVELGPARSSKEGSNIKQLMEQVASLKETIAKKDEEIERQQLLKDKKNALPTVPGERRSSRYGSSSPSPIAGASPSPRNNVRSTAHGNPPDNRRRSFDGFRQSFRQSSHAEGQNLSDNLHNVRH >KVI04353 pep supercontig:CcrdV1:scaffold_353:28437:31185:-1 gene:Ccrd_017334 transcript:KVI04353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQTENTNSHEEKQNEEQDVERIMEEKDSKSAEIAALKQELEKARKKHEEHCLQIEAAGRKAQVGLEEKLREVESLLNKSKMKVQELEANSQSKMHWWNKKEHIYKTFTEFQLGALKELRFASQSVRQEFLKSQKTYSEDFDRLGTKVKVLQDAAESYQVAISENRKLHNEIQELKGNIRVYCRIRPFLPGQKDKQTTVDYIGENGEIIIVNPSKPGKESRRSFKFNKVYGPRATQAEVYGDIQQLIRSVLDGYNVCIFAYGQTGAGKTYTMSGPDNGSEEDWGVNYRALNDLFKISQISAQNSGLAVPDANMRQVNEPSDVMGVMEIGFKNRARSTTAMNERSSRSHSCLMWICMHSVVTIHVHGTELQTGVSLHGSLHLVDLAGSERIDRSEVIGDRLKEAQHINKSLAALGDVFFSLSQKSVHVPFRNSKLTQVLQSSLGNLLFPSLFCMFSYTFVIAVK >KVI04351 pep supercontig:CcrdV1:scaffold_353:256991:289404:-1 gene:Ccrd_017338 transcript:KVI04351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVKGFRRFQSNIVFSRTVVTEISNLVPGSNVQQSGRSSVAPFTSKRPIKKKVTSADRKAMLESFVSKYRAMNMGKFPPPTSAQKEVGGSYYLIKKMLQEMEYNFKISSLEKGIGKENKKQTKGEDTVSSEIAIEPSGSQEVSTSQITTDQQLYKDAWPESVGNFDTNFKSKEVLQPSTSSANTGNSTTRDDTNYIAMEGHDRRGPCHEKPENNVKDDSPLENFGFEGSESKVEQQHDGMRNIMRNMAERQKDEELTVKASIWGNLKSLATNFINIWKK >KVI04352 pep supercontig:CcrdV1:scaffold_353:211538:223387:-1 gene:Ccrd_017337 transcript:KVI04352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MRTYLIINKKGKNIKEDQQYGSVNTSVDVIQCNGILSDIDYLFLVKANCISLGFASSVAAQSRRMDLNKGGFVVLVIGFVLLLLQFCLLASANVVFQVQHKFAGYKRSLSEFKAHDNDRSDLLWVNCAGCQKCPKKSDLGISLALYNPKASSTAKMVACDQEICISTLSGPNGDCKVGMYCSYSVTYGDGSSTMGYFVRDAVQLDQVSGDLQTTSMNGNRCGSQQSGELGSSQQALDGILGFGQANSSVLSQLASAKKVKKIFSHCLDGSQGGGIFAIGEVVQPKVQTTPMIPEAHYNVELKAIEVGGDVLQLPTDIFDVGAKRGTIIDSGTTLAYLPDLVYKQVIEKIDAAQPNIKSHIVDQQFTCYKYPGNVDKGFPDVTFHFDNSLSLKVLPHQYLFEVEDQDWCVGFQDSELQSKDGKEITLLGDLVLTDKLVTYDMEKQTVGWIDYNCSSSIKVKDEGSGKEYWVSAHNLSPSGRIDTSTGMVVACFMFLVAATFIN >KVI04354 pep supercontig:CcrdV1:scaffold_353:43419:59528:-1 gene:Ccrd_017335 transcript:KVI04354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MDHETKEYGRYNISNSSASSDVFEPTSVNDGKADGVEFSISSIVRADETDRSFFVVCGGCSLVIDLVFTKLRTAVIEWLNSTLPNLNLPVNASDEELRNFLADGTILCRLVNKLRPGSAIEYGNPHHSSEAKSENVKKFLAAMDDMRLPHFNISELEKGSMKIVSECLLTLKAHFMPKIGLGSPTSSSPNNWGSDAVRWRQLDEQCGTSDGSQEEMSPRKFQRTLRSPMMSEPTNALMHHAGHKFHEVFQMKHGGYSDLPASKISELMKSNSLDIAPTQSLLSMVNGILDESISRKNGEIPHRVACLMRKVVQEIERRISTQAEHLRTQNNLFKTREEKYQSRIRVLEALANGTDGESKMPRGLMSTTTSSIITATPACLYLVLDMSLVEMLQKAPLRKPYHPVMIAVEW >KVI11237 pep supercontig:CcrdV1:scaffold_3530:43225:47331:-1 gene:Ccrd_010355 transcript:KVI11237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Limkain-b1 MSGSGGNNGVATMAGGAAEQQYAKAKISVWWDIENCQVPKGCEPHSIAQNISSALVDMNYCGPVSISAYGDTNRIPASVQQGLNSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPGNYLLISGDRDFSNALHQLRMRKYNILLAQPQKASPSLLAAAKSVWLWTSLLAGGPPITNGESPQAVDNSYGHTFSANPVHIPVSEPVQIHQPLDNMYGNPHPGLQKFSSIGRANDSKPKGKLIRKNLSQPIIRTSSPPIGILENQINLNSHQPGYMQPSQFKDSQEQSYSRIPNVSSSGSNPNHIPVGPDYSWNTSNNGTSYQGHHPQSVRPGNPPGNPTLAPGNYFPPNPHLRPPHFMPLRPDGYSTTSASLTFVPDIGKLNFSDSSGREHNPPMNQPQGGQLKPNSIDSANHAYANGPQKGYSMPNKSPFYKDTLNKYPATGPDFLPSSSSALDTTSTAATGCGVWGTPGCPKPSEYVQGLIGVILLALSTLKNEKIMPTEANIINCIRYGNPKQRNIDVKKALESAVKQQLVVKQNLGTLPFFVGKNEKLWKCVNPIGNNIKDHSKATWDELQIFLSTPTGRSAIAGSQCRYEAATIIKNRCLKDAALGDILQILNLAISGKKWIIHHPSGWQPVSIALPESNPESVW >KVI11704 pep supercontig:CcrdV1:scaffold_3532:37175:43032:1 gene:Ccrd_009883 transcript:KVI11704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MGRLKLQPGIKAIEEEPEDYENTASERDKAALGCMINSEIGAVLAVMRRNVRWGGRYMSGDDQLEHSLIQSLKALRRQIFSWQHPWHTVNPAVYLQPFLDVIRSDETGAPIRGVALSSLYKILSLDVLDLNTVNVEDAMHLVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKSKASVMLSNQHVCTIVNTCFHVVHQAGTKGELLQRMARHTMHELVRCIFSHLPDVDTTEHTFIKGNNTAKQEVSIHLLVLHNFLSVLLSLTSFFCFMVSGLPGDYPFGGKQLENGNGGSELDSRAPSANFVSNVTGGMVPAMDENIVVPGNGKDTVSYDLNLMTEPYGVPCMVEIFHFLCSLLNVVEHMAIGPRANTIAFDEDVPLFALGLINSAIELGGTAIRRHPRLLSLVQDELFRNLMQFGLSTSPLILSMICSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRLKSFMVEMYANLDCDITCSNVFEDLASLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERISNASVSSESVNLEEYTPFWMVKCDNYSDPDHWVPFVRRRKYIKKRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNMDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPRVFLSELYDSICKNEIRTIPDQSAAFPEMNPSRWIDLMHKSKKTAPFIVSDSRSHLDRDMFAVMSGPTIAAISVVFDCAELEDVFQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNSSSPEEPVLAFGDDPKARVATITVFTIANRYGDFIRAGWRNILDCILKLHKLGLLPARVASDAADDSELSSEPGQGKPLTNSLSSAHMPSVGTPRRSSGLMGRFSQLLSLDTEEPRLQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQADSLLNLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVILWQGVYEHIANIVQTTLMPCALVEKAVFGLLRICQRLLPYKENLADDLLRSLQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSQMGWRTITSLLSITARHLEASEVGFDALLFIMSDGINLMPSNFTTCVDAARQFAESRVAHADRSIRALDLMSGSIGCLTQWAQEAQEAMGEAEAAKMCQDIGEMWLRLVQGLRKVCLDQREDVRNHALLALQMCLTGVDEVHIQHGLWLQCFDMVIFTVLDDLLEISQGHSQKDFRNMEGTLVLALKLLSKVFLQLLPELSQVTTFCKLWLGVLSRMEKYLKVKVRGKKNEKLQELVPELLKNTLVVMKNKGVLVQRSALGGDSLWELTWLHVNNIAPSIQSDVFPDQDSEQKQKPVSAENETEIR >KVI11705 pep supercontig:CcrdV1:scaffold_3532:24354:32188:1 gene:Ccrd_009882 transcript:KVI11705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MPSISYASVTICIVPAATHPFFVTVIESSVVISTESFLKEQNDSDAEEEERDLSLVVRLNDLDSRSSSPASSVAMNKCGFDGIGRTRHVNASPSRSFKNGIRKGSEGLKSIGRSLGFGVSHAVFPEDLKVSENKILDPQAKFLLMWNKFFVLSCILAVSIDPLFFYLPVFDQKNSCLGIDKKLAITATTLRTVVDAFYLIHMALEFRTAYIAPSSRVFGRGELVIDPAQIAKRYLRWYFIIDFLAVLPIPQIVIWRFLQSSNGSDVVATKEALLFIVLFQYIPRFARIVPLTSERKRTAGDFAETAWVGATCYLLLYMLASHIVGAFWYLLSVERNDTCWQRACKKRNQNTDFLYCGNERTAGYDSWSSNSSEVLKADCSPDGDNPPFNFGIFKQALSSDIVSSREFVSKYCYCLWWGLQNLSTLGQGLETSTYPGETIFSIALAISGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPDDLQERVRRYDQYKWLETRGVDEENLVQTLPKDLRRDIKRHLCLALVKRVPLFENMDERLLDAICERLKPCLYTDSSYIVREGDPVDEMLFIIRGRLESVTTNGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGANLPSSTRTVKALREVEAFALPADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFVQAAWRRYSKRKILEQRRKEEEEEAARNSSTSGGATYSLGATFLASKFAANALRGVHRNRNLKSARELMKLQKPPEPDFTADAD >KVI11703 pep supercontig:CcrdV1:scaffold_3532:48741:52809:1 gene:Ccrd_009884 transcript:KVI11703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLTVMTFNLLEDQPEDGPNCWEKRKDLCVSVITSYSPIILCTQQGVKSQLEYLQQCLPVQQTDLQHMSVSFILARVIIPPSSGYDQFGISRKGSEDVSDQHCTIFYDKEKVELLEGGTFWLSESPSVPGRVEAPGFSFQIVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVAYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPNARLRKNMSLIRTYHGFKGNKQGAVEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVSCEVVSDNIDGHYPSSNYPIFAEFMLPRTVRLLDPPPAEEMVP >KVI11608 pep supercontig:CcrdV1:scaffold_3533:42587:51156:1 gene:Ccrd_009981 transcript:KVI11608 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA domain-containing protein MRLLQAPASVHVTSQCSKLQSIGGNFLERRILQSFVNDSLLSRRIVRRRFCLTCRSVNSVSATAASLEADASTILAREAENDVLKALSQIIDPDFGTDIVSCGFVKDLLVDDASGEVSFRLELTTPACPIKDMFEQQANEVVAALPWVQNVKVTMSAQPAKQIFAAQLPAGLQTISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKKTIIPTEYMGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRFYPFGKGSGSQLSASGDSGTPEVVTDPQGEVAEIFQNLGVCVVQQCAKIRQQVXTAVMYDKSIKAIKVKVPDSNEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDVPEEIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTMERLGGFFESHNDVKAKAIHRSECCKKGFLLSLNVNANTVYIMSHVYVNVRKNALYIESKCIQSPWYSMVDLFFNRFNSTFQDHTC >KVI11607 pep supercontig:CcrdV1:scaffold_3533:13897:16126:1 gene:Ccrd_009980 transcript:KVI11607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKNIKTLLLLLLLSVSTASVFCFSGPLTDDQVIYIRRRQLLYYRDEFGDRGENVSVDASLVFENPRLRNAYVALQAWKQAILSDPQNLTVDWVGSAVCDYTGVFCAPAPDNSSERTVAGIDLNHGDIAGYLPEELGLLEDLALFHINSNRFCGTVPKKFRHLKILFELDLSNNRFAGKFPYVVLKLPKLRFLDLRFNEFEGGVPKELFDKDLDAVFINHNRFVFELPDNFGNSPVSVIVLGNNRFHGCLPASIGNMSDTLNEIIMMNNGLRSCVPEEIGLLNQVTVFDVSFNQLMGPLPESISGMVSLEQLNVAHNFLSGSIPESICRLPRLENFTYSDNFFTGEPPVCLNLEAFDDRRNCLPARPVQRSDKQCKTFGSKRIHCTHSPPPPMPSPPSPPPCIEPPPPPPPPCIEPPPPPPPPCIENSPPPPPIIYLPPPPPPPVIYSSPPPPPIYSSPPPPVDHPSPPPLSPPPPIIYNSPPPPAPVYEGPLPPVIGVSYTSPPPPPIY >KVI00890 pep supercontig:CcrdV1:scaffold_3534:44594:44854:1 gene:Ccrd_020849 transcript:KVI00890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIRIEGLLAAFPKLIGSGKQHTYVETENVIYVYQPMEGIYLLLVSNKQSNILEDLDTLRLLSKAAVDILFYLSGDLKCKFLFLT >KVI00889 pep supercontig:CcrdV1:scaffold_3534:30500:32395:1 gene:Ccrd_020848 transcript:KVI00889 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase 2 MDAQALLQTTFILVTPPMHPLPRNFLPVFLKSPPHTTTARRQVLTSATALKREKDPKKRVVITGMGLVSVFGSDVNTYYDKLLAGESGITAIDKFDASKFPTRFGGQIRGFNPDGYVDAKSXRRLDDCQRYCIVAGKRALEDAALGSDERSKASACATSNXCFCAAANHIRQGKADLMIAGGVEAPLVPIGLTSLSALEVLSTRNDDPKSASRPWDKDRDGFIMSEGAGVLVMESLEHAKKREAPILAEYLGGAVNFDAYHIKNPRFDGLCLSSCIQSSLVNAGVSVEEVNYINGHATSEVVGDLAEIKALKKVFKNTVGIKMNATKSMIGHSLGAAGGLEAIATIKAIQTGWLHPTINQFNQEPGIEFDTVVNRKQQHEINVAISNSFGIGGHNSVVVFSAFKP >KVH87394 pep supercontig:CcrdV1:scaffold_3537:9728:10171:-1 gene:Ccrd_025375 transcript:KVH87394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPHGHFFRRLQPENGNQTIEDKIEIDIDTLSNDTLFKLRKLLDDYLVDKQKNMAKAETCEIELHNESGFSNSSMEACKANDANEEDVDIGGNDLPISSFPPIEIEKDTAVRNSKCSSSSSSSSDSGSSSSESDLRVPELKLPFYIE >KVH91382 pep supercontig:CcrdV1:scaffold_3538:42652:47518:-1 gene:Ccrd_006596 transcript:KVH91382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWRSTIITHLTTPLAVFSSKHTSKFAMTTSSEIGGSFSAPRLVAKKLLAKSQSEGDGAVVRRSIGRPELKSLDPFLLLDEFSVSPPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIRAGDVQWMTAGRGIIHSEMPVGTGAQKGLQLWINLSSKDKMVEPNYQELLNEDIQRAERDGVKVTIVAGESMGVKSPVYTRTPTMFLDFTIAPGAQMHQPIPESWNSFVYVLHGVGVFGSMDSSPISAHHAVVLSPGDGLSIWNHGSKPLRFVLIGGQPINEPVVQYGPFVMNTQAEIDMTLQDYHYCKNGFERAKLWRSQ >KVH97342 pep supercontig:CcrdV1:scaffold_3539:2314:4688:-1 gene:Ccrd_000551 transcript:KVH97342 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLE-like protein MEVDMGEGSSELSNNVMCQLADPEGTPLGVSMYLPQSVGPKELQQMVNKLLDNEEKLPYAFYISDQELVIQLGDYLQKNKISVEKVVTIVYQPQAVFRIRPVNRCSSTIAGHTEAVLSVVFSPDGQQLASGSGHKNWVLCVAWSPDGKHLVSGSKSGELQCWDPRTGKPSGNPLT >KVH97340 pep supercontig:CcrdV1:scaffold_3539:5062:18046:1 gene:Ccrd_000552 transcript:KVH97340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MEDKKNNGDVRNPIVPLPVSKMGTEALIGVGKSRQIYNEQQMKEVLMMKMALVHVIRQIRYMLLKSKNPSVEAELTSQLGHVDSRGAKEGAYLYDGFGLYFTDEVLEDGSTNKQTQALSLLYKAKWAGNSAIDTSHFEPPRHLNKTSLVLPQEGLKKKDKIDRLPGQPFVKFNQYGGYVTVNESAGRAFYYYFVEAESSKQSLPLLLWLNGGPGCSSLAYGAMQELGPFRSPAGVGFSYSNSSSDYQNCGDASTAADNYVFLLNWLERFPEYKGREFYISGESYAGHYVPQLAHTILHYNKIAKKTLINLKGILIGNAVINDYTDSIGMYDYLGSHAILSDDTIDQIRKYCDFSSNATTQSDECNQANNDANRDLEHINLQGTIHPCSGYYTYAYMNREDVQKALNANVTKLDHEWELCSGDTDARVAGFAQVYQGDLTFSTILGAGHQVPSYKPKTAFALVRHFLTGKPLNDSRH >KVH97344 pep supercontig:CcrdV1:scaffold_3539:20165:21202:1 gene:Ccrd_000554 transcript:KVH97344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase-like domain-containing protein MEDKQEKDGREGGDDLPMMSLNHVSRRCKSVKDSVEFYKKALGFVLIERPQAFDFDGAWLFNYGIGIHLIQGKDEDKLPNDDGLDPMDNHISFQCEDMGAMEQKLKDLGIKYIKRTVGGEEDGVIDQLFFNDPDGFMIEICNCENVKLKPKGSIGRIKLPYDRHNPPVELGSTAAKAS >KVH97341 pep supercontig:CcrdV1:scaffold_3539:12214:13149:-1 gene:Ccrd_000553 transcript:KVH97341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITELTAAAITAAGRLPYTFHRRGFTKPAAYFIIILLSYYLGYLSALLKNPSYDPNHFFSTTDKVNPPSQTADTDHYQFQTHCANPVPSQLVRQTILTRIFNGTSPYEGFPQPHIKPLLRHKRIKGWGSTGAVFENLIREVQPKTIIEIGTFLGASAIHMAELTRQLGLDTRILCIDDFRGWPGLTHRFRDIKMINGDTMLLYQFMQNVVQVNATEAIIFLPFSTQSALEKLCEWGVFGDLIEIDAGHDFHSAWSDINRAYKLLKPGGVIFGHDYFTVADNRGVRRAVNMFARVHGFRVKADGQHWVLASL >KVH97343 pep supercontig:CcrdV1:scaffold_3539:44889:53412:-1 gene:Ccrd_000556 transcript:KVH97343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MRIPLIVLLLLFILQFHMLQLSHSKSIVGKLPGFSGQLPFALQTGYIGVGENEEVQLFYYFVKSERNPQRDPLLLYLTGPLNFKYGNANRSEVKLELNPYSWTKTANIIFIDLPVGTGFSYAKTSKSSRSSDSLVVTHAYDFIRKWLLDHPTFLSNPLYITGISYMGIIIPNVVSRIYDGNDGFLIVNPLTDKFIDFNSRVEFAYRSALIDDEIYESAKENCGGKYVYLDPNNTMCLNSLQRVNEESIYLYSNIWANTKAVRQALHIHEGTVDNWLFTNMSIRALYGKNDTIYYSYNIFSSLASHKQLLTKNCRALIINGDHDMTFPYMGTRQWIDLLDLKTKISWKPWFVRTQVAGYFKTYSKAKYSLKYATIKDGGHAVALYKPEESMMMVETWLDSHTNSIKS >KVH97345 pep supercontig:CcrdV1:scaffold_3539:28467:43319:1 gene:Ccrd_000555 transcript:KVH97345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid receptor RNA activator-protein/coat protein complex II, Sec31 MDTSACIKEVNRSASVAFAPEAPFIAAGTMAGAVDMSFSSSANLEIFQLDFQSDDHKLPLVGAIPSSEPFNRLSWGKSPTSGSEEFSFGLVAGGLVDGNIGIWNPRLLISPEESESALIQQLSRHKGPVRGLEFSGLSPNHLASGAEEGEIFGVKGLFLFALSIPYCMQYFLLARKFSPTGHIRSPLWYELFKRQLFSTRPAVSGSASAKQGEISFLSWNRKVQPILASTSFNGTTVVWDLRKQKPIISFSDSVRRRCSVLQWHPDFATQLIVASDDDSSPSLRIWDMRNTMSPLRELVGHSKGVVAMSWCPSDSSYLLTCAKDNRTICWDTGSAEIVSELPAGTNWNFDVHWYPKIPGIISASSFDGKIGIYNIEACARYGAGENHFGSAPLRAPKWYQRKAGVSFGFGGKLVSFHSTGSSGPSEVNVHDLVNEHNLVNTSSEFEAAMRSGDRSSLRVLCDKKAQESESEDDRETWGFLKVMFEDDGTARTKLLNHLGFSLPAEVNENVQDLSQDVSSLSFEEHEAAKEGFVGGNQASNSPTDNGEDFFNNLPSPVSTPGKNTEEFAPSVDEPTKESDLQGGVTEPSFDDAVQRALVVGDYKGAVAQCIAADKMADALVIAQVGGASLWESTRDQYLKKNSSPYLKVVAAMVNNDLVSLVNTRPLKSWKETLALLCTFAQREEWTLLCDSLASRLVTVGNTLAATLCYICAGNIDKTVEIWSKNVTAEHNGESYVDLLQDLMEKTVVLALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLMGTEDLSPELVILRDRIALSSEPGKETTGSVDFAHPHPQMGAVYGATQQPNPVVEPPRNYYQIVKQEHKKKWAGKASGFPGVDLLPESIFLFLLIGNNWLDIVVALLDESSYQRESYQQPPGPLYNTQFQQPQQPSMFVPSPTAHIPPAGFNPPPVTTQPAPKVFVPSTPPIMRNADQYQQPPTLGSQLYPSQVNPNPGYQPGPPGPASIGPVPSPMVPTSGPKISQGVTPPVRGFMPVNNTGLQRTSSAQMQPSTPPQPAAPPAAPPTVQTADVSNVPGQQRAVIGTLTRLFNETSEALGGSNAVPAKKREIDDNSKKIGALFAKLNNGDISKNAGEKLVQLCQSLDRGDFATALKIQVDLTTSDWDECSFWLATLKRMIKIRQTVR >KVI11710 pep supercontig:CcrdV1:scaffold_354:225510:227679:-1 gene:Ccrd_009876 transcript:KVI11710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKKERKRNLMTEMETATEIRENTVGSEEIRPAGRPETATGRATGDGNRKSDDRGGGRRRPTIVGATFERIEGRRILGEHQAFFRKFVENQRFWGERKSSSLRYHLSMRMVVDCEFRVKIKVVQAMDELMQTMPGTT >KVI11713 pep supercontig:CcrdV1:scaffold_354:215026:216360:-1 gene:Ccrd_009875 transcript:KVI11713 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MAIRKDRVRFNVGGKIFETTATTLAIAGRDSYFGAMFDENWDLQHNPAGEHFIDRNPDCFSILLDLLRTGDLYIPPHVPQKLLYREALFYGLIDHVRSAKWGQFDGNRLQPSKSVTGRAPGDGTAIRASPDGGCCVAHGSMVHIYDWMLEEHPPINLDYQRVNDAGWVGPVDPTGLVISTCERLGRGQGGMGLFSSTTGELRYKFNVTHEGVAKSYTAGALSFSDGNKLFSSCKGRSNEYGIGVWDQNTGQQVDFFYEPPGWSLGDADKLQWLNGRNCLLVATLFPRKDNCYISLLDFRSKSMVWSWSDMWSPANSDDRRVRDAIAMEENSSICVVNEFEDLGFMDLRRESGLVRWSSRSRLMKGKMPDEPCYPKLALHDGQLFSSMNDSISVFCGSDWVLTSRLRRSFGGSICDFSIGGDRLFALHSEENVFDIWETPPPPIV >KVI11707 pep supercontig:CcrdV1:scaffold_354:170837:174245:-1 gene:Ccrd_009873 transcript:KVI11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MVHEYNRTTITKPTAISSSQSHYQQSNSSMDLERKRVAANSSRNGVVMGSVWESRMKGSFKVFNGDDKNMNQTQEMEKPTETETTGEMEKVGLRSKQSSNGVGGGVGKRKTWKSDGGSERIPAQIPKVRSENKKMLSELSKELSVSMDGNGIKKSPVQMKKERLEWNKEQSVSIERSPVQRTTKTRSLSRIGSTTSDLSDGIERVKSLPTTGTQISIDSNHGVEEIEGEYRDDKEMKNNRSDSSQSLDELGVVCEEKQMTDDLRKDISPQILDAEDYEEDELASNKATMEENEEINEERSIVVVKEMEPISTISKKKSPDVVIEEKKIHQRNERSNPVSRTIRKQPPPVVNHPRIISKPSKYSAVLESHEFPSQRVPRSHSKLHSFMDLIMWRDASKSALIFGFGTFSILSSSYTQDLNISFISVISYLGLIYLAAIFIFRSFIYRGVVEADNITDDEECVLGEEEAIWALKLFLPYINEFLLKIKALFSGDPATTMKVIFSFLFFIFFTDFDANFIFICNICENVRNFRCHLTVGSSAICFGKMWQLHNHLEIGENGFFWVPKICSSYSSQLTAYGTFWVRRFKDAWESCSQKKAVAIGVFTLVWNLSSIIARTWAVFMLLVAFKYYQQSMMKNEDIEEEVPTQPRNQSSWQEQRNAKVLMPKESKKLRKRT >KVI11708 pep supercontig:CcrdV1:scaffold_354:101867:104820:1 gene:Ccrd_009870 transcript:KVI11708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQDDTNSFDPTVTHDDFLDQMLSGLQTTVSWPDISAGNGGGGQNKSPLLPWDVDHFDDQSAFLSSKLRQHQISAAAGGRTPSSALKSLQLSRGAGESGLFGNDNIDDSSFKSPAQNFGSPGTATAVMNQVQTSAGGGGGGVPSQPRQRVRARRGQATDPHSIAERVKHKHLTAGEDCGEDESSTGTGPECQQGKRFTTINSGRRWMREMGLVAREVLSMSRLGGAAAGAIAPVVAEGSGVGRSSNGTASSSNNDTMTVTENQVVKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCNPSSASRSNLPSLGGGGEGGGPSSPNMSVLTVQSANGVSVKDSP >KVI11712 pep supercontig:CcrdV1:scaffold_354:184050:201955:-1 gene:Ccrd_009874 transcript:KVI11712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MALLRKLLYKKPPDGLLGIFERIYASRIASVLSEYDITIMDYPRHYEGCSLLSLEVIHHFLKSSESWLSLGQQNVLLMHSEVGGWPVLAFMMAALLLYRKHCTGEFKTLDMVHKQAPRDMLPVMSTLNPVPSQLRYLQYVSRRTAETEWPPADRALTLDCVIIRMIPDFDGKGGCCPIFRIYGRDPLLHVDKTPKLLFSTPRRSKNVRYYNQAESELVKIDINCHIQGDIVLECISLHDDTGPEKIMYRAMFNTAFIKSNMLMLNRDEIDLHWDAKDQFPKDFRAELLFSEMDAAASAIPVDLSCFEEEGLPIEAFSKVQEMFSSVDWLVPKSDAALNRLTQMALSDIVHEMIETSFHQKPEASNLLQTLPKKNQHKETKLKRSGTEAGLHKAEVQTVGPRSVSLPRQLPSSARSPAQLQASLHGSTKSFSMPPHIILTCSHPSVSEKVETHQQDSATSSSAPPIPPSGQQATGGKVGPPPPPPPPAKKTSTVPGPPPPPPPGSGSGPPAPPAPPAAPAPPAPPSAPPPPPPKSSRANSASHSGPPPPPPPAAAANGNVKGDAAPPPPPSLSKAPSVSAPSPPPPASKGRTLSRTTAVKSQPAKKLKPLHWLKLNRAAQGSLWAEAQKSGEAARAPEIDMSELEHLFSAANPNSDKAKAKSRAANKPEKIQLIEHRRAYNCEIMLSKVKTPLPELMITVKYKNMLIDLKKDYVLALDDSAMDVDQVDNLIKFCPTKEEMELIKGYKGEVDKLGKCEQFFLELMRVPRSEAKLRVFSYKLQFSTQVSDLRKSLNDIRSSVKLRRVMQTILSLGNALNQGTARASVYVETASRSISSSVASQSCAAWQLRPSQHAKVEYIHGGSGAAVGFRLDSLLKLNETRARNNKMTLMHYLCKVLADKLPELLDFSKELGSLEPASKIQLKILAEEMQAITKGLEKVVHEKKLCKKDGHVSKRFRKSLKKFLSSAEGEVKSLASLYSGVGKSVDALILYFGEDPARCPYEQVVSTLLLFVRMFNQAHEENCKQIEAEKKKAQKEAEQEKKEAEHERLRLQKEAEKEKREAEKEKREAEKEKKEAVKEKKEAAKGKKEAAKGKKEAEKEKKEAEKEKLKLQKHSNMESELMRIFAATGSAERYQTKAKTNGCQYFLRLAACKYVGLFSVAAKMVHFGHDYHFQLQ >KVI11711 pep supercontig:CcrdV1:scaffold_354:244040:245061:1 gene:Ccrd_009877 transcript:KVI11711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVILWVLMYLLARSLKILFLHLTIVMFLMSLVQIINLLISLKMVL >KVI11720 pep supercontig:CcrdV1:scaffold_354:279790:286135:-1 gene:Ccrd_009880 transcript:KVI11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHALAKATLGLTLPAHIDPLKISFVAKEIDLVEWKGDMLAVGVTEKDMVKDVNSKFQNSILKKLDSQHGGLLFEVSKEEDFTGKAGQSAVLRLSGVGPKRVSLFGLGKCTTGSATSAYRCLGESVASAVKTYQANNAGITLASFEGLTSEMKLTAVSAIATGISLGTYEDNRFKSESKKPTLKSVEFLGFGAGPELEKKLKYTEDVCTGVILGKELVNSPANVLTPGVLAQEAEKIASTYSNVLTAKILDTEQCKELKMGSYLGVAAASANPPKFIHLCYKPPSGSVKKKLALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGAAKALGQIKPAGVEVTFGHASKSKHFGFSVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIVDLATLTGACVVALGPSIAGVFTPSDELAKEVVAASEVAGEKLWRMPMEESYWESMKSGVADMVNTGGRQGGSILAALFLKQFVDEKVQWLHIDMAGPVWNDKKKTATGFAISTLVEWVLSNSSS >KVI11714 pep supercontig:CcrdV1:scaffold_354:254578:262061:1 gene:Ccrd_009878 transcript:KVI11714 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDDDSDRSSEETQLEENSTAEDANNLDDDDAEDSAGVDENTDDQRDVSPLEISHVSAEEDLRTCRSLTLVSGLSEVPVKYEMRRDELEHLKNQLQGSHQEALATVIAQAAQAHSESQLKLPGVLSSSIGLSSMPVTSILSLPSVPVLSSLQCSNSQGQIVSLVVNADSIHVAEANQKDATGTDPKPLPVKIPMDGYNWRKYGQKQVKSPEGSRSYYKCTYIECDAKKIESCDQFNSVTKIVYKGQHKHDPPKKVSSRGGKILSTPKSPKRKSISTPGLKDHRSSGSVGCVPIQVEQVDEPQQKQRQVTIVVKSSSENPGSVLKHPKKPKFIVHAAGDVEISADGYRWRKYGQKMVKGNPHPRNYYKCTSAGCLVRKHIEKAVDGASGVMITYKGVHDHDMPVPKKRQPPPTHLLNAKRSESTTETAKTSETTRTLLSVGFEIKNY >KVI11715 pep supercontig:CcrdV1:scaffold_354:265848:276156:-1 gene:Ccrd_009879 transcript:KVI11715 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MVAMDLKSEIKKLMEKRSALETEMNVIIERLCQPGGPGLSGNLGFPRSDIDIPLVRADRNRLAGLRNDHTDITEKIGQNIELLHAARLASKSSTAMDSGDVAGPNMHNISPSNGGGPISEMDVDVSRPFAMVDEITEESPAAEDGLQLGDQIVKFGNVEYGDNLLPRLASEAQMNQGHPVPMFTVYPNLRRQRPLELLKRRENILDGVCFLLCIRKQKPHNDRSIIEGVWKHFHRREPL >KVI11718 pep supercontig:CcrdV1:scaffold_354:9715:10842:-1 gene:Ccrd_009867 transcript:KVI11718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSFIIGVTSEYINKLFL >KVI11717 pep supercontig:CcrdV1:scaffold_354:47955:51431:-1 gene:Ccrd_009868 transcript:KVI11717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSWVCTVSVQLILCFALYCAINMDQLQTPTYRNGAQGQPDDIYFITVRGGFRPLKQQTRLLKQVIYVATVLYTTMALREEEPEYFFKQVNVSSGIMLDIIALNTGKIQDSSSGIGKGELEGLSRKLELSNSNWHIALGFHPLSCNQTQAEGNGNGVLLEMLLNHGVDAYLSGRSCANEARVGGPHLTTITQESHPPKEMVVNMFLLHRVSPLEIATYGVSFKGDMIHESTLRQRGSEMM >KVI11719 pep supercontig:CcrdV1:scaffold_354:286743:290574:-1 gene:Ccrd_009881 transcript:KVI11719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MADTSHQRFPLWIFLFLLLSIHGYSFYLPGVAPQDFFKATCDCNCLLFIKGDVLKVKVNKLSSTKTQLPYSYYSIPYCRPQEIVDSAENLGEVLRGDRIENSPYEFRMRVPWMCNIVCRITLNEKTAREFKEKIDDEYRVNMILDNLPLVVPVTRLEQDSHVLYQHGYLMKVEKYFINNHLTFTVKFHKDEQLDSARIVGFELVNGSDLPQEVEDKKEIIFTYDVEFQESDVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEDTGWKLLHGDVFRPPVNSDLLCVYVGTGVQFFGMMLAAMIFAVLGFLSPSNRGGLMTALLLLWVLMGIFSGYATARLYKTFKGSEWKTITLKTAFMFPGVVFAIFFVLNGLIWGEKSSGAVPFETMFALVFLWFCISVPLVFVGGYIAFKKPTMEDPVKSNKIPRPIPDQSWYMSSTFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFIILLVTCAEITIVLCYFQLCSEDYRWWWRSYLTSGSSALYLFIYAITYFFTKLNITKPVSGILYFGYMLIASYSFFVLTGTIGFCACFWFTRLIYSSVKID >KVI11716 pep supercontig:CcrdV1:scaffold_354:58275:76309:-1 gene:Ccrd_009869 transcript:KVI11716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA polyadenylation factor Fip1 MEDDDEFGDLYSDVLQPLTISSAPPQPPNRSMDPKNTIPSDDEEILYGATSSNQLNKAQNGSNPNLNTDGNSAMVEECLGEQVAPAVDLRASSSGLVKLEEKGFEEDSNYMDDDTKVKEEALDGNFGIEDTGGEQDLKIPGLSSSEARVSQQRGEVGEGDDDWDDSDSEDDLQILLNDNNGGGLMGMEGGGGADDADNEGEDNLVILGDNDDPNHHHDHQAMEEMQDWGEDASQAAEGGGGRKDLLVGDAAKANGGVGAVIAPKIGYSIHGYHPFHSQFKYVRPGVPGAAPIATGGAPGQVRPPTSVPPFTGRGRGDWRPAGLRNVSPMQKNFHPGQGMPGWGNNGAVRGLEFTLPSHKYNFLLLPMQVKCQNSTVVNTVTDHLVMTIFEVDIDGFEEKPWRLQGIDISDFFNFGLNEESWKEFCKQLDQFRLEATMQSKIRVYESGRTEQQYDPDLPPELAAAAGIHGISSENQNIGKANIHGNLAKGFTRSRMQLPAGRAIQVETGSGERLPSIDTRPPRPRDSDAIIEVMVKIIILQGSADDESVPVDDIAEQPDDDPSRENPTEGLEIEEDNASVGDHFDRTLQAYNDRKREGVGRRAPSVGSIHDETTAGDRISPFHSEAQVGDHPDSRGKTCAYPRKKLKSPHNHNERRTKRRAQDRSPHSAHDGSFQDKEFADNQKEGSPDNVEGKQSPSRSRLTYGSAEDQDFSQNDAIKDDVVDADGSTAIEREEIALDITATGTSKDENQTRPMKNQTLTSRAARSSVEKFDNREDPRTARSSDNSKARSGSSRDQRSMQNSVEEEVVQARSSVHGGNTRRSFGEGERSIRSRDRDERQESDRHRKAMERMEDPYSRKKWDSNSTYHSKSEKIYRQKGRESEGAWQDEDLHVGWTRAENIRKRDRLEELASRQRHKIRESERSDKDQHYSRKVLENGSWKGDRDRDLVSQHNNGSIKSRHDELGIHNKRRKEEAHTWRGGHTEREEALHVHRDSTSRQKREKDDNLDQRKRDEQARLREDDQHSFRYKEEGLLPRESVEKQRERNEWKEREGREGMRSGRAVEDKAWIGHSRLKEDYRSTEREYQFKDTVREKPGRRDRIENEGLLRHTGREDAYSHGNKLNDDEGISRQERGNTGSGHNSGATDMHRLQDKKPKESVRKSKETDGVAHSSLAPSRRNREDHNSQKSERVSSTGVLEQGNSEQTRLNRQSSRKHRANASPEDERKDSRRGRSKLERWTSHKDVDFSLGVNSSASSHNVEKTSRYDDTQPMKPVDESSKPQEIVEKSNAKPLVEENDDVKVGEEGKHLDTVEKLKKRSERFKLPMPSEKEALAIKKIENEVGTHADSTIKPERPARKRRWTSG >KVI11709 pep supercontig:CcrdV1:scaffold_354:136917:138184:1 gene:Ccrd_009871 transcript:KVI11709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQGKGNGCDAMFMALNRGVDATDDWLLPSVDCHLFVVLESGNSVTVVKLGLLNKSGLEFGSRAKSSRMSLQVGKET >KVI11706 pep supercontig:CcrdV1:scaffold_354:143860:145152:-1 gene:Ccrd_009872 transcript:KVI11706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDRNNGVLLGKYELGRQLGHGTFAKVYHARNLQTSKSVAIKMVGKEKVIKVGMTDHIQREISVMKMVRHPNIVELHEVLASKSKIYFAMELVRGGELFSKVAKGRLREDVARSYFQQLISAVDFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLSAFSDHLRQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADIWSCGVILYVLLAGFLPFQDENVVAMYRKIHRGDFKCPPWFSSDARRLITKMLDPNANSRIVISKIMESTWFKKATPKKPKFLVENEDECINLKGKEGKTLNAFHIISLSEGFDLSPLFEEKKRDEKQEIRFATMKPAGAVVSKLEEVAKAMKFSVKKSGDESSLRLQGHEMGRKGKLGIAAEIMAVTPSFFVVEVTKSSGDTLEYNQFCSKELRPALKDIVWTSPANHSTPA >KVI00746 pep supercontig:CcrdV1:scaffold_3543:23437:35559:-1 gene:Ccrd_021001 transcript:KVI00746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYLKSKSSDQLHSCKQYFCFQTIWFYTPVSTQIVSFEAWSPNLLFELLISRFSLSLPGYRRRWQFFFYCIVNGVAVYLPPVSSEERIIYSQLQMFKNTFQSGFLSILYSLGSKPLQIWDKEGQRSVEENDKDVVSNGDGFLSSASFEIMQKSVSCNFYWPALAFVNGQVKRTQDEDIQSNILEIVGTNVQSTYITCPADPAATLGIKLPFLVMIVKNVKKYFTFEIQVLDDKNVRRRFRASNFQV >KVI02982 pep supercontig:CcrdV1:scaffold_3544:3386:16818:1 gene:Ccrd_018726 transcript:KVI02982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYQPIRGSGSGLQFLNHPFGDTTYTKVFVGGLAWETQSETLHRYFEQFGDILEAVVITDKHTGRSKGYGFVTFRDAEAAKRACANPSPVIDGRRANCNLASLGRAHLPLPFGRLRPAAPFFGSPQGGPYVGSPGYRQPVPYNYQQFPYSLYGYPPYGPEYVLHQVPYNPYMGQLQHNPQMFGIPGAINTNVFPYGQMGPPPPGSPGHRALQGMVTPGPHVLPYPRPSVSLATTEAVPMSPAPYLTGVAPPFLEQMRSAHPSQFTQGTGSESSEVMDTKGVNFQKSIAESRTTNVRSAKTAL >KVI10999 pep supercontig:CcrdV1:scaffold_3547:14312:22250:1 gene:Ccrd_010595 transcript:KVI10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSRAFCLPFDNCATELESIAAACKSLLRSANRIHRLFLNLGSNAWCWRTLGTDLTDHRKKEECLEIGNYLGRRPIKGVGIQGHRRRLDTGIKAAEIEVEEIEAANLLILIADILEDDSIVKLCTPGRRSRSISPRRHRRRSRSPTPRRRRSRSSTPRRHKRQKSRSSSLSPIAKSPGIGSIECKIVGENLKKEEEDERKRRQHEAELKLMEEETAKRVEEAIRKKVEERLGSEEIRLEIQRQLEEGRKKVLSDVVAQLEKEKEAAEQARREKEELERLVEQNRKRIEEAQRREAMEQQRREEERYRELEELQRQKEEALRRKKQQEEEERAKQQKLLGKNKSRPKLSFALGSK >KVH87393 pep supercontig:CcrdV1:scaffold_3548:16808:35670:1 gene:Ccrd_025376 transcript:KVH87393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane protein Mitofilin MLRRSCKCYRIRVHIGNILSSVGGKDFTANNSSGMFCEPYDIPLYLFSRKEFSVAPQSNSSKPSGSTGKPPDSGSNLSKVFIGSLAIGAAALTAYQTGYLETFLTKEQNNDLNTHEIPIVHEEPQQPKTVNGDLHDGETVEPVAVQNVQESEVSNPTVEHGEKNIHIDPYYSRREEEAQSELKELPISKPHDAISEQESKLPSLDHSISTAHDSSFDSIPLIQEDLGTKNQDVKPTIERHEGVQITSIPTQVAPVIEENMIKSEQPQQLGTINMPERILSNDVKEPNSLLDAYLLRGKAEQTAAASSYLHKDIAETVKGTNDVYVSKDGKVVLDLLEAIHTAERRQEKYEKELKDARARELMYAERETILDKELHKEKLKAAAALKSLQEMLEEEFRMEIERKESETEFELNKLKDLAKAELTAGIASEKASQIERMEEANLNGALALEDALSKGLPIQKEIDALNSYIDGIDKDSLLGLVMASLPEDTLKNGTDTILQLNHKFDGLKGTLRHFSLMPPGGGGILAHSLAYIASNLKVKEADKSGDGIESLINRVESLLADGKLLEAAETLEDGLKGSQAAEVVGDWVQQARNRAITEQALTLLQSYATSVSLS >KVH96774 pep supercontig:CcrdV1:scaffold_3549:34480:37144:-1 gene:Ccrd_001135 transcript:KVH96774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MDITAPNEDSKKLEGRSKAIVVCWILGFGSLVSWNSMLTIGDYYYKLFPIDLATSGKGGIGSYIGICVFVAGFGVADAHVQGGMVGDLAFMKPEFIQSFFAGLAASGALTSGLRLITKAAFDKSPNGLRKGTMLFLAISTFFEFLCIFLYSFVFGKLPIVKYYRMTAAREGSKTVASDLAAAGIQTEPADKDFKIPDRLSNKQVLFKKIDYALDLFLIYVLTLSIFPGFLYENTGKHHLGSWYPLVLIAMYNIWDLISRYIPLVEFLKMESRKGLMIAILSRFLFIPAFYFTAKYGDEGWMIMLISLLGLTNGYLTVCVMTVAPKGCTGPEANALGNLLVLFLLGGIFAGVALDWLWIIGNGSF >KVI11094 pep supercontig:CcrdV1:scaffold_355:229394:230185:1 gene:Ccrd_010499 transcript:KVI11094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSWPYPAFRILSQPNAESIRAWAPITDTGWFGANTSTTIRSFGLGRVMRQLAVIVRTYAQTTFDDVVWNLWPINASQAITSSSNVSLPSTIGVAEGSLTRFTPHLSDDDITNMFAMAETVREVLPHVPDELIF >KVI11095 pep supercontig:CcrdV1:scaffold_355:263586:272409:1 gene:Ccrd_010500 transcript:KVI11095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MGFSHIIRFRRPFSRNLHLHKTIFINQLIAKPFSSSTPTSDERNPKPTSLSARMSFVFDQIDAIEKERSQKDETLQRIRAWRESKKEKQPTIEQEESVNVAVSGVKEKGFLTKGVELVHPWPEWIELMERLVQQNYFDCRRKDEGKVISDSGFGDVDAGDGIMAEILEEGFDFTRDWKTVQTAGLNFGKDRFDILRSLSRQDIQLLVGYGCPSADKKVVFSAKLLRKHVCSSCSLRSSCERAYLITNKEDEARTIDIMRVLMTYGFDPINGSVVNDSLLKKKPVKTAVRKLLHEVVKLSGIPIDPNLPPPIIKRPPPKVKQTPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPECKFLNYRRNMACFECECKRPPDEFTENQMQERQRGPRTRLDNVPSRKEVSDAWNFDFDENESDGAEVAAFEYADSRKLDEDFPSTRQRDEERYEDNTFHNRRPVRPQETEYSASGPTRPGVGFDDFDDEEEDDVNSYELDTNQNQNRIPKSSSVNFSDLDVDSESEDDEPTNEIWNDRRPVSSRSVRASSKPLSRKSAFSEDDGVDFNSDDDLPVHPNWKSSHVADYRQKSRSRMGNKFGSDEDSDLSSGSEDLSDDDFPPKHKGRRNSNRKAKDEFEFFDEGKDRSRRKNAIPDRRKSPARGFNRNDSYEVDSNRRSNKGQGSGAYDRHRNGSYEGGTHDFKSHRRDNFGDKQNRRSNNVRGSSGTGTSAGGDSYLDDERFRRPRVNVR >KVH87389 pep supercontig:CcrdV1:scaffold_3550:45761:50049:-1 gene:Ccrd_025381 transcript:KVH87389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSGYPHNQPGYGAPPSSQPGYGASPSSQPYSSTPYGAPAQPYGAPAQPYGAPAQPYGAPAQPYGAAQPPHGQPSPYAPVASPYGAPAPSAPYGAHPETKPPKDHNKPSSSPYGAPQPGGGYPPAPGSYGSPFSALLPSTFPPGTDPSVVACFQAADQDGSGIIDDKELQRALSSYNQSFSIRTVHLLMYLFTNTNTRKIGPKEFTQVFYSLQNWRANFEKFDRDRSGKIDANELREALMSLGFAVSPVVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTTYSGSATFSYETFMLTVLPFLVA >KVH87390 pep supercontig:CcrdV1:scaffold_3550:25076:28348:-1 gene:Ccrd_025379 transcript:KVH87390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKLIPLIRNAVESKSNKHAKLIHQKVITSGLQNNIAICKNLISLFFSCQLFQSASIVFHSIEYPSDITLWNSLISNYTKNFMFNEALQVFEKLMHFTFSKPDSYTYPSLLKACSGLKFVNFGRMIHTHLVKSGFMSDVVVTSSLLGMYAKCGVFGLAVQVFDEMPERDVACWNAAVSCYHQDGQYEKALDLFGKMKDHGYEPDSVSFTTAISACAKLMNLERGREIHTEAVRNGFESDGFVQAAAIDMYGKCGCLEMAVQVFEQIPFKSLVSWNSMIAGYSLKGDSKSCIQLLSRMNVEGRTKPNSTTLSSSLMACSKSANLKHGKFIHGYIIRNNLKADAFIYSSLLDLYFKCGHAQSAESIFKKMPKTNVVEWNLMISGYVAVGLYLEALETYNAMNAAGVAPDGITFTSILAACTQLGALEKGKDIHRSIVSGEFESNEIVMGALLDMYTKCGAIDEAQDVFSRLPERDLISWTSMITAYGAHGQASKALKLFQEMQGLKIKPDRVLFLAVISTCSHAGLVNEGCYYFNQMVHDYGIQPKVADYSCLVDLLGRAGRLHDAYDILRRTVSIREDVELLSTLFSACYLHGDLELGEEIASLIIDKDPDDPSTYIVMANMYASIKKWDEARKVRLKMKELGLRKNPGCAWIEIDKKIEAFFVEDKSIPKADVLLLSTLILV >KVH87388 pep supercontig:CcrdV1:scaffold_3550:40292:45123:-1 gene:Ccrd_025380 transcript:KVH87388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNDIQAALKPFHQRASDAEERLARLEAAIATTKDSGNEELSNKALKEVKWVTEENAKLRYRITHLVRALEKADSDLASK >KVH87391 pep supercontig:CcrdV1:scaffold_3550:17186:18220:-1 gene:Ccrd_025378 transcript:KVH87391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MSISASNVPSLSSVTKYIDEDEHEKTKMALIFDKYNHRLATLPKERGWITENLYMYQGFWHQSKRRMSFETVMALQDTFNACPTDIYLATLPKSGTTWLKAIVFAIVNRNRYKNDSFPTHPLLISNPHNCLPFVETEIFRNTPTYVNDPHSPRLFATHIPYTSLPRSILDSGCRLVYLCRNPKDVLVSMFHFANKLRDKSRGPMRFEEAFELFSKGAMPIGPYWDHVKSYHNVSLKHPTKILFLKYDDMKVDTVNHVKRLSTFLGYPFTEAENDDGAVQEIVRMCSFENLREVNKHGDLREGIPNDAFFREGTVGDWTNHLTSEMSEILDQITNEKFHGLDILF >KVH87392 pep supercontig:CcrdV1:scaffold_3550:3189:4196:-1 gene:Ccrd_025377 transcript:KVH87392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPNTLRLSFETQPSEEDHEYEKAHLATILDRYKDRLTTFPKEKGWVSEHLYMYQGFWHLSKGVFSFETMMALQEAFEAHPTDIYLATLPKSGTTWMKALMFALVNRTRHENHRPSTHPLLIFNPHKCLPFVEPEIYRKTPTYVDAHRPRLFATHIPYTLLPRSIIDSGCRLVYMCRNPKDVLVSLFHFTNKLRDESRGVMTFEEAFQMFSNGVMPYGPHWDHVKGYYNAGLEHPTRILFLTYENMEKDSVNNVKRLAEFLGCGFTEKEEAEGAVEEIVKMCGFENLREVNKHGDLREGVPNHTFFREGKVGDWSNHLTTEMSNILDQIVKEKFQ >KVI06802 pep supercontig:CcrdV1:scaffold_3552:25542:28219:-1 gene:Ccrd_014843 transcript:KVI06802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKVDARRSPDLFALPQNSINCVDWRIEFGCFSNFPLHQLRKEPIPFPTVGEISAPGLQVWGREEGCCSDVYGEGAKEVKGTHGGICWINSRSNKRDRVALSFFSSPAC >KVI06803 pep supercontig:CcrdV1:scaffold_3552:20442:24574:1 gene:Ccrd_014842 transcript:KVI06803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTSNFMKGIPALTSGKKYSTPTNPLTGTNSTPNPKHQQEQQQQQQQQQQEQLIGPMNKLMGPMNNMGSQPPMNNQLVQQMVSQTRKPMQQSTSEGNSIFSATDDNVIMKQVISTHLPDGTDVDVKPLLNIVEDILKHATINADPISSSAHTDGDKLDDKPHHTNAVVMLNSLSHIIDKLANEMALKCLTGGDGHTTALALFHTVGNFHWDAKLVLTLAAFALNYGEFWLLAQIYSSNHLAKSMAILRQVPSIMEHTAPLKPRFNALNKLIHSILELTQCILQFKELPSMYITPDMPALASAINIIPTAVYWNIRGIITCASQIANLTSMGHEYGISSTELQSWELSSITLKINHIHEFLRQQLENCRQVVDMSNEELSILEDIYGESRIQGTRMDALYEIVWMPIIDPSVQYTDAMDRKFEEMMNRMPWYSVSHPSLIERPVIRSIGDRWHFRNKPILVVFDPQGRELSPNAIHMMWIWGSNAFPFTSIKEEQLWKDETWRLELLIRDDKYIFLFGGDDIEWIRKFTTTARAMATAARIPLEMVYVGKSKKKESVRRAISTITLEKLSYCWQETTLMWFFWRRLGSMLYSKIQLKKADDQDPMMQQIKKLLSYDKEGSWALLSKGSKFLTNGHGSTMMQTPADFDVWKEHIPSKGFDLSFTDYHDKLHVEANNCCRFEFPIAAGRIPNSMRCPECHQLMEKYIAFLCCHDHTGLLEPY >KVI00816 pep supercontig:CcrdV1:scaffold_3554:14412:20202:1 gene:Ccrd_020930 transcript:KVI00816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSKVQSLLICQLAAKDMGQDNYIGPVEHVREQDTDTVGTLQVWYFQCLWIWLHRLAMIRQ >KVI01651 pep supercontig:CcrdV1:scaffold_3556:21824:23204:1 gene:Ccrd_020071 transcript:KVI01651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKHDSNPTTANNHPTLATVCGHCGVEERKLLHHVCIRSNFRRLCTTCVLRLHAPFFCPACLGVYDRSPPDDAVVCYKCYSSSHPNCISSTAAASIQSSRGPSPCSSCLNPNALVLNLNRVENGSRSGGRAIDKNAARLLLAAGKIAAMSMSKAEVATAAEAEKRSKEASYTKKRAREALDHVVYLMGKEKRIAVDSNKKVSNANNGVVAMPVVVNNKVDTSNEVLEALNAVELKERVKSVVSEAQGNGVAVMQVDVVNGKDSGVNSVAENGSGKTDNLGKAAQGEKISNGLVSSVGQEQAQEKINSMEENSVVSM >KVI01650 pep supercontig:CcrdV1:scaffold_3556:35058:38595:-1 gene:Ccrd_020072 transcript:KVI01650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIAKVPCLRLSGRIFIRAPISACVSSVSGANCIQTNPQDDQNPPDSSEFEAKVQSLKNKLHPETLMHVLSSTHNLNASLRLFKWASLQNRFRHTTDTYSHIIMRLGMACRVEEVEGFCNEMVKGGFSASEQVLLGLLDSFIRYHRLDEALRVLFVLNSSSYKLSIALVNRLLGALVKEKKGLECILFVYKEMVKASIVPTTETLNYLLEALFEADRIDAVLDQYKRMQKKGCCPNSRTFEIMVSGLIGKNLLDEALVVLNEILETECEPESQFFSHILPLLFRMNKPEVGLKLFEKMKTFKVVPDFPVYEVLIQYFSKSLCMDDAVNLLNEMIHIDLKPSDCVFVDLVDGFCMLNKLNEAKKLLEDKQVMEVNSYSALLRGYCQAGNFVEVIQLFQKMVEKNITNPSSWNIFIRYLSENGRCDIIYGVLKRMIVSTSMPDSDTYSALIVGKCKSNELDGALKLFHHVCEEHWIVDSACYATLIRSLCKLDKIQEAFEVFCYMSFNKCSLCASSFSMLIRGLYLIGKVDELIHLPPLAYYSGKSCSNSDYGIIMKSLSKLSKGNGLLVIVARMVVEGCPQDSESYNELIKSMSEHHRATECALFLDLMVNEGFVPDTEILHNSISCLAQDFRLHMVLPTIKKLFSDHDVVNPTICNILINGFWKEGYKHEAGWLLDVMLEKGWVPDATTHRLLVNSGGCEEASSDENGNTEDEIMSILSEGFSEV >KVI00926 pep supercontig:CcrdV1:scaffold_3557:9805:31279:1 gene:Ccrd_020812 transcript:KVI00926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MESMPNVRFMLGRQSSMATDRVSGSDSDSEHEEVNRAEAIDPRLRLMFFSSEGDLEGIKELLTSGTDVNFKDIDNRTALHVAACQGFSNVAELLLERGAEVDPRDRWGSTMQSIIRTMMLSNFWRNMVPNLWQMAPMHVQNAREVPEYEIEPKEFDFTNSIDITKGTFIMASWRGTQVAVKKLGDEVFTDEEKVRAFRDELELLQKVRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRALLKRKGALKPATALRFARDIARGMNYLHENKPEPIIHMDLEPSNILLDDSGHLKVADFGISKLLKGTGKVKQEKLMTCQDTACRYFAPEVFRNEDYDTKVDVFSFALILQEMIEGCLPFSAKRENDVPKAYAAKERPPFNAPSKKYAHECWHENPARRPTFRQIIPRLESIHSSLNHKWRWKVRPLKCFQKMGSWNSEGSGTSSRDRSSGI >KVH93405 pep supercontig:CcrdV1:scaffold_3558:5777:10532:-1 gene:Ccrd_004543 transcript:KVH93405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSRSGTLIAALVLLGLGSMGLVWASVEAGNISTTNTLQCPPFRLSYRGLGEPLCFAAFGPFATTAFYLMQGGSTTVERLPITATILSASLLVGITTTLILFCSHFHQVRIGTETGSNVVKVAVISLYSLDKTKIFMAKYFCVRLHAIFGVALTAGMVAARIITSNNVPQPIVTI >KVH93404 pep supercontig:CcrdV1:scaffold_3558:51207:54134:1 gene:Ccrd_004541 transcript:KVH93404 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGTFVHNNEISPEPDGGVVKDESVVTKKRGTGAYLTWEDLGVSVGKEGCTSILTGATGYAKPGEIVAIMGPSGCGKSTLLDSLAGRLASNTRHAGRVLINGCKQRLTYGTLAYMTQEQVLTWTLTVKETVYYSAELQLPKLMPKSEKRERADRTIRDMGLQDSMNTRIGGWGIKGLSGGQKRRVGICLQLLTHPKLLLLDEPLSGLDSAASYYIMNRILKLTQQYQMTVVVAIHQPNSHVFGLFNNLCLLSLGKTIYFGPTFAANQFFAVNGFPCPDLQNPADHYLITINTDFNEEPVCGENPVEQVIDVLAESYKSSGIYMEVRGEIATLNEEVGGLVDGKRSLQANFITQCLVLTERSFINMHRDLGYYWLRLFIYIGLGFALGSLFHEIGSGFGSINARVSMVVFVSSFLPMLAIGGFPSFMEEMKVFQWERLSGHYGVGSFVISNAISSIPYLLAISLIPGVIAYSLMGLQREPKQFTYFASVIFASMLLVESLMMVVAAIVPNMLMGIISGAGILGLMVLGAGYFRLPNEIPDVFWRYPLYYISFHRYALQGLYKNEFGGLKFPEYQGGPPTVDGKIILTGTLHIEMGYSKWIDLGILFGMVVLYRIILFCTIKTIERVKPILRVFMSGSTFRN >KVH93407 pep supercontig:CcrdV1:scaffold_3558:750:5579:1 gene:Ccrd_004544 transcript:KVH93407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/sulfate symporter MDSTIVFSTAFSPSSRISLHLRSRPPPFSTAKYPLHKTHFFSPPPITTTTTTSSSNPLRLRSSLLTPLAKPIRPFSASSSNDDNSITEPPKTQLQGAKIVPLALSLAIGIVVRFFIPKPVEVTEQAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTTTVLTKTLTFETAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPNHPSARKLGSYLIQSQLQCAGNSSALFLTAAAQNLLCLKLAEELGVVIADPIRYANVVLTLCRDATGISSVVTAMLALSVLLLLGVLNWDDCLTEKSAWDTLAWFAVLVGMAAQLTNLGIVTWMSNCVASSLKSLSLSWPAAFSVLQAAYFLIHYMFASQTGHVGALYSAFLAMNLAAGVPGALAALALAYNTNLFGALTHYSSGQAAVYFGAGYVDLPDVFRLGFIMGCVNAVIWVVVGGFWWKFLGLY >KVH93406 pep supercontig:CcrdV1:scaffold_3558:33798:36668:1 gene:Ccrd_004542 transcript:KVH93406 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGSLVHNNNGISPEPHEGEWGIEIIENESPVMEKKGSNGAYLTWEDLGVAIPSSNSFAGKDGCKSLLTGVTGYAKPGEIVAIMGPSGCGKSTLLDSLAGRLASNTRQTGRILVNGRKRRLTYGTLAYMTQEQVLTWTLTVKETVYYSAELQLPKLMPRSEKRERADRTIREMGLQDSVNTRIGGWGFKGLSGGQKRRVSICLELLTHPKLLLLDEPTSGLDSASSYHVMNQIVKLTQQYGMTVLAAIHQPSSQVFGLLNNLCLLSLGKTIYFGPTFAANQDIVNGEIPAEHIINALAESYKSSEIYMEVQSEIATICGEEGDQIERKRSLQASFITQCSVLTERSFKNMYRDIGYYWLRLGIYITLGLALGTLFHGIGSAFGSISARVSMIMFVSSFLAILAIGGFPSFVEEMKVFQCERLNGHYGVGSFVISNAISSLPYLLVISLIPGTIAYVLMGLQREPGHFTYFALVLLVSMLLVECLMMIIATIVPNLLMGIISGTGIQGLMILGAGFFRLPNELPHVFWRYPMYYISFHRYALEGLYKNEFEGLKFPEYAGGPPAIDGEMILKGVLHIETGYSKWIDLGILFGMVVVYRMMLFCTIKTTETAKPSIRAFVSSSTFRN >KVI01688 pep supercontig:CcrdV1:scaffold_356:11575:29854:1 gene:Ccrd_020033 transcript:KVI01688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MVEATKNSIDEATTQMKMIYLVISDSTQAMDGLREELRGLGLGLWVIEIIQMAPYHEPFCLDIYISKASIRACIVHRATSKVVAVAHSISKDMKFDLGSTRNAAACAAVGKVLAQRALADDIHNVVYTPRKGEKLEGKLQIVLQFVINDGVRVKLNKSASFSAVKSSNFITQHETKAAGQGPDCCVSDNLVSSLTSSYNEVTCKFDQWQKQLGLPTSQEMKRFVV >KVI01687 pep supercontig:CcrdV1:scaffold_356:47862:79753:-1 gene:Ccrd_020034 transcript:KVI01687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel ClC-plant MLSNHLQNGVETAKLIWSRLPNAEDVSDAEPDEDGVLRNDGFGGVESLDYEVIENYAYRQEQARRGKLYVWYYVGVKWFFALLIGIGTGLAAVLINISVENFAGWKYSLTFRIIQSSYVAGFIVYTVINLALVFSSVFIITQFAPAAAGSGIPEIKGYLNGIDTRGILLFRTLIGKISGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSTRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRTAMGWCKSGKCGHFGSGGFIIWDVSDGQEDYSFEELLPMAIIGVIGGLLGALFNQLTLYITYWRRNYLHKKGNRVKIIEACLISVITSVISFGLPLFRKCSACPDSDVTSECPRPPGMYGNYVNFYCTKDNEYNDLATIFFNTQDDAIRNLFSAKTIHEYSAQSLLTFLAMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVRLYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKNLMRKMTAKEACGNQKVSYFTRVVKVADVANILRTNSHNGFPVVDHTRTGETMVIGLILRSHLLVLLQSKADFQHSPLAVDMRSQSIPIRHSLSDFVKPVSSKGLSINDIHLSPDDLEMYIDLAPFVNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASRVIGMITRKDLLFEDNEDSGPVELQSTSVRGRQQGGSTRNPEVEHPLLNGLLE >KVI01689 pep supercontig:CcrdV1:scaffold_356:2337:6139:1 gene:Ccrd_020032 transcript:KVI01689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNCNLSFSTKKNGLSLSILRAVHNRTEAVCDSLLRSKVETTSSCYDHNLHHSPLFSKIGDQSNDYKIDIVDDETWQVSIGFANAWKDATAPSLVTNASHAQVANDTPRNSVDPDFDEIDDLRICGNMFYKLDRDSKEYEERKSSKRKTDLRESKAKENPNSTSGNMVENVSKRKDKIRESKMNGNPRNNYGISMFDEMEKPEGSFIEKKQRVPTFNQLTAPYHEPFCLDIYISKASIRACIVHRATSKFDQWQKQLGLPTSQEMKRFVVE >KVI01721 pep supercontig:CcrdV1:scaffold_3560:8163:9763:-1 gene:Ccrd_019998 transcript:KVI01721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable microtubule-binding protein TANGLED [Source:Projected from Arabidopsis thaliana (AT3G05330) UniProtKB/Swiss-Prot;Acc:Q84M91] MVAKTPQKLKKKMVAPLDPIQLRETVNKVEKCMARLQELQYITGGTKGVSLSPASTRGYLKTSLRCKQESLRTRNGNGQKSPPGKLPTQIGEWKRMSLPAMLLGETVGEILQASRFAREIVAAVETKTQNTTDPKTPVTNSRRNSRLNPETTELRARRKREKQIIRSDPHSPLLQRAKSRINFKVSGSPPKKEIEKENCRFMANRVSPKNKPWAKKTVIFPNPLFHSSNNSQNQRFYRTKSPVIPRIRQTTPHKFLIKTPPSKAATSPSSVVKFQVKIRSPTICVSPTRPTTASAKKKSISPPKKVSTAAKLRRSFSPSRLASRLVSPLKSRKSCVERSMDGMMMNMMSGLKQRPASTTPMHLSSRRI >KVI01722 pep supercontig:CcrdV1:scaffold_3560:35998:39420:-1 gene:Ccrd_019999 transcript:KVI01722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTYPNHPPLGGSVNPMNIDGMMGKPSASTMGVKMQEKPMKHSHSMVLETLPPLLDASRMTLLKPANNHQSLGNSPNVSSTLQKIQGNPNGYNNPEEFGVKVQTFVEGGFVRLLAKLHCGENLNDASLLDELDDEIEDQQSPVSISATKRRKIIIDDENESSGGATS >KVI01723 pep supercontig:CcrdV1:scaffold_3560:48925:56429:-1 gene:Ccrd_020000 transcript:KVI01723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MCSLCATEIPSVTADILQRLSGNFVTLATDKYASNVVEKCLKDAPDDQSIPIIREIKNSQNIFSVIQHLYGNYVAQSVLQTAKGSLKNLMINKVQKEYAFLHSHPHGKRHDGMLYSQENSFIKTIEILSCNREATLSVNAVLPNDVDLLNPPAELEKRKHKLIYNKLHATELMRRPHSDFIDTVQRDVTQRMRGVLVDCFVEVFPNDVDFLNPPAELEKTKHKLIYINLRAAELMRRPHSDFMETVHLDVTQSLMFFYSLELTVIDAPGGFLLEWCTMGVKMQEEPIKHSHSMVSETLPALLDASRMTLLKPANNHQR >KVH93644 pep supercontig:CcrdV1:scaffold_3564:7111:22218:-1 gene:Ccrd_004304 transcript:KVH93644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEARTAAIKTLELDGALGGRSVQYRELQGHETERFLSYFKPCIIPREGEPEEHKTRMFVCKGKHVVHVQEAKDGMLMADAEAGEFWGFFGGFAPLPRKTNVKAAQSTNAAERVAAKSLTKKLLDSHNCYLLDCGEEHLRAQDRPTSNIICMIDNFETVSFRSKFNSWPQSDADASEDGRGKVAALLKRRGLDVKGLLKSAPTQEEEEPQPYIDCSGNLQVWRVNGQEKNLLGDDDQSKFYTGDCYIFQYTYTGTDQEECLIGSWFGKRSVEEERDSAISQANKMVESFKFLATQAFIYEGREPFLFCAIFQSFMVLKVAKSLNSSYCYILHHDSLIFTWNGSRTTTEDHELAERQLDLIKPNMQTRVHKENTESEQFWEILGGKTEHATEKIASVAESDPHLFSCTLSKENDWGLLMQVSEIYNFNQNDLLAEDIYIIDCNSSIFLWVGQQVDSKTKTQALAIGKKFLECDVLLEKLSLQTPLFIIMEGSEPPFFTRFFTWDSTKTAMHGNSFQRRLSLIKDGGRSTMNLRSRSVSFSSDRPRARGRSPAFNALASKFDNPGGRNLSTPPPLVKKLYPKVGEGEGGGGGSGGSGGGRSGGNLDLSKIVSKSKAIASLTANFDKPTREKLMPRSLKVKSESTAKPELNSKANPMSSRIGAQTMKEDAKENEAEEEEGLTIYPYERLTTSSTDPAPDIDVTKREIYLSSSEFKKKFGMTKDAFRKMPKWKQNKMKVALKLF >KVI11232 pep supercontig:CcrdV1:scaffold_3567:34575:40981:1 gene:Ccrd_010359 transcript:KVI11232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complement Clr-like EGF domain-containing protein MKEGKLGFIVCVWFLFMYGSSLGRFVVEKNSLRVTSPDSLKNTYECAIGNFGVPQYGGTLTGFVRYPKTNQKACQTFDSSLKSEVAGGLPLFVLLDRGECYFTLKAWNAQKAGAAAILVADDRPEPLITMDMPEEENAHVDYLQNISIPSALISMSLGDSIKKALTNGDMVNIKLDWTEALPHPDERVEYEFWTNSNDECGSKCDSQIEFVKNFKGAAQILERKGYTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFYKVANETGKPWLWWDYVTDFSIRCPMKDKKYTKECADEVVKSLGVDLKKIDECVGDTEANVDNPVLKAEQEAQIGKGSRGDVTILPTLVINNRQYRGFEETTEPALCLSDEIETNECLDNNGGCWMDKAANITACRLVYLTRIYLGWYRILSEEEYVNAPLCRDDHTKGCKCPPGFKGDGVNSCEDIDECKEKTACQCPQCKCKNTWGSYECSCGGNLLYMREHDTCISKDGKSQVSWGFVWVIILGLAVAGAAGYAFYKYRIRTYMDSEIRAIMAQYMPLDNQAEVEVHGSHGNV >KVI11233 pep supercontig:CcrdV1:scaffold_3567:44702:52824:-1 gene:Ccrd_010360 transcript:KVI11233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38550) UniProtKB/Swiss-Prot;Acc:Q9ZVH7] MSCGIKAFTISNPNPSELCTKRAPMEAVCSSPSLSRFRPLLQPRSSGVLTLAVSVSGYPRGLGNGLLHSRSNSRNRSIFSFAASHEDSKPSDIEIQEDANRLKEGSEESEEAWKKTLASFKEQALKMLNVSQEAYEVYLEKATVVLKETSEQLKIQADKASEDLSVIAKELSEEGKVYLSAAAEKSPEPVKDIVETFASSTDDLKDVSEVLDFYVGIPYGALLTSGGFLSFMITGSIAAIRFGVILGGTLLALGIYSLRSWKKGESSSIALKGQAAIATILFLRDLRLVFTRPAFTRYFAVIISGAALAFYAYRITYSRGQSTGGSSLESGTEN >KVI06602 pep supercontig:CcrdV1:scaffold_3569:50773:55662:1 gene:Ccrd_015048 transcript:KVI06602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II ETKRRFDEHRLKQVEEQRVGENSGESDESCGELEETDESCEELEETGESSGESDESWGDGGDGRQIGSSSLCSRTGFGNFCSDKKPAAVNWIEGRGKSVVCEAVITEEVVKKVLKTTVPGLVELNMLKNLTGSAIAGSLGGFNAHAANVVSAVFIATGQDPSQNIESSHYITMMEAVNNGKDLHISVIMPSIEVGIVGGGTQLASQSACLNLLGAKGASLKSPGSNARLLATVVAGSMLVGELSSMSAIAAGQLVKSHMKYNISTRDMAAIAGSKS >KVI06603 pep supercontig:CcrdV1:scaffold_3569:27085:30359:1 gene:Ccrd_015046 transcript:KVI06603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVFPIPACFFHLKSQSRSRFPPNLKSHSVIASLPIIEHEDELEHEDELELTYCFPTDYRTRTLDCFHLLLDRTQVDETSVGIEAFFVDSDLKKNSGWMIYSRS >KVI06606 pep supercontig:CcrdV1:scaffold_3569:13859:15359:-1 gene:Ccrd_015045 transcript:KVI06606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNIKQTWPLLLESFPAISGSSMFPPKNESGVEKACRNMPENVIVAVKAEEKVVSKAALAWALTHVVHPGDCVILLAVFHGVKSNRKLWSWRRLNGDCRNGDDCANLPDRICRISETCSRMVLQFQNQFEIYSI >KVI06605 pep supercontig:CcrdV1:scaffold_3569:7920:11877:-1 gene:Ccrd_015044 transcript:KVI06605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MSDNDGSLGAQHPLWEHFKKLKQELKHCMEALQCNIVVMKGSEPKVLRLNLGRSHDLQSPFFSAVSSPSMAPEKHLGHGVNHSTPVSSPEETAMFCPQSSDKSLLSNSDTSSLFLVYKQNPLFEGLIKGKPLQINKPKDFDDDPLTVIDSCGERIIALSLIPNSSAKSTSNTVLLVPQNNIANENSKILHSSQLSYAHLDQDKLSGELKDNIFSSGIREAVSLGRALSLPPPLCSLCQHQAPALVKPLRQFYYSELQEATDGFSDLSFVAQGELWVVYRGVLKDGLVVAIKQSTFSGSHGDADFCKEVRVLSCAQHKNVVLLVGFCIEGNRRLLVYEYVCNGSVDSHLHESQRTYLDWPLRLKIAIGTATGLRYLHEDCRVGCIVHRDMRPKNILLTHDYEPLVADFGLVSLHTEQDTCDEERVIGTSGYLAPEYFNGGMITEKVDIYAFGLVLLELITGRRTSELQCYKARNFWHDIYASHETEPIHLLAYKHKLLDTRLGSYQPCNFPPDLHAIGNAASLCLQKDPELRPPMSKVLKVLEGERRNYLGVELDNGGNRSGHMRAVILNAQIERRGHYRRLSY >KVI06604 pep supercontig:CcrdV1:scaffold_3569:37293:40163:-1 gene:Ccrd_015047 transcript:KVI06604 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDFHLPFPATVALIFSMALLASLLQTFNRKSRNNRNPPEAKGAWPVIGHLHLLHRSHLPHKVLGAMADTYGPIFTIKLGVCQALVVSDADMARVCFTTNDLDFANRPTTVVTELLGCNSAKFSFAPYGDYWRKLRKIVTLELLSQRRVEMLGYIRVSELRSATNDIYEAWVMNNSDLVKVEMKQWFGNLILNIVVRSISGKRFSPNDDEGVRFQAVARKYFKLLGAFIVSDFIPFLKGLDVGGYEKAMKVTATEMDNFFDQWLKEKKREKESGGGNQVFMDALLTAGSETTTVTLTWALSLLLNNPKSLKIAQDELDEHVGRERLVEESDIKNLSYLQAIIKETLRLYPPGPLSVPHHSRNDCIVAGYNIAKGTRLFVNLWKLHRDPKVWSDPNLFQPERFLTSKKDIDVKGNHFELLPFGSGRRMCPGVSFALQSLHFTMATLLQQFMLKNPSDEPIDMSESFGMTNLKATPLDVLLTPRLSPCMYHVDA >KVH89855 pep supercontig:CcrdV1:scaffold_357:100006:104267:1 gene:Ccrd_008161 transcript:KVH89855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MSDPLNSHCFKKGTEVEISSNDNGFRGAWYAGTVIKSKNSNNKRLILVEYKTLMADESGTKPLRETLDVVQLRPLPPREKRDMNFKFSEEVDAYYNDGWWEGVVTGVNPGDRYSVFFRATREQLEFSRSELRLHREWVYGKWVPPLEEQEEELCNKDKMTKGSLVEVCSDEDGFQGAWFAATVTEQLPHEKFLVEYKSIRNDEDTEFLTEVVDTNHIRPHPSDEVVDRFHLLEEVDALYNDGWWVGVISKVLSRQRYKVYFRGTNEEIVFKQSDLRQHLDWISGKWVSSSSVCTSMRR >KVH89852 pep supercontig:CcrdV1:scaffold_357:64686:65883:-1 gene:Ccrd_008164 transcript:KVH89852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MATEYKEVDNRRSSSTFNLKDLVVRFLALALTLIAAALVGLDKQTTTVAVTLVPSLPPLNVPVTAKWTHMSAFVYFVIANSISCFYAAISIILILATRGGHKYASLIITILDLVVVALLFSAVGAISSVGLIAFRGNSHVQWDKVCNVLDKFCHQIAIALFLSFAGCIAYFLLIVIGAVRLHKKL >KVH89856 pep supercontig:CcrdV1:scaffold_357:126577:151251:-1 gene:Ccrd_008160 transcript:KVH89856 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein NHFLTRTTSVFQLSTILPNSRQLFLTSCLSVFTWNYRSRLPNSCFDSSRNFEYEGNKRSYIMARLIHSRLSLSRFFNTLGSTGRGRYLSTGSNKIDEELKVEDAETVQVPHPPEEKLLVLGGSGFVGSHICKEALDRGLSVSSLSRSGKPSIQEPWANNVHWHQGDLLSGGSWKEALNGVTSIVSCVGGFGSNSYMYKINGTANITAIRAAAEKGVKRFVYVSAADLGVVNYFLQGYYDGKRAAETELLVRYPYGHVILRPGFIYGTRRVGRMELPLGVVGSPLEMVLQHAKPLSQVPLVGPLLTPPINVTAVAKVAVRAAIDPVFPPGIVDVHGLMRYSHQKVKYGFRPCGFAFFLGFGPCV >KVH89853 pep supercontig:CcrdV1:scaffold_357:95171:96780:1 gene:Ccrd_008163 transcript:KVH89853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGKSKHDVVTATTVIKSMRSDGGKEIHTTKTVTDKGDSSLIQQVETKSLVVEDSKKETVTTKIEPPADNKVVEPRSVVPTSVDNDITATKVAEAPTSVDNDIAATKVTEAPTSVDNDVEATKVVETEKIKDSNPKDTTEAKKGDVASKDIVGVTEVVKENDQKNKSYQKDDQKDESNLKDDQKKESNRKDDQKNECSLKDDQKKESSLKDDQKNESSLKDDQKKESSLKDDQKDDQKKESSLKDDQKKESNLKDDQEANVAPTKDEKIKEFDEEAKTEVAVEAKATEVVENAKVTDSKEEEAKGEIAEEKHVKTVDDYSNPKENDLRSEEKKDVAPAEESTKVETKKEDVKAIGADIVNTETSLAAEPEKALNAKEETVAETKEKEP >KVH89841 pep supercontig:CcrdV1:scaffold_357:158396:166424:-1 gene:Ccrd_008159 transcript:KVH89841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 MATNKGRTSSFSRNFSAAPTSSIPGLKYGPNGTIFLSSGVPDLDKILGGGFHLGSLVMIMEDTEAPHHMLLLRNFMSQGLVHNQPILYASPSTNPRAFLGTLPTMLVSKDDRSRNTDAEQKDLRIAWQYKKYLGENKPHIEERDGKVEYCNEFDLRKPIEKHLIMGNRVECISLLDCSSLAGFRNSCSKFLSQLPRYDGNITSAGRIAIQSLCAPQCEYFDKEWDMLSFIRSLKSMVRSSNAVAFLTFPASLVSPSVSKRWQHLADTLLSVKAIPDEDKELANLLTGYQDMLGLLSVHKVARFNTQVPVILDATTYSIKLQKRRSLVLECLNQAPVDGSSGSSYGTSGSCSGSSKTGTLDF >KVH89844 pep supercontig:CcrdV1:scaffold_357:219116:236958:1 gene:Ccrd_008154 transcript:KVH89844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-related protein MEEVADSLSSIIFSQDLKDAKSVVEWIYWAEPKFNFNAVIDEWCKEAPKELDFNREAENTRKVSNNLGCKEGCRDKKPEHRVKVMMPEVIQSTERVLILEYMDGVRLNDSRSLDSLGVDKKNIVEEITRAYAHQIFIDGFFNADPHPGNFLVTKTSPHHPILLDFGFTKSLSGSMKHAVAKMFLASAEATEIELETQKLRKPAFGDLQLDAFSGDIILFLRVLNLLAGLSTALNVSVSYYRIIRPFAEFALEWFVSVITSCVITLSDTTEGPVDSAEWVCDSPINSDVEAKLRPLLVKLRNADKILGIQVCAYKDGKVIIDTAAGVLGNYDPSPVQPDTLFPVFSVTKGVTAGMLHWLVDKGKLKLDENVANIWPEFSSNRKDLIKVHHVLNHTSGMHNALASLVQDNPMLLCDWDECLKCIATLAPETEPGQQQLYHYLSYGWLCGGIIEHASKKKFQEILDDAFVHPLNVSVESRLATLTLDTNDLNRFYEISSPRSSSCSSSSFNSSSIFQVDVLAGLIPLFNTLNVRRAILPAANGHFSARALARYYATLVDGGLVPPSHSSSSQPPLGSHPHHPTSTSKDKKHRGKKKDSKREPKDDACKIFSNQKQKIFDSFLGLGEYKKLTFPDGKFGLGFKRVCSTDGSLIGFGHAGLGGSTAYCDISNRFAISVTLNKLSFGSVTKDIIQFVCSELNIPIPEDYADSGLTPIN >KVH89846 pep supercontig:CcrdV1:scaffold_357:247561:248387:1 gene:Ccrd_008152 transcript:KVH89846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLKRCENLEKKMRSLKLNLAFMNRKVSEQTKHIEELQKQHEEMRDEKERLLEEIDRILSEPDKM >KVH89849 pep supercontig:CcrdV1:scaffold_357:251851:261331:1 gene:Ccrd_008151 transcript:KVH89849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MRSASPYGEGEDAQNTLKKAVGGGCFISISLSISDPGVRPLPSCGYPKVMPFALHQRGKVLISSETWSKCAPGQVVSRDRFFHSRKCLIGVFSSLEVSNLFPSTALSESTLSAEERSLMYNIIKEVGDGTFGVVWRALNKHNGEVVAIKKMKRKYYSWEECINLREVKSLRKMNHPNIVKLKEVIRENDILYFVFEYMECSLYQHMKDRVKPFSETEIRNWCFHVFQGLVYMHQHGYFHRDLKPENLLVSKGVIKLADFGLAREITSQPPYTEYVSTRWYRAPEVLLQSPTYGSAVDLWAMGAIMAELFTLRPLFPGSSEADEIYKICSVIGSPTENTWHEGLELASAINYRFPELAGVHLSTLIPSASQEAINLISALCSWDPSKRPTAVEALQHPFFQSCYYIPPSLRSKSATSRMPLSVGLNGRRSMEQKYATGYSGLPSNVKPAGNVTSSAKVHASLGTDVLRKLEMNYQGGVKNEKPYRSATRQPKHQTSGKNNNTRLIGKSGGVSDTAEKLVHMTIGSTRVPPRQQQQQQQRIYIPPPAKAGIRNARSDFYTGKSRDIQPRRGYCGKVAG >KVH89843 pep supercontig:CcrdV1:scaffold_357:186121:190823:-1 gene:Ccrd_008157 transcript:KVH89843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MTQDYVVRSNSNSRRLRRSDSLRSNSSGDDRDDRGWTNLHVGARKGDLKEVKRLLDEGMDANVAALGPKSHGLTPLHLAAKGGHIKVMDELLERGANIDARTKGACGWTPLHTAAKERNRRAIRFLVENGAFLPDNIEDTRFNPPVHYCPGLEWAYEEMKRVQHESGSSSGEGSYSSEN >KVH89851 pep supercontig:CcrdV1:scaffold_357:52599:54138:-1 gene:Ccrd_008165 transcript:KVH89851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MESQQKAEEVEVVNETTSSFNRKDLVLRFLALALTLIATVLVGVDKQTANVTITLVPSLPPLSLPVTAKWTQMSAFVYFVIANAISCFYAAMSLILILATRGGRKHMSLMITILDLVVVALLFSAIGATGSVGLIGFLGNSHVQWNKVCNVFDKFCHQVVVALFMSFAGSIAYLLLIVLVALRLHKKF >KVH89848 pep supercontig:CcrdV1:scaffold_357:264168:269376:-1 gene:Ccrd_008150 transcript:KVH89848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MVIGNRKLETQWIEFKKEKMLTCITCSKQQMKDMALKASGSSKGKPPTGPSSFKRAHTDYDSMLSKGYMHSGGSSSSTAPWDFNANGGYEPPRQSGHLVLDDDDDPTEWMAQVEPGVQITFVSLPNGGNDLKRIRFNREMFDKWQAQRWWGENYDRIMELYNVQRFNCQALDTPSRSEDGVTSLPPFSIMIPEQVLDLDKEIYYRDSTYSRFGSVRDSPMMNPIRNNYYKPPEQINHYNASSMDASRTTTSSRDETSVSISNASDMDSEWIEEDEPGVYITIRQLVDGTRELRRVRFSREKFGEVHAKQWWEQNRERIQSQYL >KVH89845 pep supercontig:CcrdV1:scaffold_357:218410:219107:1 gene:Ccrd_008155 transcript:KVH89845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLFINFVEAPLATASVRLLEAVTYLTLKRRFSYFLTLAIAQVHRATLRDGQEVVVKVQHEGIKTIILEVIFSVPMVL >KVH89850 pep supercontig:CcrdV1:scaffold_357:15974:18468:1 gene:Ccrd_008166 transcript:KVH89850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MAFDGGKKKKITVIGMVVILVVGAFVGTIVTVRRNNKQVEINTQKAGGKKTALIATTAVVIIICKYTDFRRICEETLQRLGIGKVPRELMTVSFSAAVEGIQGAIESSYPVREAAKDPMAAKAVDLCKELLDCSIEDLKRALDRWSIFDRLSENVINLRVWLSGAVTYQETCLDAFENTTGDSGVRMRKLLEFANKLTRNSLAMLDGVSFILENSPLRSDPRRVNPIPGQPIPKNRPNLLLPIPGQRTNIPGQPTTIIPGQDTIIPGQDTIIPGQPKIVPGQDTIIPGQPKIVPGQPKIIPGQDTIIPGQPKIIPGQDTIIPGQPKIIPGQQTIIRGQPVPNKPIGGTIDWKADVTVQHTYTKKDGTIITKGGNRRMLDDQGGDSTYHYSTKLQMVGDEEPISNAKPTVIDEDIKQYPSWADSSRRSLIDVDPKTLKPNAVVAQDGSGNFKTITEACLCTAVNGDGFIAKDIWIENTAGPEKHQAVALRVSADMTIFHNCVMDGYQDTLYTHSYRQFYRQCNISGTIDFVFGDAAAVFQDCKLIVKKPMDNQECMVTAQGRKDRHSVGGLVLQGCTITADPEFLNANPKPKSFLGRPWKEFSRTVIMQSFIDQNIAPEGWSPWTGNFGQNTCYYAEFNNKGPGANTAQRVKWMGVKKINPRDAERFTPGKYIQGDGWIPQAGVPYDSGMMKV >KVH89854 pep supercontig:CcrdV1:scaffold_357:98551:98871:-1 gene:Ccrd_008162 transcript:KVH89854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFVGGVTQEVRQVLKQEAGRCINCRSPSDLVDTDKVLKLFFVPVWRWPAKDQLMHCNNCGLFYPPSLSPLSSDSRPSENLLCQFCARKVDSDFRFCPFCGTAL >KVH89847 pep supercontig:CcrdV1:scaffold_357:239524:245491:-1 gene:Ccrd_008153 transcript:KVH89847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensitivity To Red Light Reduced-like, SRR1 MAASAETLNCEQPKLVEDEWTIVLPRRSNQRRKFPKIKPAKQQELQTHWAPTDLETTPEKELQLMQKMQISIEKLEKSPFFCAFLDQIHTPEASECFHKITRSEHKLKMVIYGIGSIESFESPRLQLSLAILMKRKLDWIGDMEVFDPIISLTESKVLEEFGCRVLSVNEQGKRQAVNPILFFMPHCEAELYENLLKTNWRCDMLNQIVLLGNSFEKYEQHRSVFQNSALDDLRKHLLAVRPFTKEFEIRTVSDDYFRAFHGSSWHFFSVDSSTDLQLTC >KVH89840 pep supercontig:CcrdV1:scaffold_357:171557:181361:-1 gene:Ccrd_008158 transcript:KVH89840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex, sigma subunit MIHFVLLISRQGKVRLTKWYSPYSQKERSKEDNELEILEIIQYFVETLHRYFGNVCELDLIFHFHKVYYILDEILIAGELQESSKKAIHRLIAAHDSIVETAKVESNTVSSIIAQVTD >KVH89842 pep supercontig:CcrdV1:scaffold_357:195951:196759:1 gene:Ccrd_008156 transcript:KVH89842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKGSLAKLYERYELQDSQVSIYTRKILSGLNYLHDRLVVHRDIRCANILVDVSGSVKLABFGLAKECLSISFSTRVRKLSSTYYTAFTLASLVSTNISKGFCRWYNNFSISSSENLIYIQVLSQLEYWIIVHLILLKLLKFHLSTCITISELENNE >KVI06492 pep supercontig:CcrdV1:scaffold_3571:5555:7924:-1 gene:Ccrd_015159 transcript:KVI06492 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MALHFLFLLLSLLLVYLISKTFISKSRFNPPGPPTLPFIGNLHQIHLSNLHTSLWHLSKSYGPIIYLRFGFIPAIVVSSASLAKEVLKTQDIIFCSKAPLCGQLKLSYDGLDVAFSPYNKNWKDMRKIFMLHLSGPKSVQSFRHIREDDVSTTMKNIHRLALSSKHVNLSEIMKSMTSNMMLRVGFGMRYQDGHERKEVLQLLTEFQAVVAEFFVSDIWPGLPFVGLVDRLLGKVDRVQKCFKYFDSFYQQLIDEHLNLQNPNKSHDQEEEDFIDILLRIKKDQLSNLTYDHIKAMLMNVLVAGTDTIAVTVVWAMTALIKNPKVMKKAQEEVRNVVGKKGKVDEDDLPKLTYLKAVVKETLRLYPPAPLLIPRETTKDAILHGYKIKQKTLVFVNALAIGRDPECWESPELFLPERFVGRDIDFRGNDFEFIPFGAGRRICPGISMADVMVDLLLANLIYLFDWDLPDGMSKEDVDFEVLPGLIMHKRNELCLLAHVNL >KVI06491 pep supercontig:CcrdV1:scaffold_3571:28403:30497:-1 gene:Ccrd_015160 transcript:KVI06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPAIVVSSASLAEEVLKGQDLIFCSRPSLLGQRRLSYNGLDVVLSPYNESWKEMRKIITTQLLSTKRVESSRYIREDEVSRSTKKIHELALSSKQVNLSEIIKNVMLAIMLRVGFGKRCEDALEWKRVSRLIGELQAAITTLFISDLWPGLPFVGLIDRLMGKMEQLEKCFHDLDSFYQELIDEHLEPQNSKPHDEELDIIDILIQLKKDQVLSPFELTSDHIKAMLADVLVAGTDASAATVIWAMTALMKNPKVMKKAQEEVRIVVGKKVQIDEDDLPKLTYLKAVVKEIMRLYPPAPLLVPRETRKDAILHGYQIKQKTLVYVNAFAIGXDPESWENPEEFLPERFLGSDVDFRGSDFKLIPFGSGRRICPGISMGAISTELLLANLVYLFDWGLPNGMKKEDIDFEFTPGXTIHKKNELCLSPQVYS >KVH87387 pep supercontig:CcrdV1:scaffold_3573:47984:50104:-1 gene:Ccrd_025382 transcript:KVH87387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MSGILFSFAALTLLLSVYCVGGTTRSYEFNVDPATKCDTTVPYEEHGYGEREISRHGIRQLRSGWADGPAYITQCPIQMGQSYVYNYTIVGQRGTLFWHAHISWLRASLYGPLIILPKLNVPYPFTKPYKEVPVIFGEWFNTDTEAIIAQATQTGGGPNVSDAYTFNGLPGPLYNCSAKGISKRMQIGYVHSRIMNAELSFLELADTFKLKVKPGKTYLLRLINAALNDELFFSIANHSLTVVEADAIYVKPFSTETLVLAPGQTTNVLLKTISEFPRANFLMSARPYVTGQGTFDNSTVAGILEYESSIPMKNLPLFKPXLPSLNDTLFVSXFSNRLRSLASVKFPANVPQKIDKHLFFTIGLGTNPCEXNKTCQGPNGTRFAASINNVSFIQPSVALLQSHFFDQSKGVYSPYFPINPLHWFNYTGTPPNNTFVSXGTKLMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYNPNKDPKKFNLVDPIERNTIGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLPNQKLLPPPADLPKC >KVI11315 pep supercontig:CcrdV1:scaffold_3574:41771:48555:-1 gene:Ccrd_010276 transcript:KVI11315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRIELLLVLGFMHLLLTSGQDQDYVYLHALMDNWQNTPPNWVGSDPCGDEWVGITCTGSRVTSITLANIDLSGELSGDIAQLSELRTLDLSYNKRLTGSLTPEIGKLNKLSSLILVGCGFNGPLPESLGSLPQLSYLALNSNGFTGRIPPSFGNLTKLYWFDIADNKLSGGIPVSDGATPGLDLLVNTKHFHFGKNKLSGEIPSQLFSSKMTLKHVLFEDNDLTGTIPLTLGLVQNLEVLRLDRNRLSGEVPSNINNLTSVNQLFLSNNNLNGRLPNLTGMSVLNHLDMSNNSFEASEIPPWFSSLQSLTTLMMQNTGLQGEVPVGLFSIPQIETVVMRNNKLNGTVDLGVTYSTHLRLIDMTNNLINGFTLRKGYSADLMYVRYPRLLFFWDKRGCLTHTFYNPMGKALFVGNPFCSGSEASKAYCDQPTGSNYSYSTPANNCVPANTCSLPQVPSPNCKCLYPYSGTLFFRAPSFSDLGNSTIYTSLQNTMMDTFESNQLPVDSLSLSSPIKKKNDYLEISLEIFPSGDERFNRSGISRVAFVFSNQTYKPPHFFGPYFFIGNNYDSFADASKGKPRSVSIGIIVGVSVGGCVLLLLLIFAGLYACRQKGRAEKATKKNSPFASWDPDKGSGACPQLKGARSFTYEELKTYTNNFTATANIGAGGFGMVYRGTLPNGQLVAIKRAQGHSTQGGLEFKTEIELLSRVHHKNVVSLVGFCFDEGEQMLVYEYIVNGTLKDSLSARGLQYLHDLADPPIIHRDVKTTNILLDERLNAKVADFGLSKPMVLDPIIGLNTQLQGLERFVDIALRCVEDTGDQRPRMSEVMKEIENIMELAGINPNVESASTSESYEGKSNRHDHPYLSESLFTYSGGLLPSSVD >KVI08393 pep supercontig:CcrdV1:scaffold_3576:48742:51687:1 gene:Ccrd_013235 transcript:KVI08393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSITPERHMFLQGGSGRGNSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLGKNLCGQPNGGGANKISKTLEPLISDVIQRMVPQARDRMVGTDDIHMSNSSVGPQTNKYEVQRHLQLRIEAQGKYLQAVLEKAQETVGRQNLGAVGLETAKVQLSELVSKVSTHRLNSAFSGMKDVSNRSNQPTDCSIDSCLTYGEGQQMDQEMMGLNFLNGSSNAPLKSKKVEDEPENIWCEEMKRNKKFLSSSDLSMSVGLESSEWNTDRSYSEQRFTCRDEDAIFMDQVTRNKTEPIKPENMDITQKFQLPYFEKKLDLNAHDENDAASSRKQFDLNGLSW >KVI08390 pep supercontig:CcrdV1:scaffold_3576:10695:15271:-1 gene:Ccrd_013238 transcript:KVI08390 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MRTSWADAVDNAASGSDGYGVGGTSGDSHRPAYVPPHLRNRPPTPDPMVGQATSNNGPPPINDRLGYSGQTSGSRWAGPRSEYGRPGYGSGGGRGGRGGWGNRGGSWGGRELEANPFGNDDVDDPAEIASEQENSGINFDAYEDIPVETSGVNVPPPVNTFAEIDLGEALNLNIRRCKYFRPTPVQRYTIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPSVQRPPCFRTIHVEARKFAYQTGVKVVVVYGGAPINQQLRELERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQTDMPPPGGRQTMLFSATFPREIQRLASDFLSNYIFLTVGRVGSSTDLIVQRVEFVQETDKRSHLMDLLHAQMDNGSGKQPLTLVFVETKKGADSLEYWLCGNGFPATTIHGDRTQPSGKTPILVATDVAARGLDIPHVSHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNMSLAKPLADLMQEANQEVPQWLTRYASRAAYGGGGGKNRRSGGRFGGRDFRKESSFNRGGNGGGMDYYGGGGGGGYSGYGGGGYGGAGAPSAWD >KVI08392 pep supercontig:CcrdV1:scaffold_3576:46223:48398:-1 gene:Ccrd_013236 transcript:KVI08392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEEDYAGHEESELWDPLKRNKRKEKEEDGLQGIFVELLGKVQGRTSIQAYLGESLKSRFKG >KVI08391 pep supercontig:CcrdV1:scaffold_3576:24835:29619:-1 gene:Ccrd_013237 transcript:KVI08391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLIPTWQVTLLCGILIWIVVSSMFNVTRIIRSLTQPWVSSCVISGTPIILQIQKYQHSFLDALFAGLSCVVSVPFYTGFLPLLFWSGHGKLARQMTLLMAFCDYTGNCIKVSLALSIVLQREIRCILSASSICTCLLVCLSYWIGVVDIVSGLVLGLAILAFWLSIHDHVDNFVVSGQNVTSFWAALSLLMLFAYPTPEFPTPSFEYHTAFTGVALGIVTGVQQTYEHFHHEQVARVFTPRLLMAAFAGRILIGIPTILVVKFCSKALAKWILPITANALGISIRSSGYVPALSGSSTVKKSNGYLQKMFFFSNQDSLDVDTGIRLLQYAGLAWSVVDLVPSIFSKLNL >KVH98800 pep supercontig:CcrdV1:scaffold_3578:3300:12199:-1 gene:Ccrd_022972 transcript:KVH98800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MVFEVIREDGSAHTITEADFKSIHLQDSWEMHLIIGPKEDKISRYRKAISAIRHICVDVYSEFGNNSEYMESESGKKSEEVFPEFGCEEYANPILDQEIEEDHAIPHDEPEPRSKRCKHSITTVDCQPSGPADGMLVFVSGNLQLAGNNTLLSSVRYARLAPPPTKNGVGLGARRPT >KVH99531 pep supercontig:CcrdV1:scaffold_358:238029:238778:-1 gene:Ccrd_022234 transcript:KVH99531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MEESVNFVNGYGKVNPSDDETTSVTGQAPPSNRRKAIAVIVTVGFFFVIVAAIIGATVVHHPRNKPSESQSSSVKTNNSIKAVCAVTPHPESCFTDISSIDSDNAVDPEIIFNLTLRLAVNELANISSLPKILISRSNDLRTGSALRDCATLFDDALSQLRQSVEAMRVGSGGGEKVVLTEGKLADLKTWISAAMTDQETCVDGLEEMGSTAVDEVKRRVQRSKEYMSNSLAILANIETLLDKFGLHLH >KVH99530 pep supercontig:CcrdV1:scaffold_358:240630:244084:-1 gene:Ccrd_022235 transcript:KVH99530 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MPVWVTDMINFDSDNQVLGTETPVFNGVAPGAELFDKIFNQGPLALCKEAGWVVLPDGTTFRKGCKPSPSSVEIKCTSANTTPSSSQRPSPPSSSFRSPSRLDSKNPPSNNPFGFLSNSIPPSLPPLRISSSAPVTPPLSSPTSKFPKKNNLDWKISPNNTLPPSVCQFLHHQCPLARTGTSGSGLLLYQSAMSLIGAPLVPSNG >KVH99537 pep supercontig:CcrdV1:scaffold_358:74405:75394:-1 gene:Ccrd_022229 transcript:KVH99537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRREAIKGPSSTDLLVCFPTRSHLTLMPKTICSPSRTSSNPQNHRHPHYHRGKSAGGGLWSKRNQMGSELSEPTSPKVTCAGQIKVRPKSGPCKNWQSVMEEIEMRLHNNKKHRKKPNWVEAIGLKKESMQFLTCLRSFKFDLGCFGAFPSAGVTSDDEVDDDDDDEIHHLDQDDHEDGSSRSAFSKWFMVLENLEETEKPKDDGGDDDDEAPCVPPSNALLLMRCHSAPAKSWLEEKEEEEDEDEDDDNGEEHDDDDDDDDGEEHDDDDGKKRENLMELMKYEGDFYKLSCDIAKETWVVGGMKEDPFSRTQRCSTKCLEEVKAYLQ >KVH99529 pep supercontig:CcrdV1:scaffold_358:266446:267450:-1 gene:Ccrd_022236 transcript:KVH99529 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MASAAMDFWNGSGFQQSTAGGELMEALEPFIKSASPPPLPLPPPSNYQNTLLLSTPSTSFPYPSSSSCFLPPSPSSSSYISTIHSQPGFYPDYSIQDQFGYEQPASSFGLTQLTESQMYPMQTQMDLPTQWPQNSNLNFLAPEPVPVKQSGSPPKPTKLYRGVRQRHWGKWVAEIRLPKSRTRLWLGTFDSAEEAALAYDKAAYKLRGDYARLNFPQLRHNGSHISDFKPLHSSVVAKLQTICQCLAEGKSIDGAKKSTSRRSSSAAAAKQATVSQQEVVKVEGFESEGYAGSGNSSPSSDLTFPEFTADDGWCGSEAFSLEKYPSYEIDWGSI >KVH99535 pep supercontig:CcrdV1:scaffold_358:51604:52756:1 gene:Ccrd_022228 transcript:KVH99535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAVWFCGVVEVVEVGDKMIYSITHKNKNYEVKATYKVVHDVRDESFDYSCNHFVRNGILYRHAFKLVPVELLPARVRYGEMDVEKQVLINQAISMFDLIIGDVRNDKGSLTEFVEQLERLGDEITVDVPILTGIRNKGCGTGKRLVGMSERVSMNAKKPKRLCRTCEKMGWHDSRNCPSKGDSMK >KVH99532 pep supercontig:CcrdV1:scaffold_358:227190:228627:1 gene:Ccrd_022232 transcript:KVH99532 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1/TIP-1-like protein MQASAAEQSPSCALAFSEELDEIALTPWLERRKCILLLLMLSNLAEDVYVKFLGHALNGPALFSAFDHRVDQALAILRPQAIADCRSLLASFGWPPLLSALNPSNLEAKKSAEATNPLSTM >KVH99533 pep supercontig:CcrdV1:scaffold_358:165145:168009:-1 gene:Ccrd_022231 transcript:KVH99533 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein KDASSLSTTNFTYKFSSSSSILHPSLLRVQILSSFPLNPPLQSSLQSHTNMTNPNVVVSDTQSAIAMVAVNGYSPPVSNSPAITPRGYPYNLTLFSKSKFPKSLETKNIAWVDAMRASSPTRNSSSEDTEHKKAWIMKHPSALGVFEEIMSAAKMKQVVIFLDYDGTLSPIMREAVKNVAKYFPTAIVSGRCRAKVYNFVRLSELYYAGSHGMDIKGPSARKHQKGNQNVLCQPAKDFLPTMAEVYGILLEKTKGILGANVENNKFCLSVHFRRVEEQSWNDLADLVKSVLKDYPELRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANSKDVLPIYIGDDRTDEDAFKVLNKRGQGFGYAISTTFSGMETVGSAQSLASIEIECGKKNPTKKFSRVWDDDCVEVFVFGLLGIYEGGQSCK >KVH99534 pep supercontig:CcrdV1:scaffold_358:93971:96365:-1 gene:Ccrd_022230 transcript:KVH99534 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MASPMLTGLPTPFSIDPPLSFRSIITVSVMESLPENATDGFDRLPDPIILTIFNNISDIKTLIRCRAVSRRFNSLVPQSDSLLLRVDRVISSADSDDDGDTSSVIGFIKSIVKSIQDLISPPTGHTLQSTSSPHQSQNSPAMILRGFERIKELEIELPTGDLRLEKRAGIKWKAEFGKTLKSCVILGFRCVGVGESDLGGGGGLKTRVVWTISALIAASARHYMVKEIVKENVHLSKLIVKDREGEGTVVMNESGIKEFREDKAENQDEEEGQHGNVNGGGVWWRSNRTRVPAVWMRMRHEAKLELSNGVTMEGATLVVVRPTSNAIGVAGATAEEAEEEQRWDEGLVAAGRGFDGMYGEAVEKLIKRRSYLLEMNSF >KVH99536 pep supercontig:CcrdV1:scaffold_358:228879:230109:1 gene:Ccrd_022233 transcript:KVH99536 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1/TIP-1-like protein MDELLQPLVDEAMLSGYSCRGEWISVMAEIELSDSIDKLRPEIEDEKKRLMEVQESALVLGSEDYRSPAISSAFLRRVSFMIECCWSLPGVPLRAKFIRMAVASIMHRFLDFLLFRCQEAEGLTALTDDDALIKVTSRDYMKNRKQWLEKREEGWAVSKSFIGAIGYLQAKMSIIEVNLNKMDFVGDCRNLATDIDCLVFSGIFMSNTKLSDAGVERFTNDLTILFGVFKAWCLRPEGFIEMRMRWIKS >KVH96810 pep supercontig:CcrdV1:scaffold_3582:16968:21538:1 gene:Ccrd_001098 transcript:KVH96810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYAKRCSLDMMHFFDIVRTKIYIGNLDLLVTEEEPSQIFLLCGTSTKEAIQSMHGSYPYWSTCSPPFLDKSVTSKQAMKRNLFTRNLPTRIMLIGLHHQGCQRKVSATAKRYLDLGDEEKRALYDQIGYVDDVCCRSKRESDDLYTIISQWQSERKGRLDAMFASLVCKYGGDEPSSEPTDEEFEAAREKLKKRKGFKKSK >KVH96811 pep supercontig:CcrdV1:scaffold_3582:13455:13952:1 gene:Ccrd_001097 transcript:KVH96811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MADVHKGHDSTGIKLFGKMINVQEMRELKEEPVNKSEVDDDQTLVNKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKAKPPCGHGLLGDSFSDVSFLDDNTSTSGIHFDGVVDWHMAAHGSFQHLFPAKRRRNSPGDI >KVI06524 pep supercontig:CcrdV1:scaffold_3583:5998:10036:-1 gene:Ccrd_015126 transcript:KVI06524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MMYQNQNSTDASFLELLSGNMQPNPQILSRVEDRNSLDDEHNLQYQELSLSLGMQIPSSAMDLPAFQYNYLNSHLPGSNDHGSQSNKVEQVDYLSFGLVGGANSTTKSGAINNGHCSISDTYDVSYGIVGTILDSKYLKPSQELLEEVANLHEALGQLKMNRQSNLYKLRGDGSGEKDSKFNSQSGPPASTTSSSGELSASEKQDLQNKITKLFSLLDEVDRKYRDYCQQLQIVEAALDVVSGCGAARPYTALAHQTISRHFRCLRDAINGQIQASRQSLGDQDDSSDRVLPRLRNMEKQLRQQRTIHQLGVTRHSWRPQRGLPEGSVSILRAWLFEHFLNPYIANWFINARVRLWKPMIEDMYKEEFGDPEGNCMSPSQKHAPKVANNNSSSSEDKEKECGRIDQSSDSNPDNFFNEMEVSRSPTQSHTDLPDQYRFGEPQSLSDFVV >KVI06523 pep supercontig:CcrdV1:scaffold_3583:27772:31519:1 gene:Ccrd_015127 transcript:KVI06523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANNGIAEDITEFPKGLDYLNLFSALNMQLIGNTPMVYLKKMVDGCVARIAAKLETMEPCSSVKDRIAFSMIKDAEDKGLITPGKTVLVEATSGNTGIGLAFIAATRGYKLILVMPATYSMERRIVMRAFGAELCITDAAKGIDGVFQKVDEIVAKTANSYFLKQFDNPANPKIHYETTGPEIWNGSKGKVDAFVSGIGTGGTVTGVYGVEPTESAVLNGGNPGPHKIQGIGAGVIPDVLDVSVLDEVVTISSEEAIETAKLLALKEGLLVGISSGAAAAAAIRIAKRPEYAGKLIVVVFPSFGERYLSTVLFDSVRNEVENLPIE >KVI06525 pep supercontig:CcrdV1:scaffold_3583:30463:40666:-1 gene:Ccrd_015128 transcript:KVI06525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MTSPSASSRKTLSKIATNRLQKELMEWQVNPPAGFKHKVTDNLQRWVIEVNGAPGTLYANETFQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISIVSMLSSSTSKVRASCSLITIASPKKKPKCRCSDYNASSVDQRPADDERYVKNCRNGRSPKETRWWFHDDKKHSFKFRNKEKQANPDAKMIGTNETLQRMKLFKKTDGTVDHKS >KVH89334 pep supercontig:CcrdV1:scaffold_3584:1708:4110:1 gene:Ccrd_008677 transcript:KVH89334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MDTGRSIRVRFSFTFLVLTLVLVFGVTSGADDKDKEECTEQLVGLATCLPYVGGNAKSPTPDCCSGLKQVLNTNKKCLCVVIKDRNDPDLGLTINVTLALGLPTVCHAPANVSKCPASANMTSTRGSATNLKQPGGNISNNGRTWLKLEVILMIIVSSIFTSASIM >KVH89335 pep supercontig:CcrdV1:scaffold_3584:76401:76688:1 gene:Ccrd_008678 transcript:KVH89335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDIISGDTLFKVGLFILVQALVYLILSSSSNIFSKTAPTLRATSFRTVRSVSIRRIMAALSDLPAGGETSPSIKGSASFKRQDSGTGHDPFS >KVH92237 pep supercontig:CcrdV1:scaffold_3587:18587:23086:-1 gene:Ccrd_005728 transcript:KVH92237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MSTFKNNYGCGLFNTVFRPRPKPSASAASLPTTNVPDVPRTPKRPDRIVATTSPNQQTKATPPLYVQQVARKGTPDEKIGSRGSEIERNDDRHGYYQRYGRNNKVPQGSTGISGELEAMIDEHQSSKNAGNMIIYGNLGNLRQGNLNDISYHPKTKRNQEQSSKKSSKKDQKSGKNPTSLCRALSTRMDPEQLKIMGNEDYKNGRFAEALSLYEAAIAIDPKKASYRSNKSAALTGLGRLLEAVFEAKEAISIEPFYQRAHNRLATLYLRLGDADKTIHHYKQSGSEAEPDLITRARNLQVHLNRCIEAKMHRDWNTLLKETNLAISAGADSALKALLKLHRHEEADEALRNAPKFEVNDCTKFYGPISHAYFLLGKWQAAIDDYKLLAKEAPEDEE >KVH96095 pep supercontig:CcrdV1:scaffold_3588:59046:59714:-1 gene:Ccrd_001821 transcript:KVH96095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 LENPLNYARNYLGDILDPYVDRVIYLDSDVVIVDDIQKLWNITLQNNRVIGAPEYCHANFTKYFTDSFWSDPMMSRVFGSRKPCYFNTGVMVMDMGKWRKGNYRKRIENWMELQRKKRIYELGSLPPFLLVFGGNIEPIHHRWNQHGLGGDNVKGSCRSLHSGPVSLLHWSGKGKPWVRLDEKRACPLDHLWEPYDLYKRNHHRQQTAVGSFNFVGYSNYFI >KVH96096 pep supercontig:CcrdV1:scaffold_3588:40605:43529:1 gene:Ccrd_001819 transcript:KVH96096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MAAKIPFLPLLLHFFLFASCFLPQSSSQSIPQNVQVFYPWPLPPPPPLPPPPPPSPSQPPPPPPSADITPTPTPTISTPAPTSKSSNKTVATAVAATAASALVLSGLFFLLLVRYKRYRKEKEPSLHGGNDNGNDNTRQPVLLMHDQFMRFEGVKGVIVDEEGLDVLYWKNLDGDDGPKKALFRKERPRINEKEEEKKMMAVDHGGRKSKKFEVQIQEVPLLRGKSSGSHLWPSGEENRSQNNSPPVVNQEILMKSQLSSRSPPPTPPSPPPLPPPPPPPPPSTVVARKSYVPPPLLPPEIGGFTSSSRPAPPSQVKLKPLPWDKVNANVGHPMAWDKMKNGSFRFDDNLMEALFGTVAANKKSPRENINSPTPKNILKSGPPSQVFILDTRKSQNIAIILRSLVVSRQEIIDCLLEGKGLDTDTLEKLSRISPTKEEEELILNYDQDITRLADAESFLYHILRAVPSAFTRFNAMYFKLNYTSEASNIKNTLLTIETACKELRNRGIFVKLLEAILKAGNRMNAGTSRGNAQAFNLNSLLKLSDVKSSDGKTSLLHFVVEEVVRLEGKRCMINRNHSLRNSTVGLNCDASRGKDYIMLGLPVVGGISSEFSNVKKAAGIDYDFLTKSCSGLSNRLAEITKTVEICGGDDGGRGFVREMEKFVERAEQEIQALSEEEERIIGLVKKTNEYFQVGASKDKGRKQFQLFVIVKAFLEMVDKACVDIAIKLQKRRTGGEQEATAVLPTPKSPNRPSVKFPVLPPNFISSSSSSSDSDEDL >KVI06716 pep supercontig:CcrdV1:scaffold_3589:3096:3541:-1 gene:Ccrd_014928 transcript:KVI06716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSLIALLSESSFLLKKFQHRTATKDVGRIAGLEVLRIINEPTAASIAYGFERKNNETILVFDLGGGTFDVSVLEIGDGVFEVLSTSRDTHLGGDDFDKRVVDWLAASFKKDAD >KVI06717 pep supercontig:CcrdV1:scaffold_3589:19446:20336:1 gene:Ccrd_014929 transcript:KVI06717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CIHLNYVARNFHLQALKQLKSSNVKIDSYCSCLGNRNGNVDNLRMPMKKACHWKILPVTCRYEIILWQGMTPVIVGAPIIQYFAPSPGSILHVKELTDVELVVKTMKQLAVNLMAYNESLRQVLFSVFSFYFFDNV >KVH91554 pep supercontig:CcrdV1:scaffold_359:102769:108630:-1 gene:Ccrd_006428 transcript:KVH91554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1639 MEKNNSNRDGLLLRDRSPATNTTTTSSSDFVLQWGNRKRLRCMKVQQQQQQQQQAKDKESAAAVAVSGTPPVGQRSITARVDRRIVRSPNHQSKEGVPGNGYINLRQRSSSPAHRVLRNSSESSIGMKGQSNGGRGVCSPERGGAQDKRGGYNSNTTSHHHNGKNNNGGGGGSASSETALDSKKGGTSPGREAVPMVWPPKFVIGLTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTINLVSPGGWLCDLTLERYEVREKKISKKRPRGLKAMGSMESDSE >KVH91551 pep supercontig:CcrdV1:scaffold_359:32555:41853:-1 gene:Ccrd_006421 transcript:KVH91551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase MEATTSSYALPGVNISNSNISKLRHDVSVVCFQRGLRVPDRNRTCEITCQSSWSSDTTGSTFQDLQLRMPIQKKSLAGKTFCNAGVWTHPMNATESHSHIEEDKVGVLLLNLGGPETLNDVQPFLYNLFADPDIIRLPRLFRFLQQPLAQLISVLRAPKSKEGYASIGGGSPLRKITDEQADALRMALEAKKVPANVYVAMRYWHPFTEEAVQQASISVKKPFRQIKRDRITKLVVLPLYPQFSISTTGSSIRLLQTLFREDKILSTLPVAIIQSWYQRQGYVKSMADLIEKELQSFGSPEEVMIFFSAHGVPVTYVRDAGDPYKDQMEECIYLIMQELKARGIDNDHKLAYQSRVGPIQWLKPYTDEVLVELGEKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGVENWGRVPALGCTSTFITDLADAVIEALPSATAITTSTNDGGDFNQDPVGYGIKMLLGSFLAFILLVFPALKNHI >KVH91555 pep supercontig:CcrdV1:scaffold_359:142463:160807:-1 gene:Ccrd_006429 transcript:KVH91555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MKRYAILLLLALSIANVVHARFIADDFIQLPSEKRDSDDLVGTRWAVLLAGSNGYWNYRHQADVCHAYQILKNGGLKDENIVVFMYDDIANNEENPRSGVIINRPDGDDVYHGVPKDYTGEDVTVDNFFGVLLGNKTAVRGGSGKVVNSGPNDHIFIYYTDHGGPGVLGMPTSPYMYANDLIEGLLPEGLNIYATTASGPDENSWGTYCPGDYPSAPPEYDTCLGDLYSVAWMEDSEAHNLQTETVKERTSNGNSNYGSHVMQYGDLQLSMDELYLYLGTNPANENLTFVDSSSLRPSSKAYRKAPEGSSRKTESWRQLAEAMSHRMHIDISIKLVGKVLFGMKKGVEILDGVRPAGQPLVDDWDCLKTLVRSFETHCGSLSQYGMKHMRSIANFCNAGISKEQMTEASSQACPNFPSNSWSSLANGFSA >KVH91546 pep supercontig:CcrdV1:scaffold_359:64019:70166:1 gene:Ccrd_006424 transcript:KVH91546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAEFRLSSLCRMQNPMVQYPGSRIPSNNRSAHSHLRFGKTEYIFGNGEYHKNFKQEPNDALILRKREPQAAVMFSLFSSPSIIAAHLHRLLSLPHHHCLLMLTVRHDWFRVAPNTVTEPVWDSVKRDSRIVSNLKLVVFPNPFPEDPGKALRDWDLWGPFFFIVFLGLILS >KVH91549 pep supercontig:CcrdV1:scaffold_359:77937:78747:1 gene:Ccrd_006427 transcript:KVH91549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MEFTHLKNLKNDNNNIIIRIRICRMWESLNSKKGGELISLDMILIDEEENLIHASIWSGLVPKFRTLLHEGVLYEIKNFKVVPSVGNFRPLANDIKIIFXKXXXXKKIRRRYREHP >KVH91548 pep supercontig:CcrdV1:scaffold_359:75436:77378:1 gene:Ccrd_006426 transcript:KVH91548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFINLWGPFFFIVFLGLVLSWSASVKKGSALNDSGLNDLHDHMESILSESLSLNEKDVTRVLRSLSFDDHRXSCKLAKMRSFGSSRMIGGSLSLQRLDQISVSFFEVEKPETVVSHWSRARTRAAKVPIFSRNICITKRANISHSEGVKSVVFVSLNMLVKACQKTKRHISLNSMIKLLDIREGKEVNLEQCPRLKLQQQCIKYLGP >KVH91545 pep supercontig:CcrdV1:scaffold_359:10533:13534:1 gene:Ccrd_006419 transcript:KVH91545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECELMYEEQPWMTNWMTNCETIVTVKQYFNVDFDGLMGGFQARMQEMKEKEVNYNGNVCKPCSVACFECPICRTKISDRIFAFTS >KVH91550 pep supercontig:CcrdV1:scaffold_359:25518:31000:1 gene:Ccrd_006420 transcript:KVH91550 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MADEAHYQSGGDSATTTKRKYDDSTAPPPPSSARRLTGFSAPSLSPDSAPSYNSVPPPMDEIQLAKQRAQEIAARLFNNAEAKRPKFENGGGGFDSNDNRDFSSDLGQKPMLNTAPSVPSSYGYGNPSKKIEIPNGRVGVIIGKAGETIKYLQLQSGAKIQVTRDMDADPHSLTREVELTGSAESIAKAEQLIKDVLAEMPKLMCYLKQAESGGSGIVSRRVSGQPGGAEQFVMQVPNNKVGLVIGKGGETIKNMQASTGARIQVIPLHLPPGDTSTERTVQIDGSSDQIEAAKQMVNEVISENRQRNSMGGGYSQQGYQARPPTSWAPQMQQQGYGYTQPGAYPGQPTQYTQQPYASYPQQASTGWDQSTTQAQGGGAYDYYGQQQTPQTQTPDASAYGYNQQGQAYAQDGYGGYASAPQSGYDQQGYGNAPTDGQTAAYGAQVDNANQAPPPASAPQSGYVQQPGSNPSYPPQGSTHSGYGAQPGYSGYGPPQTQKPPVSQPAYGQPPPQQSPSAGGYAQPAPYPGGYAQPDAGGGQRPPPTTYGYGQPPPYGATQPGYGAAYGGSYGSGYPQQPPAYSSDASQVAQPIPKASPQQN >KVH91556 pep supercontig:CcrdV1:scaffold_359:233431:235513:-1 gene:Ccrd_006432 transcript:KVH91556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGICISRASPEIHDIDYGHEHVIYYQQTISDHRIGSLHTHQGSKGFNQDAAILYQDYGMENGAFGGVFDGHGRNGQIVSKFVRNRLPSLIVNQRNSTIIKGKTVIEHDGEFESKDFHIWKDACFSAFKVMDKEIKLMEHIDFSCSGDSRAILGTIAENGIVAVQLTTDLKPSVPSEGDRIRKSNGRVMALKEEPHIDRVWLPHHDSPGLAMSRAFGDFVLKSHGIIAVPDVSYHRLTPNDQFLVLASDGVWDVLSNNTVASVVWGAESEESAAKAVVVAAIAAWKQRFPSSNRDDCTAICFFLQKNMHQELYNMPKDLDM >KVH91552 pep supercontig:CcrdV1:scaffold_359:47215:51386:-1 gene:Ccrd_006422 transcript:KVH91552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1764, eukaryotic MSKKSSSKTSRPALQSPAIASWDMPKKSSAKTSRAAPQSAAIASWDMPKKSSSKKNSSKAPKPVPQSSAAAKAKSSSTPKTFGHEIEEIFSKKRKKTGHEKTRKVAEGVVGKPDKLDENMGKDSKKENSHKKRSRSGGSNVDVFENEQAARPKRKTADGLVIYSEEDLGIGRADAGGTRLCPFDCDCCF >KVH91558 pep supercontig:CcrdV1:scaffold_359:192240:205376:-1 gene:Ccrd_006430 transcript:KVH91558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MEVGLGDGGVSQSWSDMEVVAGPKTSREKPCVSPVAIIESSASFARFRDDGGKALFDDQDESSYHLPTDHIQCLTLDLVSMIMFSTFEHAVDFMYPKEDCSITQQLGVTCLAGYAAGSVGSIISNPTDNIVASLNNKKAGSLKLVVKKIGLRNLFTRSLXIRIMLMGPVVTLQWLFYDTIKVLSGLPTSGHICSEVEDTGAKIQTLLEQQSHSLVLSL >KVH91553 pep supercontig:CcrdV1:scaffold_359:55878:59541:-1 gene:Ccrd_006423 transcript:KVH91553 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MNVRAPFHSLVLRQSNIPTRNNAVVGADNFAIESHSISYSINTEKGKLIPILKDCSLEIPSGQFWMLLGPNGCGKSTLLKILAGLLSPTDGRVHVRKPRSYVFQNPDHQVVMPTLEADVAFGLGRFNLTNDEKKLRVAKALTARPVQTLSGGQKQRVAIAGALVEECKLLLLDELTTFLDESDQVGVIKAVKNTLSSSADVSALWVTHRLEELEYADGAVYMEDGRVVMHGEASSIMDSIEARKASYTNTLNSS >KVH91547 pep supercontig:CcrdV1:scaffold_359:66659:69454:-1 gene:Ccrd_006425 transcript:KVH91547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF866, eukaryotic MVKFKLCIHAELVNLTNFQPQGGCSDPDFTYYFKLKCNECQEVTKKGICVSLNETVPLSRRRTTNLIKKGIEPSDFAFDRGWKAET >KVH91557 pep supercontig:CcrdV1:scaffold_359:208066:209064:1 gene:Ccrd_006431 transcript:KVH91557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MLIENNIYLGVTTNRLINKVAVVTGGARGIGGATAKLMAENGAHVVIADVLDDLGTNLANSINGRYVHCDVSVESDVEAAIQLAVTWKGKIDILFNNAGIGGTYLPFSRLIFTILLSINTMLLKSTYVGFKIDQEPNQLIVLGSFLADVGGSICSVEMKNVAKLIGVNVNGVVHGIKHAARAMILAGNGGSIISSSSTAAIMGGLGSHAYTLSKEAILGVSRSSACELGIYGIRVNCVLPHGVLSEMLVDAYRGFKNDVTVEEVQQKVSENASLLKGRCGSVEDVAEAVLFLASDKAGFITGHNLVIDGGYTSSSIGMTFIYRDKISKSELS >KVH87386 pep supercontig:CcrdV1:scaffold_3590:22526:26383:-1 gene:Ccrd_025383 transcript:KVH87386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEEVVTEVLQKAGETVWDSIQRITEASMCMNRNYSLLEAKMKTLCALRDDLKDRTTKYKSTKKRTMDDWFHRVMVAENLAEELHNKFIELEESSRLFHVLPRSKLSKQMASMILEIGEFLVEGNQLGDTLVHKVAEPVVKVTAPDISYIPTLQGALDKILQDLTNEDLKAIRVLGMLGAGKTTIMQNLNNHEKVASMYERVIWVTVSGEENNKENLSTEMLQHMIAERLIVDTEGATDVTKIARKVKEELEGVKYLLLLDDVKSELDLDMIGIPEGAKGSKIVMTTKFRHVRLPSCSNIEVKKLSQSESWNMFHKLLDLHDDIKDKPQLERTARKAVSLCAGHPLMIKMAARIFKAIEKRELSEISWSDGLQTLRRWPQKGNNEPMKDLLKFCCDHLDHEQKPCFLYSALYPEDTEIFTEGLLDCWEAENILKSNKEWISLANNSLDTLPDAPRSSQLSTLFVQKYSKHKKIPDSFFQHMHSLLVLDLYMTELTTLPSSLYKLSNLKVLYLNGCTALKELPGFIGQLKSLEVLDIRGSGVGKLPHQIEGLTQMRRLLVSFTMSTQGNYDSIFKLSGLEELIIDVDSEMEDWCNKLIEDVVEKVSTLPRLISFQFCLHNRVIDVIQVVDDTVKIYVPKEHHLRSFLERRQDLETRSFQVYIGCFISRGPEIPEFYRYDRYVKYDSGWGQNDVINKVLTKVHAFELINHNDIEHLSSNAIESMDYVQGCLIQRCNKMRTIVRSYCTRDRSLLPNLERLDAKDMPKLEKIWEGHVQLGSLSKLKTLVLRKCPMLTIVFCNVIVQQLRELQYLDIEDCCRVEEIVTCSQDVSPYVVPKLSTLILCNMPSLRKICSTLDWTSLETLKIHDCPTLKELPFDSNTAMKIQTIEVEEDWWKALQWSDSEVKEQLGSQHNNLATKHVNNSHLFPKVTSIHSKSGC >KVH87384 pep supercontig:CcrdV1:scaffold_3590:33564:34619:-1 gene:Ccrd_025384 transcript:KVH87384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSPSLLASEWVRGKMIGSGSFGVVHLAINKSNGSLFVVKSSDSQEGNQSLQNEANVLASLDSPYIVQCLGKEVSVGENGGHPKTNLFIEYMAAGSLGDVAEKLGGKLDEGVIRVYTQEILKGLKYLHDNGIVHGDLKCQNVLLGSNGNVKLADLGCARRLRSNATTGNRKFLCGTPLWMAPEVLRNEALDFSADIWSLGCTVIEMATGKSPWGELGVSNTMAAILKIASSNELPSFPREFPKSGLDFLNKCLVRNPERRSTVDELLNHPFIKGETKAKKPEYEHMFSPLSVLDIGLGDDGYESEDSDELEETKLRSKIPFSVRCYEKQKSPKHHTESDQMVSQENWVTIRS >KVH87385 pep supercontig:CcrdV1:scaffold_3590:51163:54610:-1 gene:Ccrd_025385 transcript:KVH87385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEEAAAGDATVGEKVFKTKCAQCHTVEKGAGHKQGPNLNGLFGSQSGSTAGYSYSAANKDMDVVWAENTLYDYLLNPKKYIPGTKKFFPGLKEPHDRADVIAYLKQSTA >KVH91501 pep supercontig:CcrdV1:scaffold_3591:25006:35732:1 gene:Ccrd_006473 transcript:KVH91501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFITSDLPDQLHLGVTDTYTETDVALSFGQAYDWYFCPSEKYIADFTWSSKHMHFEVFPQYGKKICDRFKLVLGVEVQSSAKSESIDVENLSESKTAVVNDQRPCADRTDCSFFCPPSSRICYYQYTDFEVLIKHLNHNSTSSNNYGSTFYWS >KVH91504 pep supercontig:CcrdV1:scaffold_3591:38105:41583:-1 gene:Ccrd_006474 transcript:KVH91504 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, dynein-related, AAA domain-containing protein MDEQIDGKTLIENYVCAEQPGEFRWQPGSLTQAILNGVWVVLEDIDKAPADVQSILLPLVEVMNYVNILVSISGTVEGMLTWDWCIVVLQILMQMQKDSMCLMNKKCWRDSGLTVGVKMTLEARLRNLEGXELGRSAGSTKGKPKIEVYHKDLKKGNGAMITPAKTYNIAADSVLGRIEAEVVEDKEMVSEVVDEGKKDKKKKKKKGVAEEEVGVTEDGAADEDVGKKKEKKKKKRPAEEAAEVAKEEEDGVKKKTKRKHAEAEGEGEGESEKGSKKKDKKKKKREE >KVH91503 pep supercontig:CcrdV1:scaffold_3591:40130:40452:1 gene:Ccrd_006475 transcript:KVH91503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLDEYRKNFSDSWKAVQADCSQAWPYLDEALTKFQSGCWKEGVDLFHYMLEMDAKFDEVTLISVLTACGRVGGMD >KVH91502 pep supercontig:CcrdV1:scaffold_3591:52130:53404:1 gene:Ccrd_006476 transcript:KVH91502 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MLLNLLPSSSVTFSKSHHKYPINNPSNLASKTSIPHRLTQSKLKVSILNQIHNHPFEHPSSTPTSQIYGVSKFLKTPFRFGSFGGKPTSQIVKSASESSETPQDLTPDGEIEKKSNKTLQLAIVFGFWYFQNIVFNIYNKKALNIFPYPWLLASFQLFVGSLWMLVLWGFKLQPCPKIDKSFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVVFSSALGTTYPLSVWLSILPIVMGCSLAAVTEVSFNLGGLWGALISNVGFVLRNIYSKKSLQNFKQVNGLNLYGWITILSFFYLFPVAIFVEGSQWVPGYYKAIETIGKPSTFYLWVMLSGVFYHLYNQSSYQALDDISPLTFSVGNTMKRVVVIISTVLVFRNPVRPLNGLGSAIAILGTFLYSQATSKKKAAVEKKED >KVI06049 pep supercontig:CcrdV1:scaffold_3592:32692:34357:1 gene:Ccrd_015614 transcript:KVI06049 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4413 MANPTNNSEPPNADEQMNNKRRRKKSIVWEHFTIETVDSGCTRARCMQCKKSFAYISGSKLAGTSHLKRHIALGICPVSRINQKNGEVLALTPHSSVNGTQDVNDLPRKRYAFITGQFIDDDWKLHRRILAVVLLPFPDSESAFNHAILSCISDWNLENKLFAVTLDESFANKAVRRNLRHLLSVKNPLILNGKLLIGSCYARVLGHLVQDALGSLRETVKKVRDSVKYVVTVDACQERFNDLKLQLQVPSAKSLVLDDQTQWNTTYHMLIAACELKEVFSCLDTFDSNYRITLTMDEWKQLDVLCTFLKLLLDAANLLTGPTYPTANAFFHEAWKIQLELKHAAVSDDAFIRNLTRPMHERFNRYWKDCSLVFSIAVVMDPRFKMKLVEFSFSRIYGEDADHWVKVVSDGVHDLFLDYVVQMLPPPTFVVNGDGGFLKTDMPEEETILHCEILDT >KVI06045 pep supercontig:CcrdV1:scaffold_3592:17218:27169:-1 gene:Ccrd_015613 transcript:KVI06045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox homologous domain-containing protein MSMYGYDVSFYDYGFSDPAITSSLYGNSLSPMAAAMDYDDGVYVRRRPPTRLGPSSKNPSSPPKHRHDGTSPLPLGMDWSLPPRIWEGRNSVWPHDSRRGWSYCVTVPSWTIVSSAGGSDTVFFRVQVGILSPEGTTTTRGVLRRFNDFLTLHSELRKEFPKKNLPPAPPRRLMKMRSSTLLEERRCALEVWVEKLFSDIDVSRTALVAIFLELEAAARQCMFLFLLNHPMSKLCHFIHGEWQVVLTCSVGISISACCELLEEESAANLVSSNQFPSNSGISSSSSISSDLRAPRPEKENYAEPNIDNASERENFRDNSSVNTFDGLREDFAEGKHDISNQHKILYRKSDTTIDGYHDDGGVLETSGLDSDFGGHASESSDKSIGKDVSSIRACETCNSGLGSSVGDSSLQGLQGDLVVALPSEERHKVNRVLTTVQQRLGTTKMDMEDLVVRLNQEIVAREYLTTKVKELEMELETRSQSSKENAQQAISTERERSTHLQWDMEELRRKCMEFELKLTTEQDARAYAESMKATAIQQNELLIKELDEAREQAEILRKQQDEVELKSKSDLKILVKEVKSLRTSHSELKQELSKCLEEKVELEKEKQRWESGNAIKTALLHECELLHDRLRECSVNFLFDEENKLIMDTSLSDAINLLETSDSQINLLLAEAQLLVAEDVKTTTGAADENDIQINRDDELRRLIGDILADNAKLRKQVISVIHTQLAIKDNGEDDDASIKTSPSNYP >KVI06048 pep supercontig:CcrdV1:scaffold_3592:37865:43708:-1 gene:Ccrd_015615 transcript:KVI06048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint, Hus1 MEEVSPNSKHEIGDEGGEHNLQEREGEKAELDSENGFPEGKKDFVAPAVGMEFESYDDAYNYYNCYAREAGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMVRMRLVDSRRWRILEVTLDHNHLLGAKAYRSIKKMGTGGTKKMLQPDSDAEGRTIKLYRALVIDSGGNNGNSNSSGRELGSSSDHHPDRLNLKKGFRMLSEYEFLVLWYQESELKCEMKFKAFLTDHGVNLLEKRFLQALDKMGKVCHLYLTRDHAIFLHNLLNGDGIQSIAQFRKEALFEDYRISSQNDDRIAFTIDLSLLHRALRSIVTIYTEFGGSHSSDAGGPTLNRLQIKLVKKLPPHSQQPMPFLTFETKGYKSAVIQDVPISKPLSRSDVLELQAALDMAQDLPQTLVQVPDMNQLQNFVDRMKHVGDVLSVSISRYGDLHLQISTTLITLGAEFRKLLVIGEQAQVPTGDDSLSAQSRTRRAIERGDAMSVQVSVKHFFKSLQCHLAKPDCAFYGIGQQGSCLTVVFQFFVPGTRQTDKSISLHCRLPVLDPGAD >KVI06047 pep supercontig:CcrdV1:scaffold_3592:2953:7366:1 gene:Ccrd_015611 transcript:KVI06047 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MSAIVCGKRSFFEELPTSTGSVSPPVSKKLRCSSSNSPVRRSPFTNSPTASALIDQLRVVFPNTDKQLLEKALEESGNDLDSAIKSLNELYFGYMDGNSGHMALSNAASSEEYVTVEKSSANGNTPRSGAEWVELFVTEMMNATSENTAVKEQIEVLVRENSILKRAVAIQHERQKAYEESSQEVQQLKQMLSTYQEQLRTLEVNNYVLRMHLRQAQESNNCMPGRFHPDVF >KVI06046 pep supercontig:CcrdV1:scaffold_3592:10565:17578:1 gene:Ccrd_015612 transcript:KVI06046 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVLAELGGSISRALQQMSNATIIDEKVLNDCLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRKIIQQAIFNELCKMLDPGKPSFAPKKGKTSIVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKEENCDLIIVDTSGRHKQEAALFEEMRQVSEATVPSTAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVKWSFYPGFTELEVGWRLLTVL >KVH87383 pep supercontig:CcrdV1:scaffold_3595:48110:48379:1 gene:Ccrd_025386 transcript:KVH87383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGMMNLLRGSKNTWHPAKGVDTTTSNYRLNWRYFLCCLWILILVVMAFYLIMKYERPNNRRTRNGGGGDDDDDDDYRVVVCYEDVWKP >KVH87382 pep supercontig:CcrdV1:scaffold_3597:18995:19720:1 gene:Ccrd_025387 transcript:KVH87382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MVASDLYEGTRMAKVDDLVAIRQLFKPLEDSGTLLLNALDSFIVVAREGQIIACAALFPFYEDKCGEVAVIAVSPDCRGQGQGDKLL >KVI07235 pep supercontig:CcrdV1:scaffold_36:495371:508864:1 gene:Ccrd_014416 transcript:KVI07235 gene_biotype:protein_coding transcript_biotype:protein_coding description:GIGANTEA-like protein MDIHSMMDIRNQLDVAALRREENIVATYASGNIEACSSHLHLFQQKASRAFSMAATSERWIDGLQFSSLFWPPPQDPEQRKAQITAYVDYFGQFTSEQFPEDIAELVRHRYPSNEKRLFDDVLVFHNWTFVASSFLINLNFPAMFVLHHPEHGHAVILPIISCIIDGTMEYDRSTPPFASFISLVCPSSDNEYSEQWALACGEILRILTHYNRPIFKMEGQHGETDRSSSGSQASTSNSTDGESSSPSMQHERKPLRPLSPWITDLLLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSVVLASSRGSGKHPQLMPATPRWAVANGAAVILSVCDEEVARYETATLTAAAVPALLLPPPTTAMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPRNWMHLHFLRAIGTAMSMRAGIAADSAAALLFRILSQPALLFPPLRQVEGVEVQREPMGGYIYTNLLNWNVTCYIQRQMPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSVIDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPAESLFEQTRKTRYVFGSASKNLAVAELRTMVHSLFLESCATVELSSRLLFVILTVCVSHEAQLHVGKKPRGEDSFPHVNANEESEASSGKQRKLGAKRMNKQGPVAAFDSYVLAAVCALACELQLFPLISRTSKHSNLEDAQDVAKAAKAVKSSNGFQNSIDSAVSHTRRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHISELFRRSKACMHALSVLMRCKWDKQIHSTATSLYNLIDIHSKAVASIVNKAEPLDAHLLHAPIWKDSLVCLNGKRQNNSANASCSETGQSSTMQFDDPVTARTLVTSEKVSKLCEDSDSGSEKGITSFPFNASELANFLTMDRHIGFNCSARVLLRSVLTEKQELCFSVVSLLWHKMIASPETQLSAESTSAQQGWRQVVDALCNVVSASPTTAATAIVLQNTCKDCQLGNGRRTSCCTHDKSFYCLVTFLAGRELQPWIAKDDDLGQKMWRINQRIVKLIVELMRNHDMRESLVILSSALDLLLRATDGMLVDGEACTLPQLELLEATARAVHPVLEWGESGLAVADGLSNLLKCRLPATVRCLSHPSAHVRTLSISVLRAILHVGPIKASGEHRLDGGNGIVEWEADLGKCLTWEAHSRVATGMPIEYLDTAAKELGCPIAI >KVI07252 pep supercontig:CcrdV1:scaffold_36:574111:576979:1 gene:Ccrd_014421 transcript:KVI07252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MQATWMNFFELRSNVHFKKVSRTMADLPLRCRTVICSASEVPSGQSFTLFDLIIFQKFFLGGRLIFGPDARSLPATVLLIIVPVITFCIFVGRHLRHEFSSYSAGYAVIVAAIIFTIYVLVLLLLTSSRDPGIVPRNSHPPEEELRYDNSASHEIGGRQTPSLQFPRTKEVMVNGISVRVKYCDTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGLRNYRFFFLFVSSATLICIYVFSMSAFYIKVLMNDYQSTVWKAMKESPASVALMAYCFISLWFVGGLTGFHLYLISSNQTTYENFRYRADNRYNVYNRGCVNNFLEVFCTKIKPSRNKFRAVVQEEVQRVGCREEEAQSGPEDRRMKVEDDVDIGGDLLKMSQRHNIEDIESDIRSRGGSEQQQQQQQHNHGDSVAGERRRQSSWDFSPEVVAMNSSNQPSNDTTTVSKLR >KVI07221 pep supercontig:CcrdV1:scaffold_36:157851:172112:1 gene:Ccrd_014395 transcript:KVI07221 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase ALKHKIGLCPVSSIVLCRFRRRSNLEENHEAQIEGLSFRPPFLAAKSRPISKLQVPRFCTVIIFFNLDICFFLSTYEIYSVRIADLAPDIKLETHEEKGNLGQALRLGRAQYVSMDLCDKSQVNKACEGAEVVFHMAAPDSSINNYKLHYAVNVQGTKNVIDACTKLNVKRLIYTSSPSVVFDGVHGIYNGDESLPYAAKHNDSYSETKAEGEALVIKANGINGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKFIIGDGTNMYDFTYVENVAHAHVCAERALASDGSASKRAAGEVLAWHVPVDARIYYFKLFFQAYFVTNMEPIKFWEFMSLILVGLGYERPRIKIPAAVMMPIAHLVERTYNILAPYGMKVPQLTPSRIRLLTCNRTFNSGKANDRLGYIPIVPLQGLKRTIESYPDLRAELLPRKEGPSKAAVYLGNGIVADILLWRDTKLTFTGMLALIVFYVSFVLPGSTMITAVSKVLMWASILIFIHGKLPNHLMGYSVEKIPESKFQCSEEMSRRTALSVASSWNCAVNNLKSISSGHDWTLFFKMAISLFIVSCIGSMSLQSFFLKVVPFSFVVFYIYDQYEDEVDRIVQTVIPIERLSNPDFLRLLNPFKPLDKQH >KVI07215 pep supercontig:CcrdV1:scaffold_36:334274:346234:1 gene:Ccrd_014407 transcript:KVI07215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1475 MASTTSARIVVQTLMFVLCIVMGAAFAYAWFVYKESNWTVAAAIIASTWLVGSITTCGYIFVQFFKLSPEESSTNPLYFVDHVRISVVTARVIVSALGCLMVGVLIYSFIVNASSPYHSNPVARCMVATVIDIYIHHVVLSVWVAYKEPSFISAFFWIVLLLCFGSSARRLAIYAASMADLLFCFSISTIALKAASAALASSDL >KVI07227 pep supercontig:CcrdV1:scaffold_36:221627:227137:1 gene:Ccrd_014399 transcript:KVI07227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MVFWEGYVSDEVMGTFAPIVVYWLYAGIFQLLPPLDNYRLHTRKEEDAKNSVPLGSVVKGVLLQQVVQAAVAHLLFLVTSETGLVGTEIQPSVLIQVVQIIIAMFVMDTWQYFVHRYMHMNKFLYRHIHSQHHKLVVPYAIGALYNHPLEGLLLDTVGGAISFLISGMTARTAVIFFCFAVVKTVDDHCGLWLPGNLFHLFFQNNTAYHDIHHQLHGLKYNFSQPFFPIWDKLLGTHMPYDLIKRPEGGFEARLSKD >KVI07218 pep supercontig:CcrdV1:scaffold_36:234996:237585:1 gene:Ccrd_014400 transcript:KVI07218 gene_biotype:protein_coding transcript_biotype:protein_coding description:CS domain-containing protein MLRTCSIPIPSYKYSTEPVCTFHIARRELTILFQQFHFPLQTAKISKDEFCFWAFVSRHPTLKWAQRADVLFITIDLPDAKDVKLKLEPEGKFYFSATAGADSLPYEIDINLHDKVDVNESKASVGSRNIVYLIKKEESKWWNRLLKQEGKTPMFVKVDWDKWVDEDEQDEKAGADMDFGDVDFSSLNMGGGGDFDADGVDGADDSDMEEESIGKKEGAPPVSNEVEAMA >KVI07248 pep supercontig:CcrdV1:scaffold_36:684949:688282:1 gene:Ccrd_014429 transcript:KVI07248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MASSKLMMKMSSSTSRNSDLIRRKSSNSSSSSSSTNHHHHHKHPSIRINNNSGVFDMTVDGLLPTVHNNNEQQAPESTLLDAEITLLDAAVRTSSNGGQKTVDDVWKEIVAGRSCKQEVPDEMMTLEDFLAKAGAVEEEDVKIPPPPLPSERLSGGIFSFDNPIHPPNVDGVVGFGIGVDEMGGRGKRRAILEPLDKAAQQRQRRMIKNRESAARSRERKQAYQAELESLAVKLEEENETLLKAKAEQTRKRYKQLLDLPSRSEACYHEEQQLTSGIHLLVNAQTIVRLSNYILRVSIRVL >KVI07225 pep supercontig:CcrdV1:scaffold_36:124051:125234:1 gene:Ccrd_014391 transcript:KVI07225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MIPSSDSIACFSSPDSSDLMVFATPQYPPLMELLPIGNPICWWIILSIPSDSPKTPSPSPPNLRHQPSCLNKSEANIHNKLKFYMKDLGYTSSYLAICTSFFTLSLDKRVIPRNMMLKILKEKRLVNNDKPSLITIACFTEFKFLEFLRGFEDQIPSFREIYLDNVRRVS >KVI07220 pep supercontig:CcrdV1:scaffold_36:248626:253179:1 gene:Ccrd_014402 transcript:KVI07220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIVETEETPRTTTATVKEEESRPLLDTSELKPRSKSVRTKVPEVEVHLYRRGEGPIDIFKSSLGGWDQDQLEVRDILDKYGLKSLYAYNTQSGRGVPIRFNGRNGRSILPYKDGSVIFIDGEPKDSLIKPITRILVGVAVLTAMIILVMKETPGWAKKLNFAGINFNVPPWVLACVVIVFTRLRKRTRDFFAKRH >KVI07229 pep supercontig:CcrdV1:scaffold_36:705308:706450:-1 gene:Ccrd_014430 transcript:KVI07229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAEIKKRSGNFSTVIGSGGFSTVYLARLPDSTLTAVKIQSVGTERLARIHDQELQILLRLKHPNIVKLLGHCDDREEEGVLLFEYVSNGTLHEKLHTKTMSWKARTLIARQLAAALEYLHGLQIIHGDIKASNILLDEQENCKLCDFGSAKLGFTSMVLPPCSTKRNRLMITGSQGYMDPDYLKTGLVSKKNDIYSYGVVLLELVTGREAFSLERGEMLTEVVKGVVGMEEVVDPRLRYGGGGVDMEEVKDMVAMAGMCIGCSPMARPCASEIVAWMKDKFD >KVI07209 pep supercontig:CcrdV1:scaffold_36:119528:120682:1 gene:Ccrd_014390 transcript:KVI07209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MFKILHTIPFSCLRSPFRYSTTSFSSSSSPKITATPSTRFIVDYLIDSLGFHEDEAVAVSSKAPDFFESKLQYVEGKLGISRDSSNFIHGLAAALYRSESDIENNVQIFRSFGWSNLEIATLFKAQPYCLSKSEAYISDKLNFFMKELKYTPCYLMGRTSFWTLSLEKRIKPRNEVLKILKEKEKVKDTPSLGTIVNYSELTFLSFLQRFESHIPSLCETYKNSLRDSKTK >KVI07253 pep supercontig:CcrdV1:scaffold_36:544602:547089:1 gene:Ccrd_014420 transcript:KVI07253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDQNAASNYHQGFEISGDFPSQPPSKFFDDDGRPKRTGTLWTASAHIITAVIGSGVLALAWATAQLGWVAGPSVLFLFSFVTYYCSCLLASCYRTGDPVTGKRNYTYMEAVKSNLGGFKFKICGFIQYFNLTGTTIGYTIAASISMMAIKRSSCFHEKGHENPCGVSGTPFMVLFGGVEILLSQIPDFHQISWLSMVAAVMSFTYSAIGLGLGIAKFAAFGDHAPGNLLTGFGFYDPFWLVDIANIAIVIHLIGAYQVFAQPLFAFVEQTAEQYFPESKLVTKDIHVPIPGYKPYKLNLFRLIWRSMFVCMTTIVAMLIPFFEDVVGILGAFGFWPLTVYFPIEMYITQKKVPKWSSKWISLQILSAACLVISVCAAAGSIAGVIDDLKVYKPFKTMY >KVI07212 pep supercontig:CcrdV1:scaffold_36:355740:362479:1 gene:Ccrd_014408 transcript:KVI07212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1475 GRSASSQKEQKRIQFLSYSFSLTKHRDIGAIMGAEVGVAVRTLLSVLGCLMVAVLVYTIATDGRPFRIELLTRWMVALLIDFYIHIAIIGAWVVYKESSWIMATVWVILLICLGSVTTCGYIVMQFYKLTPEESSKDPLYFVLARHQKRDATEHRKGPSVVTARVIFAVLGCLMLGALLYTLIVDGSPFRAELYTPWMIATLIDFYINVVALAVWIAYKESSWMSAFLWIPLIVCSGSIATCVYILRQLFFLSPDQPVSLILFSNNHRQVW >KVI07246 pep supercontig:CcrdV1:scaffold_36:24652:32247:-1 gene:Ccrd_014384 transcript:KVI07246 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MVAFQIPQSGWEKLFISFIPTETGKTTAKTSKANVRNGSCKWADPIYETTRLLLDSKSKQYDDKLYRLVVGMGTSRSSILGEATINLADYADATQPSAIGLPLHGSDHGTILHVTVQLLTAKTGFREFEQQRDKGLQSGNNVNRESESSVVKSSSSELQIPDDQVNKAKTHIQLRSQSKELLSVVEETGVREEYSDSQVTCDGSSNASESYYADKHDPYSTHEVDSLKSRVSGSQSSPGDGSNHHKLAQRSGSDYSMDNDLVIAYEENHRLRGSLEVAESSISELKVELSVLQSHANEMGTETEKLAQELATEIASGQELAKEVTVLKSECSKFKNDLEQLKEMKSSSKYMERKIEQSGHQKQLRWVNGVLLVEDRIRELQEKIYIGFRESDFSFLHSDLEVLLSTVQDLKKGTVEALPLQHEALAMNIDEPESMLHFGKIPSSPLQEPGSTGVIDSTEGSHLELLSQLDIAKAERESLVRKMNQMECYYEALVQELEENQKRILGEFQSLRNEHSTCDFTISTCKAESESIRRDMNDQMVRFTEENHELGSINKELQRRATTSESALKRARLNYSIAVSQLQKDLDMLSFQVLSMFETNQNVLKEAFSDPPQHLVEDFQESDDTLVSNLRSKQPSGGDVLSDDLKKSLSLQENIYKKVEEELGEMSSANLYLDVYSGILKETLFEASDHIRKMKGKLEELSQQLELSNQSRDLLFRRLQTAAEDVHSLNGQNAYCLAKCNDLQLQNQMLEADLGNLSNENFLLMEKITECEALLMDYRVYKSKHEVVSAEKLELENLLEIRVLDNQNLRKDMESVKEELETLRSGIHELAISKETIQKDLEKERDIAALSLSAARSEIDDVKRRFKQGLHDMVAKLDTPNASLEKLQFQLDSFANKFKSSLESKERHVQHSEVLLTYLSSLELELQKMSSEDKNFIENIMGLDMMAEEFEMSKLAISELKQENQDLMMHVKNKSEESIKLLPELDRLKECLKCVNDELDVERDLKSKLEGTVASLTSQLESQRSELSHVRKLASDQESENFRVVKLLSLHEESLEKLSKKHSADVSLACQLSEMQETMISEDLKLTFVRSQYETWIEELGKQLQELESKHLDNEARLNQSLASEAHYIEENKELTNALKSLRMELETSVAQNLVFSDSINVLTCELEQYKDKVGVLELSLSNENNQHAVEVGQLKDLLAKSESEVGQYKELQMKLHDTEARLNHCLENEARYIKEHESLVTTLESLKLELEASIAHNAEISESNNQHAAELGQMKDMLAKSESEVGQHRELQMKLHDTEVRLNDLLKSEVHYIEENQKLSTTLESVRLELEASIVHNAEIAESNNVLLQWKDKAGMLEINLTEINNQHADDVGRLKDSLAKSESEVGQYKELQMKLHDTEARLNHFLENEAHYIEENGKLATELESLRLELEASVVQNAEISEKWKDKVGMLKRSLTEVKNQHAVEVGQLKDMLATSEVEVGQLKELEMKLHDTKERLDHCVKSEARYIEENDELLRTVESLRSDLDASIVQNASLSSSNNVLMIELEQYKDKVGSEDASIFLENTNLKCTLAESVSEVDGLLFSREESEMVSVVLKAKLEEQNDELIMLQNKSAELTKKLAAQILKTEEFKNLSIYLKELKDNADIAREKKDPEGPSESLRMAFVKEQYQTKMQELKQQLSVSKRHGEEMLFKLQDALDEIESRKKSEASQLRRIDELSVKIVELEAELQSATSDKPNYDRIQAELECALLSLECCKEEKEKLVALLQECEEEKSKIVVELSVIREQLAQKEENSVSDKHRHMGLNGSMDYKEVKSATSTQTGEAENPVIPINVRAIQHASADEESSLLNDSKQLTVVNDQFRAQHLRSCMEQLDEELEKMKNDNSLLPLTNYDPSFQDLQRELVQLRKEAVFKSFRDINELIKDMLEIKGKYVNVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNVRSSRNMLRLNRSPIPIPTLEDHHPL >KVI07233 pep supercontig:CcrdV1:scaffold_36:375328:378815:-1 gene:Ccrd_014410 transcript:KVI07233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLSVFQHKSSYIGPRVKQRKKSSNKWSCVICNEKQSVRKVFSQGFMAKDVRKFVQSFNMSRQFVEQQQELPEEALVQEQIETQPNRKRTDWSEYIDSDINDVGVSDDEGDLCEPNVVTELPKPLFKKPKLSYNYSEAGLDSEDGERLRKPVFGKRITKRKINNPDKEPRITKRVGEYKLNHEYVDKEMGGSSKWRENSKIQRDDNVNDGSAYERSSSMMTKVRRPISERNACQNDGDNVDHGFTYTGSSSVMTKLKKPVSKWNEFIDDGDELQLESRCQEINHGAFETKVSDEIVEDDVHPDFL >KVI07243 pep supercontig:CcrdV1:scaffold_36:22230:24081:1 gene:Ccrd_014383 transcript:KVI07243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin supergroup MAAEELIEVKFRLPDGSDIGPSKYSSTTTVADLKEKIVSLWPHERDNVPKTVNDMKLIHAGKILENNKTLAESRSLVSEIPGGVIVMHVVVRPPMADKNSVVNETRYLMKILCFDTYVRSRKMPPKRIAVSAQFCDPSGNLTKSVCRQLYTFADVCLCRSHELFGQAAA >KVI07222 pep supercontig:CcrdV1:scaffold_36:155563:156724:1 gene:Ccrd_014394 transcript:KVI07222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILDCFKQAWTSILGMFTGCCGKTSETPTSTTLEISTGPDGEVNVTTLANQPDISSGGGGSTHETPLETAAKTSGGTSDMLAASMISSGGGGSTHDTTL >KVI07242 pep supercontig:CcrdV1:scaffold_36:104503:110410:-1 gene:Ccrd_014389 transcript:KVI07242 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MSTSANDGEDHGDVFLDEDDIVEEINVDEEDLPDAEDDADSDAEVFDEADDSLHIFTGHTGELYTVACSPTDAQLVATGAGDDKGFLWKIGQGDWAFELQGHKDSVSTVAFSSDGQLVASGSLDGVIQVWDISSGNLKCTLDGPGAGIEWIRWHPRGHLVLAGSEDSTVWMWNADKNAYLNTFSGHASTVTCGDFTPDGKTICTGSDDASLRIWNPRTGENIHVVKGHYYHTEGLTCLTITSDSTLVLTGSKDGSVHIVNIATGKQFRCLNGFDLCCSSPWAATGSMDQKLIIWDLQHSLARCTCEHEEGVTCLSWLGASRFVATGCVDGKVRIWDSLSGDCIKTFRGHTDAIQSLGVSADGSHLVSVSIDGTARVFEIDEFR >KVI07224 pep supercontig:CcrdV1:scaffold_36:198652:201760:1 gene:Ccrd_014396 transcript:KVI07224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MKMSFQALGFLLSTLAIIAHSQAALLQYTFVVQETNYTRLCTSKNILTVNGEFPGPTITARRGDTVIVDVINGGDHNITIHWHGIKQPRYPWSDGPEFVTQCPIQPGANFSQQVLLSDEEGTLWWHAHSDWSRATIHGLFVILPRLGTTYPFTQPDGEVPVVLGKTYLLRMVNAAMNNLAFFAVANHTLTVVGTDGAYTKPFRSNVITISPGQTIDLLLQANQPRDNYYMAAKLFSGQSSAAFDNTTTTAIVQYTGNFTPSSSSPVFPFLPVFDDRNASANFTGSLRSLASAEHPIDVPLTIKRTLLYTLSINTLPCAVNATCEGPNGRRLSASINNITFAMPTTSVLGAYYRGINGVYGDDFPDVPPLKFNYTATGLNRSLQTPDIRTEVTMLKHNETVELVFQGTNLVAGIDHPMHLHGHSFYVVGSGFGNFKRSRDSLNYNLVDPPLMQTIAVAQNGWTAIRFKADNPVRNGKSANARVLPPPPDMPPC >KVI07250 pep supercontig:CcrdV1:scaffold_36:592850:599868:-1 gene:Ccrd_014423 transcript:KVI07250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MTEPSKVIHVRNVGHEISEPDLLQLFQPFGVINKLVMLRAKNQALLQMQDVPSAVNAMQFYANVQPSIRGRNVYVQFSSHQELTTMEQNTQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGYVEKVVTFQKSAGFQALIQFQSRQSAVSARNALQGRNIYDGCCQLDIQFSKDFTNPSLPSEQKGRPSQPGYGDAGGMYTLQSHGARQMNNAAAIAAAFGGGLPPGISGTNDRCTLLASNLNPDRIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGATLFEKRLEVNFSKHPNITMGAETHEYLNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDVTEEEIVSHLEEHGSIVNTKLFEMNGKKQALVLFESEEQATEALVCKHASTLGDSMIRISFSQLQTI >KVI07238 pep supercontig:CcrdV1:scaffold_36:446257:474106:1 gene:Ccrd_014415 transcript:KVI07238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allinase, C-terminal MGNTNKYCYGFCLFTSILINILFTINLYLGGGGSGSGGGVGGGGGGVVGGLSWSEKAAAEAEAVAAISCSGHGRAYVDGSINSEGRPVCECYDCYTGIDCSQISPTCPADADSGDPIFLEPFWMQHATNSAILISGWHRMSYRYNGNPFISAELENYIRKMHSVAGNAITEGRYIVFGIGSSQLLNAAVYALSSQDSSSPVSNMYKTQTVFFNSENFQFEGDTNAWQSNNSTNNMDVVEFVTSPNNPDGELKKSVLGGKTIYDHAYFWPHFTPIPGPSDHDLMVFTLSKLTGHAGARFGGVQIEEEDDCGKVLEAGNIIGRAGSAFSAKDRHVRLSLIKSQDDFELLMQRLTEIVSLENGHNSNTISPFHFHFISASPTPTTPPYIPNQHNRMRKTKKYCYGFFVFSSIIINILFTINLYLGGGGNGGECALSWSEKAAAEAEAVAAISCSGHGRAYLDGSVDSEGRHVCECYACYVGNDCSQLSPGCAADATSGDPIFLEPFWMQHATNSAIVISGWHRMSYRYGDDPYMSTELEKYIRKMHSIVGNAITEDRYIVFGVGSTQLLSAAVYALSSQNSSSPSNVVASIPFYSIYKTQTEFFNSENFQFGGDTNAWQLNNSTNSMDVVEFVTSPNNPDGELKKSVLGGKTIYDHAYYWPHFTPIPAPSDHDLMLFTLSKLTGHAGARFGWAVIKDKDVYEKMLTYIVLADLGISKDTQLRVLKLLKVAVEDEGKPFFEFAYNKMKDPYAWVKCEREEDCGTVLEAANILGRVGSVFSDNDRYVRLSLIKSQDDFELLVQRLTELVSLENAFSLSISFLHLQTQQLLHRMRKTKKYCYGFCVFTSIIINILFTINLYFGGGGGGGGGGCGLSWSEKAAAEAEAVAAISCSGHGRAYLDGSVDSDGRPVCECYACHVGIDCSQLSPGCAADADSAILVSGWHRMSYGYNDKSYMSVELENYIRKLHSIVGNAITEDRYIVFGIGSSQLLNAAVYALSSETSSSPSNVVASIPFYPMYRTQTVFFNSKNFQFQGDTKAWQLNNSTNMDVVEFVTSPNNPDGELKKSVLGGKTIYDHAYFWPHFTPVPAPSDQDLMLFTLSKLTGHAGSRFGWAVIKDKDVYEKMLAYIKVADLGISKDTQLRVLKLLKVVVEDEGKTFFKFAYNKMKDRWDALTSVFSKSTRFSIQHRHPLQCTFFNETRLASPAYAWVKCEREEEDDCKAVLEAANIIGRAGSMFSDKDRHVRLSLIKSQDDFDLLLQRLTELVSLENGSIQTM >KVI07244 pep supercontig:CcrdV1:scaffold_36:72654:82540:-1 gene:Ccrd_014386 transcript:KVI07244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor biosynthesis, MoeB MAEPKTKYDRQLRIWGEQGQTALEKASICLLNCGPTGSETLKNLVLGGIGSITVVDGSKVENGDLGNNFMVSESSLGQPKAKCVCAFLQELNDAVKAKFIEEHPVTLIETNPSFFSQFTLVVATQLVEDSMVKLDRICRDANVMLIFARSYGLSGFVRISVKEHAVIESKPDHFLDDLRLNNPWPELKEFTENIDLDTSDPVAHKHTPYILILVKMAKEWAKGHSGRLPSTREEKREFKELIKSKMIALDEDNYKEAIEASFKVFASRGISSNLTRILDDTCSEVESSSSDFWVMVAALKEFIANEGGGEAPLEGSIPDMTSSTELYVNLQKLYQAKAEADILVVEQRVRDILKKIGRDPYSISKAVIKSFCKNARKLTVCRYKLIEEEFNSPILPEMQKYLTDEEYSVAVGFYILLRAVDRFSANYNTFPGQFDGAMDEDISRLKTTAVSLLSDLGCNGSTLTEDLINEMCRYGAAELHAVAAYIGGLITRQFVPMRGTFIFNGIDHKSQLLSL >KVI07251 pep supercontig:CcrdV1:scaffold_36:580916:581869:-1 gene:Ccrd_014422 transcript:KVI07251 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MWLIKVANPTDSGDFVSFRSSKKHREDGGAPPSPEISFSKDHYSFSDEMSVMVTALTHVISGHTSSAISSPSFPADRSPSSAYSSSSSGSFKRLRDQDETTFVVKEEKEEEAPSSTTTAADANPYNNGGEMRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGNRAKLNFPENVVMLPPHPITINPNSPTTQSITGHQPSPPLQPRHFQIPAVVTDYRRYSQLSRNSLVQQQQTEMSNPSSLESQSFVSNSNSSSTLRPQLYPNQALHYHFGYTNEERSTDFEAAPPSSWPGSRDHS >KVI07236 pep supercontig:CcrdV1:scaffold_36:509072:513984:-1 gene:Ccrd_014417 transcript:KVI07236 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MPPSSKADKKAAVDAAAWMFNIVTSVGIIIVNKALMATYGFTFATTLTGLHFVTTTLMTVVLRWLGYIQPSHLPTPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLFEVMFDKIQYSRDTKLSILVVLLGVAVCTVTDVSVNAKGFIAAFIAVWTTSLQQYYVHYLQRKYSLSSFNLLGHTAPIQAGSLLVVGPFLDYWLTDKRVDAFAYDLASVVFIFLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGREGLNLHVVIGMIIAIVGMIWYGNASSKPGGKERRAHLLPKTNPQKHGSGLETSGTSDKV >KVI07245 pep supercontig:CcrdV1:scaffold_36:89368:91502:1 gene:Ccrd_014387 transcript:KVI07245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MLILRIKSSNNHELSSSISALQLFIHAHYSTKSTQKHPITKHHFMVDYLVNSLGFSQDQALSTATKLETKFEVYRSFGWSDSEIITMARALPVCLRRSEAKLQTTLNFFMNELGYTAAYLATHPKMLVYSLEKRVMPRNSVLEILKEKKLLKYNFSLCSVVALSEVKFLDDFVLPYCDFVPHLYEAYPNATGYIEPPWPQPCISCIRYHVKVVHGSLVEKEIEDGMQRDWRLRL >KVI07256 pep supercontig:CcrdV1:scaffold_36:613835:618365:-1 gene:Ccrd_014425 transcript:KVI07256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase VTSVKELSGQICQICGDEIEITVDGEPFVACNECAFPICRPCYEYERREGNQACPQCKTRFKRIKGTNNAILLSIGNLSTVGSPRVDGDEDEDEFDDLDNEFDIGSNERRDPHGVAEAMLSSRLNIGRGTSNVSGFATPSEAYPNPEIPLLTYGQEDDGISADKHALIIPPFMNRSKRIHPMPFSDTASSVSLPPRPMDPKKDLAVYGYGTVAWKDRMEEWRKRQNDKLQMVKHQGDGDGGGHGDEADDPDLPNVHLFNVEFETLCRMDEGRQPLSRKLPISSSKISPYRMVIIVRLVILGLFFHYRILHPVNDAYALWMTSIICEIWFAVSWIFDQFPKWFPIERETYLDRLSLRYEKEGKPSELAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLKDKVHPAFVRERRAMKRDYEEFKIRINGLVTMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVCVFLGQNGVRDIEGNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSAVVTNAPYMLNVDCDHYINNSKALRESMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDVCTISAQPDSLNAFDSCEKLIYYFVFVSQINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKAPGKTCNCLPKWFCCCFGSSKKKSKGKSTRKSKKVKEASTQIHALENIEEGIEGIDSEKTSLMPQIKFEKKFGQSPVFIASTLLEDGGVPPGATSASLLKEAIHVISCGYEDKTEWGKEVKIQTKVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKPLERFSYINSVVYPLTSIPLVAYCTLPAVCLLTGKFIVPEISNYASILFMLMFLSIAVTSILEMQWGGVAIDDLWRNEQFWVIGGVSAHLFALFQGLLKVLAGVNTNFTVTSKGGDDGEFSELYVFKWTSLLIPPLTLLIFNIIGVIVGISDAISNGYESWGPLFGRLFFAIWVILHLYPFLKGMMGKQSGVPTIIVVWSILLASILSLLWVRVNPFLDRGGIVLEVCGLDCD >KVI07257 pep supercontig:CcrdV1:scaffold_36:604350:608664:-1 gene:Ccrd_014424 transcript:KVI07257 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein LLPFGQNDAIGKLGRNTQKREEGSHCIFLESSIRFITIAVAGAHSHIRGLGLDSALEPRAVSEGMVGQTTARKAAGVIVQMVKEGKIAGRAVLLAGQPGTGKTAIAMGMAKSIGLETPFAMLAGSELFSLEMSKTEALMQAFRKAIGVRIKEETEVIEGEVVEIQIDRPAVAGAASKTGKLTLKTTEMETVYDLGAKMIEALGKDKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVVATNRGITTIRGTNYKSPHGIPIDFLDRLLIISTQPYSEEELRKILDIRCGEEDVDVSEDAKVLLTKIGVETSLRYAINLITSASLSCQKRKGKVVEMEDVSRVYELFWDVKRSTQYLMEYQSQYMFSEAATMEDEEANMMV >KVI07210 pep supercontig:CcrdV1:scaffold_36:132904:137844:-1 gene:Ccrd_014393 transcript:KVI07210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSFVVWLIMVVHPVKLVYANVEGDALHSLRTNLKDPNSVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVSQLGLLKNLQYLELYSNNLSGPIPNDLGNLTNLVSLDLYLNGFSGPIPVTLGKLSKLRFLDLSNNRLSGLVPDSGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVQPPPIAVPGGKSATGAIAGGWLSLVLQVKGLLKEKKLEMLVDPDLETNYIATEVEQLIQVALLCTQGSPMDRPKMSDVVRMLEGDGLAERWEEWQKVEVLRHELDLVPLPNSDWILDSTDNLHAVELSGPR >KVI07228 pep supercontig:CcrdV1:scaffold_36:210023:214283:-1 gene:Ccrd_014398 transcript:KVI07228 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGSESIISKSSSSSREKFFPPGFRFHPTDEELILYYLKRKICGRPLKLDIIGEVDVYKWDPEELPGQSKWNTGDRQWFFFSPRDRKYPNGGRSNRATVRGYWKATGKDRIIKCNSRSVGIKKTLVYYQGRAASGKRTDWVMHEYTLDEEELKRCKYAQEYFALYKVFKKSGPGPKNGEQYGAPFVEEEWADDYDYLEGDALLVQTISNLVNEPKLKSGIMVEPDIVPPLAQINPINGEKESQNTLLACSSSQVDKSIAMGPREKLLDVQPSSDLAQSANKPSEITTVEELQPLVVTDDFLEMDDLITPQPAFRNSEPLLLDNLQFADFDGFCEFDFYNDSTGINEMNPFESQQIQQPCYMSNLDYGMENSGMHSYSNAIGSEAINTEFQMGSDDFMGAQATDFASSAPSGVITDGKSGNPNSGVNQCGEGDGTESWFSSALWAFVESVPSSPASALESSALVNKAFERMPSFSRISKANAATRRVERSSRSIRDIAFISVLGVVLAIFCVLLGTSIKLLGRCVL >KVI07255 pep supercontig:CcrdV1:scaffold_36:653177:654033:-1 gene:Ccrd_014426 transcript:KVI07255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVFQEISASNGRLLSHDVGVESFTEYIDDAIDDYISSNNAESCCKKLENALLNGFQYERLIISDITRKVWKFLVHGNGYLEEVKDPVEFRWWETIKFVGNNGFNLEGIMEGQERDELFGLSGHCLLYGGILSNISGGHDSHLSRYVRRLVYDGDGK >KVI07232 pep supercontig:CcrdV1:scaffold_36:390424:394657:-1 gene:Ccrd_014413 transcript:KVI07232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MSLLLLNHPACKSLPPLDTFIICKTLTNRCISSPRTPNSFNNLAFLRNYSIFRNTHVLNCSNNEVIDEFTTTRLAQKQENEIEELGLLGKPSPMPISIPEVEVEVEYDKPDKEEVLEPFYKFFRDGKSVEEPSDSEDVSEILMEEEEDKRVSVEYYDPKPGDFVVGVVVSGNEYKLDVNIGADLLGTMLTKEVLPLYDKELDSLLCDLEKNPEEFMMKGKMGIARNEAALSGGPVAGLPVVEHGTVLFAEVLGRTLSGRPLVSTRRLFRRLAWHRVRQIKQLNEPIEVKITEWNTGGLLTRIEGLRAFLPKVELVNRVNNFTELKENVGRRLYVQITRISEDTNDLILSEKEAWNAIHLKEGTLLEGTVRKIFPYGAQIRIGESNRSGLLHISNITRGKIVSINDVLTLDEKVKVLVVKSMFPDKISLSTAELESEPGLFLSNRERVFSEAAEMAKKYRQKLPPISGTRKLEQLQSDGLPFNDEENMYANWKWFKFEVDNQPK >KVI07241 pep supercontig:CcrdV1:scaffold_36:100140:103008:1 gene:Ccrd_014388 transcript:KVI07241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MTSTSTQFATSRRMGIYDPLQQISMWEEAFGASLSPNTSPNMVISQTEYNSQESLGPSLDNRATKNISDKLQRRLAQNREAARKSRLRKKGVYGGLLNTGNGLLSGNVTNSGIAAFEMEYDLWVAEQQKKDDELRKVLLTHMSDIELRIFVDSGLNHYYELFRMKADAAKADVFYLMNGLWRTPVERFFQWIGGFRPSELLYIELLTDAQLVNVTSLRQSCEQAEEALSQGMDKLQQTLAQSITIDITGAGSYNSQMTCAMERLEALEIFLNQADHLRQQTLQQMYRLLTTRQAARVLLALGEYFQRLRVLSSLWSARPHDHSTTLLP >KVI07219 pep supercontig:CcrdV1:scaffold_36:258748:260188:1 gene:Ccrd_014403 transcript:KVI07219 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MFPSLSHDPAGNPNYSLSFYNPLATNDDATYQYHFEDTLLDYNHHRLIDQPSYQGSTPLDLAPHLSISEQDPVLQEMTTTSTRAYQDSSSLNDQNPALQHMITAETVNVGSRIAFRVQTELEVVDDGYKWRKNYYHCAAIGCKVKKRIERDIDDPSYVITTYDLVHNHKRSS >KVI07249 pep supercontig:CcrdV1:scaffold_36:663643:669934:-1 gene:Ccrd_014428 transcript:KVI07249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingomyelin synthase-like domain-containing protein MVHSRSNQQTTSMARSSPIFRKRGGGGLGLTAVAYIGVDYLRHLSPSWHELLQPLLWIVLALIAITRVPFYKHWSAELRSALMFLAALVFMLCTLLYEMISVRSVTAVLGLTWHGSTSPLPDTGQWILLGLNEKLPQVIVNILRARIVGLHHYLMLFVMLGFSVLFGSIEAPGLGIGARYMFTMAIGRFLRAISFASTILPSPRPWCAASRFDVPQHPHRWAQKFYVPYASDSAAIKNVIHQDMVYDHGVVQDDEYRPNWGFMSFLADFLRPLPDGEPAWINLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGLSSAFIWMLVAHSAQREVRERHHYTVDCIVAIYVGFLLWKMTGFLWPLKNISRQARLKQLEKIEGKLYQAAKDSDLNQVRDLLKQVDSAGQNKNQVGRERAMQFFAGGTIIFALTVVVLAFVLTSDG >KVI07223 pep supercontig:CcrdV1:scaffold_36:205567:208561:-1 gene:Ccrd_014397 transcript:KVI07223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MELDPRRIHARVIKTNTAGASQYRFQLNNLITLYFKSHLVAEAVHVFQQIPSPNVVSWTSLISGHSNTHFGLSYFVSMLRHPILPNQHYSVSAALRASAELASLEQCRVVHAHSIVNGLDSNVIVGTALVDGYGKCGMVDEGRLVFDQLLSILNLIGWNAMLSSYAQQGDKDSVTELFSIMEYRGLVPDQYSFLSVMTAFCNAGLADETERWFRKMELEYKLEPWIEHYTCLVGALGRAGKLEEAKKIAFTMPIHKPDAAIWRALLSTSAHHGNIEMAKEMSQKLQELDPQDDSAYVIAANAFSGAGKLEDVQEVRKLMKDRRVKKEGGLSWIEVCGQVHVFLAGDRRHEKTEEIYAKLAELMEKISKLGYVPVWDEMLHEVGKGEKREALWHHSEKLAVAFGLVSGAAPPGKTVRIVKNLRICKDCHEAFKYISRVVERRVKYNLFSLSTFISGSRSGSDGITEGGNKGNY >KVI07217 pep supercontig:CcrdV1:scaffold_36:237611:241946:-1 gene:Ccrd_014401 transcript:KVI07217 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-actin capping protein, beta subunit, conserved site-containing protein MEAAMGLMRRMPPKHTETALSALLSLLPHHSSDLLSQVDQPLQVVCDVDNGKEFILCEYNRDADSYRSPWSNKYHPPLEDGMYPSSELRTLEVEANEVFTVYRDQYYEGGISSVYLWEEDDNEGFVACFLIKKDGSKYGHGRRGYLHEGGWDAIHVIQVGPEEEGMAHYCLTSTIMLSLTTNSETSGTFSLSGSIRRQMKADLSVEEGHLCNMGKMIEELEGKLRNQLDQVYFGKTKEMVCTLRPPPELLLPETRNP >KVI07239 pep supercontig:CcrdV1:scaffold_36:516906:523905:1 gene:Ccrd_014418 transcript:KVI07239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSLATSLDEVDRTMYSTFCSAANSLSQLYSQAMSHQKLSFLSGERHGLEKLYQWISKQHGEGLRVTSDDILAYIQVSIYLHELLFIFVVLDGTKLHF >KVI07226 pep supercontig:CcrdV1:scaffold_36:128899:130044:1 gene:Ccrd_014392 transcript:KVI07226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MKLRLHTMFRFSTFFRSHGFRYSTISANRKPHLLVDYLMNSLRFSKQDAISVSTKAKRLSTNVSLLRNFGLVNERITMFVLSNPEKVMVNPELLETRLNYVEDKLGISRESPSFIHALSVVLWLTDSEMEQKMQIFRSFGWSDSDIALLFRHQPYCLNKSEANIRDKLKFYMKDLGYTPSYLISCASFFTFSLDKRVIPRNMMLKILKEKKLVNDKPSLITIASYTESKFLEFLRGFEDEIPSFREIYLDSVKRVS >KVI07216 pep supercontig:CcrdV1:scaffold_36:322390:327741:-1 gene:Ccrd_014406 transcript:KVI07216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASVGIAVKTLFIVLFITMVASFAYLLTIDGLGSCFNLNARWMVAGVTDFTIKIVVIGAWLVYKESNLMVPVALIASAFLLGRCGWHIRNQAG >KVI07240 pep supercontig:CcrdV1:scaffold_36:533126:538438:1 gene:Ccrd_014419 transcript:KVI07240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a/b binding protein domain-containing protein MVLSFRSFLKAELESYVEEPSMVPRTLQHNQQHTQATNPFTNSGSSSVPSAVQGFRSEQSDQQPKNYIFSNALSSPVRRSLQNYHISQEGYGTKNNEHQNRDFNAFDSSSMDMHADSTEKVSKMDASDINSRNVGSFKSSLKGPASVVASVGDTERRNFNLLSARTSDSGNKYLLLPQFLHFFDHPSLKPKMSLPLIQYIRIISTTSPSPSPSPSPSPSPSSLNSDAITMEFQRQRAKELQEYFKQKKMEDANQGPFFGFIAKNEISNGRWAMFGFAVGMLTEYATGSDFVHQLKILLSNFGILDLE >KVI07254 pep supercontig:CcrdV1:scaffold_36:656493:661591:-1 gene:Ccrd_014427 transcript:KVI07254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAISVTAPFTCRSWTFDPATPLPSSSSSSSKLSNFSSLQSHSHLHRLTLNNRPFTAFPSKPRTLHLVQAKKQTFSSLDELLEKAEKPVLVDFYATWCGPCQFMAPILNEVSITMADTIQVVKIDTEKYPEIANKYSIEALPTFILFKDGKPFDRFEGALTANQLVQRIEDTLKAKK >KVI07213 pep supercontig:CcrdV1:scaffold_36:310083:318384:-1 gene:Ccrd_014405 transcript:KVI07213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1475 MATMVASVGIAVKTLMSILACVMAASIAYLLVVNGISTCFAPRDCVATCVYIVLQFYKLSPEESRKDPLYFALARREKGDAMVHRRGPSVVTARIILSALACLLLGTFIYTLIVDGSPFQSKVFTP >KVI07231 pep supercontig:CcrdV1:scaffold_36:385728:387107:-1 gene:Ccrd_014412 transcript:KVI07231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MAISISTATQAVDALIKWKSSQSNSQNPQLLPEDDFIYLILTLKKIPQKGGINGARTNPHKVPLPHPLISPTSFPELCLIIDDRPNSKLTSEIAKKKIKADGISVTKVLKLSKLKTDYKPFEAKRKLCDSYEMFFADKRVIPLLPKLLGKQFFKKKKLPLPVDLGHKNWKEQIERGCSSGLLFFRTGTCSVIRVAKASMERDEIIENVGSAINGVLEFVPKKLSGVRSLHLKFSESVALPLYQSLPDIKLRIEGVKEKNVEVVEGEAMEIEEVGKKKGSKKGRIHEVNHDMDEDEGGEIENNESGSVELVSRKRKGQLKADKPAKKSGGRIHEVHSEGDEGDLGSDSDGDDNNESKEIEKDDLGSAELVARKRKGEFKGAKQVKKSAKEKMGVAKKSDKEKRGAKDDGDSGKKKGKGNVVEGDDLNTILIGKKEKKKVEKQNGDTGKKERKKGRSVAKV >KVI07237 pep supercontig:CcrdV1:scaffold_36:424451:438567:1 gene:Ccrd_014414 transcript:KVI07237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MNQQRHRPPSKNQLPQHFWPKNVIRKWLNRSAKSSEYSADPEDDDSSCDSEGEEESCDWPKESRIKDKQGDEFQNGPKGAFPRSRRRKSETCRAQYIEAKELKVSANTWNVGGKFPPEDLDIKHWLNVDNPSDIYVIGFQEIIQLNAANIFGTEDNRPIPVWENIIRGALNRVQLETTKFKCYSNPPSPSRFQPSDDAPNIEDEVVLDSDSEGEEEIHPFNEESNFDELMDGPAGLNVKMSMETSDSNDNLLASMQAKGNLERRFSSPKRLDRVNCFPTEDSEENVKASAPANSRKLTRILSGTERIGLCWPEPPLDLLAQCVSERPNTLKTVKSFKASKSFRNYSTFKSYMNTDNRVIPEEVFLAELELDSAMYRKRRSPYVRIVSKQMVGIFITVWVRRRLRKYIQNVHVSAVGVGAMGCIGNKGSISVRMSIYQTFFCFICSHLTSGERDADKVKRNADVHEIHKWTRFSSISNSTLPERIYNHDRIIWLGDLNYRLNTSYEGARELISKNDWPKLLECDQLMRELKKGRVFDGWSEGVLDFPPTYKYEQNSEKYCGEDPKGVRQLSYRRTENKLSDHRPVTATYMIEVEVFSPRKLQKALTITNAEIENDGIIAELGVDGEIKCLRLTERRRRRGGESTGDYNDERRREQWGVRGDTGASSGEADEEEKRRRAPAAARAVGRWRGHRREQWGVGESEDCVAGEDGLGKEETSENFVVHGGTPIGNTLGNGYHKVAGEDGLGKEETSENSVVHGGTPIGNTLSNGYHKVAGEDGLGKEETSENSVVPGGTPIVVI >KVI07230 pep supercontig:CcrdV1:scaffold_36:727507:729780:-1 gene:Ccrd_014431 transcript:KVI07230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MLPGPVANTADAAASSQPPPQPQASVAVEFSEEERGRFEGERSSGGNGWPRQETLALLKIRSDMEFAFRDATAKGPLWDEVSRKLGELGYHRSGKKCKEKFENVYKYYKRIKEGRTSKADGKTYRFFDQLEALEANLGGPTHPTPPPPAMATSNITTTQLPFSTHPPVTVPSVAIPFGSHQNNVSPISVAAPAVVMPHVGGFPFSHPNISASTNSTSSANSSDNEPPVVRKKRKRKWKDFVGRLMKEVIHKQEELQMKFLDQIEKRERERMAREEAWRMEEMAKMNREHDMLVQERSIAAAKDAAVITFLQKITEQNPNAAVPQILQQQPQNQPPPPQPIQQQQKQQNLQPPPAPAPPPQQHQFQVPALPVVKNVDNSGEVKPNMLSPSPSRWPKAEVYALINLRTTLDMKYQDSGPKGPLWEEISAGMLRLGYNRNAKRCKEKWENINKYYKKVKESSKRRAEDSKTCPYFHQLDAIYREKANNSSHNNPTRFPESTQMEAIMAEPEQQWPLPAVVQQQQQQSTIHQSHENVDHQNNEDDYDDEDEEEEDEGGEYEIVSNKNSLSMGAVRVTEA >KVI07234 pep supercontig:CcrdV1:scaffold_36:378964:381145:1 gene:Ccrd_014411 transcript:KVI07234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyl transferase MGNRCIDDDILTILWTPEQLFQKVCELASQITYDFSTPNSSPPVVVGVATGAFLFLADLVRNIRLPVTVDFVRAESYGSGTTAAGAPKISCDLKLDVAGKHIILVEDIVDTGNTVSCLISYLEGKGATSVSVCTLLDKPARRKVHFKLLGDGKFYSGFECPDSFVVGYGLDFNERYRNLPYIGVLKPEMYE >KVI07247 pep supercontig:CcrdV1:scaffold_36:67424:68920:-1 gene:Ccrd_014385 transcript:KVI07247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MMMMMTVGLTMLVEVAIMMYFPPLATVAAAAASSLSYPSHQFLAVQEIIHTTKSASHVPHTQLFDHEILTSDESHDGTRWKLNLVHRDKLSENDVGHKRRTEARLKRDVKRVAILNRRIDGHGSEGGGGGGRYEVEDFGSEVVSGMQQGSGEYFVRIGVGSPPRSQYMVVDSGSDVVWIQCLPCKQCYEQSDPLFDPANSASFVAVSCASTVCQHAETAAGCRGHGGGRWCKYEVTYGDGSYTKGTLALETLTFGNTFIRNVAIGCGHRNQGLFIGAAGLLGIGGGSMSLVSQLGGEAGGAFSYCLVSRGTGSPGSLEFGRESLPSDSAVWVPLLQNSRNPSFYYVGLSGLGVGGVQVTIPEPIFKLSDMGHGGVVMDTGTAVTRLPTIAYTTFRDAFIAQTTNLPRTRPVSIFDTCYNLNGFVTVRVPTVSFYFSGGPVLTLPASNFLIPVDDMGTFCFAFAPTSSGLSIIGNIQQEGIQISFDSANGVMGFGPNVC >KVI07214 pep supercontig:CcrdV1:scaffold_36:271786:275692:-1 gene:Ccrd_014404 transcript:KVI07214 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDASLPPTSLPPSQDLNSSSSSSLNFPFSSFNDDPIYRLFDFDHTLYYIHNPNPNPNPNPPLFIDLPSYQDSSSSSFPAYVSMKEQSSVLLDLTTANNTAESSHISIDDTCMPQPDSFHRHVSWVSYFNRLFVAKCRDEFNRERVPDETKISFRVKTALEVLDDGYRWRKYGKKKVKSSPNLRINRNYYKCSSTGCEVKKRVERDKDDSSYLIATYIGMHNHEIPSLIPCNTRPTE >KVI07211 pep supercontig:CcrdV1:scaffold_36:368793:374685:1 gene:Ccrd_014409 transcript:KVI07211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILSRSTRQIYSSQSTLQPQHHAVSVRFYAKEASPKALKGDDMLKGIFFDVKKKFETAIGILRKEKITIDPEDPAAVAQYAKVIKTVREKADLFSESQRIQYTIKTRTEGIKDARSYLLALKEIRLKRGLTDELGAEAMMMDALLKIEKELKKPLMRNDKKGMALLMTEFDKINQKLGIRKEDLPKYEEQLELKIAKAQLEELKKDAHEAMRRSSRMRRWWM >KVI08175 pep supercontig:CcrdV1:scaffold_360:146831:148576:1 gene:Ccrd_013453 transcript:KVI08175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin 6, N-terminal DNRPRTNKEKEELDRAIALSLAEGLKNPNGKIRVKSSDNISWSDDKLQFTFHQWENVPANSEDHVHLTKELLGSCDSIEWQVDELEKTISVTTRDPAWFGINEVELGKRRRWTSIARTQVGNVKKAVGGKDLNGKSISNKIGERQELMRVPHSKQQERTPQYIAGENDDFISSESERQMLLIKYMNYPLFLSFGFHLHDSLYMLSTLV >KVI08179 pep supercontig:CcrdV1:scaffold_360:75023:76922:1 gene:Ccrd_013454 transcript:KVI08179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCCVGLAPLFLCNFYDLSPDLIYLQEQATVGLLFFWLCDSVSNVAVTCWNLFHLVLARYAFQTATGFLSGVQENLVMLCVSGYGDMWLFGLGMD >KVI08176 pep supercontig:CcrdV1:scaffold_360:151200:153242:1 gene:Ccrd_013452 transcript:KVI08176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KERQQQQSHSSSYSCLVQFKTATSCVGVEKKQDSSSKFKTATVHSYKQQDTTAATGFSRINTASCKVESLETDNSFFTEGGTSKYIKVSSYTAQVGQSXLVQKTPIV >KVI08178 pep supercontig:CcrdV1:scaffold_360:65258:73228:1 gene:Ccrd_013455 transcript:KVI08178 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding domain, Est1-type MIVKMDKAVASSSHDLAQRLYDKNIELENRRRKSAQARVPSDPNAWQQMRENFETIVLEDHSFSEKHNIEFALWQLHYRRIEEFRAHYSAASATDSTSSQTRGGNARPDRASKIRLQFKTFLSEATGFYHDLILKIRAKHGLPIGQFYPEPENHNVKEKDGKRSIDIKKGLLSCHRCLIYLGDLARYKGLYGEGESKSRDYAAASSYYLQAASLWPSSGNPHHQLAILATYSGDEMMAVYRYFRSLAAENPFSTARDNLIVAFEKNRQSYAQLHVDSKSPSIKESPVRTRSRGRGKAEPAVRSKEPIADATRSDVERAAEVRQTFKAFRVRFVRLNGILFTRTSLEMFEEILSLVSNALQELLSFGLEEEPSFGADAVEHGLFIVIVVSILIFTVNNVKGGAEGQSYADIVQNTVLLQDALITFYELMGQLLKRCLQLADPSSSFLLPGILVCVEWIACRPDVIRSETDEKRTTAQMNFWSYCISLFNKLLSAGLVTYDDEDMSCFTDMTRYEEGENEYQPALWEDFELRGFLPLQPAQFLLDYSGKHSHIGDSKKDKVARVKRILAAGKVITDMITIDHKKVRFDSKLKKFVIGVETQKQHSNLSADPGSPKSNGGIKETSADTTAAVMVTPLKIESHVEGEEEDEVIVFRPTVIDNRTEVLASKGSHQEGFEHVQNKDIGSSQFAVPVSVPHADFHQQNVVYTHSQSLLPVTNFTPNIGQPFHFQTPMWSGNRQVALTGGLKGLSLLENGHVGKPGIHRDIEIANAASLALPVQQASVRESGTLYTGTSQSMAVHSVIDDANASFREAVTHIKYDTVAPSGTDPYIVARNTSSTMPTSSLKISTNRPVRHLGPPPGFSSVRPKQVNENAPALGQNPLNDDYSWLDGYQLQSSMRAGIQPINLPSNLSSQYMNDSVATSSFPFPGKQVPVVQFEGEKQKNWQESPNLGSSDSHHESFQQQYIPRLDQQGHSTWKGNQFV >KVI08177 pep supercontig:CcrdV1:scaffold_360:61452:65170:-1 gene:Ccrd_013456 transcript:KVI08177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MYKLSRKLLDTRSGPAGSKWIFMLSTSNSLYVGMKQKGKFQHSSFLAGGATLSAGRWSAIASRLPGRTDNEIKNHWKDRWSAIASTKPNGTSI >KVH93485 pep supercontig:CcrdV1:scaffold_3600:7097:9451:-1 gene:Ccrd_004463 transcript:KVH93485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEEENTQMEIDLTLKLDAQDQEPAKDSESDHHDQVDVDDDDDDDDRRLQSPDHEVQDSQHDVPTTTSPTEAPERQHLDPHHQEKLSVLQMEMNRMKEENKVLRQVVEQTMKDYYDLQMKFSVIRQSPNQIKDPESFLSLNGHDRSQETKKNSPRSLSLQESENKELGLSLTIQSNTQHPRNHQELNHKDLSESATMFTPMQQSNLIHPSNFGGGGESKAGNIANNPVASLQNRKARVSVRARCEAATVQRCLEDMSILITTYEGNHNHPLPVGATAMASTTSAAAASFMLMDSNNPLSSEMGTMNQSPFTNYHMSSGILNPNSSPYSSPHMRTMNPNDPSKGIVFDLTNNPINPHHIPISSPSPQLGFPWMANKFPNGSHFSRSKQVDGMNGVWEGGEDSNSNNKCKSILAENMSAIASHPKFRVAVAAAISSMINKESQTSSNVHADGSRDRESGGSSSGGKTWVLESLSRSG >KVI08225 pep supercontig:CcrdV1:scaffold_3601:34511:49537:-1 gene:Ccrd_013406 transcript:KVI08225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCHRISALSTDVNFNIQAKWNRRRQASESQFLPNSNQWRKGGIVLTTNNRRWLIEAVTAVQRLEEDGGEDKRKSSSTVCSYALQDKPEVVEDDNRKDVVENDDGDRRRRRKRRAEVAVAAVVTMGLGVGNRVLYKLALVPLKQYPFFLAQLATFGKMVDFLKLVIDAYIYISLNILFRYVAVYFSILHFRYRAGIVTDEMLSMPKAPLLVVGLLEALGAVCGMAAGAVLSGAAIPILSQSFLVWQIILSCLFLGRKYKFNQLLGCFLVAVGVIITVASGSSAGSLMEAGMFWSLLMIVSFLFQAADTILKEVIFLDAAKRLKGGSVDLFVLNSYGSAFQALFICLLLPFLSRLWGIPFHQLPMYLSDGAACFLNMGTVSSGCEGAPLLPLLFCIVNMGYNISLLHLIKISSAVVSSLASTVSVPISVFLFTLPLPYLGVASSLPSGFIAGAVVLVMGMLIYAWTPSRPPMPSTVTTHDF >KVI08224 pep supercontig:CcrdV1:scaffold_3601:29474:29683:-1 gene:Ccrd_013405 transcript:KVI08224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRNIMGGEGGGLSLKAFANAKTKTDGYNAALIKKQREFYKNAKYVNKYKKSLKQQNHKHVLPKLQNL >KVH87381 pep supercontig:CcrdV1:scaffold_3602:45457:47732:-1 gene:Ccrd_025388 transcript:KVH87381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMRSKKEKRLRAIRREMVQPLYEKKDEAKFAALEAALNAPKLPVRTRPDETASMDVSAAATTTTSSNADMADVEMDEGSSRKSLKPIGRRLKKKLKLSKRKYRGKGKIRKKNI >KVH87378 pep supercontig:CcrdV1:scaffold_3603:8890:9975:-1 gene:Ccrd_025389 transcript:KVH87378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVLDNYLGRDLIFSKPNSDTALSGLLLTPHNPASDFNHPQPIPRIRIDKINRLLLEDDSLETCNSEYQSIIMEFRAFLSDSKDVLDEATTMKLLESYGRVDELVYFASLKEQHEIVVHHYIQQGEAKRVLDVLQKPGVSVDLQYKFAPDLIMLDAYETIESWMTKKNLNPRKLIPAMMSYSSEPHAKNETHKNEDPGVHNLLLSLYAKKEDDSALLRFLQCTFGKGRSSGPDLFYDPKYALRLCLKEKCMRAYVDPELAMAEADKVEDDEDLRKKLWLMVAKHVVEQEKGTK >KVH87379 pep supercontig:CcrdV1:scaffold_3603:43693:49946:-1 gene:Ccrd_025391 transcript:KVH87379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMALSQSFVAIHSIAIQNPGDKETKPKTKSVICTDCDGNDGSCRFIPRLIYVIVMSFFLQRKERNAMRRLQWSRLCRRFHERFRSLDSPLGM >KVH87380 pep supercontig:CcrdV1:scaffold_3603:42756:43697:1 gene:Ccrd_025390 transcript:KVH87380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTVTGSSEFIKVFSDGTVERFIPETAPASLDLYKGYKCKDVTIDPLKPITARIFIPTTTATATSKLPLLPVLIYFHGGGFCIGSTTWLGYHHFLGDLSATSESIVLSIDYRLAPENKLPIAYEDCYTSLIWLSQQKLTESWLKNADLSQVFLSGDSAGGNIAHQVAIRAIRDKECLVRVKGILPIHPYFGSEKRTELETKDGSAEEVKSNDMFWRLSIPEGSNRDYEGCNFEWMQVSLTEWSRFPNVLVFVAELDFLNERGVAYVEFLKKKGVKVKIIETKDEAHVFHVFHPDSESTRVLQNQMKEFIHSL >KVH91277 pep supercontig:CcrdV1:scaffold_3604:34135:36100:-1 gene:Ccrd_006704 transcript:KVH91277 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MADSQQPTAAKNTTTVPLPNFLLSVKLKYVKLGYHCLISHAMYLLLTPILALVFVQLSTLTSHDLIHLWHQLRFNLVTVVLCSALMVFLTTLYFMSRPKKVYLVDFACYKPEDARMVTREIFMDRSTQAGTFTEENLAFQKKILERSGLGQKTYFPEAVLRVPPNPCMAEARKEAEMVMYGAIDQLLAKTGVKAKEIGILIVNCSLFCPTPSLTSMVVNHYKLRGNIMSYNLGGMGCSAGLIAIDMAKQLLQVNPNSYALVVSMENITLNWYVGNNRSMLVSNCLFRMGGAAVLLSNRSSDRRRSKYQLIHTVRTHKGADDRCYNCVFQEEDEQKKIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFQMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSDWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIRKGDRSWQIAFGSGFKCNSAVWKALKTIDPAKEKNPWTDEIDDFPVHVPKIEKIAS >KVH91278 pep supercontig:CcrdV1:scaffold_3604:7478:20575:1 gene:Ccrd_006703 transcript:KVH91278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MQKRELGKQGGGSGGSGTPAAKRGRPFGSTNSNSAAALASDAAAPSTLLGPSLQVHSSFADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDEVRKDATPLAKIPGLLDALLQVIDDWRDIALPKELIKNPRTRSLGANSIVTGFGNEYKALGSNDVPHPNIGSASVSEASVQKKATKSQPSEWWLAEDGLFNLDDEGRAEKQQCAVAASNILRNFSFMPENEVIMGQHRHCLETIFQCMEDHVTEDEELVTNCLETIVNLAPLLDLRIFSSSKPSYIKITEKRAVQAIMGILGSAVKVWHCAAAELLGRLIINPENEPFLLPSAPQIYKRLVDLLSLPAVDAQAAAVGALYNLAEVNMDCRLKLASERWAVDRLLKVIKAPHPVPEVCRKAAMILESLVSEPQNRPLLLAYENAFAEILFSDGKYSDTFARILFELTSKPNSKVATARGIWGM >KVI06801 pep supercontig:CcrdV1:scaffold_3606:6983:7630:-1 gene:Ccrd_014844 transcript:KVI06801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASRKLTTVYPYHSPPPPPPPPPPPATPQELAHPPKPAPYGPKPAPYGPKPPTPSGLGIPPEGSKPPTPSGSATPPEGPKPPMPSASWPPEGLKPPTPNAPYTPAPSPQTIGVPPYPPSPSVLPPLPYNANPPTGNILTPPSPPGGGGTDYTIVIAVCTSLGGVFFLAFLAAGLFCLAKKKKKPIIVPPVVTYGQEPVPHGHGATTGEEHGQMQ >KVH98720 pep supercontig:CcrdV1:scaffold_3609:12967:25420:-1 gene:Ccrd_023053 transcript:KVH98720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVSRFDSGIESVSRYFERSKMVPPGPPTPLAGAQSVPSSLLRSNSGLLGSQGGSMPSQSGFPSLVSPRTQFNNMNMLGNVPNVSSLLHQSYGNGGPGSGMSGSASSLRGMIDNGADSDPLSSMGNGMGFNAPSSSLGPSNMVNSNAPGQVPGQHFSNSSGNQILPDQQQGQQRESPNLRQSQQSLQQYSVPNNNHHQQQYQTSQGGLGGIGQVKMEPQAANDQHGQNPQQMQALRNLGSVKMETQQVQAMRNLPPVKMEQHSDQSLFLHQQQQQQQQQQQFLHMSRQSPQASAAQINLLNQQRFLHLQHQQQQQLLKSIPQQRPSLQPQFQQQNLSMRSPAKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNARKKWCVSLYGNGRHTAGVFPQDVWHCEICNKKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSADLKICSWEFCARRHEELIPRRLLIPQVSQLGNAAQKYQASTQSASSSLSVPELQNNCNMFVDSARQLAKALDVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSSTSGFHSQPEEQQKPQQLQTVVQSTSNNETSAMQIASTNSMPSVNNCSNITIDGLLHQNSRQQSSLSNTNGPYGIQIPSPGSSSTQPHPNPSPFQSPTPSLSNNLPQGSGAPLNSATSPNISSMPQPTLSSDADANDSQSSVQKIIQEMMMSSQLGGNGGVGSVGIEMKNANDLIQGGGNSSSPGVVGNGTGNNMITSGGQMGMVNGMRAAMGGHNSLSMNGRVAMLNHHQQQQQQQQQQQQDIGSQLLLNGLGAVNGFNNLPFDWKPSP >KVH93935 pep supercontig:CcrdV1:scaffold_361:160836:161336:1 gene:Ccrd_004017 transcript:KVH93935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAAPIIGPTQNNHCTQFNPVQQAYINMITAAPKLRAGLIPVPRREVNVLTGTWESRAFLLGSVAEKTVYTRTKVPMISAPNPVPLL >KVH93922 pep supercontig:CcrdV1:scaffold_361:295106:296932:-1 gene:Ccrd_004029 transcript:KVH93922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MDIDCVSFTGSTEVGRLIMQAAATSNLKEVSLELGGKSPLIIFDDVDVDSIVNIALWGSFTNKGEVCVCSSRIFVQEGIYEEFITKFAKAAKEIIVGDPFDPXTHQGPQVDKRQYEKVLSYIEHGKRQGSGFGKEFGMEGLHKFLKVKSVITPLHDSPWL >KVH93928 pep supercontig:CcrdV1:scaffold_361:263299:267168:-1 gene:Ccrd_004027 transcript:KVH93928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MYLSPFLDVISSDDVPAGATAVALFSVLKIMKLGMFDRKTPGAKEAISNVVIAVTGCRLEKTHPITEAGIMMRILQVLAAVMRHPASVLLDDHSVCTIVNTCFQVVQQSSNQSDMLQRIARHTMHDLIQIIFSRLPEIAVGDWENSESDTEDTTEETGYGIRCAVDIFHFLCSLLNVVGMVENEGSLALTADEDVQVFALVLINSALELSGDSIGKHKKLLRMVQDDIFHHLIHYGTSSSPLVFSMICSTVLNLYYFHRKSIRLQLEAFFLFVLFKISNQSYLIQLQELAVEGIINLCRQPTFIIEAYVNYDCDPICNNIFEEIGKSLCKHAFLSGNGAPTSVQLQAFDGLMVLIHNIADNVDKQTNSRPTGPYPVEISEYIPFWEEKPKPKEDIDLETWIDSVRLRKVQKRKIMIAGNHFNRDDKKGIDYLKISNLISDPPDPKGHAMFFRYTPGLDKTKIGEFLGDPGEFSLKVLKEFTDTFELSGLVLDTALRTYLETFRLPGESQKIQRILEAFSERFYDQQSSEIFVSKDAVFILCYSVIMLNTDQHNPQVKKKMTEEEFIKNNRAINGGNDLPREYLSDLFQSIATKAITIFGQTGSPIEMNPSRWIQLINKSKLVQPYITCNFDRRIGRDMFATIAGPTVATLSTIFEHTEDEEIIHECIEGLFAVARICQYGLEDTLDELISSFCKFTTLLNPYASVEETLFSFGHDLKPRMATLAVFTVANNFKNSIRGGWRSIIDCLLKLKRLKLLPQPIVDVGTSSANGRPHRRTESESISNDQAGRINTSNLMAAISHCLSMENVEESLNLGISEFEQNLKVIQQCKIGSIFSKSSNLPIEPLLNLGRSLIFAAAGKGQKFSTPLEEEETVQFCWDLIQAMASCNVHRLASFWPAYNDYLLTVIQFPLFSPNPFAEKAITALMRICIKLLASKSNEKQSEELLFKSINLMWKLDREILDTCNEFIIQSITKILNKYSSNLLSQLGWKTVLHLLSITGRHPETYDRGVEALINLMADGTGISIANYPYCIDCAFGFVALRNSPVDKNMRLMDLMADSVKLIVDRLRNGYSDPGSNPSINSSASLDENMKYLASTTNMSMNLFVKLGEALRKTSLARREEVRNYAVSSLQKSFMNAQELYFTPTNIINCFNLVIFAMVDDLHEKMLEYSTRENAERETRSMEGTLKLAMEVLVDVYLQYLKLLMESSGFKTFWLGILRRMDTCMKADLGTYGESKIKEQVPELLKKMITTMREKEVLVLQEGNDLWEITYIQIQWIAPELKDELFPDAA >KVH93917 pep supercontig:CcrdV1:scaffold_361:32047:36242:-1 gene:Ccrd_004006 transcript:KVH93917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-insensitive 2 METEAVISKPQSSIIHRLFPAVLPVLFVAITYVDPGKWVAAVEGGARFGYDLIFLMFVYSLAAVLCQYLSACIAVVTRRDLAQICSSEYDTVTCIFLGIQAELSMIALDLSMETGKAKFMCTCLATVALLSYLFGVLMGQPDTSLSVGSGGMLTKFSGESVFVLISLLGASIMPHNFYLHSSIVQQNQGPEQVSKGALCLDQLFAISSIFSAIFLVNYVLMNSAANVFYSTGLDLLTFQDALSLMDQVFRSLMAPVALILILLLSNHTTALTWKFGGQPVLRNFFTIDISGWFHHSAIRIIAIVPALLCSWHSGAEGIYQLLIFTQIVVALMLPSSVIPLFRIATSRSVMGVNKISRFLEFLVLITFIGMLGLAIIFVVEMVFGNSDWASNIRWNIGNCGSISSPYTILLVTAFLSFFLMLWLVVTPLKSASFRSDTVLESSLNERAVYDRIEESRQKQETWLEDHSDSPIFSNEVGLPEELMESEKGLRLTTIEEHSSDILISSPPEESVTTVSGDEAIIGLKNEESESIERTLSIDGNSQVVKDQKIEAWQPEELTKVVPETNHLLTNDGPGSYRSLGGKNDDVGSGAGSWSKLAGLGRAARRQLAAVLDEFWGQLFDFHGEPTQEAKARKLDKLLGIDSKVNPKASKVDNQSLYDSSRQQSVQTGIDSTYAVHRNPSALLSSQMQLLDAYAQRSNLNVMDPSEKRYHSLRLQSSSGGLRIPQASGAYDDQPATVHGYQIKSYMNQMESLTPKSPSLGSSNYKAPYPLTKAAQNGTSPAKPPGFPDPVVSRNSSMQPERTHHNHPAEAMHSTVNEKKYYSMPDISGLSLPHRDGTLGRKMHGLSMYSGPSYRSGTPSGYAGSYQLSSGSDTWSIWSRQPFEQFGVAEKANNNSRLSLSTQETGSGVDLEANLLKSLRLCIVKLLKLEGSDWLFQQNSGLDEDLVDRVAARERFLYEVESKEMNGAAHAGDSGMKIDLVTSIPNCGEGCVWRADLITSFGVWCIHRVLELSLMESRPELWGKYTYVLNRLQGIIELAFSKPRAAMNPCFCLQLPSSYHQRRVSPPKAAATCLPPPAKQSRGKCTTAASLLDIVKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPAVTPDGLSGPHKSSSLSASYGS >KVH93936 pep supercontig:CcrdV1:scaffold_361:154955:161992:-1 gene:Ccrd_004016 transcript:KVH93936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MTKDVEVGGHDYSAKDYQDPPPADLFDAQELTKWSFYRAIIAEFVATLLFLYITVLTVIGYKSQTDPIHSSDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLLLARKVSLPRAVMYIVAQCLGAICGCGLVKAFQKTYYDNYGGGANGLADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYGKDKAWDDQWLFWVGPMIGAAIAAIYHQYVLRAGAVKALGSFRSNAQSYHRESQTSSKENQEKTEPWIYNLIEENKNLWWESRVLDMAKEINVGGAKDYQDPPPAVLFDAQELTKWSFYRAIIAEFIATLLFLYITVSTIIGYNSQTDPIYTSDQCGGVGILGIAWAVGGMIFVLVYCTAGISGGHINPAVTFGLLLARKITLPRAVMYIVAQCLGAICGCGLVKAFQKTNYENYGGGANELADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHIPWLFWAGPMIGAFIAALYHQYVLRAGAVKALGSFRSNA >KVH93924 pep supercontig:CcrdV1:scaffold_361:199386:200118:-1 gene:Ccrd_004023 transcript:KVH93924 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDRRIDIENPKHRKECPNEFYPERFENLEVDFLGNHFEMISFGDGRRSCSGIKSATSITELSSVNLLYWFDWEVADGEKNEDLEMEEEYS >KVH93919 pep supercontig:CcrdV1:scaffold_361:55033:60607:-1 gene:Ccrd_004008 transcript:KVH93919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGWKVQGLDLPKNPNFCNEERWGGPVVTGNGWGSNWYPLKHHSETIDNRENSAEVSVTVRLKFKRQLESRVIHGRSLPILAGKLAGLDNGVKKTPKSEHFLSSGAAKLIKLNMETNRIHVFITQDWGWKQSYPIALRPHQRQYEYFVKKSKDATP >KVH93930 pep supercontig:CcrdV1:scaffold_361:209305:212375:-1 gene:Ccrd_004025 transcript:KVH93930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MNMRKWLNLKKGADGFHSDFSVNGDGSVAPDRSERRKSCSDDGRYAVVPEELSDEWLTEAANDDIGQSRSEKVDLSMFVGTWNVGGNCPHKDLDVRDWLRTSSPAHVYVIGFQEIVPLNAGNVLGTENKSSAAKWLSLIYEALNTNPGRPRNYNPTTAVDQHHQSTLKPRASFSDLLSLEDGLDQEEFQRFFTTNFNNNNDNDNDDGINDLNTKQNHGFRLVASKQMVGIFLCVFVRKDVCSHVSDLKVSCVGRGIMGYLGNKGSISISMTLHRTTFCFVCTHLASGEKEGDEFKRNADVMEILKKTRFSRSYRTLGKPIPPDNILGHDKIIWLGDLNYRLSSTSGDVHEFLQRNDWPALLEKDQLRIEQKAGRIFEGWEEGNIHFAPTYKYITNSDCYVAHTSTPKENRRTPAWCDRILWKGEGLKQMSYIRGESKFSDHRPVSSVFSVQVSMPLNENTIIKFLDPKTLKSSLLPVCAAKVQAEELLLSKTKSCIETTPRFLGVARKLMSY >KVH93933 pep supercontig:CcrdV1:scaffold_361:111418:114704:-1 gene:Ccrd_004011 transcript:KVH93933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMWLGTKPEAFRLEDHTWQCTSGLPSDVTIEIGETAFNLHKFPLIARSGLLTKLIGDNPNEDGLACVVHLNDIPGGVKAFELIAKFCYGVKLEITSHNVISLRCAAEYLQMTDDYGAENLITQTEIFLNEVFTTWSDTMNALESCEEVLSDAEELHLISRCINSLAMKACSGPNAYDTRAMSAVNNNNITKNPIFWNGISTSAKPQTFGENWWYKDVSFLGLHLYKRVILAMMSEGMKAETIAGSLVGYVTKYIPLMNRQSSFNDASHSKPVSTPSEADQRVLLEEIVGLLPNRKGIVGTKFLIRLLRTAMVLHVSPSCRENLEKRVGAQLDSAVVDDLLIPNQGFNSVDTLYDIDCFQRILDYFMSMDSCSAVCSPCIVEEGLEDGAQSLTTMTSVANLVDAYLADVAADVNLKFPKFQSLAVAVPDYARPHSDGIYRAVDIYLKAHLWLTDCEREQICRLMNIQKLSLEASTHAAQNERLPLRVIVQILFFEQVRLRTSIAGWFFVSENVEDHANDREMVGSACMGEMRERVVELERECENMKLEIRKLVKKKRSWSFFCKRRRHRRL >KVH93937 pep supercontig:CcrdV1:scaffold_361:152615:154205:1 gene:Ccrd_004015 transcript:KVH93937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MAKDVGVGGHDYTARDYQDPPPADLFDAEELTKWSFYRAIIAEFVATLLFLYITVLTVIGYKSQTDPIHSSDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLLLARKVSVPRAVMYIVAQCLGAICGCGLVKAFQKTYYDNYGGGANELADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYGKDKAWDDQWLFWVGPMIGAAIAAIYHQYVLRAGAAKGLGSFRSNV >KVH93939 pep supercontig:CcrdV1:scaffold_361:164446:167164:1 gene:Ccrd_004019 transcript:KVH93939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Borrelia REV MEDYRRQGGIQQLLVAEQEARQIVNAARTAKMNRLKQAKDEAEEEVAKYRAQMEKEFQKTISESTGFSGVNIKRLDEETVTKIDHLKKQAAKVSPEVIKMLMSHVTTVKV >KVH93940 pep supercontig:CcrdV1:scaffold_361:161589:162560:1 gene:Ccrd_004018 transcript:KVH93940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDHNIHIIERVTGDAGGAINEDEYHATEGPSDAENSDATALVGAVDGVCLRLVAYNCQNGDIKEQEGCNELSNDGSVKGPFVGWEADHGRRSHQPPSTPTTFLLPSGFH >KVH93934 pep supercontig:CcrdV1:scaffold_361:99766:110526:-1 gene:Ccrd_004010 transcript:KVH93934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P/MRP, p29 subunit MGTSIQDPKNRAFEAIARREVYELQLQQKHKHNKRSLEKNTVESSADFKCTNQEVPPSSSAENIPSKKGKYFFSGQTTTRDADGDHPIYLPLSHPVHENLAMPNHEVNVIDKILHELLQSGDSAQNYMQGSRRMKMDNRIHLDNKVQECKMSGIALAKALQSHSKRSTKHMSMKQHKKYGSLDLPQELHNFEIFKPMHEMWKGYIMQLLKSVGKSQMAQCLLGADLHGAVILVSHCKMAAFTGVSGIMIRETAETFGIITQANKFVVVLKKISVFMFQVDCWKITLHGDKLTSRKIGQFGRVAIPRAESKNISSNRAGNIISFIYLHRMGYNLILFYTDIL >KVH93915 pep supercontig:CcrdV1:scaffold_361:14809:26052:1 gene:Ccrd_004004 transcript:KVH93915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, NSP1-like, C-terminal MSGFSFTNSSTPSSSSSSSSSPFSFASSSSPSPFSSSSNPSTFPSLFSSSAASAPAFGAPSSSAFGVSFSSGASTTTFVAPSSSASTPLFGFASSSSSTTSSAAPSPFSGFGASSSVATGPSLFGSSSNSGGTATSLFSSFSTSSATASSSSSAPFASIFSAGSSASPTPSFSFANVTASSGLQSSSGLSSNLKAASTTATTTTTTSASTSAAATGSSGSGLFGSSFNSGATAGTGTSLFSSFSTSSAFGKSTATISSSSSAPSTTVLSAGSSQSPTTSFSFANVPASTTATTTTTTSASTSAGKTPSFPAFGVTSSSSSAASTTTGSVPSFSSLTTTAASTGTSSSFLGFQTSSKTVGALTPSSQPQSTAASSLFGVPASNTTTTTTTSTIITTVAQTSSSLLVSSSSGTSASVSTAVASAPKLPSEITGKTVEEIIKEWNVELQERTGKFRKQANAIAEWDRRILQNRDVLLKLEAEVAKVVETQSNLERQLELIETHQQEVDKSLQSVEEEAERIYKDEHGLLLDDEAASTRDAMYEQAEFIEREMDQMTEQIKSVIQTLNANQAGELEVTDGMTPLDVVVRILNNQLSSLMWIDEKAEEFSSRIQKLAKQGFVADRELMGPKFWLSR >KVH93927 pep supercontig:CcrdV1:scaffold_361:230034:238958:-1 gene:Ccrd_004026 transcript:KVH93927 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MAPMLGGGGAGGEHKVNFAAPRSFKTAFKSDLKETFFPDDPFHDFKGKSLPIKAKKTLQYFVPIFEWLPNYTRRLFLYDLLAGITIASLAIPQGISYAKLADIPPVIGLYSSFVPPLIYAVFGSSKHLAVGTVAASSLIIAATLEKKVDPATDPKLYLDLVFTTTLISGVSQLILGVFRLGILVDFLSHSTITGFMGGTATLICLQQLRGIFGLKHFTTHTDVVSVIRAIVKYRKEIRWESTSIGVIFLVFLQFTKFVKQKKPKLFWVSAIAPMVVVVSGCVFAYLAHAEKHGIAIVGDLKKGINPPSLHRLNFDPKYISAPIQAGLITAMIALAEGIAIGRSFAILENEQIDGNKEMIAFGLMNIVGSFTSCYLTTGPFSKTAVNYNAGCKTQMANVVMSMCMMLTLFFLAPLFSYTPLVALSAIIMSAMLGLIEYEKAYHLYKTDKPTSSKLGNIPDTSFYRDVEQYPGATDIPKTLVLQLGVTSIDITGVETLVEVRRSLEAKQIKIVLVNPRLEVMEKLIVTNFIEKIGTECVFLSIEDAIDGCKFSLTRMKDYNSE >KVH93931 pep supercontig:CcrdV1:scaffold_361:126030:131023:-1 gene:Ccrd_004013 transcript:KVH93931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2935 MTMRRPLLIGVIIVMALGLAVYLRLWTIDYQISSSETDLLRRQFDLANMEAMDESAEWRLKFDEAQERATKCAKELKRVKETLGDNSMTKRLDMLQKENINLLERVETLKQELESEKLKCSMQRA >KVH93932 pep supercontig:CcrdV1:scaffold_361:118397:124770:1 gene:Ccrd_004012 transcript:KVH93932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MSRPAVHPVEPPPLIDGRNDVAPPRRVRMKDVEGMPGTSTGLALRLCQFVFTVISLTVMATTSDFPSVTAFRYLVAAITSTLTFAAACACAGITVLISNDLDRCDINHCKKFMSATALAFLSWFAVSPSFFLNFWSLASQ >KVH93918 pep supercontig:CcrdV1:scaffold_361:53912:56447:1 gene:Ccrd_004007 transcript:KVH93918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MDPDSTKLAGFEIEKSLVPNKNRRVISQRLTRVFSEDYRKVKGRVFDPRGERVRQWNKYFLVASLISIAIDPLFFYLPLVNQEEMCMGEDTSLKITLTVIRSIVDVFYIIQIYVRFRTAYDVPSTRLLGRGELVLDPFKISQRYLKRDFSLDLLASLPIPQVLTWLPIFDKSEMLSTKISILYFIMFQFFLRLYLIFKLTSHLTQVVGVVAESTLAGAAYNIVLFLLAAHVFGACYYLLSIERQGLCWKEVCDSEEPGCGEKFFNCRYLDDPGRASWYQTSNITDACGPETESYEYGMIFDAVDYGVASLDFFTKYSYCLWWGLRAIGFAAPELRNFKQTYLESRSQRLEEYRIQQMDTEGWMNHRQLPEAMRERVRKHDLYKWIKQGKVEEKAILDALPMDIHRDIKHHICIELVRRVPLFDQMDERTIDAICERLRPVICTPGTCLLREGDPTNEMLFIMHGHLDSYTTDGGRAGFWNQCEIGPGDFCGEELLTWALDPQSYNRENVSEQSKNKARIRETGISLGTNVRRCEILDFDDSIRTPVPKPNDPEYFDSE >KVH93938 pep supercontig:CcrdV1:scaffold_361:141268:141813:-1 gene:Ccrd_004014 transcript:KVH93938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MATRKFHHHHHDQRSKFLNYLGGGGGGGGGDQRINRVDSDGFEMNESDLWNTSVDDHSHLQPQNTISRRSKFPLKAHQQRKMPMTSAAKSLPVNVPDWSKILRDEYKHHGRRDNDHGHDVGFYDDDDEEEDDNEKLPPHEYLARTRIASFSVHEGFGRTLKGRDLSRVRNAIWKQTGFEQD >KVH93926 pep supercontig:CcrdV1:scaffold_361:176518:186727:-1 gene:Ccrd_004021 transcript:KVH93926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G44200) UniProtKB/Swiss-Prot;Acc:Q9XFD1] TVEPLARLFLHSPIATAALLSAPPSSLTTPPQNHKDPNKISAYRDRRFPGTQEEYEHALQTSTTVYIGNMSFYTTEEQLYELFSRAGEIKKIVMGLDKNTKTPCGFCFIMYYSREDTEDSVKYISGTILDDRPIRVDFDWGFQDGRQWGRGRSGGQKELEEQRQVVDYGVGSLGSFQPPPMAPNYGRHGGGGHGYGGSQRHGRGDYRKRYRDDDRRGPDVSKRNSEHESRRNPDNDSRQEKNPRFREHGDSDEEDDDRKRQK >KVH93925 pep supercontig:CcrdV1:scaffold_361:164446:167745:-1 gene:Ccrd_004020 transcript:KVH93925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKFHFPCSECFCSTSEDKVVHKNGKDENSEKEQKALRNLGEERAKNFRLFSYPELKVASDGFSSKNKVGEGGFGSVYKGRLRDGTIVAIKVLSVELESMRGEREFISEIAALSDVQHGNLVTLHGCCVEGAKRCLILDYMENNSLAYRFLGSEQNRTRFSWAMRKNVSLGVAKALAYLHEEKNPHIVHRDIKASNVLLDHDFNPKVADFGLSRLFQDGTSHISTRVAGTLGYLSPEYAISGRLTRKSDVYSFGVLLLEIVSGRSVVDFDVEHGEQFLVDKAWDMYNSESLVELVDHLLLEEADDGLRSEAVRFLKVGLLCVQENTKLRPRMSEVIKMLTSENSVDGVTISQPGFVADLMDVKIDDKKKSSQSF >KVH93929 pep supercontig:CcrdV1:scaffold_361:203555:205881:1 gene:Ccrd_004024 transcript:KVH93929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MKLSTHFYDHLQLIAAGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKTRLAESDTKAEPQTIKINQQGQAAGGSSEGGQKSACCGS >KVH93921 pep supercontig:CcrdV1:scaffold_361:269116:273053:-1 gene:Ccrd_004028 transcript:KVH93921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MSSRKHDIKFTKLFINGEFVDSISGNTFETIDPATEQVLATVAEGRKEDVDLAARRKILLKFADLIDENADELATLEVIDGGKLFAPVRYFEVPISSETFRYFAGAADKIRGATLKMSSNIQAYTLREPVGVVGHIIPWNGPAYMFATKVAPALAAGCTMVVKPAEQTPLTVLFFAHLSKMAGVPDGVINVVNGFGNTAGAAVSSHMDIDAVTFTGSTEVGRAVMHAAASSNLKPVSLELGGKSPFIKLEVMVKGWATGDPFDLATRHGPQNNKQQYKKVLSCIENGKKEGATLVTGGKPFGKKGYYIEPTLFTNVTDDMSLAKEEIFGPVISVFKFKTMDEVIKRANATKYGLASGVMTKNIDIANTVSRSIRAGAVWVNCFIALDRDAPHGGYKMSGFGREQGLEALEHYLQVKTVATPIYDSPWL >KVH93920 pep supercontig:CcrdV1:scaffold_361:82352:85877:1 gene:Ccrd_004009 transcript:KVH93920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MERDSEIKVSKFKRICVFCGSSQGKKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIVLAPTAKVLVRKLEEYVPCHERVASKLSWEMEQQLGYTDYDISR >KVH93923 pep supercontig:CcrdV1:scaffold_361:196261:197426:-1 gene:Ccrd_004022 transcript:KVH93923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTNTPNFDNLLLQTLMGRLQVRPPNPLQHQSSPSLNQTLESLLLDTLSNLADTEDDDDESNNSGKAQLAKEESKLEKEVIKIILSGNTEESLKPNSGQAVRIGEHHICIGYHVEPGSEYRVWEWHGHIMLFDEENGYNPEYIYGNYFERLRVVPEKKAEEEVKGDGDDNDKEEKAVNSGLKELIESSDESGSGRILHRNMNVGSPRPVLAIRSAADMKSSTGLRN >KVH93916 pep supercontig:CcrdV1:scaffold_361:29502:30801:-1 gene:Ccrd_004005 transcript:KVH93916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGKGKGKGKGKRKRKRVTAPLGKAKASLSLSLSLQSRSIFSSQSPHSSLSLRLLDNLQGHRQQINDLMGYIIVISLPVILLFLIIAIGCYLFGKNRGRSEATTTQYYGPPAPPYGVQPQATPINNK >KVH87377 pep supercontig:CcrdV1:scaffold_3610:31730:32190:-1 gene:Ccrd_025392 transcript:KVH87377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MYESLADQLIDIVVNAVERLVLHHGSRHPEMKRRAENCHMLTCNVSLEYEKRENI >KVH87376 pep supercontig:CcrdV1:scaffold_3610:35358:36491:-1 gene:Ccrd_025393 transcript:KVH87376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRHSSCYKQRLRKGLWSPEEDEKLINHITKFGHGCWSSIPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEENLIIELHAVLGNKWSQIAAQLPGRTDNEIKNLWNSSIKKKLRQKGIDHNTHKPISDFENDENDHRNTADKPKPLMVLPAPETHEFFLTRYGTSDRTAATDTRHLNSDHFPGFIDFNYGQPLPESTIFFGSNLSSDSNSDSLPAELIPEYTTAIPSSVGSGFHRNNWELSSLFETNNGVSWGLTNSYFGRNQKELHSQIPFPTQQQEMKWNRHLQYPFLLGSCTIQSRELYDETKPETDLGMKRELFQNHQSEDTYNKQLHRMSASYEQLT >KVH93337 pep supercontig:CcrdV1:scaffold_3611:27120:32463:1 gene:Ccrd_004612 transcript:KVH93337 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MVSALENRMFQVTWKLSSEALRDARHEQEMLVVCCSNGLIATDFKMLFSLCFAGFSQSSTKVARVSEAALRMKFMKLGSKPDSFQTDDNNVWYVASELATDIIINVGDVKFYLHKFPLLSKSARLQKLVASTSEGNNGDEIDIHDIPGGPAAFEICAKFCYGMMVTLNAYNVVTARCAAEYLEMHETMEKGNLIYKIDVFLSSSIFRSWKDSIIVLQTMKSMMMLSEELKLVSHCIDSIASKVSVDVSKVDWSYTYNRKKLPEESDSHIMNGSLRSMTVPSDWWVEDLAELELDLYKRVLVNIKNKGLVHDEVIGEALKAFASRRLPGFNKGVIHSGDVLKTRSIVETVVCLLPAEKGSISCGFLLKLLKMAILVDSGEVVKMDLVKKIGRQLEEASVHDLLIRAREGEPTIHDVKTVQKIVEEFMVRDQDRNGVFEAEGNEIQEVRRSTGGILSEASKLMVAKLVDSYLAEIAKDPNLPLSMFVDLAETVSVFSRPAHDGMYRAIDMEWFLVQAHPGISKSERKRICRLMDCKKLSVEACMHAVQNERLPLRVVVQVLFFEQVRAAASSGSSTPDLPKAIKDLNSGSSRSTTTNTEEDWDAVATAEELRALRGELASLRLEKNNGGHAKSPLADRSSVQKVTKRIFTKIWSSKSGNGENSGSDSSGSLGSGNRDEVKVGTGSTPSRKGRHSVS >KVH93336 pep supercontig:CcrdV1:scaffold_3611:42063:43227:1 gene:Ccrd_004613 transcript:KVH93336 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSCNGCRVLRKGCNDNCILRHSLHGICTPQAQANATVFVAKFFGRAGLMSFLASVPESQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQSAVETVLRGGSVRAMPELFSVGSTPENDDTSEAINSNFDVSKLRPQDLNLGLSSSGLDDEMRSGRHSDRRTTEKRRAVSPSDASETTTLESGLGSACSETKLLRLFL >KVH87374 pep supercontig:CcrdV1:scaffold_3613:4290:13674:-1 gene:Ccrd_025395 transcript:KVH87374 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein MSDEPSSSPIHCDGEIKDSNKTAANNNNGSSAVVRRERPSRACTARSAARLYAAAAAEAAVVTDGRKQKSRRRPSRREIEEEEEEEPPPSPPNPYSKIVTPLVREPPLSQLSRWSVRSMWELASILNFLNVFRPLLNIKVDFSVEEFETALITPNATLSDIHIPLLKAIPPVTRMALGKNTWVTVLCRKLRDWWHWVAEGELPIVASHGAEIETYNTLDPGVRVVILKALCDIRVEQEDIRSYIDDCIKHGVPLSAFRKERTGGDSQGVSYWYEDDRDIGQRLYREIRTVEVKKGKGKNVQSVPSYQWETIATNLDEFQDVSEKLSASKNRTEASLGKKLKNDMLPEIEKVHKKKEKLLKKQHRQALLLDSMIVDGFGPGRSLRGRKPVSYTFASVNCTSHSLIVVNIGFSADEYDRSINEAIKITNNRKRQRPQRYSTHEFVEAVSDNEADMDSDDDIVGEAVYDEEYLKQRKGRRKMSSSSEGDEEYHWEEENAEEEGDDSLSASEDSDVPQRLKKLRGQTRRETKLRSVVELQSGLRRSKRATRNRIDYTQYEFSESEPELAKPEKSTYEFSESEPELAKTEKSTYEFSESEPELAKPEKSNGSDKNTEASNSPGFSMGSDDTEVNNDNGNDNEEVKMEQPVIKEHQKMEKEEGDNEPSSKIENPVQDEFARKRRFLDLNELAPGSGFEDGPIMKDENTDNV >KVH87375 pep supercontig:CcrdV1:scaffold_3613:1105:5806:1 gene:Ccrd_025394 transcript:KVH87375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MKRTKLELFISLRQIQFLIGVGFLYMLVVSLVVPFLFKSITQEDEQVSRTGFLSNHLFALDSEEELQEKEAPIRPIPKTLPNSKKSNLNYDINISSTDGVGAIQKSAMEAFSVGKKFWEEIELELPSKNMRNQTKEICPSSVTLSGSVFEKRGSIIVLPCGMTLGSHITVVGRPRQAHLEQNPKISLLKPGQKNLMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPMIEQNTCYRMQWGLAQRCEGWKSRADEETVDGQVKCEKWIRDDDNSSEETKTSWWLNRMIGRTKKVAFDWPFPFAEGKLFVLTLSAGLEGYHGFALEDATGLAFKGDVDVNAIFAGSLPSTHPNFAPQRTLEMSNRWKTRPLPDTPIDLFIGVLSAGNHFAERMAVRKSWMQYDLIKSSRVVARFFVALARALRVILSRCLISQQHARKEVNAELKKESDFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTASAKYIMKCDDDTFVRVDAVLTAANKIDDRKSLYLGNINYYHKPLRYGKWAVTYEEWPEEDYPPYANGPGYILSSDIAKFIALKFEKHTLKLFKMEDVSMGMWIEQFNKTKRVEYVHSLKFCQFGCIQDYYTAHYQSPRQMLCMWNKLHLQGRIECCNMR >KVH87373 pep supercontig:CcrdV1:scaffold_3614:7968:41301:1 gene:Ccrd_025396 transcript:KVH87373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVKFLFMFLFISIILWLLFIFASKLVAWTLSRIMKASVAFKVSGWKCLRDITIKFEKGAVESISVGEIRFSLRQSLVKLGVGFMSRDPKLQLLISDIEIVTRTSNRNTKKTESRKSRRSRSSPGRGKWMVVANMARFLSISVTDLVVKTPKATVEVKDLGVDISKDGGTKPSLFVKLQLLPVVVHPGEPRVSFDQSSSFSNGENFTVGQTCFATIEKASAPFICEEFHLSCEFGHDREAGIVVKNVDISIGEIALNINEELIPRKKSSDTQVDEVLQSSMEYNIAKKQKNKQAALLAVTKYTSFIPEKVCLTLPKLNVRFVHKEHCVVMENNIMGIQLKSVKSRFVEDIGESTRLDLQLDFSEIHLLKEAENSMVDILKLAVISSVYIPLQPTSPIRSEIDIKLGGTQCNLIMGRLKPLMKLRSSNKKKMVLQDENSNPVTVKSSGSKAIMWTCTVSAPEMTIVLFNLSGLPIYHGCSQSSHVFANNISSTGTAIHLELGELNLHMADEYQECLRESLFGVETNTGALLHIAKICLDWGKKDKESLQEDNSKFILVLSVDITGMSVHLTFKRVQSLLSTALLFKALLKSSSPSIKTSEQNRVGRSTKPLGKGIQLIKFNLERCSVNLCSDVGLENEVVEDPKRVNYGSQGGRVLISVLPDGIPRTAKIASTVSDEHKMVKCIVGLDIYHFCLCLNKEKQSTQVELERARSVYQEYLGDNDSGTKVTLFDMQNAKFVRRAGGLKEIAVCSLFSATDITVRWEPDVHLALIDLGLRLRLLIDNQKLQAHEDTLMKDIYSSRDDERRKKVHVGSLQSEKNKKKESLLAIDVEMLTVTAEAGDGVEAMIQVQSIFSENARIGVLLEGLMLSFNAARVFRSGRMQISRIPNASGGASNAKVESDTKWDWVIQAFDIHICMPYRLQLRALDDSVEEMLRALKLVVAAKTKIIFPFKEDGAKPKKPSSSKIGCIKFYIRKLTADIEEEPIQGWLDEHYHLMKNEARELAVRLSLLDAVIAKGNQSPAVADTDDSIHEGMFQVGGEEIDLHDTSAIEKLKEEIYKQSFRSYYRACRSLVTAEGSGACQDGFQFGFKLSTSRTSLFSITATELDLSLSAIEGGEAGMIEFVQKVDPVALEYKIPFSRLYGSNLNLQTGSLVVQLRNYTYPLLAATSGKCEGRIVLAQQATPFQPQILHDVYIGRWRKVQMYRSVSGTTPPMKTYLDLPLLFQKGEISYGVGFEPAFADLSYAFTVALRRANLSVRNPNASNIMPPKKEKSLPWWDEMRNYIHGKTTLCFSESIFNIHATTDPYDKSDKLQISSGYMELRHSDGRIYVSAKDFKMFTSSLENLLRNSTIKPPAGTCGAFLVAPSFTLEVTMDWECESGNPLNHFLFALPSEGVIREKIYDPFRSTSLSLRWNFSLRPVPSSEILSQSSTNNYAAFDEVLGDPSKLESDSISSPTLNVGPHDFAWLIKFWNLNYIPPHKLRYFARWPRFGVPRFARSGNLSLDKVMTEFMFRIDSTPTCLRHMSLDDNDPAKGLTFKMTKLKFEMCYSRGKQKYTFESKRDILDLVYQGLDLHMPKVFLNKDDCTSVIKVVQMTRKSSKSSSTDRVVNENVGNARGSTERHRDDGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEQARSDPSEDDGYNVVIADNCRRIFVYGLKLLWTIENRNAVWSWVGELSKAFAPSKPSPSRQYAQRKLLEGTRVQNKNEFHEDVSKNPSIGQGGSSSKQKEASGSDLSLTNPSKLECQSFGALDKHVFDDSDEEGTRRFMVNVIEPQFNLHSDDANGRFLLAAVSGRVLARSFHAVLNVGIEMIKQALGSGDVNNPELTWNRMELSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTTDLKVFDKWTEGPQLLTLMSLDVPPRRSSLPKSAEDDDDIEEEADEVVPDGVEEVELERVNLEQKERARNLLFDDIRKLSLFTDASGDVYSEKEGNPWMITCGRSTLVQRLRKELVNAQKSRKVAAASLRVAMQKAAQLRLMEKEKNKSPSCAMRISLQINKVVWGMLVDGKSFAEAEINDMIYDFNRDYKDVGLARFTTKYFVVRNCLPNAKSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKDGNSPIELFQVDIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGLRRAKKASTVNEASASSSHSTKELEGSSRSNISALPLTSGSNHSCHADSIHASKVQNVKTTAPELGRTSSFDRTCEEAVAESVTNELMLQLHSSGIAPSRSEPVGSLEQQDESSKTKSKDSKTAKAARSSQEEKKLSKPTDEKRSRPRVMREFHNIKISQVELLVTYEGSRFAVSDLRLLMDTFHRVDFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKLHGQGKETTVSGIPTTDLNLSDSDGGPTGREDQVPITWPKRPADGAGDGFVTSIRGLFHTQRRKAKAFVLRTMRGEGENDQMPGDWSESDNEYSPFARQLTITKARKLIRRHTKKFRAKKGISSQQRDSSLPSSPIETTPYESNSSSGSDPYEDFLEYKAAQEKSLPLP >KVI03063 pep supercontig:CcrdV1:scaffold_3616:6913:14512:1 gene:Ccrd_018643 transcript:KVI03063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MAPTFRDIQLTGAGGRDSLAIDVGGDLEDVRLLDSYEDEYDNNDDSGKKSKEGLEEGGMKRIQVRVTGMTCAACSSSVEGALMSLNGVVSASVALLQHKADVVFDPNLVKNAIEDAGFDAEILQEQSTARTKPQGTLVGQFTIGGMTCAACVNSVEGILRKLPGVKRAIVALSTSLGEVEYDSSIISKEEIVNAIEDAGFDASFVQSSEQDKIILGVIGIASELDVQALESILCNLKGVKQFGFNRISRELDVLFDTEILGPRSLVDALVRESSGRFQIHVKNPYTRMVSQDQEESANMYRLFTSTSYLSHAIHMSTYTSSLRLIALAMWTIPNGRLFVIGKRFYIAAFRALRNGSTNMDVLVALGTTASYVYSVYALLYGAITGFWSPTYFETSAMLITFVLLGKYLEILAKGKTSDAIKKLVELVPATALLLVKDKDGKNVGEREIDALLIQPGDVLKVLPGTKVPVDGHVVWGSSYVNESMVTGESAPVLKEADSLVIGGTINFHGLLHVRATKIGSDTVLSQIISLVETAQMSKAPIQKFADYVSIHVDYFIGTLGAYPDDWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKVKYVIFDKTGTLTQGKATVTTVKASSEHPLAKAILEYARHFNFFENPTATDESQSHSNESAMSGWLLEATDFSAIPGRGVGNRSLLAEKGIAIPTNIENFVVDLEENAKTGILVAYDSVLIGVVGVADPLKREAAVVVEGLKKMGVRPIMVTGDNWRTARAVAKEVDIQDIRAEVMPAGKADVIRSFQKDGSIVAMVGDGINDSPALAAADVGIAIGAGTDIAIEAADYVLMKNNLEDVITAIDLSRKTFTRIRLNYVFAMAYNVISIPIAAGVFFPWLKIKLPPWVAGACMALSSVSVVCSSLLLRRYKKPRLTTILEITVE >KVH88819 pep supercontig:CcrdV1:scaffold_362:209625:210823:-1 gene:Ccrd_025400 transcript:KVH88819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDKGKLIEETQSDSSACDEENVFKNDENLVVSENEAGFSADLMVHQDMLDKINKINLNLDKTKVFKIQSSLSKAVQKAFRRKNEIFYCISTKEISIDIADVSGQVYLPLITRSEIRQKLEKIPIDIRKKITFAHIGAIKILIKAQFRNGIDSPIKMALVDNRINNRKDSLLGAAQGNLAYGKFMFTVYPKFGVDLKTKNLNQILSFVHKFDRSDLMDKGDMAFSITYLVGYALTNSHHSIDYRNSSTIEIDDLFQEIGSVQENHFCEIKNENTDWMINISKEKNQFGDSSTIKIKENQDLVDKPRSSTTREMVRNISQRIDSMTTILKDLTELKERLVELSTQMDKLTTQVEELIKMSNLCVSEIEMASAKTITEISSGISKIESCSCNKKS >KVH88817 pep supercontig:CcrdV1:scaffold_362:85220:90689:1 gene:Ccrd_025398 transcript:KVH88817 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MRILPRPPCLPSSSQSISRPNFHFSISTYSASSSCSSSSSSFTFFSFKPFNKRFHFLSPPRSSLKQSKKRQRTLQTNAPPPPQSSIRRLLNLNNNPKDDDGDGGGDGDDENGTAIKGTIIAGLLLVGVVGGFGSVGYIYRDQINVFLSQFSSFIEGYGPAGYALFVAVYAGLEVLAIPAIPLTMSAGLLFGPLTGTILVSISGTIAASVAFLIARYFARERILKMVEGNKKFLAIDKAIGENAFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESNVGLAGGSNQLVTLGVGLLATALAATYVTRLAKDAMEDIE >KVH88821 pep supercontig:CcrdV1:scaffold_362:82482:83064:1 gene:Ccrd_025397 transcript:KVH88821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLPYVRAPYLHVAMLLFSCVLYLCFCFAKWGLHKNKLCKGKGEVRLPLLSTLILSLCAIYWVRVQEITFHQRQRRVLNQTLHIDTHRNNIQSIVLIGGIHFLFRWWV >KVH88818 pep supercontig:CcrdV1:scaffold_362:212836:217865:1 gene:Ccrd_025401 transcript:KVH88818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, superfamily MLYGCALFDYLARIHLIDSLQYGNVVGCSKAKNPSCFFPIPQAAECITRLVERANMPAIYLSTNAAESETGLLQSLVMLNGKTVEAMLDKTISALSGVFIRALESTFKEDILRLRRGWGTTSRCDEYLCEGQLITILKKNQTIKFASIAPYEDNGPVQSHYLALWKKYGHVIDYMNFQFYAYDKLSVSQFIYHFNHQQDLSYARSQLLASFISKGNLGLPPNDGFFKACRELKRQGKARQAWRHVCVVC >KVH88820 pep supercontig:CcrdV1:scaffold_362:170006:191690:-1 gene:Ccrd_025399 transcript:KVH88820 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP Gly-rich domain-containing protein MGWCMNRYFGKRVTDMACESSLWEESVKSGEPYNQIFGLYSGYVSEMQSSSDLENPKQCEFKIGQRVHWAGDCRRIGTVKYVGEVEGYSGNWVGVEWDNRDGKHDGSVNGVRYFNAQFPKSASFARSHNLSTGVSLLQALDIRYRTSSTKEEEDEMYVLSASNKRVNVQLLGKDKIEDKLGRFEELPGASLFYLGVSSSGDPFQISKTLPNLKELDLTGNLLSEWQDVAAICSGLPALTALNLSSNTMSHSVVGISHLTNLRILVLNNTAINWSQASNVFLTSIEELKDSLRAIEELHVMGNKLREITTTSSNIVQGFDSLRLLNLEDNYIADWGEILKLSQLKCLEQLHLNKNSIEHIWYPAADELPYSSASPDKSYKPFQKLRCLLIGANKIEDVASIDALNSYPGLVDIRCSENPATDPRRGGIARYVLIARLAKVEVCTPGNVKAASKFGRDQEASPKLKAFHGIEDERPSNGPTGPQKMASGLIAITLKCVGPSIGEKPPLTKKLPATTTVGKLKTLCESFFKLKSIKPLLYLQEEGSPLPTLLDDDMASLNDAGVCTQSTILVDEER >KVI01850 pep supercontig:CcrdV1:scaffold_3621:25275:31183:-1 gene:Ccrd_019868 transcript:KVI01850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLMKLQFALKNPCCRCLLDDSFWKPYNKEMEMSDYDATTLYSMGFLPQAISNATTPKLNTSDSLLAFPVTTHSGAISERDTSEIAYGDTYMITYGISVKISWIPIVLISIKLHCNKSIHCENGACIDRKPYGSTTCDHRIILSKEEREAITKDQRLWGYAFIHKAIHKTWLRPSTTTN >KVI01853 pep supercontig:CcrdV1:scaffold_3621:33646:35870:-1 gene:Ccrd_019870 transcript:KVI01853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTCRDTDVEEEAVDEVAFYFEESVLSMLLDDWFWMMEATPCDEEMGRDNSQTHSTNLICLSGALYSMGFFPQAISNVTTPKLNTSDSLLAFPVTTHSGAISGLGFGGSGFGPVFGGSGFGAVLGGRLLISTINGVIKSILTYNSIISKTREGNTKVFRNRIICDPSLTICPTSYITQPFMRSNRSERVTSEIAYGDTYMIANVNIVRISWIPIILISIKLHCNKSIRCTKGDWIDGKPHGSTACDHRIILRKKECEAITVIWIQP >KVI01852 pep supercontig:CcrdV1:scaffold_3621:2091:8454:1 gene:Ccrd_019867 transcript:KVI01852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily YNLIAGYFFLFLIPYVASLRFDLPNIGPANQNREIITEGDGAYISSSGIQVTPDEIGDRRRKARRARYFEPLHLWNNATGELASFATNFSFVIDSRSNGDYGDGLTFFLAENNSMIARGATMGLPIDQDTIVATNRFVAVEFDTYENNWDPRDSNNIYIGNHVGITISNLTGNSLTSVRSQGWFSNITGGATCQAWITYDSISKNLSVSFTGFRNNTTVHQDGLYYTIDLRDVLTERVIFGFSAATGASFQKNNVKSWSFSSSDIQNDEIGSLPPNPGPDPVGDKNSNVVDKNSNVVLIVGLSVAITFLAVAAFVLWRKKRKNKEDEVEELEFDVEMNKEFEMGTGPTRFFYHELAQSTSNFAENEKLGEGGFGGVYRGFLKDSRTYVAVKRVSKTSKQGIKEYASEVRIISRLRHRNLVQLIGWCHEKRELLLVYELMENGSLDSHLFKAKSLLTWGTRYKIAHGLASALLYLHEEWEQCVLHRDIKSSNVMLDSNFNPKLGDFGLAKLVDHEKDSQTTMLAGTLGYMAPECLVTGKASKESDVFSFGVVALEIACGRKPIEYKVQERQIRLVEWVWELYGTGTLLEAADPRLGLDFEEDEIKRLMIVGLWCVHPDSDFRPSMRQAIQVLNSEASLPILPLKMPVASYLPLPISSLYSVDSSSSIYNTDSSKQTTTSSTTSPSVSLYNLITSYFFLFLISYAASLSFDLPNIGPANQNRELITEGKGTYISGNGIQVTSDEMVDRSQIAGRVTYARPLHLWNNATGELASFATNFSFVIDSRDSGNYGDGLTFFLAENNSMITRGGAMGLPTDPVTSIATNRFVAVEFDTYKNDWDPRNSNNKYIGDHVGITISNFTGNSLTSVRSQKWFNNITDGPNCQAWITYDSISKNLSVSFTGFQNNRTVHQDGLYYTIDLRDLLTERVIFGFSAATGSSFQKNNVKSWSFSSSDIQHDEIDSLPPNPGPDPVGDKNNKVVLIVGLSVAITSLVVAAFVLWRKKRKNKEDEVEELEFDVEMNNEFEMGTGPTRFFYHELAQSTGNFAENEKLGEGGFGGVYRGFLKDSRTYVAVKRVSKTSKQGIKEYASEVRIISRLRHRNLVQLIGWCHQKGELLLVYELMENGSLDSHLFKAKSLLTWGTRYKIAHGLASALLYLHEEWEQCVLHRDIKSSNVMLDSNFNPKLGDFGLAKLVDHEKGSQTTMLAGTLGYMAPECLVTGKASKESDVFSFGVVALEIACGRKSIEDKAPERQIRLVEWVWELYGTGTLLEAADPRLGSDFEEDEIKRLMIVGLWCVHPDSDLRPSMRQAIQVVNSEASLPILPSKMPVASYLPLPISSLYGFQNESSSSIQNTDSSKQTATSSTASPSVSLLHSMQ >KVI01851 pep supercontig:CcrdV1:scaffold_3621:25438:49978:1 gene:Ccrd_019869 transcript:KVI01851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MVTNYFLLFFIPYAYAASLSFNLTDIGPKNLNRDILVDDNAYISINGVQVTPDEIGDRKGKAGRATYIQPLHLWNNATGELASFATTFSFVIDSREDTNYGDGLTFFLAENNSVIAGGGAIGLPINTSTILAMNRFVAVEFDTYENNWDPRDFYRNSIAATGASFQKNNVKSWNFSSTDVQTDEISSLPPNPDPDQLPRNLDPYQLPLNPGPDPPPLNPGSDPIVNKKSNVVLIVGLSVAITFLAVTTFVLWRKKQKNREDETEELEFNAKMNIEFETGTGPTRFSYHELAQSTSNFAEDEKLGEGGFGGVYRGFLKDSRTYVAVKRVSKTSKQGIKEYASEVKIISRLRHRNLVQLIGWCHEKRELLLVYELMENGSLDSHLFKAKSLLTWGTRYKIAHGLASALLYLHEEWEQCVLHRDIKSSNVMLDSNFNPKLGDFGLAKLVDHEKGSQTTMLAGTLGYMAPECVVTGKASKESDVFSFGVVALEIACGRKPIEYKAQERQIRLVEWVWELYGAGTLSEAADPRLGQAIQVLNSEASLPILPSKMPVASYLSLPVSSSHGVASIFQNQSSSSIDNIDSSKQNATSSTTFSSTSEQCVLHRDIKSSNVMLAPERQIRLVEWVWELYGTGTLLEGADPLGSDFEEDEIKPSLSFNLPYISPKNQNREIITEGYGAYISSNGIQVTPDEIGDRRQKGGQVRYFESLHLWNNATGELASFATNFSFVIDSRLDPNYGDGLTFFLAENNSVITRGGAMGLPIDPITFRATNRFVAVEFDTYENYWDPRNSNDIYIGDHVGITISNLTGHSLTSVRSHKWLSNITGGADCQAWITYDSVSKNLSVSFTGFRNNRIVRQDGLYYTIDLRNVLTEKVIFGFSAATGTSFQKNNVKSWSFSSTDVQTVEISSLPPNTAPNPLPPNTGPNPLPPNPSPDLVGNKKSNVVLIVGLSVAITFLGMAAFVLWRKKQKNREDEAKELEFNAEMNTEFEMGTGPTRFSYHELAQSTGNFTEDEKLGEGGFGGVYRGFLKDSRTYVAVKRVSKTSKQGIKEYASEVRIISRLRHRNLVQLIGWCHEKRELXLVYELMENGSLDSHLFKAKSLLTWGTRYKIAHGLASALLYLHEEWEQCVLHRDIKSSNVMLDSNFNPKLGDFGLAKLVDHEKGSQTTMLAGTLGYMAPECVVTGKASKESDVFSFGVVTLEIACGKKPIEYKAPERQIRLVEWVWELYGAGTLLEAADPRLGSDFKEEEIKCMMIVGLWCAHPDSDIRPSIRQAIQVLNSEASLPILPSKMPVASYLSLPISSSHGVASIIQNQSSSSIDNTDSSKYNLITSYFFLFLIPYLASLSFDLPNIGPANQNREIIARGDGAYISSNGIQVTPDEIGDRTQKAGRVTYIQPLHLWNNATGELASFATNFSFVIDSRQNGDYGDGLTFFLAEDNXVITKGGAMGLPIDPNTILATNRFIAVEFDTYENXWDPIDSNDNYIGDHVGITISNLTGXSLTSVRSQGWLSNITGGADCQAWITYDSISKNLSVSFTGFRNNRIVRQDGLYYTIDLRDVLTERVIFGFSAATGASFQKNNVKSWSFSSSDIQNDEIGSLPPNPGPDPVGDKNSNVVDKNSNVVLIVGLSVAITFLXVXAFVLWRKKRKNREDXVEELEFDVEMNNEFEMGTGPTRFFYHELAQSTGNFAENEKLGEGGFGGVYRGFLKDSGTYVAVKRVSKTSKQGIKEYASEVRIISRLRHRNLVQLIGWCHQKGELLLVYELMENGSLDSHLFKAKSLLTWSTRYKIAHGLASALLYLHEEWEQCVLHRDIKSSNVMLDSNFNPKLGDFGLAKLVDHEKGSQTTMLAGTLGYMAPECLVTGKASKESDVFSFGVVALEIACGRKPIEYKAPERQIRLVEWVWELYGTGTLLEAADPRLGSBFEEDEIKRLMIVGLWCVHPDSDLRPSMRQAIQVLNSEASLPILPXKMPVASYLPLPIPSLYGFQNESSSSIXXTDSSKQTATSSTASPSVSLLTHTHVIDKNNYWLIMATNYFLLFMIPYAASLSFNLPNIGPTNQNREIIAKGDGAYISSSGIQVTPDEIGDRRQKGGQARYFEQLHLWNNATGELASFATNFSFVIDSRSNGDYGDGLTFFLAEDNSMITSGGAMGLPIDPNTFLATNRFVAVEFDTYENDWDPRNSNBIYIGDHVGITISNLTGRSLTSVRSQKWLSNITGGADCQAWITYDSISKNLSVSFTGFPSNRIVRQDGLYYTIDLRDVLTEKVIFGFSAATGASFQKNNVKSWSFSSTDVQTVEISSLPPKTDPNXLPPNPGPDPVXNKKSNVVLIVGLSVXITFLVVPAFVLWRKKQKNREDEAKELEFNAEMNTEFEMGXGPTRFSYHELAQSTGNFTEDEKLGEGGFGGVYRGFLKDSRTYVAVKRVSKTSKQGIKEYASEVRIISRLRHRNLVQLIGWCHEKRELXLVYELMENGSLDSHLFKAKSLLTWGTRYKIAHGLASALLYLHEEWEQCVLHRDIKSSNVMLDSNFNPKLGDFGLAKLVDHEKGSQTTMLAGTLGYMAPECVVTGKASKESDVFSFGVVXLEIACGKKPIEYKAPERQIRLVEWVWELYGXGTLLEAADPRLGSDFKEEEIKRMMIVGLWCAHPDSDIRPSIRQAIQVLNSEASLPILPSKMPVASYLSLPISSSHGVASIIQNQSSSSIDNTDSSKQNATSSTASPSVSLLHSMQ >KVI04205 pep supercontig:CcrdV1:scaffold_3623:49932:51338:-1 gene:Ccrd_017487 transcript:KVI04205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MAATDGLLTVLEKCRISPPPNTVGERSLPLTFFDLRWLLFHPIHQLFFYDFPHSKSHFVQTVIPNLKHSLSVTLQHFFPFASNLIVFPAPNPSGLARKPEIRHVEGDSIALTFSESTLDFNDLIGNHPRDCNKFYPLVPQLEGASKVSDFVKIPIFSVQVTIFPNIGITIGLTNHHTLSDASSRYDLLRAWTSIAKYGTDEMFLAGGSLPFYDRVIEYPQILDEMYLKLPPIQKLDEKYRPPALVSQTDRVRATFILTRAHINLLKKWLSVRLPTLEYVSSFTLGCAYAWSCIAKSRLHLEGKTGENEQERFVCVIDWRSRLDPPVPQTYFGNCVGPCFTTTNSTLLTGNKGFVTAVELVGKTIRETLKNKQGMLKDAETWLDRSILQVPTVGVAGTPKLNIYDVDFGWGKPRKYETISIDFSRSISVNVSSESAEDLEFGVSLPAKQMDYFSTIYGQELEDIISEEI >KVI04204 pep supercontig:CcrdV1:scaffold_3623:4438:30938:-1 gene:Ccrd_017486 transcript:KVI04204 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MAATDGFLTVLEICRISPPPNTVGERSLPLTFFDLGWLLFHPIHQIFFYEFPHSKSHFIQTVIPNLKHSLSITLQHFFPFAGNLIVFPPPNASGIARKPEIRHVEGDSIALTFAESTLDFNDLIGNHPRDCNKFYPLVPQLEGASKGSDFVKFPIFSVQVTIFPNSGFAIGLTNHHTLSDARTRYDLLRAWTSIAKYGTDELFLASGSLPFYDRVIEYPQLLDEIYFNQHVIQTLDENYRPPQLVSTTDKVRATFVLTRAHINLLKKWLLVQLPRLEYVSSFTLGCAYIWSCIIKSRLHLEGKKSEDELERFSCVIDWRSRLDPPVPQNYFGNCVGPCFATTKSTILTGNKGFVTAVELVGKTIRETVKNKQGMLKDAETWLDRFVIQVPTVGVAGTPKDDVYDLDFGWGKPKKIMQMTPSTTDMLTVLEDCRISPPPATVGERTLPLTFFDIMWLLHFPIHQLFFYELPHPKPHFIQTIVPIIRHSLSITLQHYFPFASNLIVFTDSNHSNVPKKPEIRHVEGDSVVLRIAESGLDFDDLVGNHPRACDKFYPLVPPLGRVAKVSNFLAIPLFAVQVTFFENRGISIGITNHHVLCDASTKYDFLKAWTSMARHGTDELLLAKGCLPSYDRPIKYPDSLDEIFMNQRGVETLNQEFQPPELVDLPSKVRATFILTKEKINLFKKWVLAELPTLGYISSFSVACAYIWSCIAKSRTEIHERKSEDELERFVCQANLRSRMDPPVPETYFGNCVGPCTAITKSMMLSGNKGFLIAVESLGKAISETVKNKEGVLKKAELCYEMLFITPEKISTKIGVAGTPKLKIYDVDFGWGKPKKYETISIDCNGSISLNAGKESPEDFEIGLSLPAKQMDAFVTIFNNGLDDSFSLSNYMDKLKSSSDNLLRDLHIPDYMLVPGGKVEALSVAPAYPTIVFINPKSGGQLGGELIVTYRSILGQNQVFNLEEEAPDDVLRRLYHHLENLKLNGDELAPLIQARLRIIVAGGDGTAAWLLGVVSDLQLSPPPPIATMSLGTGNNVPFAFGWGRRNPSTDSETVLQFLEQVKKAKEMEVDSWHILMRTKTTTEEGSCDPIPPLELPHSLHAFHRVSDTDEENISGYDTFRGGFWNYFSMGMDAQVSYAFHCERKLHPEKFTSQLANQVHRVHMQSLAVLKDGLQHLYFILLQSEIMNISQLAKIKIMKRNGRWEDLQISPRDLTPPYVDDGLLEIVGFRNAWHGLALLAPNGHGTRLAQCTYMRIDGEPWKQPLPVDDDDTIMVEISLHERVNMLATENCISKSVTDPCTPTTPCTPIDQDPEELDTDDSDSNCDEDFPTEQERRKFGACESFRLTEDLDIDHLTKFGACESFKLPDDLDIVHHL >KVI04203 pep supercontig:CcrdV1:scaffold_3623:2443:20176:1 gene:Ccrd_017485 transcript:KVI04203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II Psp29, biogenesis MRYKKTYCYNVVLSPWFVPVYDQLREGYPSDDDREAIFQAYVKELNEDHQQYREIFVAVTVTVICVEFFGVLIDRSARSSRLSFNLRKTRAMTVGCKKSKSMPCVSKANNLKKTIIYIWWCQVSLDHELQNSFAISTWIPSPLKTSSQLVVEEIIADHSQLQATRQRFHLLQQPDELLPCQHYAFETYDKNGIDITQLHDMLVQQKELQPFHPEQACNQECANHETERSSIVKLMEEQFLTLY >KVH93696 pep supercontig:CcrdV1:scaffold_3625:22308:32642:-1 gene:Ccrd_004251 transcript:KVH93696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRREENTRHMNNLFRIIIACNAIYVILRAGFFYSSFTWLHWIGLILTSSAYYLCYKQLASMAKPTYTDNGDLLDGGFDMSTGGACGYLHDVIYITCFVQLMSIISGKFWWIYAVIPGFAVYKGWGLIKGFLPQGSQEVEEDEKTRKKREKMEKKASRGKFVKTKTR >KVI03302 pep supercontig:CcrdV1:scaffold_3629:24049:28931:1 gene:Ccrd_018402 transcript:KVI03302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MEMDFHFNLVVKTTYLHKIPREMPLCRPFSLLRQAFEQLFQLPPNPLNKSHQEVLYLMSFRTPVSLLSAGIFPREAKHYEFDNETKKLTVTLPTICEVSYRDSSVLRFSTSVTGHIEKGKISEIEGLKTRIVIWVKVTCIVCEEMNLHFTAGLGKTRSRKVYEMVRDGITVDKF >KVI03300 pep supercontig:CcrdV1:scaffold_3629:379:4797:1 gene:Ccrd_018401 transcript:KVI03300 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MFHIVGDIHKKGSFVTESLKLKVEVDDTDNNKKFLSGLSRWRGGLKGSATYIPPPLGFGRAVAACECGGEARQTTGVFRRKSYKRNMARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVISILMQRHVLVDNKVRTDKTYPAGFMDVVSIPKTNENFRILYDTKGRFRLHSIRDEESKFKLCKVRSVQFGQKGIPSINTYDGRTIRYPDPLIKANDTIKLDLDSSKIVDFIKFDVGNVVMVTGGRNTGRVGILKNREKHKGSFETVHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRQAALTASA >KVI03301 pep supercontig:CcrdV1:scaffold_3629:32618:40526:-1 gene:Ccrd_018403 transcript:KVI03301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MDSYIGKSSRVCISMALEDFYDDNNGSTVIIRPHYRDPGNNDIQAASAAIDLLKNSQVMAILGPQQSSQANFILDIAEKSKVPMISSATSPDLSPNANNFFIRTAQASSTQAQPIAAIIKSFGWREVVLVFEDSDFGRGLIPYLSDAMENITTHVNRCILLPPSSSDDLINQQLQNLKTMQTRVFVVHMLPALASRFFKKADEARMMTRGSAWIITDVLTIHFNHLVPEDRKFMHGVIGVKPYIPPSKQLTHFHKRWRRRFQKEYPEMDRIFELDVFGIWWYDSVFALATSLQKVETELIGTTFKRPTKASTDLTAIGTSEMGASLVSIIRNTTLKGLLSGDFQVINGQLRIIAYEIVNVIEKNEKRIGFWNSKNGITSNQPSYNESMNYTTNKDDFGAIIWPGDSVEIPKGWEIPTSKDGKLRVGVPGAKDGFVELIHAEVDPKTNETKASGFCVDVFKAVVDALPYAIDYTFIPYGINDTDQSSGDYNHLKFDMVVGDVTILGNRSRYVDFTTPYSESGVSMIVPVKVADVKSEWIFMRPFETKLWITTAAFFIYTGFVVWAIEHRVNKEFRGPRDRQIGTLLWFSFSTLVYSHKEKLISNLSRFVVIVWVFAVLVLTSSYTANLASMITLQSFEPTVTSIYELKARGDYVGIQDGSFVAEMLTKEMGFPQDKLKKYTNIQEYADALSKGSKKNGVSAIVAELPYLKMLQAKNYTKYSLAFPKGSLMVSDFSKAILRLIEDDTMTHISNRWMEDEAQLSKKDNQVEPFDKLKLDSFRGLFYIAGFSSTFALVVFLFKFMYENKEILTSQGSIYEKLAAIIRSFDEEKYQKSSRNSIKETIDGSHMGVEDHTTVNPLQSPIPIDHQVEGVISPGSTPIQ >KVH88812 pep supercontig:CcrdV1:scaffold_363:64300:67289:-1 gene:Ccrd_025405 transcript:KVH88812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF688 MADKQLNFNRPLLSVRRFSSPVASEKDSRRKNESSLPEKPPIPSYKPESHSGSLRNPGSVPFVWEHSPGRPKDEAKTQKNPKERPPVVPKLPPGRILKHKKQDLDKKTEDSNAKKGPRKNSLGSQSEEKLTKFESPKGTKKVRSDARPDDEDGNSEIYMESDVKQSGIHFLPSAKAMSSEMTTEKPLEVKKVVNRENEKPQLSYGPNFLQFTADDSEEDSDFDYDDHENMSYKVCGLLPHFCLKGSIGLLNPVPGLSVRTRLPISSANKNRDRSSSVSSQPARVAVYEHKSSVNHQEDQMVLKNESAEATNQKKFQKLEGSGLYDRLQDRGVSYNLTASSPLPVSEREDGNFQKKGLVSFKELLLAEKNEKESDCQQPAMEKTLYVDTIHKVETAKSSSHSSSPKGKSNFDETDVGTFEISDIPTKSLQLDLVADKPKQDKEMKTQMGFCKDLKVSRQSGLELPATPPLPKSPSDSWLWRTLPSVSSKTASLRSNPKYSSPKIAARLDKNVQLRHPQVIGHVPKHMKPRSIGALAQLV >KVH88813 pep supercontig:CcrdV1:scaffold_363:51788:61335:1 gene:Ccrd_025404 transcript:KVH88813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteamine dioxygenase MPAIQKLYNACKVSLSPNGPVSEDALEKVRALLVGFYSLNQVPTIKVLNHSEPCYYDLSDVDHFTALKLVLLFTIRPIEFVFTGIMDVSFKLKSFDCSKDYEELRTSCVAIDKIKPSDVGLEQEAQLVRSWTRPVNDRNGSHRSLPPIKYIHIHECDSFSMGIFCMPSSSIIPLHNHPGMTVLSKLLYGSMQVKSYDWLDVPLPSNKSEDAVARPAKLVKDGVMTAPSRTTTLYPTSGGNIHCFRAITPCALFDILSPPYSSEDGRHCTYFRRSLGGNLPCTIEVDGVAFSEVAWLEEFQPPDDFVIQRGQYKGRAIKA >KVH88814 pep supercontig:CcrdV1:scaffold_363:164366:166459:-1 gene:Ccrd_025407 transcript:KVH88814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MAVSTTTTTINDLPDVLLSNIIAAVSDVRTRNAASLVSTKWLLLERSTRTALTLRGNARDLLMLPSCFRSVTHLDLSLLSPWGHPLLSCTATAATTTDPALFAHLLRQSFPNVQSLVIYSRNPFALQLLAPQWSSLSEIKLVRWHQRPPQLPPGADILPILENCRDINSLDLSSFYCWTDDIPPALKTHPQIAAKLTFLNLLNPSFPDGFKAQEVEEISKACPNLKKLLIACMFDPRYIGFVGDETLLSISINCPKLSLLHLADPLALLNARTDPNTQGFTPDDASITVATLIEMFSGLPLMEELTLDVCNNIRDTAPAMEILNSRCPKLRSLKLGNFHGISMPVESKLDGIALCQRLESLSIRNVADLTDMGLIAIARGCSKLVKFEIQGCKNITVRGMRTFVCLLNRTLIDVKISCCKNLGAASSLKALEPIQDRIRRLHIDCIWESFEESENLDELEYSFVLDEQEESDDLGNERKKRKLDFDLNDSSNRFQEKTWEKLERLSLWFSVGEFLTPLLSAGLEDCPNLEEICIRVEGDCRHLSKPKQREFGLRSLALYPKLSKMQLDCGDTIGYAHTAPSGQMDLSLWERFYLFGIGALNLDELDYWPPQDRDVNQRSLSLPAAGLLQECPMLRKLFIHGTAHEHFLMFLLRIPNLRDVQLQEDYYPAPENDMSTEMRANSCSRFEDALNRRQIDD >KVH88816 pep supercontig:CcrdV1:scaffold_363:193708:195009:1 gene:Ccrd_025409 transcript:KVH88816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRAGCSTGVLHSSHDPLHGQDQGVLETGAIVTHRVKLHEFSRRLSRFHYIFRQTWSHRHIIAWVSDPLQCVCLEHVKGRDRSPNGQSSSDTRSLSYNAAIPGFPLANTISTKSIVTRKKGHSLYIALIISRFLDSFSMACLNVVPKPNHTGR >KVH88808 pep supercontig:CcrdV1:scaffold_363:193729:201160:-1 gene:Ccrd_025410 transcript:KVH88808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase MASQNLEKMASNDAQLRLLAPGKVSDDDKLVECDALLLDRFLDILQDLHGEDIRQTVQDCYELSAEYEGNINPNKLEELGRMLTSFDDGDSIMVAKSFSQMLNLANLAKEVQIAYRRRIKLKRGDLADEASAPTEPNIEETFKRLVGQLKISPQKVFDSLKNQTVDIIQAAFHTNEIRRTTSTPQDEMRAGMSYFHETIWKGVPKFLRHVDTALKNIGICERLSMWRCNDELHARADELHRTSKRDVKHYIGMQQNDSFRELMKIDLSLIVTFTIWTKWCRILEADSSKRTIPYCSWLPNGVIDVPEDATFANVDQPMEAFSISWVKFPLRVSLIRLDIRQESDRHTDVIDAITKYLVIGSYRDWSEERKQEWHLAELKGKRPLFGSDLPKIEEISDVLDTFRVISELPSGNFGSYIISMATAPSDVLAVELLQRECYVKNPLRVVPLFEKLADLKVAPAAMTCLFSIDWYKNRIDGKQEVMIGYSDFGKDAGRFSAAWQLYKAQEELMLTAKQFGVKLTMFHGRVGTVGRSGGPSHLVILSQPPDMIHGSLCVTVQGEVIEQSFGEERLCFRTLQWFMAATIEHGMNPLISPKPEWRALMDELEVVATNEYRSIVFHEPKFVTPELEYGRIYIGSRPSKRKPSGGIESLRAIPWIFSWTQTRFHLPVWFGFGTTFKHAIEKESKNLEMMRAMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEELCPFGDRLRANYEETKSLLLLIAGHKHLLEGDPYLKQRLRLHDSYITTLNVLQAYTLKRIRDPSYDVTVRPRLSKDVMESTKSAAELVKLNPMSDYGPGLEDTLILTMKGIVAGMQNTG >KVH88806 pep supercontig:CcrdV1:scaffold_363:232901:240079:-1 gene:Ccrd_025412 transcript:KVH88806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] WCVWILFIRHKSPSISFPIFTRSRYFGSSIHISKTRRPIQPIQAVTTMVAINCHYLSVTCTSTPINQDSNSLQSTTLPTKIILPSKKPQKWSTGVAPGDYGGPPTTTKLRKYWGGEKEDPITSSDLIWNRDFMPHMKRLIGDSDDSSSDPRLSTVKADTLDFSLHSLTKVDLSKELTTTSNPIIEKQVEAVQATETLPKKWRPAPTRREQDKWDRATKAATGGSDVMFRELRRPKGDPKVLAAKSREQYFKLKNKLQILTIGIGGVGLVSAYFTYTPEITVSYGAGLVGSLVYMRMLGNSIDGMADGARGVIKAAIGQPRLLVPVALVMIFNRWNGIVAPEYGLMNLQLIPMLVGFFTYKIATFTQAIEEAITIVGNNDAQVE >KVH88809 pep supercontig:CcrdV1:scaffold_363:220091:223704:-1 gene:Ccrd_025411 transcript:KVH88809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQSDYNPPSNSHPPHAPPLKPHLSPSSAVSPPTATTHRSSDGNSTTSHQSETAATASMDDNGVAPPLELRRPPPPPPPHPPRNTSFPVREDCWSEEATFTLIEAWGDRYVELNRGNLRQKHWQEVADSVNARHGHTKKARRTDIQCKNRIDTLKKKYKVEKSKVLELGADNYVSPWPFFAPLDSLIGSSFKPSTQTPTPPPQRKRISTPPSLPALPPPPSSVPVGPRSKRPAPPAYQPSTDSSFFRRNFSVMAAAAAAIDGAEDSDTSWSSGGNGPRRLRMQKADNTGGDPYHQLAEAIARFAEVYQRVEEAKQKQMVELEKHRLQFTKDLELQRMKLLMESQLQLVKMKRSKRNPEPDFCRSDDEGDHSASIS >KVH88815 pep supercontig:CcrdV1:scaffold_363:145426:165306:1 gene:Ccrd_025406 transcript:KVH88815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEETRDELGTSEHGPSSNTWWPSEFVDKFGSVSLDSKKETLNHRRSMSSSTYDRFLSQSQSASQILWSTGVLSEPIPNGFYSVIHDKRLKDLFDDIPTLEELHDLELEGVKADIILVDAQKDKKLSMLKQLIATLVKGLNSNPAAIIKKIAGLVSDFYKRPQFDSPIKATVEDVSHVPGSRGAQLLGHIKNGSCRPRAILFKVLADTVGFESRLVVGLPNEGVGECVDSYKHMSVVVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISGAGESDSAENDSCDSPLEPNSPLYGFSERMDNESSEKDDNLLYQRRLESSLNAPGPSLRNKMMRSSSSIDGKWSLSHSEPDIAATFWRRSRRKVISEPRTASSSPEHPSFRARGRSMLSGDRRSFRDYPDDVGPSREILDSWYLHALEKFWMMSDAFEYSLTWLASCNPQTISSCLLLETSGASEMKNILSIFSIAVGPSVNLGCIFSHIARSEGTSTSEHRRNRRRSISITPEIGDDIVRAVRAMNETLKQNRLSREQVDSRLQSADVKKDESDFRTEQHDGRSLYSLQREHVTSQKAMSLPSSPHEFRHPASGRPGISDGMVPDETVSTWNKILDSPMFQNKPLLPYQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTEVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTRPPRLSMITEYMEMGSLYYLIHLSGQKKKLSWRRRLKMLRDICRGLMCIHRMKIAHRDIKSANCLVNKHWTIKICDFGLSRMMTESPMKDTSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGLPPERVVYSVANEGSRLEIPEGPLGRLIADCWAEPHERPSCEDILTRLLDCEYSLC >KVH88810 pep supercontig:CcrdV1:scaffold_363:13503:42704:-1 gene:Ccrd_025403 transcript:KVH88810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIFQLHNILLALRYVKRDQIKGQALHFLFGGVPQSMYWKKNYDAGSQNGFCHDSTILLFRDLSLLIIMFQPSTF >KVH88807 pep supercontig:CcrdV1:scaffold_363:248844:251355:-1 gene:Ccrd_025413 transcript:KVH88807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MSAMTGRATNIDLEKNSSVKVASIPRVNFRSRYRIHTELYITVTDLQKKASLSATMAFRRGDRVEISNKEEGFQGSYYQANIIAWLSDQNYIVQYRTLVKDDGSAPLREIVSADQIRPLPPEILVTGFSSLDVVDAYDKDGWWVGKICGKTGSDYLVKFENSEEIAYPSGFLRIHQDWMNGVWVYSKE >KVH88811 pep supercontig:CcrdV1:scaffold_363:1383:6051:-1 gene:Ccrd_025402 transcript:KVH88811 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MELIAVCCVVGVTSIVVSCVWRVLNWLWFEPKKAEKGLRDQGLDGSWYRFMFGDLKEMAQMTIEAKSKPMSLSHDIAPRVFPFFHKAVNTHGKNCFTWMGPKPLVHISDPSMIRDIFADYHHFQKARGGNPLLKLLARGLVDAEADQWVKHRKIINPAFHVEKLKHMVPAFYVSCSEMIHKWEEMLSKKSSCEVDVWPHLQTLSSDVISRTAFGSSFEEGRKIFELQTEQTELVMKAAQSFYIPGLSRFLPTKNNRRMKEVDIEVKGLIRKIINKRVVAMKTGEASNDDLLGILLDSNYKEMKQHENNGSGLSIEEIIEECKLFYFAGQETTGNMLVWTMILLGQHTNWQTRAREEVLHVFGEKRPDVDGLSRLKTINMIFNEVLRLYPPAIALRRMIHKDTKLGNLRLRAQTMIQLNMLFLHHDHDIWGDDASVFNPERFSEGVSKATKGQTMYFPFGGGPRICIGQNFAMLEAKMALVMILQCFSFELSPSYSHAPYTVITLKPQYGAHLILHKL >KVI00708 pep supercontig:CcrdV1:scaffold_3630:33429:50409:-1 gene:Ccrd_021042 transcript:KVI00708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MAFHVACPITCRRICYCSLGFPKELQNDKGKNGFLEEIARLKEFLDDPWLIKNDKKTVQVLVPKVAVAPPPPQVTVTPNVAVVDGNAAEEMLSAQNKRAALQRKAAAASLVAEDYARRFESGETVDATKDLAGEEQALSNAKVMCRLCFSGENERSEKTKKMLSCRSCSKKYHRSCLKAWAHDRDLFHWSSWSCPSCRICEVCRRTGDPNKLMFCKRCDGAYHCYCQHPPHKNVSRGPYLCPKHTKCHSCESTVPGNGLSLRWFLGYTCCDACGRLFVKEKYCPVCLKVKKDDNLFIGTSIFLEVCVLVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQYQVDNHLQYVCAACRGECYQVRDQEDAVQELWRRRDKAEQDLIASLRAAAGLPTQEEIFSISPYSDEEDDGPALKKEYGHSLKFSLKGIVDKSPKKSKEYAKKSANKKHGKEKGFPAPIFGRVEQRIEGYSDGQSIGSITGDNKDEDVQSHRNVEPDKLSFPVTGSLTDRMLSVNQADVLKHKLANEVPAKTERRTFRDKMKDSRSHQLPTVDDPVKEAVKSKNTKGPKLVIHLGARNKNVASSPRSDASNSLLEQVLTAPNDGEDMVQQRANENRHNIDQADEIKGLKLRAKEGNIISMKNPNPKHSNSVSHSSQKELKPSFKLKFKNPYSGDQSSWAPPGEEDKSLVKGQRSKRKRPPPSMDKSSSIKEDKGAGHLYEDKDMMSEIMDAKWIIQKLGKHAIGKMVEVLQPSNNSWHKGSVIEVSEDTSTVMVSSDDGKAINVDLGKQGIRFVSQKHRQT >KVI00706 pep supercontig:CcrdV1:scaffold_3630:21991:31312:-1 gene:Ccrd_021041 transcript:KVI00706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MMKSAGHALLSSFTPPYCFAQKNYRRLPSNLVCGISTTKSRKLYSYHQKHQPHSAMVVEQYLPPWFSVAPMMEWTDNHYRTVARLISKHAWLYTEMLAAETIVYQEKNLDRFLAFSPDQHPIVLQIGGSNLENLAKATRLANRYGYDEINLNCGCPSPKVAGHGCFGVSLMLDPKVWPAFLATCFFNHCRTYVCLSMHYFDRLIFARKFVAEAMSVIAANTDAPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFVIHSRKALLNGISPADNRKIPPLKYEYFYALLRDFPDLRFTINGGITSIDEIRAAQEEGAHGVMVGRAAYHKYEHLASGNVDTAIYGAPPSGVTCRQVLEKYQVYADSVVGIYGNGKPGLRDLVKPLYGLFWGEPGNGVWKRKADSAFHHCKTFKALMEETIMAFPDSVLDSAVVDTSSTTRVDKFADSKNLLPLPYTVKEEEEEELLYA >KVI00705 pep supercontig:CcrdV1:scaffold_3630:51763:54754:-1 gene:Ccrd_021043 transcript:KVI00705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MDSNETTPKDPRCTQVTVMKVANIAVNMLVFIVTLALCNILHMLLRPYSQPRLISEAIVGFFLSNLPFIRNNFDDEANTTLGYVAEFGMICHMFVVGLETDPNIFVRLPVREAKVACTGLLITFLIAVFVTPMLQLTNEPSIKFDVSISIILSGTASPLLTRLIADHKIGKSDIGRFLIATGLLSDLISTLLITLGYIIFDPLDGFATRKVENILLMVAVLVVQTIFAAKFVPFLMAWVDSHNPQGKLMKGSHLIVSLASIVLVCSLAPWITRFNMMLSSFLAGVVMPREGRIPKMLIGKVKYFFGILFFPAFMFWVGFQVEFSEFEGRRLITWASIFFLFLIIVAGKVFGSVISGVLLGFHWQDSSIIERARKQSPTQQMALQWLSPSNELRIVLCVHGPESIQSAINFMEISQGLPESRMTVYVNDMIELTENIASTLAQGEGVDAMTITDPGIIEMRENITNTIQGYVNEQCEGIEVRRMLTLCPLTSMHHDICTLAEDLLVLRHAPCSVGVLVDRGLGSTQLSRATKCINAAIIFIAGKDDREALAYAGRVARHPSVKLTVIRFLLDTNGNNVSSRITRARANTAEFEAEMKQDDEYFADFYDRHVATGHVAYMEKYLSNSGQTYSTIKSLEGQYSLFIVGRGGRVNSTLTAGMNDWEACPELGPIGDILSASDFSATASVLIIQQHKLRGKLQGLHEEFSIM >KVI00707 pep supercontig:CcrdV1:scaffold_3630:2713:13072:-1 gene:Ccrd_021040 transcript:KVI00707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSQPTLHHYQMTITGDDSTTTATTPSTSETNWTVLCGSLDSAVTFESFDFPIDSEFTARKPPLLLLPPSSSDFEPCEIKLNFTQKHEIRQVYVRSTARVYEIYYAPALQSENEYLCTVRCSAASVEDNLFPATDVKEATSVNLLGANGILRKGRVPGENNIGSNEDDWVEVKLPVGRVDDGNTYLPNQTSNNIRNHQDFYEATAEINDSEPCISLTLRLLSLQSKGCVYVDEVYVFADPIDTNDSENQTVNVESSAGSSLMTMLVPTLLGLSKSRSLQLHDQHNSKSLAKPIEIESEPATSTNPVNHSDQHDEKLLKQSEDKAELSQFQVPASALASVPEEDKVCDLTRRNGFSYNRAESLLEQLVSRVSRIEDICMRFEESMLKPINNMEARLQHVEQQVELLAKNTRCPVSPSCLSLGPNPRSISNDGSHFQFSGGSDSEKEGSVSNETNKLPKGTQVHEGLMATDLESPCCDEKEEVNDVSESPKKEKPKKSISIDDALAAALAGFSSFTKADDSHVVAPLESPEEESLNSNQAPIDTTLVSNSNGDASICCGSLNATASELREEDEDTTKSNESLNVSVPESTTSCDASTEIPDDSFCLQETSKEATDPTHENHKSDADLGKQDSIRAVNFDKSDILKHFPDQSQDAVEVKDGSESIDFESSILEVKFSSSENSSLISGLESLLFYTDDPTTDEGVLITPKLNVCDLEDKTTTSNANDLLVELNGDHGGEEMQQDLPPVQQETSFASLI >KVH87372 pep supercontig:CcrdV1:scaffold_3633:12249:15607:-1 gene:Ccrd_025414 transcript:KVH87372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAHILRYGMLATYQRWIHHGESLSDEEEQDHFEDSSNNDEDDHTLRDVIMDEKGCMFFNVDHSTENDVEDKSDVNRGRFDKSDVEPTNCEEDEFMNDDDEDNESEDVEPMYESEDRSFRRRQKEEGGDTFRYEKGHSF >KVI06771 pep supercontig:CcrdV1:scaffold_3634:5010:8109:-1 gene:Ccrd_014873 transcript:KVI06771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MLLQYNPLYKKVPVLVHNGKPIVESLVILEYIDETWKNHPLLPEDPFEKAVSRFWAKFVDDKCVPLIIKMLLSTLDQKNKVAEEAHEILETLEGTLNTNKPFYGGESLGFMDIAVAWLGIWIPLIKKIKDVKLMDEEHTPLLNAYFKDVLDVHVIRECMPPLDELVKHIKDYHDRFMTAKT >KVI06772 pep supercontig:CcrdV1:scaffold_3634:14793:18654:1 gene:Ccrd_014874 transcript:KVI06772 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM-like protein MNPNQSANPTQQPVKPDGSNSANYAPNPNVDRNDRVPPSYPASGENWTSVTVPPQKLPAVPPAVGQPSQSAASTTSQGPSPISRGGATTGPAASNPYVSSGPVGDSSTKNTMDTVKVMFARWAKKAAEATKKGQDYAGDVWQHLKTGPSITDAAVGRIAQGTKVLAEGGYEKIFRTTFETIPEERLLKSFACYLSTSAGPVMGVLYLSNSKLAFCSDNPLAYKVGEEKKWSYYKVVIPLLQLKSVNPSRSKTNPAEKYIQLISVDNHEFWFMGFVYYDSAVKNLQGVLQPHQNPLLLAGPNC >KVH94985 pep supercontig:CcrdV1:scaffold_3639:28683:38083:-1 gene:Ccrd_002946 transcript:KVH94985 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRC-barrel-like protein MCDCTSSFSPYSCIRFSPSSIRSISFLGSFHWATNKPTKFSLKISQNSYPSSSSSRSEEHEFPGELKFKEREPTKPEFELSGNGDEETDFLQVDGNLRENEAEEEDMVKITESEDDVDLKLEELDVNNNNQSKVIRKGKQLMKRSNVIAKQVISIQSALSLGFISQLWVDTNTWMVVIVEVRPNLLSGELERFFLEDTRQVGDVVLIQDETVMDNEFKMVGLETLVGYSVVTPGQRDIGKVRGYTFNINSGVIESLELDSFGISIIPSSLVRRCCSGVSTYELLVEDVLEVVSDTVVVHEAAASRIQRLTKGFWDGQRVGYSIDNYSGLEGQSGYRKGRRRSGVSSQKPRKRPVDDTEEEWDLPMDYL >KVH94984 pep supercontig:CcrdV1:scaffold_3639:44034:46718:1 gene:Ccrd_002947 transcript:KVH94984 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC-kinase, C-terminal MVSSQLTALMKNESCKSSKDHLNVIIPPDHLELDFSDVFGPLNESGCQDSDNAEPPFRGGNREKIQQKIVKEKMKLPAFLSSEAHSLLKAPINWKKLEAREIQPSFRPEVAGNHCVANFDKCWTDMPLTDSPASSPHGSTAVFQ >KVI02674 pep supercontig:CcrdV1:scaffold_364:234523:235053:-1 gene:Ccrd_019034 transcript:KVI02674 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEGRRGGGGGGGGGGGGELEALCNCSSTGKRKNDQRPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGPSARLNFPELLASDGGPDELSAASIRKKAIEVGARVDAETSCTTSLSTSSTHDHHHHHHASTSELKPCWFQEKPDLNKKPEPEDPDGDYW >KVI02680 pep supercontig:CcrdV1:scaffold_364:265636:273464:-1 gene:Ccrd_019035 transcript:KVI02680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDDMAAYYPPSPGLHTTQYSYYQRPPPGAAQPPPAAVPQLQHQYHHPHQPPPFTYCAPPLYTPSFQDEVRTLFIAGLPEDVKPREIYNLFREFPGYESCHLRSPSATQTQLVAGKLKIENALLKRLLFNFFMLKAFLKPFGFAVFVDQPSALGALHALNGLVFDLEKGSTLYIDLAKSNSRSKRPRIDDERHGSDKRAKGSAAFSRGISDPGVGSVHMPGLSNSAYNMIGYPSAQRSHGSFDGRAENTDARLRNSSAPACPTIFVANLGPACTEQELTQVFSRCRGFLKLKMQSTYGTPVAFVDFVDTACSTEALNHLQGTVLYSSVSGEGMRLELK >KVI02676 pep supercontig:CcrdV1:scaffold_364:216393:224857:-1 gene:Ccrd_019033 transcript:KVI02676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MASTPPVFDHVSVSLLRTTAASLPATAFFHHQMPSLISSHPVLASRLSSSCRLSGSRRPALTVAAAATLMSSSVPQKSGVLIVGDFMTKKEDLHVVKPTTTVDEGIRVLVKTNIIDHFDILVYLINLLPTALKALVENRITGFPVIDDDWKLVGVVSDYDLLALDSISGTLRAETDMFPEVDSTWKTFNEVQRLLSKTDGKVVGDLMTYAPLVVRENTNLEDAARLLLETKYRRLPVVDGEGKLVGIITRGNVVRAALKIKKENEMKA >KVI02677 pep supercontig:CcrdV1:scaffold_364:132763:150464:1 gene:Ccrd_019031 transcript:KVI02677 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MAAVNGGSESQPAKHQEVGHKSLLQSDELYQYILETSVNLMTTSADEGQFLSMLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIKKAGVAHKIDFREGPALPLLDQMINDEKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPPEAPLRKYVRYYRDFVLELNKTLAIDPRVDICQLPNTMEIGVYTGYSLLSTALALPDDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPVLDLMIEDKKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPPDAPLRKYVRYYRDFVLELNKELAVDPRVEICQLPVGDGITLCRRIS >KVI02687 pep supercontig:CcrdV1:scaffold_364:38189:46878:-1 gene:Ccrd_019024 transcript:KVI02687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MANCHVFCSSINPTTTLHSKTQFPIEFLHSVHSKIHHPKCKESGSSFKKISLSSKVKAVAMEAPPRETAEQGGGSLGEKKKNVRVLVAGGGIGGLVFALAAKRRGFSVVVFERDLSAIRGEGQYRGPIQIQSNALAALEAIDVEVADEVMKAGCITGQRINGLVDGVSGNWYIKFDTFTPAVERGLPVTRVISRMTLQKILADAVGEDIILNGSNVVNFEDHGDKVRKNLFGPKDVTYSGYTCYTGIADFIPADITSVGYVCYLFGLKFIFLTSVLIEICIFCRYRVFLGHKQYFVSSDVGGGKMQWYAFHNEPAGKKERLLEIFGGWCDNVVDLLLATDEDAILRRDIYDRTPKFTWGRGRVTLLGDSVHAMQPNLGQGGCMAIEDSYQLALELDKAWRQGTESGAPIDVVSSLRRYEDARRLRVAVIHGLARMAAIMASTYKAYLGVGLGPLSFLTNFRIPHPGRVGGRFFIDIGMPLMLSWVLGGNGWFLLPVGSQNADSAPISLSRDEKIPCIVGSIPHTSIPGNSIVISSPEISKLHARISCKDGAFFVTDLRSENGTYITDNEDRRYRVPPNSPARFHPSDVLEFGPNKKVAFRVKVMRSPPPKIAEEEDGSRILQTA >KVI02685 pep supercontig:CcrdV1:scaffold_364:22354:26800:-1 gene:Ccrd_019022 transcript:KVI02685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MVHRSSPAPFLIKTYQLVDDPMTDEMISWNETGGGFVVWKTADFARDLLPNSFKHNNFSSFVRQLNTYGFHKTVPDKWEFANQYFKRGRKDLLIEIHRRKTVAPPKGKSDGAGDSASPPSSSGDDLGSSSTSSPGSKNPGSVTPPLTVEELENLSGENEKLKKEKQLLTSELEQAKKQCDDLVAFLCRCVKVAPEQIERIMSGSDVAVGEIATLENETNVDDDDDDDKDGDCFRLFGVLLKDEKKKRGRDEEKETFGAQMKKMKVQGDFNNHTPWMKNLYSATEQYNKVCN >KVI02684 pep supercontig:CcrdV1:scaffold_364:12740:13030:-1 gene:Ccrd_019021 transcript:KVI02684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAIMRCIRLEPNRSMTVDEFRAWLRTYDDNHDGRISQEELKEALHGLKIWFGRWKAQKAMASVDSNRSGAIDNATEMEKLVKFAQKHLHFKIYASE >KVI02690 pep supercontig:CcrdV1:scaffold_364:91174:91767:-1 gene:Ccrd_019027 transcript:KVI02690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEMMTVMMEFDNLPDPFSIPFQNLSSSSSMEFSGGSSTTNHPTPSPLQPSFYHHPVPPPPPPPFKTLYKPDSMEAMREMIYQMAALQPAVQIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLEEAVLYMKFLKKQVQALKQAATTGGGMAAPVKMEGNVDGLLLHHRYDQSSW >KVI02675 pep supercontig:CcrdV1:scaffold_364:190306:194554:-1 gene:Ccrd_019032 transcript:KVI02675 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MWDLNGFPDRRKAPEESEGCSSPVEGEEDDDNNNKNNKGKRVGSISNSSSSVVVMEEDDASDEDDSERMTSRKRLFGFSMDGDPPPVTHQFFPIDDPQVGPTTSLAVGISPATAFPAAHWVGVQFCHQSPGPISGATAASSVGGFLGKAAVPEVPQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHICAYDRAAIKFRGMEADINFSLDDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKAAVTNFDSRIYENELNLTECSSNSNNDNNVSSGHNLDLSLGGGSTSNMANSGGENGHFVRAHRSDSIQLGFRPTGQNERKTLQLLSHTDPELFTNQNEISGYKHLMRPVDSSMHHMFNPPVFNSLSRHQMQFSSSRSVEGGGGNDLSVASSDNNNSNNNVNADGPSLHQIYAKSAAASSGFPQQRFNI >KVI02689 pep supercontig:CcrdV1:scaffold_364:76800:84133:-1 gene:Ccrd_019026 transcript:KVI02689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSEKKLVVLGIPWDVDTDGLKDYMSKFGELEDCIVMKERSSGRSRGFGYVTFATVEDAKAALSSEHFLGNRVLEVKIATPKEEMRAPSKKVTRIFVARIPPSVTEAAFRSHFEKFGEITDLYMPKDPSTKGHRGIGFITFANADSVDDLMSETHELGGSNVVVDRATPKEDDFRPVSRMPQGGGGGGGYGAYNAYVTTRYAALGAPTSYDYPGPAYGSMLPQEASVEDLRQYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRPHEICGQQVAIDSATPVDDGGGSSGGPFMDNPEPPPYGGYGPMRAYGRMYGSLDFDDWGYGGMGRLGGMGGMGRMGGGMGGLGGSMGGGRPSRDM >KVI02679 pep supercontig:CcrdV1:scaffold_364:275938:281259:-1 gene:Ccrd_019036 transcript:KVI02679 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-conjugating enzyme Ubc9 MSGGIARGRLTEERKAWRKNHPHGWRPAITVKQILVGIQDLLDSPNPADPAQTDGYHLFIQDTVEYKRRVRQQAKQYPPLV >KVI02678 pep supercontig:CcrdV1:scaffold_364:111683:123539:-1 gene:Ccrd_019030 transcript:KVI02678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate phosphoribosyltransferase-like protein MDVKPKVNGDLKDDEDNRRPAAIDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRRNPFGGEYTVFAGLEECIRFIANFKFSKEEIAFVRESLSPTCEDGFFDYLEGIDCSDVEVYAITEGSVVFPKVPLMRVEGPVAVVQLLETPYVNLINYASLVTTNAARHRFVAGKSKVLLEFGLRRAQGPDGGIGASKYCYMGGFDATSNCAAGRLFGIPLRGTHSHAFVSSFMGTDEIIQKSLRSHDGSSVCQDFVSVVHTWLSKLKRLSILKGVFGETNQSELAAFISYALAFPDNFLALVDTYDVMRSGIPNFCAVALALNDMGYKARGIRLDSGDLAYLSCETRKFFQTVEKEFGVPDFGKTGITASNDLNEETLDALNKQGHEVDAFGIGTNLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRSFRLYGKEGYPLLDIMSGENERPPKVGERILCRHPFNESKRAYVVPQRVEELMKEELPTLKQNRERCISQLEQMRPDHMRRLNPTPYKYME >KVI02686 pep supercontig:CcrdV1:scaffold_364:33796:34921:-1 gene:Ccrd_019023 transcript:KVI02686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 MPPPHPLAAAPPPPPPSPLYKHKSWSPDILRDEEWLKRKDKHYQRYRRRNKSVTDEDIDELKACIELGFGFDESNDRLSSTLPALGLYYTVNKQYHDTISKSSSMSSSSSSSVSSYSSSVSESDLFSPSENPRAAVFSRGDDPQTMKTRLRQWAQVVACSLRQSSSSSSSSSSS >KVI02682 pep supercontig:CcrdV1:scaffold_364:104825:108575:-1 gene:Ccrd_019029 transcript:KVI02682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MSYHLSTQTSIFGLHLWIVLGVSAGAIFVLLLFLLSLCFTNRSTAHTQPDSIPIEITTPATTSHRLSATEQQALLKHDEDYASIPYPRIHIQMGKGHPHRVLYPKRFGGVTPIGNGHHSPAATAAARAVGQAEKEFEVEVEAIGRVRHKNLLRLLGYCAEGAHRILVYEYVNNGNLEQWVHGDVGPCSPLTWEIRMKIIIGIAKANILLDKSWNPKVSDFGLAKLLGADRSYITTRVMGTLGTGMVNERSDVYSFGILFMELISGRYPVDYKRPKEEVHLVDWLKKMVNEKCPEKVLDPKMIEKPSSSIVKRVLLVALRCVDSNSEKRPKIGHVVHMLESQDQSLIDERRGVRDYGSPNDGSNEKQMTR >KVI02681 pep supercontig:CcrdV1:scaffold_364:103354:103725:1 gene:Ccrd_019028 transcript:KVI02681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MASPLLHLLLPLCLILLALTRASGGDATKQLALHLVDAEMEMSIYGGFDEIEGEDDENESVSDRRSLFWRRMRYYISYGALSANRVPCPPRSGRSYYTHNCWRAKGRVRPYTRGCSTITRCRR >KVI02683 pep supercontig:CcrdV1:scaffold_364:1614:6620:1 gene:Ccrd_019020 transcript:KVI02683 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein RKSRSSPFSPSNPSLVLAAPVAGETDLPLQSSDLHLTNDEHRTTSDSAEDDLPISRLTNFKTSRPKSASPAPMTYSLGKRRKSTPMRYGVFETMEKKRSHSKPKVVVHDPSSKCLSSQNESSATKCFKLAAGNSLAMIRAEEVQSSLGTEHPSCIKLMVKAHVDIGYWMGFPQLFGKLFLPKTDTTMVIEDENGDVYHIRYIARKNGLSAGWKKFADWHNLLEGDILVFHLVEPCKFKVYIIRANYLNEVDGALSLLNLEAHTETDAPSSTTQSKRPKSLPLIVVQKKHKLSTPRSQMSNHPIDHSGNDSEEVRSEVLEGSRPSKPDLSFQELKTFEDFRIMVKGTCIDSELPDDVRMNYYKLCNSRKEILHDSLPEGLYYKLVAGMIGETVNIANEIKNLKITTTKEELEVWDNSLKSFNLMGMKVGFLCDRIHMLARIAFESEGRVDIERYTKAKNEQKGFKDEIEKVTERLAELNESHRKMEGIVDGLKQKVGKHEMKFQKEVDAPW >KVI02688 pep supercontig:CcrdV1:scaffold_364:62838:72824:1 gene:Ccrd_019025 transcript:KVI02688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCYSPKLGSVNKNSSSFGNSGAYTSPGTPDYGDNDVGGFQKGWYSERVPLPSNSSRRHISAAALMPFNSGRTLPSKWDDAERWITSPVSGFGVCKTLAPPPQRRPKAKSGPLGGTPGVAYFSNYSPAVPVLEGGSANNFLAGSPITTGVLVPDGLPFHHGGVISSAHTEYGMVQSANILGWTELLMESSYSDSQEDKRDENGVSRAISRRDMATQMSPVCSPETSPKGGMSMSIAPYIPPPVEPGNHHSARLEVKDVQVDKGATMTKQSKRNRIKMKNNRSPEANDLALTWNATEGAMKLSKGSGTHLGTKGAPLMKLEKKKSASMDKILNKHRAAQMKAQEMRRNVSDNVADQAPKNFRKFRTLRRYVTNSFGGCFRCHNF >KVH96445 pep supercontig:CcrdV1:scaffold_3640:13552:18105:-1 gene:Ccrd_001469 transcript:KVH96445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKFFLTFSLVLILDFGITSIDARPTSLLPVSAIFVPESAPAAAPIPSIPSSMADFSSGTQVNIPEHQRNSSRKLLIGLITSASVMGILILSIICLWICHRKKIGKSGKNNTKRLDSLRGLPLSSFISRSSGPIKTINEKGSVVVMDYSLLESSTNNFGESEILGEGGFGCVYRARLDDNLYVAVKRLDGGSHDAIKEFQTEVDLLTKIQHPNIITLLGYCVHDETKLLVYELMHNGSLETQLHGPSSGSNLTWHCRMKIALDTARGLEYLHENCKPSVIHRDLKSSNILLDSSFNAKLSDFGLAVMDGAQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRRPVEKLAESQCQSIVTWAMPQLTDRSKLPNIVDPVIRYTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLTPLVPVELGGTLRVAQTWPSKTTEP >KVI07108 pep supercontig:CcrdV1:scaffold_3641:41966:45981:1 gene:Ccrd_014533 transcript:KVI07108 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEDVVVMFPSVGMGHFISMVELGELILTHDPSLSITILLTPKHYEAASAANYIKTITGTTPSINFHHLRPLHHPPPDSSIHFFDXVYQLIKQYRPVVRDALQSISEKSNIKAVILDFMSNHAFEVCRSLNIPTYFLFTGSAFGAGIMLYLRTMLENLPGSLKDQKFYIEAPGNPPICSLDMPSTLQDKDTDSYKNLICTSENMAKSSGIIVNTFAALEPRVMKALADGEYIPDGPTPPTYYVGPLIKGNTGDDKCLDWLSSQPSKSVVVLIFGSLGKLKKDQLIEIAKGLEKSGQRFLWVVRNPPPENEAGHAFEFKDPDLDDLLPAGFLDRTKEMGLVVNNWAPQGEILRHESVGGFVCHCGWNSVLEAMHAGVPLVAWPLYAEQRMNRVHLVEGIKVALRLKMSEEGFVTAEELAERLRELMEEESGRKIRDHVSNMSISAKAAVADGVGVPLSETSRHTGLGEPKLVILPLSGARSCRSSVKSA >KVI07109 pep supercontig:CcrdV1:scaffold_3641:14064:17266:1 gene:Ccrd_014532 transcript:KVI07109 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEDVVVMFPSIGIGHLISMVQLGELILTHDPSLSITILLTPKHYEAASAANYIKTITGTTPSINFHHLRPLHHPPPDSSIHFFDXVYQLIKQYRPVVRDALQSISEKSNIKAVILDFMSNHAFEVCRSLNIPTYYLFTGSAFGAGIMLYLRTVLEKLPGSLKDQKFYIETPGNPPICSLDMPSTMQDKDTDSYKNLISTSENMAKSSGIIVNTFAALEPRVMKALADGEYIPDGPTPPTYYVGPLIKVDTGDDKCLDWLSSQPSKSVVVLIFGSLGKLKKDQLIEIAKGLEKSGQRFLWVVRNPPPENEAGHAFEFKDPDLDDLLPAGFLDRTKEMGLVVKNWAPQGEILRHESVGGFVCHCGWNSVLEAMHAGVPLVAWPLYAEQRMNRVHLVEGIKVALRLKMSEEGFVTAEELAERLRELMEEESGRKIRDYVSDISVSAKAAVVKGGSSRVAVAEGLRIRETLVGLVSGFMSGTVRTVL >KVI11515 pep supercontig:CcrdV1:scaffold_3642:45148:46168:1 gene:Ccrd_010073 transcript:KVI11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WSDGLHVSPXITGSRPGIKEIPELFIIRRPDMTIVAFDSNMIGIFEVNEILSSKGWHLNPLQRPNSIHICVTLQHVPIVDKFLKDVKEFVETKENDGPVSRGLVPIYGAAGKIPNRGMVDGLLVDFMDNAC >KVH99504 pep supercontig:CcrdV1:scaffold_3643:46252:48640:-1 gene:Ccrd_022263 transcript:KVH99504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNHMDLTDRLDQMERSISSVTNVREMVEIALFSAQNVNKHYSVPNASTNGTLNSQKKILQKYVPFVVETAITTCAYA >KVH99503 pep supercontig:CcrdV1:scaffold_3643:30908:31441:-1 gene:Ccrd_022262 transcript:KVH99503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGATALDLWKSLQDIFLNNKTTRAVYLEEQFNNTRLASFSNVTEYCARLKNLVDQLDNVGNPVSETKMVLQLIXGLTKGEYDTVATFIQQTEPLPSFNRAKSSLLLEEARRNKPDPTTPQALVTQRADYNQAEHLPSSHNHSSSRGQSRGSQRGRDGHRGNKWSLYFCSSAMAVA >KVH99502 pep supercontig:CcrdV1:scaffold_3643:18032:29181:1 gene:Ccrd_022261 transcript:KVH99502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPEGIDAQISSIVKKGPLVSKDIIDQSARVEPRLLLCTDEEASSDCKVSAKSDEEPYLWQSFSFPTDTILPDQPFTKDTVLISFRSSTNLSSGFYKLYFDNDNVICLLYNSEEITSVYRPSPW >KVH91271 pep supercontig:CcrdV1:scaffold_3645:33577:37846:1 gene:Ccrd_006711 transcript:KVH91271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKKAFTRKQLLKLIKKTTEEIDLSERKTSDSKISTDFKKSQRWIANLRKKVAYVRKRSIFEFCRMKQRKTIRSKISTDLRNHRDEIGYLRKKVAYVRKSLLHSTIRIFNMLANIDENVRREIINHISLRHPNIVIFKETGVLNYELSLDLQVILIPTHLPIDLL >KVH91270 pep supercontig:CcrdV1:scaffold_3645:26176:33822:-1 gene:Ccrd_006710 transcript:KVH91270 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MSVFMIPEIEPVSSCRTRRSTSSSRKRPSSSGLEGVGGLAIHIIISKLGPSEAAAVACVNKLFKEWASDESLWSSFCAQELNLSSPIDPLGNPSPSFKAAYGAWRQAYGMYPWPLVRRVKNIWGRIRSWLTENFPEVLPTLRKGASEDEIQELENSLKVKLPLPTRVLYRFCDGQDPCSDTSSGSMRKNLCGLIGGYSFYNYLVNVFLLPLNLVIRETKDIVRHLGFTRPKYIVMAASSTNTEKIFFLDCEEGQLFVGTRNLLTNREMMACVPKGLITSVHDSGSGCQQDGMLLWLEEHVRRLETGNIKVRKEGNIRSISLFPEQPPLCSVATTGGVQVRASAVFVPEFSDLLQAAKKYMFAYSIRMCLKPEGCVINGMSFDSCQLYWRHWIIKANENVVEDINGEAVIGQFPLLRPGQKEFVYESCTTSACSPGSVEGSFTFLPGRLADPKGSPFEVEVARFPLLLPDYIF >KVH91272 pep supercontig:CcrdV1:scaffold_3645:13419:21920:-1 gene:Ccrd_006709 transcript:KVH91272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCGKGENVKGIRDLKRYLGHFGYLNYQNNPDVTHPEEDHFDDELEAALKSYQVFYHLNDTGKLDGPTVSKMVMPRCGFPDKETHQHSNNSLHTVSHYRFFPGRPKWPKGKRHLTYAFGSRFPTRFMPPVARAFSKWAIASRYFTFSRARTYRRADLKISFARRAHGDGAPFDGPGGVLAHAFAPTDGRFHYDADDRWVIGAVRNAYDVETLALHEIGHLLGLGHSQFQNAIMWASFKSGVTKRLNSDDIRGIRGFKFAQSNQGFQIGLADGSVKTWKIIKKPSKAEHHLWSRKDSAASGQKALNLVRIVSKWMFGKGQGMTMGTFDTLLLAFDMDQRVFADMEELGVKPDEDTVKKVARAFQKVGEKEKQQLVLKKYLSKWKYIHFEGERVRVKRDAWSE >KVH91273 pep supercontig:CcrdV1:scaffold_3645:11600:13321:1 gene:Ccrd_006708 transcript:KVH91273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-binding, type 1 MKTTLLFTGIFLAGILLLQPVTSQNCNCAQNLCCSKYGYCGTGDAYCGNGCQGGPCFQPPPTNNADIPGIVSPAFFNGIVAKATGNCLGRGFYTRDAFLNAVKSYPRFGTSGSVADSKREIAAFFAHVTHETGFFCYREEIDRSNKYCDQTNNQYPCNPSKSYHGRGPLQITWNYNYGPAGQSLGFDGLNDPEIVARDPVISFKTALWFWMNRVHQDFASGKGFGATIRVVNGMECNGGNPSTVNARVRYYTDYCNQFGVETGPNLRC >KVH99500 pep supercontig:CcrdV1:scaffold_3649:30748:38159:-1 gene:Ccrd_022265 transcript:KVH99500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFQNQELEYMIDDEYYDMTDFADSSVEDTGNQLNRSCAADSMDSDFEDDFEQSKPKTDTSAEEARNGKDIQGIPWERLNFTRDKYRETRLRQYKNYENLLHSRENLEKECKQVDEGHTLYDFQYNTRLVKPTVVHFQKQGAIYIKQHVDKDALNMLRNLLWATSKHDLYLMQNYSVMHWSSLLRRSKEVKYPGSFSRSLSRVQVSTMAVKDGLIVAGGFHGELICKYLNKPGVSFATKIATDENAITNSVDISYSSSGSMRIMTANNDALIRVFDANSFSCIDSFSFPCTECMLADAQSGKEITKMTGHLDYSFASAWHPNGQIVATGNQDTTCRLWDIRKVSESLVVLKGRMGAIRGIRFSADGRHMAMAEPADFVHIYDTESDYGSGQEIDVFGEIGGISFSPDAEALFVGISDRTYGSVLEFNRRHCNRYLEALF >KVI04485 pep supercontig:CcrdV1:scaffold_365:204241:204528:1 gene:Ccrd_017197 transcript:KVI04485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAYGTTKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYSLIIVVIISTGINPKAKSYYLFDGYAHLSSSLACGLASLSAGMAIGIVGDAGVK >KVI04484 pep supercontig:CcrdV1:scaffold_365:115305:137256:-1 gene:Ccrd_017196 transcript:KVI04484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIAMVENGKYDRPATQAVIFGWNGHEDPVSAPSPFLDLRLSYRHSWKIMKRGTAWVLLVLFVFVFVAASRVSAKDLFVEDDDAESTGSIIDLGRRSKVSTLSLATPIVARLLCSPMPEPLETNGMLLGNVVKMRLTVLLMGFVIIEVLGVHNDEDDSQVVVFMACKLILNLRMWNLMVVVAKLKNNVIGDKIDPKAVLNLDSGLGVFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALFVMTILSTGLGRIVPNLISRKHTNSAATGIAVLYAFFGLRLLYIAWRSDSKASQKKEMEEVEEKLESGQGKTAVRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAIGATLGHTICTSVAVIGGSMLASKISQRTVATVGGLLFLGFSVSSYFYPPL >KVI04487 pep supercontig:CcrdV1:scaffold_365:210515:210851:1 gene:Ccrd_017198 transcript:KVI04487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYSLRLKEIL >KVI04488 pep supercontig:CcrdV1:scaffold_365:211461:214151:1 gene:Ccrd_017199 transcript:KVI04488 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF223 MEYLKLKDLEEDNECWAIKLRVCRLWESLNSKKNGELISLDMVFIDEMGTLMATTIRRNLVSKFKHLLKEGLVYTVKNFKVVVNSGAYRVVNSKFKITFTLLTTIKREDNNTPSIRCTDFNILMRRRLTLGYKLHFVVKDDTDYNIKRGSDKFLVHNVFEPNENMEKSYLSTGRGKNDASLLDELDDEIEDQQSSVSISATKRRKIIIDDENESSGEFAGQRVPKNLSQMKFTRMMGKTRSFSKGYSYGFVPDYRHAVETMADIS >KVI04486 pep supercontig:CcrdV1:scaffold_365:82475:87727:1 gene:Ccrd_017195 transcript:KVI04486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-like protein MILNGHSTCGGQWVNYKTCKTKPLKAMSDCVLHPQTLSISRVSSSLKSQQELRSFSPQGLFTHKISPNLSRKRHSTVSPRASKDANSLFNFKFPPMDKKPRWWWRTLAALPYLMPLHETWMYAETAFHLHPFLEDFEELTYPFLSALGGLPSWFLIAYFIVAYLAVVRRKEWPHFFRFHVVTGMLLEITLQVTGTVWRWLPSAWYWGKVGMHFWTAFAFAFLFTVLECIRCCLAGVYADVPFVSEAAYIQIPYE >KVH95013 pep supercontig:CcrdV1:scaffold_3650:25276:25686:1 gene:Ccrd_002918 transcript:KVH95013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MSHDMPMPMPMPNATMNHMAMMHMTFFWGKDVIMLFNDWPNGKLGMYISGLLFVFVLAIAVEFFSVFPTIKTGANPFVSGLTQASVYGLRMALAYIVMLCVMSYNLGVFIFVVVGHAVGFFLVKYRAALKIKDNPV >KVH98519 pep supercontig:CcrdV1:scaffold_3652:1982:6198:-1 gene:Ccrd_023256 transcript:KVH98519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIITCGVTTTATTGLPKSHNFEPFSSSATNSTLSLPTLTTVNGRFSAANRRYLTSGSPLSLCHTRSKMEFVVYAGDQVGDLLPLGIHLPDSWPAWIPGFLFAVIVPFFTNKWGPFAKFKEELDKVEVAVDSVADRVEEIAEKVENFVDDIADDLPEGSALRQTLEKVESVADRIGKDAHMMDEMEAKVENMFNKTSEGNGPPKTSDVNAKVKPPQSTNL >KVH98520 pep supercontig:CcrdV1:scaffold_3652:12901:15370:-1 gene:Ccrd_023257 transcript:KVH98520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTWNLPEHHLHRLLVLLLVLGKKNWIIGILMTFIIPLVTTKGGPIKLLLQKVDQIVDTAEHITDIVEAVADKVDKVVEEIEDDLPEGSQIKKTLDYIESVAERVEKDAHIAGDFIDKVQEMQDKMEDMMEPVLEEALEVAKETKEKERAKRR >KVH98518 pep supercontig:CcrdV1:scaffold_3652:28961:30123:-1 gene:Ccrd_023259 transcript:KVH98518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMARSKVISYGRLKVRNLYTINRSTFSQNTKTLNGFHMLPTTTTTACQRRAFCKLSGNQEPLPPPPPPPPPPSPPASSSWGKWTMGSILVTMVIPFIQFKEEVDVVMETAEQVIDVVEVVAEAVDMVAEKIAGDLPDGSKLKTTMEAVEDMAETVAEKAKKAVDFIDEVQETEQKLMPNVKPVQEGTQVAP >KVH98517 pep supercontig:CcrdV1:scaffold_3652:21030:23591:-1 gene:Ccrd_023258 transcript:KVH98517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATMVLASGNLMSSTEYCKRFLIARLQINKNITTIGFHGQPLHVGGTTASRQARKHFLVYSSRQPRNPPPPTSCRKWNQILKIKDGVDTVIEETEKIADCVEEVAETVDKVAKDVADHLPEGGQWRNAAVFVEGVAEEIAREAHLVEDFLDKVEEVEEKAELLFESVNDQTKNAHEDLNTR >KVH98521 pep supercontig:CcrdV1:scaffold_3652:32156:35250:-1 gene:Ccrd_023260 transcript:KVH98521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMSRLIWDNSMLSLKSSDKSLPFNPISKTPFLKNKEYAWNLQGRSCRSLQYLINKPMNQQTMFVIRSNVNPPPAGVPDPSGPPSGSLSNSDEFVDKIDKKIETTEHVVKGIEKVAERVDKVIDSITDDLPEESKLKKALVFVDEIAEGVAKTAHVEEAEDKLDSFIHQENQKGEETAQKAKDKESQEITAQDQENDK >KVH87043 pep supercontig:CcrdV1:scaffold_3653:28014:47564:1 gene:Ccrd_025415 transcript:KVH87043 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MQDNIKAISSVYESFLSLFPLCHGYWKKYADHQARLCTMEKAVEIFEQAVQSATYSVGLWVDYCSFSILAFADPSDIRRIFERGLSFVGKDFLCHRLWDLYIRFELSQQQWSSLAHILIRSLKFPTKSLHKYYDNFREFAAFVEEDMSCPKSCNLEPHAADSNVEVARSDDEIIAIKELQNSSSIELRSKALYKFITIGERFYSKACQLHEKIDYFENYMERDFFHVKPLEDEELENWHNYLDFIEKQEDFDWAVKLYERCLIPCANYPEFWMRYVEFIESKGGREIANFALERATQVFLKDPENVSEVHIFNARFREKIGDIEGARAALLRGENGSDSSFIETAIREANMEKRLGNLDTALDIYDKALKMAADKEKMHIIPILYIQLFRLKYLITGSEDAAVDLLIAGIQQVPHSRLLLEELINFAMLHEGSRHLNVVDPIMATAITCGSDGSQGLSSKDREDLSHLYLKFVDYCGTTHDIGKAWNRHIKSFPHLIRSSSSHKSPSNQLLNEPEEVRERIPRLVAKQPIVVNSIEHIPRVSAEENHKLSISKNQAIKPHEATANQHGGNDEDNAAGEFKSLKRHSRNDASEMIESSLALHKRGEIESVYIDSIDHIQSQKDTLGPNEPQVSHKYDQIRHKPEKEHEVEMLLIPVSLESLSLLTREKGPNVLEDTVPSVNNENPSTSTRNPFSATESAKKQNGTEEHGSESLSFSPGHRNLREQTDPQQYSSQNPETCCKTNHMLTASEDASAESDGQPRQHHRQPHQGFPLIHESTANQDHPNPTKENIENNTWPVANVQPQSSSSAPQPVQPHPNLASMGHATMLPHPTTTPAGFETPYTQQNSENRVAQPTGASGSAPTNIGSPSNCQPSSHRASSVPSKSLHSPSLEQTLQSG >KVI04571 pep supercontig:CcrdV1:scaffold_3658:6245:11546:1 gene:Ccrd_017111 transcript:KVI04571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase MLKLLISPSSFGILLLLFIPVFLLSSIQIVYGDEDYEAQLLTSAKHDTDWLISIRRKIHENPELRFQEHNTSALIRNQLQKLGISYTYPLARTGFVAQIGTGSPPIVALRADMDALPLQELVEWEHKSKRDGGTVRLIFQPAEEGGAGASVMIEEGALGGAEAIFGMHVHSSLPTGSIATLSGPMMAATCFFAARIEGKGGHAAEPHNSVDPVLAASSTVLALQQLISRELDPLQSQVLSVTYVRAGEALNVIPSYVELRGTLRSLTTEGLQHLQRRVKEVVEGQAAVHRCKGSVDMMEKEFPPYPATINDETLNRHVNKVGSLLLGAERVKGSNQVMAGEDFAFYQQKIPGVMFGIGIHNEDIGSIHPPHSPYFFLDEDALPIGAALHTALAELYLNQHQTSSTV >KVI04570 pep supercontig:CcrdV1:scaffold_3658:22388:23544:1 gene:Ccrd_017112 transcript:KVI04570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA EEPKAEEVKADEVKPEEKKEEAKPDEKKEENGEEKPPAEEKKEDEPKPPSPLVLYIDLHCVGCAKKIEKSLLRIPGVVAVETDMVKNQVTIKGVVEPQAVCDKITKKTKRSAKVLSPLPAVEGEPIPQVVASQVPGLTTVELNVNMHCEACAQQLKRKILRMKGVRTVETEVSSSKVMVTGSMDGEKLVEYVYRRTKKQAKIVPQPEPEPEPEPEAEAAAAEKPKEEEAAAKVEEKPAEEGKPEEKAGAEEEKKEGEAKKDGGEKMELVDMQRMMYYHQYPPLYVMERIPPPQLFSDENPNACSIS >KVH87027 pep supercontig:CcrdV1:scaffold_3659:54651:55958:1 gene:Ccrd_025416 transcript:KVH87027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEEIGRSCPRGHWRPAEDEKLRQLVQQYGPQNWNTIAEKLQGRSGKSCRLRWFNQLDPRINRGPFTQEEEERLLAAHRLQGNKWALISRLFPGRTDNAVKNHWHVIMARKQREKSKLFDSGFHQRPLSSDEDSSSNYFMKYENGGKEKITSWSCMIPSTMSAAERSSSIEFIGKEEGKGCFNSRMYHHSNSEVSNSSPDHSFFRNYVGVYRVASDCQARKKATFLSPFGSCCRNLQSLVKIRDTIQQERENDETIDHKDIPFIDFLGVGISS >KVH93731 pep supercontig:CcrdV1:scaffold_366:12578:20011:-1 gene:Ccrd_004208 transcript:KVH93731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLIRLRSPGHEADVAEDEEDEEGGLSESDVEEHLEAERRLDHDLSIFEMIYPNCGVGDHSSYHFENIVADHTTSASFPGDLSLIKPFIEPYALTLHSSFTMSTKTSLDGENFGVGSTTAVRGNGENKDVMPLASFARMRKNVMFFGAKGVSSGLQSILLLQFLECRRIISSSTTNIVVVDTTNATHNCNSFPWKRRGVLEIDKEEERVSEDGQNMQSIEVATTRKRSFRKALQELKISGVSAKHFIDEDSTTVSQNETTGSATCLIHKKQKKQKHVTVIHPLGPAPTFSSSDSALSSSGFSGRSSSSSSLSLS >KVH93737 pep supercontig:CcrdV1:scaffold_366:20252:20801:-1 gene:Ccrd_004210 transcript:KVH93737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVVLRFQVSGRGEGKERTVKKFSSPKIPVLFHGKELQVMGGGSGINNGNVGGGRTYNPPAFKELQQQN >KVH93733 pep supercontig:CcrdV1:scaffold_366:188027:189738:-1 gene:Ccrd_004215 transcript:KVH93733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYLMFTILVLSSLLTYKAQGIRLGKVTLSPSNHEDIVKISLVKGSSEDGQSLVQTAEISSGNTIKKRRLSCKAATKNSHDGHCLPKIHEDYYGPRHHPPRTNRKLMTKIISSKTTTIITKKSDEYKHDPEAEVQSTHGLIGKEETSSFNSLQNSKHWKTEVSTKHYPYDIDIAGMDYTPARKKSPVHN >KVH93739 pep supercontig:CcrdV1:scaffold_366:22694:26290:-1 gene:Ccrd_004212 transcript:KVH93739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MSKDHRPCCHKERVRVESLGGFVEDGYLNGQLGVTRAIGNWHIKGLKESGEQVGPLSAEPELKLVTLTKEDEFLIIGSDGIWDVFRNQNAVDFIRRRLQDHNDVKRCCKDMVEEAMKRGAIDNLTVVVVCFQAEPPPPVVVQRGRVRRSISAEGLLNLKFHLEG >KVH93730 pep supercontig:CcrdV1:scaffold_366:12519:18439:1 gene:Ccrd_004207 transcript:KVH93730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLYDEFGNYIGPEIESDQDSDREDEDEDLPEKPEDDRAESDEENVGAGPNGWITTTDDVDMENQIVLAEDKKYYPTAEEVYGEEVETLVMDEDEQPLELPIIKPVRDIKFEVGVKDSSTYVSTQFLLGLSSNPTLVRNVALVGHLQHGKTVFMDMLVEQTHHISTFDQNSEKHMRYTDTRIDEQERRISIKAVPMSLVLEDSNSKSYMCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPKDAYHKLRHTIEVLNNHITAVSSTAGNVQIIDPAAGNICFASGSAGWCFTLQSFAKLYVKLHGIPFDANKFATRLWGDNYYDPATRAFKKKQPSSGVERSFVQFILEPLYKIYSQVIGEHKKSVETTLAELGVTLSNAAYKLNVRPLLRLACSSVFGSATGFTDMLVHHIPSAKEAAARKVDHIYTGPKDSGIYNVMEDCDPSGPLMVNITKLYPKSDCSVFDAFGRVYSGEIHTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQSRYRIPVNKAPPGSWVLIEGVDASIMKTATLCNSEYDEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSVDWPRKKLGDFFQTKYDWDLLAARSIWAFGPDKQGPNILLDDTLSSEVDKSLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGTGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHITADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFALSAFDHWAIVPGDPLDKSIVLRPLEPAPIQYLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADLHQQMI >KVH93732 pep supercontig:CcrdV1:scaffold_366:19047:20410:1 gene:Ccrd_004209 transcript:KVH93732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVSSINNDNVGGGGTYNPPALKELQQQNRLKARRYFSKKIFNHDGKSPPFAPKNITFFLIRAKEARGITSLFSPFPLTAVVLPTPKFSPSREVLVDMVKEEWSVNAYGSMKGLIRLRSPGNEADVAEDEEDEEGESSESDIEEHLKMFLHVALTQPTLFILLIFSYIGFMPRRIISSSTTNIAVVDTTTATHNLQFLPMEEDGDFRTRKLFPF >KVH93735 pep supercontig:CcrdV1:scaffold_366:250115:251870:1 gene:Ccrd_004216 transcript:KVH93735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MQKFFTACSTHENLKKNEKNICCLDCCISICPHCVHFHRFHRLLQVRRYVYHDVVRLEDLERLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLNHYRDLTPFLRVCNSLQLSPDFFIPNDNGEDEMTNETPYSTIVDFEDPMSSYSCSSGSENTSMMCTEFVRKKRSGLYTCGRSNKVHSEEDMASSMISRRKGIPQRSPMC >KVH93734 pep supercontig:CcrdV1:scaffold_366:100609:102279:-1 gene:Ccrd_004214 transcript:KVH93734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHVMFIVFLLLSLHNFEAQGMRLGKVSLSISNHQELIKISSIGGSNQDHELLAKTVELSSGTTIKKRRLSTPITTTGYQRFMKTTTAPNITDLGTTELTSLRINRKLMTKIASSGTTTTISKNHKNDGNKNDPKPRIKSTRGSVSDEENFFFNLSSENSKNGKDVANEPYPNDTDITGMDYTPARKKSPIHN >KVH93736 pep supercontig:CcrdV1:scaffold_366:20853:23240:1 gene:Ccrd_004211 transcript:KVH93736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MKDPTTLTPDHPPXPPPPPPGFSLFPTLNPPQKTLTFHQISTLLITFLAYAAFHASRKPPSIVKSVLGPDPNTSNPTSGWPPFNSARGAHRLGELDLAFLSAYAIGMYFSGHVGDRIDLRVFLTIGMIGSGVFTLLFGLGFWLDVHLLGYFVMIQICCGVFQSIGWPCVVAVMGNWFGKSKRGLIMGVWNSHTSVGNIIGSIVASSVLGFGWGWAFVLPAILILIVAVIVFLFLVVNPETIGLELPEDDEEEGVEVSTEGMSLVNLQKVESEEDDDNERLAESSSNGVSSSAAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHTGVIGGILAGYISDLIEARAVTSIIFLTLSVPALIFYRLYGSISMFSNVFLMFLSGLLVNGPYALITTAVAADLGTQSSIKGNSRALATVSAIIDGTGSVGAALGPLLAGYISTRGWNSVFFMLILSLSIAGLLLIRVVRTEIKGKVNEGKWLRFSAIAY >KVH93738 pep supercontig:CcrdV1:scaffold_366:30763:32115:1 gene:Ccrd_004213 transcript:KVH93738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRAISSPFFVLETDYSAVLSWNELTYEMSKAHRSCCHRERVLVDPLDGFVEDGYLNGQLGMTRAIGNWHFKGLKESGDKVGPLSAEPELKLVTLIEENEFLIIGCDEIWDAFKNQNE >KVH93642 pep supercontig:CcrdV1:scaffold_3663:53460:54969:1 gene:Ccrd_004306 transcript:KVH93642 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MIEKRNNHTMSGYVWEELIDNIFKTLPPKSLLRFRCLSKSWCSRIGSPDFIRTHALRFDKNLQKVLVKHWTYDKRRGMEEFFTLHPENRLPLSHRHGYTGIGKFPCNDCCIVGSCNGLLCLFHHEIGTIALWNPSIRHQLTLPPHPYTRNYSPQSPRVALGFGFDPVTDDYKIVRISYYTFKRVEPTSMVYTINTGTWRVIASPTTRLSNVQCSACFVNGALHWIVTEQDNKDHCYIMSFGLSTEVFGRVLLPEPGSEARELTTIKDSLAVITGECHNPRIWVMREDNNVASWSMFFNLEALPGEGGIHRVLLLTTNRDLVFLIYCEGYKVYNPATGVLSRLVKFNAGSYQLEVETYAESLVLLNKGTACNGNQPPWLQSKKRKQNFGVSSLWH >KVH93643 pep supercontig:CcrdV1:scaffold_3663:3419:4789:1 gene:Ccrd_004305 transcript:KVH93643 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MIEKRNNHTMSGYVWEELIDNIFKRLPPKSLLRFRCLSKSWCSRIGSPDFIRTHALRFDKNLQKVLVKHWTYDKRRGMEEFYTLHPEDRLPLSHRHGYTGIGKFPCNGCSIVGSCNGLLCLFHHEISTIALWNPSIRHQLTLPPHPCMRNYSSHDSPRVALGFGFDTVTNDYKIVRISYYTFKRVEPTSMVYTINTGTWRAIASPTTRLSNVHVQCSACFVNRALHWIVTEQDNKGHCYIMSFGLSSEVFGRVLLPEPSSEARELRIIKDSLAVITGECHNPRIWVMREDNNVASWSMFFKLEALPSEGGIHRVLLLTTNRDLVFLTYCEGYKVYNPATGMRSRLVKFNARSYHLEVETYVESLVLLNKGTACNGNQPPWLRREKRKQKKRTSEFGVSSLCY >KVI01671 pep supercontig:CcrdV1:scaffold_3664:48684:52674:-1 gene:Ccrd_020052 transcript:KVI01671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGYYEEDVPHVLAVDDNLINRNLVEKLLKSSSWRAINLLSLDHVSLSFNAVLCYLQTILNGLWVVLEDIDKALADVQSILLPLLEGASSFLTGHGEHKPSKSGVIIGSTVGGCVLVMLLVLGVIYTVHGKGLATQQSSPFALWDPTSGSGGVPELKGTTFEELKTYTSNVSQTNNIGAGGYGMVYRGSLQNGELIAIKRAQQGSSQGGLEFKTEIELLSRVHHKNVVGLIGFCFNKAEQMLVY >KVI01669 pep supercontig:CcrdV1:scaffold_3664:16097:24055:1 gene:Ccrd_020051 transcript:KVI01669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSLTQQSVTCRSLNSNGFTGPIPASIGNLKNLYWLDLTQNKLTGSIPVSNGRTPGLDMLTRAKHLYLKFAHETKPVYVLLKFQSPRRQPAFRCYPTSALQLKHDSDSPVPIKQSTDRPCTQSHRHANSQLSVSTKTSSNFLPVLMRSLSSMSWHICRDLSNNTFDQSTVPLWFSTLQSLTTLKMQSTNIGGELPAALFSIPRLQNVDLSNNRLNGTLDISSNPSNQLQLVNLRSNQIADFTQRRRYNISLILVDNPICMESGVTDGYCSLPTNTSTSYSTPLNNCVPPSCGSGQVSSPNCKCAYPYMGSFFFKAPSFSDLGNSTVYNSLRDLLMTFFRKAQLPVDSVSLKNPSRNLDDYLVINLEVFPSGEVNFNRTGILGLGFVLSNQTFKTQKDFNTYVFIAENYDFLPGVSSPKHKSSNTGVVIGSIVGGCVLVILLVLAGVYAFRQKGQAERATQQTSPFALWDPASGSGGVPQLKGAKSFTFEELKKYTNNFSETNNIGAGGYGMVYRGSLPNGQLIAIKRAQQGSTQGGLEFKTEIELLSRVHHKNVVGLIGFCFDQGEQMLVYEYIVNGTLKDSLLGRSGIRLDWMRRLKIALGAARGLQYLHDLADPPIIHRDIKTNNILLDARLVAKVADFGLSKPLSDANRTHVTTQVKGTMSKELYDLHEILDPTIGLSSQLKGLERFVDISLRCVQETGNQRPTMSEVVKELESIMELVGLNPGTESSSSTSASYERTSDDYNHPYSNDSLFAYSGGFLPKKLQP >KVI01670 pep supercontig:CcrdV1:scaffold_3664:15400:16056:1 gene:Ccrd_020050 transcript:KVI01670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYDWDVKLVWHRCFSAGSQGCLAEHTTQLGRWLRPMWWQLGWHHLHQRSSDFDKISLVSACVFFIQLLRTLASMQLTGGLPGDIGELTELQILLPVCSIVPEIFTHVCLCRDLSYNKGLTGSLTPEIGKLRKLTNLIVVGCSFIGPIPDTIGNLERLTIL >KVI03137 pep supercontig:CcrdV1:scaffold_3665:17448:23079:1 gene:Ccrd_018569 transcript:KVI03137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNVQPGIAVAANRVRKRSERIMIMKSFSKFKNTADNPVLLDEDEPDDFDMNQDAFQPAGPVIPFPPAEDSTNFDVNCMKMNPDAAQVAGPFHTVEEADESTHCNAADQVAGPFHKVEEADDSTHCNVNPVAVQMNPDDVQLNQVADQVNSVPVQVNPVAFENVESVTGVVIKDVLSGPFDTVEEAYDSTHCTVDLQLNKVADEVNPVDVQDVQNVAGPFHKIEESDVQVNPDAVEVNSVDVQVNTVADQVNPVDVQNVAGPVLTVDEADDSTICTGQNQRKSIAVDKTKDGGKDETNKCKERLEDPDSSEENLCGLENLRTRTSPRTLYQTIVGLNDAQKIAVKQMGLGALLEMTINGVPTKLGFFVVDNLDVKKMELKLLYVESTISPKVVVEHKGHAISSWTLDLLKKRQSTEIKDGGFGLLPLRSKAESSEDVHHRYASNRENVGETSTPTHLSKEV >KVI03138 pep supercontig:CcrdV1:scaffold_3665:1402:4457:1 gene:Ccrd_018567 transcript:KVI03138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MGSGSSQEADTQHGEPETHRNAKNTVKTTNTHLNNSLPHDCDAILKDADTVIDKSSNDQLYAGVFLNKKRXVRLKYWVVKTSYSNCFFVFARDLSITWAEDERYWHWSSVKETSEVSVDVAEILNICWLEVHGKFDMAKLTPGMKYEVVFLVMLKHPAYGWEVPINIRLVLPDGNKQERKESLMEKPRSNWFEIMVGEFMVEAKKGGCVEFSLYEYEGGAWKRGLLVKGASIRPKY >KVI03136 pep supercontig:CcrdV1:scaffold_3665:4839:16221:-1 gene:Ccrd_018568 transcript:KVI03136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MSEAAILSVVRKNFPDHLILGEEGSVIGDSSSDYLWCIDPLVLFRGKPAAAAVVEFVGGAMCWNTRIFSAVAGGGAFCNGQKIHVSQTDKGVRRLGAAAVDMCHVALGIIEAYWEYRLKPWDMAAGVLIVEEAGGTVSRMDGGKLTVFDRSLLVSNGILHAKLLEKIGIATEELKGKGIDFSLWYKPDDYNTEL >KVI03135 pep supercontig:CcrdV1:scaffold_3665:24160:25398:1 gene:Ccrd_018570 transcript:KVI03135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MQSKAWGRKSTIYVNVIDAWATLLNYKERFRNRDSVRRYFFNTEVMGDTKLRSKNINHNTQYALFKKSLLSSAKNNWEVVQMRNVDLVFFPLLDKGHYYLVVFNLKNPSIVVIDNRRXEVSDDDHLLEMYDFITDILQRLMIRHLNAVGHAADKELDETSQERLRLDWQTNNNFDDCGVFTMMETYMGDMKSWKTGLAPESKTQEIQIANLRVKYVAKILMNSYNVKKDYVIKEVEKFNSIDEVVRAKIRKRAHDTRVERLQI >KVH86802 pep supercontig:CcrdV1:scaffold_3666:49409:50227:-1 gene:Ccrd_025417 transcript:KVH86802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MDGSYRGVLPSRSCMGQTEIHRAAWTCSIKSINSNEEAETYLTENLDKRDVKIAEYKVVRKLKESTIVCSCNHIGRHGYLCRHVFKVLLNVGVESIPEEYILIRWRRDLIPIELQNSRQIICDVGEDQRRIKRYI >KVI06678 pep supercontig:CcrdV1:scaffold_367:206898:212963:-1 gene:Ccrd_014970 transcript:KVI06678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKIAVKPKYLPSFLKSSATRKNYTATLSPLPHGHLSSNNSTTTKTPADYEHTLVAALKSCSSLSAPLLQGQRLHGHILKYGHDSNIFIRNSLISLYAKFGAMTDAESIFVSGSQSDRVSCNIMLAGYVKLGRLNDACQLFDKMPGKNNITFTTMIMGLAQNGFWYEAIQVFQEMRSLGLVPNEVTMSSLLSSYSHVSGVRNDIISACGQVMAFSEGQQFHGLTVKSGFDCYDFIQSTIIHFYAACHHINLARTQFELGSKNHLSSWNALISGLVRNGMMESARELFDKMPTRDVFSWSSMISGYSQTEQPDRAVQLFHEMLDSGIKPNEVTMVSMVSAVATLGRLQEGRWAHRYIINNSIPVNDNLSAAIIDMYAKCGSIKNALQVFNEVRNKVLTISPWNAIICGLAMNGHARLSLAIFSDLEKSRIEVNSITFIGVLSACCHAGLVEEGRRHFKSMKNVYNIEPSVKHYGCMVDLLGRAGRLEEADEVIKSMPMEADVVIWGTLLAASRNYGNVEMGELAAKSLSKADPSHGPARILLSSLYIDAGRLDDAAFVRREMKSQRLTRQLPLELLLFDKVYESFLDANALYGCADFVIADTEQWLINELLPVPLLPILRLPI >KVI06679 pep supercontig:CcrdV1:scaffold_367:32943:34492:-1 gene:Ccrd_014972 transcript:KVI06679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MGLSSMKVHQFARGAGGFWGDDESSSSALTLDVCKRLHPRPLTPKLTTSGSSETCFTMNNISVSNSSSSNNHAFDLKSFIRPESCPIQLVSPNHKKDSPQVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLSKYGKIEGKNVFYWFQNHKARERQKQKRNNLGLSHSPRSTPASTMINSISLNPRGEVVESPYKKCRSWSFECLDKDHEEDNKTLELFPLHPEGRSRCS >KVI06675 pep supercontig:CcrdV1:scaffold_367:283318:284170:1 gene:Ccrd_014968 transcript:KVI06675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWTPIIEKFKYRLSLWKARSISFRGRLTLIKLVLGSLALYFFSLFRAWPGSCKFLRVLGDIFLGGVGGCEETGLGGIGEGPDFVAKRGVSWSSSWLRDLRGRALSDLQEFKGLIHNLHPTLNAKDKWFWLLDANAVFSVRGLQELIN >KVI06676 pep supercontig:CcrdV1:scaffold_367:255854:258627:-1 gene:Ccrd_014969 transcript:KVI06676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQKGGSIGSLPETLGLEHGYTSSESSSVVEQQICWNNYIRNPPANYISPTNTSDMHTNQESQNVSMWTMGESSSSSVPNQVGLGLGLGQGDHGWPPLMKETHQHEPPAASMLSLGDVNMNITHNHANNGHHDLLFVQTTAPHNVTVNPSTSIGIKPSNHAETYVGSNTTSSTSFSNPLGRQLQLPSKRKAVELGQGSSSGVGSSSMFQRPEGSTSIWRSVTERSPPVNVMHSDQIIPRLGLGVPSDNHAVENTSRRNVRIRINASRQQDPLPLPATNNSNLQSNLSAPFPSLRLNPVDLRSSPPVATTVENSSSQPTLQLPALRRNLQVSSRWSRSLGSSRANRPANIDISGDYIRTNISDHPIFVQPNDIRNAAINWSLNGGGGIVGNNGNGDAASTRTRSNSVPAASSNLGPHRSSPSRRLSEIIRQSLLSSSIDSGGGGGQGSNLLSRIPPAAGTPSQVGRHHHLPHPRSSERQLDGAFGFPYLARSGAAGSEGRGRLVSEIRNVLDLIRRGEGLRFEELLALEERIGSVNTGLTEETISGCLKQKTYATVPDAEPCCICQVVSFSIFMLSVS >KVI06677 pep supercontig:CcrdV1:scaffold_367:168808:171025:-1 gene:Ccrd_014971 transcript:KVI06677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix leucine zipper transcription factor MADLYGTNNDRSFSSSSSLESEDMSFFLQSFLNNSSTKGKYGRLFPSPPPISTDFVDSDQRFSDLSSFYGTETNQVNFPPRHDVAGFDLGCEVQKQSEGRQNSNPPRSSKRARAAEIHNLSEKRRRSRINEKLKALQTLVPNSNKTDKASMLDEAIEYLKQLQLKVQTLTMRNGLGLQQPIYSQQEEMEMEMGANPSQGGFSIDIGNGHMPSLSHMMKQDNVFGSQVNQYHHVFTNHSTSIKVNQL >KVH85899 pep supercontig:CcrdV1:scaffold_3673:16201:18913:1 gene:Ccrd_025418 transcript:KVH85899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVVEHQQQQPICSLSLFIRIDDIDSKRFLSYHDCGSELMIMGCSLLLQNESKYMKYLTKKYLKKHNVRDWLHVIPSNKDRNVLSTPKNFDEIPKTLMN >KVH85900 pep supercontig:CcrdV1:scaffold_3673:42348:44174:1 gene:Ccrd_025419 transcript:KVH85900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAREPSRLFSVLMVLEFIPVLTLVATGEPQVPCYFLFGDSLVDSGNNNGLKTAAKANYTPYGIDFPQGVSGRFTNGRTYADIIGQFLGFPNFIPPYATITDKDISTGVNYGSASAGIRDESGSHMGDRFSFSRQLLNHEDITSRLSLVQRNKTFTNDYLKKCIYIVNIGTNDYINNYLMPNNYPTSQVYTIDQYAEVLIQQYSQQLMTLYGLGARKIAVFGLAQIGCTPTEIARFDTKGKPCVESINDAVKRFNDRLKPLIDGLNNDNSEARFTFINFTSIVPLQVTAILPIINVPCCQVREDGYCIPNSVPCLVRALSVFYDGLHPSDTANIAIATRSYKALSPMDASPYDISHLARL >KVI08712 pep supercontig:CcrdV1:scaffold_3674:13720:25386:1 gene:Ccrd_012911 transcript:KVI08712 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair, conserved site-containing protein MRSIKALPESVRSSMRSGIILFDLTRVVEELVFNSLDADATKVIVAINVGTNYIKVTDNGCGITRDGLVLLGERYATSKFELLADMNTVPESFGFRGEALSSISDVSLLEVVTKAHGMPNGYRKVIKGCKCLYLGIDDDRQDVGTTVSYYTILRPVLVVAVVVRDLFYNQPVRRRHLHSSPKKVLHSVKECILRIALVHLGTCFKIIDIESGDEILCLYPSSSPLPLLMSGFGTEVTSSLHKLDASEGELRLSGYISGPYETLSVKVCSLTLNTSNISSRYVSRGPLHKLLNQVATMHSSSDLLKADIRSQCGKRSRCQASPTYILNLTCPRAQYDLSFEPTKTCVAFKDWDPIFTFVKKAVSCFWSQGMPYGAPMSEEDAIWKGADALAMSDDLLDDYGLSKKRRMTLCHQAVLSSPPQKMPREEPKHMPYHGYSSNRSQRKAVQSKEYRIEMDFDCLTDNLLEFGGRSLPERKTSVLQESGNHLGKPNSYISSEDIYLQNKCSRELSSEYVDHLSDAKWNPNSLQTDYGTNNRLVVKEPSAVPLHYYDDDEEVDDDLIRPFLRSCSSHKGLLPVVGSKRGDNQFRFQADGSSKWLCMDDRVDYGQADYAIQGSEPWLDDAATVWSPPRNTTTFNLGTGSSFLSKGSLDSHSTGRECFTEDNNFWASPVASIECSKSSHRPSEFDSPASTFSSAFFVSKRNFKHCRDDGFDLSAGEVEKDIFNFDDMRYSSPQEDISFSSHMVASSFLKGNGRCKKNIRNSQIDSVLFPQQCDFLNETERTCSESNGKHKTFVPVAAPHHVSSSFYADGEKSKIAQCSLQDKHPRRSRSAPPSYRGKKKFFALTSWTTIKSGKSCYKALHNAPTLQEDSHLKHLQKSSGVDHLSQNEGPAIDSQSKSRHDKKKIIDKAEISDYEGEAAHWLDVYETDSGLTCTSADTCECFIGFVGKGVLDCHVHDEMAEETLARWLRADKRRMSCRRGDSSSVSMENPDDHTNQDSILDISSGILHLLGDSLLPKSITKRCLEDSKVLQQVDKKFIPVVHAADERIRLEDLRGKVLSGEMKTVTYLDAEQELVLPEIGYQLLNNYFEQIQKWGWVCNFHAQSSVSFRKNVHCYMFILEPGDFNVPCILGVNLTDVDLLEFLEQLADTDGSSVIPPAVLRVLNFKACRGAIMFGDALLPSECSLIVEELKRTTLSFQCAHGRPTTAPLVNLLVLHKQIAKLGNWNHGSSGSWHGLSRHRPSLERAAQRLSSKGGQS >KVI08714 pep supercontig:CcrdV1:scaffold_3674:46960:59248:-1 gene:Ccrd_012913 transcript:KVI08714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase, THEP1 type MELFSSLFFPAVLRVLESNKPFLATIPIPKAGRDIPEVVSVLLNKDGGSYRGVKLVSGQELTSHQMVMDPSITIASPLVNSSSESPKDVSNVFDLRDVSGKLARGICIAKSSLKPDVSNCLVIYPPRFVCDDALEGKRSLHAAIDGLFSIHSSGATDTSSTVQTDTTEAKPSLLWSALFIQDLIEGSMGPVVATPTPDGNLNYNDVLGATSKVXSGRLSGKRYDKFDSLAMAAPAKCFLVTGSPIKEDTDLFVIDEVGKMELFSSLFFPAVLRVLESNKPFLATIPIPKAGRDIPAVARLKNHPGAAVFTLTTSNRDAMKDRIYTELTDKLV >KVI08715 pep supercontig:CcrdV1:scaffold_3674:31738:44718:1 gene:Ccrd_012912 transcript:KVI08715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKKLVEKASLKKPGGNSDGLKSDEVNPRPIFHYGLPSECILLAHDPIQKILALSTIDGRIKLFGQDNTQAVMESPEAVPSKFLQFIHNQQFLININAKDHIEVWDIDMKSLVHVHIYKDEITSFTVLQRTFYMYVGDSFGNVSVLKFDKEPCNILQMKYRIPFSASHGEPPFFCKNEILLHALLVYIEQLQWSQYIGVFSDCNSREDLSDAAVMYILPQPGAESKRILIIYIDGSVTLWAIQDSKAIFTTGGTLMHSLNHDTKKVTAACWVCPFGSKVVIGYSNGELLIWSIPHTIDSKTELATDKELGGAQSAPLFKLNLGYKLDKVPIARLKWAYADGKASRLYVLGSADFSSANLLQVLMHDCFYVIIINEQIESRTNKLGIASPEPCVDMEIVSSYSEQSKHKEDCFLVLGKSGHVYVYDDFSIEKYLIQAQSRSPPSLPKEVKVRLPYADPSITVAKFITDNPCLLSSADEEYILLSKKIPSLFSFEAKQKDGSPSTTFSSFSNFKNIYITGHSNGAINFWDASSPLLLPIVSFNQQVTFETISSCSIWIVHENHLYPLWFCFLLFLSYSLPTMQSEDDQSVSTVPLTALCYDMEARLLISGDQNGTVRIFKFKPEPYSTESGFLSLQGSSKKGSNIIQNVKLVKVNGAVLSISTSHDSKHIAVGSDQGYFSTKAMRNSESHYIVKLLMQVSVIDMGGPTLLYERHIASELSTAVISLQFGMCSLHGFEKSVLVAATKDSSAWALESETGNILNTGSVHPKKPSKALFIQMLGNEQGASGRGSNASRRVDLHKGNSFDDGIVKDLLLLCSEKAAYVYSLPHVVQGVKKVCYKKKFTSSICCWASTFSDTGLVLVFTNGKIEIRSLPELSLLKATSIRGLAFSTSKPNSLPDNSICASQNGDLIMVNGDREVVMVSVLHQREIFRHLDSATQVYNRDLIVPQGIQSEHVIHKEKKKGIFSSMIKGSKPKNEPETEPESARESFEELSTLFSVTNFPMESETRENLPTDEDDVELDIDDIDIDDPEVKPKGNTMMAALNKQKLTSKFQAFKGKLKEMNVKKEKVQTKEEPRDEKTGSVDQIKKKYGFSLTGDTSAAKMAQNKLSENIRKLKGINLKTAEMQDNAQTFSSMAKEVLRTAENDRRTS >KVI08716 pep supercontig:CcrdV1:scaffold_3674:396:1694:1 gene:Ccrd_012909 transcript:KVI08716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT3A [Source:Projected from Arabidopsis thaliana (AT5G65990) UniProtKB/Swiss-Prot;Acc:Q9FKY3] MGFDDVNKNSEASSSSHVLNLPRETSPLLDSKSPRLSSQLKTFANVFIAIVGAGVLGLPYTFKRTGYATGALTVFAVAYFTNHCMMMLVKTRHKLESVNGLSKINSFGDLGFTVAGPVGRTAVDVMIVLSQAGFCVSYLIFVANTLMNIFNFTSTSNPTTPNILGLSAKTFYIWACFPFQLGLNSIPTLTHLAPLSIFADVVDIGAMAVVMVEEVIVYLNAKPVLQAFGGFSVFFYGIGVAVYAFEGIGMVLPLESEMENKNKFGKVLSVTIGFIAVMFASFGVFGYFAFGDETKDIITTNLGQGWLSSLVQLGLCLNLFFTFPIMMNPVYEVFERMFCEGRYSLWVRWGMVLVVSLVALLVPNFADFLSLVGSSVCIVLGFVLPALFHLMVYKDEVGWAGWIKDGAFVVFGLILAVTGTWTSLLEILAPKA >KVI08713 pep supercontig:CcrdV1:scaffold_3674:5751:10360:1 gene:Ccrd_012910 transcript:KVI08713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSCSSSSASEEEDEDMDSYRKGGYHAVRIGDSFASGRFLAQRKLGWGQFSTVWLAYDTQTSIGEIRDPDKYVALKIQKSAPEFVQAALHEIEILSAIVENDPKNEKCVVQLVDHFKHRGPNGQHSCMVLEFLGDSILHLIRYNRYKGLNLIKVREICRCILIGLDYLHRELGIIHTDLKPENILLLSTINPSKDPIRSKMTPILERSEASLKGEVAVNAIEKKLKQRAKRAVAKIAAERRGAIGAVAKPERCLDGIDFRCKIVDLGNACWANKPIAEEIQTRQYRAPEVILQSGYSFPVDMWSFACTAFELATGEMMFAPKPGQGFSEDEVAIGGLRSKDYFDRHGDLKRIRRLKYSSISRLLVDKFKFQETDAREFAEFLNPILDFAPDKRPTAQQCLRHPWLRQAAAKTVA >KVI04483 pep supercontig:CcrdV1:scaffold_3675:17007:19614:-1 gene:Ccrd_017200 transcript:KVI04483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRCAYQQQNNNINNNALVRGGEMMNGVVCPKPRRLCLLNPSIKESVIAASSPRCQHHYPIHQTEMCESKARTELLDMILTKECYGVEKSNNLIPSSPPFFCGSPPSRASNPVVQDAQFGNENPSPLSPACETSPSPSPRKNGGSCARGKFGQKHPAVRVEGFNCRGISAVA >KVH96485 pep supercontig:CcrdV1:scaffold_3676:55655:56556:-1 gene:Ccrd_001429 transcript:KVH96485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSVSIQIEVPSAFPADKVFKVLSDFDNIAPKVNPAVFKSIKTVEGNGGVGTIKIFTFGDAVPFTSGKYKVDAIDAGNFSYNYSFFEGDSLMGILDSINHHIKVIPTDGGSIFKQTVTYNCKGSDKPSEDILKAEKEIYEKTYKAIEAYGAAHPETY >KVH96486 pep supercontig:CcrdV1:scaffold_3676:10064:10874:1 gene:Ccrd_001428 transcript:KVH96486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTVVTTEVEIAAAFPADKLFKVIVSFDSLAPKVTPQVFKSISIVEGDGVDVSNLSVSYTIYEGDALFDFLDSITHHIKISPGADGGSVYKHTTVFNCKGEATVPEEMLTQTNEGYKKVFKAIEAHVLANVDAY >KVH96936 pep supercontig:CcrdV1:scaffold_3677:10512:12811:-1 gene:Ccrd_000971 transcript:KVH96936 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1/A1 complex, subunit E LGFSYRTIIALISVFSIFSYRLPPQDLSPYQEEEAKRNAESCFVVLVATSTVLLCLKANMWVFRMPLXASRIKVLQAQDDLVSAMRETASKDLLNVGHYKSHHLHNYGLLRALIIQIQPYKFGTFYHMTWIFKNEAELLVKVKDHILVPEHHYLQLKKRKLC >KVH85898 pep supercontig:CcrdV1:scaffold_3679:17087:18043:1 gene:Ccrd_025420 transcript:KVH85898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDLLGDEVETLLGLLEKIYIALDHYSPVLQHYPGVLREGNLGYGILVSSAPKESKVFLSLRDTSEVRDFLKALVKWKEHVDYKN >KVH85897 pep supercontig:CcrdV1:scaffold_3679:40675:43863:-1 gene:Ccrd_025421 transcript:KVH85897 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MKNGTDTTTAGTTAPLSAKVSPAAKKTLLILNCILLSIGNCGGPLIMRLYFIHGGNRVWLSSSLETAGWPFIVVVLVILYFLRRSAGKHENNNNNKPTTIIYMRPRLFFAVAFIGIVTGLDDYLYAYGVARLPVSTSSLIIASQLGFTAFFAYLLVKQKFTPYTINAVVLLTVGAAVLALHTSSDRPAGESKKEYTLGFVMTVAAALLYGFILPLIELTYNKAKQGITYTLVLEIQMVMCLFATIFCTVGMIINNDFKVIPREAMDFELGKTKYYIIMCVSALIWQCFFLGAIGVIFCSSSLLSGIIIAVLLPVTEVLAVVFYKEKFQAEKGVALVLSLWGFASYFYGEYKLTKKSKNNTESSQPSTELAQTNYSSV >KVH97319 pep supercontig:CcrdV1:scaffold_368:166478:173637:-1 gene:Ccrd_000570 transcript:KVH97319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MGTMAEAGGGVVVVSPKPSKGFTSKAVDWLEKVIVKLMYDSSQPHHWLFGNFAPVQEETPPCKDLPVIGHLPECLNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGLRIKDGKATYLSRYIKTSRLEQEEFFGGAKFMKIGDLKGMFGLLMVTVQMLRTKLKVLDLSYGQGTGNTALIYHHGKLLALSEGDKPYAIKVLEDGDLQTLGLMDYDKRLSHAFTAHPKVDPVSGEMFTFGYSQAPPFVTYRVISKDGVMNDPVPITVPESIMMHDFAVTENYAIFMDLPLYFRPKEMVKGKKLIFTFDATKKARFGILPRYAKNELQIKWFELPNCFIFHNANAWEQGDEVVLITCRLQNPDLDMVGGGVKEKLDNFTNELKSSVTVIDAKTMSPDPVAVVPIPHRVPYGFHAFFVTEEQIKEQANF >KVH97332 pep supercontig:CcrdV1:scaffold_368:145259:146108:1 gene:Ccrd_000568 transcript:KVH97332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATVEEPMLYRLDRLDNIVRQLEELRGGNRTPKSSNASTPSSCTLTSEGQASSLEFSPRSLEKHCRPMDDVIVETGVKGTLIERLIHVEERVAKLEEEIEAEKRRREEEKKKEVVVVKKRGLKQLLKSCVTANKSTPS >KVH97326 pep supercontig:CcrdV1:scaffold_368:256290:259101:1 gene:Ccrd_000577 transcript:KVH97326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYWKWAKQVSETKPPTNTLHCRGKSKRELDDLYTIISQRQSERKMTARCNLFICRR >KVH97327 pep supercontig:CcrdV1:scaffold_368:133243:143823:1 gene:Ccrd_000567 transcript:KVH97327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDDPCVSQLYSFFSDRSIQIPTCFLLGQIRSANPIDVQLGLTLLVSAASDTGTSVKNVGTQVCQICGDNVGTAMTGEPFVACDVCAFPVCRPCYEYERKDGNKSCPQCKTRYRRLKGSPAISGDREEDVDVDDETTNFPFSSETQNEKQKTAERMLNWQMTYGRGEDTNAPNYDKEVSGELSAASPQRLSVSSPPPGGERLTHSLPYAAYHQSPNIRAVDPVREFGSTGLGNVAWKERVDGWKMKQDKNVVPMTTSRAASERGQDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIVLRLVILCIFLHYRITNPVTNAYPLWLLSVICEIWFAVSWILDQFPKWLPINRETYLDRLSLRYEREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLSFESLSETSEFARKWVPFCKKYNIEPRAPEWYFNQKIDYLKDKIQPTFVKDRRAMKREYEEFKIRVNGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGQNGGLDSEGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKAIREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKKKREEGFFSSCFGGSRKKSLKSSKKGSDKKKSSKHADPTVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSAAPETLLKEAIHVISCGYEDKTDWGNEIGWIYGSVTEDILTGFKMHARGWRSIYCIPPRAAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYNGRLKWLERFAYINTTIYPITSIPLLVYCTLPAVCLLTGKFIIPQISNLASLWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVVWSILLASIFSLLWVRIDPFTTKVTGPDVQKQQQQKIRKFIVNELGGQYEEVFNDVKLELRNWFTAKAVRTVLYQLYEMNPPQYMWLHKQQCDHAEIYKEISDENLELMRERLLETIVWPTDDKITE >KVH97321 pep supercontig:CcrdV1:scaffold_368:189946:199472:-1 gene:Ccrd_000572 transcript:KVH97321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type RRICYCKLGFPSELRTDKGTNDFLEAAARVEAIFKNPLLINGKPKTVQVLVPKVVIPPPPPTPIPAIVTPNVGAVVDGGGDAAEELLSSQNKRAAMQRKAAAASVAAEDYARRFESGDMAVGFTKDLSGDEQGLSNAKVMCRLCFSGENEGSDRARKMLSCKSCSKKYHRSCVKSWAQNRDLFHWSSWTCPSCRSCEVCRRTGDPNKLMFCKRCDGAYHCYCQQPPHKNVSSGPYLCPKHTKCHSCASTVPGNGLSVRYVY >KVH97329 pep supercontig:CcrdV1:scaffold_368:76631:86201:-1 gene:Ccrd_000565 transcript:KVH97329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MADSREIDDQVDFEDDNYEEMDDDVEEQLEEEGPEGGDDENGEEQEDISGYDGKEQESEEDRNQNSTGQVDDEEKFPVSGEDNKGKHAELLALPPHGSEIFIGGLPRDVVEEDLSDLCESFGDVVEVRLVKNRDTNENKGFAFVAFRTKDVAQKAIEELHNKEFKGRTLRCSLSETKYRLFIGNVPKTWTDDDFRKIIEETGPGSEVIELIKVKALYVKNIPENTPIEQLKELFQRHGEVTKVVMPPAKAGGKRDFGFVHFAERASALKAVKETEKYEIDGQVLEVVLAKPQSDRKPDGINSHYSGPHPNYIPHPVYGGFSASPYGGTRYGVNPSFQQVF >KVH97320 pep supercontig:CcrdV1:scaffold_368:181470:187678:-1 gene:Ccrd_000571 transcript:KVH97320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFPAAGSLADGLCSATQAMVPKNTFVNEVPVKTERISRDQMNSNRSHGADAVDESGKLADKSMNTKGPKLVIHLGTRNKNVASSPRSDASNLHREQELITSNGSDDMTIQRANDKHLERRDNTSKLSDMKGENLDYADQPKGLRLRGKEGNTIKIRKLNPDNSDVYNVGGGANLTDVQQSLPPMKARVSFGKRNTEKSDASDAFAIRGQKSSDDLNDGNKGPASVANSSEKELKPLLRLKFKNPYSDNQTSWAPAGEDDRSSVKGQRSKRKRPSPFMDKSSSVKEDEGVGHSYEDIVLMEIMDANWIIQKLGKDAIGKKVEVHQRTNNTWHKGTIIEVFEGTSIVSVTLDDGKTSNVDLSKQGIRFVSQKQRR >KVH97324 pep supercontig:CcrdV1:scaffold_368:226717:230922:-1 gene:Ccrd_000575 transcript:KVH97324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MALPSATTTTLFRPPPTSHLPPSSSPFFLPLSSSKPRFRCSASTFTSSQQKNEAELENKKYDLLKAVQDTQRGLVTTPNQRSEIEESLVDLESFEVGNEAIDLGTLDGTWRLQYTSASDVLVLLDSSSRLPFFQVGQIFQKFECKGEDDGGYVRNVVRWSIPSLLEENEGATLLVSAKFSIVSRRNIFLQFEKIALQNINISEELQALIAPALLPRSFLTLQVLQAIRTFKAQVPVNNTSPGRRSVGGLYYLSYLDRNMLVGRAVGGGGVFVFTRAQNIV >KVH97328 pep supercontig:CcrdV1:scaffold_368:115745:121520:1 gene:Ccrd_000566 transcript:KVH97328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAARVRSGVTGFTEALITDSLSTQRSTVAPALICSNFTNIEQSRNFASASAPKEPKIKVPVAMFGGSGNYASALYIAAAKAKALDKVESELLDFVAATSKASTFSQFMKDLAVPADTRVKAINEICAQAKFSEVTKNFLVVLADNGRLRHVDTIAKRFSDLTMAHRGEVKAIVTTVIPLPAEEEKELKETLREILGKGKTVKLEQKIDPSILGGLVVEFGQKVFDMSIKTRARQMERFLRDPINFDA >KVH97323 pep supercontig:CcrdV1:scaffold_368:220249:221407:1 gene:Ccrd_000574 transcript:KVH97323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 MADPTTNQSILTSKSDTTTTTTTTTQRPTPLWKKRKVLLKELSLNEIPRHVAWERRRRLKRMQEKKEDTEGTNDELSEDLNELKGCLQLGFGFNDDEGGQSLTNTLPALDIYFAVNRLGSPMVSPSSTASPMPKFERVGSMSSKSGETPQQVKAKLRHWAQAVACSMISS >KVH97331 pep supercontig:CcrdV1:scaffold_368:147139:155844:-1 gene:Ccrd_000569 transcript:KVH97331 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor, conserved site-containing protein MNGFEFSFSIILKIPIFYSLPTTGYCGMRVMWLVIYTGFGVRITGFMVNLMESRTMPAKPQDEDRRREAEEQNISPSAVYSQPWWHGIGNGVMSSFGETNGVIKVGAQLQTTSDAVAEDTDASTVPQSGLNMNNGQEQQVKQVETSSPMELVGHSIVLTSYPYQDTHFTGMMTYGTQAHPRLLGIHQTRMPLPLQTEEDPVYVNAKQYHGILRRRQSRAKAELKKKVIKSRKPYLHESRHQHAMKRARGCGGRFLNTKKLGSDSTANSTAQKEPKSMSTQSFNSDHLSTDYAGNSSIFEDVLKRNTSSNGNNNDHHSLSSTYNFHLMGREEGVHYFKREKGNMGRERERDCFPHMFSGNSFLALVTCVLLLG >KVH97325 pep supercontig:CcrdV1:scaffold_368:244721:251769:-1 gene:Ccrd_000576 transcript:KVH97325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSKAGAFDLASGLGGKIEKDDVLSAVDNHFVCSNTGMKSIMRVLEVWRKTERLTTLTWLTNIMILSQASTSMVGENHFILPQETCHNLCSTFSSTINRTFIPHHRWKGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSSTSVMGINNNEYQITRGKVLNRVAGVERTCDFTKADFMKMPFPENNFDAVYAIEATCHAPDAVGCYKEIFRVLKPGQSFAAYEWCMTDAFDPNNQEHQKIKAEIEIGDGLPDIRSTRQCLAALKEAGFEVIWEQDLAKDSPLPWYLPLDTSHFSLSSFRLTAAGRFFTKNMVMALEYIGLAPKGSQRVQSFLEKAAEGLVAGGKKEIFTPLYFFLARKPQ >KVH97330 pep supercontig:CcrdV1:scaffold_368:71295:76530:1 gene:Ccrd_000564 transcript:KVH97330 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAF domain-containing protein MSSGAAAAVGKRRTRVGNYEFGKTLGEGSFAKVKFAKNIVTGDPVAIKIIDRDRILKHKMVEQVMASKTKIYIVLEYVDGGELFDKIPENLLLDSNDVLKVSDFGLSQQVDNGMLHTACGTPNYVAPEVLTVKGYNGAASDIWSCGVILFVLMAGYLPFDEENLMGLYRKIEKADYRCPPWFSSGAKKLLERILDPNPLTRITIPQILENDWFKKGYKPPHFEQEEDVNLDDVDAVFNESKGFVKRETSFASKSPANEIMSKIEEAAKPLGFNVHKRNYKWRRRCTWWSSGKPVATRWNFTISTRASPPD >KVH97322 pep supercontig:CcrdV1:scaffold_368:208504:213596:1 gene:Ccrd_000573 transcript:KVH97322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1423, plant MKRLKSSDDLNSYGGEKGVFKDWGRKDDDPSLHQSSSHRSFHYKSESGKKGLSSSSARYERVEDDRKNSRLIRKRPDYDVDSYERRKSYDRYRDSSERGILSSSPRGGYVGDRIHRSESFSGPRRDFPKGFRSERDRSRREGSVSSWRRFSGGKDVEEGTKSGSDSARGSKAASEEMGNVRSPQGGRDAKSPAWSKDSGSEQSKSVEVKKSESLPAESGNNSEREEGELEPDPEPAPIVENRADDLPSGSLNASQEVQHENHTDDKSSEDRTKLMPKEEIKPEQTQIVAEKADVEELETSHNVLNKVSNSSTSQDSSTQRPGENGVAIPYLSDNEKKVAEPIQDYNDHEEETMDVSASLNPEEIKPKQDNDAYLEVKEENMNMHGQVIGNAERHGAPGVVNHSLVTKELTKNFKDKGKGVLVSVSSGNDPLENSCRVENESSGFLTSREIDIEGPSTRGFDLFFTDPVKKPENIDKKGVSKPKDEKLTLEPLELSLRLPNVLLPIGSQNPVQAPDSPSQEMSIQSHASSFQTSSDGFTVSRSFSGSQHFTHNPSCSLTENSFDFEQSVGSRPLFQGVAWQVQPSDEQKNAEPPMHQRSLSNGNGIFHQSQTSQAIANVQSVQSHGARVAEGSYKLPLGLERQLSSNNKQPSGSHSRHRSEIRSPTQSVGSHETGSEYHKDRKRVMREVIGTLSKSTNSGSVDLVEPLLAMLVSDPLHIVARILNDMTGQSLASLKESARDVILNPSKRRQLSAFRKALEKRSDITLEMLQKAHSVQIEILVALKTGIQDFLQTNCDILSSDLAEIFLNLRCRNLTCRSYLPVDECDCKICVQKSGFCSACMCLVCSKFDLASNTCSWVGCDVCLHWCHTDCGLRESFIRNGRSATGALGQTEMQFHCVACDHPSEMFGFVREVFQNFAKGWTAETFSNELEYVRRIFSASEDIRGKRLHEISLQMLTRMLNKSDLQQVRSYIMGFLTDDDASKSDNIQISQEKEALKQNQGERSNDVSKSSQEAALWMKSVYADRQPQLKTVSSELQRVASIPREPVFDELDGIVRIKLAEAQMFQMRADDARREAEGLNRIAQAKNKKIEEEFASRVAKLHLSEAEEIRRQKLEELQALENAHQEYFNMKVRMEREIKDLLLKMEATKRNFS >KVI10053 pep supercontig:CcrdV1:scaffold_3682:40859:45392:-1 gene:Ccrd_011554 transcript:KVI10053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSARILDVSYPLTPSVSSHLPAAHHNSRYLCRNLKSTVRWRLMSSDPDASKFAAAAAAASIDADSAEKNAAGFCIIEGPETVQDFDKMDVQEIQDNIRSRRNKIFLHMEEVSKFLRFFICNIAGILQLRCDLVRRLRIQQRIKSAELGIPEEEQDGELPNFPSFIPFLPPLSSANLKQYYATCFSLISGIIIFGGLLAPTLELKLGIGGTSYADFISSMHLPMQLSEVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCASTGAIVLIDPVASANGGNQPLSPPKTERCSN >KVI10049 pep supercontig:CcrdV1:scaffold_3682:280:3442:1 gene:Ccrd_011550 transcript:KVI10049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTSSCYFCLLFLVSFFHFCLSTQNFNHVLCKDVERQALLQFKHGLIDGADNLAPWVDEERECCNWAGIVCANYTGHVQQIHLRGLDYTCHAKIFAHTNKRKLGRDLSPSILQLKQLRHLDLSCNDFEGIQIPSFLGSLRNLRYLNLSNAGFAGIIPPQLGNLSELQVLGLKSFHDDGQLSMLNMQWLSSLRLLRHLDMSGVDLSKAIDWFQVMNTLPSLAELCLSDCQLSHVHPHVPSLNITSLTLLDLSRNYLVNISMPRWIFSTTSLVSLDLSWCSFQGPTHNSIDGFHNLTSLKFLQLSRNNLMNSSLVLGSNLLSLDISYCDVSSSDLAGVHNLTSLLSLDLSNNQLTKPIPISFGNLCKLKEIDLSFNHFDNMSLTYLLESFLECKSPCLESLALRATGLSGHLPDQLRQLIHLVNLNLRSNSFVGVILNSIGGLASLEMLDLSDNQLNGSFPDSLGQLSKLNYLDLSSNLLTGVVTEAHFAKLTGLKLLNGRGNNIILRPQLANWIPPFQLQHLDLNSWGLGPQFPSWLLSQRDLSDLDISNTNISAPMPPSFWISFPNLTYLDMSQNHFQGTLPSFPATPDVYDILDLSSNEFTGKLPHLSDGFSAYLLDLSNNSFTGSLHHFLCPYDEIRVDALNLGNNHLSGVIPECWVKWQRLLFLNLENNNLSGGVPKTLGFSYNLGRIPEKIGDLKSLESFDLSLNKLSGELPASLSSLSFLSNFNVSYNSFTGRIPSSTQLQSLNEFSFIGNNLCGDPLTKQCAVEVGAINQVEEEDDEDGADMGLIISIVLGLVTVTAFSVPSFTVLSL >KVI10050 pep supercontig:CcrdV1:scaffold_3682:8314:13249:1 gene:Ccrd_011551 transcript:KVI10050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSPTSPHIHLVLNKRLSFKRHLSV >KVI10051 pep supercontig:CcrdV1:scaffold_3682:28601:32565:1 gene:Ccrd_011552 transcript:KVI10051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERRLRVDLVMDMTRTPLACFLDVSKSWKNEKKLFENIKTNVLCKDVERQALLRFKQGVIDHGDQLASWVSEEKECCSWVGIVCDNFTGHVHQIRLRGVDGNCRVNDYTTTKPYDEASQEMLGGDISSSLLHLKQLRHLDLSCNDFGGIQIPSFMGSLANLRYLNLSSSRFGGIIPPQLGNLSELQVLGLGSFYDKTFGSESTQLLNMQWLSSLRSLHHLDMSGVDLSKAINWFQVMNTLPSLVKLHLSSCQLPHVHPFVPSLNITSLSLLDLSGNYFDSSFVPRWIFSITGLVSLDLTECNFHGPIPSSIDSFRNLTALKFLHVSGNDFMNSSFVLKGLSSIGSTLISLDISSCGVSSPVLDTLHNLTSLHSLDMSNNQLTKAIPKSLADLCSLRNIDLENNNFQNMSLIFLLGSIFECESPRLESLSLTNSKISGHLPDSIGRLSFLRSLSLSDNLISGSIPNSIGRLLSLEILDLRINQLNGSLPESIGLLSKLEFLGFSYNLLTGVVTEAHFAKLARLKVLWGTGNNLVLRPRVANWTPSFHLQTLGLNSWDLGHQFPLWLQFQRNLIRLELINTSISSTIPELFWRSIPNLETLKMSQNNFQGRLFGFPASLAVIDLSSNNFSGPLPQLPKSSSVNTLDLSNNSFVGSLHHLLCLYGGESLDFLNLANNQLSGVIPKCWVKWPNLSFLNLDNNNFSGVIPRTLGSLSSLGTLNMCNNKLSGRLPVSLRNLKNLEILQLARNELAGRIPTWFGRELSSLRILNLRSNKFDGDIPRELCDLTVIRILVLAHNNLSGNIPRCFNKFDVLSGKDTTPDGRIFTLSRLGTDLLGSASLVTKGREDTYSTILRLVMILDLSSNNFSGHIPSELMTLQALQSLNLSRNQLTGRISENIGDMKSLESFDISLNQLSGELPMSLSSLSFLSSFNVSYNNLTGRVPSSTQLQGFNESSFFGNQLCGDPLTRRCRIEVPDRDEQEDHDGSHGTEWGLIISTVSGFIVGFWVVLAPLLVSTSWRITYFSFLRDMWYKFYDFIRKYCCNMFPK >KVI10054 pep supercontig:CcrdV1:scaffold_3682:53377:56469:1 gene:Ccrd_011555 transcript:KVI10054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSSLCYICLLLLMSLFHFSSSTNNKNDVLCMDVERQALSRFRHGLIDRAHQLASWVGDEKDCCKWAGIVCDNVTGHVHQIHLRGVGGHCRVGDYTTPKAYEEASKQMLGGDLSSSLLNLKQLKHLDLSCNDFAGIQVPSFIGSLGNLRYLNLSSSKFGGTIPPQIGNLSELQVLGLGSFYDKTYGSESTSMLNMQWLSSLRSLHHLDMSGLDLSKATDWLQVMNTLPSLIKLHMSDCQLSDIHPQVPSLNLTSLSLLDLSTNAFDTTSVLRWIFSIPSLVSLDLNECYFHGPIPGSVDSFRNFTALKWLHVSANDFMNSSLVLQGLSSVGXNLISLDISYCDVSSSDLVALHNLTSLLNIDLSQNQLTKVIPKSLGNLCNLRQVNMAGNNFENISLTVLLETFIECKSPSLESLSLGYSQLSGHLPDSIGRLSFLKSLSLAENLISGSIPYSIGXLSSLESLDLRNNQLNSSLPDSLGLLSELEYLDISYNMLTNIVTEAHFARLARLKVLWANNNNLILRPRIENWIPAFQLQLLNLRSWDLGPQFPMWLQLQRDLETMDISNTRISSTIPESFWRSMPNLQVLNMSQNNIQGRLLGIHAPLSVIDLSSNNFSGQLPELSKSSSAFILDLSRNSFVGSLHRFLCLYSGEKLDFLNLANNQLSGVIPECWMNWPILSFLNLENNNLSGEIPRTLGSLSSLGSLNMGNNKLSGTLPXSLKNLTNLEILQFARNELVGRIPTWFGRELSILRILNLRSNKFDGHIPHELCDLTVIQILVLAHNNLSGNIPRCFDKFNILLGKETTSDGRIFSLSILGTDLLGSASLVTKGREDTYSTILRLVMILDLSSNKFSGQIPSELMALQALQSLNLSRNQLTGRIPEKIGDMKDLESFDISQNQLSGELPLSLSGLSFLSSFNVSYNNFTGSIPSSTQLQGFDESSFFGNQLCGNPLTKSCGTKVPELYQQGAKGSNGTDWGLVISIVLGFVAGFWIVLAPLIASTAWRIAYFRFLSELRCMFCDVISKYCCNMFPK >KVI10052 pep supercontig:CcrdV1:scaffold_3682:32852:35974:-1 gene:Ccrd_011553 transcript:KVI10052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKSFMLFWLLIVLALCSNRVCDGRTKRALCIESERLALLQFKHDLIDGANRLASWNTANIGCCRWYGIVCDNFTGHVQELHLRGPDPELEEVSIQMLAGKINPSLLSLKQLKYLDLSCNDFEATRIPSFFGSFQNLEYLNLSMSQFYGPVPYQLGNLSMLVALDLREGRWLGNVRIKSLDWLSGLPLLQHLDMSGYDLRSVSNWLQVINTLPSLVELHLSSCHLSQIPYRLSEVKFTSLTILDLSYNIFDSLMPGWIFTLNKLVSLDLSSCFLHGPSPGNAGGFHNMTDLKFLLVPENDFMNSFLVLRGLLSLTGLVSLDISTCNISTSILGGLQNMTSLVSIDLARNQIVETFPNSFGNLCNLIYIEIRDNYISGSISEIINSFSECKSPKLEHLGFSTNGFSGSIPYRLGKLQNLVTLDLAFNHISGTLPDSLGRLSFLRKLILNVNSISGLIPDSLGNLTSLEWLEISFNNFNGTLPESVGQLGKLTYLSVHHNSLTGVLTEDHFTNLTSLKTLWADANMLTLELSVDNWVPPFQLDILRIGSWNLGPNFPSWLQSQRNLMNLDIANAGISDIVPSWFWISFSDILFLNVSHNNIRGMLIGNLSILVPEAVVDLSDNQFEGPLPGTFNEVDILLLDVSNNNLSGSLEMFLCPSLKKDRQLKVLDLANNNLSGIIPDCWTNWQALSVVNFENNGLSGELPQSVGSLSSLQSLDIRNNKLFGKLPASLLNLKSLQIIDLAENEFTGSIPLLIDGEETKLKLVSLRSNKLEGEIPDELCRLTSIQILDLAHNNLSGTLPTCFHNFSLMSGRQNSSEIVLYDLPFQVQVLGSASLVTKGREFEYSTILYLVTTLDLSGNKFSGPIPKELVGLLGLRWLNLSGNHLTGRIPEAIGNMALLESLDLSVNQLDGRIPWSMSRLTKLSWLSISCNKLTGEIPTSTQLQSFNESSFMANTLCGPPLAEVCNKKKVSNRGSGEVNGDGVDWGFIISILVGFIIAFWAVVAPLIANKVWRSAYFYFLYKVWFKIRITYRKCFFNMPPN >KVH92154 pep supercontig:CcrdV1:scaffold_3683:28287:35346:1 gene:Ccrd_005814 transcript:KVH92154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MPFDGISIEPQPSSNPLPDLSLQISPPDTSSVHTENTSQRDAYIDLSLPCSSRNLAPSLTVRDQYHPQNVFHHHPNHNHHRIYNQPPNNFVSLLDASKCLKPIKGIPVYHNRQFPFLPNLDGPREKEPKTMCLYPSSSSSSFPYFADGGNHMPFLNPMPRGSSSSGFSIPNCGGGGGIEARFSRLSSSYQLHHHNYGGGPTSHEVSHGMLRSKFLPKLPTKRSMRAPRMRWTSTLHSRFVHAVELLGGHERQSDDGSGEDDSSTMGNGKVGSFIDQRHPPDQQVFDHPNSSSATTTTTTLWSNSSSNGDAWSDANPIDLGRPSSTFLSPWTASRHFAEECDSLKLKSYLGFTVDQRNPSLEFTLGRPDWVQKEGD >KVH92153 pep supercontig:CcrdV1:scaffold_3683:4641:5981:1 gene:Ccrd_005813 transcript:KVH92153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-binding, type 1 MDKFLVLTILVFLFLATVVSAQDCGRQGGNRPCTNGNCCSQYGFCGNTPAHCSPENNCQSQCTGGGGGGGGGGGGGSGSGDVASIITASVFDQMLKYRNDPRCSANGFYTYNAFITAAAAYNGFGTTGSADVRRRELAAFLAQTSHETTGGWAAAPDGPYAWGYCFVRERNQDNNYCSSNAWPCTQKYFGRGPMQLSHNFNYGLFGQSIGRDLINNPDLLATDPTLSFRSAIWFWMTPQDNKPSSHDVITGRWTPSAADSSAGRVPGFGVITNIINGGLECGKGQDSRVEDRIGFYRRYCSMLGVSPGDNIDCNNQRPFA >KVI01592 pep supercontig:CcrdV1:scaffold_3687:17351:27566:-1 gene:Ccrd_020133 transcript:KVI01592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGRSNRTLYVGNLPGDIREREVEDLFYKYGPIVEIDLKIPPRPPGYAFVEFEDPRDAEDAIHGRDGYKFDGHRLRVELAHGGRVSSSVDRYSSYSSGGSRGGLSRRSDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDRDGMRGIVDYTNYDDMRYAIRKLDDSLFRNQFSKAYIRVEEYDQRRSYSRSRSRSPYYSRSRSPSRSYSYSSRSKSYSPRDIRSRRSRSRSVSSRPRSDSSLTPASRSRSRSRTPPASSRDRRRAGRSPSRSSSQSILRSRSPSVISD >KVH85867 pep supercontig:CcrdV1:scaffold_3688:12670:13112:-1 gene:Ccrd_025423 transcript:KVH85867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALTGDGSHVFGASAIVLLEDTSCIIIVLVSLDDCFQPWSYIHNCVIVTSKTRNSDEICYIDPIANLLQETTVEIYRHHAIVDDEMSSYEDLVDARAPVKLNGIKNHGK >KVH85866 pep supercontig:CcrdV1:scaffold_3688:47264:51619:-1 gene:Ccrd_025424 transcript:KVH85866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl tRNA synthetase N-terminal domain-containing protein MGQILIINSIASHLSSSCSLIMISPYFLAPNRFHELDSTNSSFHQIDFSILSMIGGIGKVTEHILKDAIMKNLPTSDMIESTSIAGPGFVNVKLSRQWMAKYHALVLTIVAGTHDVTQDYDGGYLIIQYTDVVSKLDEALAINPAKHEALWCLGNAHTANAFLTLDHDEAKIQFEQAFQCFEKAVEEGWSVMESENIFLPDLPYVKGEHGSIYFQVKNDEDILQTLAYGDNLVVRNMVCGISSYCGSLGITNVVLL >KVH85868 pep supercontig:CcrdV1:scaffold_3688:8306:24456:1 gene:Ccrd_025422 transcript:KVH85868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPLNRQDDDYDGALDFSGHRSAPIHINNNNNHDHNHHVAFNTVSDAARQPTMRLSGGDQRDVKINDIVGNGISGVLHKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSKETEKGSRVIGDESFRRLSRHRNSVLNITNSHRPHRKPVGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRADTRDDRIAWMEALQAVKGMFPRMSNNELMAPVGGISVSTEKLRQRLLEEGVSEAAIQDSEHIMRSEFSAMQDQLVLLKQKHLLLMDTLRMLETEKVDLENTVVDESQRQSKEVGATSRLKQEKYSEASASESEDDNDRGDAAEEETDDEDNAFFDTRDFLSSGSFRSTGSDMRSSSFSSDDEDLQVNESDIDASIRSVGTNFPRVKRRKKLPDPIEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNSLMRILNVAAFAVSGYASTEGRNCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGVLTLQFDDGEIFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNRSYSCKLKFKEQSIIERNPHQVQGVVQDHAGKTLATLIGKWDESMHYVNGDCSGKGKGDPYSDAHLLWKRSKPPMVPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLESGEYEMANSEKLRLEQRQRQARKMQERGWKPQWFAKDKGSDSFVYTGGYWEAREEAKWDSCPDIFGQFSSSDQTLD >KVH85861 pep supercontig:CcrdV1:scaffold_3689:6703:28190:-1 gene:Ccrd_025425 transcript:KVH85861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arsenite-resistance protein 2 MAEVMNMPVDVVERRSSRERKDDDGVANANADGEDNNKSTGNENPDATSPPPPPPPPSRRHDRDSRERRNDDRDRDVDRPPNRRSDYYEHRNRSPPVPSHRDYKRRAASPSSPPPPPYRDRRGGGHSPPPRRSPPFPPFKRSRRDDGGYDGRRGSPRGGFGPGDRRFGYDYPGGYERDLGGRPGYPDDRPRGRYAGRQSGGYQGGPSDSVSALNTVHGMPRREENELVKEMRRKGENFGFKGAVGPDSAPLHGREAPLCGSEEMLPVNFLPTFTGVKGTFHRYQEYKSEYITTQKRAYFDAHKGEDWLKDKYHPTNLLAVIERRNEFARKLAKEFLLDLQSGSLDLGPGVTASSSNKSGQTSNPNSEDELDMGGKRRRHGRVSAKDSDPLSAAPKAHPVSYEPRRIQADVEQAQALVKKLDLEKGIEDNILSRADNDRTHREKSHGGSSGPVVIIRSLASVKGLEGVELLDTLITYLWRIHGVDYYGLAERSDAKGLRHVRAESKNSDAKNNGTEWEKKLDSRWQERLKGQDPLEIMTAKEKIDAAAAESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREDLYFQNYMNDEDAPGGIPIMQPSLPVKLEHREGKFASLDGQGNNDIYTRVQACYQDLDAPEDEVTVIDYRSL >KVH95963 pep supercontig:CcrdV1:scaffold_369:218444:218971:-1 gene:Ccrd_001964 transcript:KVH95963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C1A, papain MKPTSGSRVYPTSLPCFLFQAEPWHPQTKHPWLFGFYSSSRLLCFQYIDSCKNAMNKGYKLAVNEFADLTNKEFRTARNRFKAHECSPSTTAFRYENLTAFPSSMDWRKKGADVAGNFQLWQLWKE >KVH95955 pep supercontig:CcrdV1:scaffold_369:65888:67489:1 gene:Ccrd_001953 transcript:KVH95955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MKHPLLIATHILWAAIASVIYADAIATHNGFVSCMKDYFVSFADTSRYVFTPDLPVYSSLVWSQHNPRCSNSTASQEPIAIVTPLNEDEIRVAIICSREHGLQVRIRSGGHDYEGLSYLCKVPFVVIDMRNLRSISIDIEDETAWVQSGATLGELYYSIAQKSRTHGFPAGVCPSVGVGGHFSGGGFGAMVRKYGLAADNVIDAHLIDANGNLLNREAMGEDLFWAIRGGGGASFGVIISWKIKLLRVPPKVTVFNVYKTMDQHTTEIVHRWQHVAHTVKNELFIRVIIQYLQDDKNTSVQVLFNSLFLGELNELIPLMNEKFPELGLKPNDCIEMSWIESVLFLAGFQGKSPKVLLGNDTDPYVSYFKAKSDFVTKPIRKHVFKGIRERFLEQKFAFMIMDPFGGRMSEISESHLPFPHRKRNLYNVQYLVKWEANGVRASNEHIHWLRLLYRYMERHVSRHPRSAYLNYRDLDLGTNREGNTSYSEARVWGEKYFKANFKRLAHVKSRVDPDNFFRYEQSIPLYKTSTGRK >KVH95957 pep supercontig:CcrdV1:scaffold_369:154816:156039:1 gene:Ccrd_001959 transcript:KVH95957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFFPELGFCFGGTVLTPATETISADVSTTRRRVTQGNSTKQWRPELAAIAEDGGALNVCRQSHEPTSVVRSEKKPLNKPRSAGKTRSHSYGGDFRKLTHAMAIPAFSPTPFVF >KVH95962 pep supercontig:CcrdV1:scaffold_369:208355:217946:1 gene:Ccrd_001963 transcript:KVH95962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAGNLAVPKTLTRFSLSTKILDLQSFTGHDLKNRSNIYNSIFNPESFYTSLLDRSTCRRHLNQIHSQIIVTGFQYNGYIVTKFIHVSSNVGEISYARQLFDEFPEPYVFLWNAIIRGYSKQNMFDEALMLYTRMQNVGVRPDCFTLPHVLKACGGAAAFEVGQAVHGQIFRGGFERDVFVQNGVVAVYAKCGRIDHARNVFEGLGGRTIVSWTSIISAYAQNGQPIEALRIFKKMRNYGLQPDWITLVSVISAYADIEDLGQGKSLHSCVIKMGLEFELDLRIALTTLYAKCGNVMIAKSLFDEMEISNVIMWNAMISGFAKNGCCNEAITLFQRMLSKNLRPDTVTVCSAILACAQLGSLEEARKMGEYIDNSEYRIDVFVNTALIDMYAKCGSIDLARKVFDQTKTKDIVVWSAMIVGYGLHGRAHEAINLFYLMKQAGVRPNDVTFIGLLTACNHAGLVEEGWRIFDSIRDYGIEPRYQHYACVVDLLGRAGCLERAYDFITKMPIKPGVSIWGALLSASRIYRHVELGEYSAQQLFSLDPCNTGHYVQLSNLYASVFMWSGVARVRVLMKERGLTKDMGCSMIEIEGKLHVFRMGDISHPRSNEIYKEHKRLDRRLKEAGFVADTDSALHDLSYEDKEESLCNHSERLAIAYGLISTPYGTTLRITKNLRACVNCHAAIKLISRLENREIVVRDSNRFHHYKGGECSCGDYW >KVH95956 pep supercontig:CcrdV1:scaffold_369:50832:62471:-1 gene:Ccrd_001952 transcript:KVH95956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQSEIDMLEDHKQQLQSYCVSSNQCNNAQIYLEERFQEADSLNSKIEELEMQLTVEKEEYKRISSKIRKFIKANNRHIRIQDELKRQRSVSILSTVSQARLQKLGDQLGSDARAAANEEDISINILSDEDTLGNMIPKNDKVSPRKDEMLGNHLMGPQKDQYKSSPSKKRMRFHMVEADEKSKQASSTRERLGMGNMKSERHPRWDAYLDQTKNTDEARSEANGISNARPSVNDGKPLRRKSNFTSAPSGDKIKDHESTRTAVNIVDDVVEVVELNEKLEAEEVASDRVEGGSTLDNAALPFLLPPPPPPAVVQNAYLQYKGKDENVDVVDDDGDDLDDEMVDVDIG >KVH95960 pep supercontig:CcrdV1:scaffold_369:196442:196792:1 gene:Ccrd_001961 transcript:KVH95960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFKTVLFCFLFTGIFIFRPISARTVHLSIRPELELDQPLSAHAPESLSSEPAESPVGSDRDGSLGETVHSEKHHHSSDRSVAGGGIIIGGLATVTFAAVYCYIRVTRRKEGENR >KVH95949 pep supercontig:CcrdV1:scaffold_369:94239:96394:1 gene:Ccrd_001955 transcript:KVH95949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 MKISHLFFKTHLNTRLINLIFIFSSLGLILYLLFSSFSILPNTRILLLSDRFSSPTSLDHIVFGIASNAKSWPKRKEYVKMWWKPDKMRGCAFLDVPLENNTVSSDVSLLPPVCISDDTSRFRYTWRGGLRSAIRVARVVSETVALNHSNVRWFVFGDDDTVFFPENLVKTLSKYDHDLMYFIGSNSESFIQNRFFSFSMAFGGAGFAISYPLAKTLAKVLDSCLERYPHLYGSDGRISSCLAELGIGITREAGFHQMDMTGNPFGVLAAHPMTPLVSLHHTDYMDPIFPKMTNRDAMRHLYKAAELDPHRILQQAVCYDRWFSWTISVSWGYAVEVFGNHVLLPDVLRVPATFRPWKKGNLLNTLFSFDMREHNKDPCRRPVVFHMNETISEGDHTISIYKLMAQDNCTSNLGSPRRIEMIKVRSQKLNLDQKQAPRRQCCDVLPSSRHEKLEIGIRQCREDELIRMQ >KVH95950 pep supercontig:CcrdV1:scaffold_369:68032:76880:-1 gene:Ccrd_001954 transcript:KVH95950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLELEDESTTNPCCNEWKNRILKLQKRFERTEKARAALKEAVEIYERQFTAMEVTNLKFKKAYEAEKLQSDQERKDKEKESAARVSLENEISTLKSEILSLSQKGGSVPEHVDNELILLRGRVLDSEKEINRLREQLQKEISVAAADRMKYVEEKKRVDDAWQSSKAEKCRTDEELSNLKARLLTMETEKKQLRKDLQKERARADSEKKRADEALKTAKTDQIGAEEIKAAKKNMEMMRGTVRDPLVDDLSSQKSEDLLLQHKGHLGDQNLDVVTSLQGHVSEMGTEISRLKELLDKERRRADSEIKKAEAEKKKANKVQEMLKAEQSAADEQRRLVDVERKKAEETAHQLERLKCEANEARSKVAPDSSKFKEVNKKLEAERKKSIKEKKKAEKQQKIAELSTKNALEEKHRADRLHQQLEEYQQKYAKLKKEMEETISSRNVVEVPVYAPGKRTFPRELNAGSQGEEKVNGTNTARTVKNHGQVKQKTNQARNYADLEMEKEAEQKKAAETYKLQAMTEKSRADKLAQQLKDTKQRTINAGKDIQDLVSSRNLTDPSFVLIQKDIKAKSAEMKLLKKRLKLEKERVKHANRVAELEKNCTKAAEEELHRLKVEFAQFANRVGLCSCFPICNVGKACLEKNGNVDSKRKFMQTEACPLHLQSGKELMEPTCGVTKFSEYFKPSLDCPAPSLPISGTCTESTSGTASKMEPLLGGSNRKNVDSSALVSSMASFSDRQLVGSQGNCGFFNTKPADRAKENSNLQLPISRLSSEANRTSYDAVVADNNVKSPLRARSKDGNTRKRKRLVNAIESIEHLYAEGKTWHAKIAKNMSALHGILGHMDKPLKEGMHSDYDQHHRKIEKHFEEVTVRTSCKSIEQKEEPRIKPVGDEDVEFIAFENNAVEATHTSNSDLDKLANDVEIFKRMFEGDYMKLLNLDSAVEEERYRAAVECPLSPTLPNIEFESNQSDDLDQSMPSEVNCSSGGLPRVGTCMIPCCSSGGRTKEASYNMSTLKTYGDPVEIFRNDEDGKRTTILVPSACISQDQDSDAVMGILDSGHKGTKSSCGNESGSAYDDSSQYFVVFPEIRDSSSLSKIFHTTRTFTSQCCEISQSDCVVKNVVSALSADEILSSKEKVCAFFSLFLMSFSGVALTNFNRVFDGNFLNNLDIFSGHMGKVMSDVETRTFFAEVCDLDELITLIQDFIIDGKVLVHTDKSSETLSLSDSNVHILLKDESISLSLHKASLHQLVLGAVLLASVCADFDRIESICEASYTISRIASSSTLTILHVFAYICGEKLLRHGDYSLIMTVINSLVIYCERENLSLGFPSCTKCPFFDGAVSMEELASLLLKSLWSYALCAQCEGCSTACCMHEFGIITYKSTTVPDGTLSKLGDVLSLLELLASKMNWGWVCDNIISQLLKLLEACVKETPLSAIFVLLGQLARLGIDANGFQDVEVENIRVKLSSFISGSTSSKIGLPVQFAAVNALLDTMPLSFQEVCNISTELQTLVSPSTPTDCIQKWFSLLSDEQKSSIRLLTSGVD >KVH95954 pep supercontig:CcrdV1:scaffold_369:29944:32702:-1 gene:Ccrd_001950 transcript:KVH95954 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO domain-containing protein LPSSTNSKTNPHSRFHELEPIPDSALDGRLVKNMEHVLNLGGSSPTMLGSFSSPRQCIASQIAYKWSYQSMRINDRLSSLFFDKCRQCTHELHGPQTSRTSIFACQMPARDIRYSKKRKKKPYPIPLKKILEASRADKKLAEMGKEKPLEPPKNGLLVPDLVPVAYEVLDAWKILIKGVSQLLHVIPVHAC >KVH95959 pep supercontig:CcrdV1:scaffold_369:178479:179831:1 gene:Ccrd_001960 transcript:KVH95959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucanase MASSSSFLFTTLFLSLYVCSVISTAKFDQLFQPYWAADHFSFDGEAVNMKLDNFSGAGFSSKSKYMFGKVNIQIKLVEGDSAGTVTAFYMSSDGPKHHEFDFEFLGNTTGEPYVVQTNVYVNGVGNREQRLNLWFDPTKDFHSYSVLWNQRQVVGGWWDRPVLSELNVHQSHQLIWVRANHMIYDYCNDAARFASVPVECEHHRH >KVH95966 pep supercontig:CcrdV1:scaffold_369:242224:260196:-1 gene:Ccrd_001967 transcript:KVH95966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKREEYIMVILYSNTVQMESTTTLVSHTASRLLPQTSRYESHEQWMAHYGRVYTDDDEKEQRSKKEKAHAKKAESVVTTREIRDWHDKMHKIKK >KVH95967 pep supercontig:CcrdV1:scaffold_369:269077:272468:-1 gene:Ccrd_001968 transcript:KVH95967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, histidine active site-containing protein MIKSSLHLRSLFLIPNNTISSTNRTSLACLVLLFFSATLLSYTASRFLPETSGYDSHEQWMARYGLVYKDADEKEQRSKVFHENVRYIDSFNNAMNKHYKLAVNEFADLTNEEFRSTRNRFLAHECSPSTSAFRYENLTAVPSSLDWRKKGAVTPIKDQGQCGCCWAFSAVAAMEGITQLKTGKLVSLSEQELVDCDTSGIDQGCEGGLMDNAFEFILSNKGLTTESNYPYNGVDGTCNSNEASNHAATITGHEDVPANSESALLKAVSSQPVSVAIDASGSDFQFYSSGVFTGECGTELDHCVTAVGYGTSDDGTKY >KVH95969 pep supercontig:CcrdV1:scaffold_369:17211:18551:-1 gene:Ccrd_001949 transcript:KVH95969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLSTTSAAVDTAAAAADLKTLIHDHDQFFDKLIELIPAKFYLPTDEDSKPWFQGLSKGKKASLKQQTRENIKKSRRDRLDPEKAQTTTLDLLKKIVGKNEDSDEEDDDDDEEEEEMEIKVARPQLCSDHFPDLGSVSQARLPIDGVINLCGSMIDFCPSSLTNAA >KVH95951 pep supercontig:CcrdV1:scaffold_369:116414:116827:-1 gene:Ccrd_001957 transcript:KVH95951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYIGKATKIFIFIVAAIVVTGLVVGFGLFGRNIHPKSHKCTGEYCSLPDYSPPPPLQFPFPSVTVPNASNPSDPTFNSDAPPPPPSASPTDPSSSPPLPPPLPVSIVTPPAVATTDPPLAFSPPSPVPSPGPITSS >KVH95970 pep supercontig:CcrdV1:scaffold_369:410:14890:1 gene:Ccrd_001947 transcript:KVH95970 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO domain-containing protein MKKLSTTSAAVDTAANLKRKREDYDKSGGGGGSGSGKENFEVDFEFGKVKLGDEDGSKKKGKKGSKVKELEKAKKLKEAKKEDVVVAKKHSWKAATEKAMGVKVHNDPKLLKRSLQKEKKRREKSSGKWKDRVETVEKMREEKQAKRRGNIEERASQKKMRKIAKREKNMLQCTHELHGPQTSRTSIFKHQWRDGKFKHQWRGGKHGWQDAVVDEVFLPNYVWHVVDLEGPPLKSLLKRFYGKAPAVVELCVQAGAPFACFSTAMKNLSTTSPTVDTAAAAAAADLKTLIHDHDQFFDKLIELIPAKFYLPTDEDSKPWFQGLSKGKKASLKQQTRENIKKSRRDRLDPEKAQTTTLDLLKKIVSRNEDSDEEQEEIEIIVKPVNKSVSYEELRRRLHSWKAATEKAMEVKVHDDPMLLKSAGKWKEREEIVEKMREEKQAKRRGNVEERASQKKMRKKLMRPGFEGRKEGYIVALEILTDFNDCNFALVQCGFKELAFGNVFKSVLVLMPARDIRYSKKRLSHPQNADLSPILPKRKKKPYPIPLKKILEASRADKKLAEMGKEKPLEPPKNGLLVPDLVPVACEVLDAWKILIKGVSQLLHMLATHHHVAFGLFLCSECSEVHVAQTGHNIQNCHGPKNAYRRSFHLWVKGSINDILLPIESYHQYDPFGTRVKHETRFNHDRIPAVVELCIQXGVELXEYXSRRRTQPIRMXGKKVIDXGGIVEPPPKPPHSAETLEHDKYRALERFPPPADSNVVGIAQATLNAYEKMKWGVTKLMKEVHGEGVWDAVVDEVFLPNYVWHVVDLEGPPLKSSLKRFYGKAPAVVELCVQAGALVPRKYRPMMRLDIVVPDNEEAQLKHKTLPFASFSSGMKKLSTTSAADTAADLKRKREDYDKSGGGGGSGSGKENFEADFEFGKVKLGDEDGSKKKGKKGSKVKELEKAKKLKEAKKEDVVVAKKHSWKAATEKAMGVKVHDDPKLLKRSLQKEKKRREKSAGKWKEREETVEKMREEKQAKRRGNVEERASQKKMRKIAKREKKLMRPGFKGRKDLFGNIY >KVH95968 pep supercontig:CcrdV1:scaffold_369:14996:16860:-1 gene:Ccrd_001948 transcript:KVH95968 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO domain-containing protein MLPAFFNVAFGLFLCRECLEVHVGQTGHDIQNCHGPKNANWRSFHLWVKGSINDILLPIESYHQYDPFGTRVKHEXRFNHDRIPAVVELCIQAGVELPEYPSRRRTQPIRMMGKKVIDRGEIVEPPPKAPHSAETLEHDTYRALERFPPPADSDVVGIAQATLNAYEKVKWGVMKLMKKYTVKACGYCSEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDAVADEVFPPNYVWHVVDLEGPPLKSSLKRFYGKAPAVVELCVQAGAPVPRKYRPMMRLDIVVPDNEEAPLAGDPVPRKYRPMMRLDIVVPDNEEAQLVA >KVH95953 pep supercontig:CcrdV1:scaffold_369:34626:49239:1 gene:Ccrd_001951 transcript:KVH95953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSKNDLLHLIKRIGAYLSTKDLRSFWAIAGLAVAVIYTWRVLRTSNGSRSKQPKRPAPAPSTSGFNTHPNENVLPSGVGSSSKDSRAQSAIDEFFQPANQNQATVRSSVLEVLLEITKYSDLYLMESVLDDESEVCMSSYLPFSPFLCKKVLTALENAGVFTSGDLVKDKVLFCSTEKGRMSFVRQLEPDWHIDSNPEITSQLARFIKHQLHIKTEPERIASNVFTSSSLEQFFGV >KVH95958 pep supercontig:CcrdV1:scaffold_369:119958:124979:1 gene:Ccrd_001958 transcript:KVH95958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MKRAVLWNATVYCAKRLGFVENTISNDCDKEGWLESLSKSYRSDDHRGTFLRKLHDRLLRRPCPHPMSTSHSQGNLENSPFMVKHQPIRALLMSPKDLANPYPRPHRKLAETSGAGGSLLIIVVLATVVTTLGIFAMFLFCCVGRDAFKPANGHEASKNGHEASANGQEDNKPLVNLRPKGKKGKLGLSIRASNANKSPSKAAPLPLQSKKVTPRSPPPQPSPPPPPPPPQESPPPPTPTPPPTPPPTSPRATSSPPAPAAPPPPPPPIPSAPKLPPPPPPKPGLPPPPNPSNMKKPPAPGNQKDTTVKEENKQAGESDGTQTKLKPLFWDKVNAVQNRSMIDSNLQAQPKLIQILDAKKAQNLAILLKALNVTTEEVCDAVKKGDLLYHKNARCFCVVQTVYLSSYECTQLPVELISTLLKMAPSQEEELRLRLYCGDVNQLGPSERFLKNLVEIPFAFKRLEVLLFMSSLHEDYNMAKESFATLEVACNKLRSSRLFLRLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLSFVVREIIRYEGIKVARNRNPSTDKTEDPNEETPESLESLGLEVVSKLSEELNDVKKAALVDGENLTATVSKLGNMLKKTKEFMNEDMKTAEAATEFKDAITRFLEYAEADITWMIEEDKRIMSLVKSTGDYFHGKSGKDEGLRLFAIVRDFLKLLDNTCNEVRKKLAIQMRHNQKEAEQSSARNGSEEKGRKRAWQNTRYKILIMRDAMRVILESIYNDDADHETSRMSSLSKEIRHRSLTNGKDGETRYDPEEEGRAPTPPPLPPPPEEGRKRFLLPNIRDKLALLTLNNQRTDDSDGDSDEWSSDGEENEAQRSRTPSDRDEAGEGVWPNKQADDPDSYLNGWNSEDGEMKRDETETSQTRLSLSSEANDDDQLLPATMEDDSNGGGWNSEDDLRRNDA >KVH95961 pep supercontig:CcrdV1:scaffold_369:201705:202760:1 gene:Ccrd_001962 transcript:KVH95961 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MSKAVLFITATILLAVVIAATFGSHHHHHQLPRHPKDSPFDFTSNASQLLRSNGFTFIATLLHISPDLFLSTPQSTIFAIPDSAMSNLSIPPYMTVQLVTYHISPSKLTIHDLFQKPVNTCISTMFQQQKISITKNDEKHRVLEINKVLITHPDMFVQGPVSIHGVGGPFASFKFHQEITELPICNTNQSELSHSTVGGKVNGIKKKAEWGMVVRFLSSAGFTPFALALYSVIDGILKDHPDLNSTTIFVPPIMEMVELPSSLRHKFMRSHIVPKKHSYKHLASLPEGTSLTTLCPGKKIEITETVVNLSDELLVINRVEVTSPDLLLSKSFVVHGIARPFAMEEASSISK >KVH95952 pep supercontig:CcrdV1:scaffold_369:104704:105985:1 gene:Ccrd_001956 transcript:KVH95952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Blue (type 1) copper domain-containing protein MGRATMMRILTSLVAITMLVGLALAVDHDVGSPNGGWDTSTDLGSWASSETFTVGDNIVFSYTPNHNVLEVSEADFDSCSTSGPISTNALTPTTIALTAAGSRYFICGTPGHCGQGMKVEIKTVAASSSAPPTTTTPSPTPTTTPSPTPTTTTPPSSTPPVSTTSPPSSSSDTPSPPISTPETPVPPSPSSAETLKVGAVFMMGFGVLMMV >KVH95965 pep supercontig:CcrdV1:scaffold_369:230606:235570:-1 gene:Ccrd_001966 transcript:KVH95965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MYVHTATSFSECDRSQTTITLATKTNKRDEEEKNERTKQQEIAFDDYYVPANSESALLKAVSSQPVSVAIDASGSDFQFYSSGVFTGECGTELDHGVTAVGYGTSEEGTKYWLVKNSWGTSWGEEGYIMMQRDVDAKEGLCGIAMQASYPTA >KVH95964 pep supercontig:CcrdV1:scaffold_369:219846:220964:-1 gene:Ccrd_001965 transcript:KVH95964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRDVDAKEGLCGIAMQASYPTA >KVH98857 pep supercontig:CcrdV1:scaffold_3691:49619:55312:-1 gene:Ccrd_022914 transcript:KVH98857 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MREMEPKGISLGRRGGGGVNNGKTGDGFIDRSKVRILLCDNDSKGSGEVFTLLCKCSYQVISVKSPRQVIDALNADGPNIDLILSEVDLPMAKGLKMLKYIMRKELQRIPVIMMSAQDEVTFVVKCLRLGAADYLVKPLRTNELLNLWTHVWRRRRMLGLAEKKIMICEFDLVPSDLSDVNTNSTMFSDDTDEKSRKSAHPEMSVSTHWRDEVKLICIKIGYSITYRLSSYMGSACIHSLTFSTQINVMLAPGSADTQLVRLSNARSNVPETNDHLRGQSSAFFTYVKSSMSMRTAPHVSVSVSETAPPSRIHKEPGAHAGEVDGLATSLTDQNVQQHLCIEKHGVGNSRGHYHSQTLENLPRIDDGPSSNSFPDSLSLDESSTPPLPTECSPQMNSKMEEFPKEQMQQLRNNSHSHPNISGYNPLPPYPYYLQGAINQVMMSSSSMVHNHGNTAMLPQYNHGPPHYPPHHMTSFPYYPVNLCLRPGLMGPTVHPWSSYGSSSSNEGKLKTVDRREAALLKFRQKRKERCFDKKIRYVNRKKLAERRPRIRGQFVRKVNGINVDLNGHPTSVDFDDENEDEEEDQTCRDYSPE >KVH98856 pep supercontig:CcrdV1:scaffold_3691:25088:43711:1 gene:Ccrd_022913 transcript:KVH98856 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MATTSEIPKPKSELLPSIVMAYWLPAILFCASMFFQLVAIPRSFPPSHYDVLGIKSYGSIEQVNEAYRQLSSKWNTGKGPSSTLDMIKSTVGDSVALQIQYAFEVLSNPVWKRDYDIFGLDEHLHVMERIKEKYSSAEFADIHLPLLEPTSFESDQAFDVISSENYISKLQNSSTLLVQVFSNGSSRCAQFSSPWKQIASLLDGIAQTGMIEIGDLKLATYLSEKRYTGAPFFRNGLPSLLAFPPGCKTSDCVVRYTGELSVDAVTDWFATTILSLPRITYYSRESLAQSFLEKGSRHKDSVPLRSCAKLLKLIGLMPHSPSQFGEKRNLSFGQIRSINNSRLIEIMEQNKHQVLPQLRSATSMELGCDPKGYSRAGNDTTVWYCAVLLGRQSAELHKMRETMRRVQEILSNAGEVDQLDQHQRTTSATVALKEKRLTFAWVDGEAQKRFCLFYVNVEDSYDTCGPRRDIADVPRLVLVRYKRNDTEVNKKVDNNSINMFASIASRDVDPTSQLVALYKGLDEIPQIIKWISETVRDGDSRDLPFHRTSTPELVPEDADPIWSRGREQILSSSRGMKQKLGTIPERISDLMSDPRLGPMLLLGALMSFGFIYLRRNQLIKSKEANNSNQPKSAIPFTDSDSE >KVH98858 pep supercontig:CcrdV1:scaffold_3691:56263:56880:1 gene:Ccrd_022915 transcript:KVH98858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMLPIICGSINISPSTSRKPYSLEEACTVWEGVLIDKKEESTSTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSSTLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKRWLGKRPVIRGVVMNPVDHPHGG >KVH85789 pep supercontig:CcrdV1:scaffold_3693:16945:47675:-1 gene:Ccrd_025426 transcript:KVH85789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWSVGGPIGGKILSGFRRRIDGATVVATRRVKEDGDSCGVRRRRAALRLVDRQLEKSNFKLALSLVKQLQRQPPPAGLRGFAGARQVPRRVLSVQELHLSVTETSALQSLFDSILDLIMSSLESSPLEEGRSVTSEGDDSYLEDDIIEHKQVVQHEAGHFLVGYLLGVLPKKYSLFSMEDMKQDNIVDASVKFIGFEFLTKLEDGVLSKNLQVKPGHQLLCVIVGGLVAEHLVFGYSKGHHADVEMLFVDNLRRTAFRIVHFIKQLKRVLKQSTEDEANTLIRWCVLNTLVILHHHGEARSMLAEAMAHGRSIGNCIDIIENTLKDQHI >KVH99481 pep supercontig:CcrdV1:scaffold_3694:44214:47883:-1 gene:Ccrd_022285 transcript:KVH99481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLQIKKIENTTNRQVTFSKRRNGLIKKAYELSVLCDVDVALIMFSPSGRASIFSGNRSIEEIMARYINLPEHERGSSMEVQSTSQVALPQEAINVNNMVTTNPSCILDWLPPRDQQVQIMNFMNFNGLLPQRQNEHVNRAMEDGNTANVQRPEFGQVIDMNLSPWTQFYPTGNGPMVMAHPGEWPFTEIFLPYDQT >KVH85774 pep supercontig:CcrdV1:scaffold_3695:35495:42598:1 gene:Ccrd_025427 transcript:KVH85774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRDDLCTSSPGLSTSSKPFLITTVGIQYNLMKAPSSESGSITNLSHHPQTLDVFGSANGTQRQMQGGGRPLQFHPFRLSPLRRLSLSSLCSQPSIGNAKPSTVNSTTGTPS >KVH85773 pep supercontig:CcrdV1:scaffold_3697:26328:32787:1 gene:Ccrd_025428 transcript:KVH85773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTQLDEETVKKVIRQVEFYFSDSNLPRDNFLRNTIAESEDGMVSLSLICSFSRMRGHLGLGEIKAEDVSEDTVEAVAETLKKSTTLKISEDGKKVGRTTELPKPEEAIDQLDGRTIAASPIEYDIKLEEVESFFGQSTKVNSVRLPRHVADKRVFCGSALVEFSSEEDATKVLTQCLVFRGAELELKHKKEFDEQRAKEEEAENTRRNMFPNRKNNSPEEDYPKGLIVAFKLKSMPCEGASADNDNLVKSSDATDVQVTDVKPDSMELVAEDTDKKGSGNVERDSTESVEEESEPKVDVKSSSENEEVEDKKKSPDSMLQNDEKKTETKKLSADMYKDNKDVVLREDLKSVFQKFGTVKYIDFKMGEESGYIRFEEADGTQKARAAAVLTEEGGLTVKNYVAILDPVTGEAEKEYWNMLRGQEKFRGNKGNWSRGGKNNRGGRQFNGKHNRSRENNSGNRPNKVHKVAAA >KVI11759 pep supercontig:CcrdV1:scaffold_37:494422:500393:1 gene:Ccrd_009833 transcript:KVI11759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged helix-turn-helix transcription repressor DNA-binding domain-containing protein MEGGGSLDSQIESLLNVEKQMRLNGDVAGTKKAAIDILKLCFESRAWKTLNDQIVVLSKRRGQLKQAVTAMVQQAMQYIDETPDVETKIELIKTLNSVSAGKIYVEIERARLIKRLAKIKEEQGEIAEAADLMQEIAVETFGAMAKTEKIAFILDQVRLCLDRQDYVRAQILSRKISPRVFDADASKEKKKPKEGESLVEEAPADIPSLLELKRIYYELMIRYVTPYCAYFLICIFICLPIYYSHSNDYLEICRCYKSIYEIPAVKEDPAQWIPVLRKICWYLVLSPHDPMQSSLLNSTLDDKNLSEIPHFRSLLKQLITMEVIKWTELWNTFKDEFENEKNMLGGSLGDKAGEDLRERVIEHEAEKHLSDMVVSKALVAKVDRPTGVVCFQSAKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMVHKAALKV >KVI11772 pep supercontig:CcrdV1:scaffold_37:312627:314954:-1 gene:Ccrd_009824 transcript:KVI11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSLRPANGGFLLQKPSSSSFSNNRHSATAIGREIDSGLGIRRNKGESFRVAVANPNVTIASGKSRKEVIMVDPVEAKRLAAKQMPLTEHGLCLALQQAWSLKAKLEMASWRSWLDTGQPWLVFLLDNALGSACRALHEPICCQKL >KVI11762 pep supercontig:CcrdV1:scaffold_37:227482:232268:-1 gene:Ccrd_009816 transcript:KVI11762 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MESSGPEPVVNKVAVRSSVIESIKGWSLSGIRIHKEELRQKLTMPEYLRLAIKDAIASKDIDAGKRHYDFSTIGHDKPPHIAPESPLVVFINSKSGGRYGPELKARLQDLMGEEQVFDLLTVKPNEFIQYGLGCLEKFSSLGDGCAKETREKLRIVVAGGDGTVGWVLGCLGELHKAGRDPVPPTAIVPLGTGNDLSRSFGWGGSFPFNWKAAIKKTLDKAIRGPISRLDSWSLLISMPAGADLDTPYSLKRTEEVVLDQDLKVEGPLPEKVSCYQGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPISNKIIYSSYSCKQGWFFTPCIADPGLRGLNNILRLHVKRLNSTQWEPITIPSSVRSIVALNLHSYASGRNPWGNLKPDYLHKKGFVEAKADDGVLEVFGFKHGWHASFVMADLISAKHIAQATAIRFELRGGTWKEAFMQMDGEPWKQPMNNEFSTFVDITRVPFQSFMINGE >KVI11741 pep supercontig:CcrdV1:scaffold_37:297299:306994:-1 gene:Ccrd_009821 transcript:KVI11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MVMPTFLRKIFHRVRVGFFLHNPFPLSKIYRTLPVRDEILQALLNCDLIGFHTFDYARHFLSCCSRMFGLDYKSKRGYIGLDYYGRTVSIKILPVGIHMGQIESMKSSDQTAKKVKELKKMYERKIVILGVDDMDMFKGISLKFLAMGQLLEDYPGLRGSVVLVQIVNPARSRGHDIQKVKTETMKVANEVNQRFGQAGYSPIVFVNGPVSTHDKVAYYAIYECVVVNAIRDGMNLVPYKYTVSRQSSPKLDTALGIDESEDRKSVIIVSEFIGCSPSLSGAIRVNPWNIDSVMEAMNRKSVIIVSEFIGCSPSLSGAIRVNPWNIDSVMEAMSLAITMPDNEKKLRHEKHYKYVSFHDVAYWARSFDQDLERACKEHFHKQCWGVGFGLGFRVVALGFNFMKLSVEHIVSSYKKTNSRLILLDYDGTVMPQALVDKTPSKENVVFIVSGRGKDSLSKWFDSCKNLGLSAEHGWLTRWSSDSQWESCGGLAEDVNWKKVALPVIEHYTEATDGSSIEQKKSALVWHHQEGVSKGVVVENLIASMQSKGKPLDFVLCIGDDRSDEDMFEKMASSVANLSLQGSVEVFACTVGQKPSMAKYYLDDTMDVIQLFHGLEAASSQLPKITGVGVSFETSV >KVI11749 pep supercontig:CcrdV1:scaffold_37:694648:706834:1 gene:Ccrd_009843 transcript:KVI11749 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MATETLKQFHRLPQSTYVDALRFLPPLSAFDRYVVVASFNSDSDETSIEIHALNPSTPPILTLQSSFTLSSRISSLKVAQKSLQNPLIAASTFAGSLHVLFPNPIDVSFESEVSIPEKTLHLGPITCLDLQENGSACVSVGEDGRVNLISVGDSGLDYRRIFDSKGLVSYTASRWASPTEFATGGLGNSLQWWDQRRPGGPVSQFKGNWSQGAASGVVHSIDIHPSRKHTCLAGGSYGTVFAWDLRRPQQPIVLSGIDAGEPMSSSSISESEVWEVQYDTFMSASNRANISSERVLPVMICSEDGILAVIKQGDEPTELLAENCAINSFDIDRQNPSDVICSHEWESIAFLTRA >KVI11773 pep supercontig:CcrdV1:scaffold_37:548650:559778:-1 gene:Ccrd_009836 transcript:KVI11773 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MASVRRLVPLRASFLWANFPASGFRQGFGEGGFRQIATISSDPSVRNLVEEERVPDVGWLDSHDEVAKVREKFNLAKQRFLNIPDALERMPKMNPKGIYVNKNLRLDSIQVYGFDYDYTLAHYSPSLQNLIYDLAKQHLVNELRYPDGCLASKYDQSFPIRGLYYDKLKGCLLKLDFFGSIEPDGCYFGRRKLSRKEIEELYGTRHIGRDQARGLVGLMDFFCFSEVCLIADIVQYFVDAKLEFDASYIYQDVHRAIQHVHRSGLVHRGVLSDPHRYLVKNGEFLSFLKMLKENGKKLFLMTNSPYYFVDGGMRYMLEDSLGCGDSWRELFEVVIAKANKPDFYTSEHPFRCYDVEKDNLAFSKVDEFLPNKIYYHGCLKTFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELEHEIKIQNEDGYRFAQAKFHIIQELLGKLHSTVSSSYSCDAFKSLLGELNDERQNARRTMRNMFNESFGATFLTDTGQESAFAYHIHQYADVYTSKPENFMFYQPEAWLHVPYDIKIMPHHLKVYMFRQACSRVDVWLGVVREYKEENTNGGCKAVGGGDKFWEVWICIAINSFNDSLIL >KVI11770 pep supercontig:CcrdV1:scaffold_37:407672:412130:1 gene:Ccrd_009829 transcript:KVI11770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MAVLTLPHCFPLQSVNGRNKGELKQSKCGGRWWCTVAAQQQELCTQFERIRIDKSALKLEEACSDAELWATSLLRVRSFYDFKSSFGIEDHKKYLAEHEFEALKERLAGTKEGQKVSCINATLPISQTRNFPDELCSSSKFSDNGEDRVVVGTLDLNRCLSLPNEITGIKPKGIEGDIARGYLSNVCVVKECQRNGLGLLLVEKSKSVAQDWGISDLYVHVAVDNNAARNLYTKCGFTYESEEPAWQARFLDRPRRLLLWIGIPNH >KVI11750 pep supercontig:CcrdV1:scaffold_37:675810:692707:-1 gene:Ccrd_009842 transcript:KVI11750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKASRGKPHKAKSEKKKKEEKALPTVVEITIETPDGSGLMLKGISTDKILDVRKLLAVHVETCHVTNYSLSHEVRGRRLKDTVEIVSLKPCHLTVVEEDYTEDSAVAHVRQLLDIVACTTAFGGSFNSPKNTNVSVRSGSIAKESGSGDGQDKKSSKRDVSIGFDPSDAAEIYPPPRLGQFYDFFSFSHLTPPIQYIRRSGRPFLEDKTDDDFFQIDIFMVLLVYLRATEMSCMDVYSYMQVRVSGGKPMTIVASRKGFYAAGKCALLSHSLVGLLQQMSRIFDGAYKALMKTFIEHNKFGNLPYGFQSNTWVVPPIVADNPSVFPPLPVEDQNWGGNGGGQGGDGKHDRRQWAKEFSILAAMPCRTAEERQVRDRKAFLLHSLFVDVSVLKSVAAIKQLTHSSKSSSNGLLDSFSHEDKIGDLLIRVTRDVPDASTKLDAKIDGSRVLGLSPEELAKRNLLKGITADESATVHDTSTLGVVVVRHCGYTAVVKVAAEVNWDGKPIPQDVDVEDQPEGGAHALNVNSLRMLLHKSSTTTLSSIAAQRVQVADVEDMHSANIVVRKVLEESLQKIQAEVSKATKSIRWELGACWVQHLQSQDSGKPDYKKAEEAKVEPDVKGLGKGLLKGIKKKSEDKINKTEEEVSTSNNTDMINKLDNHGKEETIKMDEEKEMLWRKLLPEAAYMHLKESDTGLHLKVELADKLPHVQSLCIHEMVVRAYKHILQAVIAAVDDIADLAGSIASCLNILLGTPNTSNVDSVTSNADELKWKWVESFMSKRFACQQKNEFHRTLRKFAILRGLCHKVGLELVPRDYDMDSAYPFKKADIISMVPVYKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGHEDLRTQDATAWLEYFESKALEQQEAARNGIPKPDASISSKGHLSVSDLLDYIAPDADMKARDVQKKQARAKVIKGKAGQQEELLSDELQEDEVLSPSRENSSDKENKFELRNAQSVKKTNLVQPEQIQREQNGYLAEADNSDEGWQEAFSKAGRKSSTSRRPNLAKINTNFMNVSQTSKYRSKHTNFTSPRTNTGESAVTKKFIKTGSSPNTTQTSGSDKPTNPKVNPSSPASNDQTVKHSPVISSISVKEAGKLFSYKEVAIAAPGSIVKAVADQLPKGNSPVSIAQMTTEEAKKDKDEVHVSNNEQKTAIDDKDKPEVEMVIEVESVEKTEKGIESAVVENLDPKVEISKISADSPCDLEPISALVQADTNGIVADEDEKTPSTEGVTLTETAKEVTKRLSAAAPPFNPSNNPVFGLVTMSLKDHGGGILPPPVNIPTMVTINPVRRTPHQSATARVPYGPRLAGVYNKSVNRVQRNKPILYSGGELVADGGHLSPPKVMNPHAAEFVPAQPWVSNGYPIAPNGYLVTSSGYPISPNGYHPITTTNGFLAGQNGYPVYPVDSIESPVSSLDSPSILTQEAGAETSSESVDENESVVEKTCEETQSAIDEKPIRTRTVVEEIQSEIKEMATEIDSQSSEVVSMSKSSMSATDRKPINGEAEMVESISDYVSHPSCSLDALCGQSLPQVHDHERCSSVGKSTRHDENMEDLMTMASNIKSTRLPFLRREEGEDGEKAKGGDGGEVKETGERVAGESVEDGSEERGDDHEGDSSVVETPEEEVEAFGVTSEE >KVI11746 pep supercontig:CcrdV1:scaffold_37:351010:351943:-1 gene:Ccrd_009826 transcript:KVI11746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MGLVIRALILIGMVACLTSIAHAIAGEATYYTVYKPSSCYGDEDHGNMIAAVNSGLFANKAACGRRYRVRCTGPRSCKGGTVDVTVVDLCPGCGPNGIDLSQEAFSVIADPSVGRINIDYTQI >KVI11771 pep supercontig:CcrdV1:scaffold_37:388139:391751:1 gene:Ccrd_009828 transcript:KVI11771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein SGERLVSAARDGDIQEAKALLEYNPRLAKYSTFGGRNSPLHHSAAQGHHEIVSLLIESGVDIDLRNHRGQTALMQACQYGHWEVMLTLVLFKANIHKKDDLNGGTALHLAALNGHSRCIRILLVDYIPSIPDFYKLIKKRSRRIDEFVSTSNEGNSLYEIINRPADGGLTALHMAALNGHVDSLHLLLDLGASVNKILIARGANITARNAKGWNPLAVARSWHRDWLEEVLSTPPQDGPTTLLSPYLCRPLISVVKIARWHALYVLITGCLHELCTRCALYLCSTSNTSSSPNGPPGSIPCPLCRAGIVSFKKLASTKPPLQTSKSSSSLSCCSCSSMAGGSNRTALETTPLCKPKLSSRSLRSQKFPSFKLKTNLCMQGLDTIPSLIRRSTERPSLRAQLAKYSRSSFRWSNSHSKSRRSWFCSLNPSVETPS >KVI11745 pep supercontig:CcrdV1:scaffold_37:368544:369920:-1 gene:Ccrd_009827 transcript:KVI11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKILLSPYDRPYYFTQPPPPPPSPPPPLFPAMASTTSSLNNKVSPSVLLIIIILAIIFFISGLLHLLVRFLVKPSTRDPDEFDNVTALQGQLQQLFTLHDSGVDQSFIDALPVFTYKSIIGVKNPFDCAVCLCEFEGDDKLRLLPKCSHAFHMDCIDTWLLSHSTCPLCRANLLSDYSPNSCSPFVLVLESGSVESSREIVADPTIQRVNSHLSSVDGEESEFHLSTNSVENEGKEEENKEKVVTVKLGKFKNVDVDGGGWEDGGEKSNIDGRRCFSMGSFEYVMDDNSSLQVSIRPQIKKQAYKKSNLPITPGHRPAMSECGGDSRRDFKGIEAYRSISGGNGGGSIRRESFSVSKIWLRGKKEKPNSNTAATIDEQPSSTGTFSLQFLLRQNVAGDELKVSEVGCDDQETQSCHSGDPPPTAAANPPSFAKRTLLWLMGRQKNNVVHSSSSTNV >KVI11761 pep supercontig:CcrdV1:scaffold_37:202481:202948:-1 gene:Ccrd_009815 transcript:KVI11761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQAASSMAPSSSQEPFQRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGDMDNSGEGERDLEAGDSKPNDHDKDPPVLEEKYLVIMAGQAKPTFLATPVSSRASSFGSCSSRDDSTSSTEKSSPSEEKEKEKQGMNTENQETADQVP >KVI11775 pep supercontig:CcrdV1:scaffold_37:513604:514908:-1 gene:Ccrd_009835 transcript:KVI11775 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MPPLEEELFPSTPGKIKIHHHTMSRAIQRCFTSTSTMFLWALFLIALMASYMSIQSFVDSGSRYLHHTNSRVHGGLVWEKQIRSSAQIRRSNGISVLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNDYYDPSLKKARCALLDSHNIFVVDGDINDQRLLAKLFDLVAFTHVMHLAAQAGVRYAMENPHSYVHSNVAGLVTLLEQCKSADPQPAIVWASSSSVYGLNDKTPFSESDRTDQPASLYAATKKAGEAITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCVASLDTSGKSTGSGGKKKGAAPYRIFNLGNTSPVTVPALVSILEKNLKKKAKKNVVEMPGNGDVPFTHANISLAQRELGYKPTTDLPTGLRKFVKWYLSYYGYDHSLPVN >KVI11776 pep supercontig:CcrdV1:scaffold_37:33403:38861:1 gene:Ccrd_009809 transcript:KVI11776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MNVISLCTLEPYLLNRAVGAFIESTHDESVVVKFREFVPGILNISRQCLASGEENIAIIAFEIFDELIESPAPLLGESIKAIVQFSLEAMQIISSLVRYKSNSLKKHNLIIPILRIMCPLLTEVTTNNDEDDDLSPDRAAAEVIDTMSLKLSKHVFPPVFEFASESSQNVYPKVREASVMVLGVISEGCSELMKEKLEPILHITLGALRDPEQVVRGASSYALGQFAEYLQPEIISHYERVLPRILRSLQDATDDVKEKSYYALAAFCANMGEEVLRFIDPLMEKLLATLQNSPRLVQETCMSAIGSVASATDKAFVPYVERVLESMRTFMLLTKNEDLRARARATELVGIVAMVAGRERMEPILPPFIEAAISGYGLEYNELHEYTHGFFSNVAEILEDGMVRYLPYVVPLAFSSCNLDDGSAVHIHDSYEDEDVGIAGVSSDDEAQDEPRVRNFSIRTGVLDEKAAATQALGLFALHTKNAYAPYLEESLKIMVKHSIYFHEDVRLQAVTGLKPLKILVIADILTAANAFLQGRNVHEVADSVMTIYIKTMNEDEDKEVVAQACMSVAGIIKDFGYVAVDPYMPRLVESTLVLLCQKSACQQVESDSEINPGPNELLMDAVTDLLPASAKALGSHFALIFATLFDPLMDFVRESNSPQGRTMVVACLAEVAQHMGAPISGYVDKVMPLVLEELASSSATNRRNAAFCVGELCKNGGEFGLKYFGDVLRGLYPLLGESEPDHAVRDNAAGAVAKMITSHQDSVQLDQVLPALFHVLPLKEDHEESIPVYSCICSLVLSSNSQILKLVPDLVRVFAQVAISPLETQQVKLQIGGAFSHLVSLYGHQMKPMLRSMPPTYARALAAIVAQSLQSSSATATCPSTT >KVI11743 pep supercontig:CcrdV1:scaffold_37:307129:307673:-1 gene:Ccrd_009822 transcript:KVI11743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSSFNLLNPDDYSCAVDPRIPRFMTIPGILSEFDEDNIGKFNGKNEAHHSSGVISSVTPKRRIVVSNQLPVFEYDPDALVLQLKFGFGPDVEVVYVGSLSVDIDPSEQEEVAQRLLDKFRCLPTFLFLESRINFIMASVSITYGLCFITCYL >KVI11765 pep supercontig:CcrdV1:scaffold_37:87120:93789:1 gene:Ccrd_009811 transcript:KVI11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase MVAMIGAARRQRYIDYDQHPQPNAILLLGLNEMINMKAGKFLLFLLCVIAISNTVICEAPPTCPADLDGGCGSDDSGEWEGEFFTGIPKIVYEGPKSKNPLAYKWYNANEEILGKSMKDWMRFSVAFWHTFRGTGGDPFGAATKMWPWEDGTNSLAMAKRRMRANFEFLEKLGVDRWCFHDRDIAPDGKTLEESNANLDEIVALAKELQGTKIRPLWGTAQLFLHPRYMHGGATSPEIGVYAYAAAQVKKAIEVTHYLGGENYVFWGGREGYQTLLNTDMERELDHMARFFEAAVAYKKKIGFKGTLLIEPKPQEPTKHQYDWDAATAANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARINGLLGNVDANTGDPQIGWDTDQFLMDINEATLVMLSVIRNGGLAPGGFNFDAKLRRESTDVEDLFIAHIAGMDTLARGLRNAAKLIEDGSLEKLVRKRYQSFDSELGAEIEAGKADFDMLEKKAMEWGEPKVPSGKQELAEMLFQSAM >KVI11753 pep supercontig:CcrdV1:scaffold_37:727210:732685:-1 gene:Ccrd_009846 transcript:KVI11753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 MSLKSSGVSEGVIQRGKLLVHIAENGHSIELNCDEYTLVEAVQRYLDSLSGIHSNDQLLLYLDMKLEPQQPLSAYKLPSDDKEVFLYNKAKMRTNSTPPQPEEVEVAESIHPDPTRPSSSTDPHPLDEALDPALKALPSYERQFRYHYQLGDAIYRRTLLKYETCERLSREQRVQEKALEIARGNLDHIFKMILHNYTDFVKRYSHQQRAHSNLLVNFWRDLERLRSIKLMPMLQTANRKCLSDFVKEENLRKMVEDCSSSHRQFESKVGEFKQEFQELKGSTEDLFSSEASIISRDLGRTIKEHRQYINEQKSMMQALSKDVGLVKKLVDDCLTSQLSNSLRPHDAVSALGPMYEGHDKNYLPKMQACEHAISNLFMFCKDKKSEMNIFVHGYMQKIAYIQYTIRDVRYKFSLFNEAINRQNDQFEHLKVVRGIGPAYRACLAEIVRRKASMKLYMGMAGQLAERLASKRGSEVRRREEFLRVHSSYIPRDILALMGLYDSPSTCDVNVAPFDGDLLDLDISDLDHYAPEQLAGLSFRKGLSSMSESSVDSDDFVEASDLAEIAGTNKLEVENAKLKAELASAIALLCSFSLEMEFESLDEGKVDNLLKGAEKTTEALRLKDEYGKRLESILKSKQMQCESYEKRIKELELRSSDKDGSFSTGKIGDSKSEISGDGEAHMRSASSEPMDEASYGYSSLLAKMGGDKNGKSFDDNMTDSSGMVNPHLDSSMQEPNRDESHVNEKDDREEIAPDVGMRLANSSTADNMLQLPKTCDGVGQSNDDLVVELQNILKEKSNQLNEADDKLEAAMEEVAKISRELEISRNLVDECQMNCAHLENCLHEAREEAQTHLCAADRRASEYNVLRGSTLRVRSLFERLKACVSSGGIAGFADSLHSLAQSLAKHRAELIDQYSKAEASYEQLTKELDDKKELINTLYMKHQSEKQANKEKISFGRIELHEIAAFVPNTAGHYAAINRNCGHYYLSPESAALYTDNLPHHPTYIVGQIVHIERQTVKPSPVEAEHNSDSTQSALSSGSSDANPYGLVVGCEFFVVTIAMLPDTAIHRPPAAAAS >KVI11769 pep supercontig:CcrdV1:scaffold_37:262157:267785:-1 gene:Ccrd_009819 transcript:KVI11769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecY MLLTGRQIASSWSLSCNLPTQSRTSIHTSRNLRNNSSICRATLSVHGKSNALLSLSSSSTSCESSNFDPLGINSGKLSGLNSAWESVLGLFAETFDSTSAAIEDTSIDFGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSILSTLDTFSGGGIGRLGICSLGIVPFINASIVFQLLTQIYPKLQELQKREGEAGRKKILQYTRYASVGFAVVQAIGQALFLRPYVDDFSSQWVLSSVILLTLGSVLTTYIGERITDLKLGNGTSLLIFTSIISYLPASFGRTVAEAFQDGNYLGLLAIIFSFFLLEAERKIPINYASRYSSKAGGLQKSAYLPFKVNSSGVMPIIFSTSSLALPATLARFTGLNVLKNAAIGLNPGGAFYLPTNILLIGFFNYYYTFLQLDPDDVSEQLKRQGASIPLVLSRISVLGSVFLAVLAAGPAVVEQTTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYEIDKDMTF >KVI11768 pep supercontig:CcrdV1:scaffold_37:257137:260194:1 gene:Ccrd_009818 transcript:KVI11768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialidase MPKLGFVIVLVFFLLLALLTVMSNFKIYKPLGVCRNTCSLAHELVKSDMIILHSQIWFRRFRVLSGRNLTRMEEDLDTKTDQSPWEPLLEEFTFAKNSAPFNSCHASTIVEDGYWHPPVIADEQDEVPMWNPVLFKLPSNELLLFYKIGQEVQKWSGCMKRSYDGGITWSEREQLPSGILGPIKNKLSDGRVMLAYNTVSRAVLKVAISADDGDSWKDVVTLEETEGMEFSYPAVIEASDGRHVVLQPKMVQ >KVI11748 pep supercontig:CcrdV1:scaffold_37:447752:454231:-1 gene:Ccrd_009831 transcript:KVI11748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MIMTNTAPLFAWHWQNLGTLKYMLLGPLVGKVVHSRLQGHDDLASNWCFHILLMSFLRFHLFMWYTNVCNMLFLTRNRRIFTQGVDFDQIDKERNWDNFVIFQALVASVAMYLLPDGFANLPLWETKGLVTLLVVHVIVSEPIYYWVHRLLHGNYLFYPFHHFHHSSTVPQPVTAGSTTFLEELLVVIVIGLPILGCCLSGYGSKTVIYGYVIVFDFLRCFGYSNVEIVPHWIFESFPLFRYLLYTPTYYSLHHSEMKSNYCLFMPLYDAMWNTLNPNSWDLHKKITQEAGKSTTVPDFVFLAHVVDITSALHVPFVFRSFSSMPYSVRLFLVPYWPFTFVVMLVMWARAKTFLLSFYKLRGRLHQTWVVPRFGFQYFLPFACNGINKHIEDAILRADKLGVKVISLAALNKNEALNGGGTLFVKKHPNLKVRVVHGNTLTAAVILNEIHDNVKEVFLTGATSKLGRAIALYLCRRGVRVLVSLAHSFVVPPIFAFRRDCTYGDLAAMKLPDDVEGLGSCEYTMGRGIVHACHAGGVVHSLEGWSHHEVGALDVDRIDVVWKAALKHGLQPVSSLPK >KVI11747 pep supercontig:CcrdV1:scaffold_37:418940:420453:-1 gene:Ccrd_009830 transcript:KVI11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MHTSSPLKKEFLKKWMEGLQICCSSKKQMNVLERKKKIKLSADIAMASAKKTPTSWSNALISYARRNEEYMILTDQLLGPESQFNLQKSTDRMIGFHKRVQCKKILKRRNTYSAGKRTKKKTGHPRLISATLIAKRLVKKRTKVLKRLVPGGESMDEFSLIKEALDYILSLKVQVDVMRSVVTATEILSNEKSLKSVE >KVI11757 pep supercontig:CcrdV1:scaffold_37:502287:505031:1 gene:Ccrd_009834 transcript:KVI11757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L44e MVNVPKTKKTYCKSKDCRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KVI11774 pep supercontig:CcrdV1:scaffold_37:569326:569532:1 gene:Ccrd_009837 transcript:KVI11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTGNTRGRRRRPYKEDAVIDLSMRTYWCIVRSEDFNAMKHVMATLWKPRKSVYVKELEENL >KVI11752 pep supercontig:CcrdV1:scaffold_37:644512:647434:-1 gene:Ccrd_009840 transcript:KVI11752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLLKQRLKIDEGTKMNTNSVVVTKAKRTRKTVPRDSPPRRSSIYRGIDGRVDMKLIYGIKTVGTSAYDEEDAAAHAYDLAALKYWGPDTILNFPLMTYHKELKQMEEKAADFLVEFRNIEELQAYDMAAIEYRGLNAVTNFDLSRYIKWLRPDNNNTVEVPPNPNINIIDASVTPSANDDIGLNFLHNQPPQPVVEMVSPTVTATMPYPSRSTTATSALGLLLQSSKFKEMMEMTTAAEYTSTPSNSTIVPPCNKFPEEIQVHFESQDFAGYNGGDDFIFGDLNFMHNMLHSDFDK >KVI11754 pep supercontig:CcrdV1:scaffold_37:722711:724801:-1 gene:Ccrd_009845 transcript:KVI11754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MKKSAEGFCQDQHDCKDDSRTSKKRSAAASLKLGAARGTNSEKQVGLGEQSDKSHQSNPTQVSKLQPVPVMKRKIQKTAGAAPKVASAYNLRSRDQKSEGAKDPPAYNLRSKVRKTEGENASTIQKRKTPKRDSSKDSSVALQKKGILKTELQKTSSPKSSAPPIKKIGTTKPLTTSLAGHDKNQPSSSQYEPYFREPIFHIRWEGDMENGPFGQNCILCNKDLSGATEDDDSELNDDFEYEDDDDDGEYEYYDDLTPPLLPAVDILPCGHAYHTECLQHGTHEKQSSDPQCILCSRMA >KVI11751 pep supercontig:CcrdV1:scaffold_37:673120:676358:1 gene:Ccrd_009841 transcript:KVI11751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hly-III-related protein MKRRGGKDKGGVVNRFISSESRNMMLKGRSGKRLMKFDELPEYLKDNEFILDYYRCEWPLKDVIGSVFMWHNETLNIWTHLVGFFIFLSLTVLSSLSKEKVESLIASFYSTHGFQEPTMTSAMTKANGSVASMLVPESLVGEILRPSIVLINNPIPKWPWFVFLGGAMGCLICSSISHLFACHSERFNLFFWRLDYAGISLMIVSSFFAPIFYAFTCHPFSRLFYLSSITTLGLLAIFTLLSPALSAPRYRSFRATLFLAMGFSGVIPASHAVFLHWEDSKILVALGYEVMMAAFYSLGAMFYVRRIPEKWKPGAFDIAGHSHQIFHVFVVAGALAHAAATLVIMNLRQTLPAQCIEGATW >KVI11763 pep supercontig:CcrdV1:scaffold_37:247606:255918:-1 gene:Ccrd_009817 transcript:KVI11763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized domain UPF0066 MASSTEISKWLTLSITLTFATVSTSAAISVYFWSKRRATDLKDKIIKELQNSLNESMGKCAAERQGRIRAQQDLRKAMLKPKTDKLEMSYPMAPIGIIRSCFSTRNGTPRQPLIVPLARARLMFDTARVPPASLEGLEEYSHCWIIYVFHLNTDLDKLWKHPSRSKLKAKVRVPRMKGEKMGLFATRSPHRPCPIGLTVAKVESVQGTSILLSGVDLVDGTPVLDIKPYLPYCDSIKGAVVPDWVKVDDLLAVASVDFSDDFFATLTGIWPAMDKKSLYTSAEEFKRLIKQVLSWDIRSVSQRSQDEEEGPSQVSSNDTIYHLNLEGLDVTYRITSNANVLVDDVTLSPNTN >KVI11777 pep supercontig:CcrdV1:scaffold_37:17345:17701:1 gene:Ccrd_009808 transcript:KVI11777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAQSLELLLLQFLMPDNEARRQAEDQIKRLVRDPQVVPSLIHQIRTAKTPNVRQLSAVLLRKKITGHWPKLPDELRHLVKHYLIESVITDYRLSIHIVYGFHLLIFSCLMISSFSFPS >KVI11756 pep supercontig:CcrdV1:scaffold_37:631119:633071:1 gene:Ccrd_009839 transcript:KVI11756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPSHLRFPTFFNRIPYRLIWHSLVLIYSFDSLSFIYKKHHIPLQTPTQSIILSLSLSLSRFFTKTHIEMGIESERKKRYALLLAARDSEYVMKVYGGYFNVFLAAFGEEEEEERWDLYRVVDGEFPDTNELQAYDGFVVSGSPYDAYGDDTWILELCFLLQTLDCMQKKVLGICFGHQVLCRALGGKVGKSHSGWDIGLRKVKIVKDFSPCSFLESLHEMPPSLSIIECHQDEVWEVPTGAEVIAFSDKTGVEMFTIGTHILGIQGHPEYTKDILNNLIDRLLTQDSIETGLAEDARLKLEIAEPDRKCWQQICKAFLKGYGMHTPELKYEDIIFS >KVI11760 pep supercontig:CcrdV1:scaffold_37:138209:149582:-1 gene:Ccrd_009814 transcript:KVI11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHTPSSMAPSSPVVVQRSPWHSPVPYLFGGLAALMGLIAFALLILACSYSNHSDDVENDAEGNRDLEAGDSKPENHKETHVLEEKYLVIMAGQARPTFVATPISSRASSFGSCSSGSNWSEKSSTSEMEMIEENEKEKQGSSDHIQKPGDILMTVQATSSMAPTSPVTNQSSPWHSPVPYLVAMLGLIAFALLFLACFCWKLADGEISDLEAGDSKLDDHKESTVFEEKYLVIMAGEAKPTFLATPISSRTSSFGSCSCRSSPREKLSTSEVEMMEEKAKQGSNATSSMAPSSPVAIQRSPWHSPVPYLFGGLAAMLALIAFALLILACSYWKLTAQERRDLEAGNGDGEAGEGDSKPDNQKEPPVFEEKYLVIMAGQAKPTFLATPISSRASSFGSCSCRSNSTASTETSSMPEEEKQGSFDQFRHQTSSLAPSSAVVMSAQRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDNRPDADRDLESGDSDIKPDNANEKTPTVLEEKYLVIMAGEAKPTYLATPVSSRASSFGSSGCSSCRSNSTASTVVDIEGSSDELQQVKSLENQDS >KVI11766 pep supercontig:CcrdV1:scaffold_37:95790:102976:1 gene:Ccrd_009812 transcript:KVI11766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGLVFTRLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTERLVIAKEEFHAILEEEELKGAVVLIFANKQVEPFNLSHKPNESFNDLPGALDDAAVTEALELHKIKNRQWSIFKTSAIKGEGLFEGLD >KVI11767 pep supercontig:CcrdV1:scaffold_37:106863:108101:1 gene:Ccrd_009813 transcript:KVI11767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 5 [Source:Projected from Arabidopsis thaliana (AT5G57660) UniProtKB/Swiss-Prot;Acc:Q9FHH8] MVENGGASGGAKCFPTGWHVARKLCDSCDSAAALLFCRKHRAFMCMACDVKLHRHMRHDRVWMCEVCEQAPACFNCKADDAALCVTCDTDIHSANPLARRHERIPVVPFYDSAEDALVNSTAGNLLHPVSGYGNNDQLNSSVAKEDNNDSWGVPTTTNVNVKFPTSTSQTDMKSIDLFAAADRIFDFGFPITTEVKHQSQFRDSVTDGVVPVQTTVPATNFLDVQRVDHQSPGTPYEIDFTRSTTNSNNKSNSQSHNHNVSCSSMEAGVVPEQKSISHPLMVAGETQITKRLSGMDREARVLRYKEKRKKRKFEKKIRYASRKAYAEMRPRIKGRFAKRTESTTELDVDRWLLTAECNTNGYGAEEEYGVFPSF >KVI11764 pep supercontig:CcrdV1:scaffold_37:59512:61425:1 gene:Ccrd_009810 transcript:KVI11764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFLTAAVFLMLSSSSLVLSQTPTNSTSCPLNFDHVLRIPWPTADCRHSDDSAKPVGNSTSCCQTLLSVFGIALAQHLKETSLFHLPDLATSSSCLSDFQNKLNSLSLPSNLATFCFDPHQFVISSNTCAAIQTVQDWRRTLGNHTVLDSACQDDLTELTACDDCLRAGLRVHSELALKDGNASHSNECFYFVVLYAAAIVNKFGPESDGAVSCTFGINILPKSHKTKHSSLIFGLIGGLVAIVVISCLVGLYFWWDRKRKREKIDELGMDEFESGRPRRRPNTGSIWFKIHDLEKATDNFSPKNFIGRGGFGVVYKGVLSDGSVVAVKKIIESEFEGTDDFCNEVEIISNLRHRNLVPLKGCCIHGADEDYERRDDQRYLVYEYMSNGNLDDHLFPAMKGKVLQALDPSLVGHGTSSETMNPRGIMERFVLVGILCAHVMVALRPTIMDALKMLEGDIEVPAIPDRPTPLGHPSFTSDGSTFSISPVLSGLQLQATDMLR >KVI11742 pep supercontig:CcrdV1:scaffold_37:311587:312633:1 gene:Ccrd_009823 transcript:KVI11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MSFFNSIMILSTILLFSFYGNSIFGTRNRHIINFQSPDFFPVSFARDPTAKRFIVSSSRRPSLISVSDSGIVTTLVSDRSLPAGSFFPNVMVDPIRQRIIATVHSRSNPSNSALATYQLHSPHRRLFLASLHEPDASVSAPGAAGVAIDFFGSAVITNSASNFIWNVGLEGRHSIFSSCEVYTDHHKPHGPCGLNGIVYNSKGHFLVIQSNTGKLFKVDDENGVAKEIELNINLTEIRAIDIKNDGVVLLMSKYKLYFLNSEDGWNKAKVFDEVRLDAKRSPISVTVGGEVVYVLYGHVEEGMIGNSHRNHFSIVGIGPKAQRDFTWIVYMLIGYGSVYFLKWSSNAQ >KVI11758 pep supercontig:CcrdV1:scaffold_37:472186:474148:-1 gene:Ccrd_009832 transcript:KVI11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRISNNLVGILNFVTFLLSIPILAGGIWLSRQGTSECERFLDRPVIALGVFLMIVSLAGLIGACCRVSWLLWVYLLVMFLLILLLFCFTIFAFAVTNKGAGKALSDRGYKEYRLGDYSGWLQKRVNSDRNWNKIRSCLQDSKVCKSLIDDGSENPSVNAFYARHLSAIQSGCCKPSDECNFTYVTPTNWTKTATTSSNRDCNAWDNDPNTLCFNCESCKAGLLDNIKSDWKKVAVLNVIFLVFLIIVYTIGCCAFRNNREDNSWKRYP >KVI11755 pep supercontig:CcrdV1:scaffold_37:708870:720924:1 gene:Ccrd_009844 transcript:KVI11755 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVGISRVLIKSKRDDGGFVPLHSNIINMEILMSLILIFPLFLFIHYFFFLHSTKSKSKSKFESEPGFKNYPFLGTIPEFLQNRHRFLDWSTEVLSRCPTNTAILIRPGKIKGFVTANPANVEHMLKTNFDNYPKGTRFISFLDDFLGRGIFNSEGEAWRAQRKIASYEFNTRSLRNFVMETAAVELRTRFIPILQRAVALDLVLDLQELLERFTFDNICKVAFNVDPGCLAGDGSSGTEFMKAFEEAATLSSGRFLYVFPWIYKMKKLLRIGSELKLQKSIAIVHKFAGDIIKSRMEDKTDKKDEDLLSRFMGKPEYSPEFLRDIAISFILAGRDTTSSALTWFFWILSSHPEVEQKILDELTAIRLSSGKSHQDFYSFDELRQMHYLHAAISEGLRLYPPVPEEESGGGKVVYRPENPFKFPVFHGGPRICLGKEMAYTQMKLVAATIIEKFKVEVVGAAEEKNPPEHVLALTIRMKDGTLPEFLLNRHRFLEWSTQVLSHCPTNTAVFRRPGNIHGIITANPANVEYMLKTNFDNYPKGTRFISLLQDFLGRGIFNSDGEAWRAQRKTASYEFNTRSLRNFVMETATVELHTRFIPILETAADLDQVIDFQELLERYSFDNICKVAFNVDPGCLAGDGNSGSEFMAAFEDAATLSSGRFMYAFPGLYKIKKLFNFGSESKLQKSISTVHKFAGHIIASRMEGRAKNPDEDLLSRFMGISEYSPEFLRDVVISFILAGRDTTSSALAWFFWILSSHPKVEQKILDELKTIRLSSGKDRREFYSFDELRQMHYLHAAISEGLRLYPPVPVDTKACLKNDVMPDGTFVGEGWFVTYHTYAMGRMESVWGADCREFRPERWLEEEESGGGKVVYRPENPFKFPVFHGGPRICLGKEMAYTQMKLVAAAIIEKFKVEVVVTAEEKNPPEHVLSLTMRMKDGLRVRVRKR >KVI11744 pep supercontig:CcrdV1:scaffold_37:340240:340800:-1 gene:Ccrd_009825 transcript:KVI11744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPNHDLETHHRHHHHHATANPPSPPKPSPKLLSIFLKFIVMSLILSLFLLFLGLAAILLLHLLLAGSFLHRRRQRLLHRTTPPRTSSFSLRDIQNHLPPFQYPAAEESPASDDCSICLEYFNEGEICRLLPVCDHVFHARCVDTWLTKVPNCPICRTRVRLDAGGPSDSMISDDETKFLWAMGVGR >KVI06762 pep supercontig:CcrdV1:scaffold_370:14784:15773:1 gene:Ccrd_014885 transcript:KVI06762 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MQSTSRAEAERLLGIAEKLLQGKDLNGCRDFALLAQETEPLLDGSDQILAVVDVLIAADKRINNNPDWYGILQLDSRRNDDDLIKRQYRRLALLLHPDKNRFPFADAAFKLVADAWALLSDASRKSAYDNELFAFSKVDLVSMRKQREKEDQNHPIQRDKIPVRRNPADAANQMGANIWTACPYCYNLYEYPNMYAGCCLRCSNCKRAFQAVAIPPASLPPTIPGKEAYYCCMGYFPMGFAANSETAKSLTIPNWMPPMFPTNAKAWPSADSLPNGSRVALAEPGESFVSPTPPVARSKPVTVPQKKPTPTAGATVPKKRGRPRKNPLP >KVI06760 pep supercontig:CcrdV1:scaffold_370:211758:214110:-1 gene:Ccrd_014883 transcript:KVI06760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argininosuccinate lyase MDAASDRDFVLELLSANSITAMYLSRLGEEWVLWASEEFGFITPNDSVSTGSSIMPQKKNPDLWNLFVERMLEVSAEFAQNITYNGDRIQKALPAGHLDATTLADYLVHKAMFDDGVYGYLGVENSIKKFSSYGSTGSECVAAQLDFWISRLNLNL >KVI06761 pep supercontig:CcrdV1:scaffold_370:225314:226035:-1 gene:Ccrd_014882 transcript:KVI06761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argininosuccinate lyase MLFASSSQSPSSSSALLSAQNPSSSSTAQILSLFFRCPLTSRSKRHPPPAVSCCASQQPNIVASAKEAKLWGWRFEEGVTVSVERFTESISFDKALYKHDIMGSRAHASMLAKQGLISFSDRNAILEGLDQIDKQIEKGEFVWRTDREDVHMNIEAALTDLIGEPAKKLHTARS >KVI06763 pep supercontig:CcrdV1:scaffold_370:200201:211213:1 gene:Ccrd_014884 transcript:KVI06763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MLSFLPAATGKDISSEGINYAPSLSIDAININQIATTLVEDPSAEMKADLNDDFTVAEEHPQCSPELRTDLSELEASAPAGVEVNVATTSASPSSNKLSSAILDLLPIPEVVCSDDLCSRFKFYFKCSQQLNWLQDETVEMEPDEKGSMCERSSSCSDVAEDDVPNASCPGRLETQNFYYNPLPLFIAKLYFYIMNSEDGVLAGPSSDQCIGGWEMESEDLTTAVFYPDYVVYRDSYYTDSVISFTSSFIEMEGSPADGDDETFKCKWRIEDILHIRSHWYERVEMAMVKIHILTKDTILETENVECTSGMELKFAITGSSWFGRLEAITSLNVTYKALWSSILESEDSVLGHTGASFSKYLPTFDRPFEEVVYPKGDADAVSISKRDFDLLQPDTFVNDTIIDFYIKYLKNKIKPEERHRFHFFNSFFFRKLADPDKDPLDASEGRAAFQRVKKWTRKVNLFEKDYVFIPVNYNLCFFYSYHWSLVVICHLGDVATYNDEDVVESMKVPCILHMDSIRGSHTGLKGLVQSYLKEEWKGRQQGASEDISSRFDDLRFISLELPQQQNSFDCGLFLLHYVELFLEQAPTHFNPFKISKGFNFLNVDWFPPAEASLKRVVIQRLICDLLENPYQESCPTDEQCNPSCRTSIVNLSPEDCGSEISLIPSSCIQGGEGLKSSFELVSFMGFNHTTTNFDEYKGSLTPIEEEDMEGGGQFVYSETDNNGMEFRSEEACWNDAEKKELEMEMELEMEMEMETSISGCEDSIVNESKSEQVEVKRKSEEVDDVCFRYQEKCHPPSKKIRMT >KVI00852 pep supercontig:CcrdV1:scaffold_3700:12020:17165:-1 gene:Ccrd_020891 transcript:KVI00852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transport 2 MDKEPLLPTNTPRTPSQLCSLPEDDEISIPLPLTPSELKDMLIFGSPKEPSSSIVDALALSLNTQKPFACDLNLNLNSNSNSISNSIISDPQQAPSPQSWLTDPNYSFGKTNLHRSKTAPAMAAINDFEHHSESKPPSSTIVRQAVLLLILYLSLGVLIYWYNQEHFVASETHVVVDALYFCIVTMCTIGYGDITPNSVVTKLFSIMFVLIGFGFIDILLSGMVSYVLDLQENHLLQSLKAGHEQDHHHPSYIIDVKKGRMRIRMKVALALGVVILCIGIGVAVMHFVERLDWVDSFYLSVMSVTTVGYGDRAFRSMGGRLFASVWLLVSTLAVARAFLYLAEARIDKRHRKMAKLVLDQGLTVSQFLAADIDNNGYVR >KVI00853 pep supercontig:CcrdV1:scaffold_3700:47447:61075:1 gene:Ccrd_020892 transcript:KVI00853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MDLSSYWFLPSAYVFVGKYAYNLGEFYWIGGTLKGWWSDQRMWLYRRLTCYLFAFLDVVLKSMGFTNINSILTSKGADEDAFLDVVLKYLRQDGSDNDSDSSSSDDDDVSDAPSLDHISEVTQEYIGEEQYNVREEDLMAFEYEKFLNGLNGELKRDNIVETNINNDDAEHDLAQEGGKYPMHNPKTHWKIMKPILGERYERPTQLKECITTY >KVI04948 pep supercontig:CcrdV1:scaffold_3701:54140:55429:1 gene:Ccrd_016726 transcript:KVI04948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQENNPIDECSRSEITKLPDEILADILSIYPLDSGSKFVALLTGLCNRTCIKYGGPAVQVQDFESEIRKHFLMFDENKPLKSPRKLEFHFNRGLIVTASIGLKNKLHLDFSKGNQGYPRQFGWEIVLNTMDFAQFSPNLFSVKTLKLTSVNYLTCELVSNLINKFRYVECLIIDKCDGLRSLRVEGLAKLTTLIVRDCYDLKSVFVESLELKSLRYRGRLCWFSFKNVMYLKDVILDCEGPGFKHLNHQLYDPLLRAIRDVKVLTLRGWMFKEVFGPWLFSEEHEEHFRFSRLEDLWWIDSCMDDHNINWLFCFLKFCTSLKRLFIT >KVI04949 pep supercontig:CcrdV1:scaffold_3701:7746:8276:-1 gene:Ccrd_016725 transcript:KVI04949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MVYLPMKRPREAELDTAINNMANCLMLLSRGCSTNERYENSPSRVFECKTCNRQFPSFQALGGHRASHKKPRLNTDGDLAHGTNLMPAKPKAHECSICGLEFAIGQALGGHMRRHRTAVTNEKKSSASSLGSTTAPVVKKVNSRRVFSLDLNLTPLENDLEFGSGKVVPTTVELFL >KVH85694 pep supercontig:CcrdV1:scaffold_3702:19785:24679:1 gene:Ccrd_025430 transcript:KVH85694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sensitive chloride conductance regulator MVAGLRVFTERSNDGAAGAPILDTDNGEELMHVQPSVSIVLGNRPPESPGTLYISTKQVVWLSDTERTKGYAVDFLSVSLHAVSRDPEAFSSPCIYTQIDTGDEDDESESSDSESNGTLDLSKITEMRLVPSDPNQLDTLFEVFCECAELNPEPVVEGGVLLFRTFCQLLTHILALFSEDEQEHNWIFSADQLGPAMDGVDLEWDSSPTGFSTIGHPNGDHDLARNVLELQINDQRFEDAEEMERDNSNGRH >KVH85693 pep supercontig:CcrdV1:scaffold_3702:6462:10482:1 gene:Ccrd_025429 transcript:KVH85693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGKDLSDAQISAMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIIAEEKLTSSFDFNRFIDLMSKHLKPEPFDRQLRDAFKVIDKDGTGYVVVADLKHILTSIGEKLEPAEFDEWIREIDVGSDGKIKYEDFIARMVAK >KVH99480 pep supercontig:CcrdV1:scaffold_3706:36007:38297:-1 gene:Ccrd_022286 transcript:KVH99480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRMKNRVNLAHGNSSQQVQEDTINIDSRHFIDDPVIDGSSHRRVRGPTYMPSIWAWEERDRIPVLFNEYGQPVDKETTNSLSHFMGSLARSGKYCPVDISWPQVSTTKKGMLLNFIETKFDLPLGSDDWILKSFAKKVRNWRSRKKKDYYDPSLSLQEQIKSKXKRVRPDQWMNLINNWNKEDAKRLFAGCLLAICWLFAGYLLESLSGREPSRMELFVACFSKDGIAKNVEAANAIVGDVVHLKSIINSIEIVARGRVKSLDPDELVGGKEISPNWCE >KVI07966 pep supercontig:CcrdV1:scaffold_3707:7354:11960:1 gene:Ccrd_013668 transcript:KVI07966 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ-like protein MAARLLKAITIASSSSPPSVSRQMLNEASPLIAIFCPSLSKVRWTSTSSSSEDDSATAARNPNKKISERLSGVIDAVNDRKLPPELRGQRNNVRSETDIMNVVERRIWRSMEEGHFENLPGKGKPLNLNSNPHADPAEDTLYRILSKNKCAPEWVELNKEIRTNVAEWRSTLKKAWARKESGDMSKWFEYSDSLKLQLHSINDKVFRYNLIVPFGRQMLGLKWEKELARLNEVQPGT >KVH94811 pep supercontig:CcrdV1:scaffold_3708:45504:53067:1 gene:Ccrd_003124 transcript:KVH94811 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MKTLVLMWVLLQMLSLFTASDTNSTSETYTLINSTNLANPNCSSQCGDVIVPYPFGFGNNTNCSISPEFHIYCNTSLQPPKLSIHEHGYTSIKHISDSTIRISNLVSSRCYLPNGTISNDFGIKLDYMDLPYTLSGVNKFTVIGCDDFAWLISGTESREVLTGCAAICSTPQDVVSDQCSGNGCCESSISRDINYYTTQVKSMEDSDNMTYTRSFNPCTYAFVGEENVFKFKGETDLNSTLLAEKIEAEVPIVLEWAIGNLSCVEVEATDGFACQSNSKCVNSTRESRGYRCICNDGYEGNPYLSPGCKDIDECRYPEMFPCHGTCVNIEGNYTCKCKGRYSGDAKIRDGCRRGHNTFLLAVLLSIGALLGILVLIL >KVH94810 pep supercontig:CcrdV1:scaffold_3708:11300:16823:1 gene:Ccrd_003123 transcript:KVH94810 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MKTLFLMWVLLQMPTLFTASHTNSTSETYTLINAKNLAKPNCSSHCGDVIVPYPFGIGNNTNCSISHEFDIYCDNSFSPPKLSFVEDHYNSITRIYDSTLRTSNNVATGCYSSNGTYLGGFSISMGSKDSPYTLSDVNKFTVIGCDGSAWLSSETNSRNVSIGCMVFCSTPEDVVGDQCSGNGCCQSSLPKDINYYETELNPHTDSNNTRYMRSFNPCTYAFVGEENAYKFNGLTSLNDIHLAEKIEASVPIVLEWAIGNLSCIEAKAVDGFVCQYSNSKCVNSTRESGGYRCICDEGYEGNPYLSPGCKDINECHYPEKFPCFGTCVNTEGNYTCKCKGGYSGDPKIRDGCRRGHNTFLLAVWLAIEYLELTMSSTKESTSCQNSDN >KVH94809 pep supercontig:CcrdV1:scaffold_3708:6124:6872:-1 gene:Ccrd_003122 transcript:KVH94809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYGNCLQMSCSRVLFDGFHRKDLVSWNLMLSGYAGCGDIVEAQKMFDQMFKRDVIFWSIMIDGYEKKLGDITRVRSLFDRMHKRDLVSWNTMIDSYAKVGDMVATLELFNEMEHKNVLSWSIMIDGYSQH >KVH85613 pep supercontig:CcrdV1:scaffold_3709:31567:37904:-1 gene:Ccrd_025432 transcript:KVH85613 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MESTSHLQRMAEELKCPICLSLLKSAVSLTCNHIFCKRQLLPDIVVLKRIDNRQFSSRGKIEVRPAPHMDTLVSIYKNMEVCSGVNILVTQTQPQMSGHENQVENEIVCRSKTTSKACRDTPKTQNQRRKRGKTLTGSSKRPKSHNSDPARPSFPVKKRVQVPQHPLQETPTRPANLEGGKIECITDRTQTNVSGPEDEPHLHENGETLFSPFFWLRDDGDAERTIEPTPVEPVNDSPPDAPCFSDIKDSDDHMTSKDGDIFDSEMFEWTQRPCSPELCSSPLKLQDKESDECDRVQEKGFRGTSLHSVINVTDRIENEDHSTGEETDNMEEVLPTSSPQQIKKVNHAAKAKESKKRAKRTKESIQKKRVKRDTDEAVGICNVSPKIDQGEGSNTNDLVKQKKQIRRRGKKVSVDTSSTKALISKVAHVCDGETSGHRDGSIVGLTDSSSIRQGSGNTHNANSFDENKKETTNQQQMNRDKRLKTLGPSNNSITEINKAISQFPSEPSLVDGEIKVCPSRIQTKKGKVFKELKTSKKVAFSISKGFNGKSFGDIPTTSEYVATKGNQPLGEVHSSSKIEFNPHEKTNAMFLRKCDTLSCIRCAFCQSSEESNISGSMTHYVKGKPIESDHEGVPRAIHAHRNCTEWAPNVYFEDDIAVNLEAELARSKRIKCSCCGIKGAALGCYEKSCRRSFHFTCAKLTPECRWDDDNFVMLCPLHASHKLSNEMCGSQLQKTKKSVPKRHVNIKQSQVPVSDTVSTTSRWDSHGVFTKIVLCCSALTSIEKETIARFESLSGVTVLKKWDSRVSHVIASVDENGACRRTLKYLMGILEGKWILTIDWIKACMEVKKPVDEQLYEINLDIHGFKGGPKLGQSRLLNKEQKLFNAFKFYFTGDFVASYKGYLHDLIIAAGGTVLHRKPIPINHEPQFSEDINAKQFIIYNLELPDKFKAEERNLILSQRKSDAEALARSSGAVAASNSWILNSIAACKLQNLD >KVH85612 pep supercontig:CcrdV1:scaffold_3709:29158:30754:1 gene:Ccrd_025431 transcript:KVH85612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLEDKENGRCNLEGVAANVKLLLKLIQDHKNACKTQRNDGRRMLRVAGMMTILEMVRTRIQKCQSFGTKRSELLKVSPAQSPKDKRLSDPIMIDEKEKLRRELSASLAARKSLEIMCSGLGKEKEIMMGELAKKAHELAEMEEHINDLKAQNETLLEKVQECAEMHKDEEKETQGNMELQERNKSLSKQLLKSLDEYRSMKRKLKDVHEENMAMQATMEEMGVKVSQSLEKIRSYRQHSTTESDEIVDIEEGISELEHMFKCFELNERKHGKKSGETVHPNSKISSCKTVIS >KVI03187 pep supercontig:CcrdV1:scaffold_371:186963:190537:1 gene:Ccrd_018517 transcript:KVI03187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGQLMLSSNLIFSFWYNIFSSPSFKLQFSPFLHNICLNLPPSSEGYWRLCTNCSKPKLLDDDRDQPRGGPFGLEDPYAPYHPSRYGDDKPNKMTNFATPAALLLQNKRKSSYSYILLLFCSKKILFKINYVQTAAAAKQQPVKKLXSSKRPRQQSHSTSLPVASDPQVVMFSSKKRSISTRILLINYMDEMEDDSCEMV >KVI03190 pep supercontig:CcrdV1:scaffold_371:30293:33374:-1 gene:Ccrd_018514 transcript:KVI03190 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MASSTTSFLFKFFTFTLSLWALFGSSSGQLSANFYARTCPNFRSVITRAVNSAGCDASVLLDDTANFTGEKTAGPNNNSLRGFNVIDTIKTQLEQQCPGVLRGPSWNVVFGRRDSTTASLSAANSNLPSPDSSLSALISSFSNQGSHSIGQARCTTFRNRLNNDNNINSTFATSLRANCPTSGGDNNLAPLDASPASFDNRYFNDLQIQRGLLHSDQELFNGGSTDAQVRXYXSNXXTFSXDFASAMVKMANLSPLTGSNGQVRTNCRRTN >KVI03189 pep supercontig:CcrdV1:scaffold_371:82881:93499:-1 gene:Ccrd_018515 transcript:KVI03189 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MASSTTSFFFKFFTFTLSLWALFGSSSGQLSANFYARTCPNFRSIITRAVNSAGCDASVLLDDTANLLVKKLRLRGPRWNVVFGRRDSTTASLSAANSNLPSPDSSLSALISSFSNQGSHSIGQARCTTFRNRLNNDNNINSTFATSLRANCPTSGGDNNLAPLDASPASFDNRYFNDLQIQRGLLHSDQELFNGGSTDAQVIDTIKTQLERQCRAWWAKMQPHFGRRDSTTASSSAASSNPPSPISSLSALISSFSNLGFTANEMVALSSE >KVI03188 pep supercontig:CcrdV1:scaffold_371:139755:142294:1 gene:Ccrd_018516 transcript:KVI03188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKRHIKNSRRTTRVKKPAHSHPRSCSTFVGDPAPTRMVVDPRFYSAFQIELAFVRKTLTVADGNLSCVLDINGNVIFIIKNKNFSLHDRHILLDATEIPILTFQKKHRSIHRRWQAFRGESTNAKDLIFSTKKSSVIQRRITELNVFLSQNKEEIVGVIKWQMHTNHVDTGFLESEKNTFGITIFPNVDYALVVALMVILYEVDKDRRKKKKMKGKKDGSTREITEDNDDTDEDEDEEEDDPSDDSN >KVI03186 pep supercontig:CcrdV1:scaffold_371:226397:229176:1 gene:Ccrd_018518 transcript:KVI03186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MGSIEEKRAALYQKLKQLRSLSHSSAVHKTSIIVDAAKYIEELKEKVERLHEDAASSREHTSLPMQVTVETLDKGFRINVFSEKDCPGLLVSILEAFEELGLDLRDANVSCSDKFHLEAIGEVVKRAVLKAIKNWTDNDNED >KVI03185 pep supercontig:CcrdV1:scaffold_371:251476:255849:-1 gene:Ccrd_018519 transcript:KVI03185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MNLKKRELLIILPFVLILGLFASFVISDHSSSKGLTSRLTLSSSSSSSSSSSSSSSSSSSSLLVNNEANQRMISRISDVKLETKIRRPSNLELIEAGLAKSRALIKRGAGGNQTIDDHDYVPSGSVYWNPNTFHRSYLEMEKKFKIYVYKEGDPPVFHDAPCDGILGIEGIFINDMEISRFRTLDPEKAHVFFLPISIVSIVHFVYVRETRLWSDMKNTAIDYVDVIAEKHPYWNRSLGADHFMLACHDWGPEISTAIPILYKNSIRALCNANTSEGFNLTRDVSIPEIYLPHGTTEGLLGGPSPSKRTILVFFSGGIHGYIRQVLLEHWENKTEDGVKIQQYLPKGENYYDMVRKSKYCICASGWEVASPRMVEALYMGCVPVLIKDHYAKPFSDVLDWRKFSIDIALNDIPNLKIILMGISQRQYIRFQRNGVKVRKHFVVNLPPKRYDVFHMILHSIWLRRLNTRIHDP >KVH91110 pep supercontig:CcrdV1:scaffold_3712:445:10196:1 gene:Ccrd_006874 transcript:KVH91110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDLPTFRLGIEIRSPQQNIYARILLNLTDNFGRFFDRKFHLQGSPMVNGLDSTSNLEIDQCKAEIKRLQESEAQIKALSVNYAALLKEREDRISRLNDENSSLKKNLDASRSENLKTSASSPSGYKKNRSFGKRVQNGVALKEDAPGNGVAHSFQPTMIQNKMGNSTTEGNEKELSDLLEEKSRSLAALQVTHEQQIKQLREELDKERGKLASLHFRFQEEQKLNRSFQEEENSLKADKENMLAEMNKIRDELSRKLFEIRHLQMELNRRENAEANDVAEDLRRIVATLEKENSDLKMEKNELKVALEVAGKSLAPNSNGMHEVPGSFPGKEELESSLQKLEKDLKETSRERDKALQQLNRLKQHLLEKESEDSEKMDEDSKIIEGLRENNENLRAQIRHLEKSLDRALAGQEEVKMTNNNELQKSKEIIDDLSKKVASLRSLVDAKNVELLNLQTALGQYYAEIEAKEHLERELASAREESARLSEHLKEAFQQVETAKKEKEECLEKLSQAERLLAEGKGRVNKLEEDNTKLRRALEQSMTRLNRMSMDSDFSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGLAQQGAGKSVVRGVFGLPGRLVGGILGGAPADNPANRGKKGKRENLRKMLMDLEKTKMQVQIQLAQTSSKQNSVIQSFQLFLSPHRTAIILDFRDKFPDTN >KVH91109 pep supercontig:CcrdV1:scaffold_3712:12419:15923:-1 gene:Ccrd_006875 transcript:KVH91109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MAEYHDEIEPKGESFMDKITEKIQRDDSSSSDSDSEKKKKHDDELKKKHDDELKKKHHDAPSPTSMKSKIYRLFGREKPVHKVFGGGKPADVFLWRNKKISASVLGGATAVWVFFELLEYHLLTLVCHVLILAFAVLFLWANASKFINKSPPRIPEVRIPEDKFLQIAAGLRIEINQALDTLRNIASGRDLKKFLGVVAGLWVLSIVGSWCNFLTLFYIAFVLLHTIPVVYEKYEDQIDAFAEKAMMEMKKQYAVFDAKVVSKIPRGPLKDKKRA >KVH91108 pep supercontig:CcrdV1:scaffold_3712:25173:25559:-1 gene:Ccrd_006876 transcript:KVH91108 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MQRAYYRCSAAEGCLARKQVERKRSDPSVLIITYTGDHNHPMPPKPHFSVDGKSNNAINSHDEDKNENKTTTYSSVSPTANEAVETTMVEEKDKDADDDNVPNVVMDDDVFEGLDEIINLSDGISCFK >KVI11242 pep supercontig:CcrdV1:scaffold_3714:22888:58389:1 gene:Ccrd_010350 transcript:KVI11242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase MatK, N-terminal domain-containing protein IQFARVCYGEVDAEKDKCIIDVYSKVDDIISIARNEKSILARLGRNLDKFMVNIEKEVPYEDPSQHKLDAIRDHPGVSIPDEVDILPPSGIRNKGCGTGKRLAADTPVLLLPLNYPQQQRLVYPMDLTPNQRMVARCLQTKQLAAHYFLARVKKSKLQIPTRLHSASLLITITRKLNLNMAPASANLCTTFITSLGLIRSLLNCFRHAVVMSSSHEDCSTEFKSIPPIGSPSTSCMDSTVIGIAPSFILMFIAATFNISTTVVLTASDSVPFTHSRLSLSFTKQPWLCAISRSVSSITQQLQVCPSHSSIFTQQPRLCAVSWLGSLFAQQARLDNVCGLASPSHSSHGLVMVCGLASPSHTQPWPWVDLRYSLKRSGDSRLQRLPQASITVLIMTFLLMNKWKYYFVNFWKSYFYLWSEPGRIYINQLSNHSLDFLGSYSSLLTFPKVSSISQRLSRRRIWYLDIICINDLANHE >KVH94120 pep supercontig:CcrdV1:scaffold_3718:32025:33851:-1 gene:Ccrd_003818 transcript:KVH94120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTWKVMIDGYSKSGYLRNADNLRDILTLYNEMRCSDVCPSQNILSLILQCCASFCVTPLGKTLHCQIFKSNFNYDVVLQTGLLDFYAKVGDLSSAKRVFDEMSHRDVVANNAMISALSKHGFVKDAQNLFDSMQDKNSVTWNSMITCYYKIGDIDSARLIFDCNPIKDVVSWNAMIDAYCKVGNLSCAEELFDRVGEVKNSITWNTMIAGFVQCKEFRRALRSFEHMQTERVKPTEVTMVSLLSACAHLGALDMGEWIHGYINKNKLRIDAVLGNALIDMYSKCGSIAAALDVFHKLHVKNIYCWNSVIVGLAMHGYGNEAIDYFLSMEKEGINPDGVTFVGLLCACCHSGLISAGRNYFSQMQSVYGVEPGIEHFGCMVDLLGRSGLLVEALELITIMPLKPNAVVWGSLLRSCHLHKDPELGEQVTQRLLELDPKDGGNYVFLSNLYASLSRWKDVDRCRKLMIESGVQKVPGCSSIELDNAVHEFVAGDSSHPQFPQINVFLVDIAKKLKDQGYEPDTGSVLHDIYDEEKETSVSYHSERIAVAFGLMSTPPGKQIRVIKNLRTCNDCHTAIKFISKIYKRDIIMRDRNRFHHFRNGLCSCKDYW >KVH94119 pep supercontig:CcrdV1:scaffold_3718:1639:1797:-1 gene:Ccrd_003815 transcript:KVH94119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGILVRLLHEAARYIVTVESMSGEVYRGSLVECEDNWNCQVEDVTFTAK >KVH94121 pep supercontig:CcrdV1:scaffold_3718:39085:42149:1 gene:Ccrd_003819 transcript:KVH94121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MDIRGSVLMERYELGRLLGQGTFAKVYYGRNLRTGQGVAIKVIDKEKVLRVGLVNQIKREISIMRLVKHPNILQLFEVMATKTKIYFALEYAKGGELFDKVSKGKLREPVARKYFQQLINAVDFCHSRGVYHRDLKPENLLLDENDNLKVSDFGLSALAESKHQDGLLHTTCGTPAYVAPEVINRKGYDGDKADIWSCGVILYVLLTGYLPFHDSNLMEMYRKIGKGDFKCPNWFQPDVRRLLSRMLDPNPAYRITIAKIKENPWFKKGTSVRKPRNEAENKELVLPMSSDGASGSSQEKQDVTRPPNLNAFDIIALSPGFDLTALFEEPRHRKEARFTSWRTAAIIISKLEEIAKLLKMKISKREAGLLKLEAMKEGKKGILSIDAEIFELTDSFHMVEVKKSNGDTLEYLKVLNEGLRPGLQDIVWTWEPEEPPPQQQPEQPVPPVPPLPSPQQDQLP >KVH94124 pep supercontig:CcrdV1:scaffold_3718:47279:48568:-1 gene:Ccrd_003820 transcript:KVH94124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIDNVARGMSPPPVTNCLFGKYEIGKLLGCGAFAKVYHARDIATGQSLAVKVFNKQKINHNTSLMSNIEREIGIMRRLRHPNIVKLYEILATKTKIYFVMELVKGGELFAKVAKTRLSESQSRKYFQQLISAIGYCHSRGVYHRDLKPENLLIDENGNLKVSDFGLSAVTGQIRDDGMLHTLCGTPAYVAPEILTRKGYNGSKADLWSCGVILFVLTAGYLPFNDSNLMMLYKKIYRGDYHCAKWMSADLRRLLSRLLDTNPATRITIDEITRSPWFRKGSKGSKVYECDDEEEFLVKPEQNAKTTALNAFDLISFSSGLNLSPFFDSTYNSPDKSEKLVVAGPPEEVIDKVQETVKEDGVTIKKRKDWGLELVWENGKFVIGLEVFRLTERVVVVEVRSRGGGAELYDEFWKNRIKPGLLDRRREA >KVH94122 pep supercontig:CcrdV1:scaffold_3718:2174:21041:1 gene:Ccrd_003816 transcript:KVH94122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MATSTPLFTISPLIHRQTSSSSKLFVTNPNYKTKIITILCSSSSSYPQSTTILETLAPPPLILSVNDTTPERWVPHRKRKVVMRVGYAGIDFRATKKDLEIAIYKAGGIHESNFGDAHKMGWATSSLIHEEVSFPNEIIPPIYFLSGLRVFWRFNVEKECNMRKYCYLLPLEAIGITSNFSKAKVRHHLSEFNNILRLFEGTHPFHNYTVKPKHRKKHRANRRGKLLSESPESMFNGESESGYGEFDGEEPLQTDETVIEHVDGEHISSRYADSDELVEESVANGNGLSDPPVFAKWLHRPDEKDRVLKMVGAAVAVKRGLLPRDVIYPLSFSKLTRFVLPTAPPELLFLQWNRYALESQPNHTTRPEILTMVESEDILSNVENFYKSIMLPQFLEFLDPLKSPWKEWVEVLDASTRIPDSQLDELEKKIVPNDSQCSRATSVHTHKWIGSGHYNSNSPDTCSATLKPQSSPMATSSLRFAFSPLIHGRSSSKILFSVPNHKTTSIKILCFSSSSSSASSPLTTTLDTLAPPPSNHSVDRNTSSNKWEPFRKKKVVMRIGYVGTDYRDGTPTITRRSTPNNRNFVPRRRKKDQTTDLVAERRRKRVRRRNWWWLCSGEASKPSSLGEFLEVERRFGVEALFDGDALMDVNLGDHHRNNGRMLFADGRVLPPPHSPDDDDEGTPVCSLCRFHVLLAAICGGGGGVHSLSTMISLKMEIPEFAWTCDPNGIVLANLVNAHLPKNIRVFSILPSQSLIPPQSHLINLFGLRSFDARRECNIRKYSYLLPVEVIGITSNFSASEIEHHLSDFNDILNSFEGQHPFHNYTIRSKYRKKYSAKRSPESGRIANRRAKLSTEPPDAMADDSDGEESLGYGDGEEALGTGEMFDDEQMSLRHIDSDTELVDESDANGNSLKDLPILAKWLHEPDEKDRLSGSHFRRIFQCSCGKMEQLFGARYVEISICGESFMLHQVSNLCSYMYEFARYFQCFQNIHACCVYSF >KVH94123 pep supercontig:CcrdV1:scaffold_3718:24447:29677:1 gene:Ccrd_003817 transcript:KVH94123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEGPKDSLDWKTIGDSSKNEADTGPVVKKRLPRKMRHIPDYYFLPRRSMFANIAIYGSCIVGGIGAGMLTEIWINKKIKVHETGATRGYEAESEYEHGGNEQVEERLFLVDMSLRKRPPFGFGFLLEDVLRELNITEGGGVSGRGGGSRIPTLGVPG >KVI02788 pep supercontig:CcrdV1:scaffold_372:115161:118541:-1 gene:Ccrd_018920 transcript:KVI02788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIAAKFAFFPPTPPSYTVESDESCGGQLFIPEVPRREGVDVLRLRTKRGNEIVTVHIKHPKASATLLYSHGNAADLGQMFELFVELSLRLRVNLVGYDYSGYGQSTGKPSECNTYADVDAVYKCLKEKYGVKDDQLIVYGQSVGSGPTIDLASRIPDLRGVVLHSPILSGLRVLYPVKRTYWFDIYKGTADEVVDHSHGKQLWELCKNQYEPLWLTNGGHCNLEFYPEFIRHLKKFVLALGKSKTPTNAPKNLTSDVENQKKASESGTTGDTFELRPDLPDVSRNSLDSRLEKSKKSNKPEKSRMSVDIFRRRKGLVW >KVI02790 pep supercontig:CcrdV1:scaffold_372:76633:82722:1 gene:Ccrd_018917 transcript:KVI02790 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MQAINLGIKGSVIQSNIGRVDPGLGQFGNRAITVCMSRSERRSCFGLRSGSGPTGLELGRVGLGSGSMFRSSAKSRSVKSPDSDGDIEEDAPLKSPSRSSGSVLPYVGVASLGAILFGYHLGILVLRKMLFFKDGLLAHFLLVPLLVRLQGDHWQIILEGKKLLYWMQSRLQLEHFFAKNVETMILGRLFCGIGIGISSAIVPLYISELFICIGILAALVAGLPLAGNHIWWRVMFGIAVIPSVLLALGMAFSPESPRWLVQQRKISQAEQAIKKLYGKDRVTELIEDLNSSSQGSEEQEAGWFDLFSSLVSVGASLFLFQQLAGINAVVYYSTSVFRSAGVASDVAASALVGAANVLAPYSGPLAVIGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWITNFFIGLCFLSIVTTIGISKVYLGFAIICLLAVIYIAAKVVETKGRSLEDIERELSPAI >KVI02779 pep supercontig:CcrdV1:scaffold_372:276574:277564:1 gene:Ccrd_018931 transcript:KVI02779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MGALDYLSNFCTVTSTSTRSRRKPMQTVEIKVKMDCDGCERRVRNSVKSMKGVKSVEVIRKQSRVTVSGYVDPNKVLKKVKSTGKRAEFWPYIPYNLVSYPYVTQAYDKRAPAGYVKNVVQAVSAPNATDERITYLFSDDNPNACSIM >KVI02786 pep supercontig:CcrdV1:scaffold_372:148503:148796:-1 gene:Ccrd_018922 transcript:KVI02786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKGSTKVCCVVVLYALTVVLMGHVPTAEAANCNYMELVVCAGAITSPQPPSRDCCAKVKEQRPCFCGYLQNPSLRQYVTPDNARRVARQCGVVIPKC >KVI02782 pep supercontig:CcrdV1:scaffold_372:220361:224990:-1 gene:Ccrd_018926 transcript:KVI02782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone chaperone, ASF1-like protein MSAVNITNVTVLDNPASFLTPFQFEISYECVSPLKEDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVFQADPPDPSKIREEDIIGVTVLLLTCSYVGQEFVRVGYYVNNDYDDEKLREEPPQKVMIDKVQRNILTDKPRVTKFPINFHPENNESGEQAPPPVAETSEQQPPNSPGGNP >KVI02785 pep supercontig:CcrdV1:scaffold_372:204447:208114:-1 gene:Ccrd_018925 transcript:KVI02785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIAAPMVVVAVLQYLMQLVAVVMVGHIDEIALSSVAIATSLTNVTGFSLLSGLVGGLETLCGQAFGAKQYNKIGVYTCSAIISLLLVCIPVSISWIFLDKFLILIGQDPLISIQARKFSIYLIPALFAGAILKPLVRSLQTQSLTRPLLVSSALALCINVPLCWAFVFKFKMGSVGAAIAFSVSNWLYLMLIVFHIKFSISCENTRVTLSMNAIVGIKEFFRFGIPSAPQMVVARSACLDLRFVTKSKARNLGPFYMVYFPRLAVRVVMLVIITEATIVSTAVFGCRHFLGKAYSNDKPVVSYVASMAPGWQHIGAYVNLGAFYLFGIPAAVGLGFPLHLKAKGLWIGIVIGSVIQSTSLSLITGLTDWRKQVRFQ >KVI02797 pep supercontig:CcrdV1:scaffold_372:250512:252113:-1 gene:Ccrd_018929 transcript:KVI02797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQICSTNSSIPSIHASCINRNPIKLHHFHPLLGLSTRISRMQIHRSIRKYSIRCSAEEKKQINLRTCKLCKTQFDPSLNHPQACRFHTAHFGGETKRKFESVYSGGTMNTSESGKVFQYWHCCGSEDPFDPGCTASPHSSYDD >KVI02795 pep supercontig:CcrdV1:scaffold_372:107967:114386:1 gene:Ccrd_018919 transcript:KVI02795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MDTNYLQLFVDETSFTNRMVLGTLLPAKIWEPLPHFFQTWLRNYTSGTLVYFITSLLWSFYVYFYKHNVYVPKGVLATIREGDHTLQPIGGRKFVAGKVMDSASTLPPRLASYLHCRHPSLPHLRHAIPSRKAVLLQIYVAMKAMPWYCALPTISEYMVENGWTRCFPRISDVGWFSYVLNLGFYLVLVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFLVPMHFTTHIGLLFIEAIWTANIHDCVDGKMWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLRDPCEEENKKI >KVI02780 pep supercontig:CcrdV1:scaffold_372:253021:256091:1 gene:Ccrd_018930 transcript:KVI02780 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAF family MANSNKRDEPLTAPPVDRWFDLSLGSSFQDNPSSKFCTLRYEFKPASIDKNQPGKLHKTKDNKVAVEFQNNQPGKPKVSFEGSSEDYKDNDAVLFFDGSSFRLERLHRSVKRLRHVRQPGESAAVAAATLPGTAPESSSPPLGKGSKLQSSNKSLLPPSVEVERIEIGNFKGYDGKAREEKVVDNPSIPPSNSFYASPDPKNDDDDLDEQLDILNDDDDDDEIDIGRADNTESAPERQPFTGIDINIPHQNETDDEIAEVDVTT >KVI02796 pep supercontig:CcrdV1:scaffold_372:87671:89080:1 gene:Ccrd_018918 transcript:KVI02796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 PPPPPESLSHGETLSLSPSSQPPSSPPQDTRSSQPISSPPIESAMDVSVKEQIPMMHNGSDEDLVSRVMTISITNTTNATNAPYKVAFMFLTPGPMPLLPLWELFFKGHKGLFSIYIHPHPDYNDTIPQDSVFYGTRITSQPLYWGDISMVDAERRLLANALLDPLNQRFVLLSESCIPLFHFTTTYNYLINSNLSYISSFDDKRKSGRGRYNPQMSPNITIQDWRKGSQWFEINRDLAVRIVVEQKYYSIFKEFCRPPCYNDEHYLPTMVNILYGEMNSNRTVTHVDWSIVGPHPRKFGPVEITEELLNSIRFGSTECVYNNSTTPMCMLFARKFTPATLKPLLTFASLLFGF >KVI02783 pep supercontig:CcrdV1:scaffold_372:235456:236626:1 gene:Ccrd_018927 transcript:KVI02783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNYVSCTLTHQDGKFSRATKVIFPNNEIRRFREPIKAAEVMMESPNSFIVHSKSLRVGARFSALNADEDLEFASVYVMFPMNKVNAVITTADLGFLFITAKKIDDRRICIENVPTPLKSRLEDTEEMSSLEFKHRISTCRSKKPLLDTIVEEQVCSRWWKPCCY >KVI02792 pep supercontig:CcrdV1:scaffold_372:48938:50270:1 gene:Ccrd_018915 transcript:KVI02792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLCSENSGTGTNITMSPRISFSHDLSHSDTVPVEQLLRSFSSSSTVDFNFCVQQNPYHHHASMADELFSDGKIIPTQIKQHPPPPPTLPPRQSPSLNRNPPPRIEEEPQNSSRSFWGFKRSSSCGNGYARSLCPIPLLSRSNSTGSSSTNTKRPSSSKEGFTQKHHHHNFQKPPLRKTSYRYGNHTTNTSNGARINPVLNLGFGSFFSSGKKKMKSLEMIG >KVI02794 pep supercontig:CcrdV1:scaffold_372:21582:26585:1 gene:Ccrd_018913 transcript:KVI02794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetylation protein 2 MADAICRVLRDGALEGEHATSLTINDSVDSPFGPIVFDYIFTQLSSYISSGKSQSRGVVLVAFSRSPSFIVELLKSRGIDITTTHEWLRILDCYTDPLGWKERLKERGAIKTPSTEASLIVNLCKDVRNLDDLFSLIIALGKGLVGEGKNRFSVAIDSVSEMLRHTSLSSVASLLSNIRSHAQISSVFWLHHCDLHDMKTAAAFEYMSSMVANVKPLSTATNVLRENSENLSLLEQNYKRGQFHASLKRRNGRVRIMVLPSFSLNMYTCTHHTQAHIQCSISAKKCEEFSIEQSLIKFTSLSAGKNETAQSLVPKVSFRHYRIYPFMSFFYVQHNSGYMFWQVQFNLQLSDKERSDRAKVVLPFEHQETGKSVQIYDGRRSVTEGKHVSSIEKLQKQEDPGRGEIIYFRDSDDEMPDSDEDPDDDLDI >KVI02784 pep supercontig:CcrdV1:scaffold_372:164373:164765:-1 gene:Ccrd_018924 transcript:KVI02784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPSKTKKPEPPLWKWKGLKLTTNAEKTYSISDFLHENTSKLEEAVLGPGAGAGVGCGAGVGIGLVGGVGFGGSDWNHVRMAFGFGIGCGVGVGFGYGQGFGFGSSWANLKSRIVKPHSDSNKRLLIQL >KVI02791 pep supercontig:CcrdV1:scaffold_372:61964:66845:1 gene:Ccrd_018916 transcript:KVI02791 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MMNETASLNGSSSLAISDKRPRKTGGCVGIFFQLFDWNRRFAKKKLFSKRLLPPDRAKEASKKFGGDEKLPKLRLIADENSGGFPNINKNGGSSGNSNVGKNGVQTPSLVARLMGLESMPSVHREKLQKDFSGEFRGGRREKVVGNDDDFEKETSKQDFRPQKLQKTGMVERRSVTRFGAEALQLKNVLSRSRKHHHHHHHHPKLASPVKNTSHHARRNSSRLIGAATRILEPQSRNRVKHAITYPHSERIPLGNELGSESMKGQNLDLLNGQTSCKNCGNLVDVSESTSKREVRASILDSIELSSQVSPNCNSRFNQEKEFSTNIDRGRQPHPQNLAFPAYFKENSRRTADVSLSGDGGTFSSQSRSNCLRSATVSVSDTKDFVALNRSLSGRTRSRTPSKVEDTKFDKRGKFENGQKRPINHIRIQSESCGMIGSSSNRPRTVSNTESTGGGGNKNCDGMAFRFNSSMNKRCEIPTKLERRRIQNGSSYKTTPRKKSTSNQIDEKICLQKPYPLTGDSLGAILEEKLKELANQVGYESKRSSANIFQELICALKERPIPQNNSEFSSRGNHLSRHQNHRHDQNTSFGLQAKSEKTGGLIGYKIRTQHADSLGYSYDESRFLDLETDPFLYAGVKEDDLYDLVADLLTYIVEVLSNILDAGVKGNKRAHITETIFNAELVLGNQMAQKPNETNSFLVCRLLLELETVAEVMWMKFGDFLGSENPKAGYQMKLVAFDCLIEYLDLKYGKYSKCGFRVWTTVPPFMGPEILVHEVVEEVRRWMGFVGRRSDELVEVDMSHWLGKWTDFEIEGYEIGARIEGDVLEMLVDEIVVDLCCCCSGVK >KVI02793 pep supercontig:CcrdV1:scaffold_372:27149:34703:-1 gene:Ccrd_018914 transcript:KVI02793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNWELKDCCDRDQKLFLATVGIFTIVILALWRTFLLTPFKLITVFLHEASHAIACKLTCGEVMGMEVHANEGGVTQTRGGIYWLILPAGYLGSSFWGMLLILASTDLLAARIAAGFIIFIAIVWVLQETTTVRILRYVILFIGITLGSYSVYIYDDLISRRVNSSDAEKFAEICPCPCNGVAWGVIWFMESDLVHVAD >KVI02789 pep supercontig:CcrdV1:scaffold_372:143860:144318:-1 gene:Ccrd_018921 transcript:KVI02789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQKFKLLATQCAVAGSPTRSPSTSPVIHLRRRKTLRMLLSRGGGGGRRMPPRDEFLDRRSSDVDSSESRKNGSVRRKLKDLLVSSPSPPPPRLLEENDCDGGEESNRWSPGGGLIIRRGGFRGLRPLSGTLRQRLLRRAWRPVLVSIPE >KVI02787 pep supercontig:CcrdV1:scaffold_372:157587:158036:1 gene:Ccrd_018923 transcript:KVI02787 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MKYNPRVTSSRRKCRKAHFSAPSSVRRVLMSAPLSTELRSKHNARSIPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGQTVNVGINPSKVVVTKLRLDKDRKSLLDRKAKGRAADKSKGKFTVDDVAAGAPLQDID >KVI02781 pep supercontig:CcrdV1:scaffold_372:240926:242230:-1 gene:Ccrd_018928 transcript:KVI02781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MALQNIGAGNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDVAKALARPPSYTTKYFGCELGAQSKFDEKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLITKTQMVQLKCAACGFISDVDMRDKLTSFILKNPPEQKKNSKDKKAMRRAEKERLKEGEAADEELKKLKKEIKKKGVSSSTSKDVHPVKSTSSKKKPSGSDEDRASPTLSQTGDKEDANEEDDDDVQWQTDTSAEAARLRVQEQLSAVTAEMVMLATEEPVKAATTKAVNGKTTHETLVGKAKENLKNGIAPKELMSSLTGSRQEIMTALYEALFDEVEKGFAKQVVKKKKYLVAAVSDGEDSQMLLLRAMEEFCGKSNPIAVKEVPLVLKALYDLDVLEEEHVVKWYEEGLNGGNKSSLIWKNAKPFVEWLQSAESETEEE >KVH99205 pep supercontig:CcrdV1:scaffold_3721:13762:16899:-1 gene:Ccrd_022565 transcript:KVH99205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0118 MKMEVVPYTDPKENSITPPWQEMFRSASTRKPNPSSPETNIQPPPPPDPPQSTTSSDEEKEDSSISGDPQVRLALYIAMAHAGFAFAFLIIYGVYRLLEQYLRPILWAVLCSIPLRGIQQTLVAFWSEPLKLGLTETILAVPVSMFRVFIGALVEFRDIFYKIIRRRKKKSNSMKRKRSGFSILLRWLLSFWVFVMVYEQFGGFGAITLLGLGFMFTSSNVDSTMSVVSSFRSHSFKRSPYSAIFTKRILNRLETVVAIGLIVGMIVGSMATVTFFSYKVGIEGKGAVFSIKSHVEESNYAEKIGLKQWMDEHDVPAMVDRYTNQFYETVYEQIDSLAMQYNMTEIVEEMKQIVSPKFTNTSARSNALAIPNPYAEKILSLRRRVSNREWAEIYPEFSSLFKEVVISREDITEKAKSIAFQGKDVIQRVLASSKSIVGGSTKLVFVVIESIVSGAAGLWNFISQMMVFIWVLYSLITSDSGGVTEQVMFMFPISKSARTRCVEVLDKAISSVLLATAEIAFFQGCLTWLLFSLFDIHFLYMSTVLAFISPFFPLLPYFISTIPAGLQLVLEGRYIIAICLSVIHAVLIEFGITEIREDIPGHSAYLTGLSIIGGVALFPSAVEGAIMGPLITTVVIALKNLYVEFVLDEVK >KVH99204 pep supercontig:CcrdV1:scaffold_3721:31575:33983:1 gene:Ccrd_022566 transcript:KVH99204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MDSKSSSKIVGSQSPSPLNISKTSDLEPATPTKSTEKSEIESKKSQQNQDSKSLAEKIEKSLSFTPPANKNDLSEGTFDQEKSFKESSGSVNLSDGVSSGLKTSRNAKIGERIDLVESGKSSMCRGSTSTDVSDESSCSSLSSSISKPHKSNDSRWEAIQAVRSKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKSYFAMKVMDKVSLESRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKRFCEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSLDNEPFRKNSAYCVQPACIEPPSCIQPSCVVPTSCFSPRLFMSKSKKESKSKPKTKPEIRHQVTPLPELMAEPTGARSMSFVGTHEYLAPEIIKNEGHGSAVDWWTLGIFLYELLFGKTPFKGSGNRATLMNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIDRIPSPLANSGEKKAAAIAVAPEKKGSDNYLEFDFF >KVI11234 pep supercontig:CcrdV1:scaffold_3723:37427:40857:1 gene:Ccrd_010358 transcript:KVI11234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKATSEIDGAEPESTTPPKTKDESLSIEELPLESKLQLKLDQKLKMKLAKKVRLRRKRLVRKRQLRKKGRWPPSKMKKNKNV >KVH98740 pep supercontig:CcrdV1:scaffold_3724:22784:30456:1 gene:Ccrd_023033 transcript:KVH98740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MKNMSLLRIKANVARKPASNYLASFGFSRLIHSLQFATVKAEEISGSQPAEVQNLVQGSWFKSANWNTIVDPLNGESFIKVSEVDESGIKPFIESLSKCPKHGMHNPFKSPERYLLYGDISSKAGHMLSLPEVSDFFAKLIQRVAPKSYQQALGEVYVTGKFLENFSGDQVRFLARSFGVPGNHLGQQSHGFRWPYGPVAVITPFNFPLEIPLLQLMGALYMGNKPILKVDSKVSIVMEQMLRLLHDCGMPVEDVDFINSDGKTMNKILLEAKPRMTLFTGSSRVANKLADDLNGKVKLEDAGFDWKILGPDVHEVDYVSWVCDQDAYACSGQKCSAQSMLFMHEFTTEAMLGHMKKLLQIPGSKLLFGGEELENHSIPKVYGAIKPTAVFVPIEEILKGENYELVTKEIFGPFQIITEYKDNQLPLVLDALERMHAHLTAAVVSNDPLFIQEVVGKTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIRLVWSCHREVIYDVGPVPHKWQIPPST >KVH96886 pep supercontig:CcrdV1:scaffold_3726:35876:36232:-1 gene:Ccrd_001022 transcript:KVH96886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVICRIVRNWDWYKQGVNGIFLNFFGFNGTAGVWRIKTLEDCGGCLEKTIVDDMDIVVRAYLHGWKMTFLNDVEILEKDLAHSFLRLSLF >KVH99417 pep supercontig:CcrdV1:scaffold_3727:10257:13578:1 gene:Ccrd_022349 transcript:KVH99417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLDPFISFCVETSKDDQEGKLVIQM >KVH99416 pep supercontig:CcrdV1:scaffold_3727:45243:51373:1 gene:Ccrd_022351 transcript:KVH99416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEPQRNTRMVQNPTHHYVTGTEAAFQSSPPWVMTDDGVLAGYDRDQMASNLPHPSIDVDETMSNVFSSLNISPINRLRCRRHLPETLDGGGTSIGIGEASVVRPFRNNAGGDIGNSHRRIYSSVGHVMVDPLQEVPSSGFDQPFDFDQSSDLLPKEQLYSTPQLSPHLFCSSNPSSAILDDSFPPNSANNSNFARSRAHQLLSLLPLKELRGKIHSIAKDQNGCRLLQAKFENPTKEEIEMVLYEVLDSIKDLMKDQFGNYLIQKLIVVCNNDDQKLRILVSLSELPVEMILVCMNPHGYVQFIRIFCDHMKMLFLVICRTRAVQKLLENLTDPYQRALAMAVLGPGAARLANDPNGHHVIQYCLIHFPCDVNEPILNEIADKCFQVATDRSGCCVLQACVENSRGELRTRLISQILVDAIHLAKDPYGSRLTRAIICYITFRNYVLQHVVGLNVPEFTAQLVRELRGNFASLSCNKYGSNVVEKCLNESREEISSLIVMELITSPNPSLLLTDPYANFRTTYPTASPPSFSRSK >KVH99415 pep supercontig:CcrdV1:scaffold_3727:27762:29704:1 gene:Ccrd_022350 transcript:KVH99415 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKNLCYILVLSLFLYMITKHFLHKFRKFPPRPFFSLPVIGHLYLLKQPLHRTLAKISNQYGPVVFLELGSRPVLLVSSPAAAKDCFTTNDLIFANRPKLLAGKHLGYNYTTLTWASYGHHWRNLRRIASLEILSTNRIQKFTDVHRNEIISLVRTLNERSQKDGFVIVDMKSCFFKVTLNMIMMMITGRRCYGENMEEPVAGKLQEMVEETFRLSGGTSNIGDFVPMATWVGFNSMEKKMEKLNRKKDSTMQEMIEGHRRMRSDSCFEEAGTDTSAGTLEWALSLLLNHPDSLKTTISEIDNEVGSSRIMNHSDLSNLCYLHGVINETLRMCPAASLIPPHXSTEECTVGGFRVPARTMLLVNLWAIQNDPKLWKEAERFKPERWIEVEAQRDGFKFMPFGSGRRGCPGEGLAMRMVGLSLGMLLQCFEWERIGVEKVDMSEGFGLTMPKARPLLAKYRPRPHIVDLLSRI >KVI02998 pep supercontig:CcrdV1:scaffold_373:251696:263887:-1 gene:Ccrd_018709 transcript:KVI02998 gene_biotype:protein_coding transcript_biotype:protein_coding description:KEN domain-containing protein MKYTLIRALFANFLLFFLLFGSSDGVPNLEISNVNHAPARRSLLSFPDNLDTALVAALDGTINMVYRESGRIIWSFASGSPIYSSYQAPVDHDDKENASALGGSYFVDCGDDWKLFAHTDLGKVNMDVSIEEFIRIMPHVSEDGGVILGSKTTTAFVVDANTGRLIRVHYSSPDTTHTSSSNESSNSIIQKAIVKQEKSDAVDEKPDKQLSFTRTDYLLTSFAANSDKVLWNVTVAEIDVALLCQENPNSITGPSRSLHNDLRSKSSVNFDMPLSCQSKAAVHRLRSPHIWDYFKTNGRPSEYRAPMLLLPPNEPLLPNSDKSRKSYPNNDFETQTVFSLSSPDQNAQIPDPQDVRKPNYKVSVLAEGRIAFVIISALIVVIGFLMYRHHTLMVAMLRKQPDAMNAKSSSSRRKRHRKSGKNYGNEDGNLEVDSEKGSPLNFNHLIECDMEGRSIGKLFVSNKEIAKGSNGTIVLEGKYEGRKVAVKRLVRAHHDVAFKEIQNLIASDQHPNIVRWYGVEYDHDFVYLSLERCSCSLYDLIQMYSESFQKLGCTEAQAPKAMTDYKGCLDSLNGVMHDIHLWRPNGYPSHILLKLMRDIVSGLMHLHDLGIIHRDLKPHNVLIVKEKSLCGKLSDMGISRRLVGDMSSLGHHTTGSGSSGWQAPEQLLLGRQTRAVDLFSLGCVLFFCITCGKHPFGDHLERDVNVAKNQVNLFLVEHIPEAVDLFYRLLNPLAELRPKASEVLHHPLFWNSEMRMSFLRDTSDRVELEDREVDSIILRALESKGPVALGGKWDEKMEPAFITNIGRYRRYKYNGVRDLLRVIRNKLNHYRELPKEIQTLLGSVPEGFDDYFASRFPQLLMEVYNVMYHYCKQEEWFHKYLDH >KVI03002 pep supercontig:CcrdV1:scaffold_373:94077:105347:1 gene:Ccrd_018701 transcript:KVI03002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDQSRKLYTRMRLWELPDQYVAEPLDVSTGPCLAVNRDGSLALVDEVPHCTTVRVPRIQTIYGVVGILKLLAGSYLIVITERECVGSYLGHPIYKLLSLKVFPCDRAVKNARDEKKKMESEFYGLLKVAEKTPGLYFSYDVNITLSAQRLNDLGDESSWLPLWRQAEPRFLWNNYMLELLIDNKWLIADASQLVDQLQFFAELFNCHTLNLVPAYQNFQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADLDGYVANFVESEQIIQLKGYTASFVQVRGSIPFLWDQIVDLSYKPKFEILKPEKAPRVAERHFLDLNKKYGNVLAIDLVNTRGDEGRLTEKFATAMQRIISDDVRYLHFDFHKICGHVHFERLSILYDQIEDFLIKNRYYLLNDKGEKVETQIGIVRTNCIDCLDRTNVTQSMIGRKMLEFQLQRLGLFDAEESISTHPNFDDCFKILWANHGDDISIQYSGTPALKGDFVRFGRRTIKGILKDGWNALMRYYLNNFVDGTKQDSIDLVQGHYIVSLSRDLAPKLKKGGLENIAPFPVVLGVVLMGIFFSLMSIMRVRDDYWQLPLSIFWATLSIVIIRYVRANRRAFCNRPRLHKPPH >KVI03007 pep supercontig:CcrdV1:scaffold_373:77729:80842:1 gene:Ccrd_018699 transcript:KVI03007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MEISVDNNKPTNLQVKGGEKMATRKFGVEISHNRRALGTINQRGGAQKCPCVVNKRALPHANGNNENIGVNQLHRPITRKLAAQIANKKQCFPEDVLKPKQSTESFKVWEDVEDEPVPMSLETTEPQKDLMEVEMEDIFVEPVVDIDISDTKNHLAVVEYVEDLYAHYRKMESYGMVSPNYMLTQQSDINERMRAILIDWLIEVHHKFDLQHETLFLTVNLIDRFLAKQSMARKKLQLVGLVAMLLACKYEEVSVPVVDDLIFISDKAYSRTEILEMEKLMLNTLEFNMSVPTPYVFLKRFLKAAQSESKLEQMSFFLMELCLVEYEMIKFAPSFLAAASVYTAQCSLYGLKQWSKTCEWHTNYSENQLLECSKMIVGYHQKAATGRLTGVYRKYNTSKFGHAAKCEPAKFIVEEESCC >KVI02997 pep supercontig:CcrdV1:scaffold_373:246852:248471:-1 gene:Ccrd_018708 transcript:KVI02997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MGQNKLKIHFEHLWANFAKLPVPAYRSLSAIFFFTEAVGYHPPSTVLPCFSYQGIQLQMASLKEILNRRPVAATVRLTIPAGGARPGPPVGPAVGQYKVNLMAFCKDFNARTQKYKPDAPISATVTVFKDNTFELSVRSPSVTWYLKKAAGIESGSGRAGHVVASTLTLKHIYEIAKVKQADPYCQYMSLEAISKSIIGTANSMGIKVEKELD >KVI03003 pep supercontig:CcrdV1:scaffold_373:200277:205959:1 gene:Ccrd_018706 transcript:KVI03003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MEQDEGNNNNNNGGMFVKVMTDEQMEVLRKQIAIYATICEQLVDLHKSLTSHHDLAGVRLGNLYSDPLITSGGHKFSGRQRWTPTPVQLQILERLFEQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQQVSAPNNGESEVETEVESPKLQQNSASTADDNLCFQNPNVSSGISSVDQRAKKVEPVFPSDASSKPAGNVGQMSYYGSMLANPRMDRIIGKMEVPGSYHQSYMQGDEYNMTG >KVI03000 pep supercontig:CcrdV1:scaffold_373:127084:135395:1 gene:Ccrd_018703 transcript:KVI03000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLVEHTKSLAAPIIFIVVLVFQLFDKSLAHMKKKASMSEKDAQLRAEIKQLLKEAAALSEPSTFAQSAKLKRMAAAKERELAKTGSLLSMVNSHLPQLLYYLATVLARSRVSQQGDKNIIRLVRENSDDFKGRFLSWRTGNSFSDSIMVGVIPWLILSTRVGKYLCSKVLK >KVI03009 pep supercontig:CcrdV1:scaffold_373:268779:273678:-1 gene:Ccrd_018711 transcript:KVI03009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGFWGLFEVASMPILQVLIVSAIGAFMATDYLNLLPTNTRNSLNKIVFVIFTPSLIFASLVETVTFEDIISWLGFEIVYQGSSGNEAKKDGSFSDRLVEILKKLLEQLLAPPTLGSGWNHTLSHSYIRRKPHTRSTKGKHGAHDRYDGHHCSLLDSSHNWHRCDQNGGNSRVASVGSPFQIRVVDHVCSASRREY >KVI03001 pep supercontig:CcrdV1:scaffold_373:81442:93564:1 gene:Ccrd_018700 transcript:KVI03001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock chaperonin-binding MGGGGEGSDGVVIDGIEVKKADGGDAVTVNIRCSSGTKFSVQVSLESSVESFKSVLAQNCDIPAEQQRLIYKGRILKDDQTLKSYGLEAEHTVHLVRGFVPAASNNSAGTATTRAPNITPSVARGVGSIEEGMMGGAGASLFSTLGLNGLGGNGGMSGAGLPELEQIQQQLTQNPNMMRDIMDLPLVQNLMNNPDVIRNMMMSNPQMRDIIDRNPELAHMLNDPAILRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMGGDTRNDLSSNPFAALLGAQGGRDQLNSPTTAGAETTTGSPAPNANPLPNPWAAGAGGNQRNATATRPPGTDRSTSPVSLGGLGIPGLEGILGSTPDPSAMSQLMQNPAISQMMQSLLSNPQYMNQMLGANSQMLNPQLREMMQNPDFIRHMTSPETMQQMMALQQSLFSQLGRQQSTGDVGQTGGGTVPPEERYATQLAQLQEMGFFDTRENLQALTATAGNVHAAVERLLGNPGQ >KVI03006 pep supercontig:CcrdV1:scaffold_373:170286:176346:1 gene:Ccrd_018705 transcript:KVI03006 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MGGFFHLTDLKQGRMARKFGTQKKNVGGLEAPRNSLELSVETCQSHYPPQDGQHKYQEPQDWSETSCYPDEAPMKKLINDEMLKRAKNRANSPSIVARLMGVDTLPLDTKSVTQRTEEKKEIPANDLSKEKLTRCSSVGSGISPSKSFRQTRLDSFHVDEDSDPDEWSGSSKKLMPREHPQEEELQKFKKEFEAWQSARFKECSKIVEHGSTPGQWMAQENLNNEKMALYANSGTKGQKLQDLKKSRSLKAGLHDRSNSYEFLSPDDKRSFPMRRKTLSEDFKSSLLRPDQETGAYSTPTRIVILRPGFDNISYPEEPWAASSSGASEDRNNIEDFLEEVKERLKFELQGKTFKRGGVVRGGGIETPYSERPSDPKQIAQRIAKQVRESVTKDLGANLLRSESTRSYRGESQCSGASSPEFISRDTRRLLSERLRNVLKRESHSNTLMDVENTSKSSILNRTLQSSDILKDENDIQSKSFRCGPDDMNMILQRDLSPINLVRSLSAPVSGTSFGKLLLEDRHVVTGAHIRRKHETNEKLTMKVKKQRKDKFNLKERVSSFKYSISLRARLFARKIHSATEFRDNDRSFVKDIMNGPTVTMNFAERPVRTPTTDTFLVSFKFPPSPASVCSSIHEDFYRAAYCVSPSTTPGAVTSDDNDLPQPFRDISSNLNELRKQLHQLETGRSEETVTEDQSFESDMVELEDEDEAYVRDLLIASGLYDNSFDKSLSRWDTFAKPITSRVFQQVEESHKHKMNHIEPKEQADQKEAHHKVLLDLLNEILSTILAPPIHISKFGRKVARLPRGNTLLNQVWGMLREYLHPPIDKSFYFFDTMVARDLRSMPWSELVSEDIDALTKEVECQIIRDLVEETVKDMGDDYGNRKV >KVI03008 pep supercontig:CcrdV1:scaffold_373:15244:60506:-1 gene:Ccrd_018697 transcript:KVI03008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRRRPSPLAGELQSKDDDLXFATVHRDLVFASVHRDLGELVDGGQHEEDGENVKCRNNGSCTYDPKIDQEKRRNSLSGIPSMEQETVGFSLTKTVFRHNTRLWDL >KVI03010 pep supercontig:CcrdV1:scaffold_373:254159:255518:1 gene:Ccrd_018710 transcript:KVI03010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRRASPTGEAKNSINQETVEDNFFLFGGWKNRSQGGIESTTRRRRKEGNLYAKDQNTNNIGMCNYDSVMINLLNFDNSNYVPEFSKRV >KVI03005 pep supercontig:CcrdV1:scaffold_373:141066:142691:-1 gene:Ccrd_018704 transcript:KVI03005 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MKGGKSKSESKKPDSRLAVKKKAAPAKKAAPAKKAKEAKDPNKPKRPASAFFIFMEDFRKQYKEKHPNNKSVSVSEGKDAEEEEEEEESDKSKSEVHDDEEDDDDDSGEDDEDDDE >KVI03004 pep supercontig:CcrdV1:scaffold_373:236321:239134:1 gene:Ccrd_018707 transcript:KVI03004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFTHDQMEANVCDVNHLDSDVLLPPRKRLLACLKKQNGDGNGNSYSPSTCSSLNELDARISFLLRAHLSNANPSQEEIVTASRSAAEVAVKVAMAARATAEEKAVIAAKAMAAAKKALEFVASFDNQEISSSAEQQLKKNKTKKQVEVQMLYNNKKPRLENGKSKTNDEELARQLHQAINSSPRISKCGLPSDVKSHKHKKLKISSENGRINNGSIVGEGISPSSNGASKPLEMVTSIGKEVENASKSKMENGNAERIQSKAKCSEEDTTILGRKRGRMKQKKLPLSICHDRDQATPKEDRTSRSSFSVGSSANGAMAVERNSSWKCQAFKAPACVKQNKVMQL >KVI02999 pep supercontig:CcrdV1:scaffold_373:107184:109258:-1 gene:Ccrd_018702 transcript:KVI02999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MDGNRRFAKKWQLDEGAGHKAGFLALISVLKYCYEMGVKYVTVYAFSIDNFNRRPDEVQYIMDLMXEKIEAFXKEVDIVXKYGVRVLFIGDXDRLHEPVRIAAKQAMEVTAGNTRTYLLICVAYASSYEIQRAVTYACQEKXXGVXELSVNGNGNVSGIGDQAIKVGDLEKHMYMRVAPBPDIMVRSSGETRLSBFLLWQTTNCVLYSPKALWPEMGLRQG >KVH85378 pep supercontig:CcrdV1:scaffold_3730:32519:35608:-1 gene:Ccrd_025433 transcript:KVH85378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MAAASSSTSFFGGRSEEEQHVSSVPTSSSTIQSAAAQQKKKRSLPGTPSNIQDLFFFPFLFFPHNFGFCLNKIRTTDPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEVKRKVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKYKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPSALGTIGSHLFGGHLSNSMNLGLSQVGSQISQLHDQNHQSSTTNLLSLGSANFEQVMLPPSQTTLFSQTQQQQMPNSSSPFFISNSNQGLNHVFDYEQQRQQHGLMANKPNIHGLMQLPDLHSNTTKISPNLFNLGFFSGSSNPTNGLKNSENNSQNNDTNMSSTGFMIPDHQFNNGGGSHDRVFLNSNNPHQENQMPPHMSATALLQKAAQMGSTTSNNNATTLLKSFGSSSKSGVGGGDGKSHTGRQTLSSNFDARGDQALQSEMESDNQLQGLMNSLSSGGASSMFGGYGGNQNRNMNFGNMNEAGKFNPQNFSLSVGNSNRTTLDFLGVGYSQVEQQQQRPAHINVGSLDPEMDSSTQATHMRGGSSKLQGN >KVI11103 pep supercontig:CcrdV1:scaffold_3731:32387:38641:1 gene:Ccrd_010490 transcript:KVI11103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNFFRDRNTGIWDEXSITVAGPLKIVDPHLVSSFFDDYKRVYLHATTELVNRSDKAADCSLKIEVSTTLEGNTCLVEHLQTEHLSVPAGSHAQYTFPELFFYKPNLWWPNGMGKQSLYNVEITVEVEGYGESDVWSHHFGFRKIQSEIDSATGGRLFKVNGEPVFIRGGNWILSDGLLRLSKKRYKTDIKFHADMNFNMLRCWGGGLAERPDFYHYCDIYGLLVWQEFWITGDVDGRGDPVSNPDGPLDHDLFLYCARDTVKLLRNHPSLALWVGGNEQVPPDDINEALTNDLKLHPFFLKANENNNSKGETTPTLMDPSQYLDGTRIYIKGSLWEGFADGEGGWSDGPYEIQNPEDFFKDDFYKYGFNPEVGNVGMPVAATIRATMPPEGWQIPLFNELPSGYVLEVPNPIWVYHKYIPYSKPDKVHDQILLYGEPKDLDDFCLKAQLVNYIQYRALLEGWTSRMWTKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRHAAEPIHVQLNLESYFIEVVNTTSSELSDVAIEVSVWDLEGTCPYYKVSETLSVPSKKTVPIFEMKYPKSKNPKAAYFLLLKLYNVSDFQILSRNFYWLHLPGGDYRLLEPYRNKKIPLKMTSDISISGSTYNIRAQIKNTSKKPNPKSLLYKNNFMERNDDGDFDLKSSDKKKQEIGLLQKIYSRFSKEDNEVRVSEINGSEVGVAFFLNFSVHASKQDHRKGEDTRILPVHYSDNYFSLVPGEEMAITISFEVPEGVTPRLSLQGWNYDSALTVH >KVI11104 pep supercontig:CcrdV1:scaffold_3731:11674:20798:1 gene:Ccrd_010489 transcript:KVI11104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MGEEQGKKVLEEGWLAARSTDVELTGIQLTTTHPPSSDASPWMPAVIPGTVLATLVKNNLIPDPFYGLKNEAIMDIGDSGREYYTFWFFTTFQCKLSSDQHVDLNFRGINYSADVYLNGHQTVLPKGMFRRHSVDVTDILHPDGENMLAVLVHPPDHPGTIPPQGGQGGDHETDADNLMCSCQIGKDVATQYVEGWDWMAPI >KVH85329 pep supercontig:CcrdV1:scaffold_3732:1850:3426:-1 gene:Ccrd_025434 transcript:KVH85329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIEKRIEKLKKSKANNSQTKVKEEAEKSALERIQQALMDGKPARSVPLTEFEKESMHHLCLLTMKPVVYVANVAESDLAKPESNPHVENVMKLASELQSGLVAISAPVEPELIELPSEEKTEFLTSLGVDESGLGNLIRATYNLLGLRTYFTSGDKKLTHQIGNLYKGSSNGRKRGLILTKLVLAKGDMEKEEEDYE >KVH93640 pep supercontig:CcrdV1:scaffold_3733:15422:18016:-1 gene:Ccrd_004308 transcript:KVH93640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGFALFGSIFIFSLFFKSNLGQQQEQSMANTDEFFISQFFEKMGINSSSSSHVYNLSSSVCSWEIVFCDAKQENVIGLVAPDLGLTGSIPDNTIGKLRKLQYLDLSSNGITDFPSDFWSLVSIKTLNLSNNKFSINLPTNIGNFGLLERLDLSFNNFSGSLPDSLSSLTSLQLLNLNQNQFDSIIPLGFISCHSLISIDLSFNRFHGSLPDGFNTAFPKLKSLNLAGNGITGRGSDFSKMVSVTYLNISKNLFKGSVVEIFQGPLEVVDLSSNQFEGHISKVNFSSTFDWSHLVHLDLSDNEISGQFFSNLNQTHNLKHLNLANNRFSKQTFIHIDELHSLEYLNLSKTNLIGRIADGITMLTHLKTLDLSNNHLAGKPPLLSFKTLQNLDLSNNNLTGDIPMSLLQKLPWMERFNFSYNNLTLCDYEFSLETLQSAFIGCSNSCPIAANPTLFKRKPHRHRGLELALALAVTLVCLLVALLLCAFGCRRKTRMWDVKQESCNEEHAISGPFSFKTDSTTWVADVKIASLVPVVIFEKPLLDFTFSDLLSATSNFDRGTLLAEGRFGPVYRGFLPGGIHVAVKVLVHGSTMTDHEAARELEYLGRIKHPNLVPLTGYCLAGEQRIAIYDYMENGNLHNLLHDLPLGVQTTEDWSSDTWEADESNSNGIQNVGSEGLLTTWQFRHKVALGTARALAFLHHGCSPPIIHRDVKASSVYLDYNLEPRLSDFGLAKIFGNSVDDETAHGSPRYTPPDDTITPKSDVYGFGVILLELITGKKPVGDEYLDDDNSKAPNLVSWVRGLVRMNRGSRAIDPKIRTTGDECQMVEGLKIGYLCTADLPAKRPSMQQVVGLLKDIEPLRASEEI >KVH93641 pep supercontig:CcrdV1:scaffold_3733:8507:15201:1 gene:Ccrd_004307 transcript:KVH93641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase/peptidase B MSTQIPIPTPFLHSSHAIPPIYIRYKMAAAIRLAAYTSLASIPSSSSSIFINFRSISHLGISISANPLLFCSRRGKRMAHAVAKATLGLTHPGQIDHPKISFAAKETDLVEWKGDILAVGVTEKDMAKDENSKFQNSILKKLDSQLHGLLSEVSTEEDFTGKDGQSTVIRIAGLGSKRVNLIGIGKVPTGSSTFAYRGLGALLGTYEDNRFKSESKKAALKSVDLFGLGAGPELEKKLKYTEYVCAGIFLGKELVNAPANVLTPGVLAEEAEKIASTYSDVLTATILDTEQCKELKMGSYLGVAAASTNPPKFIHLCYKPPNGSIKTKLAIMMKVDMGGSAAVLGAAKALGEIKPAGVEVHFIVAACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIVDLATLTGACVVALGPSIAGIFTPSDELSKEVVAASEVAGEKLWRLPMEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWLHIDMAGPVWNDKKKAATGFAIPTLVEWVLSNSSP >KVH93639 pep supercontig:CcrdV1:scaffold_3733:32010:39288:-1 gene:Ccrd_004309 transcript:KVH93639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MAETLSLIDNNIIVTQKPIDRGNPGGESTESLAPPDKSPFRRIEFHQARKPFTGFTNGGGDGSFRLETLNPATTSSKNTHGNQQSVGLDTVGGGSLGKKRDASEFENGLDPELSFGITFRRIGAGLENLGNTCFLNSVLQCLTYTEPLAAYLQSGKHQVTCRKAGFCALCAIQKHVSRALQSSGRSLAPKDLVSNLRISRTFRNSRQEDAHEYMVNLLESMHKCCLPTGVPSESQSAYDRSLVHKIFGGRLRSQVKCMQCNYCSNKFDPFLDLSLEILKADTLYKAFANFTAKEQLDGGAKQYQCQQCKQKVKALKQLTIHKAPNVLTVHLKRFGSHMSGQKIDKKIQFGSTLDLKPFVTGLYVSQENLFSALVTQIPHEIGFYNVHIECLLLRNLCGDCIPSFFMVLLYLRSVYKTMQDGDLKYTLYGVLVHAGWSTHSGHYYCFVRTSSGMWYSLDDNRVIQVSEKKVFEQKAYMLFYFRDRKSFPSKKPADVVHQKEKIVMNGTLNGSSARKETQMNAGLSNRSLNAHKSLATVGAERNGFGPNVPKETQMNNVPRWDEDESTPYQIRETTRVRTIGYVGDEWDEEYDRGKRKKLRISKTEFDGKNPFQDIANERLKGVHAEKQQLSKRPSKSKAFNESRSGNRPIRI >KVI11403 pep supercontig:CcrdV1:scaffold_3735:22150:49754:-1 gene:Ccrd_010187 transcript:KVI11403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MANSSIPGILEKMTGKDKDYRYMATSDLLNELNKEGFKLDNDLELRLSNIVLQQLDDAAGDVSGLAVKCLAPLVKKIHEAQVLEMTDKLCDKLLNGKDQHRDIASIALKTIFSEVPTSSVAQSVLISVSPKLIGGITNPAMKTDIKCECLDILSDILHKFGNLMTSDHEVLLGALLPQLSSNQASVRKKTEHDNLQILTKSPCITASLASSLSDDLLAKATIAVVRLLQNKGTKPEMTRTNIQMIGALSRAVGYRFGPHLGDTVPILIQYCMNASENDEELREYSLQALESFLLRCPRDIFSYCNEILQLTLEYLSYDPNFTDNMEEDTDDEIHDEDDDDDSANEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLSNLYDEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDIDKLSPRWSLKQEVPKVVKSINRQLREKSIKTKIGAFSVLKELVVVLPDCLADHIGSLIPGIEKALCEKSSTSNLKIEALIFTRLVLASHSPAVFHPYIKAISAPVLSAVGERYYKVTAEALRVCGELVRVVRPNIKVSDFDFKPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLLVSTFGDHLTADLPACLPVLVDRMGNEITRLTAVKAFAVIAASPLHLDLSCVLEHVIVELTAFLRKANRALRQATLGTLNTLIVAYGDKIGSAAYEVIIVELSTLISDSDLHMTALALELCCTLMSDRRSGPSVGLTVRNKVLPQALALVKSSLLQGQALLALQNFFATLVYSANTSFDVLLESLLSTAKPSPQSGGIAKQALFSIAQCVAVLCLAAGDQKCSSTVKMLTEILKDDSSTNSVGFSLSSFFCGNLNPVRCVQAKQHLALLCLGEIGRRKDLSSHAHIENIVIESFQSPFEEIKSAASYALGNIAVGNLPKYLPFILNQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIKPSKLVPALKERTTSPAAFTRATVVVAVKYSIVERPEKIDSVLYPEISSFLMLIKDQDRHVRRAAVLALSIAGHNKPNLIKSLLPELLPLLYDQTVIKKELIRTVDLGPFKHTVDDGLELRKAAFECVDTLLDNCLDQLNPSSFIVPYLKSGLDGKFMMIPSILDSLVDPLQKTVNFKPKQDAVKQEVDRNEDMIRSALRAVASLNRISGGDCSHKFKNLMAEIAKSQSLWEKYCSIRNE >KVI11278 pep supercontig:CcrdV1:scaffold_3736:18394:19146:-1 gene:Ccrd_010314 transcript:KVI11278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MRQMKRSMVMLLYLMIRNVLDDGIVDMKSVMPNYDMDVECNNKDKNVVIENDDHNDALLELEASESNIDKSDLEIKRFKYLKKLRKERGPNTRLERSVNFYVGRVFGSKKGIKMMIDKHAIETRRQITVLKNDLRRIRAVCRGFIPNLKEEVDETSKRKEDKTSGQSCPWVLHIFVGKHDDTWMVKTNVKTHKCLQAREVSDCTASFLSQQISDTLVANSDIPTTSLQINLEQKYASKVSKMKYFLYFLF >KVI11277 pep supercontig:CcrdV1:scaffold_3736:8225:23483:1 gene:Ccrd_010313 transcript:KVI11277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein EPKTYGLDRFVNLKKIPTYVCIDSNYSCTSSSVTTALSLGFQMATNGATADRSDPINNVKISVKFNGRSIPINLSVDSTVKDLKYLLQPLTDVLPRGQKLISKGKILDDEEKFSSLGTYNGIYKLQLIASQGLHQGSGPIKNETTVASNLRRVPETGRREKQVTLVKSQSKRWKLTGVIALSNSDVKVIPHEVWNCGSSIRFLDLNCNSIQDIPEAIGGLSSLQKLLLNANCIKDEFLSWKGISSLKSLSFLSLSQNLLTSLPSDLGALTTLKELHVANNQLTCLPDEIGLLLHLEVLEANDNRIRAIPSCIGNCSSLVEALHLHNNGLKSLPSTLLKNCTHLSTLDLHGTEITMDMLREFEGWESFDERRVLKHSKQLAFRVKGASYFDEGADKR >KVI03022 pep supercontig:CcrdV1:scaffold_3738:25163:30300:-1 gene:Ccrd_018685 transcript:KVI03022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MERSSSRRDGAGCYDDDLLNSESDGKQNKEVGEMDSIERIFESKEVPPWQNQLTVRAFVVSFILGVMFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLNKSGLLKQPFTRQENTVIQTCVVATSGIAFSGTFSYSLSLSPLSLSHTSHTSNIWWLFRIFLNSELYRLEKVTKMKWNMFYGGFGSYLFGMSDVIAKQSNEDNASQNVKNPSLGWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLIYPSGTATAHLINSFHTPQGAKLANFLWGLFQWFFTAGEGCGFSNFPSLGLAAHENKSVHISLFKHSRFSSCYFHIKTRFYFDFSATYVGVGMICPYLINVSLLVGAIISWGLMWPLINLRKGDWYSADLDSGSLQGLQGYRVFIGIAMILGDGLYNFFKVLGHTLFGLYRQVRDKKSETELPVSRNSSSGLSSLSYDDQRRTQLFLKDQIPMWIAIVGYLVIAAISILTIPHIFRQLKWYHIAVIYLFAPTLAFCNAYGCGLTDWSLASTYGKLAIFIIGAWAGGSNGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFISQVIGTAMGCIISPCVFWLFYKAFNNLGTPDSEYPAPYALIYRNMAILGVEGFSSLPKHCIALCYGFFGAAIAINGIRDAVGKEKARFIPIPMAMAIPFYIGGYFAIDMCVGSLILFVWSKVNKAKAAAFGSAVASGLICGDGIWTLPSSILALVGVRPPICMKFLSRGANAKVDKFLGS >KVI00774 pep supercontig:CcrdV1:scaffold_3739:255:9073:-1 gene:Ccrd_020973 transcript:KVI00774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPYKLVGMEILSGHSGPSCQQITLTQKFPEKSLGSFPPKFSRRRKQKFVCDLVRSEVWSLQNSLPSAFHLSHYNGTRRFMSLDAIKGVEGVIDVEESLENVSKRKESIPKVLVPGLPDDSKGDLSAPVSSGQWEWKPKFNIHYERSGSRNTGSPQVLFLPGFGVGSFHYEKQLKDLGRDFGVWAMDFLGQGMSLPGENPTLQPQNDGESQIVNPLWGFGDETEPWADDLVYSIDLWQEQVRYFIEEVIKEPVYIVGNSLGGYVALYFASCNPHLVKGVTLLNATPFWGFFPNPERSPILSQMFPSAGAFPLPPRVRKIMEVVWEKMRDPRSIREILKQVYADHSTNVDKVFSRILEITEHPAALASFASIMLAPQGKISFGEALSRCKTHDIPICLMYGKEDPWVRPVWGQQVKRQLPEAPYYQISPAGHCPHDEVPEVVNYLLRGWIKNLESEGFVALPLLDDESGRYDVAKDLEYMRDGTKRSVRVLLYGSEFSMWSKLSSLVNTQLESLTMKFR >KVI08680 pep supercontig:CcrdV1:scaffold_374:179955:180791:-1 gene:Ccrd_012948 transcript:KVI08680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MLPSMAAAILIVIFNLGVKTPYLLSSNIQNYPSTKQFSLLIGILTRADNYDRRHFLRLIYGIQSSPLAEVDVKFVFCNLTKQEQRVLIALEILRFNDIIILNCSENMNNGKTYTYFSSLPRILSRTYNYVMKADDDVFLRLLPLTSSLQPLPRSDCYYGFVIPCQSMNPFVSYMSGMGYILSWDLVEWIANSDIPRNNTYGPEDKLVGRWLDLGQKARNRFSNKPAMYDYPGKNGRCSHELIPDTIAVHRVKRWDQWFAVINYFNVTKELRPSKLYNV >KVI08679 pep supercontig:CcrdV1:scaffold_374:123373:129729:1 gene:Ccrd_012940 transcript:KVI08679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKTFSSVSEYTKSFVCPLFVETHALVVVDTRSQPFSHCREQKRLKVKERCAEYSREPEIPKTFSSVSEYTKSFVYPIIEETHADLLSKVLGLNHCPTAKIIKVQKSKGFKLPKRLSYTIVLTRREGCYVPVVGDLIALTDVRPKSVEDLQRPHKSYLIAFVERIKIRNSKYELSVLSSKPIYQQEVEDDIGKDSTSYRVEDVKHFAVCLTNLTTNVRIWHALHMEMQADELKMIKKVLRVDFPVEEGCARCSATTADLTSMEMREALNSFQLDGSQEAAVLSCLAARKCRHQNTIKLIWGPPGTGKTKTVGSLLCMLLRMKCRTLTCAPTNIAVVGVTKRFMSLLRDSLPHNVYGLGDVVLFGNRERMKIDDCVDLQDIFLNDRVKILVDCLAPFSGWKGISEWMICFLEDPEVQYQLYRKKHLEGDERESEDKDDEEEDLADPSRVKEAMKKMNWKTLIKSTLKGEKRPINGEISTKTANELPSKQKTDKTKLPDYIMTYEQFVTKGFNFLENRLASCIENLCMHMPTRVISMGAVERMIGLVRSMKRFGQLLKQTVDANVKLRKAMNGFDDFRTGGMSNLYAHRMSILKSLKYLQKALLFPDIKGGSYKRFCLENACLIFCTSSSSTNLHREVKEPLEFLIIDEAAQLKECESLIPLQLRGLRHAILVGDEKQLPAMVQSKICEEAEFGRSLFERLASLGHKKHLLNVQYRMHPSISQFPNREFYDNQVLDGGNVKSNLYGKCFLRGGSEEDVIIISTVRCNGSGSVGFLSSHQRTNVALTRARYSLLILGNGSTLINSGSIWKNLVVDAKDRGCFFNASEDKNLAQAVLGAFIELRQYDSIFNMDSFLFTDAKWQVKFSDMFLDKIGRFFNPEICKEVAFLLVKLSSGWRQPQKDGNTMVNIEGAWPLLVKYNVTRNLRLIWAVDIVVQNSRYIQVLKIWDILPAVKIEKLAKILMQKVYGNYTETMISRCKEECFDGNLTLPITWPLDSETDLSWNLANQLAALSLRDQCASSSSSRTRSGW >KVI08688 pep supercontig:CcrdV1:scaffold_374:74839:79209:1 gene:Ccrd_012933 transcript:KVI08688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRITMETAKTVLEVAEVAFKAAEFSHEHHPHLPRNNEHQQKSDDDNQKKQVKEKELEALRLENQRLRGLLEQNLKLLQNISESPCLLEDCPPDLHSRIMDTVESPKFLSQLKTLHNNSVDGIPCRFSLNDASGMDLELDEILINFGLEEPSLWIWVSDEMVHGNVEEKSGIDNENYVVISQEQVVDGIANFMARCILLNPKAKNLAPEEMQKTLAKALGGKSKFEKILDIWQAGEMFYLMATWGLALAGLYQSRAVLRVAARGVHATSKVAMRAF >KVI08697 pep supercontig:CcrdV1:scaffold_374:106337:107400:-1 gene:Ccrd_012938 transcript:KVI08697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MIHRGRRREIVLAMRSPASIKKEASASASASSTTMAFERGDRVEILSEEEGFVGSYFTANIITWLMDEEYIVQYRTLLKDDGSGPLREVVSADQIQPLPPEIPATGFSLADVVDAYDKDGWWVGTISGKKGSNYFVYFESTEEENAYPLRLLRIHQDWVDGAWLSSKN >KVI08691 pep supercontig:CcrdV1:scaffold_374:25411:26330:-1 gene:Ccrd_012930 transcript:KVI08691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTPCLAFSRALSVGDNPFHCLCHEQDTTSPPVSVNPYPWVTSNPRDSVRNNTAAGGGAPAVIMCTVWLSGSPSDDNMLSTTGAPHMWVTWWLRMAVYMVDASNFRRQTFVPPTAAIPQTKLHPFAWNIGRVHKQTGCFGTPHPRRVSRATINVPRWLWTTPFGAEVVPDVAGHGDGKMKLISCRNIGCKNRDDVASSDLEMGEG >KVI08674 pep supercontig:CcrdV1:scaffold_374:170784:174091:-1 gene:Ccrd_012946 transcript:KVI08674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSLELATKETITNATEWMNTNIVADGGTNLLLPLKQAIEMVGKTSGASSSS >KVI08684 pep supercontig:CcrdV1:scaffold_374:216584:217579:1 gene:Ccrd_012951 transcript:KVI08684 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MGMAGWHLSRNKVLFFSGALFITLAVCIHLIPYFPSMFPPVLLQQEQQQQDPTSCISLLNEVTYFPNSHGFSWNWETNSGSHDCDFQKLGRPDVSDLLNGSWVVVAGDSQARLFVVSLLDLVLGSDEMESIQDDLFKRHSDYHIVVKKIGLKLDFLWAPYATNLTDIIIGFKRNKIYPDVLVMGSGLWHMLHFTNYSDYGVSLGLLRDSLVPLLPVSPEFNAAGPDMGSDPIRALHLFWLGMPTLINRMLNTEEKRVKMTHEMSNAYDQELHKSKILRQDGGPFLLLNVAMLSNKCGVDCSLDGMHYNEAVYEASVHVMLNALLIESHQKL >KVI08675 pep supercontig:CcrdV1:scaffold_374:148566:152979:-1 gene:Ccrd_012944 transcript:KVI08675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene/phytoene synthase MSVALIWVVSPNSELSKGLGFLETTKVLDSSRFIARGRSFLRTSKFKNIGKKYKCSSCYLNANLRHSCFGESGFPGSNNGRKSDVASRVVANPAGELTVSSEQLVYDVVLKQAALVKEQMRAREDVEVKPDIVLPGTLGVLNEAYDRCGEVCAEYAKTFYLGTLLMTPERRKAIWAIYVWCRRTDELVDGPNASHITPKALDRWESRLEDLFNGRPFDMLDAALSDTVSKFPVDIQPFKDMIEGMRMDLRKSRYKTFDELYLYCYYVAGTVGLMSVPIMGIASESQATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRSFMKKQIKRARSFFDEAEEGVTQLSSASRWPVWASLLLYRQILDEIEANDYNNFTRRAYVSKPKKLVSLPLAYAKSLAPPSSRNGTLSKIFDA >KVI08698 pep supercontig:CcrdV1:scaffold_374:132654:136378:-1 gene:Ccrd_012941 transcript:KVI08698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase FNSYWSFLAFAPHFQYPPSSSPTVFQNSDHVSRLCCKTKTPICGNTTIDCINMATIGHNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSANAQPPGSLVISNPNMVMALAGNKADLEEKRKVTTEEARAYAEENGLFFMETSAKTAANVNDVFHEIAKRLPRAQPNQNPAGMVLVDRPAEGARAASCCS >KVI08685 pep supercontig:CcrdV1:scaffold_374:191748:195818:-1 gene:Ccrd_012950 transcript:KVI08685 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEVIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRNVNSQSRAVGMKKTLVYYRGRAPHGARSDWVMHEYRLDERECESESGLKDAYSLCRVFKKSLNAPKTTVGVHYAATASDHSSSMDLYSEGGRGGEDIETCNYPTPIASSSSNINHGSPHNLSETSDGRWMQYLSEEAFAFPNPSFVNYGNVTYPPSKVDIALECARLQQRLSMPPLQVQDLPQQGATSYVDLMNIPQTSSSMREMATANGPQQDILQEILSVAQVSQDLINQNTWGGGYSGHHEDDFSFLANNNSNNQMQDMDTFRFMGDEQNMRSIEVGGVNEQLGTDRMVENLRWVGMSNKDHEMTFWDDYKTVPVESVPSFQREENEVQGESSHHNNFESTEDHFSLGFTTEDNNNSNDNFLDEGDLDDFTTTPSFEIYEKTKVSHGLIVSTRQVSETFFHQIVPSQTVKVQLNPGMIHDQTVAKPDGQTRLNKKVLYDKFKIYADSKPLEGTTKKTMSPFVNLVSLLLICCFYLEESTEEDGDMKFKYEVGSPAGNNNEMRDHEEEEKNEGWSISSVVLEKVIWPCVTLALAFSTIWVHHNY >KVI08677 pep supercontig:CcrdV1:scaffold_374:139195:147140:1 gene:Ccrd_012942 transcript:KVI08677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSVNALMRFLQGKYVARKQRVSVGCISPFKAQVNAIQEKLGNKYKYSEDLFSVIVRSVDGFEGSEEDMMFVNFGKRIDFEYDVSLQRKIYLSRVLLVVFRGFGNTKISQHDAARAPSAVIHMAEHSTDSIQYLSKTQMH >KVI08687 pep supercontig:CcrdV1:scaffold_374:219238:220142:-1 gene:Ccrd_012952 transcript:KVI08687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MLSLTLLLSLTISNSILLVFAHYPYSTSSSELLSEWRSARATYYAAADPADAVGGACGYGDLEGAGYGKATAALSTVLFEKGQICGACFEVRCVEDLRWCIPGTSIIVTATNFCAPNYGFPADGGGKCNPPNAHFVLPIEIFEKIAIWKASNMPIQYRRIKCRKEGGIRFTIDGAGVFLSVLISNVGGAGDIAAVKIKGSRTGWLPMNRNWGQNWHINADLKNQPLSFEVTSSDGLTLTSYNVAPKDWNFGQNFEGKQFLE >KVI08678 pep supercontig:CcrdV1:scaffold_374:143569:148245:-1 gene:Ccrd_012943 transcript:KVI08678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MATIGHNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSANAQPCGSLVTSNPNMVMALAGNKADLEEKRKVTAEEARAYAEENGLFFMETSAKTAANVNDVFHEIAKRLPRAQPNQNPSGMVLVDRPAEGARAASCCS >KVI08676 pep supercontig:CcrdV1:scaffold_374:164168:167875:1 gene:Ccrd_012945 transcript:KVI08676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco LS methyltransferase, substrate-binding domain-containing protein MEEAVKLENFMEWATALGISDSPFINVDKDELQCPNHRQASSCLGQTLSISYFPNAGGRGLCAVRDLQKGQLILRVPKSALMTSQSLILNDHRLSISIPKFSLSSTQILTVALLNEVAKGKRSWWYLYLTQFPSNYDILSSFDQFEIQALHSYVSTFLGWDINWQLDDATWAAEKALEKAKMEWESATTIMEELMFKPHYMSFKAWIWASASISSRTMHIPWDAAGCFCPVGDLFNYAAPGEEQVLYGDLTVADTGARLDGEQSDAQSLRLTDGVFEEESAAYCFYARRNYRKGDQVLLSYGTYTNLELLEHYGFILDGNPNDKAYVPLPSDLHSLCSWPGDSLYIQQNGKPSFALLSAMRLWATPTHLQKSVRHLAYSGSPVSNANEIIVMEWLAKKCRLVLKSLTSIKEDKSLLSVMDKEFESAMELKCALLGLTDETCAFLKNNNLLNNEIIDLPRKAIRSLDKWKLAIRWRVKYKMMLCDCISYCITVINDLS >KVI08695 pep supercontig:CcrdV1:scaffold_374:83882:88142:-1 gene:Ccrd_012934 transcript:KVI08695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHNINKSRKLHLYNVIIQPKPQEMNRKNYILNLKLQLLSLILITLSADKPFTLSLHLTTGFHFGNFNLPSLILTGTLALITNGTVPVCCCASNEFITPVAARVCPVRATSSTVVFL >KVI08682 pep supercontig:CcrdV1:scaffold_374:3:5970:-1 gene:Ccrd_012927 transcript:KVI08682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFEGLLQHGRLSFNQIMDRHKDKHRAVVTSGENSTAANVLHENFNTLIQACYIERCPAHEPFLAPEEGDAP >KVI08686 pep supercontig:CcrdV1:scaffold_374:227376:233371:1 gene:Ccrd_012953 transcript:KVI08686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase NADP-dependent MGTALLSRGTGHSRRYIKLTFPYVRNPTPSLSSRSFSLTISLTHSSPDRRKWLSIRSRLQTPSLRWTLIFPFVDLDIKYYDLGLPNRDATDDKVTIESAEATLKYNVAIKCATITPDEARMKEFTLKSMWKSPNGTIRNILNGTVFREPILCKNIPKLIPGWTKPICIGRHAFGDQYKATDAVIKGPGKLKMVFVPEGEGEKTELEVYNFTGAGGVALSMYNTDESIRAFAEASMNTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYESNWKSKFEAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDMLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDNNSKLLEFTEKLEAACIGTVESGKMTKDLALIIHGSKLSREHYLNTEEFIDSVASELKARLVGKSSLV >KVI08681 pep supercontig:CcrdV1:scaffold_374:182316:185314:-1 gene:Ccrd_012949 transcript:KVI08681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGITTEKVASPCLLKLYNEGQIQMLNKSEPQQFSHYTAHFVQDNTNQQTQSCNYVTFVPVGEQDPLQRAEWIKYLGVFANMEVRANEIYDAVKKNYMCLVDSAANKTKRFKPIVAWMELIDVCIYIPTSVFDDAWSFTEEPYKLKDEPTTIIGPESCDRDSLTAMEPTIVACT >KVI08689 pep supercontig:CcrdV1:scaffold_374:68862:71627:-1 gene:Ccrd_012932 transcript:KVI08689 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSTTVSFFAPHISVCKSQDFPKDTRSTTRQNPCPKSTLEIKFNRSKLLKTIGLSLISNTGTGALAARAEPESPVAAASNRISYSRFLEYLNKGAVMKVDLFENGTVAIAEILNPTLEKIQRVKVQLPRLQQGLLTELREKEVDFAAHPKEMDIAAAAIDLLANFAFPLIFLGALLLRSSSTNTPGGPNLPFGLGRYDQMKQKFINFRSKAKFQMEPNTGITFDDVAGVDEAKQDFQEVVEFLKTPEKFSAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEVFVGVGASRVRDLFNKAKMNSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSDSGVIVIAATNRPEILDSALLRPGRFDRQVSVDLPDVTGREEILKVHSKNKKLGENVSLSVIAMRTPGFSGADLANLMNEAAILSGRRGKDKITLKEIDDSIDRIIAGMEGTQMTDGKNKMLVAYHEIGHAICATLTQGHDAVQKVTLIPRGQARGLTWFMPNEDPLLTSKQQLFARIVGGLGGRAAEEVIFGEPEITTGAAGDLQQITQIARQMVTRFGMSEIGTWSLIDPAVQSSDVVLRMLARNSMSEKLAEDIDTSVKCIIEKAYEIAKSHIRNNRDAIDKLVDVILEKETLTGDEFRAILAEFSDESNTACELIKA >KVI08692 pep supercontig:CcrdV1:scaffold_374:103227:104315:1 gene:Ccrd_012937 transcript:KVI08692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane associated eicosanoid/glutathione metabolism-like domain-containing protein MAGIVELLPKEYGYIILTIIAYGFVNVYMQIQVGKARKKYKVYYPILYATEADSKDYKIFNCIQRGHQNSLESLPIFFVLMVLGGLKHPVICTALGLVYTVSRFFYFTGYSSGDPKGRLPIGKYNGIAILGLAIVNISFGVSLLRT >KVI08690 pep supercontig:CcrdV1:scaffold_374:52379:54142:-1 gene:Ccrd_012931 transcript:KVI08690 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MDQFRPTPPNSTQLTPLTFLDRCATVYGDCASVVYGDITYTWNETRTRCLQLASSISNIGIKRHQVVSVVAPNVPAMYELYFAIPLAGAVINSINTRLDARTVSVILTHSESKLVFVDYQSVSLVLDAISLFSPNTHKPMLVLITDDGPPPQSMTEFRSTYESMVENGDPGFNWVRPVSEWDPITLNYTSGTTSSPKGVVHCHRGMFVIAVDSLIEWSVPKQPVYLWTLPMFHANGWSYTWGMAAVGGTNICLRKFDATVVYDAIDKHGVTHMCGAPVVLNMLSSIPNVKPLKQPVKIMTAGAPPPAAVLARAESLGFIISHGYGLTETGGLVVTCAWKRKWNRLPATERSRLKARQGVRSIGFTDVDVVDPESGVSVTRDGSTLGEVVLRGGCIMLGYLRDPEGTRKSMRENGWFYTGDVAVMHPDGYLEIKDRSKDVIISGGENLSSVEVESVLYTHPAVKEAAVVARADDYWGETPCAFVSLKAEMVGKVKEKELGEYCRGKLPGYMVPKTVVFKEELPKTSTGKIQKFLLREMARRMEPSRNSRM >KVI08694 pep supercontig:CcrdV1:scaffold_374:83928:84695:1 gene:Ccrd_012935 transcript:KVI08694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MADHQRIHPDPVQPMNDLESHQKPTAPLVPRGSSKSDNANVVEPFPPHHRTIPVQYSKPPKKRGCCCRFMCWTLSLLVLLVISLGILAAIVYFGFDPKQPRYSVDGMTVTRFSLDNDTTLYAQFNVNITARNPNTKIGIYYEGGSKLTVLYRGTNLCEGSLPKFYQGHKNTTVLDVALTGQTRAATGVMNSLLAQQQTGTVPLVIRARVPVRIKLGKLKLPKWKPVVRCRLNVNGLSADNVIRIRDSSCSFKFKI >KVI08693 pep supercontig:CcrdV1:scaffold_374:90405:95802:1 gene:Ccrd_012936 transcript:KVI08693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQGNHTSWLGRQPLRRLSGMPHPPPCMIYVSHVHLLFHRRVSVLADCLSPSCGWRSKAESMIRILKNPEDEYNSYSHAWDITYNVGNIHGKKTVLKEKVPKNTKLSFSEFVTKRVNVIEEKLTTMVRNLYTHMPTSFVTLQLAKKMMKVISLIRSVGTTIPGNDGMRKEFLQVLDKVLCQTVSFPKSTDFWDIGNFCLKNASMIFCTASSSVRLHTLEKNVELLVVDEAAQLRECESVIPLQLPGLRGVILIGDEKQLPATVKSKICNKLEFGRSLFKRLVSLKHTTHLLNIQYRMHPMISLFPNKEFYGNKIVDGPNVKQTSYKKQFLEGDMFGSYSFIHLTRGKVEFNXNXSGMNMVEVAVIVELLAKLHKECVAKNQKISVGCITPYKAQVYAIQNKLGNIYGSREAGECELSVNIRTVDGFQGCEEDVIIISTVTGSGKRSIGFLSTPERANVALTRARHCLWIVGNGDIYEVNGRGLNLFWSIVMMEEEVESIQVLKVWDIMEGSRKQLQVIKRISKFYRGYSEETRDRCKEKLWERDLEVPKSWWKVDISAMSLTKEEAGSSWS >KVI08683 pep supercontig:CcrdV1:scaffold_374:16787:17100:-1 gene:Ccrd_012928 transcript:KVI08683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGARRNIFSNHSKDKDEDLSLFREMHKHRVLSLLHPVFDDFESNGNYPLYAIPSSKKGPGITFLGETHKNDYDW >KVI08696 pep supercontig:CcrdV1:scaffold_374:118986:120942:1 gene:Ccrd_012939 transcript:KVI08696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCSKTNPISSTPTPHEVEIKPQQPEKKTKTAAVAIVTTAIEQQVPKKEVLVVKHRKSHEIDRHPDQETRKSMDRKKTPNSFPTTDVSQSATTVAAVSNGESGKAAGATVRTSSCTKEEVDAILIQCGRLSRSSSGVKPAAAETPTRGRRYSGSKRSYDFDMEMGSKNDDDDEVVVVDGDHHRRRPQREPRVSSPSSRRRSRERDPQQQRSGSKERGSGTGNGGGRRVSRSPNRRSESPNPNSTGSNAAAVGGSNIRPGKMVSVPATDKTNNTGGGEVVTGVATGVKRIQVKRNTGEAARTAASPRSRSPARANLRVLNENQNQQPLTLSRSNSRKAEHSPYRRNPLAEIDTNATGSEQPAIKVQMQKPNGEKMMNNKTHNVVVTKNCIAKEHQMIDEAKIAVENPKIVSRTRSSRLSRDLDINPETLLNPNPTSYASLLLEDIQNFHQKTTTTTTTVAAAAAAAAAPSAFSLPACVSKACSILEAVADLNSATSSNERQFKKDNLVESEMVVNDDLMEPSLHKYVTVRRGGDADTEEQESSGSNSFAGSQQLSWMSSSWEPNSADSSNCWSSSRSNARDTELLRSGEYPRNGVGRGRVGSHGRSAYSLPNNTAVALT >KVI08673 pep supercontig:CcrdV1:scaffold_374:175865:179932:1 gene:Ccrd_012947 transcript:KVI08673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MASSSSIMKRSESVVDTMPEALRQSRYHMKKCFLKYVEKGKRMMKLHHLMQEMESVIEEKDEKAQVLEGLLGYILCTTQEAAIVPPYVAFAIRPNPGFWEFVKVNSNDLSVDGITAIDYLKFKEAIVDETWADDENALEVDFGAMNFNMPTMSLSSSIGNGVNFASKFITSKLYAQSGSQQLLVDYLLSLNHLGEKLMINDTLNTISKLQGALTVALAALSSLPNDTPYESFELRFKEWGFEKGWGDNAERVRETVRFLLEVLQAPDPVNLEKFFSRVPSIFNVVLFSIHGYFGQSNVLGLPDTGGQVVYVLDQVVALEEELLLRIKQQGLNYKPQILVVTRLLPDAKGTKCNQDASEKIIEMMEGKPDLIIGNYTDGNLVASLMANKLGTTLATIAHALEKTKYEDSDMNWKQLDPKYHFSCQFTADMIAMNSADFIITSTYQEIAGSKDRPGQYESHGAFTLPGLYRVVSGIDVFDPKFNIASPGADQTVGYLEDNKKPIIFSMARLDTVKNITGLTEWFGENKRLRSLVNLVIVAGFFDPSKSKDREEMAEIKKMHLLIEKYQLKGQIRWIAAQTDRNRNSELYRFIADSKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFQIDPNNGDESSNKIADFFQKCKEDAEYWNIISEGGLKRIYECYTWKIYANKVLNMGNIYTFWKQLNKEQKQLKQRYIQLFYNLHYKSMVRTVPIASDEAQPTHAIQVAKYYFLLHSGFLELKRLRAVQKLHH >KVH95012 pep supercontig:CcrdV1:scaffold_3740:27343:28017:1 gene:Ccrd_002919 transcript:KVH95012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKIAAKINPKNLFRSKKSRSVSRSNPSSFGSYATTSSSSPEHSHRHHQFKSTGVATPTSVLRVHSRSHEISSDEWSENSTDGQFELVQAFRFIDSDGDGRITREELEALLNRIGGSEPSIREELSLMLSEVDRDGDGIITLEEFGAISSAFAPPACDTELRDVFKFFDTDHDGRITAEELFAVFNSIGGGCTLEECRSMISSVDKNGDGFVCFEDFSRMMEQQR >KVH85179 pep supercontig:CcrdV1:scaffold_3743:48722:49461:1 gene:Ccrd_025435 transcript:KVH85179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MLSFGTSEKQILIEPIFAQWIQSAQPHVMRENSKDLAYGLIGTLKYEGNLYLIKEDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGAGTLFRDVFAGIDPDFDAQVEFGAFQKLGDPTTRRQGV >KVI06886 pep supercontig:CcrdV1:scaffold_3745:39711:47209:-1 gene:Ccrd_014759 transcript:KVI06886 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA decapping protein 2, Box A MSSAGLHRSSSAPLKDGIPPKELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNSVENNPSLKSFTLKEFTTLMFSSCDVLKPYVPHIDDIFKDFTSYKVRVPVTGAIILDQTYERCVLVKGWKGTSWSFPRGKKNKDEEDDACAIREVLEETGFNVSKLINKDEYIEIIFGPQRVRLYIVGGVKDDTTFAPQTKKEISEIAWQRLDELQPANRDVISRSVTGLKLYMVAPFLKSLRSWISSHQPPVTPKLDAPARAMSVWKARGSSSGSNLVITETPWVEKEKEKEKGGPGRSFRNFEFNNGPILQAIETGMNLHID >KVI06885 pep supercontig:CcrdV1:scaffold_3745:21448:34417:1 gene:Ccrd_014757 transcript:KVI06885 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MEEAGPSYKEKPSNGAVHVDLDEEYDDDDDMMDAPSIRDFDEVFLKNFCRKASTSFFKHYGLISHQTNSYNDFIKVGIQNVFDSIGEIIVEPGYDPSKKGDNEWRYASIKFGKVTLERPRFWTGEKFSTDNGKEYLEFLPRHARLQNMTYSSRMRVQFTKQVYTQELVRSDKFKTGKEKYLDKKIVETEEREVFIGRIPVMVNSDTCWMSLAEKDDCDYDQGGYFIIKGAEKTFIAQEQLGLNRVWVAGSPNWRVQYRSVHKRKRVYVKLVDTPKVDDIGGGAQVITVYILSLMDIPIWILFFALGVASDKEVVDLIDADIKDGNIVNILIASIHDADQTCDEFRKGWNSLNFVDQLLKKSKFPPKESVKECIENYLFPNIIGFGRKARYLGYMVKCLLEGYTGRRKVDNKDDFRNKRLELAGELLERELKVHLRHAEMRMVKSMQRDLYPDRNLQAMEHYLDAAIITNGLSRAFSTGSWSHSYKNMEKTSGVVAMLRRANPLQMIADMRRTRQQVLYAGKAGDARYPHPSHWGKICFLSTPDGENCGLIKNLAGTALVSTTVRERLSDILLSCGMEKLVDDTSTLLSGLDKVFVDGDWVGICKNSASFVAEFRRRRRRKEVPHQVSFLQLLETVSLACDMQYHACFYPSQVEIKRDTKHKEVRIFCDAGRVMRPLLVVQNFHKIKTLKGGGCSFQDLLDNGVVELIGPEEEEDCVTAWDIKYLFLENDGHDPSKYTHCELDMSFMLGLSCGIIPFANHDHAKRVLFQSQKHSQQAVGYSCTNPDIRLDTLSHQLFYPQRPLFKTVLSDCLEKPGQPFGQSVKGTIPRPEFFNGQCAIVAVNVHLGYNQEDSLVMNSASLDRGMFRSEHIRSYKAEVDNKEASGKKNSDDAVNFGKIQSKIGRVDSLDDDGFPFIGANLQSGDIVIGKHTESGSDHSIKLKHTERGMVQKVVLSANDEGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGYLESQENFPFTLQGIVPDIVINPHAFPSRQTPGQMLESALGKGIALGGSQRYATPFSSLSIDAITDQLHRAGFSRWGNERLYDGRTGEIVKSLVFMGPTFYQRLVHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDCSEMHVCQKCKRMASVIQRSVPGGQKIRGPYCRICDSMEDVIKVNVPYGAKLLTQELFSMGISLKFDTELC >KVI06887 pep supercontig:CcrdV1:scaffold_3745:38109:39083:-1 gene:Ccrd_014758 transcript:KVI06887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MVHPITEANETSPFGILTPEQFYAHHAVTHSHDHITNPRGLKLFTQSWTPLPPTKIIGIICVVHGYTGESSWFVQLTSVHLAKAGFAVCAIDHQGHGFSEGLPLHIPDINPVVDDCISFFNSFREKHAPSLPSFLYSESLGGAIALLITLRRNGAGKPYDGVVLNGAMCGISDKYKPPWPLEHFLSVAAALIPTWRVVPTRGSIPDVSFKVEWKRKLAMASPRRSVARPRASTAQELLRICREMQSKFAEVEIPFLIVHGGDDVVCDPSCAEDLYRRAASKDKTMKIYPGMWHQLVGEPDADVEVVFGDVVDWLKSRAIGGGDP >KVH85177 pep supercontig:CcrdV1:scaffold_3746:26675:51087:-1 gene:Ccrd_025436 transcript:KVH85177 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MLPFLGKTKTMILTYSSSFITHLLSLPPTSTPTLAISSSPLHRSSKVFFGSILRYKFRGYCFSGVNRGELMDNNTKVVQDHDSVTYLNHREAAEVDEILMGSLGFSVDQLMELAGLSVATAIAQVYNTKEYNRVLTICGPGNNGGDGLVVARHLYHFGYKPFVCYPKRTAKPLYIGLVTQLESLGIPFLSVDDLPMNLLEDFNILVDAMFGFSFHGTPRPPFDDLIQRLVNLQNCKEINQKPPVIASIDIPSGWHVEEGDISGDGIKPDMLVSLTAPKLCAKKFSGSHHFLGGRFVPPAIVDKFKLQLPPYPGTSMCVRIGKAPQVDISSMRENYISPELREDQVEADPFVQFQKWFDDALAAGLKEPNAMALCTAGIDGKPSSRMVLLKGFDKDGFVWYTNYASRKAKDLAWNDKASILFYWDRLNRQVRIEGSVKKVSEEESEHYFHSRPRGSQIGAIASTQSTVIPGRDFLHEDYKDLEAKFSDGAPIPKPKHWGGYRLTPEFFEFWQGQPSRLHDRLCYSPQEVDGTNVWRIKRLAP >KVH85176 pep supercontig:CcrdV1:scaffold_3747:13345:26594:1 gene:Ccrd_025437 transcript:KVH85176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology domain, Mcp5-type LGGKKGIAGQRKKRGKERREEEGQCTAWVIREIAIKLMSEGRRFRAIKKKNEVDCYGRGWYVGLDCVNLRMTSDVSRAGGQVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLANDESILIWFSGKEEKHLKLSDVTRIVSGQQTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRGRQRNWRTESRSDEIPSEANSPRTYTQRSSPLHSPFGSGNSSQKVPLLV >KVH85175 pep supercontig:CcrdV1:scaffold_3747:26733:34387:1 gene:Ccrd_025438 transcript:KVH85175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MLHFQETGDQLCLHSPYGSPPKNGLDKSFPDVILYKVPPKGFFPPVAANGSVHSLSSGGSDSIHGHLRGMGVDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEITGDGVMGGGPHKAGSCFGAKMDSLLPKALESAVVLDVQNVACGGRHAGLVTKQGEMFSWGEELGGRLGHGIDSDVLHPKLIDSLSSTNIELVACGEYHTCAVTLSGDLYTWGDGHFGILGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGKLFTFGDGTFGVLGHGDRKSVSRPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKETKLVPTCVAALVDPNFCQVACGHSMTLALTTSGHVYTMGSPVYGQLGNPHADGKLPSCVDGKLSKSFVEEIACGAHHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNYPTLVEALKDKQVKSIACGTNFTAAICLHKWVSGIDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSSKKSLRASMAPNPNKPYRVCDICVNKLKKAIEADASSQSSVSRRGSLNQGLNELNDKDDRLDSRSRPRLARLSSMESLRPVENHSSKRNKKLEFNSSRVSPIPTGSSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPVSRRPSPPRSTTPTPTLAGLSSPKIIGDDAKRTNDSLNHEVTRLRAQVESLTRKAKLQEVELERTSKQLKEAIAISGEESSKCKAAKEVIKSLTAQLKDMAERLPVGAARDVKPYSFTSFGSNLASSDVLLNDQPNGQMTSYKEPDLCGSNTQLLSNGSSTILNRSSGHIKASHSEATARNGSRNKDSDSRGDDEWVEQDEPGVYITLTSLPGGIKDLKRVRFSRKRFSEKQAEQWWAENRARVYQLYNVRLVDKSSVGVASDDLAQ >KVH89256 pep supercontig:CcrdV1:scaffold_3748:43737:49878:-1 gene:Ccrd_008757 transcript:KVH89256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAEVMSMEDIRTEASRLDIDLSVVDWNSVWVPPGEDFGIKSDDEDLHEDNSLEFDAGFGNVIVVDNLPVVPKEKFEKLEGVIRKIYSQIGVIKENGLWMPVEEDTGKTRGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFAVNVFDEIEKFMRVPDEWAPPETKPYTSGENLQHWLTDEKGRDQFVIRAGSDTEVLWNDARQVKTDPVYKRPFWTESFVQWSPLGTYLATVHRQGAAVWGGASTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSTPHDSHRVVLNVFDVRTGKVMRDFKGSADEFAFGGTGGFTGVSWPVFRWGGGKEDKYFARLGKNVISVYETETFSLIDKKSIKVENVMDFSWSPTDPIFALFVPEQGGGNQPARVSLFQIPSKEELRQKNLFSVSDCKMYWQSDGEYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVFELENKNDKIIAFAWEPKGHRFAVIHGDNPKPDVSFYSMKGGKVSKLATLKQKQANALFWSPGGRFIILAGMKGFNGQLEFYNVDELETMATAEHFMATDIEWDPTGRYVATSVTSVHEMENGFNIWSFNGKLLYRISKDHFFQKEEEISKNLKKYSKKYDVQDQDISLLLSEQDREKRKQLKEEWERWVNEWKTHHNQEKTERQILRDGEASDVEEEYEAKEVEVEELLDVSEVVVPDA >KVH95770 pep supercontig:CcrdV1:scaffold_375:3970:8982:-1 gene:Ccrd_002144 transcript:KVH95770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTDSAPSIRPSSDSIPLPSGSAPRQEDHYVASIIEEHETTCWGCGLRLVISPYAPIFKCGWCGAITNHNARKFNNKYFWLRRLRDRSFVSILLLFMLFIIGSGVWAIYPVIVSMSYFFGIFHSIMIGNCVGAGNHRSFILFLMSAVASTFYVSIISSYVAYQIWPPLRHIPTAVLSRSTVVSVLTERTFAFLISVEYLPIRGFVLIYLFFASISVEIGLAVLLWQQLCYIYEGKTYLSQLSSHNDVDEKDCQNIVRFFGFPYPATRYVLSIWNSKKSHKK >KVH95772 pep supercontig:CcrdV1:scaffold_375:43504:45602:1 gene:Ccrd_002148 transcript:KVH95772 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MKTPIVRPKRKKTWVDHLVRFRWIFVVFFMLPISVILHFLTYLGELRSESKSYKQRQKEHDENVLKAIKRLKERNPSKDGLVCTARKPWVSVGMRNVDYKRARHFDVDLSALCNILEIDHKRMVVKCEPMVTMGQITRATVPMNLALAVVAELEDLTIGGLINGFGIEGSSHLYGLFSDTVVAYEILLADGRVVRATQDNEYSDLFYAVPWSQGTLGLLICAEIKLIPIKEYMKLTYKPVRGNLRDLVDGYIDSFAPEFGETDGKKVPDFVETLIYNPHEAVCMTGKYASKEEAEKKGNTINRTGWWFKPWFFQHAQKALQKGEFVEFIPTREYYHRHTRSLFWEVELILLFADQWWFRLFLGWMMPPKISLMKATQGEALRNYYHEMHVVQDLLVPLHKVYPIWLCPHKLYKHPHKAMVYPEPGFEQERRRGDTVDAQMYTDIGLYYSPRPVLRGEVFDGVGAVRQMESWLIDNHGFETQYAVTELSEKDFWRMFDGELYDKCRRKYKAVGNFMSVYYKSKKGNKTEEEVREAENVQF >KVH95759 pep supercontig:CcrdV1:scaffold_375:149599:158132:-1 gene:Ccrd_002155 transcript:KVH95759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHILKLVGFDGCKIWLARSKFGVGPCGLGEGLGFMVPKAEGNALGWFYRAAKTSPSKQWKDNRLVQGFSIDIMHLDSRIQLHSFKPCRCSAKPSTAAGNHNEDYSFSETASP >KVH95764 pep supercontig:CcrdV1:scaffold_375:277811:280552:1 gene:Ccrd_002170 transcript:KVH95764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein ClpS, core MALLNTSSTFLLTTDIHPSRTPISPTVSFTIRASSSSVSISNSNGSSKAMFGSSTSRGGAGVLERPSFDQSQFDPATQVQEGGDIGRVRDKKHTGSGDSYRVLLIDDARHTEELVVKALPQAVPAVTADDARRLFQVSQENGVAVVVVAVKEHAEFYAQMMMRKGLRSTIEPDSATV >KVH95769 pep supercontig:CcrdV1:scaffold_375:12122:14208:1 gene:Ccrd_002145 transcript:KVH95769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSCAIHTKGYSVPLRLISSPTGSNSFFPRRFICPTKRVPNQRVQRYRACSLLEVAVSGINIPVSASVDSAELIRNASDGIPEYMQRLVLMDIDQGTAKLAIAILGPFLSAFSFLFIARIVMSWYPKLPVGKFPYVVAYAPTEPILTVTRKVIPPLGGVDVTPVVWFGLISFLNEILVGPQGLLVLLSQQI >KVH95776 pep supercontig:CcrdV1:scaffold_375:213832:231749:-1 gene:Ccrd_002166 transcript:KVH95776 gene_biotype:protein_coding transcript_biotype:protein_coding description:FF domain-containing protein MEPPAWLPKEVQTSTSQVAGSDPPVEGKSSPPSTPTVACASVAAKSTLKDGDTVSTDSMHGSDPANRANSHGYAASTPSFSYNVPQNANTTSESSRQSSSNTAMINSPGSSSFPKPSVPGVSTSSGPSFSYNIPQAEIAFSGGQSIHSGMKAIGHVAQGPNASSASSIPHSVSHHAHSTLGVTSTNSNFASPTFWMPSAPPFQMPTGVPRIPVTSGAPGRSPLSSPANTTIPSTAVASSSSSLRPGSMMPATPVQANSSAQLPIYASYASNPLMVAPPQGVWLQPAPIGGLSRPPLLPYPAFPGSFSMPAHRIPLSAVPPSDAQPPGSSIGVPGVASISSAVSDSMPLVGSGMPHELPPGTDNSKHANVVGVKEESVAIEQLDVWSAHRTETGTVYYYNAATGQSTYQKPVGFKGEPDKVYAQPTPISWEKCAGTDWSLVTTNDGKRYYYNAKTKLSSWQIPADVTELRKRQDSDVLKEQSMSVPSATTLTEKGSVPLSLSAPAINTGGRDAISAGASGVPVSSSALDLIKKKLQDSTAPATSLPHQTSAGAMSSELNGSTPVDVVGKGSHSENGKDKVKDDNADGNLSNSSSDSEDVDSGPTKEERAIQFKEMLKDRGVAPFSKWEKELPKFVFDPRFKAIPSYSARRALFDHFVRTRAEEERKEKRAAQKAAIEGYKQLLDEAKEDIDHNTDYQTFKRKWGHDPRFEVKDNLRSDPRYKSVKHEDREALFNEYISELKVSEEEAERAEKLKERERALRKRKEREEQEVERVRSKARRKEAIESYQALLVETIKDPQVSWTDAKPKLEKDPQGRAGNPYLDQSDLEKLFREHVKLLHDRCAHEFKALLADVITTDAGAKEYEDGKTVLSSWSTAKRLLKDDTRYNKMPRKDRESLWRRHVEELQRRRKSAMDKELSEKHGDVRTVDSRKHLSGSRRTHDRR >KVH95771 pep supercontig:CcrdV1:scaffold_375:48931:57437:1 gene:Ccrd_002149 transcript:KVH95771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTSSPSLARVRLSELVPYDGAPSSCYMRAVEVLSDSLTRYNAVVIELGSEDEALMRCGLESARLYFRIRAQSGAKCSSSKSSRGVYMYRAGRTLEDMDSSPPCMADVFRCMGKAARAALCAIARHLRLRSDVFNHLLDDSPLPVNEPSSSVLIASYRHMLLQNGKGALGGGNITTNCETEKGLLTLVCSDAPGLQVCDPNGRWYLADCGSAPGDLLLLIGKALSHATAGLRPAALCRTVTDHASASANPGRSSLVFRLMPQGNAILDCSPITAAGHVIPQSYVPISVSQFMDGLSAEEDILCNRSDSAFVARTNVNKEPTLRSVLSDSLSGAFLEDATLVSCGHSFGGLMLRRVIDTVRERRVLFLLIPNEDAKHRQATVLEDLRMITSTSFTSQARCTLCDTEIETGSLIPNHALRAAAAAIKHEDDHRLFHNAALRKRRKEVGDHRGNGDLSAENGLHRSVQYPFTVNEKVVIKGNRRTPDKFIGKEAVITSQCLNGWYLLNIIESGEKVRLQYRSLRKIAKSQAAESQPFITVVEV >KVH95777 pep supercontig:CcrdV1:scaffold_375:183513:183771:1 gene:Ccrd_002161 transcript:KVH95777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHQKEPSWLYGPRMHRPVILPLFGERGFRSSPNALPSTLGTMKPRPSPKPHGPAPNLERASQILQPSNPTNLGSITVQPS >KVH95766 pep supercontig:CcrdV1:scaffold_375:130:342:1 gene:Ccrd_002142 transcript:KVH95766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein CGSVENALRVFEGIASEKRNLVSWTSVIFVFAMHGMAREAVGSFKRMEEVGMKPNRITFLSIQVLAAMAD >KVH95775 pep supercontig:CcrdV1:scaffold_375:236285:245079:1 gene:Ccrd_002167 transcript:KVH95775 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MISQSSSTSSEFSQILWAPSPPICPCKRRTQSGSCSSKTGNSLISRNRVSKRRKFSLIWVRVVPSDGRIHKFNLEFVNSTKRGAKNYVVKQISNELVEGGDDSLSESSIPMGSSNNFTNFREDPIVDKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVVFDKVWTSRKKNKSRDEGTPGIWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGLENWVIGLLQPVIDNLQKPDYVERVEIKQFSLGNEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLSLKFGIIPIKVPVGVRDFDIDGELWVKLRLIPSEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGKSVGPVRNDFKTGEMQEGNKDFVGELSVTLVDARKLSYPFYGKTDPYVVLRLGDQVIRSKKNSQTTVIGPPGEPIWNQDFYMLVTNPRKQRLSIQVKDSLGFAETIVGTGEVDLGSLKDTVPTDKIVALQGGWGLFRKKSAGEILLRLTYKAYVEDEEDEKVEAVATDIDASDDEMSDLEEAAATYGQRVSDFSSGTSREAFMDVLAALLVSEEFQGIVASETANANFFTDVTNSESTERSRSAVAAPDLPNSDSVSRGIGPVGCALFFYLFYLAEPCSLAWGILGNNTSCLLRQREFETYKERMFRLAAGIE >KVH95763 pep supercontig:CcrdV1:scaffold_375:171231:173481:1 gene:Ccrd_002159 transcript:KVH95763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHQKEPNWLYGPRMHRPVILPLFGERGFRSSPNALPSTLGTKKPRLSPKPHGPTPNLERASQILQPSNPTNLGSITEGVEIDGYCNYNYAPQDSFKKY >KVH95774 pep supercontig:CcrdV1:scaffold_375:200226:204680:1 gene:Ccrd_002164 transcript:KVH95774 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase, C-terminal domain-containing protein MAMTMAAAVAVLSLVPISLFLPPTILRFSGIASAPGKVLMTGGYLVLERPNAGIVLSTNARFYAILKPLYDQLESDTEWMDLKVNSPQMSRETTYKMSLKDFRLDESRNPFVEYAVQYSIAAAYATLDKNKKNELHKVLLRGMEITILGCNDFYSYRNQIEGRGLPLTPESLASLPPFTSITFNAEESNVKSCKPEVAKTGLGSSAAMTTAVVAALLNYLGVVDLSHGSLNQKSEHLDFVHMIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPNVISSAQETVSTTPLEEVIGEVLRGKWDHERTKFSLPPLMNLILGEPGSGGSSTPSMVGAVKKWQKSDPQKSQETWNKLSEANSALEAQLNLLSKLAADQWDSYKAVIDSCSRFKSEKWIEKFCEASHLEIVKALLGARDVMLSIRCHMRQMGEAAGIPIEPESQTQLLDVTMNTEGVLLAGVPGAGGFDAVFAVTLSDSSTNLTKVWNLHNVLALLVREDPSGVSLETDDPRAK >KVH95765 pep supercontig:CcrdV1:scaffold_375:372:2029:1 gene:Ccrd_002143 transcript:KVH95765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDSGILPDTKHYGKAEKVVVIEGKRQNKEGSIRFCISVHHGTTYCLFGSSSLAHKSHPKTNLMELMCLGSLATHCDKLIV >KVH95761 pep supercontig:CcrdV1:scaffold_375:159108:168088:1 gene:Ccrd_002157 transcript:KVH95761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASLSRPMSGSSHPSRFVTISVLLPRSLVAASTLATRFSITLSWHFVATTRGMLVKHAENISEITQDNDSNTCSSLIDSMLLWWVSKIVCAIRYIELAAIKLLGKLSFSSSLAFFHKMGVRETVTNTFQQALNFSLTNVRQRNSNAPSIEQTKKKGIDSGESRVGSPQPAVATIEAEGKGRTEGKSQRADDSTSEVSKTENFSSELESETSEKVNWASDETELNRIIRSCLRKLRRETDLIKGTHMEMGVHQKKPSWLHGPRMHRPVILPLFGGRGFRSSVKPA >KVH95768 pep supercontig:CcrdV1:scaffold_375:20704:23152:1 gene:Ccrd_002146 transcript:KVH95768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATRGMSCCGYGTVSIQTRMSCCDSFTEFSNSPSLEIGNKCRGSSMRITMRDRGKNRKPLQKGRNLSIEAIQTIQALKRAPKFSDKQQQVIHSKFTRLLKFDMMAILRELLRQDHSLLALMVFAEIQKEHWYKPEVSLYAEIILVLARNSLYDKVDMIFVELKSEKGRLEGKTEGFNALLENLMSYNMTGLAMDCFELMKEIDCEPDRSTFKLLVAHLESKGETGLSEGIKQEARKYYGDSLDFLDEQEDTVTAKLHSSIESADCRFGYV >KVH95758 pep supercontig:CcrdV1:scaffold_375:146658:149516:-1 gene:Ccrd_002154 transcript:KVH95758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MWVPLIRSVSRRNLRKHDLIIRFSSVSSLSQLTFSDVSDSSSDENCPIPQQNHSTNSIEHAHQVFDRYTLIETLQHLERKPKTALFLITHLKECGFMHDVVTYMAIIRFLCYRGMMRKLKVLFLEVIENKNGVFGFEVFDLFEELLKEINVDGNNLLVRAVDVLVKAFISVHQFDEAYDVLLKIQGGFLLSVLTCNLFLNRLVEEGKVDMAMMVYQHLKMKGFLPNVYTYGIVVKGLCRTGCVKEAGDVLESMKEAGAEPNMFTFGSYIDGLCSNGYTDSAFELLKMLKEPGSLIDVFAYASVIRGFVKELKLQDAENVFFNMKQAAIVPDAYCYGALVQGYCQRGDILKALDLHDEMCSKGINTNCVIMSSIMQCLCHMGMSSEAVYQFTNAIESGVFLDEISFSIAIDALCKLGKMGEAMMLLEEMKRRNMNPDVKLYTTLINGYCLQKDLQSALNIFNEMNEKGVKPDTITFNVLVGGFSKCGLFEETNILLDNMLALGLEPTSATYDIVIEGLCKGGKAKEAEAFFNFLERKSSSNYAAMMNGYCYTKNVKEAYELFLKLSKEGKERLLSAKASCCSKLLSCLCEEGETDKALMLFKTILASDNGPSKIMYSKLQSAYCQAGDIRMARWVFDMMIARGLMPDVINYTIMIYGYFRASCLTEANYFFNDMINRGIQPDVVTYTVLFDGESKVKRKSSTRGESGREEMGLSRYLTKLQEFVPDVICYTVLIDYYCKSNNLKAAICLFKEMIDRGLQPDTIAYTSLISGYCYDVSLHSSSHWPFASRPRPSPSPPPRSLPLPAAHS >KVH95778 pep supercontig:CcrdV1:scaffold_375:171261:176696:-1 gene:Ccrd_002160 transcript:KVH95778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASDDCARIWQVLEDDAYTSHNINNTLDARDRGLLLGFCQMLQDLEEGKSYSKPMLWIGFYIAVATLLCMFLMLADLFHGFRNRKLWFPSKYFTLNTATITLITIAMKLPLDLSGRMPGSTDELAKWGSMTFMCTMMSNLMPSLASMDDRELVANVTGMVLLVVTIVVNVLIQIKTGVVLNFFDNYVYIGVMLFLLVIMISSALTIPTSKKILEFKYQRVQKTSSDVHPQLTRRLRVEELIQYVRKYWMMAGTSSPQFVMITTPLCSAAGIACVPAVALYYYFFVLIWADLEGIAYLDFGSDYEWSIVVIVVIQSIGILVGNIAPLFRCFAALSFKLSLKWVKKHTKVCEVEKYWTQKLLEWKQIPLAFPLSGRISKALVYNFYHLILNLCIICQKLIVVSCKIVGLIPLGTVIIGVFCVNRCKSLKANFFLMPVASNRDTNQDVENYVLQLQDEMELGRRTLGSFSNSANRSIQKGEKQLPTNLLKLIEQCTGFEGVVKFDSENIQGLIWVTFPNSWSLPIVTLTCIAITLPNISQESVDDLFRGVCESLSYTLLVEESLNNVGEYKKIQKATMALRQEVEVNYKWLGNTLQRNSYKEKNPKEILEWFADKAKDIAVEMNEHINEEPFDSYCERLVVADSMYRVTQTIMVNYPGDIENGRGEQLFYLLSSMIVDIAVACFTNLPRVIVMKCQEDAIEKREASVGAAAELLGSTKTIIEKVDACEVPNLDPEKMAFIDEWRRAWQRWSSHHRDVVSFPACACPTSANEVKTMTMIDGCTFRRRRGKEVGCRLSPIWLARSKFGVGPCGLGESLGFLVPKVEGNALGWFHRAAKTSLSKQWKDNRPVHPRPI >KVH95779 pep supercontig:CcrdV1:scaffold_375:186931:192190:1 gene:Ccrd_002163 transcript:KVH95779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQAFALTTWSNSKLGTGKPDLATIEPNQSGLNHSPAILMPSLESQARVTKPGDNPTPFAAYKQVEIEKMSTSSLRSMLAQAAVRGVTEARAKIFGHVLNPTGQRSAHKILRKKFIGEKVASWYPKDIEKEDPLVVARKEQE >KVH95773 pep supercontig:CcrdV1:scaffold_375:205737:212349:1 gene:Ccrd_002165 transcript:KVH95773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAEMENNPAPAPTPLKHLTSQEWELLIDDHQYGGSRRERWITLNYTGFPLVDLALSSIIRKDLPLNLKLHLIVFLEEYLITFFPLPESNSETEQTLIRFLETLRSVINSPVDGISITYSLKEQLLVSTTSIFILFTIDNTDDSKTPNFTYTSQLEGLIDVLLTVVNRPNHGIDRQLRGAACECLRELERECPSLLSEIAGHLWGLCQSEKTHVAQSYVLMLANVVHGIVISKVNVSMLSTSIPLTPFNVPLFLTGGGSARENSGLAVKELRRVMSFLLEWPQYLTPFGLFEFMSIIMPVAVALELQASLLKVQFSGLLYTFDMLLCHAFLGMYLQFPEAFNGQENEVVSRLLLISRETQHVLVFRLLALHWLLGFLGLVMSKRKVIKEKIFATALRFYPTVFDPLSLKALKLDLIAYCSILLDMSRLADANGQMVSDVGNSEVPVVKLFEDGLESVSGFKWLPPWSTETSVAFRTFHKLLIGASSHSDTDSPTRDLMESKIFHASETMLVTMTLESQGLIPVIVAFVNRLLGCSKHRCFGVRLLQTFDNHLLPKVNVDRLGSYFPLFGKIAESDTVPPGGLLDLLGRYMSILVEKHGPETGLRSWSQGSKVLVLCRTILMHHQSSRVFLGLSRLLAFTCLHFPDLEVRDNARIYLRMLLCVPGKKLRHLLSTGDQLPGISPSSHSSSFFSVQSPRFSYDSKKSKEISSYIHLERVVPLLVKQSWSLSLTSFGIGGDKPRYLEVIKDSDTPSAQPDTADGNIDFPIIPAIEGPSEPLRVTDSKISEIVGILRRHFSLIPDFRHMAGIKIGISCTLSFQSLPFNRVWGDNSLANGSSGVDVLPALYATVLKFSSSAPYGSIQPYRIPFLLGEPGKNDIPSTQIDSLDIVPVGNSPEEEEDDENFKAPVLIELQPREPSPGLIDVSIEANAENGQIICGHLQSITVGIEDMFLKALVPDDITDDAVPGYFVDLFNALWEACGSSSSTGRETFPLKGGKGVAAVNGTQSVKFLDVPATSLVRAVERNLAPFLVNVIGDTLINIVKDGGIIKDIIWNDDTSGSSLDVVPLDSDMIEGPLYLKYDEEEDDRGNNLHLTKGNIGCFHILIFLPPTSHLLFQMEVGIDSTLVRIRTDHWPCLAYIDDYLEALFLA >KVH95782 pep supercontig:CcrdV1:scaffold_375:246730:257266:1 gene:Ccrd_002168 transcript:KVH95782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome A-type subunit METVEVAGDGDGRATTTGESLNKRWRRSSDNRRQSKLWEVAGDGDGHYRRRGRRWWRLPETGTEMVEATGYRRRSDSNEKAKPPPPSYFPSIGLHRTEPGTPLCYRADEDDLLNSFKTWVIVSILSLSQLSATSVVSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDESSVQKIQILTPNIGVVYSGMGPDSRVLVRKSRKQAEQYNRLYKEPIPVTQLVKETAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKTFRVLTPAEVEDYLQEVE >KVH95755 pep supercontig:CcrdV1:scaffold_375:73109:76221:-1 gene:Ccrd_002151 transcript:KVH95755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MANYSGKGAPANGSVYVCNLPPGTDEDMLAEYFGTIGLLKKDKRTGRPKIWLYRDKVTNEPKGDATVTYEDPYAAQAAVEWFNNKDFHGAIIEVLMAESKNSHNVVAPLVEPSLVDDVVDLEESAKDMSENAGRGRGRGDASGSAPAKAWQQDGDWMCPNTRCGNINWAKRLKCNICNTNKPGVSEGGVRGGRAGGYKELDEEELEETKRRRREAEEDDGEMYDEFGNLKKKFRVKAQQAEVGQVLPGTGRAGWEVEELEAETEEEKGRKEKAARTENDVGAEVVTGEGKESGLTITIETENTVGTGTVIVVT >KVH95754 pep supercontig:CcrdV1:scaffold_375:57561:62061:-1 gene:Ccrd_002150 transcript:KVH95754 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MADLCDLQVHINGQQTFYLHEKTISRFSGKLRKIIKKEKRRTQIRKTGIEINDFPGGSDGFELVSRFCYNNGEINISVSNVSLLHCCAVFLAMNDTLLPKTTDLFNRMFDWSWDDVVVCLKNCESFISYADSFGIIEKLISALIIKITQNSDSTTLFPSSSSSSSPDSTVKSNSLLRLSSCSSSKGLWWFDDMTILPPAIVERFVKALGAYRHENTSLPLTRFLLHYLKTASQSKMPSFSRCEYGGLADTAVHGVVLIGKSAFSCRGLFWVLRIVSSFGMSRECRGGLERLIGGMLDQVKVDDLLVSNNGSSGVYDVNLVLRLIRESNKVEGVCLERMKKVGGLIDKYLGEIAPDQNLKISKFLGVAESLPDCARDCFDGVYKAIDIYLESHPCLSLEERSRLCRCLNYEKLSLEACKDLAKNPRIPPRIAVQALMSQHSNIPSADQQDYYINDHDHDRSIPLTKSSRELMVLYNKNDHYNFESADHGSVSSSRYEHDPQKEVVEDHGVVKLNLQKMQWRVVELEKVCREMKGQMSRMVKGDQGIMSRSSNGRPLPRLC >KVH95757 pep supercontig:CcrdV1:scaffold_375:109331:110977:-1 gene:Ccrd_002153 transcript:KVH95757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATDDCFRIWKVLAMDAATSHCTNNILDQRDRRLLLGFCLKINTLESGKIYSKPMLWIGIYIAVATLLCMFLMLADLFHGFRNRKLWFPSKYFTLNTTTIILITIAMKLPVDLSGQMPGAMDQLAKTGSMTFMCTMMSNLMPSLASMDNRELLANVTGTVIIGVFCVNCCKSLKANFFLTRIASNCDTSRDDGYYVLQLQDKMELGRRTLKSFSNSANRSIQKGEKQLPTNLLKLIEQCRRFEGVVKFDSGNIQRLNLVTFPNSWSLPIVTLTCIAITLPNISQESVDNLFR >KVH95780 pep supercontig:CcrdV1:scaffold_375:183543:184272:-1 gene:Ccrd_002162 transcript:KVH95780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQFSRLVGFDGCKIWLARSKFGAGPCGLGEGLGFMVPKVEGNALGWFHRAAKTSLSKQWEDNRPVHPRPI >KVH95767 pep supercontig:CcrdV1:scaffold_375:27997:30009:1 gene:Ccrd_002147 transcript:KVH95767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF177 MISAYLTLPQRGQHNLRSGIINKVKMPLILSSSFVSPCFYENNVKGAHVLVPQITLSHPHHNSISCNILQKRLFANKHASMILKHKSPLEFITRASVNSNYELAGESNMEDDWRDQEDVGADPMDSPWEGAILYQRNPSISHLEYCTTLERLGLGYLSTEVSTSRASLMGLRVTKSVKDFPYGTPVLVSIDVTRKKQRCGGLAADCVFSNFSLVLTEEPIEEPDIINMGVIYGEGKFNGSSGTVEQEEDNDASIDLDDWLYFPPEEKVIDISKNVRDMVHLEITINALCDPMCKGLCLKCGQNLNISNCNCSEESSNPKSYGPLGGLKERMQQ >KVH95760 pep supercontig:CcrdV1:scaffold_375:159068:163786:-1 gene:Ccrd_002156 transcript:KVH95760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSSIMQCLCHMGMSSEAVYQFTNAIESGVFLDEISFSIAIDALCKLGKMAEAMMLLEEMKRLHPSANRGHSGQISHSQSYSLHSSSRRPFATCPRSSPSPPPRSLPLPAAGCPLVTRHCQFLFFLFAQWKLRNIKKRAKRLITSFAANLEFLIFIKSVSTKVVHKLYDESSSIRERERERYTVNITKDDMSSFVSCFIVEELMEVYDIYSRPMVWIGIYIAIASGFCILAMAADLFHGFRNRKYWIPCKYFSLNAASITVVAVAMKLPVDLSSSMPGEVDQAAKLGSLAFMCIMIANLMLSLASMDNKTLLANTIGLVILIITMIVNTLIQIITGVIANGYFMMWACIYMVLMLSLLIILISSAITIPTSKKILEFKYQATSKTTSNDQLIGMSMVEKLRQYVRRYWVMAESGNPQFVMSSNSLSNASGIICIISLITYIFRVLDIFGIPSSEYNSKYLYQTKYQSEYQTGFRSEYKWSMVAIFFTQFIGVVVGSIAPIFRYFTVFRFKLFTWDHFLVFKGEKYWTKMLYEWKENRLPFLSNSRRSRAFVHSLKNHILSLCIIFQKVVIVSCKMIGLVQIVLIIFVICWRSLKALFFITPIASGSDDIKDLSNYVLQIEDEMELAEMTVKGISNSMNRLIQKVNKEQHNDLLELLGKSTGFKGVEEFDIDQVQPLLSVEPVNSWSLPIVTLTCIAVSLPNIRKGKVESLLKGVGDGLSYTHLVEESLNGGSEYVNVRRTTSNLWHEVEDNYKWLGNTLQKNAYRGRTSMEILQWFADKAGEVVIEMKGNTNEELKESFPSNLIAANSMYRIAQTILLTHQSNIESISEEQVFESLSCVISDIFSACFTNIPRVVATKCHESVIEKRVASVEAATKLLGRSTEIVTNLEGCELPDMGRDKLAFIDEWRTHLKPSIP >KVH95781 pep supercontig:CcrdV1:scaffold_375:260143:275883:1 gene:Ccrd_002169 transcript:KVH95781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSFPRSDPKAQEREGVHYSDFPDLSKVLPRTRTEKGSPMTAFIKLDDSPMFQKQVRYLEQTTDELKLRCQKLHKGSKKYMDGLGEACNMDYIFAESLEAFGGGQDDPVSVSIGGPIMTKFVTAFREIETFKELLRSQVDHLLVDRLNQFLTNDLQDAKESRKRLDKASHVYDQAREKVASLKKSTRDEVVSELEEDLQNSKSTFERSRFNLVNSLMNIEAKKKYTFLESLSAIMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEQASIEQDRLAKRIQEFRTQAEMTEPVPSNFGTTTTYVSANGVGMSSDKNIEAIMQSTAKGLVQTIKQGYLLKRSSSLRADWKRRFFVLDSRGNLYYHRILPNKPAGTQSYNSIANVEHHSRVFGRFRSRHSRAASNDDEDLGCHTVDLRTSTIKLDAEDSDLRLCFRIISPLKTYTLQAENEADRIDWMNKITGVIASLLNTHLRQTHFDRSNMGNNSETVGDSSAVSRVDNKGSTADDMHINLADSVSTILREIPGNDLCAECSAPEPDWASLNLGILMCIECSAVHRNLGVHISKVRSINLDVKVWEPTVMDLFGNLGNTYCNSIWENLLQNPLAVNKPNPRDAIQQREKYIIAKYVEKRLVCHEEMSASNPSYATRIWGAVKSNNIREVYRLIATSNANIVNTTYDEVAGADLFHNVHEHDSSKDSVSAEKTDPFSCKKITDYSKPESCLEGCTLLHLACNSGYQVMLELLLQFGADINRSDSHGRTPLHHCICSGAVASIKDGGGQGALERAMEMGAITDDELLILLSESK >KVH95762 pep supercontig:CcrdV1:scaffold_375:163895:164551:-1 gene:Ccrd_002158 transcript:KVH95762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMRKLKFLFLEVIENKNGVFGFEAFDLFEELLKEINVDGNNLLVRAVDALVKAFFSVHQFDEAYDVLLKIQGGFLLSVLTCNLFLNRLVEEGKVDMAMMVYQHLKMKGFLPNVYTYGIVVKGLCRTGCVKEAGDVLESMKEAGAEPNMFTFGSYIDGLCSNGYTDSAFELLKMLKEPGSLIDVFAYASVIRGFVKELKLQDAENDFFNMKQAAIVPDA >KVH95756 pep supercontig:CcrdV1:scaffold_375:83161:86332:-1 gene:Ccrd_002152 transcript:KVH95756 gene_biotype:protein_coding transcript_biotype:protein_coding description:K homology domain, prokaryotic type MQVSCRIKLVHGNEWKRTRAALELGGAIRISPGKKQRLWSVFFVPYTLPATMATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLDILTSWLFAFEPDFTGEKGRRIRELTSLVQKRFKFPENSVELYAERVNNRGLCAIAQAESLRYKLLGGLAVRRLSLIKLYQLTFHMAVFRACYGVLRFVMENGAKGCEVIVSGKLRAQRAKSMKFKDGYMVSSGQPVKEYIDSAVRHVLLRQVNTYPHCRFFSNNDGCLAQLGVLGIKVKIMLDWDPTGKLGPKTPLPDNVIIHMPKDDVVVLPPKEVEEYRPPLVVADEPLPMPMAVPV >KVH91431 pep supercontig:CcrdV1:scaffold_3750:47576:59206:1 gene:Ccrd_006546 transcript:KVH91431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTKKKPMKNTNSNLKDQDPKQGTTAIDNHLTTLDSRFNQTLLNVQGMLKGRSFPGKVLITRSDPMDATILHSPGIDRSPSTGSNKYEDGTNEDQVHRSKPDASTTANQLNSSMINPVNTSLEAPRFVMSTRATDTAKLMKFTKVLGGVTVILDKLRELAWSGVPPYLRPNVWRLLLGYAPPNSDRREGGLRRKRIEYFDCVAQYYDISDNERTDEEINMLRQIAVDCPRTVPDVNFFQQVQVQKSLERILYTWAIRHPASGYVQGINDLATPFLVVFLSEHLEGNVDSWSIADLDPDKISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELEMVMFLQHLPTQNWGDQELEMVLSRAFMWHTMFDSCPNHLLSFLNML >KVH85044 pep supercontig:CcrdV1:scaffold_3752:39159:43323:1 gene:Ccrd_025439 transcript:KVH85044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MLCWILGFGKSYKFPCIHYRNLGYRHNQRYNLVNVRLKWVKDSVLDTVVTGERELRAAWVIVSIIAADYGGCLPIYRLSGRRRGQLGLPEDLKISTFMRRYPNIFDEFHVPDTGGTLVPWFRLTPDVIRLNQEANAVFRQHEKDFLDRLCKLLMLTKDMILPLPTIDQLKWDLGLPHDYVDSFIPKYKDVFSLIRLPDDRVALKLISWPNELAVSQLEMNALMQQKDEDVIAGRLGFRIGFPRGYGLKRKSIKWLEEWQRLPYTSPYYDSSHLDSRTDVSEKRIVGVFHELLHLTVQKKTERQNVSNLRKPLNLPQKFTKVFERHPGIFYISKKNNTQTVVLREAYDRHQLVHKHPISEIRDRFACLMKEGFLDRSKGLYKEHPATSLGEDESHVFEAESFANEFESEEESEAHMFSEYESDETTHHFRLSK >KVH96623 pep supercontig:CcrdV1:scaffold_3753:25275:25931:-1 gene:Ccrd_001287 transcript:KVH96623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MKGPIPTVSTIHADPPSSPRYPPPVSTPTSGAQRRIGIAVDLSDESAFAVQWAVKNYVRRGDSVILLHVRPTSVLYGADWGHATDATSHSVESQKKLEDDYDKFTNTKTTNLSQPLVEAHVPFKIHIVKDHDLKERLCLEVERLGLSAMIMGSRGFGAVKRTAKGRLGSVSDYCVRHCVCPVVVVRYSDEKDDGGEGGGGATKDDAEFHDAKDHHNGN >KVH96622 pep supercontig:CcrdV1:scaffold_3753:50799:52066:1 gene:Ccrd_001288 transcript:KVH96622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucanase MAFSSLSRLVISLCVLCVSVSSAARFDELFHPYWASDHFTIEGQTVNMKLDKFSGAGFSSKSKYMFGKVNIQIKLVEGDSAGTVTAFYMSSDGPKHHEFDFEFLGNTTGEPYLVQTNVYVNGVGNREQRLKLWFDPTKDFHSYSILWNQHQVV >KVH96624 pep supercontig:CcrdV1:scaffold_3753:6052:7681:-1 gene:Ccrd_001286 transcript:KVH96624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKWSSIAIHLPGRTDNEIKNHWHSSLKNRSAASKSNSTVGNSFKRTTCSKEEKANEAFNIDSVLDQATQNILESTPILTCRQPSSSTLSSSNTASSSTNYKGSGVEDDACSRQVIEEKIDDFWTEPFLQDFDGNINCTTDNFLKPLLELGILYPPFPIDDEETFWFYGLHSENTNEVQW >KVH95032 pep supercontig:CcrdV1:scaffold_3755:40405:42022:-1 gene:Ccrd_002899 transcript:KVH95032 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MESPTWPESLPINRKKAIQELTQGQEWTDRLREILQRSENIEADPTSLDGIVEQILGMFDNTLSIIGSWSSNERFQLPASYLQKSRKPDEPFLLQTSYLQSFCSSDEQISRKPIADDPLRLQSSYLESLHKSRKPGESTKMIIPMKIKRGCYSRKNSWTSTQVTSVLTDDGHAWRKYGQKKILECTYKLDQGCLATKQVQKIDNEPPLYKITYMRSHTCKNLQRASQIILDSRDPTDTSILLNFEAKGITGKKQVSPHFQTMKHDPEDGSLSLGHWRDNGSIPSNNYLSWNLNTQVSQIPLESISMSIRLDHEDMVSSCVYLGSEHGDMTSSEAYSSMASPQRHPYASSEAYSSMASTQRNEMDHMLGRNDFNGFSF >KVH96822 pep supercontig:CcrdV1:scaffold_3757:34381:34653:-1 gene:Ccrd_001086 transcript:KVH96822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKEEAEEERSRGRKKQRKEEAEEEAETEAEAEAEAEGKAEGEAEGEAEGEAEGEAEGEGEGEREREREGEGEEEGEEGGGEGGREEGGEE >KVH99478 pep supercontig:CcrdV1:scaffold_3758:44928:47901:-1 gene:Ccrd_022289 transcript:KVH99478 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MEETRLCSWTVCRSIFAIFQWWSFNVAVIIMNKWIFQKLDFKFPLTVSCIHFICSSIGAYVVINVLKVKPLITVEPEDRWRRIFPMSFVFCMNIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWMVWRKYFDWRIWASLVPIVGGILLTSVTELSFNVLGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAVPALLLEGAGVLEWIQTTPSLISSFIIIIGSGVMAFCLNFSIFYVIHSTTAVTFNVAGNLKVAFAVAFSWMIFKNPISAMNALGCAVTLVGCTFYGYVRHRLPNQLPGTPRTPRTPRSRLEMTPLVNDKIDDKV >KVH99479 pep supercontig:CcrdV1:scaffold_3758:6263:20035:1 gene:Ccrd_022287 transcript:KVH99479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEVRRLADNMVQLGKEGTLFAARRAAAFVRGDDVIHKLFTELAYRYKAGGYTRVLRTRIRVGDAAPMAYIEFIDRENELRQSKPPAPQPAERPPLDPWTRSQLSRSXAPPKQVKTSEAED >KVH99477 pep supercontig:CcrdV1:scaffold_3758:25481:33867:-1 gene:Ccrd_022288 transcript:KVH99477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLEAPILNPIYLMLGASFGLAAKGTGLKPLEFALSICDILDMTRYFSQGHTPLQSRAYYQIMECLRLVKIHSPMRADPNHVVIWVPSHSSTTAARLASEKMLRYVVFTSCNSFCLVFSSQLAKNCIIRVSP >KVI03086 pep supercontig:CcrdV1:scaffold_3759:5006:10608:-1 gene:Ccrd_018622 transcript:KVI03086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFMACPAHNIRRNDLNQYCIDCEMAACQHCRAEGTHHDHRIITIYRLVYREVVSLEEMNRYLDCSRIQPYMSNGREVLALHPLPHCGSGSLKQHIACRFCTRKLMDPRSYQYCSIACKYQIDGGRPTTIEPPARPPASNNGDGDNGGAGGGSAAAGGSRNGKTASHHRSRK >KVI03087 pep supercontig:CcrdV1:scaffold_3759:32882:34069:-1 gene:Ccrd_018619 transcript:KVI03087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MDSPRRIGSISINLFYLFLLLSSNLLTLFISTTFYSSSSLNPTRNIAMIIDAANATQLPLDTANFKGTSDISPEFLAFTSPKQLPFGMNSNFDSDKLYPPVGKACTLFPNELNRYMSYKVNESCPDDELLAQKLLLKGCEPLPRRRCRPAAPPEYVEPHPIPESFWSTPSDSSVVWTAYTCKNYSCLISRKHNQKGFDDCKDCFDLGGREKARWTESKSRGSIDFGIDEVLKVKKHGTIRIGLDIGGGVGTFAVRMRERNITIITTSMNLNGPFNSFIASRGVVPMYISISQRLPFFDNTLDIVHSMHVLSNWLPTTLMHFLFFDIYRVLRPGGLFWLDHFFCKGEQLEEVYIPLLESIGFNKVKWVVGRKLDRGIELQEIYLSALLEKPLTNSW >KVI03085 pep supercontig:CcrdV1:scaffold_3759:2173:4752:1 gene:Ccrd_018623 transcript:KVI03085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MSIPIIKMIGGSINSKKRKMRSAKYYGLQSFLQPGCPISLSGTFRDNVRLFLQECGQVEDYNVEGMPIWCTFLVHENRGFVLPMYTIEECVKNSLQPLCDHCRCSGWSHHFVSKRKYHFIIPIDNEWNKPLDDGVLDLQTHILHGLIHCNGFGHLLCINGSEGGSNFICGREVMDLWDRICTTLHSRKISVVDTSKKRKMDLRLLYGVSYGHTWFGRWGYQFCHGSFGVTKDTYDQALQILSSLELDIIVHNLQHFSVKKIICRYRDLSDTELLTIRDLFRFMLALKFRTPEKKNIDQPKPKLNLTKKEKQGRCRKFSNLAAKLDSRWHVRRLEHVANVVVDALKEKKAQNGNGNCGMSRQEVRDTARLHIGDTGLIDYVLKSMNNVIVGGYVVRRAVNSCTGVLEYSLQEITDSPSRLDQDSEEIDQGRVDSMITRYNPISGSDIYRDLAYLYHHVLLDSDSETVEFAIRTVLDSKNFTKEWPFNDDADEFLRFICRVIPLVPTERRNSVGEQLVVPLHATIRDLKAVAEIAMRDTYCVMENLKVREIVELEGLADDEVIFGTLESGSEISVRGSGVDLLTTSDLNYEGGADNWVVNCKCGAQDDDGERMVACDLCEVWQHTRCSGIDDSEAVPPLFMCYRCCDSIGPQKYPKQIDSFTDWMMVPIVGNTHIDLFN >KVI03083 pep supercontig:CcrdV1:scaffold_3759:18730:22532:-1 gene:Ccrd_018621 transcript:KVI03083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box EPSPVQWINQFLAGLYFSLCRAHDFRNNDKNNFCIDCETLACYHCMSDGTHDHHRVLRIYRLAHMNVVPLNIINEHLDCSGIQINDEKPTAKESTIKPPAPEDDAGAGTGHSDKRSSPRKRSRKGVPMRALLLKDQA >KVI03084 pep supercontig:CcrdV1:scaffold_3759:18899:33968:1 gene:Ccrd_018620 transcript:KVI03084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQAWSFKSRALIGTPLRLLFLGDDLLSLWPVPAPASSSGAGGQKHTFDVCVLLGLILNYAYTMTYIYELKQHTELENRFKSEDWYQIWVTATAQAQILEFYQCAAPLHHTKTHCAGASCCTSYFLVGPSNLVRLKRTSSASDNKAGTGLETSRTFKHQAENIVDQLWKCSHILRQGLFDLYGMKDVRELHPDKLQNFIDPKVNAAPAFGFSPSCLLSTSKRLSSLGKRVHALPTGGYSLSESKFEFIPNGSCFGDVKARNSGEMSDVPLKLAVSSGSWVALAASMIIAMFLVGFREDEE >KVH91372 pep supercontig:CcrdV1:scaffold_376:163564:172535:1 gene:Ccrd_006607 transcript:KVH91372 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MGDDDNGNDKSSETDASTWDFDGQETQIFDSQFFDSPTSSPGNKFNGDDADEFHTLHGTIPFDDIVMFEDELATQVMDPDGETQLVNLGCETQVVNFDAEIEVMDFPDFVEQNGTQLFNDYDTEEVVDSDHEGTENTQVVDESDELSVEDSGRRASTNSADLEHTQHMRQCNKDTNECKPKMDCGRSEQQTSGVGFKTFTSIRAASMRASGLAALNKASQRSKSPSCSTLCSEPDIEHRRKLLGRSPSHDLENHKLFDGPETGNRCRFGRATARKLFAEDAQTETKEPNDNAKLCVEANSHMCSGLETELAGLSYVDSQEPGEASQANALDFVDNFLKVNIECSDERDIGKSTGGKENPVLSAKGTQTLAKSANLINAVGERGIFDWDNNREDEGGGEFFRKKKEAFFASGGRKLNSSSSRNGRILGVSRDKKQPNIHEKIMGLVCSDSKLVVGNNKANDKSDNSLRTHLKDSNSRKNLINELDKQSNLHEHGMSDMPTDMHAQETTEIRFDTQMAAEAMEDLCFGLLVTGHESTKADEGCNHMPKGFYKGEAQEKSLTKRSRKALPLPDDGARTRQSKLKRINGQSKEATAAPLQHSAKVRKQHDTVPVKELKRVKSAGKKKIACNQSENLDNLPKRRGEMSLKRREIDTTDAPVESGDQMSFKKQCIQGALGHVTPVARRTRRSMRVNESEKSKDASSDLTEEINILTGFVPKGKRTCQKLSPARQKVGSQSISRLTRSKVAILSKQGKGSGDNHHGNGQANTLPCYEEARASPRLEGSLRVRNEPIPSACATPVSRGTPVKEASPICMGDEYLKQSCRKSRIRSSLIQEVCSLASAGATLISPTKDTRKRRDVSLICVLFSRHLDGDIVKQQKKILSRLGASESFSMSDATHFIADDFVRTRNMLEAIALGKPVVTHLWLESCGQACCHIDEKNFILRDAKKEKEFGFSLPASLARACQNPLLKGHKVLITPNTKPGKDILANLVKAVHGVAVERMGRTALKDDKVPERLLILSCEEDYALCLPFLEKGAAIYSSELILNGIVTQRLDYQRSREENPFDSLAEER >KVH91373 pep supercontig:CcrdV1:scaffold_376:9040:10432:-1 gene:Ccrd_006600 transcript:KVH91373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MASTDTKAPTHATTQSSVKWASQLSGYMAGTGAVAVKNQVKIDAALRAFLCATALVAVIVMVTSKQNTLIVVSPLMLGIVASATGAGGGVAYEALKGNPHIRWNKICHIYDIFCRHLGFAMSVSLLSSMTLLVLVWLSVCVLAKNSGRR >KVH91368 pep supercontig:CcrdV1:scaffold_376:226957:228686:1 gene:Ccrd_006609 transcript:KVH91368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLKEMEHENIVRLHDVVHNDKRLYLVFEYLDLDLKKHMDSCPELSKDPRLVKVSNPFMPPR >KVH91375 pep supercontig:CcrdV1:scaffold_376:34598:37202:-1 gene:Ccrd_006602 transcript:KVH91375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-type fold IYKPTRSLCPFHISIHSFHFGKVALLPSFFPISLTSMDDQRLDFGKMGYGCKHYRRRCMIRAPCCNEIFDCRHCHNEATSMMKNHFDRHEVVRSEVKQVARTCTNCGVNMGEYFCEICKFYDDDIEKGLFHCDDCGICRVGGLENFFHCKKCGSCYAVGLRDNHLCVENSMGHHCPICYEYLFDSMKVTAVMKCGHTMHRECYNEMIKRENRFCCPICSKSVMDMSTRWKMIDEEIEATIMPDDYRQNKVWILCNDCNDTTEVFFHIFGQKCCHCRSYNTRTIAPPVLPQE >KVH91377 pep supercontig:CcrdV1:scaffold_376:245824:253131:1 gene:Ccrd_006611 transcript:KVH91377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYYSKQAVAGIAAPRKRSVTALKDTAGSRDGTSQFCNRIGCCGRLNHTKGTKNKCLEKPKPFKSSFRASSGKEVTSGSSKNSSSVKKSLLESEKKLSSKVETIPTELSSVSDESEIQELNASGSHKERKLKSRNTTAIKTTEVPSLSTRIRKVSAPQITDFGIVEKSQNGKNGLKSLRCNTISDGYRQKDPNSESNNGGKRSTVKKRFTQGESSSSGKGKRVSGISANEGHSRNWTACKPNGGSSVRTRRSMNVDPPTGSMKRLHGDNLSLVQSNNVIPDIQTQIVWPSIENSVTETSLSTNDASSTMVSVTSTDHHPVVRFVNHNGTRHYNIDGVADVLLALDRIEQDEELTYEELLVLEEKMGTVSTALSEDELSKCIRISIYESLQLEDGRMRCSWGADDSKCSICQEGWDVGMGTILHVSTNGCD >KVH91371 pep supercontig:CcrdV1:scaffold_376:106879:107913:-1 gene:Ccrd_006606 transcript:KVH91371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPTFTNASPISFVTPSAEENECQKAKLALLFHRYKDKFTTLPKENGWIVENLYMYQGFWLMSDSLYSVETVMAAQDTFQAHPNDIYLVTLPKSGTTWIKALVFATMNRNRYKNKPLSTHPLLVSNPHHCVPFVEDESLRTNPSYLDTHLPRLFATHISYTSLPQSILDSGCRLVYMCRNPKDVLVSLFHYVNKLRGKARGLMTIEEMFHMFSKGVNPYGPYWDHVKAYYKVSLEHPTRVLFLTYENMKVDTVNNVKRLAEFLGFPFTEEENAKGVVEEIVSLCSFENLSEVNKHGNFLEGVPNNIFFREGKVGNWSNHLTTEMSQTLDQITNEKFNGLDISF >KVH91367 pep supercontig:CcrdV1:scaffold_376:175484:179557:-1 gene:Ccrd_006608 transcript:KVH91367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MEMSQSDPVTEWSSSGGQIGLEEPMWQLGLGGGSESYPERPDEADCIYYLRTGFCGYGSRCRFNHPRDRGSVVGALRAGGGEYPERIGQPVCQYYMRMGMCKFGASCKYHHPRHGVGSSSTVALNFGITCKFHHPQLAGMMPSPPPPLSPGPLSAMPSAMYPNVQSPTVASSQQYGFLAGNWPVGRPPLLPGSYLPGTYGPMLGPVHPAASPNSQPIVGVGPGYAVNQLSPSYPLSKSQNFPERPGEPECQFYMKTGDCKFGSSCKYHHPPEWSVSKTNFVLSPMGLPLRPGAPLCSHYAQNGVCKFGPSCKFDHPMGTLSYSPSASSLADMPVAPYPVGSYIGTLAPSSSSSELRPEVTSGSTTMDDGISTSMPSGSKSMQLSQSTGSSSTTHNT >KVH91378 pep supercontig:CcrdV1:scaffold_376:231230:232804:-1 gene:Ccrd_006610 transcript:KVH91378 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MAKESWTMAVCDSCKTSPASLFCRADSAFLCITCDGKIHAANKLASRHARVWMCEVCEQAPASVTCKADAAALCVTCDRDIHSANPLARRHERFPVVPFYDPATTVSKLGGGGGNSDHHYLLDFDVNVAGTEAEEAEAASWLLPTPNPNLNKIADGSDDDNNVKVTESESGYLFNEIDPYIDIDLKTPNQKPNQILHRYNSPTDGVVPVQNKNNNQHYQIPPHGHVSSDVVEGLPAYDIDYTGSKPFMYNFTSQTISQSVSSSSLEIEVGVVPDHKAAMADVSNNHHHHHQSSAEVYPSPVMGFDREARVLRYKEKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTEIDVEADDAFISPDYSSYGVVPSF >KVH91376 pep supercontig:CcrdV1:scaffold_376:37045:46196:1 gene:Ccrd_006603 transcript:KVH91376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHNPSSQNPDVDHPWRLRRWGRRMAEEQLSQNGKNGLIYGMDTRIDIYNIMGAVDKVRDLMVAESWANRKSSVNGNDLTVEPSAFSSGTPKVISRSKRLGLLPDQQHLDLTGGREDRQFAFVHQAQLLFSTAERYFEKPAPLRTYLDKDSHRKIEEGMDSDDSDSNDDNEINWVEEENIWLLSCAIAIKGIIISHKLNSMRCDSNNEAHENTSSRRRSDDLYMSAIRDIKHISSSIIYRLPTDPQIYQLSLMSFYTLDLTTHIHTLDCRFPRH >KVH91374 pep supercontig:CcrdV1:scaffold_376:29048:33621:1 gene:Ccrd_006601 transcript:KVH91374 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MNNQSIQNSVPSDIAFKIASLLQELDLCALGSCSRFWRELCGSDHIWAGLCRDRWPALGLDKEESLTVPKFNAHQLQQQHLDSTLKGWRGFYVSKHYEMASKADAVIHFLEQCISSESIEVNHYLVAMQNMSSMQFGFKDVVVFFFKENLHVLLNLAGLHYCIAWLGVPAEHVIEAVSRCKISDRQICVQWWKLGRWLYGFRLRDESISRRASLRDLAMAKEQEVLDVLHRGAIHEVIRVQISAAKPVSSPWSCQTQTPETSG >KVH91370 pep supercontig:CcrdV1:scaffold_376:76003:77037:-1 gene:Ccrd_006605 transcript:KVH91370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MSAPTASNASSISIVKPSAEEIERRRAKLSLLFDRYKDKFTTLPKENGWIVENLYMYQGFWLMSQSLYSLETVMAAQDTFQAHPNDIYLVTLPKSGTTWIKALVFAIMNRNRYKNKSLSTHPLLISNPHHCVPFVEDESLRTEPSYLDAHSARLFATHIPYTLLPQSILDSGCRLVYMCRNPKDLLVSLFHFVNKVRGKSRGLMTIEELFHMFSKGVSPYGPYWDHVKAYYNISLEHPTRILFLTYESMKLDTVNNVKRLAEFLGFPFTEEENAKGVVEEIVSLCSFENLSEVNKHGNFLVGVPNNIFFREGKVGNWSNHLTSEMSQTLDQITNEKFNGLDISF >KVH91369 pep supercontig:CcrdV1:scaffold_376:58716:66267:1 gene:Ccrd_006604 transcript:KVH91369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06350) UniProtKB/Swiss-Prot;Acc:Q9SQT8] GFPTNPSFPRSSKSLFNLLFATLHQPPPLLLTNNWYKDHFQLHSFSTIYLPHLRTRTAMELVTPAVERMGGSEGITRNSTLICAPIMADTVDQMLVQMNLAKSSGADLVEIRLDSLKEFSPREDIQTLIKLSSLPTLFTYRPTWEGGQYNGDEQSRLDALRLAMELGADHIDVELQAVDDFKNLIHGDKPTKCKLIVSSHNYQNTPSVEDMGNLVAKIQSTGADIVKFATTALDITDVARVFQITAHSQVSGVPIIAMVMGERGLMSRVLCPKFGGYLTFGTLEHGRVSAPGQPTIRDLLDLFNFRQLGPDTKVYGIIGKPVGHSKSPMLYNHAFRSVGFNGVYVHLLVDDVKNFLETYSSTDFAGFSCTIPHKESVVQCCDEVDPIAKSIGAVNCIIRRQNDGKLYGCNTDYVGAISAIEDGLRGSDVQNGSTVSPLAGKLFVVIGAGGAGKALAYGAKEKDRARELAEIIGGEALSLADLSTYHPEEGMILANTTSIGMQPNIEETPISKGFRLAAWLSKELIAPSESNLRPDASLQEEALKSYALVFDAVYTPKITRLLREARECGAKIVTGVEMFIGQAYEQYERFTGLPAPKELFREIMEKH >KVH84931 pep supercontig:CcrdV1:scaffold_3767:7823:10446:1 gene:Ccrd_025440 transcript:KVH84931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MKSSPSTMAVPHPKLPVPINREVCDYTDNGYNDDLGDDSDFGDGDEVLNLELWLWKSFESKEEEIKSTCCLSTDMEGSQEVYEVAVASAGKPFFPGKSVVHQLELITDLLGTPSSDTISRVRDDKARKYSTDMQRKNLVTFAGKFLDVDPLALRLLQRLLAFDSKD >KVH84930 pep supercontig:CcrdV1:scaffold_3767:31231:40535:-1 gene:Ccrd_025441 transcript:KVH84930 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MIAFQTLLLVPCYLYVVMVGAIRVEDRVEETLLSPPEGNMTFLDGTNWCVASPGATQYDLQSALDWACGLGMTDCSQIRPGGPCYQPDTLFSHASFAFNSYYQQNGNSDVACNFGGSATLTKNNPSYGKCVYAASGPAKSGGGLVWRLNWWKSGGILLLLYMGS >KVH84858 pep supercontig:CcrdV1:scaffold_3769:37370:48018:1 gene:Ccrd_025444 transcript:KVH84858 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MPTLFTASATNSTSETYTLINAKNLAKPNCSSRCGDVIVPYPFGIGNNTNCSISHEFDLYCNNSASPPKLFIAEDHYNSITRIYDSTLRTSNVVATGCYSSNGTYLGGYYISMSYKDSPYTLSDVNKFTVIGCHDSAWLSSETNSRNVSTGCMVFCSTPEDVVGNGCCQSSIPKDIHYYETELNPLTDSNNTRYTRSFNPCTYAFVGEENAYKFNGLTDLNDTDLAEKIEASVPIVLEWAIGNLSCIEAKAMDGFACQYSNSKCVNSTRESGGYRCICDEGYEGNPYLSPGCTDIDECKQEDKFPCYGTCLNTAGSYTCKCKRGHSGDAKIQDGCRRKPFHPLVLTIASGKYPTSETYSLVNATNFTKPGYDSRYGDLIAAYPFGLGTNSNCSIGHGFDIHCNTFQTLQSPLLEKQITTRLNRYLFSTPHLEHRDYKFIRCIHRLYKLVVHLIKSCMVFYSKSEDPLTGECSGSGFSQSFIPKDIKYYQTQIGALRAMSSRMNSGVNLAHGNSSQQVQEDTINIDSSNFIDSSSHRRVREPTYMPSIWAQEEGILFQFCSNEYGQTVDKKTTNSLSHFMGSLSRSGKYCPVDISWHQTKFDFPPGSDDWILKSFAKKVEESSSGREPSRMEFISVTSVNHPKATTELQPLK >KVH84859 pep supercontig:CcrdV1:scaffold_3769:29119:29992:1 gene:Ccrd_025443 transcript:KVH84859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MFSFATAGKYPTSKTYSLVNATNLAKPGCDSRWGDLIVPYPFGIGTNSNCSIGDGFDIHYNTSSDPPKASIRKTDYNSIKQISDSTLHISNMVATSLFDDSDIANSTRYVDPWTYAFFGDEDVFKFKPNQWAVLLAIQIVRVLTLQGRAVGIAASAKKAIREIPIYLRVAKVQFK >KVH84860 pep supercontig:CcrdV1:scaffold_3769:17879:27306:1 gene:Ccrd_025442 transcript:KVH84860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKTFVLMWVLLQMLSLFTASDTNFTSDTYTLINSTNLAKPNCSSQCGDVIVPYPFGFGNNTNCSISPEFHIHCNTSLHPPKLSIYEDSYTSITHISDSTIRISNLVSSRCYLPNGTISNGFNISVNYIDLPYTLSGVNKFRVIGCDDFAWLISGTESRDVLTGCAAICATPQDVVSDQCSGNGCCETSISRDINYFRTQVKSMEDSDNMSYTRSFNPCTYAFVGEENVFKFKGETDLNNTFLAEKIEAEVPIVLEWAIGNLSCVEVEATDGFACQSNSKCVNSTRESRGYRCICNDGYEGNPYLSPGCKDIDECKHPDKFPCYGTCFNTEGNYTCKCKRGHSGDAKIQDGCRRKPFHPLVLSIGMGCGLLTILIVLLVSYFVVKKKKLVMLREKFFEQNGGMLLEEKLKTKSGVGVGAMKLFGVGDLEQATDKYAEHNILGRGGNGIVYKGTLLDNRVVAIKKSQRLDQRQREQFINEMVILTQINHQNVVQLLGCCLETDVPLLVYEFISNGTLHHHIHSRKSGVARLSWDSRLRIAHESAGALAYLHSDARMPIIHRDVKSSNILLDESYTAKIADFGASRLIPLGDHNQVTTLVQGTLGYLDPEYLRTGQLTDKSDVYSFGVVLAELLTGKKPIAGERCLAEQSLATYFEKAMKENQILEILEFEVVKEATDEQLKATCDLTCRCLNQLGEKRPNMKTVAVELETLRKFRKHPWVSEDNYIELSSLMIENKPNDLYEVPLITNSDTFGES >KVH99329 pep supercontig:CcrdV1:scaffold_377:57149:58697:-1 gene:Ccrd_022443 transcript:KVH99329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MVSPDSSSNPNSIHQFLISNPIPSQTHYESQPFDVYGVDLRSLGSYPHQSLNVLPTIQSLGERMSRSIDLIQAPPMADNEQDLNQHHQNQKLSLSLGSGLLFPSSTQYRGGRWNLISEEELREKTRKTFNPSDYCSSSSSSSLHQSSSTFYGTESISISIGSSRYLKPTQSLLEEIVSIGGKDLDSSNKSYAQKLSSSGWQGSLGLCSELKAELCSNGLSMEKQELQATLAKLISLLEEVERKYEQYYQQLEDVVSSFELNAGLGSGKSYTALALNAMSGHFSGLKDAILSQIYVTRKKILQDLPKINTGFSQLSLFDKETNRHNRIALQQLGMIASPRQTWRPIRGLPETSVMILRSWLFEHFLHPYPNDNEKLMLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFADSSEDSNL >KVH99325 pep supercontig:CcrdV1:scaffold_377:187564:203951:1 gene:Ccrd_022447 transcript:KVH99325 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRA domain-containing protein MDVDPRQYENITINDNDSHNIVLSYLIHGCFKDTVESLVASTGLKVPAVQLEDMEKRKRILHFALEGNALDAIALTEHVSPDLLENNKDLLFDLLSLHFVELICSRKCTEALEFAQAKLTPFGKDFMALLAYEEPEKSPMFHLLSFEYRQHVADSLNRAILAHANMPSYSALEKLIRQATVVRQCLSEELGKAFILKRVAVFSRDLFLTQLNSLGSVPV >KVH99330 pep supercontig:CcrdV1:scaffold_377:26994:34163:1 gene:Ccrd_022439 transcript:KVH99330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MENRLWISQKRWKLSTQNEDEEVLLYTCKPPGDVSESSGVENAVFPTQKVSRFKKKTSSYNDEKPIYCTLPNFEGIYQPSSSSSSIASTSESSGETDSFGMFKDPNKNATRFLENNMILSASNDQLGFPVELSWEAITEITDQFSSIIHLDSNEAFQMYSGYLGNRASAIFVKRYISVGSNYVLKAEKKAALTMCHKNIVGLVGFHQNETAMALCPRGPIVHGDLRPCNILLVTTYNLSPCCATFPRVRRVGFLKSGLSSRPIFPGARIKACLVPQIVVKPEDQVVVLVIFNSGDMIQSPVVSSCCIGSSSECRNDEPAEKERYIRILREEISQGTEAYMXIFRPFYKECKSIGNEDEEVLLYTCKPPGDVSESSGVENAVFQTQKVSRFKKKTSSYNDEKPICCTLPNFEGIYQPSSSSSSIASTSESSGETDSFGMFKNPNKNATRFLENNMISSASIGFPVELSWEVITEITDQFSSVIHLDSNEAFQMYSGYLGNRSSAIFVKRYIGVGSNYVLKAEKKAALTMCHKNIVGLVGFHQNETAMALVFPYASRGSLMDRFLNGW >KVH99321 pep supercontig:CcrdV1:scaffold_377:252433:253236:1 gene:Ccrd_022449 transcript:KVH99321 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSYVLVVGNKDGDGGARRREARKPPAARASSRKGHMKGKGGPLNAACTFKGVRQRTWGRWVSEIREPKHGERLWLGTFNTAREAALAYDAAARKLYGPNAELNLPDEVAAPPPRPIASRRAAAVMAAAAARARYHRLLLEIKMKQEIEKQKEMEMQAYLLQVQQVQVQQMQMVVNGGIAVAVSGYDHHDLTNYGSRSTDYRKTVGMGFEDMMKSNLNTKLPEFDDSQMWVEAASTMDYQIQAIGDPGIAAHTFDDAIGIDLKHPLMM >KVH99322 pep supercontig:CcrdV1:scaffold_377:83808:88147:-1 gene:Ccrd_022444 transcript:KVH99322 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MAAKLLLLFAICRLIVIVGLTLDPTTDPIVIVGMDGQLSVDRHDIESVSVDFGKMTRTEPTAVLHPASSDDVVKLVRLAYESAHGFSVSARGHGHSINGQSQTGNGVVIKMSGSRRNPPVPVVSEKLMYVDAWGGELWIDVLKSTLKYGVAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQINNVHEMDVVTGKGELLTCSMDKNPQLFHAVLGGLGQFGIITRARIALEHAPQRVRWIRVLYSNFSAFTHDQEYLISLHDQPQSQKFDYVEGSVIVDEGLINNWRSSFFSPKNPVKISSLGAGGNVLYCLEITKNYYADSNPKSIDQEVEGLLKRLNYIPASTFTSDLPYVDFLDRVHKAEKRLRSKGLWEVPHPWLNLFVPKSRIGDFDKGVFKGILGNKTSGPILIYPMNKNKWNENVSVVTPDEDIFYLVALLRSALDNGEETLTLKHLLEENRKILKFCKESKIKVKQYLPHYTTQKEWMEHYGEKWPQIHQRKMEFDPHHILATGQRIFEPGFSSITRSW >KVH99323 pep supercontig:CcrdV1:scaffold_377:173760:174623:1 gene:Ccrd_022445 transcript:KVH99323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLLPSPSSSYLTHHRLNPHTLSFSPSNFNSISLPHRRRHHRNHTSSLRCSSSSFSEKHENTPSPNSNDIVELPLFPLPLVLFPGAILPLQIFEYRYRIMMHTLLQTDLRFGVIYTDATSGTADVGCVGEVVKHERLVDDRFFIICKGQERFRVTKVVRTKPYLVAEVVWLEDRPSGNGEEDLEGLASEVESHMKDVIRLSNRLNGKPEKEAGDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTMVRLKREKETLRNTLNYLSAASAVKDVFPSSSSSSS >KVH99324 pep supercontig:CcrdV1:scaffold_377:175113:178061:-1 gene:Ccrd_022446 transcript:KVH99324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein FISLKHVPCFPFTTLRPSRTTPNPPSPPILSLEMVWLWRISPLFFLFFFPLTSFSTSTTSDLFQQWCQQHGKSYSTEEEKLYRLDVFQDNYAYILRHNSDANSSFTLALNAFADLTHHEFKLARLGGLSASASSGDLIRLNRGGLLIESSNDLPKSLDWREKGAVTPVKDQGSCGACWSFSATGAMEGINQIVTGSLISLSEQELVDCDKSFNSGCDGGLMDYAYEFVIQNRGIDTEEDYPYQGKATSCNRNKRDRKVVTIDGYNDVPENNEDLLLQAVATQPVSVGICGSERAFQYYSKGVFKGPCSTALDHAVLIVGYDSKDGEDYWIIKNSWGTSWGIDGYMYMARNTGNSDGLCGINMLASYPIKTSPNPPPSPTPKPVKCNLFSWCAEGETCCCAKTILGICFKWMCCEASASVCCKDHRHCCPSDYPICDSKRNLCLKQTGNGTVANQPKKNSSFGKSSGRSSLHQQYF >KVH99320 pep supercontig:CcrdV1:scaffold_377:209012:216103:1 gene:Ccrd_022448 transcript:KVH99320 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG, conserved site-containing protein MISSSSLVSVCPPPSSSLLLGSSLFPHQLHTSFVHRLLPQNHSNNHNWNWQRNVPNDFRYPTFKCSLAKAKDPPSPSLESLIREPHKYFDQVIITVRAGDGGHGATLSMPNPKAPSKFQSKEDKVKARIRGSYKRDFDGSLILPMGGHGGDVVIYADEGKDSLLEFHKKGRYNAKRGGNVDTMGVLTSQGRHGNKNDIIMEVPLLPTISLLDILTGTVVKHKRGKFLADLARHGDEILVARGGQGGISLIEMPEHKKKKMMAMTANVMRDENDKVLVIGQHGEEVSLQLILRVVADVGLVGLPNAGKSTLLSAITLAKPDIADYPFTTLMPNLGRLEGDPSLGAGKFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRMLVHVVDASTEDPVYDYRTVKEELRMYNPEYLERPYLVVLNKIDIPEAMDRLPFLVEEIQKIGSDDLPGQLKLSLEDPVQSVSDEDKKIKEIEEYPRPVAVVGVSVLKCINISELLKEIRSALRKCRESGEAL >KVH99326 pep supercontig:CcrdV1:scaffold_377:34476:36066:1 gene:Ccrd_022440 transcript:KVH99326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNIAIGIAQGLRYMHEQCPRGPVVHGDLRPCNILLGHNLQPQITGFGHAKWLEFEESSPTSSNRLIWLRFLVFEHEKLWAQASFGSKVIGINEIGHSRIRVLSLLKGEMSCAKQTFPSTESSPSM >KVH99331 pep supercontig:CcrdV1:scaffold_377:20611:24051:1 gene:Ccrd_022438 transcript:KVH99331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRGNSCGSTQIHNSGSDEDLMDQRKRKRMESNRKSARRSRMRKQKHLDDQMARINQLKNDNSQIFITMEVTTQQFVQIEAQNSVLRAQIGELSQSLTLLDA >KVH99327 pep supercontig:CcrdV1:scaffold_377:36523:39479:-1 gene:Ccrd_022441 transcript:KVH99327 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MHSITKNQLWLLSIFFAMLLSHTLLCSPPLLSALLTHLDGIMVVAEVLVVAAAPLAVKRILDFSQVSTVTRALKRTTLSCLSSKGPFPRTQESLLLCFGFIFMTVLFSETFVSEKKSVPNKNSLRGFEVIDEIKSELEEACPETISCADILALAARGAHTIGMARCTTFKQRLYNQNGNNQPDSTLERSYYHDLKSVCPKTGGDSNISPLDLASPATFDNTYFKLILSGKGLLTSDQVLLAGNLGKTMQLVKAFADDRALFFGHFGRSMVKMGNISPLTGYNGEVRKNCRKVNL >KVH99328 pep supercontig:CcrdV1:scaffold_377:44069:55936:-1 gene:Ccrd_022442 transcript:KVH99328 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTPase HflX MSACFHSIVKPFPLFSQFDSYRCTWNPNLTTHFSIQNYNNNITRDICHRRFNQSIRILEHGSGVLSPADDDIEVPFAGGVSDTDGEVPDTDDGVLQGLVDVEEDDTESALKDLSTKRFAKKKGNDEEEDGEDSRFKLQNGREVFEEKAYLVGVARKDDTEELFSFEESLKELAQLADTAGLMVVDSTYQKLATPNPRTYIGSGKVAEIKTAINAYGVETVIFDDELSPGQLRNLEKAFGGNVRVCDRTALILDIFDQRAATREAALQVYYLFSSLEIFSWTDRYIITFQVALARMEYQLPRLTKMWTHLERQSGGKVKGMGEKQIEVDKRILRDQIGVLRKELESVRKHRKQYRTRRLAVPVPVVSLVGYTNAGKSTLLNQLTGANVLAEDRLFATLDPTTRRVQMKNGKEFLLTDTVGFIQKLPTTLCSHPLAEQQIDAVEKVLSELDASSIPKLMVWNKVDKAKNPEELKLKAKNVEDTICISALNGSGLDEFCNAVQEKLKDTMVWVEALVPFHKGELLSTIHQVGMVERTEYTENGTLVRAYVPLRFARLLTPMRQTCVSQSPTPTSSEEE >KVH99319 pep supercontig:CcrdV1:scaffold_377:272900:275462:-1 gene:Ccrd_022450 transcript:KVH99319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAETGGGAITVTQKIKGWFPERVQLHIAMLALQFGYAGFHVVSRAALNMGISKIVFPVYRNILAFVLLLPFAYFLEKYEGKAADQSQFSYPVLPARDRRDYGEPSFLLIGFGQHFSDLRLRDSELRSRHHIPHGGGSPASSLTPLIEKVRIDRKDGISKVAGTVFCIAGASVITLYKGPTIYSPSPSLHSIRATSPVLQSLGDANGKSWALGCLFLIGHCLSWSGWLVLQAPVLKKYPARLSFTSYQCFFGVLQFLVLAAFMERDINAWLIHSGAELFSVFYAVSDSNPIPNSWLMGVVASGIAFAVQIWCIDRGGPVFVALYQPVQTLVVALMASVALGEEFYLGGIIGAVLIITGLYLVLWGKNEERKFMLQKQPALVQAPTSTDHGAPRTTSHMIKSSITQPLLPQSTENV >KVI06800 pep supercontig:CcrdV1:scaffold_3770:28289:33729:1 gene:Ccrd_014845 transcript:KVI06800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase MRVKQEEVIGYESEEGREMESSSSSGSSGELGFHDNLMKVIVVGYALTSKKIKSFLQPKLEGLARCCYVIDCIFACVRCRNKGIFFVAIDQTRPLSDQGPFDIVLHKLCGKEWRKILEDYRLTHPEVTVLDPPDAIQHVYNRQSMLQDVADLGLSDAYGTVGVPKQLVIEKDPSSIPDAIKKAGLSLPLVAKPLFAKSHELSLAYDEYSLQKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKRELSRSSGVFRFPRVSSAAQSADDADLDPCIAELPPRALLERLARELRCRLGLHLFNLDMIREHGTRDRFYVIDINYFPGYGKMPEYEHIFTDFLLNLVQSKFKNTASLC >KVI11298 pep supercontig:CcrdV1:scaffold_3778:11752:21827:1 gene:Ccrd_010293 transcript:KVI11298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MRKLSFSCQTIETGRVLCEQPDICWVLGGDFNKVRYSCERKGSKFSSYGMAAFNEFIRANALIEPSLGGRKFTWLVETLSNSNKAHTPPMLDHESHISESGWFLNQNLPSVNCDSIDGMMDLQTRWTNSVNWGEEGGHWCEDSSLKQEDQKSDAVRGKLKVAIRRVKCNSINWSKGFLTGVGVNSSTIANLARTKGCEANSLSFVYLGLPTGTSSHTTAIWSPLVDCFKKKLGD >KVI11297 pep supercontig:CcrdV1:scaffold_3778:29602:37089:-1 gene:Ccrd_010294 transcript:KVI11297 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II MDFLHGREHAVPNVPDVDNEDDNDYKSEDDTGLPHHHLPSRQARRYRHRQYSSCTKGDKIERMLTGLGITSGPETTISVVADGGITLAVDTQHLRLNFQKLKNTATAMGRQNDVEYVGINEVPSPTAVGRMXXQFAKPRSDSFEEKDGVKLPRYRGDNVNGDAFDLKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYATMQRVTQWNIDFTEQSEQGDRYLELASRVDEALGSCRLSDLQLDSTSSLYYDCLAHFLWAGERTRQLDGAHVEFLR >KVI03519 pep supercontig:CcrdV1:scaffold_378:178479:183041:-1 gene:Ccrd_018192 transcript:KVI03519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF773 PPKTEDGASDVTESTLPAIQNIVSSVGDLIQQMQNSDDVRNLPIDIAFARLGEWLVDRKRIPSDWRKRLAVVRAKISTAFQSLPKDVDPFFQTLDLDGIGYLEARKIYDILLKSTPESRNIFGRLSGAAGAWEAIVRAFEKDYIYLGEAAQVMVQNVNYEIPYQKKLVQRTQQQLAELERKEAEIKRNAASSAAKYADACQELGLQGINVKLELLETATNSLPGTFSKMLEVLKCDSVSRAIEFYSNFVKDAHTEKDKIPETVLLNLRDIINNPPSLEVSVASEVLASVNAQTSLSEPTQMTVDADPVAYSIDWDITLDSSQIDWDIGTVEDTGNGLGPYEIVDASEIPQSSDGIESDETISENVVSEISWDISVENTQVESTQNSASTDILPGEHASGINVLKGSQGTSQVRSRLLDTEYRSRILDDLFEIKAFLNQRIMELTNTETLSLQHQVQAMAPFVMQQFTSDAIQSMLSDVSSAISLLTNRKTRDLIMILNSKRFLERLVSTLEEKKHHEAKLKEGLNDLAIKRMELQNSLSSVWPKQEAAVAKTRELKKLCESMLSSMFDGRPVKIIGEINNMLSNAGA >KVI03510 pep supercontig:CcrdV1:scaffold_378:152986:154963:1 gene:Ccrd_018188 transcript:KVI03510 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC type transcription factor, LHEQLE-containing domain-containing protein MCKNDDYKKKIFPAFGMQFKDALQRQLAVQRQLHEQLEIQRNLQLRIEEKAKELKKMYDQQMKANNSRNSEITSVEVDSENTLFRSKI >KVI03514 pep supercontig:CcrdV1:scaffold_378:269572:274047:1 gene:Ccrd_018197 transcript:KVI03514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEKREEMGVDSFQFGEEIQSLMSVVQPPEAGNSFTALLELPPNQAVKLLHSPEETTPNIFPSVFAVIETDNSLDTTSSIRPNSSPNNCNLVKQEPIDSYSLHNSSPMQSDPIISKSAKRKEREKKVKSSAKKSKNVADETDGEKLPYVHVRARRGQATDSHSLAERVIARREKINARMKLLQELVPGCNKVSFKNCYGHVYGCLHSIVPGAMIKISGTAMVLDEIINHVQCLQRQVEFLSMRLAAVHPSIDINLENMFSAESGSLMDCNFGGLVSSVDGQLNGSSHHQQQLWHTDALPPQPLWGGEEVTPNFITPENSLLSYDSSGNSGCLHTNQLKMEL >KVI03505 pep supercontig:CcrdV1:scaffold_378:149433:151945:1 gene:Ccrd_018187 transcript:KVI03505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MNTLKIGCNHEMVYNMKSREFGGATQQQPWQVGITILPSEMEAKETHQDHQQQQQEQDHQRQQMITYRQENSSCSKTIMEQIGSPSSALYATEKYLGFSQNGVHLSFNDETDVSSVPQMKFDAYMHPLEKPRDSFSFGSYERPYKMRCNNPSERDQIMELKRKLLDESDTSDWRQSQPSICYDGNQDLGGQFGHSGQTGRPPSGQVSINCANPGTVGTIMPSKTRIRWTQDLHDRFVECVSCLGGAEKATPKAILKLMDSEGLTIFHVKSHLQKYRIAKYLPESAKGTENFVESWEEQAKQLKKMFDQQQKANKSQNSEITSLHDDHHAMNLEDDDILNLEVDSENTLFPSKIS >KVI03515 pep supercontig:CcrdV1:scaffold_378:231182:240924:-1 gene:Ccrd_018196 transcript:KVI03515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MIACSPIRNCVKYAVRPSGSRQSVMPSSACCFHLSLGCVKPNYVPKPNTRQSLIGPSDVANQRSNWQLLTIKSAMHSRRGTPKTRLDLSLGSRNMNLRLLFPKQKQGIISRMKWNLVPGSWMQGCASAGLVMGLTVCNSNTEPAHAEAKENKEDDCSSTITNFSHGKQVHTNYSVIGIPGDGRCLFRAVAHGACLRSGKPAPSESLQRELADELRARVADEFVKRREETEWFIEGDFDSYVSQIRKTHVWGGEPELLMASHVLKMPISVYMNDQDSGGLISIAEYGHEYSKEDPIRVLYHGFGHYDALHIPGKTDSRARL >KVI03508 pep supercontig:CcrdV1:scaffold_378:22046:33556:-1 gene:Ccrd_018182 transcript:KVI03508 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha/epsilon, subunit B MGAGGRMSNPVEGEKKAELEGIQRVPCQKPPFTVGDVKKAIPPHCFNRSVIRSFSYVVYDLTIASIFYYIATNFIPLLPHPLGYVAWPIYWAVQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSIEHDEVFVPKLKSGVRSTAKYLNNPPGRILTLLVTLTLGWPLYLMFNVSGRYYDRFACHFDPNSPIYSNRERAQIFISDAGIFAVLYGLYRLAAVKGLTWVLCMYAGPLLVVNGFLVLITFLQHTHPSLPHYDSTEWDWLRGALATIDRDYGILNKVFHNITDTHVTHHLFSTMPHYHAMEATKAIIPILGEYYQFDGTSVFKAMYRETKECIYVDKDEEVKDVARPATAMPAMDMEVETIQRKQSLYQSMDEMFEIQKEMYKGQQYSQIYYIRLHLIRTLIYSLLPNWKPHLPVCTVLGLEEGKECIVVGTLYKHMKLKPTILRNVVALHGKETSAGDFLVEDILEAGLPPQLDLPNKSGEDKYVVLVSGLNVGSSSSNPLQLQLLVDHITGHLGDEKVPIVSYALSHMFQEQHSAAQIVQVVVAGNSVQIHHELLNGQNLASKDQSRLSEPIKELDIFLTQIAAGIPIDIMPGYNDPANFALPQQPLNRCLFPGSVAYNTFRSCTNPHLFDVDNVRFLGTSGQNIDDLEKYSEAEDKLEFMERTLRWRHLAPTAPNTLGSEGQVVRVVCIPKFSETGIAVMVNLRNLECHTLSFGTQISS >KVI03511 pep supercontig:CcrdV1:scaffold_378:157792:163255:1 gene:Ccrd_018189 transcript:KVI03511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEDLKKRKLEETANGEITANTSEEQLRSLLDPLAKTQLVDLLSKLGCQYPSIAEEIKSVASADPANRKLFVRGLAWNTTTETLCAVSALLMLVVLVLYAFVEHGEIEEGAVIIDKASGKSRGYGFITYKDIDSTRRALEAPSKLIDGRMAVCTLACEGVSNVSSTDQAQRKLYIGGLSPEITSEMLLVFFKKHGEIEEGSVAYDKESNKSRGFGFITYKSVEAAKKAIDDPQKMLGGRSITVKLADNQKNKVVQATQVALPGGMVPVHIGGYPAQAAKPHPYTYPGGIAYPNQPTAASAAAPPPYSIQPQISYTQYTPRKEIMAAPPTGLATYPYYFTK >KVI03503 pep supercontig:CcrdV1:scaffold_378:97400:98709:1 gene:Ccrd_018185 transcript:KVI03503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MAVEEENREKKTERNPSSMAKSDQEREPEDTKDSPPATAAWGTWEELLLAYAVNRYGTKSWDSISSELRKRSSAPILLTPHHCEEKYGELKRRFNQSDDDDVDMDDGSDNTTTAIPWLEELRKLRVLELQRELENYDLYISSLQLKVKKLTEESEKNGGETKKKADLGQRNEEIELKKAEEAKNETPEPVVSGDEDSDRDNQSVNGSNGNLETGVEKSENENENEASPGDEKPESAALSPVREEDSCNGRPDGKEPVKTEPDGEASEAPESIAESKSEGTMKENSDVQSSASKSRKERVDRVRRGTSKEDEREIEDQSTDSIPVRSLPLVDFLHKLQKLGSPIFERRLDRQILWS >KVI03504 pep supercontig:CcrdV1:scaffold_378:115290:115811:-1 gene:Ccrd_018186 transcript:KVI03504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MPFSIQMASPPSTSKKLKTLIRNFIVSQLSCIDVVLAKAKLVCTEFVEETNLKNVHMLLSLMFKKNKNKNRFNLSSFRFYYNWSSSHVVPKSSIYDGYVSYDPTWDSFEEEATSELHDLSRYLHWLEEKNNRAYDINDIDSLADKYIANCHQKFILEKQESDRRFQEMMMRSV >KVI03506 pep supercontig:CcrdV1:scaffold_378:12483:15151:-1 gene:Ccrd_018180 transcript:KVI03506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWVGKLASALWLPLSLYARMNKDDNLDDDKDALLWCKDLEKHAFGEFSYAIVQANDVLEDHSQVEIGRNATFVGVYDGHGGPEASRYICDHLFPHLIRESSFFLFSLSHATFNHINLDKSVWDSMSFVCAVQGCTSSSHDLYCVYGLAREKGLISSEILKDAVSATEDGFLSLVRRTCGIKPLMAAVGSCCIIGLISEGTLYVANMGDSRAVIGRLSRRRSNKIIAEQITEDHNACIDEVRQELKSDHPDDPHIVVMKQGVWRIKGIIQVSRTIGDAYLKKPEFALDPSFPRFHLREPIRRPVLRNGPSLHTRELKPDDKFLVFASDGLWEHLTNQQVVEIVHNNPRSGIARRLVKAAMIEAARKGKKKYDEVKKIEKGSRRAVHDDITVIVVFIYQQTNVEIDEMSIRGFTNSTTPSTFRTLQVS >KVI03509 pep supercontig:CcrdV1:scaffold_378:68459:73907:-1 gene:Ccrd_018183 transcript:KVI03509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-structural maintenance of chromosome element 4 MVRSVKGEPSGGESKVNGVRRRKREESDRNEGDDENNGNGLERRVLRSRYLAVKNLISVKRDDITKADSDKFNSIFDEVECLHQLVQKPREQVADAEALLDITNTLMTSVKAQTSEGVTAADFVSCLLKDFGQLGGGSDGAEGTRNSIRWKEIGSSVSHVFLKGDGCCTMLGPMNSEVKQRKAVVHRKHTRPTEKARPEELAGSSKEEKTDTDKNMATMFNVLRKNKTVKLESLVLNRSSFAQTVENLFALSFLAKDGRAEIKNAPAANAVASKEVSYSHFIFRFDFRDWKLMLDSVAPGDELMPNRNPAYDASPNSQRESASDENGTVLPTTPIRKFCRNRGLVLQEQTVVEDSPESGDSRSRAAAIRRGKRKLR >KVI03502 pep supercontig:CcrdV1:scaffold_378:75046:76035:-1 gene:Ccrd_018184 transcript:KVI03502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASMKFREEQKPLFRAKVPLNILGFPFQSGVVAGESKELSLNLSTFFESGPSVKVAYRPNDSINPFSLVFKTGIGHLGSPISSSLNMSAEFNLIGGAQNPTNLNFRWGFRLPATEETAMVLMKKNNKSTAGISFQTLPVLIINKIGIEHVARDHCRTSSKAGPGSSDVADAFLGVKKQLEMIQAENGMLRKAMDNLKAEFSAVKSGNNAVRFNGGDKGHFGRKAMDDDLVNDELKNGSKGISDI >KVI03516 pep supercontig:CcrdV1:scaffold_378:221150:225597:-1 gene:Ccrd_018195 transcript:KVI03516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MNSITILLVFFCFITICVSVSSSLNSDGLSLLALKAAVTGDPTKSLTTWTDSDSTPCHWTGVSCNSNHRVVSIFLPNKNLTGYLPSELGALLSLRHLSLSNNNFSKPIPENLFNATSLLSIDLSHNNLTGPIPEKITTLKFLTFLDLSSNFLNGSLPVSLSNLTNLSGTLNLSYNHLSGEIPPSYGLFQVMVSLDLRYNNLTGKIPLVGSLLNQGPTAFTGNPFLCGFPLGTQCSDPEAQNPKVLSNPDTPKDLGSLTGLSGKTNGSSGSVTVPLISGVSVVIGIMFFSMWVYRKKWRSSERKLGKKEKPENEQVTVNFNEEEGQDGKFVVMDEGFGLELEDLLRASAYVVGKSKNGIVYKVVAGRGSGTAVGSVVAVRRLSEGDGTWRLKEFETEVETIGRVQHPNIVRLRAYYYANDEKLLVSDFISNGSLYSALHGGLANPLQPLSWASRLKIAQGTARGLAHIHECSPRKLVHGNIKSSKILLDNDLQPFISGFGLNRLVSSTISKSTSLKLSSSSQPSVTSSKDSSAASSNIYYVAPEARMSGQKLTPKYDVYAFGVVLLEMLTGRGPDCGGLDNDGKGLESFVRKVFREERPLSEIIDPVLLQEVHSKKQVVAAFHVALNCTELDPEVRPKMRMVSDSLDRIKLQ >KVI03522 pep supercontig:CcrdV1:scaffold_378:277301:279596:1 gene:Ccrd_018198 transcript:KVI03522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MAISFHSFCIQSSTMIFILWSIIIQSESYTSSSIRLPRSQSRSPPVTIFVTEYGATGDGVHYDTGPIQSAIDACSLAGGGRVVFPPGNYLTATVFLKSRVVLEVQKNATVLGGTRLEDYPAEQSRWYVVVAEDAEDVGITGGGEINGQGLEFVVKFDERKNVMVSWNQTGACTGDECRPRLVGFIRSKNVRVWDIHLTEPAYWWMFLHLVQCDNTYIHDMSIYGDFNTPNNDGIDIDDSNNTVITRCSINTGDDAICPKTYDGPLYNLTATDSWIRTKSSAIKFGSASWFEFKDLLFNNITIVDSHRGLGLQIRDGGNVRDVTFSNINITTRYYDPSWWGRAEPIYVTTCPRDDTSKTGTISNLLFVNITATSENGIFLSGSKNGNLRNLKFLNVNLNYKRWTNYADGLVDYRPGCQGLVNRSSAGIMMEHIEGLEVKNVNMRWLGDRVRRWNNPLEFRPSNVDNVSLLNFHSGSYDE >KVI03512 pep supercontig:CcrdV1:scaffold_378:4102:8952:-1 gene:Ccrd_018179 transcript:KVI03512 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MNRATKIALDNLNNSPFHLRTALFHSTPVLDRRRRTHWESAGSFYRSSSRRPNFNSRRQRKFYAKQELLRNANAFTENLFQGWRDFDEFDPSSSHGSSSFKGFNMSGGFNGNRASKGPRATGRRKGYQFHEDVEEVETIFRSAFGGNGFYFWSFINDEPPRSSSGFHSNHRTSRSWRHRLEDEDEDEDEFSSESDRVETNLTKDRMTLGLSGSGPLSLDDVKNAYRVCALKWHPDRHEGSSKAIAEEKFKVCSAAYQSLCDKLALN >KVI03518 pep supercontig:CcrdV1:scaffold_378:183645:197335:-1 gene:Ccrd_018193 transcript:KVI03518 gene_biotype:protein_coding transcript_biotype:protein_coding description:CARP motif-containing protein MTDTTPPPEQPSTPLPVYIHPRREPFEHGLVPLQKLIFTDGSQTLTSLRDKLLQFPPINNRHNRVNSAVVAESLQISPEHARLVLDIIASVLHSDSDPLVTAKPDEVDAVGVNVYDLIIFLYIQSYKRLLPRGHKDSAAVADVWPSTSAFDGFLSALTPLQLVRSNSRRTMPSQADEEAHQLSYLQKHLGNIISLLADSVEGEDSLLLRVLTEHPKSLQPFDVASSFQVLTMEKFEHLGYLIYFGEKGMEKTPLSQNAPFFANSDPDMPAAPVPASQVHDWLLQNISDALERISERVAAKENGQTSASDQDVLMTDACANLKASTSAKGPSFIEGISKQSYVKQAPELKGSFVKVVNCHESVIYVLAPLRYATIYGCSDATIVLGAVGKAVRIEHCERVHVISAAKRICVANCRECIFFLGVNQQPLIVGDNHKLQVAPYNTFYPQLEEHMQEVGIETSPNRWGEPVALGLIDPHDSLSHPAGVSDCQAESATRLDPDQFTNFLIPNWLEGQASGSTKDNPFPLPDVYMSCQRRNENNLVEVKQILKEAPLEESRKKELSSALHVYFKDWLYASGNIRQLYCLQGE >KVI03513 pep supercontig:CcrdV1:scaffold_378:51:2907:-1 gene:Ccrd_018178 transcript:KVI03513 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-like protein MVPKLDLGEICIKKDKQNHYKGFNASSISLSFFQDFVKLYVNSLQLSRIMLRSIQFLGSSSGFLFHRLKLHGSQSGLSFISISAPPSSRTPLIITSSTGRCFRQFRASSIRSESNLDGTSPSSSSTSSPTFRSTGSTRKINFCQLCGGPTKHEIPYGEEKERAICTLCGRIAYENPKMVVGCLIEHDNKILLCKRKIQPSYGLWYVFLMNVNMYNA >KVI03520 pep supercontig:CcrdV1:scaffold_378:174789:176990:1 gene:Ccrd_018191 transcript:KVI03520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKAKKSSVIAHHEDGSGEEEKLPVKRRGRPTKAHKEEEEEEAEKTDENKDTKLEAALENGKKRKKPSLQTEENPETVKDENNIEPKANGHDLTKAVGFRHNGSRRKNKPRRAAEVGVSACEVCSWLVLRS >KVI03521 pep supercontig:CcrdV1:scaffold_378:164307:166046:1 gene:Ccrd_018190 transcript:KVI03521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MNHLSKLRTCSILKWDFVNLAEFNLTPSKTTIGGACLNHITQNPRFYRRKASVQSINGQKSNVLPNEDDGSSIALREAQDALIEYLHSTRSLQYLDAENIGRNSPKFLENLLKGVENEKDAKQSIRRLLRYHPINEFEPFFESMGLKLCEYSSILPRNIMYLSDDQLLLANYHVLCEYGIAPNKMGKIFLEAREVFRYDHGRLLSQLDSLQEMGFSQSGVAKIVASSPNLLVNRDFSKVLDQLTDVGIVESWFDVHISEENSYDWSKILETLSLLKKFGIRNQDLGELLRKNAAVLMEDSGARTISLIVFLIKFGASVDDILSMFKQFPEFGIQRFMSNLKNGYHFLLAIEMDINDISNIIRTHPTVLGSCILKTVKTLLNGLNSGKKRLCDIIKENPLEMKNWVLGTKVKALPNSKKDFPQKKIRFLLDLGFTENSSEMSKALKSFRGHGGELQERFDCLVNAGLRREDVAVMVKSAPQVINQTKEVLETKIDFLVNDLRYPVASLVAYPAFLSCSFQKIKLRFAMCNWLVDCGKMKGKLALSTVLASSDRNFLRDKVDRHPERMEVWNKFKNQFYPE >KVI03517 pep supercontig:CcrdV1:scaffold_378:213769:216308:1 gene:Ccrd_018194 transcript:KVI03517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRPPPHEDFSLKETKPHLGGGKVTGDKLTSTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYVEVRMGNYKGTTRHFEKKTNPEWNQVFAFSKDRIQATMLEVTVKDKDVMKDDIMGGVLFDLNEVPKRVPPDSPLAPQWYRLVDRKGDKLKGELMLAVWWGTQADEAFPEAWHSDAAAVSADGLASIRSKVYLSPKLWYLRVNVIEAQDLMPNDKTRFPEVFVKAILGNQALRTRISMSKTINPLWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCAIPLQYVDRRLDHKAVNTRWFNLEKHVMIEGEKKKEVKFASRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKNSIGVLEVGILSAHGLSPMKTKDGRATTDAYCVAKYGTKWIRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLQGGGDKAGGARDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQLDSLRHQATQTVSLRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFLRIMAVLGGLIAIGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTIFLYLFLIGVWYYRWKPRNPPHMDTRLSCADNAHPDELDEEFDTFPTSRPADIIRMRYDRLRSIAGRMQTVVGDLATQGERLQSLLSWRDPRATSLFVIFCLIAAIVLYVTPFQVVALFTGFYMLRHPRFRHKLPSVPLNFFRRLPARTDCML >KVI03507 pep supercontig:CcrdV1:scaffold_378:20067:21564:-1 gene:Ccrd_018181 transcript:KVI03507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSTIPSPTATVQHVTKASSDELLSKFAQMATPDRSSTKSLRLLKRQKRSAQSIPPPTTRRESSEFIGGLVVERKSLLPPVRRSSGAEALVRQLRVGRANFRSRNFKNRSFFGTIEKTWRRTVDGASKVFMEKQYYNRHKRLLSDTT >KVI11175 pep supercontig:CcrdV1:scaffold_3780:12655:13989:1 gene:Ccrd_010416 transcript:KVI11175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKGKAITRQTMQSLVSQCVVGSEIIDVWSMVLNREERMRSNESLRRYFVPTDISMDAIIRDEDLNANQSINSNGINQTRLLKNVVFDLKHPSIAIIDSQIRDGKVDDIYGSSTVGLQDMMIMHLLREGHGAWKVYAEMDQDHIKTRWQLRENTVDVGVMLMRHMETFFGGDVVKWDCGLYKESTKQKRQLKDLRTKYCSKMLLNDVNIRKTSIVYDVERFIAMETSYNARKNGGARQMSRGRK >KVI11177 pep supercontig:CcrdV1:scaffold_3780:4445:10552:1 gene:Ccrd_010415 transcript:KVI11177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIVDAEADVARTNVVTRPPQGSSKGKVLEILRTQEPTEAEVERTNVVPRTAQGSSKVKEAKVERTTGVTSIEQGSSKGKVLEILDTQKTADSDPVMLLDVNVQTEVKESNDVMGAPRKSRNEQVKEDTVEHTDVQGYSLGIRTRTSPKALWETVKALNSNQRAAIKEMGFDALLDMTLFGIPSKLGHYVVDMLDTSNMTIQLRDGQIPITVKSIHDVLGLPTGGLDLNLVAPSKCNDAVVSAWRKQFSKDRMRPKDVMNVIQQSDDAGVMFKMSFLVIMLNTLA >KVI11176 pep supercontig:CcrdV1:scaffold_3780:15043:37872:-1 gene:Ccrd_010417 transcript:KVI11176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLLLVCDVFVSLSTGTATAVHETITRTTYPKLFTPLRLESQASRVYSRNVFFDIQKEIKKAVCSCSIETGECRGDLKMFIISHKKKKSSVNTTYLVSRNYTANTVEFECNLFTRNGYLCRHAFKVLINDEVESIPNQYVLRRWKQNLIQSAKIRYGEVDAEKDKSIIDVYSKVDNIISIVRNDKSILTRLEQHLDNFMVDIEKEVPYEDPSQQKLDAIRDHLGVSIPDDVDILPPSGIRNKGCGTGKRLVSVSEKIQSVSKKARRKCATYGQRTSHDSRNCPELIE >KVI11375 pep supercontig:CcrdV1:scaffold_3781:11707:15285:1 gene:Ccrd_010215 transcript:KVI11375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNGVASLDSDQSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLNHNNIMKFYTSWVDTANRNMNFVTEMFTSGTLRQYRQKHRRVNIRAIKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYAEEYNELVDIYAFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVKDPEVRQFVEKCLVTVSLRLPAKELLKDPFLLPDDYGYDLRPIDYWREFDRIPLMNNHFTNGPPPDNYSNYLGYEPEGNEIELFTTQEEDHLEDVDISIKGRRREDDGRVRNIYFPFDIESDTAFSVANEMVFELDITNQDVHKIADMIDGEISCLVPEWKRELGLLEESPHHENNGYCQSCESNGSRTNYLSTRSTPAKNLLHCSRHHCGAIHGRFEEITYQFEGSEQCVTEGAPVVSSQSDGLQYSDIWAQHEVGTSEYQSCTEDEPGEREVSKSFKLGVLEETETASAAPDLTDDYENEIRQELRWLKAKYQMELRELRDKQLGLAPKVVDSTSNANTTDNSSSLLRDEKDDDRLPKSLASGTHFGFFDGQNESNFEESYGTFSTTKSLCTGDLLPQALHRATSLPVDAIDA >KVH95011 pep supercontig:CcrdV1:scaffold_3787:20470:34252:1 gene:Ccrd_002920 transcript:KVH95011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MPYSADLSTSSRKQKTSKGLKGKALSWQEDRVREPTECTKNGNPLYVSQHHERRQSVGEEPIFQQHSLMDNKKQWLESMVYKNEDIVKHGRNLPGYLQCEGKSKNTQGKTFNFGVLDWSRLENWKSNTKRIMKSSLSGNNLALESGSARLSTSVNNSIPQLDQQALNGSQLHSSCRERPATCERPKGKAIQVQSSETVHGLQTSCWKDLSSDSNGQQAEQLITSSRESSPNDTMRSHPSTIKMPSEENIDHDDDLHLSGHQDVMFSLGDSNASSSHEQWLSESREPLDHVLTELNPSFEVLQSFPFPLGTKPYPKCPVEIKDAPLKNRSLIENKSAVERLITDKDCKNVDQNSGEQPSVTGRHSSFSRRFNFSLEKMATSFSFRTSSAFPQLNSTYKSFGSSPDLHDYKSKKGNASNIVRSISMRLLDPLLKRKGPHSAKKVQHSNRNLISNEKTPIYDKQQKSSNVHALLQLTMKNGIPFFKLVVDSSSNILAAAVNKLPSGKDDSSLTYTFHSVHQIKKNGGWKNQSSTEKRCEFDYNIVGKMKISSSYHAEFSGLERDLFVVRESVLYGPDPAQSDQITPDCMLNAELATIIVKNTSSENYGGIGSSKSTVVILPGGIHSLPNSGKPSPLINRWRSGGACDCGGWDIGCELRVLTTQNESIKIPYPSSLDRLDLCYEGMHNNKHALSLAPLENGLYSLEYNASISLLQAFSICVAVVSCQNLTHIFQVNHLQDANDFSKPIMTRRRDDERERTSDRRKQKHSAGDTRLSACLGGRPPRPIVNQGNLFV >KVH95942 pep supercontig:CcrdV1:scaffold_3788:1:207:-1 gene:Ccrd_001972 transcript:KVH95942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGMISVDRWADSSQVYFLTHLHADHTAGLSSSWKRGPLFCSRITAKLFPPKFPGFDLSLLRILEIGQ >KVH95941 pep supercontig:CcrdV1:scaffold_3788:2563:8626:-1 gene:Ccrd_001973 transcript:KVH95941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTLEDHHTHQPRKDSIFLKEVPEGENTDPSQSSMVQDWNSEGPKSKNDIDSEDDHEIRRIRKSVSLGSGLAHYQEIAPGEESSTSNGTNANHSESGVQVDEKDEYLNAQASASLQISSNLVNHASEFSPDYLQQSEKEVGENSETQFSGAGTGGSSSRAPCTPHAIVKSSSLPFLHLAHGNIVHHSRSSEDLTALGLRRNDKSVDNDDIGKTSPEQEMENDSVGQIEKTDGDDGEDAYDYVGLAKDWIVPAVDELGNGKDLKKDSSVSQWEELPHEDFRIKRIEKWVMDLRRCSSLEETNIPTNLDYGQNVKSTILDPVASSKNDDKAVLGMDAAKRYISSLSTSATAAQLTNHGLVVIPFLSAFSSLRALNLSGNSIVRITAGALPRGLHILNLSKNSISIIEGLRELTRLRLYLAGNKISEVEGLHRLLKLNVLDLRFNKLSTTKSLGQLAANYNSLQAISLEGNPAQKNIGDEQLKKFLLGLLPHLSYFNRQSIKSGAVKDSADRAARLGISAHQIDRGLRSETKKSAHGRKSQPVAARGRHVRLPPSGVKPTSDRHHLRDVSPNLLSFRPDLAMRRTHSEGADTLSADQSLSGDQTIISKGESFVVGFFKPGDSSNYYIGIWYKKVSSNPPTVVWVANRETPISDRFKSQLKILDGNLVLLNESNFQIWSTNVTTATNSNSTIAVIRDDGNLVLRDRLNSVEAVWQSFDHPTDTWLPGAKLGYDHRTKKSQLLTSWKRKVNPAEGLFSMEFEPSQKQYIFKWNRSKQYWTSGSWNGRVFSQIPEMRLNHIYNFSFFSNENESYFTYSVYNPSIISRFVVDVSGQVQQQTWLDKQWRMFSSQPWYVFWPQPKIQCEVYGFCGAFGTCSLTRLPICSCLSGFKPRSESDWNQSEFSEGCVRKTDLQCGRNVENPDFLMITVKSLPENNFVAVGSAGECRTNCLNNCSCNAYSFVDDKCSVWDGDLFNLSEDNTNGKEIYVKVASKDLPRRKKSNRVTLSTVIGSVAGVFFVLGLILLIIYRKKRRLAVKISMEGLLVAFKYKDLQIATKNFSDKLGGGGFGSVFKGVLRDSSVVAVKKLESISQGEKQFRSEVSTIGTTQHVHLVSLRGFCAEGNSKLLVYDYMPNGSLDSHLFHEKSVLNWKTRYQIALGTARGLVYLHDECRDCIIHCDIKPENILLDDDFCPKIADFGLAKLVGRDFSRVLTTIRGTRGYLAPEWLSGVAITTKADVFSYGMLLFELVSGKRSTDGSVDSTRTFFPCLVASILMAGGDILSLLDSRLIREASAEEVSKICKVAFWCIQDEEDSRPSMSVVEQILEGVLDVNIPPVPRSVQLFVGNTEPIVFFTESSSQASDC >KVH95945 pep supercontig:CcrdV1:scaffold_3788:15577:20316:1 gene:Ccrd_001974 transcript:KVH95945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frataxin MASSSKFLRRVSTSLNSIHNSRLLSSSSSSNSRIPLQQFKFDRPPCSSSLLYNDKHSRVCSRDFCSRTSNVDEVLSQGPAAVDYSALLQEDEFHKLADATIHDLLEKMEEYGDSVDIDGFDIDYGNQVLTVKFGSFGTYVLNKQTPNRQIWMSSPVSGPSRFDWDQSAEAWIYRRTKAKLLETLETEVQQLCGEPITLSKRAD >KVH95944 pep supercontig:CcrdV1:scaffold_3788:28082:29541:-1 gene:Ccrd_001975 transcript:KVH95944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCELCKSLARIYCESDQASLCWSCDSKVHSANFLVARHSRSLLCRVCQSPTPWTASGEKLGPSTVSVCDKCVVDGTSEEDDDDREESQGGNDDDDEYDGDEDSENEIDLEDEEDGDDNQVVPLCCTPPPAASSSSSEEFSNSNRGVLVKRKRENVADLSSEDDVDCSSVQKRHHDASVAEATDEIGDNGAALHHSLPSSSEAIGEKLNIIRHRDKIHGENKSEAVVGVSKAMRAVGLDLNSSDS >KVH95943 pep supercontig:CcrdV1:scaffold_3788:53944:55641:-1 gene:Ccrd_001976 transcript:KVH95943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MIEGLQPPLLKAKENLSFPSQELFQDFSVLCFFAALALDSTKKGLKDKFIHVTKSGRRDKNRGILELQFGDNEAHVRYLVDPGALRLSAQDRKGIVVPFFGFRTISSATQNFSLANKLGQGGFGPVYKGKLPGGTEIAVKRLSSRSGQGLKEFKNEVVLIAKLQHRNLVRLLGYSMKDHEMILLYEYMPNKSLDRFIFDRTLCMCLDWAMRFDIIMGIARGLLYLHQDSRLRIIHRYLKTSNVLLDEEMTPKISDFGLAKIVEGRETEASTGRVIGTYGYMSPEYALNGLFSVKSDVFSFGVVVLEIISGRRNTGYYQNQQAFSLVSYAWGLWKDKTPIDLLDQALAESCNSSE >KVI08161 pep supercontig:CcrdV1:scaffold_379:47650:48547:1 gene:Ccrd_013472 transcript:KVI08161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MADRRNWNIQEEDVLISILQEIVAAGGRSDNGCFRTGTYEQIVLKMREKIPGLNITSKHIQNKMKRLKDKYSAAYDMLNTSGFGWDDAHQCVTVDAQVLEEYLKLKKHPSKNYIANKPFPQYERLKTIFRKDRATGSMAESAADALEHINLESAVGDDTDELNVPLTTPSNGASASSIPQDVEASSKKRKRKAGVSEDAMKVIEKGLNVISEEMGKLVSVVGTPGLQTMPDELTNMGFDDDQVIAISMYFADNPIQLRLWNSMNATLKPKFVATILK >KVI08160 pep supercontig:CcrdV1:scaffold_379:49931:61109:1 gene:Ccrd_013473 transcript:KVI08160 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MDFEVDDDVNGEVLVNSADVEGRTVDDDGTVGCSVVGIFQDDHDIKIDQDELERGIDPLDEPHAVNGSLLGAEPYLGQEFESEAAAHAFYNAYATRVGFVIRVSKLSRSRRDGTAIGRALVCNKEGFRMPDKREKVVRQRAETRVGCRAMILVRKVSSGKWEITKFVKEHTHPLTPGKGRRDLIYDQYPNEHDKIRELSQQLAIEKRRAATYKRHLEMVFEHIEEHNQSLSKKIKDIVNSVKEMESNELLQSHR >KVI08159 pep supercontig:CcrdV1:scaffold_379:2041:6706:-1 gene:Ccrd_013470 transcript:KVI08159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSHTLMDSISFNETFVKDICDEIGVINGNSEGFDHVGEFSTAANDITVHDQCNYSAMNEEELIRRNQTIPERSVSINDSVSAYFDDDDVALLSNESEGKEDSDAKKKEMADEVQPGIAIAANRDDDEFKQYKKQLDDMFNEGACNTTHDTHFSGLKYHATAKNDGQPSLDIVGDSKLKSKSVNHNTQYALFKKGLLSSAKNNWEIVQMRNIDLVFFPLLDKGHYYLVVFNLKNPSIVVINNKYREVSDDDHLLQMYDFITDILV >KVI08158 pep supercontig:CcrdV1:scaffold_379:3059:22875:1 gene:Ccrd_013471 transcript:KVI08158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVKAAALLKGSLCLRLLLFIIWVSNFLDVIAEKLPPTSRLVSLPSSGIFEPIEISPSVLPHYPFPGKALPPMYPSFPKTYKPVLTGRCPFNFSSISSIMDKTASDCSQLLAPLVGNVICCPQFGSSLHIFQGHYTKNSDSLVLQNTTADDCFSDIMEVLASRGANGSVPAMCSMKSSNLTGGSCPVKDLNTFEKTVNTSKLLEACSVIDPLKECCRSVCQPAIVEAAFQVSSSQSVVGENMEAGISTHVDVLNDCKGVVYSWLSRKLPSEAADSSFRILAACKVNKVCPLEFKQPVEVIKACRNVAAPSPSCCSSLNSYIAGVQKQMLITNRQAIICASVFGSMLRKSGILTDVYELCDVDLKDFSLQAYGEEGCLLRSLPADVVYDNSSGFSFTCDLSDNIVAPWPSSSSMSSFSLCAPEMSLPALPTSETEYPGCNGYGVVLDVVLIIVFVLFIVPLNDY >KVI08156 pep supercontig:CcrdV1:scaffold_379:210095:216245:-1 gene:Ccrd_013475 transcript:KVI08156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait AKPCKSLWVSGISITTSKEDLEAEFSKFGTIEDFKFLRDKNTAYIDYSRLEDASKALKMMNGKKRGGSLIRVDYLRSQSKRDQGPDFRDAKEGQHFRSVVPLDSPWLPPDTVNNYSDPSYFGTQRQQHNLPLEGRKGDGEQPSNVLVISYPPVVHIDEQMLHNAMILFGEIDNIRSFPSRHYSLVEFRSVEEAQLAKDGLQGRLFNDPRISIMFSSSEHAPTKDLTGFHPGVNGPRPHTIFNELPTQAPQLDVYSHPVLVPNSLHGRAPPYGGPDISIRPFAPPDSFDPLHQGPEFNVPGPNPINPMGVPNWRRSSPTPGMLSSSSSGVNPPTRPSPGTWDVFDASQLHREPKRLRTDGNIPIRDMKDQVLGTDPVYSSVPQVKGVGRLDTRLTTRGTDVGHPNSDYIWRGVIAKGGTPVCHARCVPIRDWIGYEIPEVVNCSARTGLDMLAKHYIDAVGFDIVFFLPDSEEDFASYTEFVRYLGDRNRAGVAKFDDGTTLFLVPPSEFLSKVLNVSGPERLYGVVLKFPQHASGSTSAGPLSNQPQYIDKQQVPSQNEYNLMPSGEKVLQIDYTGAPHDDSKSLPKSPAPPSRNPLTPPPMSTSMPQTGLSLTPELIATLASLAKGKFNNQQPSATPPVGSVLTSAAPNERPIRGWEYEPEPSNLSGHFSQAENSFYPQPQIPPQHQGYQSNMVNDHHSAASGNYPVQDLAFSFPQREPVPSMMNSTSQTPQSGHFVGQMQANNQQYLPNFAQDTHAGYAFEQKTDVPSVLPPGNNLALSQVYGGNVYQPQSMVPTPPEKSNLQLPEQMQQLQSALYAATQQPSDFDADKNERYQSTLQFATNLLLQIHQQQPGTQSGQGGGSHQGGMGEIAFWWLYITHLDWLA >KVI08157 pep supercontig:CcrdV1:scaffold_379:88250:103044:-1 gene:Ccrd_013474 transcript:KVI08157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MPDNPPKTLGHRRAHSEILTLPDDISFDSDLGVVGGFDGPSFSDETEEDLFSMYLDMDKFNSSSATSTFQAGESSSSAVQVSAALTSLPENLVPSSSERPRVRHQHSQSMDGFTTIKPEMLTSGPEETSPSDKKAISAAKLAELALVDPKRAKRVLANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLILLQRDTSCLTAENSELRVRLQTMEQQVHLQDALNDALKEEIQHLKALTGQNLVNGGTMMNFPPSFGSNQQFYPNNQAVHTMLTAQQFQQLQIQSHKQQQQFQQQQIQQLQQQQLHHHQQQQQQQQQLHHHQQEQHLSESGDLKTRSSMSSLSLKEHGLDVNPHASND >KVH84625 pep supercontig:CcrdV1:scaffold_3794:5976:7514:1 gene:Ccrd_025446 transcript:KVH84625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MAAARSIPAPIRHDGARVKTCEVINKLLFAMMLSKSIDLELEVQEDDDSDISSQVDSNISVQNTFPSRVTDSLKIPKTSQTTYDPISLELSLSFNSNTEKFEPRNSMGSSISTTSKSTNEIESQTTPGTLPRVFPCNYCQRKFFSSQALGGHQNAHKRERMLAKRTMRMGIFSERYAGQLATFPLHGTSLRSLEIKAHSSQHQTFVPPVMRMPPVISSMSPRHAIGFMGLAIHVEDDGHDQLLWPGSFRQVAATGAGVDSLAETSEMNTMEGFRQVYDGHATPDLTLRL >KVH84624 pep supercontig:CcrdV1:scaffold_3794:39715:44229:1 gene:Ccrd_025447 transcript:KVH84624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDSVHSTRKETSFLKTCINGINALSGGWLSLIALILIALAAYFTGILIRRCMESNPLATSYPDIAGIAFGQKGRIIALLFTCLELYLVATGLLILEGDNLHKLSPTFGLKIGSLELDGRHSFVIVAGLIILPSMWLNDLSLLSYLSFGGVLSSLIVVVCVFCVGMSGAGFHSKGSLVNFKGLPTAVSLYTFCYGAHAMFPALYLSMKQKSQFPLVLLVSFMICTMTYVAMAILGYLIYGDNVESQVTLSLPTEKTSSKVAIYTILAAPIAKYALTIMPIATAIENYLPVKHRDNKVINAVIKMCLLASTVILAIAFPSFESVTSLSGAALIVSVSFLLPCACYLKIFEVYKSFGFELVVIGGLIVLAILLGVVGTYSSILDTFKHI >KVH84623 pep supercontig:CcrdV1:scaffold_3794:3281:5813:1 gene:Ccrd_025445 transcript:KVH84623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGCLRFGDHATPRAHFRDIDSRIYVLDRHPCDLNVHTPTLYFYFLLVSCLGGREDHDLVLGSIVKGAECTKAGSKQLHPLGHLVPHKALGVKFEFIEVISILLEALVPVTLKD >KVI06964 pep supercontig:CcrdV1:scaffold_3795:45892:46893:-1 gene:Ccrd_014679 transcript:KVI06964 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MANRWWAGNVGLNPMTSSGQPPSLHLRNTVEDDKSGLNRVGQVRMEQEFLEAATNSTGSNSNKNPNPNQMNDDQNEENDEEFGNQEHNNIGGGGSLEISEPTSSGGGRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEISSGSDISECVATFAQRRHRGVSVLSGSGIVTNVTLRQPAAPGGVLTLQGRFEILSLSGAFLPAPSPPGATGLTVYLAGGQGQVVGGTVVGQLVASGPVMVIAATFTNATYERLPLDEEGGATGEGSEMQLQQTSGLNAGNSPKSGGVAPQTENIPSSSMSIYNQTPKLLPNGQMHPDMFWATPPRPPPSNF >KVI06963 pep supercontig:CcrdV1:scaffold_3795:49514:49714:-1 gene:Ccrd_014678 transcript:KVI06963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSDTNCLDVFEASSDDLQKRKSFLGLSSVSVTXGPFIDGRTTGIEPARGGFTIHCLDPLGYICP >KVH99389 pep supercontig:CcrdV1:scaffold_3796:3964:5184:1 gene:Ccrd_022380 transcript:KVH99389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIIVSISELLVVVFVVIPHVNGSLGDLTSIVNGDPLKPIKDELDGLVFIDQRLSVVYPLIQNFKNIISSDPLNITGSWVGSDICNYTGFYCDNPPDNKTAITVASIDFNGFQLAAPSLNGFLDQLPDLALFHANSNFFSGTISPKIANLPYLYEFDISNNLFSGPFPNSILGMNSLSFLDIRFNSFTGSIPPQLFTKDLDILFVNNNNFIQRLADVLGNSHILYLTLANNKFYGPIPHSIAKYLSGLSEVLLLNNMLSGCLPYELGFLKETVVFDAGNNLLTGPIPFSLGCMKKAEVINFAGNLLRGVVPEVVCAINGLTNLSLSDNYFIQVGPICRGLMRKGVVDVRNNCIPGLPAQRPVEECVAFFAKPRYCSYLQTYHYLPCWLPGFSDSPMGLSEPAPSPI >KVH98183 pep supercontig:CcrdV1:scaffold_38:674963:680428:-1 gene:Ccrd_023603 transcript:KVH98183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLESSSDHEVEDSDSEFVEVDPSGRYGRFLLFLIEISRYRAFDELEGIEVAWNQIKVYDFLRNPEELERLYSEVHLLKTLKHKNIIKFYNSWVDAKNDHINFITEIFTSGTLRQYGVKPASLKKVKDPEVRSFIEKCIAKVSDRLSAKELLMDQTTNDTMPDTARDFTLKGQMRDPNTVFLKLRIEDTTGHVRNIHFPFDIKFDTQTAVAREMVEELDLTDQDVSTIAEMIEAEIRSCFPDWALNVNSNEHEANEVPDSTEDSAFDSRYESPSPSTNKSTGASPGFLSLERLPSGRRYWSDEPKNGCSPLQPIPSDVSEDENADPEALSSDDHGSGDDAPTENMEEILHHHHDNDNDDNDDDDDDDDDDDDDDDDDDDDDTRPKETSDVSMIVEKLEHIMVEQQKELDELSKQHRLILSDILMKLPPETRQEVVTSCKVEISKAGISKDSNHPLCT >KVH98188 pep supercontig:CcrdV1:scaffold_38:698701:699939:-1 gene:Ccrd_023606 transcript:KVH98188 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 MERWQPQHGRWGRGRGRGRGNHSSSSFARSNDSRPSTTSNYATTTSKTSSFGINDKQDNNDSESLPNLIGTCPFMCPVDERVQRERLRDLAIFERLEGNPTKSSSSLAVKKFCRTISTKDIRDVDMRPVPVLEDTLNYLLTIFKSRSHPFEVIHDFIFDRTRSIRQDLSMQSITSGDQSIRMFERIVEFHIVSHYKLRRNTTDSNVSPMHYLNLEQLTKALASLYHLYDENRKSNPSYANEAKFYSFFVLLHLGSDHQPTGESLSLWFRSLPYSIVKSKEMMFSRRLLRYFRFGNYKRFLHTTEAEASCLQYYIIEPYISEIRATGLSCLNYGGYKLHPYPLADLSKHLLLEESDVESFCKDCGLDTFTSDTGTKFMPTKQTSFCHPKGSRKYYPLVSERLKPFYDEAPYRI >KVH98180 pep supercontig:CcrdV1:scaffold_38:722539:724559:1 gene:Ccrd_023609 transcript:KVH98180 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MIYLLSFFSFFFLILFIFKWVSSHFNAKKSFPPCPPKLPIIGNLHQLGSSPHRSLQALSQKHGPLMLMHFGSVPMLVASSAEAAREIMKTHDLKFANRPKLNIADIVVYGSNDITFSRYGEYWRQMKSIAVVHLLNNTRVQSFQHVRAKEVAVLIEMIKKDGGSVTDMSELMFWLVNNIVCKVALGRTYRGLKFRNLLERFVQVLGAISVGSYIPWLSWIDRLSGLEDKAHQVAKELDAFFEGAVEEHINKRKGIDNVQSDEDQDFVDILLDVQRDNTTGFTLFNDTIKALILDVFVAGTDTTFATLIWSISELLRHPRVMKKLQLEVXEIAQGKPLILEKDLEKMEYLKATIKETLRMYPPLPLLIPRESRQDVELMGYDIPKGTQTIINAWAIGRDPTSWEEPDEFRPERFLNSSTDYKGVHFELIPFGAGRRGCPGIQFGVAIVELALANIVYKFDLTLPNGVKDGDLDMSETCAITLHKSSPLMVKASPRFDI >KVH98220 pep supercontig:CcrdV1:scaffold_38:436442:444312:-1 gene:Ccrd_023583 transcript:KVH98220 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MAKLLHTASTSSSCSSVSLPKQRNHSSFYSFDRISVPFRSESSISLYSTGRLSPSICCSYSSWKTGSWISNPSPVLKSDSSDGFEVKATSGSDSTNESDVAAVAVAKSKMAEMAVLGLLFGIWYLFNIYFNIYNKQVLEVFPNPVTLTAVQLAVGTAMIFFSWALNLHKWPNISRAQLVAILPLAVMHTLGNFSTNMSLGKVSVSFTHTIKAMEPFFTVVLSTIFLGEESLDNITLFSIITIMSFFIFTPVALLVGEVKFTPAYLQSAVAYMILQRVSPVTHSVGNCVKRVVVIVSSIFFFRTPVSLINAIGTAVALAGVFLYSQVKRIKPKTV >KVH98214 pep supercontig:CcrdV1:scaffold_38:31707:34486:1 gene:Ccrd_023554 transcript:KVH98214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MMQPTGGGGGGGGGGGGGGGGLARFQSAPATWLEQLLESDEDVIIDPPKPPLLPHHSIATASSAITTTTTFVDPSMAGSGFLRQNSSPAEFLSQINNSDGYFSSYGIPAKANYDDYLSSRDVSLEDSQIKFTTQMSGDQSALLDVEMDRILGDTVPCRVRAKRGFATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLEEAVEYVKFLQKQIQDLYQSSYPQRNKF >KVH98206 pep supercontig:CcrdV1:scaffold_38:224075:230114:-1 gene:Ccrd_023571 transcript:KVH98206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKENNSNDGFVRADQIDLKSLDEQLERHLNRAWTMEKNKKKQPEFEDSATAASAASAVMPPSKGTATSKKERQEWEIDPSKLLIKGILARGTFGTVHRGVYDGIDVAVKLLDWGEEGHRTEAEIQSLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELSVQTENGQIGMPSNICCVVVEYLPGGALKNYLIKNRRKKLAFKVVVQMALDLSRGFSMVTRTIGSATSTVSEFVCGKYIVAICRTPTLVSQK >KVH98195 pep supercontig:CcrdV1:scaffold_38:532245:533029:-1 gene:Ccrd_023590 transcript:KVH98195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEQLHAQRVVLVNQNLQGFMSTTSIILANAFDNEKLLSAMETLKHGEAVDIPKYNFRSYKNNVSRRKGLKFYHIQRAYKSAPLQ >KVH98184 pep supercontig:CcrdV1:scaffold_38:656162:658048:-1 gene:Ccrd_023602 transcript:KVH98184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAATMNLTKRLLSSIKLANSLYSTQLARTHLHNQVRTRVLHLYAPTYVSPFHNFHGRLFFSSKPEQILNLILSENWSEKLEDELSNSKSDFTHESVMYVLKKLSKDPKKASDFFNWIVGKLGFQPSSSMYSLMLRIYGNKESIKQLWITAQKMKEGGFFIDDQAYLLILRDFKSLKMVNEAALLTKFYNSMMKDDHVDDLVDQIVGVLIESNWGNGVEKRLEEMKFGSKLSDNFILRVLKNLRSYPLKALGFFKWVSDSFDYEHNTVTYNAILRVLGREDSLEEFWSTFNEMKNVGHKMDLDTYLKITRLFQKRKMFKEAVDLYEQMMDSPYKPSIQDCSQLLRNIAGNRSPDLDLVFRVVNKFKETGNSLSKSVYDGIHRSLTSVGQFDEAEKMMTAMKEAGYEPDNITYSQLIFGLCKARRLEEASNVIDLMESNGCIPDIKTWTILIQGHCSANEVEKALIFLANMIEKGCEADADLLDVLINGFLHQENAIAAHQLLTEMVESGGVKPWQATYKNLIQKLLAERKIEESLEVLRLMRKHGYPPFSEAFVGYLSKFGTIDECLEFLKALSVKESPSISAYQNMFQGMFDEGREMEAKDLLFKCPPHIRKHKALSSLFGSVGSFVV >KVH98172 pep supercontig:CcrdV1:scaffold_38:148106:155752:1 gene:Ccrd_023565 transcript:KVH98172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGACPSRPKDSLPFLDLSCVSKKHPATSLLLHRSTALIAHLFSIDPNCLQNPNRRPLSSIDRQPSSTSLTALFSSIDRQVLLHCSRPSSPPSIDRFFSIAHDPLLLHRLTGFQFDSSITLKLDFEISKALSMPAVDNGVGDSHYDFNFCYDVTKFDTMPMNWVQRKIFLYNVFMVKLEPRIRTPPRAMNGFNFVAARLMCDFFPIVVACIICTFSPLFGSF >KVH98182 pep supercontig:CcrdV1:scaffold_38:647337:652705:1 gene:Ccrd_023600 transcript:KVH98182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MAPVSTVIKVKFGDTLRRFNVLIQKEEFNFDIVMLRNKICSLFGFSPDVDFTLTYIDEDGDVVTLCDDDDLQDVAAQSLDPLRITVSLDTDNLDRSSLTSSESSTPLSSPQDQLPSQFLNSRVLEILKYVPESLHDGLSKLLLFLASETANSTVVLAELVEKMQMTHLNKPSECKAGSMVTSDIKEQQGLKIAEPSKRSPATYLEALTMNEHKVWEDDEDGSADSKNKTVQIETVAKDVKHEDLHPPRSLDLNVPYSGPENFQYLVNSEDVKGSGSRNCGGAASFSDDCTTQLAFEADSVLKSKKDTENISTGVQQNSVGFGASNPDYLKRCLQDSNMKETSGFPWTKTIKATNDSSSSSGWQQGTLSAINECPFKGMMPLSSDSTLHACPYASRSVGASAPEVKPSLSKLDSCFVLDVNVYDGTIMAPSTTFTKIWRMRNNGDVIWPQGSQLLWTGGDWLSNTVSVEVEIPADGLRVDQELDIAVDFAAPELPGQYVDDGLKDSGEISINLNLPPVKDPQVVKSGMGHDSELHENHFVGVVEPEDLFLDAEPYRDQVTTSSK >KVH98181 pep supercontig:CcrdV1:scaffold_38:653299:653715:-1 gene:Ccrd_023601 transcript:KVH98181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKIEQTQEQHELEIIKAVAQAWHGHSTATAAPDPTATNEFDARRLNFKNKPTRFKLEAIMKPSRNKDGSERATSWDFRQSLWDSYEIVAVSRRLENGLLLGDDFDESTQSQVGKRKKESKNSLRNVLNRTSKRLDV >KVH98225 pep supercontig:CcrdV1:scaffold_38:456610:466872:1 gene:Ccrd_023584 transcript:KVH98225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MLFAVNEIIWMNIPRFVRSNVPTQVDTNAVKFDPNLPGTSSRIKRPHTAVTLDRWSATHGLRQGSEENRSSIRTRISGHEQSSVDDASLCSTSPVCVAPIIRQFWKAGVYNDELTPKSTTQSGSSYLHIHPRFLHSNATSHKWVFGAVAELIDNAVDEIQNGATFVIIDKTSNPRNGSSALLIQDDGSGMNPEAMRRCLSFGFSDKKSISAIGKSHGLSDGKNSAKFRGVGAFMLCSDGNGFKTSSMRLGADVIVFSRNQSNRTMTQSIGLLSYTFLTRMGYNRVVVPMVHYEFNTVTGSFDSVHSKSNETSNLNLSLMLQWSPYSTEEELLKQFEDVGPHGTKVIAYNLWLDEDRNMELDFESDPEVLTGSSFVSCICMENKDIRITRDAHGEMKDGSRKGGSHIANRLRYSLRAYLSILYLKLPETFAMMLRGKVILYHNVATDLKYTEFIVYKPHIASTQVEPFCPVVSFSANRGRGVVGVLEANFIEPTHDKQDFEKTSLFQKLVQRLKEMTWEYWDCHCGLIGYQFQKKGRPPSAAPGYPNFIHHHGTEPPALSRKSAPVGSTRNMPKIITINSRAALGSNPFAKPPGLTNTQEAIYVMQENRRLRSQCNELQKAQAQLKLKVTQLKMELEAAKAEYAHMLAELQYVEKVKAETCIHLI >KVH98171 pep supercontig:CcrdV1:scaffold_38:145013:146610:-1 gene:Ccrd_023564 transcript:KVH98171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III MHPRSLLPVICIVAAVAAVVFYSPPPVSAQSLSLRSSSFSVALETLQKHINYDFQNIGLLRRAMTHSSYSEENNKAFSILGEDIIETTVSLRLLAKDVDISSKDLTDRVSEISKVETSCAVDGMRLGLQNVVRVSSNTNSSTSSVVCGAFRAIFGAIALDTGKSDDAGNVFLVVHGGAGVLCTSKSVHFGRRTWTRLCLK >KVH98208 pep supercontig:CcrdV1:scaffold_38:363421:411422:-1 gene:Ccrd_023579 transcript:KVH98208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MLKPHDIPHAETWPFLVKLLTKAISLEVYSSKRRLPKLAFAKTLRIVVLRAEDGKSLHLLSVAKLLFNHIWDILKDVPSFQSEYGIILRHLLEVKHLQFHLRKRVYSSLVLLYMGKVETSLSERNFGQSNPKEEVFRCILTLHSLLDSPPGDFSNDLQEGITQGFVEIFSNLRDEGKILRKLVECINTYLIRDGPNMGSRSLEIHDAVHQFLFRVWVTTHDRRLKDALVLYGRLQLKLVRGATDGSILLEQLLDIIGKELDQISTSATSLPWNDTNRDDKRGTLTSSQSSLLELAAIVFCRACLISCKAPVAEKRARREHAVVYLNEQIIKGKWSWNAAFCFLVRNYSSRIKHDHFIYWFEGLSTNFERMMNAATMEHAYDDLLWTLRSLQGLSSVLLCSIYGVECSEKAELVNNQCISLPAICIIEAKVFNGSQLIELYRGWLTIWNTLLRGLPMFNNVPSVYDAHYLSSGFVQADAALILLGNINLSDSMNSFIIAHDVWDLRLFKNSPSVSLLRFIAGYFSKKGSHDDLWDVLHLRKDLLRTVMTLLNWKQQPVRSTQQQSAVATEEGGTERSSRSRTGAACKRRRGCIVKVRMGREVSIGRGEEGAVANGEDEERLVFNEQMAVLLPAAVFSLCAGSACFANCVKGCSLAHLLVDIPVTVDDSMEVEKLEQESSHDLFDCSVEVLAEIFLDPYTETAQSPCDQKVRLPRHLRDSLLHEMELNILEVLGNKEIEKMNLSDVFFLCALLSNFMYGSYVTRFKEENISFLSKTGQYILEMLGHAASIIEKCSSDVGYGSFGGLDGMDSILTSLKSFTGSPLFSYQEDDPPFYNDVIQSFERLLKALTKLYEGFSDGTNNLQSEIDQPGWSIPDISVQESRSSKHNKMTVLDVELDADDDSKDVDIMSTGGENPRVSPNLMECLLFHLCQHPCWSSLQGFSDMVLSLDNMVDRLSDVKLQPTKILAAICGLLQTLMSLNKVPKEKELTRSIKSGSAEQLQHDHIAKRVAAASPSISPPRVIVDHIFPSMSMAASCTSQASMELILENDSQSLVPLGDLVNKIAENDQFDWSGRVKLIDCICNFVLLNPQISQAMIEKLLLMLRDPDFRVRFSLARRIGVLFQTWDGHDELFQDILSNFSVKLVVLFKDKLVKAKEVLAAGHQPRPLMETIIVTLAHLALHSEKIELEAVFMICVIAAIDSSQRELVGAILDNLSRKLQYSSRSEYMGELIAPILFFWVHCGVSLAALVETRELFVLNVEASNFIQYSCHWLLPALVLHNDISSLNWVAKVAGQPSAALIKTQFVPIFSVCIALHCSKRSGRESGTSVLQSSMLSISGISESERDTLIKRHMVSIVSHILSLSSRLSEPALPFFPKETIQRAIQTVVDGFLNMEPVPCSVVVMDKINIFRPDRVFMFILEMHHKITASIHHRHRCNRLSGIEVLINVLGHRAAVPSTSNYLFNLVGQFIDYGPLQDQCCRIISTLLKTLKDNQSKVGIDVLGEQLQFLVSKLVECCIASQSDFKHSRTRPSEALSLLHELVVDSDPSLHKHIKELGPFPVFDIFDRIRKFHLELCQEYSARDHLLEFVRRSSCLPPRLVICSLKALHNIMFTGFQPEKNARQFFGDAFWQYDHEVVHAVWTLVWMARSDATNSFGALVSDFLSMVSFLSSFHFPFFFLHYIMPLIESSDKELFPVGLQIGIGDPHRVVFHLPGESNRIHVCRPLYSDSGSGFSFRMDSGLSEELLIAVMRLLKKYLMDESVEIIEMASQALRGILSTERGHRALLSLDSYERSILEVHSKGVNPELVQSSLMDLERKFNAQSISIEKATIWETRDKSFQTWICQLVYALIYFCDDTILRLCQDIALLKAEVAELLLSNIMVVEEIVVESNNLIRSVQVILDALNELRICHVMERATSVPSKNESSKYAKSSSYNSRSRCTPLKAKDHAATSSQSLTSTLLWEKVYWLPIDYLVVAKSAIGCGSYFTAVLYVEHWCQEHFNCLTLGSPDFSHLEMLPHHIEILVSAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSEASIEMGNSSGDVVPENFHSSLPSSSSKSEDEMRQRKPHKGLIKSLQQIGCTHVLDMYCQGLMYRKGRFQDDLEFNELQFEAAWRAGNWDFSVLYMGANSPKAGQQIRHNHFNQRLHGCLRAFQEGDINEFNLNLKESKQELLFSIYHASEESTEYIYSTIVKLQIFCHLGLAWDLRWAWLDGKKDSHTDSQRLLSGPLIPNMDQLTWLNTEWSCILNRAQLHMNLLEPFIAFRRVMLQILSCKDGTVQHLLESASILRKGCRFSQAAAALHEFKFLYIGMGGEDSKLYWLGRIEEAKLLRAQGQHEMAINLAKYISERFKLNEEAADVYRLVGKWLAETRSSNSRTILEKYLKNAVTLANDHQATDKKSIARKSQTHFHLAHYADALFRSYEERLTSNEWQAAMRLRKHKGEKTDYSVKIQELQKQLAMDREEAKKLQIWLSTTSVTAFDTVPAMTTLLWFWRDLKSSLLAKVQDQYAISRSWQDRDNFLSIALEGYKQCLVIGDKYDVRVVFRLVSLWFGLCTRQIVVNGMLSTIKEVQSYKFIPLVYQIASRLGSSKDSQGPNTFQFALVSLLKKMAIDHPYHTIFQLLALANGDRVKDKQSSRNSFVVDMDKKYAAESLLKELSSYHEAMKQMVEIYIRLAELETKREDTNKKIALPRDIRSVRQLELVPVVTSSFPVDPSCQYDEGSFPHFKGLADSVTIMNGINAPKVVECLGSDGKKYRQLAKSGNDDLRQDAVVPFTPSAGVLEWVNGTLPLGEYLTGSTRNGGAHGRYGIGDWSFPKCRQHMATVGYIVGLGDRHSLNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGIEGVFRRCCEENLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALERQKETDDDLETSLEDSENEYEGNKDAARALLRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPDRLCQMFPGWGSWL >KVH98187 pep supercontig:CcrdV1:scaffold_38:708293:709656:1 gene:Ccrd_023607 transcript:KVH98187 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVVASTAEAAGEILKTHDAALCNRPKLNLPHVLTYGSKDLVFSSYGEYWRQIKSIAVLHLLSNRRVQSFRRVREDETRVMIDMIRESRGSLVDLSDLSLTLTNNVVCRATLGRTYPEFEFKHLLKRLSFLIGVYSIGNYIPWLSWVDWLNGLQRSAHEVAKEFDYFIEGVIGEHINKKKGLDIDAESDISLDFVDILLDVQSKTATSLTTQSVKALILDMFAGGTDTTPPSIEWAMSELIKHPRMMKKLQQEAREVAQGRSMINEEDLEKMQYLKAVIKETLRLHPPAVLLLPREAIKDVKLMGYDVKAGTQVVVNTWAVGRDPSVWEEANKFRPERFLNSDIDYKGLHFELLPFGGGRRGCPGLQFAVAIIELSLANLVYKFDFQLPDEKRGEELDMSETTGTVVHRKSPLLVMATPRF >KVH98194 pep supercontig:CcrdV1:scaffold_38:541048:551280:1 gene:Ccrd_023591 transcript:KVH98194 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MASHSHIDDDDDFGGDFPGANPTRVSGTKRAFGDLDDDEDDIFGSKKANLKVEETAPGVATGMILSLRESLQSCKDTLATSQSELEAAKSEVQKWHSAFQNESFIPSGTSVEPRIVVNYLQNLKSSEESVREQLEKAKKKEAAFIVTIAKREQEIADLKSAVRDLRSQLKPLSMQARRLLLDPAIHEEFMRLKNLVEEKDKKVKELQDNVAAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQAKEGKIHELSMKLALQKSQNVELRNHFEGLCKHMEGLTNDVEKSNEMVLILEEKLEDKDSEIQRLRQLLQQKGMVEETTETAAAAADKKDTDEDATVSVEAENDNS >KVH98191 pep supercontig:CcrdV1:scaffold_38:584581:590427:1 gene:Ccrd_023594 transcript:KVH98191 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAGALVQSTSFPSTVAGESKVRPKRSGNTKGEVKMMYSLQTPPMRVMTFSGLRGTNALDNLVKRGQDFHSKVAAATSVRRAKPSRIVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRHNYIGSEHLLLGLLREGEGVAARVLENLGADPNNIRTQASFNTIMVGESAEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDECIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDEALLPEEARELEKELRQITKEKNEAVRGQDFEKAGELRDREMDLKTQISALVDKNKEMSKAETEAGEEGPTVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHTRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKVKEIELQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKDGDSVIVDVDSDGNVTVLNGSNGAPPEALPEPMEV >KVH98179 pep supercontig:CcrdV1:scaffold_38:196747:198441:-1 gene:Ccrd_023569 transcript:KVH98179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISPSSTTSQTAESPSPPPPLTTPPVAPPLATTLEMMISPSSPSSTSSSSSPTAISPTSSPPPLLTTPPVKPLATAFKMTNPPSSPHFVLFPFMSQGHIIPLLYFSRILSDHGISVTIITTPANYSAVRATVKNDSVSVIDMPFPKDFVGVPPRIEVGXKXSAMTXFINFVXXTXKLQPGFEEIVRSLXPVSCIXSDGSDDEPFPVPDFPRLKLTVNDFEPPFREVDPKDPMMDFVLKQQAANVKSHGMVVNNFYDLEPEFIDYWNKNFGXKAWCVGPFCIAKPAAPKQMVEWPTWIQWLDENLLENKRVIFVSFGTQAEVSPEQLREVAVGLEKSNVNFMEKVARGLVGAEEVEKMVVELMEGEEGRRVKERVMEVKEAAYGALKEREGGSSWRTLESLIDHVCGDVVPRV >KVH98203 pep supercontig:CcrdV1:scaffold_38:288015:296793:1 gene:Ccrd_023574 transcript:KVH98203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVLLSWKRYYLCKFPLHLWIVVDYGVVFVFRLLMFVDNALAAGMGLDYGRQQRDACFLGRVVILSILYVVLYPFLWAWSIMGSVWFTSAQTCLPEKNQKWGFLVWLIFSYCGLICLAGYVVNKWLTRRKAHLQRAQQGIPISGISEYGVTSTVLVDMIRLPDWVFETAAQEMRAMEQDTTPHHPGLYLSSAQVRGLPCAHNFHVACIDKWLMLNTKCPRCRCSVFPNLELNDLPNIPPDPDRSSTLSTTQHAQTQPISQSYLSRMQSFLLPIRSGNATSSTSTAATATAATAATTTFNSSTNSEPSIDNDSDVTLEIAENGGQASESHDPHTSVERVQ >KVH98226 pep supercontig:CcrdV1:scaffold_38:477373:487720:1 gene:Ccrd_023585 transcript:KVH98226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MFELCGDYSPGEVDTRAVKLELNSVGITSEQNQYLPDVTLHRWSTAQGRTHESEKNRSLSASSTGQSGTCILDQEQSQVGDSDPCSTSSISAAPICRQFWKAGIYNEDLTPGSSYLHIHPKFLHSNATSHKWAFGAIAELLDNAVDEIQNGATYVVVDKTSNPRNGSPSLLIQDDGAGMDPEAMRRCLSFGFSDKKSKSAIGKTLTQSIGLLSYTFLTRSGYDRIVVPMVHYEFNFMTGSFESLQSKSHEQSNTNLSVLLKWSPYSTEEELLKQFDDVGSHGTKIIIYNLWLDGEGNMELDFDSDPEAYLSVLYLKLPDTFCIVLRGKVVLYYNIATDLKIPEFILYKPNSSGCVEGSVVTTIGFLKEAPDVNIHGFNIYHKGRLILPFLPVVNMASSRGRGVVGVLEANFIEPTHSKQDFEKTNVFQKLVARLKDMTFEDCHCGLIGYQIPKKARPPLASSTSTQPPVASRNPTIEKRNGPPIITVVNSRVALNANFSQGKKCLEFKRVEEELNL >KVH98175 pep supercontig:CcrdV1:scaffold_38:127483:129870:1 gene:Ccrd_023561 transcript:KVH98175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSSPSKRREMDVMKLMMSDYVVETPNDRLNEFSVEFHGPKESVFMRAVCGNIVVSNRGCCKISDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDKQQYEEKVKEYCERYAKNNSKSAGEEEESGEEDISDGQYTSSDDDEIPGHADL >KVH98186 pep supercontig:CcrdV1:scaffold_38:687091:689198:1 gene:Ccrd_023604 transcript:KVH98186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MADSFPFFLTLLLFSFIKPMLSVQPYDPTPCITSTDLRHGSTYICTSTTTKTCNTFLVYRPQFNQSLSAIATLFNLNQSQLHQAQEVIVPIICDCPDSSSRAIASYSNLDLYTVRDIACGVYQGMVNPQVVAQQNANNHEPNKVVKVPVKCACTDSSHETTGTKYLVTYPVMDNDTIDMIAWKFGVTQASIQEANGLGPKQTIFGGTTLLVPTTGVPVLNLEKVVNNPSPQDTIPVNGISKRSTGSSLLLPVLIVLGIISLFGVVSFLVFLKWKYGHRERPLESITGSEFRRFSPDFIDGISKLKHILTSFDLDELRLATQDFSESSFIGKSVYKVKIAFDVAEALHYIHSCTKPTYVHRNISTRNVLITMDWRAKITGFNLAVPIIYTASGRDWTEKKGYLSPEYLDHGRVSTKVDVYAYGVVLLELLSAKEAATGRKWSEDVGFLADGEVAGDSPGCLEKFKVFMDADLEDLQRVLAFACIYDSGNSERLFELGC >KVH98199 pep supercontig:CcrdV1:scaffold_38:635841:640400:1 gene:Ccrd_023598 transcript:KVH98199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNPKKFPILSYVMAKIPSVSRSQSPEFDIEQPRPTVPSTSEPEPYFELAERMPYLNDPELIASMRAAVADVSRTRSVLKTLGECPDHELIDIARSRLAEIEESLVNQLDEVALSDADAVEVDKRKKAEEMEMQSFKAMISLYEMHQSYEKMLSMAEKRLEKLYEEAKKGGKSVAVDDQGSSSEVPTVEDDVKDEVVAILKDALEKDLERIDLSDRRLPFLPEAFGKLRMLVSLNLSSNQLEVIPDSIAGLESLEELNASSNLLESLPDSIGLLLKLRILDVSSNKLASLPDSICHCRSLVELDASFNKLTYLPTNLGYELVKLKRLSVPLNKLRSLPTSIGEMESLQFLDAHFNELRILPSSIGRLSKLEILNLSGNFSDLTSLPFTIGDLTSLKELDVSNNQIHELPVTLGRLENLAKLNVEENPLVVPPKEVVNEGIEAVKVFLAKRWLDMLVAEEENCKSAEVDQPQGGWLTRSTSWLTTAVAGAAGTVGGYLGGGGGGRKRNYT >KVH98212 pep supercontig:CcrdV1:scaffold_38:16202:25151:-1 gene:Ccrd_023553 transcript:KVH98212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MDDNATGVQSPLFNNFLHGAVDYRGRPVLRSKSGCWRSAYFIIGVEVAERFAYHGISSNLITYLTGPLGQSTATAAENVNVWSGTASLLPLVGAFVADAFLGRYLTIIIASLLYILALGLLALSTLLHSDCETAVGASSCSAQPQAIMFFISLYLVALAQGGHKPCVQAFGADQFDSDNPEESKAKSSFFNWWYFGLCVGPTVGIFVLSYIQDNLSWALGFGIPCIIMGFALIIFLIGTMTYRFGERTEEKSAFIRIGQVFVKAARNWRTTHSAISAVEQECGTLPHQDSQQFRFLNKALVSPDGSQEDGTVCSLDEVEEAKSVLRLVPIWASCLGYAIVFAQCSTLGTKQGATMDRSIGSSFEIPAATLQSFIGISVIILIPIYDTILVPLTRAATRRPSGITMLQRIGTGIFISILSMVVAGYIETKRLRTAREYGLVDDPGAVIPMKIWWLLPQYLLAGAGDVFAIVGMQEFFYDQVPSDLKSIGLALYLSVLGIGSFLSGFLISIVKETTAGNGEDGWISDNLNQGHIDYFYYLLAGISVGAFVIGRPVLRSKSGFWRSSYFIIGVEVAERFAYYGVSSNLITYLTGSLGLSTATAAENVNAWTGTASLLPLVGAFIADAFLGRYLTIIFATLLYILALALLALSTLLPSDCESDGGASSCSPQLQVILFFISLYLVAFAQGGHKPCVQAFGADQFDANDPDECRAKSSFFNWWYFGMCVGPTVGIFVLSYIQDNLSWGLGFGIPCIIMCFSLIIFLLGTTTYRFGEKMEDKSAFIRIGQVEEAKAVLRLVPIWASCLAYATVFSQTSTLFTKQGATMNRSIGSSFEIPAATLQSFIGLSIIILIPIYDTILVPLTRAITRKPFGITMLQRIGTGIFISIVLMVVSALVETKRLKIAYEYGLVDDPAAMVPMKIWWLLPQYLLAGSAEVFTVVGMQEFFYDQVPNELRSIGLALYLSAMGIGNFLSSFLISIVEKTTGRNGEGGWISDNLNRSHVDYFYYLLAGISAGAFVIYIYAAKSY >KVH98222 pep supercontig:CcrdV1:scaffold_38:427285:428779:-1 gene:Ccrd_023581 transcript:KVH98222 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIF system FeS cluster assembly, NifU, N-terminal MLRHTSKHFLGLGLDAMRSPVAGVLPRFYHERVVDHYNKPRNVGAFDKNDPTVGTGFSVLRRAAILRSITRLERSLMLASKLSAVVLLIANHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRGTGP >KVH98192 pep supercontig:CcrdV1:scaffold_38:628852:632060:-1 gene:Ccrd_023597 transcript:KVH98192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFPAFMTQYPTSTRIIPTSLLLPPQWPQPHSEELLLAIEESEFEEKYNDIRKINSNVIVIGKTSVENDKEDFENDADEDEADNAEESEGDEFEQETG >KVH98201 pep supercontig:CcrdV1:scaffold_38:305782:315184:1 gene:Ccrd_023576 transcript:KVH98201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3506 MAVANASWVTGTSLSNIPQIRHPLSSGFDFSVARRSFRLRLVSGSSNALKSFPIHTSCRCSSNGTNSDHDTSSDWDWNRWTRHFSEIELAERSVSLLQFQLEEAIEKEDFQEALKLKTAIAEATSKDSVAEIMSELKNAIHEERYHDASKLCKNTGSGLVGWWVGYSKDSDDPFGRLIRITPGVGRFIGRSYNPRQLVSASPGTPLFEIFVVKETDETYTMQVVFMQRAKTSNSKAASPSTSSSTKGTSVADIENASVIDVKVNEDKPGKNDEKSINFEGATEDGIRSVINFLKDKIPELKIKDLKVMKVDVEEVIEDSDTVNQLMQEDDEKTTSDEDSEDETTDLDDPQPDRIVVGPNSDASDDTKNLEMKLFVGGVLHNREDTPSKDELVRVPAEIEEMEKDSFVLHVPIKSHDHDSEESIASKVKIAAIASQGVSELMPPDVAKALWSSDKVSRKVSRDVREIVKFAVSQAKKREKLSEYTNFSRITTSRGDLDPFDGLYVGAFGPYGTEVVQLRRKYGNWSGANDVEFFEYVEAVKVTGDLNVPAGQVTFRAKLAKGSHFSNRGMYPDELGVVASYKGQGRIAEFGFKNPKWVEGELLQLNGKGMGPYVKGADLGFLYVVPEQSFLVLFNRLRLPE >KVH98177 pep supercontig:CcrdV1:scaffold_38:133795:134929:-1 gene:Ccrd_023563 transcript:KVH98177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEFSLRDAESTSPSELLLVERRCCFCIPYRWQKVRSSSSEYHSERTLWSRGIDALKKIREWSEIVAGPRWKTFIRRFNRNKSFGRQSPKFQYDPLAYALNFDEGPLQSGDSEMENDYMVRNFSSRYASRTTVPITSKTSTDLGKETIGPNFV >KVH98221 pep supercontig:CcrdV1:scaffold_38:421887:422684:1 gene:Ccrd_023580 transcript:KVH98221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSLQYPIDSTKPTDTMVPSSKVMNHGPHHMDHGSTHCPRCDSTHTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNIPVGGLCRKNKKISSTFKKPTTTNNHNTTTHHRQPQPSGMSSNFMDLHSPVEFSVDPHFMFENLTNGSPAAIDFLESGGGCGGGEYDDQIVDDFPAMVVAGGYGADMGNTHGSNPETISYDEYDHEYYESMMNGTDMKPSRVFTLGWQDQAGSGCDSDLNGSGEGRNGASGYLMGFGSSWAGLMN >KVH98190 pep supercontig:CcrdV1:scaffold_38:601864:602454:-1 gene:Ccrd_023595 transcript:KVH98190 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MMASSSSYNSPCAACKFLRRKCLSGCIFAPYFPPENPQKFINVHKVFGASNVTKLLNDVLPHQREDAVNSLAYEAEARVRDPVYGCVGVISFLQIQVDRLQKDLDAAKADLIHCSRNTTIPAAMSPHLSSIQPAISRQRAMDHNFHGRRFSSDGGGGFHQPPPPSYLYHNVNRPWNCHYPYNSRGGGGAGGGGGNM >KVH98196 pep supercontig:CcrdV1:scaffold_38:571320:577340:-1 gene:Ccrd_023593 transcript:KVH98196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVSALFILDIKGRCLISRHYRGDISSVEAERFFTKLLEKEVFEYYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEATILSEFIKTDAYRLEVTQRPPMAVTNAVSWRNEGIFYKKNEVFLDVVESVNILINSNRQILRSEVEQQKENQLIWKTCVRLARFESDRTISFIPPDGSFDLMTYRLNTQVRHLKVIEKSAYQTLPWVRYITMAGEYEIRL >KVH98213 pep supercontig:CcrdV1:scaffold_38:53666:67929:1 gene:Ccrd_023555 transcript:KVH98213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRQKQDDVHTSLHEEQEHEQERHSYSDAGGSRKRPSFRNAVLEVMKFCTIHKYVEPVLEPLIRRVMNILGVQVREEVEVALEKHMANMKWGCEDEIESVLPRSLQLQFLSSLSLPVFTGTRIEGGDCNMLKVALIDAHTGKTVSSGIESSAKLEIVVLEGDFDDDVDDNWTLEEFSANIIRERRGKKPLLSGNALLNLKDGIGLVGDLYFTDNSSWTRSRRFRLGARVVDNCDGIRVREAKSESFVVRDHRGELYKKHHPPYLSDEVWRLEKISKDGAFHKRLHKEKIKTVKDFLVLFFLDPEGLRHILGPGMSTKMWEVVVEHATRCVLDDNKLYLYCPQSQKRDGVVFNLVGQTEAHKLVISAFHHLDKVLSYDDEASLRAGTCSLTEDLYPSDTQMISGNPEANDSLQKDRFDYPQMTTPSLYSVGDMSSLGEYGLNHMGSIDVGFDQPIDFQCHVDNTLICDPGSSMHLQYLGTSSPGYLQCAVDRFLFPCSAIGKAQRRWKIVSSVVKWFSLMLEIRKGDISSNAQMMDENARKKKHGNANAVVSRGNTSSTHDHHPLQWKQPHFDEASSKVGFQSQIVYICCSQNVGLSKTCIFRDDGVDDTLLRFSPLREDELKEMLGRIPLDMQRKFDRWIRLFNICKSKKILMARVRNRAQTLVNAEQQKFMSLIKEKFGLDLLTSMTRNFGYTASTSGSQAYRLQFLNGISPSVSTGMTIEGEEHKPFIVALVDGTGKIVTTGAGAAATVEIVVLEGDCNDDEAGNWSSDEFNSKIISDWNGKKVLQGNAFLNLKEGVGSVDKLSFTHNKTWKKKRNFRLGARSVNAVFAKEAKTKSFLIGDKRQLTYGKHPIPCLHDDVWRLYKIGKKGTLTKSLTHAKIKTVGDFIVRLFLNRQCLEEIFGVKHAKSLKIAVKHALTCPTKLKYCSSYEQEPEVVFNVSGEVLGLYLHGQFLSRNILSDTQKQEDAKKLVISAFENWGDVISVDEDQFVADCSNLAVHGLAKTEIVTPCINTLATTYNKSIYMDGPDLVINESFLFGNMLSDEQHPNPQFLPESLRQQYSEIYGGFENVWTSNEHEPEHVLELVGTKTAKNKGFCRRWRRLVWVVTFTRSLLKRSLDDIHAHKKPRLA >KVH98209 pep supercontig:CcrdV1:scaffold_38:332808:337277:1 gene:Ccrd_023578 transcript:KVH98209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKNLNWLKHISYNGKLERRLSLGEYKRAVSWSKYLVSSGGEIKAKGEEEEELEWSADMSQLFIGNKFAMGRHSRIYRGVYKQKDVAVKLISQPEEDPDLASMLEKQFTSEVVLLFRLKHPNIITFFAACKKPPVFCIINEYLAGGSLRAFLHQQEPYSLHPNLVLKLAIDIARGMQYLHSQGIIHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEQKHTKKIDVYSFAVVLWELLTALTPFDDMTPEQAAFAVCQKNARPPLAASCPKAFRELINRCWSSKPCKRPGFNEIVKVLERYAASVEQDPDFLATYEPGDDRTLLRCYPGCRKSASARS >KVH98216 pep supercontig:CcrdV1:scaffold_38:75782:81313:1 gene:Ccrd_023556 transcript:KVH98216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MVGVNQQFEDDIHGSGGSRSSIDEISSVNASQEVEMEPAICIYKEIINEERQKFLPLMIEEFGLDTLKNIIQNYDYTASTSGSQALQLQFLNGISTPVSSGMDIEGEDHKPFIVALVDGTGKIVSTGAAAASKVEIVVLEGDCNDDEAWNWSSDEFNSKIISDWNGKKVLQGNVFLNLKEGVGSVDKLSFTHNKTWKKKRNCRLGARFVNAALAKEAKTESFLVGDKRKLLYNKHPTPSLSDEPWRLNMISRRSDCFKRMSEANIKTVMDILTFHAINPKRLKDILDVGPKKWKVITDHAQKCKDDKGVYLYHHPRDVEKNNGVVFNIFGKLVGLIAEAQFIPSDKLPSDRKRSWVVT >KVH98205 pep supercontig:CcrdV1:scaffold_38:248142:248336:-1 gene:Ccrd_023572 transcript:KVH98205 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, beta subunit MLQPQIVSDEHYETAQQVKQTLQRYKELQDIRAILGLDELSEEERLSVARAQKIDARTSVIVTS >KVH98219 pep supercontig:CcrdV1:scaffold_38:431831:436237:1 gene:Ccrd_023582 transcript:KVH98219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MRPHIVLFGDSITEQSFRYGGWGASLTDTYSRKADIVVRGYGGYNTRWALFLLHHIFPLGSTTPPVAATIFFGANDAALAGRTSERQYVPLEEYKENLRKIVRHLKECSPTMLIVLITPPPIDEEGRKEYATDGLHLTPDGNGVVFEEVVRAFKGAWLSATEMPSDFPHHSEIDPQSPQTVFHQRCL >KVH98224 pep supercontig:CcrdV1:scaffold_38:494232:497891:-1 gene:Ccrd_023587 transcript:KVH98224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMKYGAQVAKELTPLWITGPLVVAVYIKTVWVICSLYVFSFKKSVKLVNNFSRGKVKEFIRVHLWQHMVYFRNLNYIEESKRMWEEFQVWIADKCLDFVESMWSCRQTIGFLKMANIM >KVH98202 pep supercontig:CcrdV1:scaffold_38:299035:300351:-1 gene:Ccrd_023575 transcript:KVH98202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P/MRP protein subunit MVGFKNRYMVMEVFVDPNKNISKDDPIILSQHNVSKAIKDSILINFGECGLASSLGSFQGNIKACKSAALKCEDLKFEHLKLVSGAPRTEDANKHLQNLERIKMLEH >KVH98217 pep supercontig:CcrdV1:scaffold_38:507666:510566:-1 gene:Ccrd_023588 transcript:KVH98217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MASPLEMVEASCTGLVRRMVNLPRAISRALDHGLDVMRASGGRRNHHHQFPPPYHVPVQQHHQPPPLDQSFTTNLQPDWSFLAGFEQQFGVVHPFFYACRFVEALKMARDEEKLVFLYLHSPDHPFTPPFCKATLCSEVVVQFLDANFVSWGGGADSGDGLHMATTLRPASFPFCAEQGLVFGNGRAKVEEKRMADLRLRQEGAAYSASLQADQILIRFPNGERKEKTFSCMDKIEAIFAFVDSLGLPGIGRNYRLVSSFPRKAYGVDQMDMTLKDAGIYPLATLFIELV >KVH98207 pep supercontig:CcrdV1:scaffold_38:216805:220945:-1 gene:Ccrd_023570 transcript:KVH98207 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTNSLLPPHFVLFPFMSQGHTIPLLYFSRILFDRGISVTIITTPVNYSAVKSTVKNDSISVIDIPFPEDIVGVPPRIEVGDKPSAMTDFINFVETTDKLQPGFEEIVRSLPPVSCIISDGFLTWTQDSADKLGIPRLVFYGCNIFSMTMCNIMMQFKPHGAVSSDDEPFPVPDFPRLKLTVNDFEPPFREVDPKDPMMDFVLKQQAANVKSHGMVVNNFYXLEPEFIDYWNKNFGTKAWCVGPFCIAKPAAPKQMVEWPTWIQWLDENLLENKRVIFVSFGTQAEVSPEQLREVAVGLEKSNVNFMWVLKPIQLELVGGEGFEERVKGRGKVVTEWVDQVEILNHESVGGFLSHCGWNSVLESICAGVAVLAMPLMADQHLNARMVVEEIGMGLRLWQREKVARGLVGAEEVEKMVVELMEGEEGRRVKERVMEVKEGAYDAMKEGGSSWLTLESLIDHVYTLMAVRVTMSRFPVDADQLDTSGVLLGVAVTPFATKDENGSSPMYGSNVHLIPRCENCWVCYNTYCDQEHWTWTCSLCGTLNGLSAKTIARYSLPLPSHCLPINSSSPVESVAIYVVCRYRRSFSPVR >KVH98200 pep supercontig:CcrdV1:scaffold_38:321235:324864:1 gene:Ccrd_023577 transcript:KVH98200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MDGHKDNNRHRGTATATIPTPTTITTTTTNNRSMSDTDSQFDEFHSSANSPLQFDEFPSSPSKAIVSVDKYYTSSRSPTSNHQKPPQTFSLPPTAKAQSPTVAYNRSAREESVTGVTKVSPGGVEEGTVGGGGERRPRTASPGSRRSRREVMVDRAALGFRILEVILSVVAFSVMASDKTQGWSGDSFDRYKEYRYVVAVNAIGFAYAAFQAIDMAYHLIYGKHIISYSLRPHFDFLMDQAIRSLQSQILAYLLISASSSAATRVDDWVSNWGKDAFTEMATASIGMSFLAFVAFALSSLISGYNLCNQNSL >KVH98174 pep supercontig:CcrdV1:scaffold_38:157064:160723:1 gene:Ccrd_023567 transcript:KVH98174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MNTMNYVRLSSTTIIPQPTKPTLLVPNNPKGSWWTQIFDPGGQFIARWNYMFLITCLNALFVDPLYFLVPEISRRKSCMRSDYGFAAVITVWRSMIDIIAFIHIFIKFRTAYVAPNSRVFGRGDLVMDARKIAFRYLRTGFAVDLAAALPLPQIFIWFVIPAVKNPSTAHANHSLSLIIIIQYIPRLFIIFPLNQRITKTTGVIAQTAWAGAAYYLMLYMLASHEKNLSHPCNRLYFDCDHVDEPGRDAWLKETQVLNHCSAEISPVNFTFGMYAPAFTEGVTSATFFEKYFFCFWFGLKSLSSYGQNLETSNTRLEEWRVKRRDTEEWMRHRQLPPDLQDKIRRFVQYKWLATRGVDEEDILRALPLDLRRQIQRHLCLALVRRVPFFSQMDDDQLLDVICEHLVPSLSTKGQYLVREGDPVNEMTFVIRGQLESSTTNGGRSGFFNSITLKPGDFCGEELLTWALTPESNVSLPSSTRTVKVLNEVEAFALRAEDLRFVAKQFKRLHSKKLQHAFRYYSHQWRTWGACFIQAAWRRHKRKKLAEELARQEGLYQYYDNDDGSGENDQNVQHLGATILASRFAANTKRGISQKERVASPASSSLKMPKLFKPDEPDFS >KVH98223 pep supercontig:CcrdV1:scaffold_38:489478:493056:-1 gene:Ccrd_023586 transcript:KVH98223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDEGTDQEDDDFEIEDEKSKRFETVESSGGSAGKPGLISFYNHPYEKEPEVVASTISTTDQTNLLWFVDFLILFFTEALFYCGVAVFLSIVDHLRRPNEPVNAKNRTIPSPQLVYRITSVAALVLSLVIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYSRYVKSPSWPVIPVIFQVYRLHQLNRAAQLVTALSFTVRGAESSPQNLAINSSLDMLLNVLQSLGVICIWSLSSFLMRFLPSATITEQ >KVH98173 pep supercontig:CcrdV1:scaffold_38:148149:149008:-1 gene:Ccrd_023566 transcript:KVH98173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNLSIDGGEEGREQWRRTYRSMEEKRVVSNGEEPVDRWRRRGPLDRWRRDVRLGLSIDGGGEMWRGVSWRRTISQGR >KVH98198 pep supercontig:CcrdV1:scaffold_38:643175:643597:1 gene:Ccrd_023599 transcript:KVH98198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINRSTTTTTAAAATTTKKVASWCLIFMLLLVFIFMSNNGGRGGSWRSSFYLWSMVGVVGVVLIAGLVVVMVRTTIVTWIMVLVMMAFAGKRRRVLAVEGRKITGDVAMQLLKVVIKERSIVAVACATFLSSMAMVWVA >KVH98176 pep supercontig:CcrdV1:scaffold_38:130363:134023:1 gene:Ccrd_023562 transcript:KVH98176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDRIRSIFWAVFVFVLLLFSPAKTEDEGVITALLAFMDKLAPGGAQITPNWGWNASSDPCTSKWVGITCDRDNKTVKKIVLERLNLSGTLDVGSVCKVSNLLVLSLSFNNVTGNVQPEISNCVRLTHLYLSGNRFSGDLPDSLAELPNVKRVVVSNNGFAGELPDFSGTTGLLTFLAQNNRFTGQIPGFNYRQLEDFSVANNDLSGPIPDDTGRFDASSFAGNPQLCGKQLPVTCPLKKKKHKSKLRDFLIYSGYVILGFVVVVLIALLLLKKKKQRHEDVKIDPSTKKSPESGGSSGSRNSRARSEFSITSVESGGISSSMVVLSSPVVNGLRFEDLLKAPAELIGRGKHGSLYRVKPDGGVGLVVKRIKDWKISRDEFKKRMQKIDQIKHPNVLPLVAYYSSKQEKLLVYEFQLNGSLFGLLHGSQNGQMFDWGGRLSVASSIAAALAFMHQELQADLIPHGNLKSSNILLKNNMEPCLSEYGLMARDHQDPNQTNNHTTITTFKADVYAFGVILLELLTGKPVQDDGSGLIKWVSSVVQEEWTVEVFDKALIVEGASEERMVGLLQVALKCINASPELRPTMGLLSYDDQPIGKGDTPLTVGITCKQKATEKKKETDKKI >KVH98210 pep supercontig:CcrdV1:scaffold_38:97888:98760:-1 gene:Ccrd_023559 transcript:KVH98210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNCQAIDNASLVIQHPNGRVERLYSAISAAEVMKLNPGHYVALLLTTTLYSSRPPSTSAPTKQNHPGSVANTTQPLRVTRIKLLRPTDTLTVGHIYRLITTKDVMKGLMAKKNGKININKLKPLEESAGNHEAKVTGSNHSERTHHQMKKSDKDRRRTAVPAKSSAAARGWHPSLNSISEASS >KVH98193 pep supercontig:CcrdV1:scaffold_38:626118:626558:-1 gene:Ccrd_023596 transcript:KVH98193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15, conserved site-containing protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHCPIVNVDKLWSMVPQDAKENASADKVPIVDVTQFGYFKVLGKGMVPPSQPMVVKAKLISKTAEKKIKEAGGAVLLTA >KVH98178 pep supercontig:CcrdV1:scaffold_38:166867:180323:-1 gene:Ccrd_023568 transcript:KVH98178 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSQGHTIPLLYFSRILRHRGVSVTVITTPTNNSAIRAAVKNDSISVIDIPLPEDVVGAPPRVEVGDKICAMASFINFVEATQQLQPGFEEVVRSLPPVSCIIADGFFSWTQESADKLGIPRLVFYGTNMFCITIGYIMTKFKPHAAVSSDDEPFPVPDFPRLKLTVNDFEPPVSEVDPKGQAHDFLVKQQEANARSHGMVVNNFYELEQEFIDYWNQNFGPKAWCVGPFCIAKPAAPKPTVEKPTWLLWLDEKLVENKPVIYVSFGTQAEVSPEQLLEVAVGLEKSKVNFMWVVKPKHFELIGGEGFEERVKERGKVVTEWVDQVEVLNHESVQGFLSHCGWNSMLETICAGVAVLAMPFMAEQHLNARLVVEEIGMGLRVWPKEKVARGXVGAEEVEKMVVELMEGEGGRRVRERVAEVKEGAYGAMKEGGSSWRTLESLIDHVCHTIPLLHLSGILFHRCISVTIITTPANYASVRSTVSNDSISVIDIPFPEDIVAAPPGVQVNGKLQSMSSFINFVEATEKLQPRFEEVVRSLPPVSCIISDGFFSWTQDSADKLGIPRHTIPLLHLSGILFHRCISVTILTTPANYAAVRSTVSNDSISVIDIPFPEDIVAAPPGVQVTGKLQSMSSFINFVEATEKLQPRFEEVVRSLPPVSCIISDGFFSWTQDSADKLGIPRLVFYGNNIFSMTMDHIMTQFKPHATVNSDDEPFPVPDFPRFKLTVNDFQPPFSEVDPKGPEHDFHVKQQEANAKSHGMVVNSFYELEPEFIDYWNRNVGPKAWCIGPFCIAKPTAPKQMVEKPTWVEWLDEKLLENKPVIYVSFGTQAEVSPEQLLELAVGLEKSNVNFMWVLKWKQFELIGGEGFEERMKGRGKMVNEWVDQVEVLNHESVCGFLSHCGWNSVLESICAGVAVLAMPLMAEQHLNARMVVEEIGMGLRLWAKEKVARGLVGAEEVEKMVVELMEGKEGRRVKERVMEVKEGAYDAMKEGGSSWRTLESLIDHVCGDMHPLV >KVH98185 pep supercontig:CcrdV1:scaffold_38:690616:696592:-1 gene:Ccrd_023605 transcript:KVH98185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEMERVTEFPMSNVDLRPRKRQRLGWDAVHPVTKAQLGLFCGQEVGNVTSFAPSRVPQDHNSSSLVKRVARDGSPPWREDDKDGHYMFALGDNLTSRYKIHGKMGEGTFGQVLECWDKENNEMVAIKIVRGIKKYREAAMIEIDVLQQLHISMHSNGFQQVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECIACGSSRSPKDSSYSKRVPKCSAVKVIDFGSTTYDRQDQSYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGLMRYDPSERLTAREALRHPFFTRDHLRRY >KVH98189 pep supercontig:CcrdV1:scaffold_38:714016:719494:-1 gene:Ccrd_023608 transcript:KVH98189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTSESDLFFYLCIPLSILLVFLILFKWLSSVPESHKNRPPSPLKLPVIGNLHQLGSSPHSYLHDLAKKHGPLMLIHLGSVPVVVASTPETAQEILKTHDLEFCYRPKSSISHALSFGSKDIAFSPYGEYWRQIKSISMLQLLSTQRVRSFRQVREEETRVMIDMITKSHGSLVDFKELLTSLTSNVVCRVTLGKTYQGLKFKHLLTRVTYLLGVFSAGSYIPWLSWVGRLWGLERAAIELAPEVDAFLEGVLEEHINKRSRADVDDETDKPQDFLDILLDPIISLHRDTVKAIILNVFAAGIESTAASIEWALSELLRHPQAMRKLQLEVSEVAQGRSTISEEDVEKMPYLKAVIKEALRLHPPAPLLITREAIKDVKLMGYDIAAGTQVLVNAFAIARDPSLWDEPDKFKPERFLSNAIDYKRHHFEYLPFGAGRRMCPGTQFSIAIDQIVIANLVYKFDLALPDDEELDMRLSSAHKNQPPSPWKLPIIGNLHQIAIGFNPHRSLLALTQKQGPLVLIQLGSVPVLVANSAEAAREILKTHDLIFCSRPKLSIVDKLTYGSKDIAFSPYGEYWRQLRSIAVLKLLSTRRVQSFQGVREEETRVMIDMIGGSCGSLVDMGKLVSSLTNNIICRVTLGRTYGIEFRHLLARFSYLLGVFAVGNYIPWLSWVDRLSGLEGTTKQVAEEFDEFLEGILEEHINKKRVVDGIDEGGQDFMDILLDTQSENTTSFTLGRDVIKAAILDIFGAGTVTTSTILVWAISELIRHPRVMKKLQHEVGEIAQGKSMIHEEDLEKMHYLQAVLKETLRLHTPLPLLISRESIQDVKVMGYDIAAGTQVIINAWAIARDPSIWEEADKFKPERFMNSPIDYKGFHFEFLPFGGGRRGCPAIHFAIGVNELALANLVYKYDLKLPDEARGEELDMSEVTGLTLHRKSPLLVVATPRF >KVH98215 pep supercontig:CcrdV1:scaffold_38:83270:87345:1 gene:Ccrd_023557 transcript:KVH98215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLRRHTFSVLRAHHFQTAESLLLQSRRALHVEPGLREKALLAEDPALTRFKSYKKSSSRIRSIGDYLTIAVVAGCCYEIYVRAVTREEARKADHR >KVH98218 pep supercontig:CcrdV1:scaffold_38:524607:527402:1 gene:Ccrd_023589 transcript:KVH98218 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MAPKSPIIFTFVCILLSFVLLADSVPINETRFSPMEELKKVSRVRAKLKKINKPSLWAESGELCPEGTVPIRRTTKKDVLRASSLRRFGRKIRGGVRHDTMSGGHEHAVAFVNGDQYYGAKASMNVWTPTVTDPYEFSLSQLWTDAYQATGCYNLLCSGFVQTNNRIAIGAAISPRSSYNGKQFDIGIMIWKDHMEVTEILLNWTTIVDPKHGHWWLQFGSGVLIGYWPSFLFSHLQRQASMVQFGGEIVNSRSRGYHTSTQMGSGHFAGEGFGKASYFRNLQVVDWDNSLLPLTNLHLSADNPNCYDIKAGKNNVWGNYIYYGGPGRNA >KVH98197 pep supercontig:CcrdV1:scaffold_38:547702:561428:-1 gene:Ccrd_023592 transcript:KVH98197 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein MSNPMPLHLESGIYLFGENSGFYHDPAAGWYYSSKDGLYYKFENGNYADQSNANEGIVTSVGDNSIHDESYDHAPCGKDPTDVNFEGGESEGYVAEEATPSDPIDTLIELYLSGYSNQTIDDASGARTFDDHTSLNIPTDGIDDVDADELEEGEWIPDDTHEAVDGRGGASDEDDFAEEEMWRAQYGQAIQSDKKLVPDQPIMDLWDWAMITGTKKRGKRRICRLVGRLVRRSTKLHPSMPSSGRVLKTAPICEVLLDLVRVRSGRVYKLRSPKVAYLASLSSYDSSNPTKDWGFPELSTDKVTQTVAKSCQEIEPKRERVRLKGHAYRDRAAERRSLHGGFGVGPGQKRTADTDSSSSSPVSATPEEAVAEALNLSFGVGSYARKILEGMGWKEGEALGCGMKGLTEPIQAVGNKGSSGIKECHLQQFVLAKTKRAK >KVH98211 pep supercontig:CcrdV1:scaffold_38:90294:92675:1 gene:Ccrd_023558 transcript:KVH98211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MATYGGTTQKCKACEKTVYFVDELTVDNKVYHRSCFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGAPKTARNDRSSDRGQSNSRITRMFGGTQEKCVACKKTVYPLEKVGVDGTAYHKACFKCIHGGCVISPSNYVTHGQNLYCKHHHTQLFMVKGNFSQLDKLRETVNGVTENTAES >KVH98204 pep supercontig:CcrdV1:scaffold_38:273979:274594:1 gene:Ccrd_023573 transcript:KVH98204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MKETTPMMKKCDGGSKKLERKTIEKNRRTHMKRLCDELTSLVPSLFSQSSKDSIFDQSIAYIEQLQKIVERSTEKRIEASRLVNKRDRDNTDYDVRDDQPAVTCDGVRLPTVEVKEFDDGLQVRLTSRSKRNFTFSDVVRIVEDGGGEVVNGGYTTL >KVI00093 pep supercontig:CcrdV1:scaffold_380:221640:238424:1 gene:Ccrd_021660 transcript:KVI00093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHTPATSPSALSFSTDSDRHGRSKTGKAQMCLLKILRIISLFPAVDVSLKQRSSHGSXGSCENKEFDVDLNIGLGASKEIEPLEYPPLLATGGANCEDCVFTKNNRRKIDLFLEEKQEPVEEERTTENSEISHVGDQSSGIDCNGCSEEVGEKTRDEGYLDLLIEAAQLILGDECEPPSNKTETSFNYVESSTAAEKRGGTKRKKYCWTTTAYFIWTKAFCRFGDGSWIHFKVKCFHMHMDWNIFTSRSSMHPFGLSHSSVISLGKSVSIFRNSKYDVRAWTNMLGASADPSSDLKHFAFTQTSKQALCGSYTKFIFNNSCIKYSSTSTPLEWKKRWPNMSKKSLSNLVMVLGFLVE >KVI00089 pep supercontig:CcrdV1:scaffold_380:156704:159003:1 gene:Ccrd_021664 transcript:KVI00089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSFSLSIYISISVCVCIYTAIQRAWWWWWWRITVADGGVHTFGGGEVQGRSGGGGDHQRVGEACFRN >KVI00085 pep supercontig:CcrdV1:scaffold_380:3093:5929:-1 gene:Ccrd_021678 transcript:KVI00085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MARLTSHHPLVIARVVGDVVDSFTPSVNMVVMYNSLNHLYNGQELFPSIVTVQPRVYVHGGDMRTFFTLIMTDPDVPGPSDPYLREHLQWMVTDIPGTTDTSFGKELMNYEMPRPGIGVHRYVHLLFKQKGRQTVSCPSSRDKFNTRSFAHENELGLPVAAIFFNCQRETAARRR >KVI00080 pep supercontig:CcrdV1:scaffold_380:69306:83721:1 gene:Ccrd_021673 transcript:KVI00080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MTRRYQDYVWQLNRTSIHSLNSNLFDLGSGELERSSRTVSSEIFSSNPFSWDCFCPNLAISIFSSIFIAHLRRFLSQSSPSSSLSPTVVSVTVAFSRGRLCRRRFLPRSSPSSSLSPTVVSVSVAFSYGRGSDAILQLFMEPLTKGYCFHTLKFHLYMGQHMHPSSESVISANHEMLASVLERQARLVFTGPINENTVFHNVAKEAAIHHYTKSFRGFSAMLTPGQATRLSAYDLIYTTENEAVVSVFESKLNRLHTTNSWRFLGLDSIQQYNKLPSDVKSDAIASGRSPKAFMITGSDQCRPSSTENVYRVRISQDLTATAVNGPLENSNVTFFRSARDSQGHGTHTSSTIAGSKVSNASLYGLGSGTATGGVPNARLSIYKACWFDDCEDADILAAMDDAIHDGVDLISLSLGPLPPQPIYFQDAISIGAFHAFEKGIVVCASAGNSFLPRTASNVAPWILTVGASTMDRDFPSYVVLGNLKQLKGFGVNTTPDQGKQYGLITGSVTAASGIPSRNARYSNELSNCNKSNYGHKHNKDLAKSFCKRNTMDPILIKGKIVVCMLETLIDDRKEKAIAIKEGGGAGMILVDPVAKYVLFQAVVSSVLIGQAEAIELQSNPMARIYQTPDITAPGVNVLAAWSPIATENTAGNSIDYNIISGTSMSCPHVAAVAALLKSIHPNWSPAMIKSAIMTTATTTDNTRNFIRNDDSTAATPFDYGSGHVNPAAAVDPGLVYDFNANDIINLLCSTGASLAQLKNLTGTPVYCRNPATPSYNFNYPSIGVAYMAGSLSVYRTVTYIGKGPAVYYSKLEITGLKASVYPDVLRFSKSGEKMTYRIDFVPYKSSNGSFEFGSLMWANNVHRVRSPIAVNVVSV >KVI00079 pep supercontig:CcrdV1:scaffold_380:83995:85683:-1 gene:Ccrd_021672 transcript:KVI00079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein FNIHLGLLDFSIFRCNFKGRLCVFQILNNWRRRTELTYEIAGVVIEMSDRNVGSSSFIGFLSMKSLLGLFSIALVVFILSWFLLLGSTGRSRFIDHNLLPHSMRYDNYMQKAPKRSAPLMDHKKQATLRVFMYDLPSEFHFEIIDWNPEGKTVWPDLRVKVPEYPGGLNLQHSVAYWLTLDLLASEFSENGGRIAVRVHNSSEADVIFVPFFSSVCFNRYSRTNPHQKTNKNKDLQQKLVKYLTVQPEWQRSGGIDHLIVAHHPNSLLDARMQLWPAMFILSDFGRYPPTIANIDKDVIAPYKHVIRNYVNDSSGFDSRPILLFFQGAIYRKDGGFIRQEIFYLLKKEKDVHFAFGSVKKQGVVSATKGMRLSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPFEDILDYSEFSVFVRTSDALKDEFLINFIRSIGRDEWTQKWAKLKEVERFFEYQYPSKNGDAVQMIWRAVSKKVPIIKRKLHAAKRRFSRFDQPARKSFPLPRNFTS >KVI00082 pep supercontig:CcrdV1:scaffold_380:36076:39406:-1 gene:Ccrd_021676 transcript:KVI00082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 29 MEITGDKVGGVGMVQRWRSMWGQRTHKTTIRRRSETQVVDLAENFFHSGSVETTLTKEKKGIMMKRSVRPIFSLVFLVAAAATLCFRIMVSGGGEVFSPKNVGEAAQKPSPVFNATLLRFAAVDLAEDSLRQDVEQLVNGNFRGSESGRHRSFLWSGRYRLDIRARSAKGVPVQLRSPDFYRLWLDFRRYLQTWWRNHRFHPDVMSDMVNVVKILKTGVNDTDLKSGMKYKTCAVVGNSGILLKTDLGDVIDGHEFVIRLNNARTRGFERNVGSKTSLSFVNSNILHICARREGCFCHPYGEKVPIMMYMCQMIHFLDYVVCNSSRKVPLMITDPQFDVLCARIVKYYSVSRFLKETDKPLEAWAGSHDGSNFHYSSGMQAVMLAIGICDKVSIFGFGKSESSKHHYHTNQKGELHLHDYQAEYDLYQDLVERPDAIPFISDKFKFPPVVIHR >KVI00081 pep supercontig:CcrdV1:scaffold_380:108728:113315:1 gene:Ccrd_021670 transcript:KVI00081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nop domain-containing protein MELMRGVRSQLTELITGLGSQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKAILAEEVETELKEAAVVSMGTEVSDLDLINIKDLCDQVLSLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLASKTALAIRYDALGEGQDNSMGVENRLKLEARLRNLEGRELNRSAGATKGKPKIEFYNKDQKTGAGAIITAAKTYNAAADSVLGRIEAEAEQDEKMVPKIEEPSEGKKDKKKKKKKEAVVTDDVAADEDVGKKKKKQKHVVNEEDEAQNEETVEDGDEKKKKKKRKHAETEIETPSKKKEKKKKKKSEE >KVI00094 pep supercontig:CcrdV1:scaffold_380:159674:165254:-1 gene:Ccrd_021663 transcript:KVI00094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MLSAGVPLVGGDQLLLHRRNPSLLLHAFKPIAFSSFIVRSSSSSLKELDISSSSSSEGDDDRQLSSTLSGSVSFPPVKVAKRVVLVRHGQSTWNAEGRIQGSSDFSILTQKGEAQAETSRQMLIDDSFDICFSSPLKRSKRTAEVIWGSRKEEILTDSDLREIDLYSFQGLLKHEGIAKFGAAFGQWQKDAPNFNIDGHYPVRELWTRATSCWNKILVHDSRSVLVVAHNAVNQALVATAMGLGTEYFRILLQSNCGVSVLDFVPRPEGGSPYICLNRLNQTPGSPIAAGSSAGRKAGNRIILASNFDAGDTSMDMLGIIQSQKTAELLLDLKVKSIVSSPRVTPTETANAIARVQEAAECLGADCLPRYDSVNASSLQSGWINEFEDGLLTELWDRSGTAWKSLLNELSNESEPDNVVVAVGHPIVTVGLLGHCLNLTKDWMGSFHVDAGSISVIDFPDGPSGRGVVRCINYTAHLGRWLIPITKATQGDEEF >KVI00095 pep supercontig:CcrdV1:scaffold_380:168832:176134:-1 gene:Ccrd_021662 transcript:KVI00095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphorylase MATLTIGDDNRPISSLLIFAAMDSEALPIVEHFKLSRDDGRAFGSKFVLVGAICIKQLVKCSSTLRFPLGVAWIRYHGYYKDLNLNVIYPGKDRDLGNNSVGTVPASLVTYASIQALKPDLLINAGTAGGFKVKGACIFDVFLVSDLSFHDRRIPIPGPDRYGIGLRQSFSTPNLVKELNFKVCKLSTGGSLDMSPQDERCILANDATIVDMEGAALAYVSSLMEVPAIFIKAVSNFVDGEKSIPEEFAQNLQASVVALREAVVQVVEFINGKCLSEL >KVI00088 pep supercontig:CcrdV1:scaffold_380:141435:150850:1 gene:Ccrd_021665 transcript:KVI00088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGDSLRNPNGVPNQKGESRIANSKPKLVVIMGATGSGKSRLAIDLASLFPIEIINADSMQVYEGLDVLTNKVPLHDQRGVPHHLLGTISPMVEFTAKDFRDYAIPLINDITSRNHLPVIVGGTNYYIQALVSHFLLDDSLEDTDESCLGETYGDKQQHIAVMPEQGNFSYSYDSLKDLDPVAANRIHPNDHRKIRQYLRLYACSGVLPSKYLQEQTMENWGNADSLRYNCCFICVDASLTVIDKYVEQRVDQMVDSGLLQEVYDIFNPDADYTRGLRQAIGVREFEHFLKAYLSVGQSGTENGIIDQVSAVKYVEKLKENMNTVLSTAGEDPLKQLLQDAIDKVKLNTKRLVRRQKRRLVRLQTLFGWKIHYVDATKCLSCLSEESWTVNVVEPSIQIIKSYFDKSTHLVPDFEASNDTKGSKLIDRDLWTQHICKGHTNGNNINKAGVIAKEFAGLGSPESLAWMTNRINGTQGRRPEAQLEDGERRNSWKTATRGAARRRRLEEQLEDGDRRRRPEKGR >KVI00078 pep supercontig:CcrdV1:scaffold_380:258121:276821:-1 gene:Ccrd_021658 transcript:KVI00078 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAPP II complex, Trs120 MEPDVSIETSSMIRIAVLPIGTIHPNLFRNYVAMLGRHHKMELSAISSFYSQRHKSPFSQQPWNSGNLRFKYVIGGSPPSPWEDFQSYRKILGVIGICHCPSCPDLDSVVGQFVAACKGYPSSLVQRCFAFSPGDSQLEDESKKRNKLVLFPPADQRTQEFHLQTMMQDIAASLLMEFEKWVLEAESGGTLLKTPLDSQASLSAEEVCVLGVLVKEAIKAKKRRHARAQKTIGDYCLLAGSPIDANAHYSTALELARMTGDYFWYAGALEGGVCAFLMSKAGEKDPTLVDEVKYRYNGVIMHYRKSFIQENAQRVSPLSFELEATLKLARFLNRPLLVKEVVELLTTASDGARSLIDASDKLILYVEVARLFGTLGYHRKAAFFSRQVAQIYLQQENNLAASSALQVLALTTKAYRVQSRAATANHNVSNETGQYVTDSGKMHHHFLVSLFESQWSTLQMVVLKEILLSAVRAGDPLAAWSAAARLIRSYYPLITPPGQNGLASALTNSAERLPSGTHCPDPALPFIRLHSFPLHSSQTDIIKRNPKREDWWAGSAPSGPFIYTPFSKRDSAGSSKHSLIWIIGEPVQVLVELANPCGFDLIVNSIYLSVHSGNFDAFPVSVTLPSNSSKVLSLSGVPTKEGAVSIPGCIVRCFGVLTEHFFKDVDNLLLGAAQGLVLSDPFRCCGSGKLKNITVPNIIVVPRLPLLVSHVVGGDSAIILYEGEIRDLWISLANAGTVPVEQAHISLSGKNQDSVVSIGYEILKSALPLKPGAEVIIPVTLKAWQLGLDPDTANKSTAFNVPRQAKDGSSPMLVIHYAGPIGNNGEPPASDGVVPPGRRLVTPLNICVLQGLSFMKARLLSMEIPAHVGDVPENVLDSGSTDSSKQADRLVKMDPFRGSWGLRFLEFELYNPTDVVFEVSVSVHLESTSTDNSSEFTYPKTRIDRDYSSRVLIPLEHFKLPVLDGSVLINDSRSNGGVNTKAELNASIKDLISKIKVRWQSGRNSSGELHIKDATQAALRTSIMDVLLPDPLTFGFRLAKTRDSPKGSSPSVKGSVVANDMTPMEVLVRNNTKDSIKMSLSITCRDVAGENCIEGNSPTVLWADEPNDILRARARSVSHDEPIVCRGPPYHVRVNGTL >KVI00084 pep supercontig:CcrdV1:scaffold_380:44213:54078:1 gene:Ccrd_021675 transcript:KVI00084 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MYSNFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLHRAEEIRAVLDEGGTGPAANGGDAAVAARPKTKPKDGEGGGGDGEDPEQSKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLSGQRGEGNESEASRRIKTEILVQMQGVGHNDDKVLVLAATNTPYSLDQAIRRRFDKRIYIPLPDMKARQHMFKVHLGDTPNNLTESDFETLARKTEGFSGSDIAVCVKDVLFEPVRKTQDAMFFVETNDGLWISPPPIVRADFDKVLARQRPTVSKSDLEVHERFTKEFGEEG >KVI00090 pep supercontig:CcrdV1:scaffold_380:122156:130212:-1 gene:Ccrd_021667 transcript:KVI00090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase MRSKDKISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLRPHKAYPVELAQFHSADYVEFLQRINPDTQHLFPEEMAKFKLGEDCPVFDDLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGNMFFPGSGDVKEIGEREGKFYAINVPLKDGIDDTSFTRLFKTIITKVVETYQPGVIVLQCGADSLAGDRLGCFNLSIDGASLIMNWLMLNYLLLVTFELLLTGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELANEIPDNDYIKYFAPECSLRIPSGHIENFNSKSYLGTIKMQVMENLRCIQHAPSVQMQEASSIKTNSSTRIIIVPPDFYIPDFDEDERNPDERADQHTQDKQIQRDDEYYEGDNDNDHNMEDV >KVI00086 pep supercontig:CcrdV1:scaffold_380:115351:117688:1 gene:Ccrd_021669 transcript:KVI00086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S VINGLRVSSVLLNPKKFKGGKRYRSASTHFSRMKVVAAYLLALLGGNTSPSAEDLKKILGSVGADADEDRIELLLSEVKGKDITELIAAGREKLASVPSGGGGVAVAAATGGGAAPAAAAAAEPKKEEKVEEKEESDDDMGFSLFD >KVI00087 pep supercontig:CcrdV1:scaffold_380:118728:122058:1 gene:Ccrd_021668 transcript:KVI00087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosine protein phosphatase I superfamily MRSVCIPHLPISHKSPFLATTFLPLNQHPPFQTSNLFHHRSQIKQNPITIITSSSSSSSSMASATPTDKTIEKPFSVLFVCLGNICRSPAAEGVFTDLVKKRDLSSKFLIDSAGTINYHEGNPADSRMRAASKKRGIEITSISRPIRPSDFKEFDLILAMDKQNKVPDPYYGGPQGFEKVLDLLEDACESLLETILAES >KVI00092 pep supercontig:CcrdV1:scaffold_380:178715:218476:1 gene:Ccrd_021661 transcript:KVI00092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MFKDALELKLVQTLKKINRMEVMGGGEEQSMAANGGWVRPAHIVQILLDLRSKKRKLSMRKKSLSSIKSSSTSTPLERKKRWPNMSKKSLSIESHFFVFIESMPNDVESRFFVFIESMPNVGIKSPSTSTSTSTPLEWKKRWPNMSKKSLSKNNLHDTAAIASERAENIYGLNVLADGMHDDSNNVLSVLAFRNFNLTKIKSRPHRLRPITIAGDTNIGTAKHFFEYIFHVEFAASTAEVRAQNALVQEFTSFLTLEGVG >KVI00083 pep supercontig:CcrdV1:scaffold_380:17581:28954:-1 gene:Ccrd_021677 transcript:KVI00083 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MADENGTTTTTTPPPPEGVPDAPLSSHPPPFPPTPRENKETPSPPPPPSSLTENETSPPPPPPPSTTTLADVIEQPEKPISTEPKPPPESMVSFKEESNQIKDLSISQQKSLHEFKTLIQEAITNNDFIFSPKSEEPISEITQEISIWGIPLLKDERTDVVLLKFLRARDFKLKDSFTMLKDTLQWRKTFNIDSLVNADFGDDLEKVVFMHGFDKEGHPVCYNVYGEFQNQELYQTTFSDEEKRFRFLRWRIQFLEKSIRSLDFSPGGVNTIFQISDLKNSPGPAKRELRLATRQALQLLQDNYPEFVAKQVFINCPWWYLAFYTMMSPFMTHRTKSKFVFASTARTAETLFKYVSPEHVPIQYGGLSVDYCDCNPEFTIDDPASVVTVKPATKQTVEIIVNEKAKKMSQTDEPVISHNFKINELGRILLTIDNPTSKKKTLLYRFKVSPLSEQRRLDSVQMVILRYSFALGYGQLDTENSKIRNRGVEYPTLWTLKT >KVI00091 pep supercontig:CcrdV1:scaffold_380:131896:137117:-1 gene:Ccrd_021666 transcript:KVI00091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEAPFRPREQLIEKQRLFQSIHKHTHLKGPVDKLTSVAIPLALAGSCLFLIGRGIYNMSHGIGKKE >KVI00096 pep supercontig:CcrdV1:scaffold_380:245612:250361:1 gene:Ccrd_021659 transcript:KVI00096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MSKKSLSTSGPPLPTSTRLLALPGVRKEYLNRVISLTQALSQCELTLTELGLNVRPEFFYSTVGAAYFIAENNLHDTAAIASERAEKIYGLNVLADGMHNDSNNVTYFLMLAREPIITHTDRPFRTGIVFAHNKGTFVLFKVLSVIAFRNINLTKIKSRPRRLRPITIVGDTNVGTAKHFFEYIFYVEFEASTAEVRAQNALADVQEFTSFLRVLGSYRMDMNP >KVH84544 pep supercontig:CcrdV1:scaffold_3801:1865:8438:1 gene:Ccrd_025448 transcript:KVH84544 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein QNTRDLDELGNIATIRNEKEVDSGYRGIVDGGLLDASDEFVQMPNLYNLSSKRVFSKMRNHTVTNRMTSVGNTLRRHYKTYLLEYELALDDVDGECCLLCHRTTSSSFLKFVF >KVH84542 pep supercontig:CcrdV1:scaffold_3803:31328:32893:-1 gene:Ccrd_025449 transcript:KVH84542 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MKKIEVKVSSTFELEKSVCNHGFFMMAPNRWIPSTKTLTRPLRLLPRHHHHSSNVTISISQPCSTSLSIILLDDLIITPSQQQEIVDQVKRMLRLSKEAEKQVKEFHRLHEEAAKRGFGRLFRNPSLFEDVVKSLLLCGCRFQRSLEMAEGLCKLQKWLGKKCKWGNFPSAQELANLESEELLRNKCKLGYRAGPIMRLAKAVTNGEIKLLDYELNNNEFGDEESMFQKLKTIKGFGDFVTCNVLMCMGFYDRIPIDSETIRHIKQIHQRKECQKKNVKAMVKEIYDKYAPFQTLAYWLELVEYYENNMGKLSLLERCHYKSVTGSIIT >KVH84537 pep supercontig:CcrdV1:scaffold_3804:12024:12560:1 gene:Ccrd_025452 transcript:KVH84537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type AVRCIVTRFCNKAPRIIKPSRGNKQDIEDALSPDEIAKLSVKLLDILFVMILAFLDPMDHIHRWRIVDCSAYTEEGLLEGFDWLVQDIASCIYVLD >KVH84540 pep supercontig:CcrdV1:scaffold_3804:5837:7456:-1 gene:Ccrd_025451 transcript:KVH84540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVGVGVGVGALLSYATGTFTGYLSIQSAHGLPSHPPGIVFSALHTALLHLKPDPNAICHTRSPFETRPFASAYDNSYHNELLDVFPNRCSVILDGSMCLSVRFKFFSISSSTALPPAWMQKCSNASLKSGIYGFTFIFNTFLFTSVTKNINCSENGSTRGPNVVIFVLSASPATAISSRASETPTIPLSSSLW >KVH84538 pep supercontig:CcrdV1:scaffold_3804:14679:17388:-1 gene:Ccrd_025453 transcript:KVH84538 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKKGGSSWLTAVKRAFRSPSKDSDKKTRTSHHDLDDEPDDKKREKRRWLFRKPTSVQENAQAQPQPQPQPSKITNFRDAITCTQPPQASDQNHAAIAAAAEAAVATAMEVARLSRPPPKEHRAATIIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLQCMQALVRVQARVLDQRMRQSDQCSSRKSTFSDTNSAWGGSRYHQDISDRRSMSRDGSSSIADDWDERPHTIEEVKAMLQNRAARREKTLSQAFSQEMRRNGRNPSMGSDNELLGVGNGERHQWLDRWMGSKPWESRPMARASTDHRDAAVKTVEVDTSQPYSTTSLPPNHIRRSSYQYQRNSSASPLHRPQHHYSPVTPSSSSKTTKPIQVRSASPHYERSYHSTQSQTPSLRSNYSFNTVLHHHHQHPRGSTSGGAMPNYMAATESAKARVRSQSAPRQRPSTPERDRSAKKRLSFPVPDSYSGNGQNLRSPSFTSVNEAYAYMVEQQSNYTDSIAGGEVSPCSTTDLRRWLR >KVH84539 pep supercontig:CcrdV1:scaffold_3804:4800:6380:1 gene:Ccrd_025450 transcript:KVH84539 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MDHTTSTVDMDKERLTAELAIKDDSTSVVIKIRRKLPDFLQSVKLKYVKLGYGYSCNPATLLLLLLLFPLFIATLIQLTGLRLDSFSQIFTSFDTATGLTGSVLLLFLSGVYWAKRPTPVYLVDFACYKPQDDCKMANQSFTKMSEDSGFFEQDTMHFQKRIATRSGLGDETYLPKGITARPPQLNMREAREEAELVMFGALDSLFSKTGVRPQEISILIVNCSLFNPTPSLSSMIVNHYKLRPDIMSFNLGGMGCSAGLISVDLAQHLLKANPNSYAVVLSMENITLNWYSGNDRSMLLCNCIFRMGGAAMLLSNKSRDRRRSKYELVHTVRTHKGADDNSYNCIYQREDDKGIVGVSLARELMAVAGDALKTNITTLGPLVLPFSEQLMFFVTLVKRKVLKMKVKPYIPDFKLAFEHFCIHAGGRAVLDEMEKNLNLTERHMEPSRMTLHRFGNTSSSSLWYELSYAEAKGRVSKGDRVWQIAFGSGFKCNSAVWRALKTIPGGCEGNPWADCIDRYPVKVPVA >KVH84541 pep supercontig:CcrdV1:scaffold_3804:29994:34058:-1 gene:Ccrd_025454 transcript:KVH84541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch synthase, ADP-glucose type MATASRWNFVTRSSGANNGVAISVNRREVKASGLSVGKRQHQGVRVVYSARVIGALNGNSANGKSRNGKSRKGISRKGIVCGMNLVFVGAEVGPWSKTGGLGDVLGGLPPAMAANGHRVMTVSPRYDQYKDAWDTEVELELKAGDRTEKVRFFHCYKRGVDRVFVDHPMFLEKVWGKTTSKIYGPIAGIDYQDNQLRFSLLCQAALEAPRVLNLNSSEYFSGPYGEDVVFIANDWHTALIPCYLKSMYQSRGMYISAKVAYCIHNIAYQGRFAFSDFALLNLPDEFKSSFDFIDGYDKPVKGRKINWMKGGILESHKILTVSPYYAQELVSGPDKGVELDNILRKTCVHGIVNGMDVQEWNPMTDKYTSVKFDATTVMSAKPLIKEALQAEVGLPVDKKIPVIGFIGRLEEQKGSDILAAAIPEFIGSNVQIIVLGTGKKAMEKQLDDLETEYPLKARGVAKFNVKLAHMIIAGADFIIVPSRFEPCGLIQLQAMPYGCVPIVASTGGLVDTVKEGYTGFQMGAFNVECETVDPADVSAIARTVTRALAVYGTPAFSEMVQNCMAQELSWKKPAKKWEEALLSLGVEGSEAGIDGEEIAPQAKENMCIGRSWLPNMSLMFAQDGDECSMETRKGRWTCGTT >KVH84535 pep supercontig:CcrdV1:scaffold_3805:52630:53643:1 gene:Ccrd_025457 transcript:KVH84535 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGANTSLLTSKITEGSENPSKLNLGDIPESCLALVLSYMEPPEICKLARINRTFRAASSADFIWISKLPSNYHYLLGKSFVNDKKTLGVKEIFARLTRPVSFDAGNKEYWVDKITGGVCVSISSKALTITGIDDRRYWNHIPSDESRFPTVAYLKQTWWLDVDGDVEFQFPAGTYSLSFKLRLGKVIKRHGRQVCTTADVHGWDVKPVEFKLTTATGQQTVSKRFLETIGKWDYHRVGDFTVDESNTPTKVKFSLTQIDCTHTKGGLSIDSILISPSNPC >KVH84533 pep supercontig:CcrdV1:scaffold_3805:26092:32241:-1 gene:Ccrd_025456 transcript:KVH84533 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7 METEEEAYGQMTDEIYSNGGDGEALSQQQQRRPIISGEQLDIEAYAALYTDRTKISRLIFIADKCDNVSMQLEALRMAYDEIKKGENTQLFREVVQKIDGRLGPNYGPDSGWMDAVDRRAEQRKEKLENELNAYRTNLIKESIRMGYNDFGEFYYTHGALGDAFKNYVRTRDYCTTAKHIIHMCLNAILVSIEMGQFAHVTSYVSKAEQNKNDLDPITIAKLQCAAGLAHLEAKKYKHAARKFLETAPELGNNYSEVIAAQDVATYGGLCALASFDRAELKAFLSFIAKVIDNINFRNFLELVPEVRELIHDFYSSHYASCLDYLGNLKANLLLDIHLHDHVEMLYTQIRNKALIQYTHPFVSVDLNMMANAFKTTVAGLQKELEALITDNQIQARIDSHNKILYARHADQRKATFQRVLQTGVEFDRDVRAMLLRANLIKHDYNLKASRKI >KVH84534 pep supercontig:CcrdV1:scaffold_3805:11331:24739:-1 gene:Ccrd_025455 transcript:KVH84534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGHINLTSPKQRNNPTRKWQLLDFVSMVFFAVVILFFLLIFTPLGDSLAASGSQALIRSATADPKQRQQLVALIEAGKHPEIESCSADTVDYMPCEDPTRNSQLSREMNFYRERHCPSPDETPLCLIPPPQGYHVPIQWPESLHKIWHENMPYNKLADRKGHQGWMKRDGPYFIFPGGGTMFPDGATQYIAKLKQYIPISGGLLRTALDMGCGVASFGGHLLDEDILTLSFAPRDSHKSQIQFALERGIPAFVLMLGTRRLPFPASSFDLMHCSRCLIPFTAYNATYFMEVDRLLRPGGYLTISGPPVQWPKQDKEWADLQAVTRALCYELIVVDGNTVIWKKPVGDSCLQNQNELGLELYTKLKKCVSQTSAIKGEIAVGTIPKWPQRLKQAPSRATLIKNGIDVFEADTRRWERRISYYKNLLNLKLGTPAVRNVLDMNAFFGGFAAALVSDPLWVMNVVADHKPSTLGVIYDRGLIGVYHDWCEPFSSYPRTYDFIHVVAIESLIKDPVTGKNRCNLVDVMVEMDRLLRPEGTVVVRDSPESIAKIDRISGSVRWKSTIHDKEPESQGRERILVATKTHWTLSSSSH >KVI01657 pep supercontig:CcrdV1:scaffold_3807:35296:51542:-1 gene:Ccrd_020066 transcript:KVI01657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKHGDDDSDDDDDGDGDDDDDDGDDDDGDGDGDDDGDGDDDGYDDGDDDDDDDDDDAGAGAGAGAGAGAACEKIDDTPAIPTSRIKKDPKVYSIEEKAREKIDRRALTLLTMAFPNEISNRVESFTSTKRMKLKLKC >KVI01656 pep supercontig:CcrdV1:scaffold_3807:25300:25770:-1 gene:Ccrd_020065 transcript:KVI01656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSYLLHTILELGATAQMTWDHLKETFQDKRVVHLKHQFSHTHQTDFLTIYAYCQHLKMLSDQLANVGARVTNQRLVLQLIFGFSKAYDRVATIIQQFDALALFYRAKSMLTLEGTRKAKQASISPDTHSALFTTGIPSTNIAKSQPRVASQQEC >KVH84374 pep supercontig:CcrdV1:scaffold_3808:18844:32563:1 gene:Ccrd_025459 transcript:KVH84374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTNCPQINYENATESHQQDLLVPTNLIVENFEKKGHSWFAVSQLPSDLSVKVDDITFYVHQFPLLSRCGYLGQIDLRTSITKDGYELKLQNFPGGAETFETVLKFCYGFPVSLTTKNAAPLRCAAEFLDMTEEVEDGNLISKTESFLTLVVFSSWKDSITVLKSCETLSPWAENLQIVRKCSDSIARASEIEQNRWKYDVSTLRIDHFMRILEAMMLKGLGSDFLGSCIMIYAEKWLIQTDGEIEGLKRYQNGKKEQKWRIQQGRKQETGFEPYHDQRTIIERLVSILPHEKESISCKFLLWMLKMAMVYAVSPALVSELEKRVGMVMEDASVYDLLIPSYTGGEEGNQIKSTGEQTLFNVDVVQRILEYYLMHEQQQPTQNHGKSSISKLLDNYLAEIAGDPNLSVTKFQVIAESLPDNARPCDDGLYRAIDIYLKAHPALSEHERRRLCRSMDCQKLSIDACTHAAQNDRLPLRTVMQVLFAEQVKLRATMHKNQPATNNDNLDQDDNNCSFPKEEIKMLKVEVERIMVVIEDLQRDYTNLHQNCEKMIKKQNGWILGWNKFKKSTLFQGKLDSNENREGKEKEKKINSILRLKRRQSIS >KVH84373 pep supercontig:CcrdV1:scaffold_3808:2232:5014:-1 gene:Ccrd_025458 transcript:KVH84373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQHEPPEPKRSKARDNSTETPLEESPEAKNRKLQRELMAAAAEKRMMAASAAMATTATNTSAPKNVSNSSEASASKVDKCAPVAKKERCWGEELSSEEAQQLFLMVFGNEVSKGILAQWNNQGIRFSPDEETSMGLVQHEATTTVESSCCLTQIWLLSLKSETAAATQ >KVH98404 pep supercontig:CcrdV1:scaffold_381:223162:233720:1 gene:Ccrd_023377 transcript:KVH98404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MESHADAPLLTSKHVSNNVHFRNDIQDSPPITGAKEFFVQFGIESKKLWYLAGPAIFTSVCRYSLGAVTQTFAGHVGTLDLAAFSVENSVIAGFSLGIMLGMGSALETLCGQAYGAGHVDMLGVYMQRSWVILLVTAMMMLSLYIFATPLLLFIGQTEEIAHAAGKMALWMIPQLFAYALNYPIAKFLQAQSKIMVMAYIAATALVLHTLFSWLLMLKLGWGLLGGAVVLNLSWWFIVVAQLIYIFSGSCGRAWSGFSWAAFSNLWSFVKLSFASAIMLCLETWYFMALVLFAGYLKNAEVAVDALSICVRVSNELGAGHPRAAKFAIGVVVASSFAFGVAIGAGWQAVIAYINIACYYVFGIPLGLTLGFLADWGIKGIWIGMLTGTVVQTTVLFCICYRTNWDKE >KVH98401 pep supercontig:CcrdV1:scaffold_381:243010:243639:-1 gene:Ccrd_023378 transcript:KVH98401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGNRGGGGDGEAVTVAGNTGVSNNKPQFRPAIDDTKPLLQDPILRSDPIETEEAVLRLPPFSPITSSGQ >KVH98407 pep supercontig:CcrdV1:scaffold_381:56046:59740:-1 gene:Ccrd_023370 transcript:KVH98407 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MTSENSKILIIGGTGYIGKFVVDASAKAGHPTFVLVRESTVVDPVKGKLVQSFKNSDVTLVYGDLNDHESLVKAIKQVDVRFFPSEFGTDVDHHNAVEPASSAFAGKVQIRRKIEAEGIPFTYVAANCFAGYFLPTLVQPGATVPPRDKVTILGDGSPRAVFNEEHDIGTYTIKAVDDPRTLNKILYIKPPRNTLSFNDLVSLWEKKIGKSLEKTYIPKEQVLKLIQESEFPMNILLAINHSIFVNGDLTNFDIKPSFGVEASELYPDVKYTTIDEYLNRFV >KVH98409 pep supercontig:CcrdV1:scaffold_381:87002:93175:1 gene:Ccrd_023372 transcript:KVH98409 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MSFRDESEDGRADRDLRKPFLHTGSWYRMGSRQSSMMTSSQMIRDRSVSVLACVLIVALGPIQFGFTGGYSSPTQAAITRDLKLTVSESLMIAAIPNIIGWLCISFANDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGGLGSVNQLSVTVGIMLAYLLGLLVNWRILAILGNTFLFLIAKMGMTDDFESSLQVLRGFDTDITVEVNEIKRSVASSNRRSAIRFSDLKHRRYWFPLMIGIGLLVLQQLSGINGVLFYSSNIFQSAGISSSDAATFGLGAIQVIATGVSTWLVDKTGRRILLIVSSAGMSLSLVVVVVSFFVKGFVEDSSSLYAAMGILSVVGVVGMVIAFSLGMGPIPWLIMSEILPVNIKGLAGSIATLANWFIAWVITMTAPLLLAWSGGGTFTLYMVMCAGTVVFAGMLVPETKGKTLEEIQFSLRQ >KVH98403 pep supercontig:CcrdV1:scaffold_381:111113:116461:1 gene:Ccrd_023374 transcript:KVH98403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein MRNQAGSGSGKGPSACAPLIGNWNSPKLLCNSTLFVLSLPKSLLLSNPVMESAESPFRIILGSSSIARRKILAEMGYEFTLMSADIDEKSIRTEKPEELVMALAKAKADAIVSKLQTSADQEKDAKPSILIASDTAEGNPKLQIGEDKDAQPTLLITCDQVVVYEGTIREKPANDEEARQFIKEVTGYSGKHAATVSSVFVTNLTTGFTKGDCDKVEIHFHDIPDHVIDKLLEEGLVLNVAGALIIEHPLIVPYVKELIGTTDSVMGLPKALTQNLLKEAMKA >KVH98402 pep supercontig:CcrdV1:scaffold_381:118036:144353:-1 gene:Ccrd_023375 transcript:KVH98402 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MDAYCTDGVTSEIRGINGYEDGQILASWASPEGRQNIDIGKQIFCNSAVNMKNIVAIGFDMDYTLAQYKPETFESLAYDGTVKKLVSDLGYPKELLEWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRLISKNEKVATYGNTFIRDAFDGPDYAHIDTLFSLAEAYLFAQLVDFKDSNPGKISEEKDYSCMYKDVRSAVDLCHRDGTLKKMVAKDPRKYINEDNLIAPMLKMLKTSGRAVFLVTNSLWDYTNVVMNFLFGPWTTDGSSSLSLDWLQHFDFVITGSAKPSFFHDENRANLFAVETESGKLVNTDNGTPLAQVGDPDIELPHQIPNMRCKVFQGGNVGHLHKLLHVGASSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVKVLSSSRDTRKKLQLLRSERDQIEDQTHHLKWSLEHENLDAGEKEKISVKLDLLESQRARVRAAHQESQRIFHQKFHPVWGQLMKTGYQNSRFADQVERFACLYTSQVGNLGLHSPAKYYRPSEDFMPHDSDILS >KVH98399 pep supercontig:CcrdV1:scaffold_381:267482:273520:-1 gene:Ccrd_023381 transcript:KVH98399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKKKNVFHQTPTKYWSEKPITRPTALLQGIQIFLAKLKATTPAPEMKASFKAKYDADKATAASAVTLAFNAGDVKLRASMTDATVVNGPSLNGLALAVEKPGSFTIDYNVPKKDFRFMFMNTIRISDKPLNMVYSHSRGDQRTVLDGTLVIDSANKVSANHVLGSGNCKLKYTYVHGGVTTFEPSYDLAKDSWDFAVSRKLYEDHVLKAVYQTSNQNLQLDWSTRSKMIGSYKVSAQFNVEDGLKVPRLTAESSWDIEL >KVH98397 pep supercontig:CcrdV1:scaffold_381:7891:13000:-1 gene:Ccrd_023369 transcript:KVH98397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 MNIIVQEGKGLVYMEGVEGDTASPVAPLAKWRNEFSRAFQYYLDKSTPLPVHRWLGTLAVAAVYILRVYYLRGFYIISYGLGIYTLNLLIGFLSPKVDPELEGLDGASLPTKGSDEFRPFIRRVPEFKFWYAITKAFIVAFCLTFLSVLDVPVFWPILLCYWIVLFVLTMKHQIMHMIKYKYVPFNIGKQARTAKILPFCAYNQIDFHLSLVLTKTIFLNSDRHMVEKNHLEVAEGTEELKKLAEDMKMVGRTFLVILFFWAALTIVTPILVRMSSSTSVLDYNDELDKGGPQRSRGMSFLPRRTLVSTEHPFAPAPAPAPAPCPPKRLVMQRYSSSSRKKL >KVH98405 pep supercontig:CcrdV1:scaffold_381:190730:196907:1 gene:Ccrd_023376 transcript:KVH98405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MENNGEAPLLTSTPGLKNAHFTGDVEDIPPIKGITDFCVQFGVESKKLWYLAGPAIFTSICQYSLGAITQTFAGHVGTLDLAAFSVENSVIAGFSLGIMVGMGSALETLCGQAFGAGQVDMLGTYMQRSWIILFVTALIMLPLYLFATPLLLLIGQKESISYAAGKMALWMIPQLFAYAFNYPIAKFLQAQSKIMVMSYIAAVALVFHTLFSWLFMLKLEWGLWGGALVLNFSWWFMVVAQMIYILSGTCGRAWSGFSWAAFTNLWEFVKLSFASAIMLCTNIVGWAIMVAIGFNAAVSVRVSNELGAAHPRTAKFSVVVVVISAFFVGVLLAILLVIFRHQYPAIFADSLEVQQAVYTLTPLLAACLIINNIQPALSGVAIGAGWQAVIAYINIACYYIFGIPLGLALGFGANFGVMGIWIGMLTGTVVQTLILFWICYRTNWDKEASMAEDRIRQWAGQPEEVVDQPKIY >KVH98400 pep supercontig:CcrdV1:scaffold_381:246091:256641:-1 gene:Ccrd_023379 transcript:KVH98400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain-containing protein MESAFASASAIGDQRQKIEEYKHILSSVIASNDIVQAKKFIDHILSDDVPLVVSRQLLQTFAQELGRLEAENQKEIAHYTLHQIQPRVVSFEEQVLVIREKLAELYESEQLWSKAAQMLSGIDLDSGMRVIDDKFRLTKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEVIDEDALEQALAAAVTCTILAAAGPQRSRVLATLYKDERCSRLKIYPILQKKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIAPHKAEKIASRMICEDRMRGSIDQVEAVIHFEDDNEELQQWDQQIFGLCQALNDVLDSMAKKGLAIPV >KVH98398 pep supercontig:CcrdV1:scaffold_381:260255:266110:-1 gene:Ccrd_023380 transcript:KVH98398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWPLNKYFNILIILVAYLDYVQKVAGKESNSGFDNTLVDEDPKETKKASEFEEGFGDDGKEKELGSCFDDTRVNSDRKVRKRDLEFEEGLNDDEMENKLGSGCGDTPVRTDRKETKRVLEFDDEINGSGVDQVGEKEGETLHMKTREDGEKEVDEGAMNEKEGRKKRLKSSSEDPKAKTSSSNKRREEKERKAHLEQLHAESQRLLRETRGAAFKPVPLVQKPISSILERIRQRKLELSKKFCQPSNSESVKEYDGCLKEAAIDHSKSVETEVGDLSESVKEEKALDEESTPVFRAPVDDTEELFGDCQTSDSKESKDEEPGEQTISSQEEEMGPSWLTMKLKLDSAPDDISDKEENDKENINPHVHEHAKECSSPKGDPVKAFVDEEAEEEDDSDNDRMRFGDDEEDEDDGDAEELRKMIATGYVEKPIDKEARNELHQKWLEEKDAAGTDDLLRRLNVASKLREASLLDEEEGEEDVEPIDDVEEDLERPQVARMNSKKAKEMIAQMFTDKDEDFLSSDDEETDKLLARRCLFNKATNIITKQEEKGKLVSPIEDEESKEVFGLIKKLNTVPEARKKAKPASFFDTMLTGGNSNSSSKSSFLSRVSNHSVSISSKQGSTAVRSFIFGRDDSNSRNSTSVSEETCDT >KVH98406 pep supercontig:CcrdV1:scaffold_381:70012:71652:1 gene:Ccrd_023371 transcript:KVH98406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRSSFRFRMPRLRPSVPPPRATTRRLSTQPKPPAAPPSPPIPPQGVPSTAPPPPVSTTTTTTPAPPVSTTTTTTPAPPVSTTTTTTPAPPPPPPPPIAAAAAAAPSPPPQDEPRSMPPPLPQAAPLSPDVSSFTKPATAKSPEPTKTESQPSSPFQTGIRSRLPSQTPSPSRMSTEPRVSAKPPSPSTRLQPTAQPSFSTSVQPSQPTSPLASPSRLASEKKIASLPPSPIVTTPKLQPKKATSPSSRPVPDIASDNDQKEADLALSATSDAINEIEEGEMKTTMETNEETFMEQPRIEAPKVEEKQHNAINTTSNNIPNTPKTKSMISEPVNTESPQNPKQINRSEASADVNENNTSPRKQFSNEKPLSVITLAGDNRGTSMRINGATKRERKIHIHRKYKVDPDEIADTTTDGEESSNSNGKKSEKDSESTDKLEKNAYINCNIQGINNSMVFNSSFAERNPGVHLDRNPENVNKSKRKKSKPMDMTNPSQKVTTIRRRCLRGLFMETSDSDPDDRQKPRRHGCRYTGGEKSNKEEKMDVV >KVH98408 pep supercontig:CcrdV1:scaffold_381:94308:95888:-1 gene:Ccrd_023373 transcript:KVH98408 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MDGGANLNTNGGGGGGGGGGGGGPTVGVQINQRHSHRRLPDFLQSVNLKYVKLGYHYLISHLLTLCLVPVMIVILIEASQMNPSDIRQLWVHLQYNLVSIIICSAVLVFGSTVYIMTRPKPVYLVDYACYRPPDHLKAPYERFMKHSRLTGDFDESSLEFQRKILERSGLGEETYVPEAMHFVPPRPSMAAAREEAEQVMYGALDNLFAATGIKPKDIGILVVNCSLFNPTPSLSSMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSVDRSRAKYKLVHVVRTHRGADDKAFRCVYQEQDPAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFCTLIAKKLFNDDVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPTHVEASRMTLHRFGNTSSSSIWYELAYTESKGRMRKGHRVWQIAFGSGFKCNSAVWKALRNVKPPVNGPWEDCIDKYPVELVS >KVI00744 pep supercontig:CcrdV1:scaffold_3810:26216:35423:1 gene:Ccrd_021002 transcript:KVI00744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MKPPQSIMWFAFFLFSLVSFLHRTSCSSDSSLLPTNLLDSMDGFQNYTAISDFRLLNRRKLGACPNPNPYIQIQVSSTSELSDDQMITVTVSGVLLPSKSDWIGMISPSHADVNACPQNLILYQQTGDLSVLPLLCHYPVKAQFVSNDPGYIGCKKKECKKYDQKGXCLVTTCSASLTFHVINIRTDIQFVFFGAGFQIPCVLAKSNLLKFANPNKPLYGHLSSIDSTGTSMRLTWVSGDKNPQQVQYANGKTQASHVTTFSQENMCTSAIQSPAKDFGWHDPGYIHSAVMNGLKPSTQFSYRYGRDYINSGSVYITPDSGGECGVAYESYFPMPTPAKDKPWYSIEQGSVHFVVISTEHDWSRDSEQYQWMSKDMAAVDRSRTPWVIFTGHRPMYSSCGSVDDRFVQAVEPLLVANKVDLVLFGHVHNYERTCAVYKNQCKAMPKKGPDGVDTYDNGNYEAPVHAIIGMAGFKLDSFPPKVGTWSLSRITQFGYARVHTTKIELNFEFVNANTKKVEDRFRIVKS >KVI00743 pep supercontig:CcrdV1:scaffold_3810:26705:44181:-1 gene:Ccrd_021003 transcript:KVI00743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQWFVWICKLQQKRCWQAGTRPETEIPNMSAIFSG >KVI00745 pep supercontig:CcrdV1:scaffold_3810:46976:47397:1 gene:Ccrd_021004 transcript:KVI00745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MSIFTNRFMIFVVLVCSVVVIIGNKSVLAQCQGDVQGLIEQCARYVQKSGPMIQPSGGCCSVVKNIDLACVCGHITIEVENIISMEKAAFIAQACGKPLSHGTQCGSKIFANSLCCAMK >KVI11033 pep supercontig:CcrdV1:scaffold_3811:638:4428:-1 gene:Ccrd_010560 transcript:KVI11033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDKYELVKDIGSGNFGVARLMRNKVTKELVAMKYIERGHKQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQRIMAVQYKIPDYVHISQDCRHLLSRIFVANASRRISLKDIKSHPWFLKNLPRELTEAAQAVYYRKENPTFSPQSVEEIMKIVEEARSPPAASRAIGGFGWGEEEDDDDKEAGEKEAEDDEDEYDKRVKEAHQSGEVCLT >KVI11034 pep supercontig:CcrdV1:scaffold_3811:17604:24645:-1 gene:Ccrd_010561 transcript:KVI11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Casp domain-containing protein MKMASTGPQQSSSSLKRRDSMVTRESDQLTITPLGAGSEVGRSCVFMTFKGKTVMFDCGIHPAFSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQLHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWSNHPELHNVPIYYASPLAKRCMAVYQTYINAMNERIRTQFANSNPFDFKHISPLKSIEEFNDVGPSVVMASPGSLQSGLSRQLFDKWCGEKKNACVIPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNIQVHYISFSAHADYAQTSTFLEELMPPNIILVHGEANEMGRLKQKLVTFFADRNTKIITPKNCQSVEMHFNSEKMAKTIGKLAERTPEEGESVSGLLVRKGFSYQIIAPDDLHVFSQLSTANVTQRITIPYSGAFGVIKHRLKQIYESVESSTDDESGVPTLRVHEQVTVKQDSENHLSLHWQADPISDMVSDSIVALVLNASREMPKVVVETEPVKDEAEEKKKVEKIVHALLVSLFGNVKYGEDGRLVISVDDNVAYLDKLNGEVESENEGLKERVKVAFRRIQTAVKPIPASAATA >KVH84372 pep supercontig:CcrdV1:scaffold_3812:10357:24836:1 gene:Ccrd_025460 transcript:KVH84372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 MAMTTANNGDKVMLLEAPPSSRPSWSSSAAQIDALPYIDDEYGDPKVKTEVDRLIEEEMRRSSKKPSDYLKDLPPVAKFNFENYPMLAREYERVRAGKPMVPLDMSRYGLDIPSMNKRNDETAWKQALQKSQRLLQHQVIRLENLDLMSKYGADVWKQHNQRLEAFLSRMQAQAGELNGKIEIVNRERKYHQQNTAYELNALSAQWKELCEKNIAIEAACVKVESYLEELKAEATERVMEIGEMIMENDLNEVRNTPKAFSPFVQSRRLYV >KVH84371 pep supercontig:CcrdV1:scaffold_3812:27814:34401:-1 gene:Ccrd_025461 transcript:KVH84371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF936, plant MAAPVPGVLLKLLQHMNTDVKVGGEHRSSLLQVVSIVPSLAGGELFENQGFYLKVSDSSHATYVSLPDQHIDLILSDKIQLGQFIHVDRLEPASPVPILQGVRPVPGRHPCVGTPEDIVATHSLGFLNNNCSSASGSMLFNKTKSPSKRVMKRLNGRQKDKELDSKKTRPVLGRSKSQLPKLALDAIVTNRSLKTKSFNSQPILLSPTSYYSLPNSFEKFSNGIKKQSSINRMEKTINKLSFGEKTSPARGASPSVNKSGMGNSIKSFVQSIDFGHKALRKSWEGGTDVRTPRIDITKKNSKPEAWSTSTSRKSTSERMPSKEESNKATSFVNKSSSKEESKVHTPQTRVTTTIPDLVVHSSKQKSSAGALHPGNMIKVSLSNRRLTDTSGSWSSLPLSIGKLGKEVLKHRDAAQIAAVEAIQEASACETILQCISTYAELCSFANEDNPQPAVEQFLAMHAGLNNAHQIAESLSKIVALGSSSDCEDNPSETHLKATSDKRKQASHWVHAAITTNLSSFSVYSKQAPPSSPVILLGNQPTLVLESTTKTASPKTQVKPRQSKILNSTTPRPTIDQKARAPPPLKWEKGAGLNETVELGQMLKLESQDWFLGFVERFLDADVTISDNGRIAGMLSQLKSVNDWLDKIESSKDEGETCHISPETMNRIRKKIYDHLLTHVESAAAALGANSEPSQTGSNARR >KVH98774 pep supercontig:CcrdV1:scaffold_3813:8470:8733:-1 gene:Ccrd_022999 transcript:KVH98774 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox protein, Cys/His-rich dimerization domain-containing protein MKKQQVVIKKNKTSSPSVIRTVHYGQCLKNHAASIGRYAVDGCREFMASGGEGTRGALSCAACGCHRNFHRRDVDEVACECSSTSDN >KVI11136 pep supercontig:CcrdV1:scaffold_3816:14881:15221:-1 gene:Ccrd_010457 transcript:KVI11136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPKVLRIQRKASYLIRLAMILGRRKILLSSLAGLNQIWKR >KVI11135 pep supercontig:CcrdV1:scaffold_3816:16906:19841:-1 gene:Ccrd_010456 transcript:KVI11135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter FKGNKRVVTVPLFTETAITIVKLLVIKGTNFKLANNREYGLVALENMGFISNVLIMFSYFMADLSFNIPGAANTVTNFMGSTFILSIIGAFISDTFISRFQTCLIFGVVEIVAFMMMTIQAHDRGLHPEPCGGKSSCMKGGIGVMFYTSLALLALGSGGVRGALAAFGADQFDTKKPKELKAQASYFNWLVLSTTLGAAIGVVGFVWVSTNHGWWWGFFLATLGSFLGFTLFFLGKPFYRIHVPKDSPLLSIVQVIVAAVNHRKLKLPENPEELHESSTNNGLREQKLTRTSQFTYVLLPYLKRELEIELFYYLGSNMSYIVLWLDKAAIVPNDSKPSELSQWEICTVTQVEEVKILIRMLPIILSTVIMNTCLAQLQTFSQAQGATMNKKLGKLNFPAGSVPVIPLVFMTVLLPVYEFFFVPFARKFTKHPQGITQLQRVGVGLVLSAISMGVAGIVEVKRRNQSRINPFEPISLFWLSFQYGIFGIADMFSLVGLLEFFYKEAPVGMRSLATSFTWISMSLGYFLSSVLVDIVNSVTKRVSPSQKGWINGIMLDNNNLNLFYWLLAVLSLINFAIYLLSASLYKYKKEDDGLLKTEMASTTTSLAMISASEDELPKSTTQEDVAKDDTTAEPKVDVKDEKEHEAHSTNIKADS >KVI00812 pep supercontig:CcrdV1:scaffold_3818:34425:34985:-1 gene:Ccrd_020934 transcript:KVI00812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MKNFCIGTCLFVLLVVIFPSKFDAQTCTPSGGIRGQKPPPGQCNTENDSDCCVQGKFYTTYKCSPSVSSNTKATLTLNSFQKGGDGGGPSECDHKYHSDDTPVVALSTGWYKGGDRCHNFIKINGNGRSVKAMVVDECDSTMGCDEVHDYQPPCPNNIVDASKAVWKALGVLEENWGDLDISWSDA >KVI00814 pep supercontig:CcrdV1:scaffold_3818:23448:24014:1 gene:Ccrd_020933 transcript:KVI00814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MKNLCIDACILAFLVILVAFPLKIDAQTCNPSGGIRGRKPPPGQCNTENDSDCCVQGKFYTTYTCSPPVTSDTKATLTINSFQKGGDGGGPSECDHKYHSDDTPVVALSTGWYKGGARCHNFIKINGNGRSVKAMVVDECDSTMGCDEEHDYQPPCPNDIVDASKAVWKALGVSEDNWGDLDISWSDA >KVI00813 pep supercontig:CcrdV1:scaffold_3818:13772:14338:-1 gene:Ccrd_020932 transcript:KVI00813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MKNLCINACLLAFLVILVAFPPKIDAQTCKPSGGIRGRKPPPGQCNTENDSDCCVQGKFYTTYKCSPPVSSQTKATLTLNSFQKGGDGGGPSECDHKYHSDDTPVVALSTGWYKGGDRCHNFIKINGNGRSVKAMVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWKALGVSEDNWGDLDISWSDA >KVI00815 pep supercontig:CcrdV1:scaffold_3818:7807:11306:1 gene:Ccrd_020931 transcript:KVI00815 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g31560/F3L17_130 [Source:Projected from Arabidopsis thaliana (AT4G31560) UniProtKB/TrEMBL;Acc:Q9SV14] MVMASFTVTPNSISSSLLRLQSSVPSQISPLLPSYQQQLGGSCRRSRGSLVVTRGGPPGTSTYIFAFVFPLSLLAVTIFTAIRISDKLDKDFYEEMAVNQSILEAEDEDEDVSTPTNEEPPRPRTRNRPKREAEAPGR >KVH92607 pep supercontig:CcrdV1:scaffold_382:148176:157121:1 gene:Ccrd_005352 transcript:KVH92607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYGGTRSRESGTMQYPPSVISVVENNCSTSTSSFVLFAKRPLILGLFSAYAFRDAYLMDHHRIIATKLLHFHPDHLWCILILGIKTHLESRFLRQVAQSEAASALHRKAAGACSHASHIQTPPLVVLPFKHKNGFVKLGVYVIEKYGVVEIKVSADSNCCPPGLLRGKEFIA >KVH92597 pep supercontig:CcrdV1:scaffold_382:249806:252983:-1 gene:Ccrd_005363 transcript:KVH92597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWATDVVRAGGGATSEEDESDSIPLLFNPEQEKYVRELDIKAASLSRSVQDLRNRIPPPDISQRLPDLHAHSLASNAALALQLNAHSSTKEQAQLRELTLHKENAAFENAISECESKIQEKLREADQLCAKLEEIDATLTTELQHEQSAPDDDESGDSTDLSLKSKAEAEAHTIASISSLQESLENKKKELAYMEELVENLERQWSKVQEESLKRPSPAQREKMLDKQLHSLLEQLAAKQAQAEGLAGEIHLKEMELDRLNGLWRKVETSTAEANAARNRFGRSNSDKGNLSSDYIVDPRYKPTSGRTEALQRQVLLRSAFVLYILILHILVFIKISF >KVH92606 pep supercontig:CcrdV1:scaffold_382:154811:156773:-1 gene:Ccrd_005353 transcript:KVH92606 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MLKEDRSTLCLKGSTTRGGVWMCEACEQAPAAFLCKADAASLCATCDAVIHSANPLARRHHRVPVMPIPYGHQETDHPRSILGVGIDSQGGFLSQESECTIDDQDENEAASWLLFDDDPAKNSKNQNQYGNSNSNTNGFLFNGDEYLDLVEYNSCQETQFSDDHKCNDLLFDDQYMTDAIVTHKIQQRCDTVPRRSYGGSDADSVVPLQYGEAKKHHHHDFQHHKFQLGMEYETSNVSSLDVGVVPESTVTEVSISNARTPKGTIDLFSNPSDQMPTQLTPIDRKARVLRYREKKKTRKFEKTIRYASRKAYAEKRPRIKGRFAKRTNDDVEVEQLFSTTLMTEGGYCIVPSF >KVH92611 pep supercontig:CcrdV1:scaffold_382:109875:113901:1 gene:Ccrd_005349 transcript:KVH92611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAVVARSGRGNGGSAFSSALRSFFSYRIFVSAMFTLLFFATASVLFSSHPAHFNDNSEIQTTGKAYMHRTFLALKSDPLKTRLDLIHKQASDHIVLVNAYAAYARKLKLEISKQLRVFDDMAQNFSDVGVKPTYRTALFESNGPVDEEVLRQFEKEVKDKIKVARLMIAENKESYDNQLKIQKLKDTIFAVNELLIKAKKNGAFASLIAAKSTPKSLHCLAMRLMGERIASPEKYRDEEPKPEFEDPSLYHYAIFSDNVIAVSVVVNSAVKNAEEPWKHVFHIVTDKMNLAAMKVWFKMRPVEGGAFVEVKAVEEFTFLNPSYVPVLRQMESANLYIEDKAENETGEVKNTKSRNPKHLSMLNHLKFYLPEMYPKLHRILFLDDDVVVQKDLTALWKLDMDGKVNGAVETCFGSFHRYAQYLNFSHPLIRDKFNPKACAWAFGMNIFDLDAWRRERLTEQYHYWQDLNEDQSLWKLGTLPPGLMTFYSTTKSLDKTWHVLGLGYNPSISMDEIRNAAVIHFNGNMKPWLDIAMNQFKHLWTKYVDNEMEFVQMCNFGL >KVH92599 pep supercontig:CcrdV1:scaffold_382:220854:221365:-1 gene:Ccrd_005361 transcript:KVH92599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMGLERLMVSVKTRLIRSLKIWKAGAVAGDHEAESYNKIQKSDSMRLEIRSRKARKLIQQTLKLADHSKP >KVH92609 pep supercontig:CcrdV1:scaffold_382:129809:130135:-1 gene:Ccrd_005350 transcript:KVH92609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSESSSFWRFDSPLIYLFGGITLILSLIVVALIILACSQRRRRSGDGGVGGDMESGGESQKTVRAVYNGGDGTDNTPKVVVIMAGDELPTYLATPADVSGDTSASN >KVH92616 pep supercontig:CcrdV1:scaffold_382:4198:5852:-1 gene:Ccrd_005342 transcript:KVH92616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGSSSPNSRDKRKSPHPQFNPITMVKEKKEMMKRNRELKPIEGGIKWKNKQKRILLIVEEEVLLKTTTTFI >KVH92605 pep supercontig:CcrdV1:scaffold_382:165777:171037:-1 gene:Ccrd_005354 transcript:KVH92605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRVHQVNTAANAIFFLFLWLSASSNALLSPKGVNFEVQALMGIKASLLDPHGVLENWDGDAVDPCSWTMVTCSAESLVIGLGTPSQNLSGTLSPSIGNLTNLHIVLLQNNNITGPIPPEIGKLKNLQTLDLSNNHFTNEIPSSLGHLNALQYMKLSNNSLSGPIPDSIASMTQLALVDLSFNNLSGPVPRIPSKNFKTKSHKMALAIGTSLGAIFLLVFGIVLIWFRRTHNREPFFDVKDKHHEEVSIGNLRKFQFRELQIATHNFSNKNILGKGGFGHVYKGTLHDGTSVAVKRLKDGGAAGGVRQFQTEVEMISLAVHRNLLRLYGFCMTPTEKLLVYPYMSNGSVASRLKAKPVLDWGIRKKIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDCCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVRKIHQEKKLDILVDKDLKSNYDRMELEELVKVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQGIESAGKFRTPELSSSERYSDLTDDSSLLGQAIELSGPR >KVH92598 pep supercontig:CcrdV1:scaffold_382:211206:213455:1 gene:Ccrd_005360 transcript:KVH92598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase MAMIKKDVSYKMLRSFVSRVNSTTASPARRTTINTPIQNSFPAVAQPVATVKDDGNDGAVKIFDFEDTKRLFASVPTRKLLHSAITLNVCAMESMVDFSIKVMNSKTMETNRFFREAVLKTIRHSAYDHFVAGEDTVQAGRTVNRLWESGLRGMLDYGLEHAADNESCDDNTQELIKTAESAHSLPPSSVSFVVVKVTAICPVYLLRRVSDLLRWEYQNSSFKLSWKQQTLPIFSESSPFYHTLQQPAPLSAEEEHDLELAHQRLTSICDKSINGGIPVVVDAEDTSIQPAIDYLTYWAALNYNKGTKPMVFGTIQGYLKDAGKRMYLTKKAADKMGVPVGFKLVRGAYMSSERKLANSLGVDSPVHDTLNGTHDCFNGCASFMLDEVSKGPGGLVLASHNLESGKLAAQKARDYGIGKESEKLEFASLYGMAEGMTFGLKNGGFSVSKYLPFGPVEQIMPYLLRRAEENRGLLSSSNLDRQLMKQELKRRLKACFTVGENQFKPETNSMASN >KVH92617 pep supercontig:CcrdV1:scaffold_382:9186:13652:1 gene:Ccrd_005343 transcript:KVH92617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMTSSVAAKFAFFPPNPPSYRVVMDESGGGGKWRMTDVSERDNVDVLKLKTKRGTEIVAAYVKNPVASLTVLYSHGNAADLGQMYELFCELSLHLRVNLMGYDYTGYGQSSGKPSEQNTYADIEAAYRCLEQTYGVKEEDMILYGQSVGSGPTLDLASRLSRLRAVVLHSPILSGLRVMYPNIDKIPSVRCPVLGTDDDVVDYSHGKQLWELCVEKYEPLWIKGGNHCDLELYPEYIRHLKKFISAVEKSARLKILSGSLTDNDTIPRISIDCREKPRPSTDLQDHSRPSSDCQEKPRPSTSTDYREKSRSSVDRREKSKKNLEHDEKHDESSGVVQYQLFQADRSNGGRGIVKREGDSSEVKEIDRCLNGGTIVSNDAIFATRNCECI >KVH92610 pep supercontig:CcrdV1:scaffold_382:99343:103069:1 gene:Ccrd_005348 transcript:KVH92610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MQASELTDVHCLLPAYPNPNTTHLAMNLNNSSTIPFNVNPLSNPFYHLHMNPQFQDFNPQPMYFGSNSTSDEADEQQLSLINERKRRRMISNRESARRSRMRKQKHLDELWSQVIWLRNENHQLIDKLNNFTETHERAVEENNQLKEEVSGLRQMKFTKYKIGVYKK >KVH92603 pep supercontig:CcrdV1:scaffold_382:187639:192635:-1 gene:Ccrd_005356 transcript:KVH92603 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, conserved site-containing protein MVMANLLWKSPSLILPSEPTERSRFRFGKSYYTPPSSSFTLSPSRLVPYMHAVEPISALIKGNSGFVGNMNRIDCSGFNSFLFWDDDQNDNKLKCNWRKADAAADTEEDTQSKRTNIHRSKRKTGSKLPAKLYAIVVGASFCVVLGLCSRRLPTPHPSRCVDVPYSDLVGGIQEGSVTRVQFVENSRKIYFNTRTKSIGDQTVEAPRTVWLARRLVGPSKIFFPKWQYHTRNVEDDKYELLRLLKEKGIMYGSKRALLSEPMRNFLFIFFQVAPFWIMVLLTCYQLSVQHDLGKLTKRKPSKKQSIVLCMKGDKRYMKLGAKLPRGVLLSGPPGTGKTLLARALLTNMDGFEKEESVVVIAATNRPETLDSALMRPGRFSRKVRVDEPNESGGEFVTEDDILEAVNRARGEVHDYATPFSFRPTPEDRVQMGFGFSN >KVH92594 pep supercontig:CcrdV1:scaffold_382:255170:257362:1 gene:Ccrd_005364 transcript:KVH92594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKIRRKVERIVTKPFRKPSRRPSIKPPSPNPSPSPPPEPPLAAMYRRPTHPFMFPEAQSTVLPDPSTFFSPNLLSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSPSSLSVSYPSLFSTTAFTYQIFNADLTISAVDNSEPSQTHIISSFSDLSVTLDLPPSLRFYLVRGSPFLTCHVLQRVEKLSISTIHAILDFTPNSSKTKFKINLNNGQTWVLYSSSPIDLTHEISKISSATFSGIIRIAILPNSDSKLEPVLDQFSCCYPVSGEAVFTSPFCVEYKWEKKGWGDLLMLANPLHLQLLDHNSSTKVLDDFKYKSIDGDLVGVTGDSWVLKTDPVSVTWHSIKGIKEERYPEIIDAIVKDVDGLDSTSISTTSSYFFGKLVARAARLALIAEEIGYVDVIPKVQKYLRETIEPWLDGTFVGNGFLYDKSWGGIITEQGSKDSGADFGFGIYNDHHYHIGYFLYGIAVLAKIDPIWGRKYRPQAYALMADFMTSGRTKNSKYTRLRCFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLAYGDTQLVSTGSLLTAMEIHGAQQWWHVKEDDTYYPQDFTTENRMVGVLWANKRDSGLWFAPAEWKECRVGIQVLPLLPITEVLFSDMGFVRKVVEWSLPALEREGVGEGWKGFVYALEGVYKKEIAVEKIRSLKGFDDGNSLSNLLWWIFSRDDDGGEEEGYEGGGKHCWFRHYCH >KVH92602 pep supercontig:CcrdV1:scaffold_382:192783:194923:1 gene:Ccrd_005357 transcript:KVH92602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTMEVEKYRPEKEAGEVSGSNRQLHFSSTQSIRLQDFQCIYINKQHSQNRKMNNSQSANYQAGQAKGQAEEKSNQLMDQASNAAQSAKESMQQAGQQLQAKAQDAAEAVKDATGMKK >KVH92595 pep supercontig:CcrdV1:scaffold_382:261032:269983:1 gene:Ccrd_005365 transcript:KVH92595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0121 MGEEQDPQRLKKIAAAAYDYDNDSRWADYWSNVLIPPHMASRSDVITHFKHKFYQRYIDPDLVVEPMTTNSTSQSARSSTSSSSSGSEHRPPRNSAYRLSFMGTACSSLYSLYSLYGKPRAWNLQEVQVWLQSVIATKDFIYFIYCLTFVTSNLRLTFALLPIVYRSLEHSAKFTRRNFSRSSFYRKYLDEPCVWVESNATMLSILSSQAEIGIGFLLIISLLSSQRNIIQTFMYWQLLKLMYHAPVSAGYHQSVWSKIGRTVTPLIHRYAPFLNSPISAIQRWWFR >KVH92600 pep supercontig:CcrdV1:scaffold_382:196932:200864:1 gene:Ccrd_005359 transcript:KVH92600 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLLVRFLFNLRMGDTNENKDTHLQTGENDDDHKRKLWRKFRPKLWFSSIWKRYSLESKVNDFKTFLKINIVPASISVAVGVWYLFLKSKGSKCKVVPYSDLLKGLQDGSVTRVQFKENSRRIMYNSKPRGFESSEVLKKGKNGMFKFQEMLATLLTKLSKKHDVLPESHRSRIDNDEKKKELIVNQVPNRGWQFSTRYIDDDYRELLDLMKEKGTTYGLDPEPFLASTGRRVFSTLLSQAPSWAMLFLVANGLGGGGTIARKPSKNDTVTFDDIEGDSNYENLGAKLPRGILLVGPPGTGKTLLARAVAERAGVPFFITCASEFVEMFVGRGASRIRDLFKEAKKCAPSIIFIDELDAVGLKRGRGFNTEDKKVVVIAATNRPEMLDSALLRAGRFSRKVFVREPDEDGRKKILAIHFRGVPLEDDRDVIFDLVASMTPGLVGADLANIANEAALLAARRGGVCVSRNDVMEAVERAKQSFENRQQQYYDFNEQEAQEINLISSRLLAQSRFDF >KVH92596 pep supercontig:CcrdV1:scaffold_382:234920:243137:1 gene:Ccrd_005362 transcript:KVH92596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTAAELPYGKNNNNNHQQTTLLRSSSKTLILVTLTLIILTVVPLYYPLHKFPTTTGHASAGDTIAPVYHPQDEVITINDNHHKCDVFSGEWIRNPDAPYYDNMTCWAIHEHQNCQKYGRPDSDFMKWRWKPDGCDLPIFNPYQFLEIVRDKSLAFVGDSVGRNQMQSLICMLSRVEYPIDRSITKDENFKRWYYVSYNFTLATFWSPFLVKAQEAESDGPIPTRLFNLYLDEFDEKWXTQIDEFDHLIINAGHWFWRPALYYVNRQVVGCSNRQLDNITDYPMTFGYRKAIRTAFKAINSREKFKGVAILRTFAPMHFEGGAWNGGGDCVRKMPFKSNEITLEGVNLELYMTQMEEYRKAEKIGKKRGLKYRLLDTTQAMLLRPDGHPSRYGHWPNENVTLYNDCVHWCLPGPIDTWSDFLLHMLKMEGLRSAEEKSHSRAAELPSGKNNNNNQHRQTTLLRSSSKTLILVTLTLIILTVVPLCYPLHKFPTITGHASAGDTIAPVYHPQDEVITINDNHHKCDVFSGEWIRNPDAPYYDNMTCWAIHEHQNCQKYGRPDSDFMKWRWKPDGCDLPIFNPYQFLEIVRDKSLAFVGDSVGRNQMQSLICMLSRVEYPIDRSITKDENFKRWYYVSYNFTLATFWSPFLVKAQEAESDGPTHTGLFNLYLDEFDEKWTTQIDEFDHLIINANAGHWFWRPALYYVNRQVVGCSNRQLDNITHYPLTFGYRKAIRTVFKAINSREKFKGDDFTKAEKIGKKRGLKYRLLDTTQAMLLRPDGHPSRYGHWPNENVTLYKDCVHWCLPGPIDTWSDFLLHMLKMEGLRSAEERSHSRG >KVH92608 pep supercontig:CcrdV1:scaffold_382:141709:142083:-1 gene:Ccrd_005351 transcript:KVH92608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPTQNTTAAVSATAAATGFRWSSPIPYLFGGLALMLTLIACALIILVCSYKKPYSSSSSENSSENTTGDQEKQSVPEFRMELSPEMEPKIVIVMPGDINPTYLAKPTPPTATRAGADHLQQV >KVH92614 pep supercontig:CcrdV1:scaffold_382:36565:54670:1 gene:Ccrd_005346 transcript:KVH92614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRETWASKFESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSGARSETLSIINGMRYLKLGVHAKYSYFSFCNCFLVKAYSNIRSIPFAGFRQVKTEGGLNSSASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKGNWPPPGVEPALSLWYEAVARMRVAYPLVTLLLCLGDPVVFLNNFGPHMEQLYKHLRDKNNRFMALDCLHRVLRFYLSVHGNSQPPNRVWDYLDSVTAQLLTILRKGMLTQDVQHDKVVEFCVTIAEHNLDFSMNHVILELLKQDSPSEAKVIGLRALLAIAMSPTSQHVGLEILHAHDIGHYVPKVKAAIESILRSCNRAYSQALLTSSKTTIETIPYADAVTKEKSQGYLFRSVLKCIPYLIEEVGRTDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELLRFWRACLSDDNVENDALDAKHVQKKQGFKRSSFHPGEPIEYRASEIDAVGLIFLSSADSQIRHTALELLRCVRALRNDIRNLSLYERSDLLKDEAEPIFVIDVLEENGFFLVNVESQNFHVKQDDIVQSCYWDSGRPFDLRRESDVVPPDATLQSILFESPDKNRWARCLSELVKYGAVLCPQSVQEAKAHQFQEADSKLDQWLMYAMFACSCPPGREGASAATTRDLFHLIFPSLKSGSEAHVHAATMALGHSHLEVCEIMFSELASFMDEISLETDGKPKWKSQKLRREELRIRIANIYRTVAENIWPGMLGRKPVFRLHYLKYIDETTRLISSSPLENFQEMQPLRYSLACVLRSLAPEFVESKSEKFDPKTRKRLFDLLLSWCDDSGSTWSQDSASDYRREVERYKSSQHSRSKDSIDRISFDKEVTEQVEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRAPFGYSPVDPRTPSYTKYTGEGGRGATGRDRNRGGHLRCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDKIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNIRPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQGTIDHLVYQLAQRMLEDSLEPLRPTANKGEPNGNYVLEFSQSSAVAQIAAVLDNQPHMSPLLVRGSLDGPLRNTSGSLSWRTAAVGGRSASGPLTPMAPEMNVVPVTAGRSGQLIPALVNMSGPLMGVRSSTGSMRSRHVSRDSGDYLIETPNSEIDGLHPASGTHGVNAKELHSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTLVKIELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLSCRSHQIYRALRPRVTNDACVSLLWCLHRCLANPVPSVLGFVMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDKTTENVLLSSMPRDELDHSVADTDFQRLEPQNPGVTSPSSGKVPAFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGYPETRLLMHIIGLLPWLCLQLNHEPVVGQSSHQKACGVSTNLAIWCRARSLDELAAVFVAYSQGDIKTIHNLLACVSPLLCNEWLPKHSALAFGHLLKLLERGPVEYQRVILLMMKALLQHTSMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLSGTHNHDPSSYENGLGGTEDKVLFPQSSFKARSGPLQQAMGLGFGPGSMLAAQVSLSESGVSPRELALQNTRLILGRVLENCALGRRRDYRRLVPFVTTIGNP >KVH92593 pep supercontig:CcrdV1:scaffold_382:272725:276800:1 gene:Ccrd_005366 transcript:KVH92593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSPDLSKDGEDCSKIPSSSSPGGGNENINDHITTNQPIEADVGLDSVVTATTLQVSVEINDSTVIESKTSVLAENGAAVQDGSPVGNHKRNGSPASNREIDAVSGIKRPRITVDEQQASVHVIYNSLTRESKRKLEELLQKWSEWHTRHRSSARDSEVELESGEGTYFPALDVGLDKPSAVSFWLDGETRNLQSKEVIALDNNSIPLYDRGYSFGLTSADGSMNVNGGLEIVDGSRCFNCGSYNHALKECPKPRDNAAVNSARKQHKARRNQNSISRNPTRYYQDTPGGKFDGLRPGMLDPETRKLLGLGELDPPPWLNRMREIGYPPGYIDAEDEEQPSGIKIYGEAEEEEVVVKQEDTEDGEILDMDCSPPPGEPSRPEPPKKMSVQFPGVNAPIPENADEWRWGARAWKFDLPRNRSSNRFHNSTESPAISRSHYHEERWNRDYRDDGPPGVEPGSGPLGSSFSPRFSDYESRGSSYGRSVSERMKRSPLIRESGSSHDDERWNPYSGERKERHEERHHHHSRR >KVH92613 pep supercontig:CcrdV1:scaffold_382:29734:31527:-1 gene:Ccrd_005345 transcript:KVH92613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex-1, subunit 2 MAENTVTQEHDELTEALSDLFTDVSSMIKGDLQGTNNLLKLLENMNLKVADEYKGFGDVASGLRVFVERLKAKSENFDGYVQQIDAIEQQVTDLEVVISMLDKYVSLLESKVQSVYRIPSSPS >KVH92601 pep supercontig:CcrdV1:scaffold_382:195458:195743:1 gene:Ccrd_005358 transcript:KVH92601 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase MPQVYGICKILPDPQISEFYFDPRGYSMNGLAWNEHEKFSKRHKTS >KVH92615 pep supercontig:CcrdV1:scaffold_382:55689:59942:-1 gene:Ccrd_005347 transcript:KVH92615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MTIGSEKPVKEKRSRKSKQVVVVDEKSPLLPTKKGEDGGFDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLIPGIALIIFMAFLTNASIDLLLRFSRAGKSISYGGVMEDAFGRIGRMLLQVCVLVNNIGVLVVYMIIIGDVLSGTTSDGIHHAGVLQGWFGVHWWNGRFFVLLVTTLGVFAPLASLKRIDSLSYTSALSVGLAVVFLVITAGITIFKLVTGSITMPKLFPDIVDFTSAFNLFTVVPVLVTAYICHYNDNTQIKKVVRTSLILCSSVYVMTSIFGFLLFGDGTLDDVLANFDTNLGIPYSSLLNDAVRVSYAAHLMLVFPIVFFPLRLNLDGLLFPRKGALVLDNYRFSAITIGLIGVIFLGANFIPSIWDAFQDRYGISSKKDKILCVFMIVLAVFSNVVAVYSDALTLFKKNGATRE >KVH92604 pep supercontig:CcrdV1:scaffold_382:180301:182256:-1 gene:Ccrd_005355 transcript:KVH92604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRNQWRLLFQRCKPVSELHFKVQSSTTVPLSHHRTFYSRIPQSGAPQIRFQNPSFPRLFTSSSELAVEQNKVSDQSVITSALIELYSDPVKSNEDVMLELRSKKDLASDYVNELFNNRGSEPGAAKIFFDWVMSKEGDNLSSNSCNRMLGMLGANGLVKEFWDLVEIMKKKGYGVKKGASVRAMSKFEEEGMEGDVQKLKGLFALGSVDDSVEKASSRVCKVIRQVPWGDDVETKLQEMGVVFSGDLVKAVLENLGTEPNKAVIFFRWIEESGLYKHDEKTYNAIARELAREDYMDKFWRLIDEMRTAGYDLEKATYIRVLNQFVRKKMLKDAVDLYEFAMGGNIKPSVQDCPFLLRKIVTSNELDMDLFSKVLRIYKESGNTLTNTALDAVLKSLMSVGRYGECNKILRAMEEVGFLIGEKLQGKIAFQLSKDSKTEEASEFLDLMEASGTAPSYKTWGSLIEGYCLSGHLDKACDCFQKMIEKEGSSGAGYALEMLISAFCSKERAAEAYKLLSEVVIGKGVEPWHTTYKLLISKLLDNGLFKEATNLLPPMKNHGYPPFLDPFVKSVAKTGTTEDTLMFLKAMTVKRSPSTAVYMRVFKAYFKAGRHSQAQDLLSKCPRYIRSHVEVLNLFSSMKCVKENAASTPVAA >KVH92612 pep supercontig:CcrdV1:scaffold_382:16022:29046:1 gene:Ccrd_005344 transcript:KVH92612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTQSQTLLGLSLDQSHFPQITSKPTTVFRLDSSKQPKIMFRNISRRLSSRIPPTSSLNSHSFRCKFSSFWGYSGNAVRSEKLAFKPMDFDCSSSIKSHPERNLFEIGQILTTDGGIGRGNRIGFSFNFNELCAKGYASMAEAVASSSTDADEDVNGGDEVQKLLTEMWKQERRQATRGWWRQKRAKTGSSWNYLKLKRRQVKIETEAWEQAANEYKELLSDMCEKNLAPNLPYMKSLFLGWFEPLCKRIGEDQELCRKGKHKAAYAPYFDLLPADKMAVITMHKMMGLIMTGGENGCARVVSASCSVGEAIEQEIRIHKFLEKTKTKKAAKDAKTEEKVPDIDIKEQEGLHKKVTNLMKKQKLQVVSRIVKDHDDSKPWTQAVKAQPPADQSGDMPPDIRPAKDSFPLLQNSSALTYLTPNIGFLLNRRTGRRYGVIECDPSVRKGLERSCRHVVIPYMPMLIPPVKWAGYDKGAYLFLPSSIMRIHGAKQQREVLKRTPKENLQPALDTLGITKWRVNKRVLAVVDRIWSDGGCLADFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPIGSSGLQWLKIHLANLFAGGVDKLPLDGRIAFTESHLHDIFDSADRPLDGDRWWLNAEDPFQCLADGSCNGLQHYAALGRDKLGASAVNLVKGERPADVYSGIAARKLVKQTVMTSVYGVTYIGARDQIKKRLQERSNIADDAELFENEPVRWTTPLGLPVVQPYRMYGRHLVSTSLQVLTLQRETEKVMVRRQKTAFPPNFVHSLDGSHMMMTAIACKRAGLNFAGVHDSYWTHACNVDEMNKILREKFLLESFQKSFPTLSFPALPDRGEFDLSDVLQSSYFFN >KVH83941 pep supercontig:CcrdV1:scaffold_3822:9270:13250:1 gene:Ccrd_025462 transcript:KVH83941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYMSIQHMQTRCLMTCLNTTRLSSISIQANIEDKVNYGDSMFMDTPRGRGLQFPFSICDRDIIKGNKRTPEHFVGFEAVITTQCMNGCIYSVAWIRIEHSFLTR >KVI03064 pep supercontig:CcrdV1:scaffold_3824:30786:31464:1 gene:Ccrd_018642 transcript:KVI03064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFFFIELHMNVHFLDRK >KVI01619 pep supercontig:CcrdV1:scaffold_3825:36831:46444:1 gene:Ccrd_020106 transcript:KVI01619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MDQQEHGQGSSGQMPYGVAPYPPNQIQTPQSVGLPSPPTQLAQQQLAYQHIHQQQQQQLHQQLQNFWANQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASTIPRGGPLPVGPPTEGLPYYYMPPPQVGGSGMYMGKPVDPQALYGQQPNPYMAQPLWPQQQEQEPQGDA >KVI06347 pep supercontig:CcrdV1:scaffold_3826:22036:22515:1 gene:Ccrd_015307 transcript:KVI06347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQLSPADAESNQHSSEILFRNPTYCFCIPYRWRRVPSSEEEEVEIRPNGNLWSRGIAAFKKIREWSEIVAGPRWKTFIRRFKHSKTFGRQSSKFQYDPLSYALNFDQGPLQNADPETENEYLFRNFSSRYVLQPSTIPITGKTSVDSRRDEIGPSFV >KVI06345 pep supercontig:CcrdV1:scaffold_3826:26397:30424:-1 gene:Ccrd_015308 transcript:KVI06345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAVEERATATVRVSNIPRSVTAQDLLDFLESHTGKSTVFACEISSDHKNWKSRGFGRIQFETLESKSKAISLSRQGLLCFKGFNLSLSHSLDDVIFRPVQPHNRVEHGFLRTGLLLKRDCMSVLESWEDVKAWVLPERKSLEFWVKHFGDCYRLELQFSDVLEAFPCSLHSQETNAVLLKLKHAPKLYQKLSGSNVSPRFTPDRYHICKEDFEFTWVRTTDFSSTKSIGQSCTLCLEFKEGCSGLDSFTSLPVYIKDLIDLSVKDGQEFHSSSDVVPLVRCPPELDLPYEILFQLNSLIQTQKLSLPSVDRDLIEFIISQNSDSVMTVLKRMHKSHSTCYDPITYIKDKLDIQSKTVKITSSIQSKSASQNKIMSIHRVYITPSKIYCLGPELEASNYIVKHYSAYSSDFLRVTFVEEDWGKLQPTVISTSLQQGIFSKPQKTKVYDRALDVMRNGICIGSKKFEFLAFSASQLRSNSVWMFASNDHVTAESIRNWMGCFRSIRSVSKCAARMGQLFSTSKQTIEVLPHHVEIIPDIEVKTDGVDYCFSDGIGKISLSFAKEVASKYGLKHTPSAFQIRYGGYKGVIAVDRNSFKKLSLRKSMLKFESKNRMLNVTKHSESQSCYLNREIVTLMSTLGVEDDAFLALQDVQLRVLNAMLYSREDALTVLDSLGSYDVKDILVKMLLQGYEPNREPYLSMMLLSHHDNLLVDLRTRCRVFVPKGRILVGCLDESGVLDYGQVYVRITLTKSELRSREHHYFRTMDETTSLVMGKVVVTRNPCLHPGDVRVLEAVYEIALDEKDYKDCIVWDESLVPPRTVTPMDYTGRRPRLMDHDVTLEKALSAKCLQLATLHSMAVDFAKTGAPAEMPRGLRPREYPDFMGRWDKPMYISQGPLGKLYRATKESELLKISASAYTSKAIQDAYDQDLEVDGFENFIEIAQTHKEMYLDSLTSLMNYYEAETEDEILTGNIRNKSSYLQRDNRRYGETKDRILVAVRQLHREAKGWFEGGCKAEDHHKLASAWYHVTYRADYSSGSVKCLGFPWIVGHLLLEIKSINSRNMSM >KVI06344 pep supercontig:CcrdV1:scaffold_3826:31204:33853:-1 gene:Ccrd_015309 transcript:KVI06344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGRIRSLFWGGFVFFLLFSVGKTEKEDVIDALVTFMENLDPKDMQNTTNWGWNRSSDPCTTKWQGVTCDTGNQTVQKIVLEQLNLSGTLDFESVCEETSLLSLSLKYNNLSGSLPPEISNCKRLRHLYLSGNRFSGNLPDSLTDLANLKRIDISNNEFSGKLPDMSRITGLLSFFAQNNHFTGQLPNFNYRQLEDFDVSNNGFSGRIPDDTGGFGAKSFAGNPQLCGKELPNACPKKKKKNLNDFLIFSGYAILGLIVLVLIALLFLKKKERLEDAKIGSSKKGVKNTDDSGHSSESKNGVTRSEFSITSAENGGVSASLVVLSSPLEAVNGLRFEDLLRAPAELIGRGKHGSLYKVIPNGGIALVVKRIKDWEISRDEFKKRMQRIDQVKHPKVLPVVAYYCSKQEKLLVYEFQQNGSLLALLHGSQNGQTFDWGSRLNIACSIAEALAFMHAELQDDQLAHGNLKSSNILLTKDMEACMSEYGLMVVDNGCGSHSQKNNSVFNADVYAFGVILLELLTGKTVQNNGLDLVKWVNSVVKEEWTGEVVDKALVVEGASEERMVGLLQIGLKCINGSPPIGQVATMIVSLKEEEERSMASSGP >KVI06348 pep supercontig:CcrdV1:scaffold_3826:4262:6993:1 gene:Ccrd_015306 transcript:KVI06348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MCGPGPGIPPVGFRRIRRGGKNLGKGGAKRHQKVLRDNIQGITKPAIRRLARRGGVKHISSLIYEETRGVLKILLENVIRDVVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVI06349 pep supercontig:CcrdV1:scaffold_3826:50709:53891:-1 gene:Ccrd_015311 transcript:KVI06349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol-disulfide oxidoreductase DCC MREHDYMSISFAICRGYLFYTLIMLRLSMVTGYRFSVRAIQEATTDPITPMKADNGGRGQSPPEWKVKMLYDGDCPLCMREVNMLKERNKKYNSINFVDISSDDYSPEDNQGLDYKTAMGTIHAIMSDGTVVTSVEAFRKLYEAVDLGWVYAITKYEPVATIADAVYGVWAKYRLPITGRPPLVEVLEARKKKDETCNDSKSCRI >KVI06346 pep supercontig:CcrdV1:scaffold_3826:735:4353:-1 gene:Ccrd_015305 transcript:KVI06346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHMMYALGTGQALTSVSNGRFSPHHCIIYALNAFFGPDIGSFAEWLTSTVGLGGVLGSSVEKFIHDPLCYVLILGVPLSLLYTRASRFFVSKGYLDSVSGVSLLGLRFFAVALTVKQCFLLITAGSLSHFFLDHLFEENGHSTMYTWILSTGWWKGRAPVNPDAVAVIGLLCTCLIVGFIRINRIKPVKSIRKQSINSAMLLLVIASLYCLWCTSQIYLVNPRRPAVGEEADLGVIVFLAVYFFFPHSLCIMSMNPPERLPL >KVH93036 pep supercontig:CcrdV1:scaffold_3828:6424:16518:1 gene:Ccrd_004920 transcript:KVH93036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter METSQPSSKAEPETYDVDDEPKDTYQIAYIIHFLLGAGYLVPWNAFITAVDYFNYXYPTXHINKVFSVGYMSAAVTVLVTLLCWSKSSRIKLPSVRTRMNLGQGLFILALMVAPVTDWIDHGNQTTAGSNVAFVVLVSMVMISGLADGLVGGSLVGATGELPGRLFSPAMPPPIYFVFSSLIVLLCVICTNVLDKLPVIRCYRNRKLHEPPTTHKSIETNNFWEVVKRIRWLVIAVFTVYAISLSIFPGYLSENVESTYFKDWYPIFLITTFNVGDFLGKCLTAIYVPNGTNGTIWCSMGRVVFYPLFLGCVRGPKWMRSEVPVIGLTMVLGVSNGYLTSVLMILAPKSVAIEESETVGIAMETFLVVGLVLPPPAKMETVRPLKPQPETSDVEDDDPKDTYHIAYIIHFFLGAGYLIPWNAFITAVDYFNYIYPTTHINKVFSVGYMSAAVTGLFILALMVAPVTDWIDHGNQTTAGSNVAFLVLVSMVMISGLADGLMVSILRIITKASLPRTQKGLRTSTQIYFVFSSLIVLLCVVCTNVLDKLPVIRFYRNRKHVDDPKTQLHKSIETNNFWEVVKKIRWLVTSVFLIYLVTLSIFPGYLSENVESTYFKDWYPIVLITTFNVGDFLGKCLTAIHVPRGTYGAIWCSMGRVVFYPLFLGCIRGPKWMHTEVPVIGLTLMLGVSNGYLTSVLMILAPKSVAIEESEIVGIAMQTFLVVGLVV >KVH93035 pep supercontig:CcrdV1:scaffold_3828:31792:52764:-1 gene:Ccrd_004921 transcript:KVH93035 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MKGGGVIVEERFVYEKETHLTVMKTSLFFAGDGFTVYDTNAQIVFRVDSYGPDAHDAGELVLMDPSGRCVLTVRRKGSVNGDDKLIDQQRPSLHQRWEGFLGERKEGEKPIFSAKRSSMIGRSNVTVEVFGGRTEEYVIEGSFGHRNCTIYDSEKETMAEMRRKVDSSTNMKLGKDVFWLTLKAGFDAAFAMGLVLVLDQTNDDNGGDGDRPSLHQRWEGFLGERIEGENSKPIFSVRRSSIIGRSNMTVELFGDRTEEYLIEGCFGSRNCTIYDSGKERMAEIRRKVDATTNVMLGKDVFSLILKAGFDGAFAMGLVLVLDQINGDDEEDPATEEDCNLSS >KVI11273 pep supercontig:CcrdV1:scaffold_3829:51428:53229:-1 gene:Ccrd_010318 transcript:KVI11273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MEIHPPATPPATPPPPPTPRPPQLSPPRPSKPKLRSRIKSYIATTRVGIKFKLTERNTTFTTELRAGTATFLTMAYILALNANILTDSGGTCTVANCTSSTPICLDPLSCTHMLPADSCKFPQVDPGYVACLTQTRKDLISATTATSFIACLSMGLFTNLPLALAPGMGKNAYFTYMVVGFHGSGSLSYQEALTAVFISGLIFLVISAIGVRAKLAKLVPKPVRISSSAGIGLFLAFIGLQHNQGIGLIGYSPSTLVTLGGCPRSSRTALTPVFTNGTVALMPNATASDDIFFLHNRMEDPTLWLGIVGFVIIAYCLVKNVKGAMIYGIIFVTSTSVTTFPNTELGNSAYEYFRKLIDVHTIKTTVGALNFKGLDKKVFWEALFTFLYIDILDATGTLYSMARFAGMMDSNGDFEGQYFTFMADAASIMIGSLLGTSPVTTFIESSTGIKEGGRTGLTAITVTGYFFLAFFFTPLLASIPPWAVGPPLILVGVLMMKSVMEIEWDDMKQAIPAFVTMILMPSTYSVAYGIIGGIGTYITLNLSDWVMIVMKKYGIKDKIKGKSSNISQGSNLGGSTNTSMGTEKYVNFRIQDSV >KVH91420 pep supercontig:CcrdV1:scaffold_383:6941:19979:-1 gene:Ccrd_006554 transcript:KVH91420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MSKMKHLLRKLHIGGGFNDHNHRLAATDTPSQPPATTQFHSSSSPSPLPTVDSLAVVDNNDSSAVDFNFFEEEFQMQLALAISVSSGSAEAREPDAETAQIKAAKQRSLGCSPSESLIEFLSLRYWSNNVVNYDEKVMDGFYDVYGITSNSVTQGKMPSLVDLQAKTVYDNVDFEVILVDRTIDKELQLLEERVSNISLECHASGTSQIISRVIQRIANIVVAQMGGPVSDADEMLRRWTTRSYELRNTHKTIILPLGCIDCGLSRHRALLFKVLADKINLACSLVKGSYYTGTDDGAVNLIKIDNGSEYIIDLMGAPGTLIPVEVPCCNLQSIELDIRRDAAGSDSFGYTLPLLDISTDPILVTPELDGFAKAGTSDLTVTSIIGSQLNSRGGNAVERNQTERFESDFGKLLPALGRSHEGLSGGGGRTSPAQKLQLNDVSKCVINAAKNPEFAQKLHAVLSKSGGTSSDSLFDVNNDEVGETEVCKTVHLLDTDMLNVGAQHGPPLVMSNYEQDLISFTAAEVNNVDDNSQLGVNWLPAKQQQLMSNKNNLGYTLPSQSTSAGFVHGHGSTGEDAMNDHAVVNSIERQYGDGVAGGGPCLINEARADNTRKGKHSDMKLVETSRIGHYTSCSNQREGISLDEVEWEIPWEDLQIGGRIGIGSYGEVYRSEWNGTEVAVKKFMNQDISGDALTQFKGEVEIMLRLRHPNVVLFMGAVTHPPNLSILTEFLPRGSLFKLLHRSNVQLDEKRRMRMALDVAKGMNYLHTSNPIIVHRDLKTPNLLVDKNWVVKVCDFGMSRMKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRIPWTEMNSMQVVGAVGFQFRHLDIPEHLDPVVARIISDCWHPEPQLRPSFKEIIARLKSLGHLSTDRIKTRTSE >KVH91423 pep supercontig:CcrdV1:scaffold_383:59756:67483:-1 gene:Ccrd_006555 transcript:KVH91423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein MERARRLANKAILRRLVSQTKQNSPSPALYSSSRYVSSLSPYLSCDGNVRSQRNIHGFGSQSRSISIEALKPSDTFPRRHNSATPEEQTKMSEFVGFPNLDSLIDATVPKSIRLNSMKFPKFDEGLTESQMIAHMQDLASKNSVYKSFIGMGYYNTSVPPVILRNIMENPGWYTQYTPYQAEIAQGRLESLLNFQTMVTDLTGLPMSNASLLDEGTAAAEAMAMCNNIQKGKKKTFIIASNCHPQTIDICKTRADGFDLKVVTADLKDFDYSSGDVCGVLVQYPGTEGELLDYGEFVKNAHANGVKVVMASDLLALTVLKPPGEFGVDIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMFGVYHGPEGLKTIAQRTHGLAATFAAGLKKLGTVDVQDLPFFDTVKITCADSNVIAQEAYKNKMNLRILDKNTITVSFDETTTIEDVDTLFKVFALGKPVPFTAATLAPEVQDVIPSGLVRETPYLTHPIFNSFHTEHDLLRYISKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPAFADMHPFAPTEQAEGYQEMFKNLGDMLCTVTGFDSFSLQPNAGAAGEYAGLMVIRAYHMARGDHHRNVCIIPVSAHGTNPASAAMCGMKIITVGTDSKGNINIEEVRKAAEANKESLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVSTGGLPAPETAQPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLESHYPILFRGVNGTVAHEFIVDLRPLKTTAGIEPEDVAKRLIDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIRQEIAEIEKGKGAPHPPQLLMADKWTKPYSREYAAYPAPWLRAAKFWPTTCRVDNVYGDRNLICTLQPAHEEEEKAAATA >KVH91422 pep supercontig:CcrdV1:scaffold_383:225425:248754:1 gene:Ccrd_006557 transcript:KVH91422 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MPSRWKWNKTTNMGVSGKWIRSLLGIKKPEKSQPSEKDENFQATNTRKFRHRRKNSVEITDNIFQNKLNQNPADLVEDGHSSSVPAVIDSPSVSLQMQDSSQNKLRPREEQAAIRIQTAFRGILVPKLNHYALFLLFEDEEEEGGDGDDDINERVDVLNDVDSDAEPARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALEGQSEQQQLQHEAQVREIEEGWCDSVGSVEEIQAKLIKRQEAAAKRERAMAYALSHQEEDSVPVIMIFRKVRGQQYQGDVGAYRCCLIAVVIETQQQEESSNGNASYVTESKKVCKNGSVRSLNSVPLAPIAYMKTEWQAGSRQQTSPSGFGPDKTNWGWNWLERWMAVRPWENRFLDINTRDGLKIQENGSAKQEQEQEPNIRNQLKSAGKKSIASNLQLEFPNEKMGQSHSDGSGSDPIKSTSMQEAPATVCIDPTSNAFLADSVGELRLRPGVGSRSHSNPRERSSILGKTGQGVGSQMARQPDEEECQLRTFYCNNLSVPSQPLPISCRSELPASGQHNRPNYPHGDSSELQKLAVIASIQQQKTIGETGMIIAVTVCFLFLGRHHLRQRELRAARVERAHRYSR >KVH91421 pep supercontig:CcrdV1:scaffold_383:134960:135802:-1 gene:Ccrd_006556 transcript:KVH91421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MTDTVYPSSKPNGTSSAAVDAPTTQKPQPPPANKSQLYNPNLNRHPYRPNPNIYHRNHRRSYFCLCCFWSILIIILLLLLATIAGCILYLLYRPHRPTFSITSLKISQFNLTTTADDTTHLTSRLNLTLSTKNPNKKVVFYYDPIAITCLSDETQIANGSFPDSFASNPNNITVIRSSLYSNSLLLETTTVNQIRSDLKKKSGLPLKILLDTEARVKIESIRSKKVGIRIECEGIHSLIPKGGGGGKSRNSSASSVTATVSDAKCKVDLRIKIWKWTFSS >KVI10347 pep supercontig:CcrdV1:scaffold_3831:17942:36844:-1 gene:Ccrd_011258 transcript:KVI10347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MANQLYGSSSYGYGAGSNSSSIYTSRSIADQYVPADPTYLSSSRFFGSDPLSSSSTIYSYSSISDRGPSMLYNHKDAIGSARMAGGGGSTLSTLWPGPPGVDVGVSATSVDPLYAGYKRSSSEAIYHQSLLATHNTIGQSEAWYSTNPLVKRPRFESTSSLPVYPQRPGEKDCAHYMLTRTCKFGDSCRFDHPIWVPQGGIPDWKEVPVNDSSESLPERPEAPDCPYFLKTQRCRFGPKCRFNHPKDKLALSALENGDGSALPERPSEPPCVFYMKTGQCKFGSTCKFHHPKDILITAGVENGDGGQMDALTGGTNGNFHFAPAMLHNSKGLPIRPGEVDCPFYLKTGSCKYGATCRYNHPERYAFNPPGAMLATPAGHMNIGIVSPAPSVLKTVDPRIAQTTHYMKTGECMFGERCKYHHPVDRTAHALSAEVLLHNVKLTLAGLPRREGAINCPYYMKTGACKYGATCKFDHPPPGEVMAGATSQGASGEEGKDA >KVI10346 pep supercontig:CcrdV1:scaffold_3831:40728:54199:1 gene:Ccrd_011259 transcript:KVI10346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIFSSDPHPEHLWNPKSKEAHLISERFMIGEMEFKVRDYKAEEAVHSLTRVPVSSHPLCSSPSSLSLHTQDHGSIEFYDPLRGLSMEILEPVEDMAVAEKRPASQPTSHISAKEWASYKKLLMQRFPVPKMISVSTLSSKTIRGSKAVGKPPVNMQSDELNDSQKLNEEGFKIVGQQEYIARLHELKDEIMRSWHSDDRVTSLKLSIKVAMLLMDTSVAQFYPSLFVLATDIMDMLGDMVWERIKQKAEFADDGTMICSLPDDFDSNNICLEAKETCSNWFRKIASIHELLPRIYLELAIFPCWRFLHDNVGDSLMRLVMMIRGIADPLASAYCRFFLLHCAQKLPGRSTAHLITCVNDLKVVLMRIVSMKETTFGNFLGDRRLLISLMEPTIEYTTRCVFKDPNQVADMIVRLGLGKNPLEFYGKIPWISVILHHLLRELPTEVVCSNAVEILHLVECSDDYSFDQCLNYKMLGLKLCEGISQVKDVDPVINEVIQVATERKSLDEYLKILDAYMDIILQHKMDLYLNSILSEIFERLCNEVVTEAELASLQSIALKLITHFDDMKYVFELSLHDSVNFPSTRQDDNHQGERLIARFVDKVDHGKELDRHLTFLIECRGAFNNMNDLKEILVHSSNLLAARALREKTDHINFIKSCITFNEVTIPCIPSYSRQLILYLETAEVSLFGGLISYSDGLVDSAIGCLQNVYLVDGLRKSNEDADGIVSLMQRLCSFMLLVP >KVI10345 pep supercontig:CcrdV1:scaffold_3831:227:5270:1 gene:Ccrd_011256 transcript:KVI10345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQNWTFSSSRMLQSTNQNNRIDYDSTRRYSRRRNLKASLRVGTDVIVEIAHNKALIAAAVCGAVGQLVKPFTASILYRRDFDPKAAIQAGGFPSTHSSAAVATAMSVGLERGFSDSIFGLAVVYAALTMYDAQGVRREVGVHARTLNKVLNTYSCDASGLIESETGKLEETSSSSLRPELHKDTILVMVSNKKREKGSKPISGSLKESIGHTEIEVAAGALLGLIGSLAVYSL >KVI10344 pep supercontig:CcrdV1:scaffold_3831:6521:17226:-1 gene:Ccrd_011257 transcript:KVI10344 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MRDLNALSNGVNLTPIGCNNNRILFNTFTIRRCIPFESRNSTSFVLSSVSTLKLHDMKRRRKTTVPHSSSPTTSPANPSVTPPPPPPPPQSTKTDENVPRKGPDLPTLFRRFYKVAAPYWYSDDKVQARIQLAAVFALTLGTTGISVGFNFLGRDFYNALANQEQFTTQLTYYLAAFAGGIPIFVFRDYARETLALRWRSWMTQYYMERYLKNQTFYQIQSQSIIDNPDQRIVDDLSSFTGTSLSFSLTLFNAMVDLISFSNILFGIYPPLFVVLLVYSIGGTAISIFLGKGLINLNFMQEKMEADFRYGLVRVRENAESIAFYGGEENEIKLLLQRFRSAFGNLTQLLISSRNLEFFTSGYRYLIQILPAAVVAPMYFSGKIEFGIINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDILDYTSSNGPVDSLDEIELTYRNVKDSTFFKSLRDLDTQNLLVIESLTVQTPTNKALLIRDLSVEVRENEHLLVTGPSGSGKTSLLRAIAGLWRTGKGMITFYAKYNEVFEQSERPDVAPQEETMTDEKKKDNKRSKYRDFKGVFFLPQRPYMVLGTLRQQLLYPTWPDVPDSTPQAQSQNSKSTDSMPFLEAGSSSEQISAQSPQPTTDDLIQALEDVQLGYLLSRFSGLDTTNEWSSVLSLGEQQRLAFARLLLSRPDLVLLDESTSALDEANEAHLYEKIDAAGITYISIGHRTSLRKFHKKTLRISPLAVDSDQPNWSIEPL >KVH83927 pep supercontig:CcrdV1:scaffold_3834:12847:20706:1 gene:Ccrd_025463 transcript:KVH83927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGCSRAPIIKAGIKQDVIKLGEVLKIKDKDSCLRIGNTIESRAPIIKARIKQDIINLDEVLKIKG >KVH83924 pep supercontig:CcrdV1:scaffold_3836:16836:27942:1 gene:Ccrd_025464 transcript:KVH83924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MSISSLGMMVLLWWLVVAAEADDVKYKDPNQPVAVRVTDLLGRMTMEEKIGQMVQIERVSATPDIMRHYFIGSLLSGGGSVPNPQATVVDWVNMVNEFQNGSLSSRLGIPMIYGIDAVHGHNNVINATIFPHNIGLGATRQVKVDTDLVKRIGAATAAEVRATGIPYAFAPCIAVRIWKKMPIASTVCRDPRWGRCYESYSEDTKLVQSMTEVILGLQGEIPKGSRLGVPYVAGRDKVAGCAKHFVGDGGTTNGIDENNTVINQHDLLSIHMPPYYDSIIKGVSTVMVSYSSWNGERMHANADLITGYLKDKLNFKVMVPNNYVEFINAITYLVKNKFILMDRIDDAVSRILRVKFTLGLFENPLADFSLVNEVGSQAHRDIAREAVRKSLVLLKNGKRADEAMLPLPKMASKVLVAGSHADNLGYQCGGWTIGWQGFSGNENTTGTTILNGIRSAADPRTEISYVEDPDSEYLESNNFSYAIVIVGEHPYTEMFGDSSNLTIADPGPSVITNVCGKLKCVVVIISGRPVVIEPYMSMIDALVAAWLPGSEGQGVADVLFGDHEFTGKLSRTWFRSVDQLPMNIGDPHYDPLFPFGFGLSTKSVMQRSISGGMTRRPFLLGILVSMFIGLSLSHRCLTVLVST >KVI03286 pep supercontig:CcrdV1:scaffold_3838:52451:53770:1 gene:Ccrd_018419 transcript:KVI03286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MDGTGVVAVYGNGAITESRKSPYSVKVGLAQMLRGGVIMDVVNEEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTVADDANHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHARSVMGDIRRLANMDDDEVFGAAKNMGAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPPRRARAIVQAVTHYTDPKVLAEVSCGLGEAMVGLNLDKNVERYSTRSE >KVI03284 pep supercontig:CcrdV1:scaffold_3838:26756:27034:1 gene:Ccrd_018417 transcript:KVI03284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme Q biosynthesis Coq4 MGSRNFSPDDRPPVRFMETEELAYVAMRARKVHDLWHTLFGLPTNLIGESTLKVIEFEQMLLPMWFLSVIGGTTSNNRCFTSITFLGQFRQV >KVI03285 pep supercontig:CcrdV1:scaffold_3838:32776:37567:-1 gene:Ccrd_018418 transcript:KVI03285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme Q biosynthesis Coq4 MVLDSTIVGTPPEQISSTMFLPDSISMVLDWTIVGTPPGQIYSAMELDFWHTSTMVEGARVRLRGWQQAAVALGSAVGALVDPRRADLIAALGETTGKPAFERVLERMKRNPEGRFMGSRNFSPDDRPPVRFMETEELAYVAMRARXVHDXWHTLFGLPTNLIGESALKVIEFEQMLLPMCFLSVIGGTXRFNDKQRSLFYRHYFPWAIRAGMKSTDLMCVYYEKHFHEDLEDVRRRWGILPAPSI >KVH96788 pep supercontig:CcrdV1:scaffold_384:179514:180875:1 gene:Ccrd_001122 transcript:KVH96788 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF641, plant MDSRTTIKPTSASRSKFSKTFHKVLPFKKSTKSFSNNGFCLLLPHENKFKNYDSGSDSHRLFNKHSVDDAHLRNRAAMEAFVAKLFATISSLKAAYAELQAAQFPYSGEAVQCADQAVVDELKAISELKRSFLKKQIDSSPPHVTLLLSEIQEQQSLMKMYEITMNKMEREIKSKDFQLSSLRKQLVEADSTNISIETKLNSSGCFPILDNVNLSDLTPTNFIAVLHYALRSIRNFVKLLVRDMGNAHWDIDAAVKAIEPNVVFSKLSYRCFAIESYVAREMFVGFNDDDDEEEVEDRFQSFNHFKKMKSLTTTQLLKENPRSAFGKFTRAKYMRLVHPKMEASLYGNLSQRKTLNTWQFPDTAFFGAFTEMARRVWVLRCLASAFDKEVSVFEVRKGCRFSEVYMEAVTDEAFSGGQSGDELRVAFTVVPGFKIGETVVQSHVYVTAAVARG >KVH96791 pep supercontig:CcrdV1:scaffold_384:81088:83128:1 gene:Ccrd_001118 transcript:KVH96791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MNDAQTSSSSLPPFISKTYEMVDDPSTDSIVSWSQSNKSFIVWNPPEFSGELLPRFFKHNNFSSFIRQLNTYGFRKIDPELWEFANEDFIRGQPHLLKNIHRRKPVHSHSIQNLSSNGAYSNSSSPLTESEKLRYREKIDELHYEKELLSIEFHRHQQDQDRIKLEARALTDRLKHTGKVQKDILCSLDEILQKPALDLKFETQFAETNDRKRRVSGETNNDQVCPFDLPIRETLTAESLLALDTELVEHLESSLTLWEGILKEVDEAFEQQKWRLELDDEAACCADSPEICYSPMNIEIGGGEIDMNSGATEEEKDGNVVGVNDVFWEQFLTENPGGSNVVEGDSKGLDEYGKFWWKMKSVNNLADQLGQLTPAERT >KVH96785 pep supercontig:CcrdV1:scaffold_384:231171:234671:-1 gene:Ccrd_001124 transcript:KVH96785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MISRSYANLLDLANGNFPAMEEPRRKMFSRTMTVPGVLSELDDDQARSVSSEAPSTVLADRLIVVANQLPIKATRRIDDKGESSWNFCWDENSLYKHIKDGLPEEMEVIYVGSLRADVDPSEQDEVSQILLERFKCVPAFLPAAVLDKYYHGFCKQHLWPLFHYRLPFSATHGGRFDRSLWEAYVAANKIFSQKVIEVINPDDDYVWIHDYHLMVLPTFLRRHFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNADLLGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPSGIHMGQMESVLRQSDKQSRVRALAQQFEGKTVLLGVDDLDIFKGVNLKVLAMEQMLKSHRSWLGRAVLVQILNPARGKGRQVEEIEAEIRTSSERINQELGSPGYTPIVLVDTPLSLSEKAAYYTISEAAVVTAIRDGMNLTPYEYVVCRQGISGTDISNSPQKSMLVVSEFVGCSPSLSGAIRVNPWNVDATAEAMYEAISTPEVEKQMRHEKHYRYVSSHDVAYWSRSFFQDLERNCADHFRRRCWGIGLSFGFRVVALDPMFRKLTMDAIMDAYGRAQSRAILLDYDGTVMPQSSIDTSPSEEVISIINRLCNDPKNMVFVISGRGKESLGKWFAPCEKLGLAAEHGYFMRWPADKEWETCAQNTNFTWMQMAEPVMRLYTEATDGSYIERKESALVWHHQDADPSFGSAQAKEMLDHLESVLANEPVVVKSGQYIVEVKPQGVTKGLVAEKIFLSMFRNERRADFVLCVGDDRSDEDMFVMIGDAIKAGVILNNKSVFACTVGQKPSKAEYYLDDTVEVINMLENLGDVSDSEEEDDPGSSSS >KVH96793 pep supercontig:CcrdV1:scaffold_384:34977:38989:1 gene:Ccrd_001114 transcript:KVH96793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEDSNSTINESDAESYVDAWENMESDHSLCISNLSSISNLNDINTSSIQSKETPESTSSSSDADLCDRQLVSRKSLNEFSPSHSYKNDTDRLVDFDSHGFTMSAGDDCQISWKNEESKSKLSSTRIHASSGSVRELLNEETNGNSAITSVNDDERINDGSITADSPVSGSNFIFALAGFVIKVIGFQLNLLVSSITLPIWLVYFSYMFVTDPFRIMRRAKYYVVGNISRICGVCYGCVKWTMYMWIRKHESTWKLCLQIGWGLLWSVYVGFILISLLVFAFVISGIILKCIMDEPIKITEQLNFDYTKESPTAFVPLMSCPEPSFLEHSEPICPGCTAESRVLPLDHRVHVTVSLSLPESDYNRNLGVFQVRVDFLSGNGKRLASTRQPCMLHFKSQPIRLLLTFLNLAPLITGYSSESQTLNIKFRGYTETSVPTSCLKVILEQRAEFTRGRGVPEIYTAFLKLESQPPFLKRILWSWKGTIYVWVSVMIFGVELLFTLVCCTPIILPWIQPRGISSNNIVARSTGVGPK >KVH96794 pep supercontig:CcrdV1:scaffold_384:57873:61966:-1 gene:Ccrd_001117 transcript:KVH96794 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MTSGSRLPTWKERENNKRRERRRRAIAAKIFAGLRVYGNYKLPKHCDNNEVLKALCNEAGWIVEEDGTTYRKGCKPVEVVRMESMGGSATGSPCSSTYQPSPNGSYNFSPTSSSIPSSSTSSLYASNIIPDPNSLIPWLKNLSSSGSSSSKFPHHLYMGGSSISAPVTPPLSSPTCGTPRLMDDQISKGQHYPFLPTSYCCSTPHSPGLQTPAADSGWVTPQEGASSPTFSLQVASLGLKPCSPADQKQTADVAMSDACHEFAFGGSSSMNMKGLVKAWEGERIHEECISDDLELTLGNPTTR >KVH96789 pep supercontig:CcrdV1:scaffold_384:194974:196128:-1 gene:Ccrd_001123 transcript:KVH96789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAIRESWIPAYFKDYPMSGLMKTTSRSESINSFFNVYTKYWNDLVYFLNTFDDAIEIQRKEHCSLEVASRTTIPKLLSPSKIEAQAAKVYTKTMFFEVQKEMNKAIWYCGVVEVIEVGDKRIYSITHKNKNSEVKATYKLQPFCSQWYIFCRHAFMVMLNSEVQSIPEKYILPRWRRELVPIELLSARVRYGEMDVEKQALINQAISMFDLIIGRVRNDKGALTEFVERLERLGDEISMDIPILTGTEQKRNDIQEFLCVSEPESVDVLPPTEIRNKGCGTGKRLVGMSERISMNAKKPKRLCRTCDKMGWHDSRNCPSQGDSTK >KVH96790 pep supercontig:CcrdV1:scaffold_384:97677:99827:-1 gene:Ccrd_001119 transcript:KVH96790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MASAAMFSSLRRSRSPTLEAFLAPVDLENDVGVLLNTLTAVSSDLISSFSGKPLPFQKKNSKSLLRKIELFAVLLDSFQDSTSNLPSTAVLCFKELYLLLYKSKILLHYCSQSSKLWLLLQNHSVSGHFHELNREIFTLLDVLPWTQLDILNNNVKEHLDLLQKQSISAKLFIDKRDDELRLKFFEMLNEIGNGCIPSAQEFHDFFVKKLGIFDAKNCRIELEFLEEQILNHEDDVEPSASVLSGFVAMIRYCRFLLFGFEEDEVEIIMGKRFKKMKKRGLIMKEIADTFITIPKDFCCPISLELMMDPVVISTGQTYDRASISRWIDDGHCSCPKSGLTLVHKKLVPNRALRNLIMRWCIAHRILYTPPESSDLAAESLPAGLESRAAVEANKATARLLIQQLEHGSSVCGKAMAAREIRFLAKTGRENRAFIAEYGAIPYLKGLLFSQSIVAQENAVTAMLNLSIHDTNKRRIMDEEGCLRSIVQVLRFGLTIDCRENAAAILFSLSVVHEYKKKIAEEDGAIETLSVLLSKGTPRGKKDAVTALFNLSTHIEICNQMIEFGAVGALIEALGCDGVAEEAAGALALLVRQPVGAAAVGNEDAAVVGLIGLMRCGTPKGKENAVAALLELCRSGDEHATERVLRAPALAGLLQSLLFTGTKRARRKAASLARVFQQCHKASLHFGGLGVGYAFAGNRDSGFSGETATVPMSVLVS >KVH96792 pep supercontig:CcrdV1:scaffold_384:40673:41764:1 gene:Ccrd_001115 transcript:KVH96792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRATIAAAFGPSHLIPMLILFLLLGTTPFTGAVEQKKTMDRKQIQDCGEMVSRSQCVRTTNCRWCRSDALDDACFSKSESSRLPSHIFTC >KVH96787 pep supercontig:CcrdV1:scaffold_384:144611:148134:1 gene:Ccrd_001121 transcript:KVH96787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALFESIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRSDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPLLHRKYSGNFLVNLLGKWKESEYSGQSVPVGGLAYYVTAPSSLADMAANPFHALFYLVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGLFGF >KVH96795 pep supercontig:CcrdV1:scaffold_384:45817:46830:-1 gene:Ccrd_001116 transcript:KVH96795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dullard phosphatase domain, eukaryotic MVSKANKPKGSPAAHPNRNLRRRRRTPVTTATKTASVVVASINKSIYTCHRRLIKIFSKLVRIATPKGKSSVKKGYQVLKKFSISTDDQIATVRRSLFQEPTASLPPPNSPNQKTVFLDLDETLIHSTPATGPSLPGNYDFVVKPLLDGERVGFYVLKRPFVDEFLQFLSRNNFEIVIFTAGIEEYASLVLDKLDWRGLISHRLYRNSCKAMEGKFVKDISDLGRDLKQAVIVDDNPNSYGLQPENAIPIKPFTDDLRDDELKKLMSGFFRRCNEFEDLRDAVKHCVGNDGVENSKSQKPMEDEILENSKNLKLEKWIEDEILENSKNSNFQTLIEV >KVH96786 pep supercontig:CcrdV1:scaffold_384:122103:127518:-1 gene:Ccrd_001120 transcript:KVH96786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKNSPIMTDRSLIRDLVVLQRSRSLRDPSMSPPPFQSPSALDLLPKRIEKEAAASGNGRRSIGLSGNSSSNVGPGDASRRRNKKEESSGRSFGSGLVGSKDDSPLDAVNLARDIYPGSSDSKSKQNVRKSKQDDHIKTLSRHDVPMESDNVASSHNRLHGRQGVVEVESGIHSHGLNRVKRRRFRGGRRVPRAAVGGGAKNEMSMASNFVAHMEERQVEYGGGEQNVTGGPKNGCGIPWNWSRIHDRGKSFLDIAGRSLSCGLSDSRSKRGGPRDSVQMPVMSDHSSSSTNGESLPLLMDGSQESAENPAAWVHDYSGELGIYADNLLNSEIDSDLASEARSSNQRRKVRIHDNSRHQNLTQKYMPRTFRDLVGQNLAVQALSNAIAKKKVGLLYVFYGPHGTGKTSCARIFARALTCQSLDHPKPCGYCHSCVAHDMGKSRSVREVGPMNNLGYKGITELIENMIVSQLPSQYRVFIIDDCDTLPPDCWSAISKVIDRAPRRMVFVLVNSSLDVLPHIIVSRCQKFFFPKLKDADIIYTLQWIATKEDFEIDKDALKLIASRSDGSLRDAEMTLEQLNTVNTVKHLREIMESGVEPLALMSQLATVITDILAVSKDDMEKLRLALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYVLPTSSTDTSIHHSPLGLSNGGGRDGARKSNFEHAEMIDGQRGFSKSGGNSSGVHRKSKEGIYRQEIEEIWLEVLENIPINSIKEFLYHEAKLASLSFGAAPTVQLMFTSHMTKSKAEKFKTHILKAFEHVLGSHVTIEMRSDPRKEVGKGRDMQLTIPASQEDLYATRRSEIVEVEASPREPKTRLHIDNHDKIEATPSHKNSSSGFQRRLGEQNQSMSLVRGKVSLANVIQQAESQRNGWTTHKAVSIAEKLEQENLRLEPRSRSLLCWKASRVARRKVSRLKFRTKKPRALLRLVSCGRCLSSRSPR >KVI11240 pep supercontig:CcrdV1:scaffold_3840:20081:31159:1 gene:Ccrd_010352 transcript:KVI11240 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3358 MAKKYDQFRCQPRLPKFAIPKRYDLKLKPDLSACKFLGAVEISLDIVADTKFIVLNAADLFVDCKSGFEALQVELLEDDEILVSEFAESLPLGLGVLSMRFEGTLNDQMKGFYRSTYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLEVPSDLVALSNMPVAEERVDGNIKTICYQESPIMSTYLVAAVVGFFDYVEDHTPDGIKVRVYCQVGKTNQGKFALDVAVKTLGLYKEYFAMPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDKHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADGLFPDWKIWTQFLDESTEGLRLDGLSESHPIEVDINHACEIDEIFDAISYRKGVSVIRMLQSYLGPKVFQQALAKYIKKHACSNAKTEDLWAVLEEESHEPVNKLMNSWTKQKGYPVVSARVIDNKLEFEQRQFLASGCHGDGQWIVPITLCCGSYEKQKNFLLETRSQVIDTATLFGCSVSNDGPSSCWIKINVNQAGFYRVKYDEHLSAKLRYAIESKCLSAMDRYGILDDSFALSMAGQLPLSSLLTLMGAYREEPEYTVLSNLISISSKVARVVADADATLLDNIKIFFINLFQYSAERIGFDPKQGESHLDALLRGELFATLAVFGHDATLKDARKRFQAFLEDRNTHLLPPDIRRVDTAVYVAVMKDVTSSDRSGFDSLLKVYRETDLSQEKTRILGALGSCSDPDIILEVLNFLLTPEVRSQDVVFGLAVSREGREVAWKWLQNNWEHISNTWGRGFLMTRFVSAIVSPFSSYEKVKEVDEFFACRAKPSIARTLKQSIERVQINAKWVESIRGEKSLAHCVEELAYRKY >KVH83868 pep supercontig:CcrdV1:scaffold_3841:15396:15713:1 gene:Ccrd_025465 transcript:KVH83868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RITNPIKGKVVAGGDGWISASTEVSKIVGSNENSTSVTQPVPPTSITSTTSMTGGHNIAENLAHGPPRTQTTPQLTVGTQRLEELGVKQSRQLIHMTPSMPHKKGT >KVH89717 pep supercontig:CcrdV1:scaffold_3842:21950:24004:1 gene:Ccrd_008288 transcript:KVH89717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MTDYRLPTTMNLWNTDDNAMMDAFMSSDMSSFWGNPTTATTTAAPSAVPPASSSASTSTNDLHKIIGESHSFNQDTLQQRLQGLIDNARESWTYAIFWQSSAVDYTSPSLLGWGDGYYKGEMNKPKTTPSVTSFAEQEHRKKVLRELNSLISGSKMPENEAVDEEVTDTEWFFLISMTQSFVNGNGLPGQAMFSNQPLWIAGRERLLAAHCDRARQGEGFGLQTIVCIPSTSGVVELGSTELIFQSSDLMNEVRVLFNFNNSTPDLTAMNEDQAAGGDNDPSSLWLTDPVSSSAATAATVTTVEMKDSDDIIATVIPSNNSLPKQISIDNPSSSSLTENPSSAIHVSNRQPLQNQGLFGSRELIFSEFGSYDGTTGGRNGNSSNSCKPESVELLNFGESKKNSGQSPFIGGDDNGNKKKRSPALRGINEEGMLSFSSGMLLPTSETVKSGGGLITGADFDHSDLEPSMGREAESRLVVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRAVVPNVSKMDKASLLGDAISYINELKSKLQISETDKEELKNQLDVTKKELLTKDSRQSSSSTVSPPEDLKTATTNHPKMADLDIDVKIIGWDAMIRIQCSKKNHPAARLMAALKELDLDVHHASVSVVNELMMQQATVKMGSRFYTQDQLRLALTKRVSDPNLR >KVH89718 pep supercontig:CcrdV1:scaffold_3842:26441:33434:-1 gene:Ccrd_008289 transcript:KVH89718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPPFEMENGKNLQMIPFDENDPEVIARRIKNRERQRRYRARKRQEADLKRACMINQSIQLQVDETQVKDTPLDVVTRVYSQRNWKKDARRAHILKQQQNNACSSTSAIVDLQASGNQSNVIVETREHSSTPSGRNWKAEARNKRN >KVI04438 pep supercontig:CcrdV1:scaffold_3843:2087:12537:-1 gene:Ccrd_017247 transcript:KVI04438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MGQQSLIYSFVSRGTVILSEYTEFTGNFTSIASQCLQKLPATNNKFTYNCDGHTFNYLVEDGFTYCVVAAESAGRQVPMAFLERIKEDFTKKYGAGKASTAVANSLNKEFGPKLKEQMQYCVDHPEEISKLSKVKAQVTEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTQMRRKMWLQNMKIKLIVLGIIIALILIIVLSVCGGFNCGK >KVH83866 pep supercontig:CcrdV1:scaffold_3844:29969:37280:1 gene:Ccrd_025466 transcript:KVH83866 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MDEKDKELITKALGCIKDRLKFRNIDVLIRPGVFVADNQLPFPDELAAQLITRPFSSMTGLGIGIASLSINTTRIRHPNIQFSELTPKPIKIPFHSSLFLTKLPTNEPKTLTLRPSSVSESSVSSSIGAESLDDVDDDPTAELSYLDPETDPESITEWELDFCSRPILDIRGKKIWELVACDSSLSLQYTKYFPNNVINSVTLKDAIVSICDELDVPLPDKVRFFRSQMQTIITKACKELGIKPIPSKRCLSLLLWLGERYETVYTRHPGFQKGSKPLLALDNPFPMDLPDSLYGEKWAFVQLPFSDVKRELLSQEKSFAFGATLDLDLLGIEMDDETLIPGLAVASSRARPLAAWMNGLEVCSVEADLARANLVLSVGISTCYVYASYKKSSVTTSEAEAWEAAKKASGGLHFLAIQDTLDSDNCVGFWLLLDLPPSPV >KVH83865 pep supercontig:CcrdV1:scaffold_3844:44428:46900:-1 gene:Ccrd_025467 transcript:KVH83865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MEISDFETPRLKVKAWLSNRSSSSSLPTLVAPNPCHSRPSSLLWNGNEFLNAKPGKRRSPKRSNPPSIIALSFPPHHIWQNLEKLKNLLTIAYYTTVHCKLNFLQRCKKSEGIIIWVVIISECKCKCIHSYYYNLGEPHTLLGGLWNATCGNATELIIAIFALMENKVDVVKYSLLGSILSNLLLVLGTSLLCGGIANQADVNIALLLLGLLCHLLPLMYRYASLETPLATATPTLNLSKLL >KVH99374 pep supercontig:CcrdV1:scaffold_3846:25331:33412:1 gene:Ccrd_022396 transcript:KVH99374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MSCNKSEHIPLSALLKRELANEKAERPEIVSGQANQSKKGEDFALVKSECQRVLGDGVTTYSVFGLCFLVLLLPNFTLQIFDGHNGSAAAIYTKENLLNNVLRAIPPDLNRDEWVAALPRALVAGFVKTDKDFQEKAERSGTTVTLVIIEGLIVTVASVGDSRCXLESADGAIYHLSADHRLDCNKXEMERVTASGGEVGRLNTGGGTEIGPLRCWPGGLCLSRSIGDRDVGEFIVPVPYVKQVKLSSGGGRLVISSDGVWDALSAEAALECGRGLAPETAAAQVVKEAVQVKGLRDDTTCIVVDILPPEKTNPPVPPPKKTGKRVLKAMFKKKSSEAPPQADEEEYYEPDVVEELVEEGSAMLSERLDTRYPLCNVFRLFICAVCQVEMKPGEGISIHHGSDNTRGKLRPWDGPFLCXSCQQKREAMEGKRPSRSKTVVP >KVH99373 pep supercontig:CcrdV1:scaffold_3846:11041:13652:1 gene:Ccrd_022395 transcript:KVH99373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF829, TMEM53 MWGFGGRFYWGRRNQDETRGNTKGIVVVFAWMSSQDKHLKNYIHLYSSLGWDSLAMGAPYLILCSENDELAPYQIICNFVQRLENLGGDVKLVKWSNSPHVGHYRHHPEEYRAAVIELLTKAGSIYSTRVEQQLKSINNETSEPVHHLQEPLVSGSRYHQNSKRLALDLNQRMIVPRSMAYDQVRETGPVQGEAEERFIRQSVMAEMNCDGIVGEILFDVGVLKNVEDWDLRSFGRHSDINFIKCIRRSKM >KVH83773 pep supercontig:CcrdV1:scaffold_3849:3786:5536:-1 gene:Ccrd_025468 transcript:KVH83773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSQNRLSVHYTILEGIFEFTQGSQFHCLVFFTDFVKLSLVLPR >KVH91197 pep supercontig:CcrdV1:scaffold_385:153035:154015:-1 gene:Ccrd_006785 transcript:KVH91197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMAGYVQNGVFSEALEIFHTMKSDTNLAPDETTLSIALSAIAQLGHSEEGVAIHSYITRNGIKLDGKLGVSLIDMYAKSGRIETALQVFDGIKEKNVDHWNAMIGGFAIHGFGKLAFESFLKMQKLRVKPDGITFVSVLNACAHSGMVKEGVICFEIMGRVHMIKPEIQHFGCLVDIFARAGRIKEAMTIIEEMPVEPNDVVFRTLLSACSNLENSVQRPTVNHAIGMDDYGSGTYVLLSNIYARFGMWNRVRRVRVMMKERKLRKVPGRSWIELDGTFHEFFVGDGSHPRVAEIYSVLDNWSLDSRVESFRLQSKLEPALFPVGS >KVH91203 pep supercontig:CcrdV1:scaffold_385:128738:131301:1 gene:Ccrd_006783 transcript:KVH91203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFENVRQVIFGTKLFVLFPAIPMAFVAQRYSYGRPWIFALSLIRLTPLAERLSFLTDKKNTTIGNRRASKQLKCWGMEVCYLFITATNRHR >KVH91194 pep supercontig:CcrdV1:scaffold_385:3:311:-1 gene:Ccrd_006779 transcript:KVH91194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDLPISDCNHRILNHYPLYLNFMAVLFALVIQMQHLSPTAMNQLRVAVIAFT >KVH91200 pep supercontig:CcrdV1:scaffold_385:154312:154975:-1 gene:Ccrd_006786 transcript:KVH91200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLTSNQQPWNSALPTLVHLPNCKTQFDINQIHARLLTTGFIKNSYLTTKLILCFSSSPHTPLIRFARHIFFSHSSSWSSKTDDPFLWNAVIKTFSHGNHGDDPKQAVFVLGLMLENGACVDKFSFSLVLKACSRMGLIREGIQIHGFLEKVGFASDLYLQNCLICMYVKCGWVEFARQVFDRMPQRDSISFNSMIDGYIKCGMVTLARELI >KVH91204 pep supercontig:CcrdV1:scaffold_385:112235:115049:1 gene:Ccrd_006782 transcript:KVH91204 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MKSSHLDNIPSTPGKFKIEKSPYSHRFRWHYSYLAKLTFWSFVFLALIFIFFFKSPPSSSPYSSISTTPSDLSRRSLKTSSWGGPAWEKRVRSSAKVRSKTGFSVLVTGAAGFVGTHVSASLKRRGDGVLGLDNFNDYYDPYLKRARQALLERSGIYIVEGDINDVALLKKLFEVIPFTHVMHLAAQAGVRYAMENPNSYIHSNVAGFVNLLEVCKSANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKAIPIFESANHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKRPAQLRVFNLGNTSPVPVSDLVSILEKLLKVKAKRRVMKLPRNGDVPFTHANISFAQREFGYKPTTDLQMGLKKFVRWYGGFDLYQKELKKDLDSWECT >KVH91191 pep supercontig:CcrdV1:scaffold_385:226941:234435:-1 gene:Ccrd_006792 transcript:KVH91191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MLLELEQECLEAYRRKVDQASRFQAQLVQTIADSEAELAHICASLGEQSLSMKKKELASILQQLETMQKKKSERKLQFTEILDQIHNMLKELSMEDKSCMPVIDESDLSLRRLEEFKTTLHALEKEKSDRLKQVLGYLKTLHTLCVVLGMDFRNTISGIHPTLDNSGSKKSISTDTIERLSNAVCRLNEVKIQRLQRVSALGSLKILFPLFSTTSLSFIFCNCFQLQDLATTMVELWNLMDISNEEQQPFQHVTSYIAASENERADLEQLCRQAHIPVVMHGAIDFSAETLESVFSRLIYRMASLSLTGDIDPLYLLEQVEFQISKAKEEASSRKDILEKIDKWLAACTHLLLKRAERARILVDKIPAMVETLREKALAWEQNSGVAFSYDGVLLPSMLDDYDHLKHEKDQERQRMRDQKKLQGQLIAEQEVRFGSKPSPFKSGKRVVKAPSGGSNDRRNSVAGAMLKTPKHNQTALSSNVSNLHNRYHNSIHAKIPAASARKPLSPVSSSLSSNANVTSVNTHDRNPTLQKTLLINKATMAAATPKTFISSVDHEDHLRTPKTMIPSMPSTPATTSMKMAMTPSTPFVPRGADGVDYSFEEIRAGWFPAKS >KVH91198 pep supercontig:CcrdV1:scaffold_385:143183:149037:1 gene:Ccrd_006784 transcript:KVH91198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDPLCVECVFPHVTFVSISANNFKSSLKNPTHSLHHFHLQNQNPKTHKSNPQKPTMKTLFFLLVLHLFTFPFQTLAKHQENPISELSLLIKIKSFLDPNGQTLISWSPNATSYCDGSFQGVACDESGHVMNISLQGNGLFGKIPPEIGQLKSLSGLYLHFNGLHGEIPKEIAELTQLSDLYLNVNNLSGQIPQELEKMANLQVLQLCYNQLSGSLPTQLGSLKKLNVLALQYNQLTGAIPATLGNLGTLQRLDLSFNRLFGSIPLKIADAPLLQVLDVRNNTLSGNVPLVLKKLDEGFQYANNTELCGSGFADLKVCNSSFGPENPNKPEPFGPQSKGLTPKAIPQSADVTRAQSKSTNAGLVAILGAVMTVMLLVAGLFTFIWYRRRKQRIGTAFETSDSRISTDQYQVKEVVNRRSASPLISLEYSNGWDPMSKGQTGSGFLQEVLESYVFNVDDVESATRFFSDSNLLGKSSFSATYRGILRDGSIVAIKRIAKTSCVSDETEFLKGLKILTSLKHENLLRLRGFCCSKGRGECFLIYDYVAKGSLLQYLDVKGKMGNGNVLDWSTRSSIIKGIAKGLEYLHGIKGKKPALVHQNISAENVLIDQHYTPLLSDSGLHKLLADDIIFSTLKASAAMGYLAPEYTTTGRFTEKSDVYAFGMLVFQIVSGKTRISLSVRQGAELCKFEDFVDVNLDGKFSETEAVTLGKIALLCTHESPNSRPTTMDVVQELSSIGSSP >KVH91202 pep supercontig:CcrdV1:scaffold_385:84828:88234:1 gene:Ccrd_006780 transcript:KVH91202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitroreductase-like protein MQTIQRKFPLPLYNHHRLLRPSTTLLRPLTANLRNPPPPRFTMSISSSTVQENSEELLSQVLTYHNQTKHSFTNYARGPRGLDWANQPNPFRRYISPPLRPLLHPPNSDDSPLYDSLFNSLPPPKPITKSTISEFFYDSLALSAWKSTGFSTWSLRVNPSSGNLHPTEAYIISPPIESISDSGFVAHYAPKEHSLEIRTQIPSGFFTNFFPNGSFLIGFSSIFWREAWKYGERAFRYCNHDVGHAIGAVSMAAAGMGWDVKLLDGLGHDELKKLMGLEIYPEFKIPSRPVKGNLNSIEFEHPDCLLLVFPNGTGEFDINYKNLSMAISEFSKLDWKGEPNSLSKEHICWDIIYRTSETVKKPLTLDTKFIMNRFARSGNCNENSHVNITLRELVRKRRSAVDMDGVTSIERNTFYQILLHCLPSGFQDKQKRQLGLPFRAMDWDSEVHCVLFVHRVVGLPKGLYFLVRNDEHFDDLKKATRSDFKWEKPEGCPSELPLYELGRADSSDGCFSLGMVAHLEPTLRNKGVWMYPRLFWETGVLGQVLYLEAHAVGVSATGIGCFFDNPVHDVLGLTGSNYQSLYHFTVGGPVVDKRIMSLPAYPGPGVDA >KVH91199 pep supercontig:CcrdV1:scaffold_385:157627:164261:-1 gene:Ccrd_006787 transcript:KVH91199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MYRSGFVMAWNVFKFCTALRGLGSIMILLVLGVVGVSYYAVVLSIYGPALISGGLDSFIALAVLIMFHTLLVMLLWSYFSVVLTDPGGVPPNYRQMVDEERGDVDRLAGSEFSPLATPDPANARVRYCRKCNQLKPPRGRCVLKMDHHCVWVVNCVGAQNYKYFLLFLFYTLLETTLVTLSLLPYFIEFFSDGEVPGSPSTLATTFLAFVLNLAFALSVFGFMIMHISLVAGNTTTIEAYEKKTTPKWRYDLGRKRNFEQVFGTVQRYWFIPTYSDEDLRRMPALQGLEYPSKPDLDSQEF >KVH91195 pep supercontig:CcrdV1:scaffold_385:195210:200271:-1 gene:Ccrd_006789 transcript:KVH91195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, beta-type subunit, conserved site-containing protein MPKEHANWSPYDNNGGTCVAIAGADYCVIAADTRMSTGYSILTRDYSKICKLADKSFMASSGFQADVKALQKVLASRHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERDAVTPLSEAEAIDLGDSVEIVILNAHGVRSEHEQLRKD >KVH91201 pep supercontig:CcrdV1:scaffold_385:92300:96893:1 gene:Ccrd_006781 transcript:KVH91201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase binding site-containing protein MAASSFSLSQAATGISRSATPRHGTTTQNPSSISTVSLPSFSPLKSTSTPSSIPVTQRRVSAARRRVISSAAVEALEKTDTSLVDKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHVLYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLHYALLHLAGYDSVKEEDLKQFRQWGSKTPGHPENFETPGIEVTTGPLGQGIANAGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDKRFEALGWHIIWVKNGNTGYDEIRAAIQEAKSVTDKPTLIKVTTTIGFGSPNKANSYSVHGAALGAKEVDATRQNLGWPHEPFHVPEDVKKHWSRHTPEGAALEAEWNAKFAAYEKKYAEDAAELKSIADGVLPAGWEKALPTYTPEIPADATRNLSQACLNALAPVLPGLIGGSADLASSNMTLMKMFGDFQKKTPEERNVRFGVREHGMGAICNGIALHSPGFIPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVESRKRPSVLALSRQKLPNLPGTSIKGVAKGGYTISDNSSGNKPDVILIGTGSELEIAEKAADELRKDGKAVRVVSFVSWELFDEQSDDYKESVLPAKVTARVSIEAGTTFGWEKIVGSKGKAIGIDKFGASAPAGKIYKEYGITKEAVIAAANEVC >KVH91193 pep supercontig:CcrdV1:scaffold_385:209925:215871:-1 gene:Ccrd_006791 transcript:KVH91193 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MESRENMTPAVETIAPEAPSSYQLAQRTDSPTAQIGNPSQTMGPLGFSPVAGGMPSSLAKKKRGRPRKYGPDGVGASGGASGRTLSPMPLSAASSPPTSGGYSDIKFGEPTGSGGEFQVEKKKKRKINSLEAKSNMSPDDRITSGGSFTPHMVTVNPGEGRFEILSLSGSFTPGEVGGLSSREGGMSIALSSPDGRVVGGLLGGLLTAAGPVQVVVASFLPEIGTPAGPKPKKKKDAIKLLTPVADPTTVPRTTNTDHQNFNDKSQESPAKGNTNSTPASNFQHENRSTGPNVHDWRRAATDMNVSLRED >KVH91196 pep supercontig:CcrdV1:scaffold_385:182133:194315:1 gene:Ccrd_006788 transcript:KVH91196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MDKVTLKVMSASCKMTDITDQGISLVEDLFKRRQPMPSMDVIYFIQPIKEKAFIYFSCSTPKELITHIKSDMSVVPRIGALREMNLEYFPIESQAFTTDHDRALQDLYSQAAENSRQFDVCMNTMASRIATVFASLKEFPVVWYRSKGMDGSSAATLRDLVPVKLASAVWNCITMYKTTIPNFPQTETCEFLIVDRSVDLVPSKSGGEPQKKEFLLEDHDPVWLEMRHLHIAEASERLSDKMQNFMSKNKAAQLQQRDGNELSTREIQKMVQSLPAYNEQMEKLSLHVEIAGKINEIVRDEGLRDLGQLEQDLGTDSEYKLRLVMIYAMVYPEKFEGDKATKLMQVAKLPPEDIKVIQNMKFLEGTKIRKKHHGSFSLKFDSQKRHHALRKDKRGEEETWQLSRFYPILEDLLEKLSNHEMPKDEYQCMNGPGPGPSSQSRSTQDASAKSGPTGNHPHSRRSRRTATWAKQNSSVGGNSSDSTVGHTTTDFKNMGRRIFVFIVGGATRSEKVKMLSGS >KVH91192 pep supercontig:CcrdV1:scaffold_385:201390:208108:1 gene:Ccrd_006790 transcript:KVH91192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon MGDLIAWLFSFFILLAVIALVLYQIMCFLDLETDYINPYELASKINNITLPEFITQGVLCCLHLITLHWIMYIHGHHLVYATEVFSELSREKKQRIFKLVYLAFLLFFSIFWMIWSIVDED >KVI04614 pep supercontig:CcrdV1:scaffold_3852:2141:2569:1 gene:Ccrd_017067 transcript:KVI04614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNPLSPPSKPSTKLNPSLSLTKSIQKLPQKNKSATSLHYENPTKMNKTPSLTNTTLINPAKSVASLESTATQKPLVSATSPSNIAAKKTMESSSLLPTAFSNSSPASASSPKSSTSQNLTNSSAITPLATSVTPPSTNPC >KVI04613 pep supercontig:CcrdV1:scaffold_3852:32881:45691:-1 gene:Ccrd_017068 transcript:KVI04613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSYHDEEESSGSDSDTGFAEDMEALRRACSVTGVDDAVDDLPKSSTADDDHDDATYASEDEDIELLRRIQQRFSVPTTDVGNEQQPVSMRPLNTILPSGLSEENDDYGDDFETLRAIQRRFSQYNDSMNNSMESSLQSSEQVGATNIDLEKESSTNFFVKSINNGQGFPDCVDGRATDQNLDFPSNITPDILHENVSEGHEPGSELVPVTDCGFPRSAQAFVEAIKKNRTCQKFIRSKLVQIEARMEENKKLRERVKILKDFQVACRKRTGRALSQKKDARIQLISVPKQRANAKIDLQKRQTICFALSSPSILPSSSYWLRKELGGEKRTCEDWSNHGGGGNGGGGGSQGVSPNEALPCTLGKDKNTHAIYQGPAENSHVAIYRDVISKYPFSLNREPWLKEDKENLMKGIKQQFQETLMHNLFSAGDVDSRYLDSMIAKIGDHEISPEEIRLFVPRVNWEHLSSMYVQGRSGPECESRWMNCEDPLINRQSWTVQEDKKLLYVVQNEGFSNWIDIAGLLGTNRTPFQCLSRFQRSLNASIIKNEWTTPEDEELRIAVSEYGETNWQLVASTLEGRTGTQCSNRWKKSLNPLRERVGKWAPDEDKRLKIAVRLFGAKNWYKIARFVPGRTQVQCRERWVNCLDPSLNMNEWTEEEDLKLKEAIAEHGYSWSKIAACIPPRTDSQCRRRWKVLLPHEVPMLQAARKMKKAALISNFVDREEERPALTASDFIPPPLLIKSAPKVNEARLSTKNKRQRLPPEHHVHDTSSGTVVVVRSRRARKVTHTEKVLRLTDVEDNADVTDNGRWKNKPTSLKNKSSILVRGTELHNGEGNRASVGDNAEMKGEVAGKQKRKRRYGFTFSVAKCVYVETCVLVKSTDKEDGEILEADAISKENTMRRANEGCELAESEVDDVSYDPCLLEVLANEPCSCREMIESTSGKVEKTYKRSRKRANVDGDKRSSVVRKEGSEILVDEEAEDGETLASFYKKVKKRRLEGR >KVH83720 pep supercontig:CcrdV1:scaffold_3854:3569:52961:-1 gene:Ccrd_025469 transcript:KVH83720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVAQISKAQQSRRLGSFSEKIDPLSPPFSAYRSHLSPYPSRSHDLLLGFSLLVSPFCPFHSRPALPKPPLLSAIGSHFSNLTSALRSHSVSLRLQSKCRFMGVINVYNGSSLCMIICNNGFSFSPSLDKTSCAFPRNQRKFSCMASSRAAVKEEGKQQLTGDSFIRPHLRELSPYQPILPFEVLSTRLGRKPEEIVKLDANENPYGPPPEVFEALGALKFPYIYPDPESRRLRTALAKDSGVESDYILVGCGADELIDLIMRCVLDPGDSIVDCPPTFTMYEFDAAVNCALPRKVDFSLDVXRIAEVIEHEKPKIIFLTSPNNPDGSVVSEDVLLKILNLPILVVLDEAYIEFSGLDSKMGWVKKHENLIVLRTFSKRAGIFEIFL >KVI04524 pep supercontig:CcrdV1:scaffold_3858:34134:37960:1 gene:Ccrd_017159 transcript:KVI04524 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter, conserved site-containing protein MLSRAAHVEYRLILSKPSSAFSGFQNHRSPAMALLHRFGCCSSPSSPPLLSFQKSTPNSMLFTVSAHPHHRRCPRRFSSFRLNATIVAESPTASASNGGGGPPKLLLEVKDLTAVISESGKKILNGVNLSIYEGEIHAIMGKNGSGKSTFAKGENLLEMEAEDRSLAGLFLSFQSPVEIPGVSNSDFLLMAYNARRRKLGLPELDPLQFYGYIMPKLEMVNIKADFLNRNVNEGFSGGEKKRNEILQLAVLGAELAILDEIDSGLDVDALRDVAKAVNALMTPTNSVLMITHYRRLLEFIKPDYIHIMDDGRISKTGDISIANILEKEGYKAMSTP >KVI00778 pep supercontig:CcrdV1:scaffold_3859:36956:40062:-1 gene:Ccrd_020967 transcript:KVI00778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKTKYHKYRLVLGHRTENYNQNPFYLALTWNHMHLTHLLLRSTPVHSNTETKHFESKHLVRHILEATIQTPFSAATIQSNTIPKHHLVQPQSEGAAAAEERRQRSCDRGEAGGGETEMPFLYEDNSNQGVDDAHYFYETEEAQFSTWSSSFYTD >KVI00779 pep supercontig:CcrdV1:scaffold_3859:40771:42315:-1 gene:Ccrd_020968 transcript:KVI00779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHERYDYKVIKNVIEEPTFIEEAIDLITRSISLKGLSELIRYWIYFIRNRSTRIGAANSNSTRISFFGSTKEELAHKLDKGQDAVRCRWLTQVPSDPCEMVAYYMNSGYSHDSMGQLHLFFFY >KVH91097 pep supercontig:CcrdV1:scaffold_386:270798:275140:-1 gene:Ccrd_006877 transcript:KVH91097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2358 MAVLLHSISGFSHGKTPTKFNSITIPNTIAADFIGVSKGRNNRRRISVRVSSRIKDLEPWILSDVTGTRSSAATSVKPSKEDEEKQNYYVNTGNAIRTLREEFPELFYRDLSFDIYRDDIVFKDPLNTFIGIENYKSILWALKFNGKIFFKALWIDIVSVWQPSENTIMIRWIVHGIPRVPWESRGRFDATSEYKLDKDGKIYEHRVHNIALNAPPRFKVISVNDLIQSLGCPSIPRPTFFEVSLASSKTSYSSASPLESELESSSRNN >KVH91093 pep supercontig:CcrdV1:scaffold_386:104571:106209:1 gene:Ccrd_006891 transcript:KVH91093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRSYSWLLPLHSDFSNQDQTQSKANVSMSNINSSEFYSNRLPIRNHVGLSRIALRKGQKLASLPDSGDISLVFPAGNYGAIQADRRSCQKSEGNKDCVEFHIHNLLSCVPVVIEAIEMAGELSGWDPDEMQKRRLVYAMKYRKDCQDPKVFQWRFEKEYLVSQDLCKQIDSVWEEDRWVLLSQMIKRKNSSTKLGQQLIDIISTNFSESGPLDRKLKLLPCSILLNSKDYHTRRRLGSGREYKEIQWRGASFLLRQFHGDIEEINPEISRGFSLSHPNVMHFFCGFIDDEKKVFSRYGTHEPRSFELYQRDLRQPKTIPIFSFGSG >KVH91086 pep supercontig:CcrdV1:scaffold_386:235065:243350:-1 gene:Ccrd_006880 transcript:KVH91086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSLVLPIKRDPSFKVSPRNEKNNNNSYVQRPRSRFGRFMVFKKIDYLQWISAIAVFIFFMFLFQLFLPLSMVEKTDGDFLKGREEDDGSGGNLKNLLKEIGGLDFGEDVKFVPTKFLIKFQREKGVVNNVTFDGSRTVMRFGNRKPQLALVFADLLVDPQQIMMVTVAVALRAVGYELEIYSLEDGPVRDIWKTIGVPVNIEPFKSVPLIWAVHEKALATRATRYIWGGQVELIDEWKTIFNRATVVVFPNYALPMFYAAFDAGNYFVVPGSTSGACKIDNSTIIYEENLRENMNISNDEFVVAIVGSEFLYNGIWLEHALVLQALLPLLTKFRVGDSLSPHLKIVILSRDLTGNYSAAMEEIASNLNYPRGTVNHASIDEDDGILGITDLVDDGVNGLLFPKEKMEALTEIMLQVVSDGKLSTSAQNIAKKGKNSAKNMMALESVEGYVSLIENILNLPSEVASPKAVSEIPSNIKTEWQWHLFEAITDRKYVNRTSRVQDFLNKVENRWNRTLKEESSGTIPANDTFVYSLWEEEKRNQIMKAKKTREDDEEVYKNAKKADRNKNDLHERDDGELERTGQPLCIYEPYFGQGSWPFLHRNSLYRGIGLSTKGRRSRRDDIDAPSRLPLLNTPYYRDTLGDFGAFLAVANRESLSQNAEIALLEDIEAQKHGDAVYFWVRMDKDPRNPTRQGNSTVLPPMPVDGDTWSVMHSWAMPTKSFLEFVMFSRMFVDALDAQVYDEHHRSGLCYLSLSKDKHCYSRILEILVNVWAYHSARRIVYINPNTGTMQEQHTLKKRKGKMWVKWFDYNTLKAMDEDLAEEADSEAVGRRWLWPSTGEVFWQGIYEKERIQWRKERETKKQKTRAKILRIKNRTHQKVIGKYVKPPPENATVAVARVYR >KVH91105 pep supercontig:CcrdV1:scaffold_386:9019:14035:1 gene:Ccrd_006902 transcript:KVH91105 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MGMKKTYVVEIEKAKEGDESRPSVGPVYRNVLAKHGFRPLPDALHTCWDVGKYEWLTYKQVYDTVLKVGDSICSRGIQKGARCGIYGINCIKWVVGMQACNAHGLHCVPLYDSLGAGAVTYIICHAQISIVFAEETKISEVLKTFPDTANYLKTLVSFGTVTNQQKLDAEKCGLDIYPWQEFLHLGTKNQFDLPPKTRSDICTIMYTSGTTGEPKGVMITNESILSILSGVNHHLESMNEEFRVSDVYFSYLPLAHIFDRVVEELFISTGASIGFWRGDVKLLIDDLKELKPTVFCSVPRVLDRIYSGLVEKISSGGFLKHTLFNIAYNYKLRNMSRGYKHEEAAPRFDKIIFSKVKEGLGGNIRLILSGAAPLLASVETFLRVVTCAHVLQGYGLTETCAGSFVAQPNELTMSGTVGPPLPNVDVCLVSVPEMGYDALASTPRGEILLRGNSLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENVFSYVPTIDAIWIYGNSFESFLVAVVNPNQESIEHWATENGVSGDFSTICENPKTNAYILGELTSIAKEKKLKGFEFIKAVHVDPLPFDMDRDLLTPTFKKKRAQFLKYYQNVVDGMYKSSRR >KVH91106 pep supercontig:CcrdV1:scaffold_386:11499:21739:-1 gene:Ccrd_006901 transcript:KVH91106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MAACITKPPRNFSTHFGPLFTCTINTSLLIAICRHPSPNRECTSCLWIKYIDSKIVAGKASLQRNCGDEVQAGKIREDTSPEQSYRFDQRMPTTYGLYHMKLKLSFSSLKNSIQRGVKQASEGVSGLKNSLIFHQSRDKPAKNEVAKKKILDPQGSFLQQWNKIFVITSIIAVSLDPLFFYIPVMNSNKMCLDLDNNLKIISCVLRTLFDLFYILHIIFEFRTGFIAPPSRIFGRGELIEDSFAIAKRYFCSYFFVDLLSILPLPQVTILFIIPNSKGPVSLITKDLLRFVILTQYIPRFIRIYPLYTEVTRTSGIFTQTPWAGAAFNLFIYMLASHMIGSFWYVLSIDRKDSCWRAACKANCDVNSLYCGEKREGDYAFLTTSCPLLQPDEIKSSSDFDFGIFLDALQSHVVETKDFPQKLLFCFWWGLRNLSSVGQNLKTSTYVPEILFAIFISIIGLVLFALLIGNMQKYLQSITVRVEEMRVKRRDAELWMSHRMLPDELRERIRRYEQYKWQENRGVDEQSLIHNLPKDLKRDIKRHLCLSLLMKVPMFQTMDEQLLDAMCDRLNPVLYTENSCIVREGDPVDEMLFIIRGELLTVTTNGGRTGFFNSTYLKGGDFCGDELLTWALDPNLSSSLPLSTRTVRPVTDVEAFALKADDLKFVASQFRRLHSKRLQHTFRHCRKKQEQTLREEEKRLRDALAKVGESSSPSLGAAIYASRFASNMLHNLKRNRSNSPKLLPTMVLSMLPQKPVDPDFSAYLNRFCLAHGEQNRRQLALAL >KVH91102 pep supercontig:CcrdV1:scaffold_386:47590:49576:1 gene:Ccrd_006899 transcript:KVH91102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MEAAYYIRRHHKHNPNHHQCISVVVKHIKAPVDIVWSLVRRFDQPQKYKPFVSRCTMQGDLNIGSVRQVNVKSGLPATTSTERLELLDDNEHILGIRIVGGDHRLRNYCSILTVHPEIIEGRSGTLVIESFMVDVPDGNTKDETCYFVKALINCNLNSLSEVSERMAVQDQTRG >KVH91099 pep supercontig:CcrdV1:scaffold_386:261165:267436:-1 gene:Ccrd_006878 transcript:KVH91099 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G46225) UniProtKB/TrEMBL;Acc:B3H5V3] MEVEELNQAMTFDEASMEQSMRFVNALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYAIRALVNAVDHLGTVAYKLTDLLDQQALEISSTELHVTCLHQQLLTCQTYTDREAIRQQQLLAVLPRHHKHYILPSTPAANTLSWHLATETKSTLKGSSRPLMSIEDSKTSRRTPTSFHTSNAEDGTRKKPSADHLQLPNVGPASSTAMQTLGITRRDPSEVPKPMTPFRSFDNPRRDSVRGPARSKSLLSAFFVKQKTAKQAIS >KVH91083 pep supercontig:CcrdV1:scaffold_386:106235:119999:1 gene:Ccrd_006889 transcript:KVH91083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEYLHSEGIYHGQLNPLNILVKTRNASTDGYLHAKVSGFGVSSSISLISITQTNQNETQSFIWYAPEILAEQEQLGNNWKCKFTEKSDPLTGKVPFEDNHLQGDKMSRNIRAGERPLFPFHTPKYLTNLTRRCWHADPNQRPSFSSICRILRYIKRFLLLNPDHNNPDSPTPIVDYCETEAGLLKKFPSWGNNNVFPLSQNPFQMFAYRVAEKERSNASQREISESVSEEDDNSVVDYSISERNPFLPKVPPRKSMSTEIDDRVSRRKSVFQPEILTKISMIRLRKSDIAAECISSDTNEQSNWWGKDQLIKK >KVH91085 pep supercontig:CcrdV1:scaffold_386:204269:205096:-1 gene:Ccrd_006883 transcript:KVH91085 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting METHIDDEMEMKILEITLISAQGLKIPPSAMMRRMYTYALAWVDPNAKLRSHLDRIGGENPTWNEKFIFRVSRDFINGDTSAVQFQIYAAGYISDYFIGTVRYLLSSSPISSRYGSIIGIPSFSALHIRRPSGRIHGVLNIAATVYDSSHFASLTGISAVCFRDLIGKTNKNDDLFCERRLSRCLSHVGSKKSEQSSEAESFDLSFEESVDFSDGTDSTTSSSSVTAFKDSNGIRSNLQVAGKKDWKSDGGGLLCGLTLQRRSSPSDQNLPCRKD >KVH91089 pep supercontig:CcrdV1:scaffold_386:198313:200650:-1 gene:Ccrd_006884 transcript:KVH91089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDEKQELSGIKLKNGILIGKKANKTTPSKLGFHNSSSLLRNFPMNNSVSARKIGANLWEVQPQFNFSKDHADHGGAAANLSHHRRRRHRSHDIERFEDSDRLDEKQPPDSDPQPVTPFIKAATPIGYTVKTSTHLLKILNRIWNLEEQHSLNVALIKTLKRELGISRAQITTLIEERKRDRQEMDEWKKSINDRKKKAIESTRDELLDIKSSLMRERKARILLESLCDEFANGIRDYEQKVRFLQQNRGKKDQLVSENEPDRLILHVSEAWLDERVQMKCDFSEKTSISDNLCCEIETFLEAKKKQSRGSGVEPDELLENTEPSLQLVPRMTDRSLGVAEPSSQLMSRMERLQDHGGAEPSMPRRAGRGSDATEPSSKSITRGRDRGSGVAEPRAREGAKSNTLMAKLLEA >KVH91098 pep supercontig:CcrdV1:scaffold_386:249470:259885:-1 gene:Ccrd_006879 transcript:KVH91098 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIR synthase-related protein, C-terminal domain-containing protein MSTTTVGTNLEISKYAVRPSCQKSYSSSFYASTCKFFCLSRRCTPLSLTSRKVENRKYSKNEIVCLVSNNLGGTTEQEGLTYKEAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIITSGAKPLFFLDYFATSHLDTAEMPDFYANGEYDLSGFAVGIVKKESVINGKNISVGDVLIGLPSSGVHSNGFSLVRRVLARSSLSLKDKLPGESITLGEALMAPTVIYVKQVLDIISKGGIKGIAHITGGGFTDNIPRVFPKGLGALVYEDSWPVPPVFKWIQKAGMIEDAEMKRTFNMGIGMVLVASKEAAERILNEGETAYRIGEVISGDGVSYR >KVH91090 pep supercontig:CcrdV1:scaffold_386:162732:164901:-1 gene:Ccrd_006885 transcript:KVH91090 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY METGHKRPLINELTQGKELAYQLKNHLDKTMSAQNCDFLVEKILSSYEKALSMLNWGTAFDEISPRTEVSDPADSPHRCNKNVFKKRKTMAKWSEQVKVCSGTMVEAPLSDGYSWRKYGQKDILGANHPRCTHRNFQGCLATKQVQRSDEDSSVFEVTYKGRHTCIQANQLSKALEKKPKKEEVEEEGMIQDSKPTQKMQYDDGFSFKVNKGMNTSEEGAFPSFSFPSTPIETEKLENLIYLGCDSTTFITLDHNLQSSDSDLSEMINSTQNSGENSPLVDWDLSLDYVDFDSNFHFDITDSEMFS >KVH91095 pep supercontig:CcrdV1:scaffold_386:91155:92628:-1 gene:Ccrd_006893 transcript:KVH91095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide, AGP MAATRVFLMALMISIVLAIICPFAQPQSLAPAPAPSSDGTSIDQGIAYGLMIAALLLTYLIHPLDAYGLFSLDR >KVH91107 pep supercontig:CcrdV1:scaffold_386:35419:38382:1 gene:Ccrd_006900 transcript:KVH91107 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MGLAELSMNENGYRSWLKKHPSALETFDEMIVNAKGKKIVVFLDYDGTLSNIMRKVVGEVARCFPTAIISGRSRDKVYEFVQLDDLYYAGSHGLDIAAPFQCIYELGHHQFVDKNGDEVALFQPAQKYLPSIFKILDVLKEETRNIKGVMLENNKFCVSVHFRHVSDKDFLLLDELVKSVVDDFEEFRLSKGKKVFEIRPDIEWDKGHALEYLLETLGYGSSNDVLPIYIGDDRTDEDAFKVIKNRGNGYSIVVSSTPKETMAVYSLWSPCEVKKFLSRLVNWKQSSS >KVH91088 pep supercontig:CcrdV1:scaffold_386:154947:158703:1 gene:Ccrd_006887 transcript:KVH91088 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MPHTTLSYSYLFSFYPQMPISSSASTDMKTTTIPEIKMYLKIVACLILMAFAYRIYFLSFLQISPVIPLVADDDDASSISPSPPSVAANFTLSGQIPANVTGDDDSSSRCDIFVGEWIRDPSGPRYTNRSCHTIEHHQNCMKNGRPDSGYIYWRWRPRDCELPTFDPQRFLDLMRKKSMAFIGDSISRNHVQSLLCVEQPIEVYHDEEYRSKRWFFDSHKFTLSVIWSPFLTQAKIFEDNDGHASGAVRLHLDEPDSEWANEFGNFDYIHMGAGQWFLKTAIYYENNTIVGCHNCKKENVSELGFVYAYRKALQTALNFIMRSDHTVHALLRTTIPDHFENGEWNTGGYCNRTVPFKEGEIELRYIDTIMRDVELEEMKAVGAMNPSRKGSTLKLFDTTHLSLLRPDGHPGPYRAFHPFDGKDTKSKVQNDCLHWCLPGPIDSWNDLLMNILLRVDLQ >KVH91091 pep supercontig:CcrdV1:scaffold_386:55711:70956:1 gene:Ccrd_006897 transcript:KVH91091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase II MTKKRGRRAPPESDRRSSSSEPSNRDETPIRSHKRPSILITLLIFIVFPAISLYLYRIQYASNTDLDASLPYVYQRGLVKTDVNYQEILAENAKVSENASNRHFPYPVLAYVTPWNSRGYELAKEFNSKVTHISPVWYDLKSQGDDFVLEGRHNVDKGWISDLRLKGHALILPRIVLEAVPMDLLKKKKQRAQVIDLILAECKEMDFDGIVLESWSRWAAYGVLHDPHMRNLALRFIRTLGQAMHTSDRSLQLVYVIGPPRSDRLQEYDFGPEDLQSLGDAVDGYSLMTYDFSSPQNPGPNAPLKWVHATMQLLLGTHTSGSRSLSHKIFLGINFYGNDFVLQGGLGGGAILGRDYLSLLEKHKPELQWEKKSEEHFFFYSDENRHAVFYPSLLSIARRLDEARSWGAVALKPISVLKPEPKKHSSFPTNLHLKISKPHFHGGSLVLISSIFNSAFAKALTYEEALNQSTTSSDSSFSPPDFDVSGVIDGIINFGVENPALLAAGAAVLAVPVIVSQFLGKPKPWGVESAKSAYEKLGDDGNAQLLDIRAVSEIRQVGSPDIRGLKKKPIRVSYNGDDKTGFLKKLGLKFKEPENTTLFILDKFDGSSELVAELVTVNGFKAAYAIKDGAEGSRGWMNSGLPWILPQKSFAFDFSGVTDAIDGLFGDGSDAVSVIFGIAAATGLGLLAFTEVETILEVLGSAALVQLVSKKLLFAEDRKKTLEEVQEFLTIKIGPKDLLDDIKDIGKALLPSPVTSKSIPETTASQEAVVAATSSAPPKIQPEAATPAEPEIPVEPETPAEPETQVNLAATTEIKEEAVPKPRQSLSPYPYYPDLKPPTSPSPSRP >KVH91084 pep supercontig:CcrdV1:scaffold_386:214669:220681:1 gene:Ccrd_006882 transcript:KVH91084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MLCEVECLQHVAVPTKDVDTNGTVPTSSIVSSLLKQLKMCKALEEFGYFLGVTKLKNIGTGRINNESLKYIDFLVAFNCRTLLPVKGEVMVGIVHSINRFGVFLKSGPMKIVYLSTRKMPNYYYFVEEEGKPVFLSNDLSRIEKDVVIRFVVFATRWNQRTRDIRVLASIEGESLGPVTMAGFDGFDI >KVH91082 pep supercontig:CcrdV1:scaffold_386:145397:148482:1 gene:Ccrd_006888 transcript:KVH91082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRFDCDEVIQEFEGLTKDAKRVQTETLKTILKENCEAEYLKKWGLHGRTDPETYSSRVPLVTHKDLEPYIQKIADGAPYPVLTGNPITTITLSSGTTQGKPNHTSANTISITITLLNSQIKSSHSSCYIDFYTISYSAFPIKNGKSLSFIYGSKQFKTKGGLTAATATTNVYSSEQYKKTMKAMQTPSCSPDAVVFGTDFHQSLYCHLLCGLIFHEEIQVIFSTFAHSIVHSFRTFELVWEELCNDLRTGVLSTRITVPSVRTAMSKILKPNPELADKIHKKCSGLTEWYGLIPEIFPNTKYIYGIMTGSMEPYLKKLRHYAGGIQLQSADYGSSEGWIGVNINPTLPPEMATFTVLPNIGYFEFLPLSQGQTDFDSDSDSNSNFTSVEPKSVGLTDVKVGEEYEVVVTNFAGLYRYRLEDVVKVTGFHNSTPELKFVCRRNLMLTINIDKNTEKDLQSSVEAAAKLLTAEKLEVVDFTSHVDLLSEPGHYVIFWEVSGEASDELLKECCNRLDLSFVDAGYVSSRKTKAIGALELRVLSKGAFRKILDHYIEQGTVLNQFKTPRCVGAINHGVLQILCDNVVKSYMSTAFD >KVH91103 pep supercontig:CcrdV1:scaffold_386:52997:55088:-1 gene:Ccrd_006898 transcript:KVH91103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MASSITTLPFFISHKTSPIQKPRFSLENSVLISKGPSEKTAFWGKTLNLQVGSFGGEFRKNHLRFSPIQALVKRRKELPFDNVIQRDKKLKLVMKIRKILLSQPDRVMQLRDLGRFRRALGLQRRRRFIALLRKFPGVFEIEEEGVFSLRFKLTPEAERLYLEEMKVRNEMEDLLVVKLRKLLMMSLDKRILVEKIAHLKNDFGLPLEFRDTICQRYPQYFKVVRTERGPALELTHWDPELAVSFAELEEEENLAREAEKRDLIIDRPPKFNRVRLPKGLQLSKGEMRRISQFRDIPFVSPYSDFSALKPGTAQKEKHACAVVHEILSMTVEKRTLVDHLTHFREEFRFSQQLRGMLIRHPDMFYVSLKGDRDSVFLRDAYRDSQLVDKNRLLLIKEKLRALVSVPRFRGRVVKTDSDVGEQEEEEDDDWSDLDDLVSNEMDDNDEDDDDDDGMPPDFNEDDESVKMSQSKQAKKDENKVLDPVFPDGKPRERW >KVH91092 pep supercontig:CcrdV1:scaffold_386:76724:84280:1 gene:Ccrd_006895 transcript:KVH91092 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding, MFMR MGFGASFVACCVTLCWLCSLKGIQSTDNSTLRSLDDIFQVYAQSSLPRRPRTGVLYNASLPSNFTGIEVSIVRLRRGSFWAKGANYSSFRLPPKIFTEPYSTRLDIVYSDLGNESSHYYSVPNYTLVAPVVGFNIYGSRNSTGQIGNFTTPVTKLNLTLVGGPILVQFPKISLPQNEKPKCVRFYLDGTVEMTNMTLQNMCIVQDQGHFSIVIRTSPPIQQAPKENKERVKGLWKWWAMGFVSPSSGVWSCYIDMLVVLQLSDRLMGIHEEEKPCKPEKSSSPPTEQEQQQQQTNVHVYPDWMAMQAYYGPRMAVPPYFNSALAAGHGPPPYMWGPPQHMMPPYAAFYPHGGVYAHPGVHLATSPLNVDSPAKSSGDSDRGLMKKLKGFDGLAMSIGNGNGDGAEGGNDNGISHSGETEGSSEGSDGNTTEGGQVGRKRSHEGSPILPAVGKSETHSGELLSTGADGTSSKTSGVAVASAKVSGKAGGVLSANPITELNLKNSPTAAANMTSSFVPLIPNENLLQAEAEELAVKVEALNNENLTLRGVMEDPRCNKGSGSSLSTANLLSRVDNSSGCVVRNEGESEVNKDPDSGAKLRQLLDSSPRADAVAAG >KVH91100 pep supercontig:CcrdV1:scaffold_386:85260:91012:1 gene:Ccrd_006894 transcript:KVH91100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MVSSSSRQGLRLSASMSADASSSGVDLHGKVSTDTFNQVSDYSMVSPSSNFNLGFSERAFSAAGAAFLSAIIVNPLDVAKTRLQAQAAGVAYQNLSHTCSFETNTMLPDRRCFPSCHRSVLGKPSCAPDSSQYKGTLDVFNKIIRQEGFGRLWRGTSASLALSVPTVGIYLPCYDIFRNFLEEFSSQNAPSMTPYVPLVAGSVARSLACITCYPVELARTRMQAFKDTLHAKKPPGVWKTLVGVVSTNRSTNNLRTLHSFRFLWTGLGAQLARDVPFSAICWATLEPVRRQLLAMSGDGTNPVTVLGANFFAGFVAGSLAGASTCPLDVAKTRHQIEKDQVRALKMTTRQTLVEIWSRDGGTKGLFMGVGARVGRAGPSVAIVVSFYEFVKYVLHTQKLTNTQTSTTGGGRC >KVH91096 pep supercontig:CcrdV1:scaffold_386:93824:102950:-1 gene:Ccrd_006892 transcript:KVH91096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter MQWYVVASLLTLLTSSQGILTTLSQSNGGYKYDYATIPFLAEIFKLLVSSIFLWRECKKSPPARMTTEWKSVRLYPIPSIIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGILFRLFLKRKLSNLQWMAIGLLAIGTTTSQVKGCGEASCDSLFSSPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNVQLYTFGAIFNMARLILDDFRGGFERGPWWERLFNGYTITTWLVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFTFKPTLQLLLGIIICIMSLHMYFAPPSTLVDLPLAVKAAPQSGIEVSVNRRTDS >KVH91094 pep supercontig:CcrdV1:scaffold_386:109872:118540:-1 gene:Ccrd_006890 transcript:KVH91094 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MEEDDGKMEMDKLEILGNHIDVETCNLESQRFSTMASMNRHGESSRQADTRPIRISDTGFLGNETNARNYSLLNPNIASSSGTREYIRQGSRYNFPNLVRASRSNPCGHFGSQEGEPTLMVSQKDLSSLSLDPANGKAWWANQDPKEQPSDSLPLRDKRLKVSSSVNFSDFVVRQSTRGMGILCESAGITNEFNAAEMTQDTDKKDLISRMTTDISLDLSGQNVDFPQETSNKGIPEPYIQGMSLRKWLKNSSIEVNKAERLQIYRQVVQTVDMAHSQGNALQGLWLSSFILLPSNEIIYFDSSTEDKVMTITDQNNGRKWGFEQGSSINCQLDVKQQKAEDIMNQIGEKLHSSTHQATNSKFLDKLNSRSQGLHGLHFNHGHGAQNNRKHKPGPESYSVDVELEKNWYACPEELYGRDLLSANVYSLGILLFELLCSFVSLEMHSAAISDLRNRILPPSFISENPQEAGFCLWLLHPQPSSRPTTRDILQSELLSGTKEVYFKSNLSSIVDKNEDVEFEILLDFLISLKEQKEKHALELCGNIQFLETDIKNFQHENVLRMFYDSDILEERMKTYISQLESAYFSKKSQLQLPDTVSNGRNDLDLLGNRERCSLDPVGVKEPTMKEKYVGFTDDFLNSICKFIRYSKFEACGTLKMGNLLNSANVICSLSFDRDENYIAAAGVSKKIKIFDFDSLLHDSIDVNYPAVELLNQSKLSCVCWNKYLKNCLASADYEGVVQVWDAFTGQGLSHYREHQRRAWCVEFSHVDPTQFASGSDDCSVKLWSLNEKTSTCTIRSAANVCCVQFSSRSSHLLAFGSADYKIHCYDLRHTRIPWCTLAGHQKAVSYVKFLGSDSLVSASTDNTLKLWDLKKTSLEGLSTDACCVTYRGHTNVKNFVGLSVLDGYIACGSESNEVYAYHRSFSMPIISYKFGSIDPIAGDENGDGNAPHFVSSVCWREKTNMIVAANSGGSIK >KVH91104 pep supercontig:CcrdV1:scaffold_386:3391:8609:1 gene:Ccrd_006903 transcript:KVH91104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin family MKNNSNNNMVADKTRALPVDPNLPRWVCQNCRHSLSITGVDSYPDKFFNDSSTYRSGMQGSSMHGAGSVLGTRMDQSFVVLPKQRNQSSGIPPRPRNGAVNPEANQSGKAMEESFVVLPPPAASVYKSEPAADGGGTHVPSHEGGSNTAPTQSNNSGFHSTIXVLKRAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEDVNSDIKAYEACLQRLEGEPRNVLSEADFLREKLKVMHKKLLNLMIYIEEEERKLEAAIQETEKQCAEVTGELKELELKSNRFKELEERYWHEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKRTNVLNDAFPIWYDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMAQYFRPKFQYPSFWIVTLMLSLLFKFLDSNLLECSYRIKILPMGSYPRIMDSSNNTYELFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANSKDKENNIPPEKCFKLPYKIENDKVESYSITQSFNKQENWTKALKYTLCNLKWALYWFVGNTNFQPLAATVPSSHSADASGSLYRKRAPDPKSHQQQQPSAP >KVH91101 pep supercontig:CcrdV1:scaffold_386:71591:73864:-1 gene:Ccrd_006896 transcript:KVH91101 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4308 MATAFAAATSSTAIAGVLPRLPTTTTTPRCSALPHLPARSFSTSIKQVSVSRRSSVFQIKASEDASSSADPNELFNDLKEKWDALENKSTVIVYGGGAVVAIWLSSIVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKSSRKELATDIESIKKKIAGTE >KVH91087 pep supercontig:CcrdV1:scaffold_386:158145:160857:-1 gene:Ccrd_006886 transcript:KVH91087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRKWSLLTGPAVLCGGVAAAALAVNFIFKQCALHGNTPYCFDLGKATSGVFGLEKIPSLNRQEKSKVRHHQASRTDVKR >KVH83536 pep supercontig:CcrdV1:scaffold_3860:54080:55603:-1 gene:Ccrd_025471 transcript:KVH83536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MVIIGGGGVGAVIGGGAAAASTMSGGAATAEARAAEERKGEKEESDDDMGFSLFH >KVH83535 pep supercontig:CcrdV1:scaffold_3860:47517:49498:1 gene:Ccrd_025470 transcript:KVH83535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKVKGGIDYVLELEEKDLCQLISFQHLSFDPDGKLDYMGFNEKNVKLSGFDLKGVFVG >KVH89255 pep supercontig:CcrdV1:scaffold_3865:12452:13650:1 gene:Ccrd_008758 transcript:KVH89255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRFLYLNDGHFNGVLTPKVGKLQYLESLDLRNNSLSGNIPEEIGNLTKLRELYLADNKFSGGIPSSIANMMDLEVVNLSDNSFSKQIPTRIGRLPNMTTVVLHNNQLMGPIPSSIQNLSKLKVLHLQNNKLTEEIPIGISSEIPESLGNLKSLKELNISNNNISGHIPVSSSNLKGIESLDLSHSKISSSIPKSLAKLGELAILDVSNNKLTGKIPVGEQMNTINELKYFANNNGLCGMQIMIQCPEDILPSEGIEIGEDDEKLSWIFWVGSWIGILVGFFLSILIMGYSLDFLQLFKIW >KVI06955 pep supercontig:CcrdV1:scaffold_3869:45207:45846:-1 gene:Ccrd_014691 transcript:KVI06955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIYHLRPVVEQTDTHSQSTGLRRFFQAHHRDRRPYSHFSILSRQRPDNRRDGFH >KVI06953 pep supercontig:CcrdV1:scaffold_3869:14127:16261:1 gene:Ccrd_014688 transcript:KVI06953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSSKINELENELKGLEFLIHQLRHVNGNDIPSFLAETGYVSKQEIEAAIVKVTQSLRKAKGEQDEIEEKPDPSTTEKYTLIDIPDNMLTPEQLKEKKRQLFLKTTSEGRQRAKQKRFEEELERERRNKEDEQRRLENPELYMEQLRVKYRDLSEKVEQRKRLKTNGNHGNESNNVSGGVGRGERLNAAQKERMRLLTTAAFDRGKGEDTFGIKDEDWQLYKKMSKDNDDEDEGPNEDEAELARVASRLRMAGIGQHAPKCRIDVNYPLMQEIDPTFFPKSEASSSGAEPPRFRPLTKEDFQILIGVERFRCPEILFRPNLIGVEQAGLDEMVGVSIRRLQQSRSEDIEENMTNSILITGGSCLYPGMSERVEAGVRMMRPCGSLIRVLKASDSVLDAWRGAASFASTMHFPRQVFNKKDYYEKGEDWLRQYQLKYAF >KVI06954 pep supercontig:CcrdV1:scaffold_3869:29653:33607:-1 gene:Ccrd_014690 transcript:KVI06954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVLKKSIYYGRFDGFQSTVVPKAPRSLRRSSIRKLSEGKLCAFDLLAAVADKLLQESESSTSSITPERKGQISVNAKDFVKQEEPEVTESTNLEWNLEPPTIKSPESDDDSGLEHVSDVRTSGFLKKVGNNVKLEPSEGENVDKLEGNAPDHGGICDFNLVNNIAEIQTEPLGKQSGNLTFRDPAESCVNTRVLNKSYSSVHLPFYKDPVSCFTRRGGNVKMSIIDNDESSFRYNQHGTKKRAFRSQSHAGYRRIGKMLTSRYRKVMPKKDDEVTNSTSGKYAEAGSKRRKLFHHSSNNASNNVKLSIKSFEVPELYVEIPETATVGSLKRTVMEAITAILVGGLHVGILVDGKKVRDNNRTLQQMGISQNCDLETLGFTLEPRFPHAFPCPIQKEPPLAILCDTSLQQSRSEGSPIMDVGFSNSSLDLPPASNNNHENIALQSEVLTEERVADSKAIVKAEALSIVPVDHKPTKSCEVSQRRTRRPFSVSEVEALVEAVETLGTGRWRDVKLRSFDDANHRTYVDLKDKWKTLVHTASISPQQRRGEAVPQDLLDRVLAAHAYWSQWKHQTQPQCSSLDFVGI >KVI06951 pep supercontig:CcrdV1:scaffold_3869:9663:13717:1 gene:Ccrd_014687 transcript:KVI06951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISQTQRQSDYNLIPPSCPIVIDNGASYFRIGWAGESEPRIIFRNIVQRPRHKITGETVTIVGDHDPALLKYFDCTRSGPRSAFDNNVVFQFEIMEYILDFAFDRLGADQTPINHPILITECACNPVQSRSKMAELLFETYGVPSIAFGVDAAFSYKYNQQLGICDRDGLAICSGFTTSHVIPFINGEPAYEACCRTNVGGYHVTDYLKQLLSLKYPHHM >KVI06952 pep supercontig:CcrdV1:scaffold_3869:26751:28973:1 gene:Ccrd_014689 transcript:KVI06952 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDSSQRRKSGINLPAGMSETSLRLNTGVGSPSPLIRTLSSPSPRTMSPRTISNLTSSPSSKSTGACSDRFIPCRSSSRLHTFGLLDKASPVKEGGGGNNEAYSRLLRCELFGPDFGFSSPAGTTKGSSSPASSPSKNMLRFKTDSSGQNSPYSGSILGQDNGLSSESATPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGSCVYLWTATTGKVTKLCDLGPNDGVCSVQWTREGSYISVGTSLGKVQVWDGTQCKKVRTMGGHQTRTGVLAWSSRILSSGSRDRNILQHDLRVPSDYISKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSAQPLLKLTEHTAAVKAIAWSPHQSNLLVSGGGTADRCIRFWNTGNGNQLNHVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPTLAKVATLTGHSMRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKSPAPVRDSGLWSLGRTQIR >KVH83393 pep supercontig:CcrdV1:scaffold_3870:8808:35369:1 gene:Ccrd_025472 transcript:KVH83393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRNQDHSSHYNEAGGPFGLSLTKSPSLIKELEFILNKEKQPEEHQEQFAHDQSAVKKLKATNIPAVFLKIGSWKWVSKNEGDLVAKFYYGRKKVIWEFLYGSLKKKIEIQWSHISAINSFVGEDKKGVLEIDLSEQPEYGQEVRFQAGKHTLWEPSGDFTQGQSSVCRRHTVVFLPGVLDEAFMKLLQCDSRLFNVSRRPFPTHNSTLFCNQILDFSNNRSFVHGSSSVPAVSNYGHQGMFLPPAQNTVQKQIVDGICEESRNGQITGICPVLIHQEALENLQSSIPIMGLTDQSIDRRKLSKMGTVGSIKPKRFGAHGISYGPSLTSTIFGDWQSQQCFDYPATMT >KVH83394 pep supercontig:CcrdV1:scaffold_3870:36941:39378:1 gene:Ccrd_025473 transcript:KVH83394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRNQDHSSHYNEVQGQHQLHVSGNFFNGCSSHDHSGDHLNNGGLHDSRYNHQFPANEIILNPQAGGPFGLSLTKSPSLXKELEFILNKEKQPEEHQEQFAHDQSAVKKLKATNIPAVFLKIGSWKWVSKNEGDLVAKFYYGRKKVIWEFLYGSLKKKIEIQWSHISAINXFVGEDKKGVLEIDLSEQPEYGQEVRFQAGKHTLWEPSDDFTQGQSSVCRRHTVVFLPGVLDEAFMKLLQCDSRLFNVSRQPFPTHNSTLFCNQILDFSNNRCFVHGSSSVPAVSNYGHQGTFLPPTQNTVQKQIVDGICEESQNGQVQEISFPPIGDQQDHLDILNHGGESTSMILDQELDLHLTDIQNLAEFTNNLSWIPDLQQEQHLTDILNPSDPFSNKQNFFEVEPTCNPFKQNHRINSNGIINGFTFDDADQSHVNSAVVLVE >KVH82898 pep supercontig:CcrdV1:scaffold_3872:4357:21105:-1 gene:Ccrd_025474 transcript:KVH82898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MFEGNLPQCFNSLSSLKLLDISSNQFRGTLPPLLIAILTSLEYVDFSDNNFKGAFSFSSFSSHTKLEVVIFKCNNDKFEMETKEPMGWIPMFQLKDLVLSGCNLNMPKAKLAHLTNLEELDLSDNSLNGTPSIQGDCIEEERKALLEIKTSLIDSYDLDLDKFLPSWVDDSSIGGECCDWERVTCNTTIGHVTNLSLRNMVWFPLTSLNLSRNCLDTNIVNTGLGRLSSLKKLETLDLSRNSIGNETIHSLGALTSLRVLNLGYNNLKGYFPALGIESFITSLSALPILKSLDLGENGRLYGTSFPVEELAHLTNLEELDLSGNSFNDTPSIQECTRLSRLKKLKSISLRWNNFDKSIISYLSALPSLKTLDLSSCFLSGAFTSFHHLKVLDLSWNNFVGSIPLTINALSPSIRDVSFAYNNLNGSLLGLCELKNLQELXLSGNMFDXNLPRCFNSLSSLKVLDISSNQFRGTLPPSLIANLTSFEYVDFSDNKFEGAFSFSSFSHHTKLEIVTFK >KVH82897 pep supercontig:CcrdV1:scaffold_3873:2675:3037:1 gene:Ccrd_025475 transcript:KVH82897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKKSTLKLAQRVMDNFCAGVCASTVYKWNKLFSNNVDEDVRVMTRQSVDDPGEPPEIVLSAATSVWLLVSPQRLFNFLREERLRSEWDILSNEGPMQKMAISLRAKITATASPSFVLA >KVH82896 pep supercontig:CcrdV1:scaffold_3876:3281:4251:1 gene:Ccrd_025476 transcript:KVH82896 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, CDC48 VSNQTISSRLEKDDASIYSSKSKEKQTQQPERLSLQLLFEMVANRSLVLFSRYQGLVPLHTIQLHRYAKQSNTDKLLTEIDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKSALRKSPVSKDVDLRALAKYTQGFRVCITHSSLGQVEIREKPKLNDQEPNETVYTIQLQSR >KVI11381 pep supercontig:CcrdV1:scaffold_3877:29977:46283:-1 gene:Ccrd_010209 transcript:KVI11381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthase family MGEAVVFPLSNDFHQPNNLGAENIVNDHDGSWFEEVIDDDLKWSFALNSVLDKGISEYQDIVLLDTKRFGKALVIDGKMQSAESDEFIYHECLIHPPLLCHPTPKTVFIMGGGEGSAAREALKHESIEKVIMCDIDQAVVAFCREHLTANKEAFINKKLELIINDAKIELEERKEKFDIIVGDLADPVEGGPCYQLYTKSFYQEIVKPKLNPDGIFVTQMWSCTRVMCLLLLMNGDGLWLKNETHVYTEDNARFIHGQGVGKKCSH >KVH89215 pep supercontig:CcrdV1:scaffold_388:181300:183354:-1 gene:Ccrd_008803 transcript:KVH89215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MPLPFEFEGKGVVLLQDFAVNPFVNKKRKKKYINEPTSVLDSINTIPSPPASTSTLSSSLGGGDTAGVAAFSSKWPPPENQETSTSNAGVVDVHQFQQPSLDEKCVGMVDWETGESGQDQAMLRWIMGDVEDPSMGLNKVLHGNGGGGGGGAVDFEFNGGFGVVDQGFSIGLDSGNQIVNLSQISNKFTNDKTGLSTNPQLPPSPPQAMFSNHHHHHHSQNQIFSPLNEPQMLPFDIKPQMFNPQLLINQSQPFEHQLLMPPQPKRHNPGSIEIPKNPFLDSGQNPLQLLQKSPSMKKMAAINEVGLGNQNHHHHQQQGIIDQLFKAADLIQSGNNPILAQGILARLNHQLSPIGKPFDRAAFYFKEALQLLLHSILNNIHPQITPTASPFSLIFKIGAYKSFSEISPFLQFANFTCNQALLESLNGFDQIHIIDFDIGYGGQWASLMQELALRNNGVSSLKITAFASPSTHDQLELGLTRENLIHFASEIRVGFDFEIVNIDVLASSSWSLPFHVSDTEAIAVNLPIHVFSNHQTQIPSVLRFVKNLSPKIVVSVDRGCDRTDLPFSNHLIHALQSYSNLLESLNAVNMNLDSLQKIERFLVQPAIEKIVLGRYLFPEKTQHWRTLFLSAGFSPLLFSNFTESQAECLVKRTPVRDFHVEKRQSLLVLCWQRRELVSASAWRC >KVH89214 pep supercontig:CcrdV1:scaffold_388:110211:117645:1 gene:Ccrd_008802 transcript:KVH89214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MIASPSLMASSSSYCVKIDGLRGTDTTAFIPISVASSICACQFSPKSLILSRPSINLKLKFVDALFQIPNGGFGIHCNRPRIFTKTLVVRAEANQIEDEEPVEVNKNHGSSKEDADLMQQQKSSQLAKRVVFGLGIGISAGGVVLTGGWVFSVALAAAIFAGSREYFELVRSHGIASGMTPPPRYVSRVCSVICALMPLLTLYKGQIDVSVTSAAFVVAITLLLQRGNPRFSQLSSTIFGLFYCGYLPSFWVKLRCRLTAPALNTGVGATWPVLLGGQAHWTVGLVATLISISSIIAADTFAFAGGKAFGRTPLTNISPKKTWEGALAGLTGCIATSSMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYAFVRNLLPVYGV >KVH89213 pep supercontig:CcrdV1:scaffold_388:62006:64180:-1 gene:Ccrd_008801 transcript:KVH89213 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECSIT-like protein MLTILVSLSSRFPRPLVSKIRPLINLQTYSTHSTNPTKSLSPISFSDHQTPKRPYPDHYLSVREEPMVDQPTILETLTCYANDWKRAMDFFNWVEAECGFKHTTETYNHMIDILGKFFEFDRAWQLLDKMPERDHTTFRVMFKRYAAAHLVQEAISTFDKLGEFNLKDETSFLNLVDALCEFKHVIEAEELCLKKDKNFNEKIAGFVMGTKVYNMILRGWLKMGWWSKCREFWEQMDKDGIIKDLYSYSIYMDIQCKSGKPWKAVKLYKEMKKKGIKLDVVAYNTVIRAIGVLEGVDVAVHLGREMLELGCEPNVVTYNTIIKLLCENGRVLEAYKVLDKMFRRGCAPNAITYHCIFRYLEKPNEILALLDRMIESGVRPTMDTYVLLIRKFGRWSFLRPIFIVWKKMEDDGLSPNEFAYNGLIDALVEKGMIDMARKYDEEMLAKGLSAKLRPELVRGECDDG >KVH89212 pep supercontig:CcrdV1:scaffold_388:14922:18671:-1 gene:Ccrd_008800 transcript:KVH89212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MNQSPPPPPPHQHLPNGFPYGLLSPAVLNDTHLMPVNLPSSIDVDQTLSNAISSLNLSQRDRWTFLPHTLDNEHGGVGSPIGGEGFIITPFRSNSSSVSLEESLRVSNADYQRTYFGVAHPNPLPIDHLPPHQHYPCPLQISYNREFLQGSCSSGFDPRFDFDQRSYLLSKQRLYSTQQLNSYHNRCSLTPAVGTLDQSLLGSSNFFMSRDNICHSNNHSCDGMSDKLQNQQFLSLLPLKELRGMVYFLAKDQNGCRILQSKFENPTKDEIDLVLSEVVGSIADLMKDQFGNYLIQKLVSVCNDDQKTVILRELTEGSIEIILVSVSPYGTRAIQKLLENLKDPNQIMMVIRALHCGAAKLANDPNGHHVLQYCLLHFDSDFNQLILDEIADNCFKVATDRSGCCVLQACVEHSRGKARNRLIAEIMANAIHIAEDPFGNYVLQHMVGLKLPDLTQLLVRQLQGNFASLSRNKYASNVVEKCLIESGPEISTNIILELVGSPNPSLLLVDPYGNFVIQSALKVSKGFAHECLRKLISRNVTAMQSNLYGKKILEKIEKRRIMHI >KVH89649 pep supercontig:CcrdV1:scaffold_3883:6247:51907:-1 gene:Ccrd_008358 transcript:KVH89649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSPPFEQSQWFEDFRGLQDFNICILECESNLFKNLSDLQGANDIFGDLDDLLIKYKLEPTNVSRYDETTKLKEMKLEDEFEPITLSNMYMAKKDDHVRKIVIPERVQISEESTGPRPTVDTNVKSVGQEERVVEETTIEETVACDKSGSLTNSRHDIYSFGSGKLNGNIIVLERPEYGYITCSLADLVTIVKLTSCSRITLIENRALTVGDDMTEGEAWVLMEYGWIPNSGLGTTLSLGNSFW >KVH99501 pep supercontig:CcrdV1:scaffold_3884:38690:41125:1 gene:Ccrd_022264 transcript:KVH99501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MHFPFLFLSSLIIISYFPHVATAPSSPNVLTQGSSLFVENDDLLVSSNGVFAAGFHPVGENAYCFAIWFSKPMSDGNLTLVWMANRDKPVNGKRSKFSLWKTGNLVLTDAGRHVWRTETISTNSQLKLLDSGNLVLIQPDDQSYLWQSFSFPTDTILSNQRFTRDLVLVSSRSSTNLSSGFYKLYFDDDNVIRLVYDSYEITSVYWPKPWLRPWDAMRSTFNNSRFALLDSKGQFKSTDNLTFITTDYGQIHHRRMTLDVDGNVRVYTLNKGSWTVSWQAISKMCSIHGICGPNSLCTYSFESGRRCTCMHGYKAKNHTDLSFGCEPIIKLTGHRENYEFIRLPHVEFYGYDSIYLENSTFKECHDICLNGSNCKAFQFTFRKNNFECYIKSLLFNGYYLGGPFVTYLKLSKEHVLSFDQKVANKTSLNCSSSTIILKRTYVTKQANGSLKFMLKFSIIFGVIESMFLFVFFFYINRKAPGATTQYLALTTGSRRFTYNEIKKASNNFREEIGRGGGGIVYKGILPDTRKVAIKQLHEAGQGEAEFLAEMSIIGKINHMHLTETYGFCAEGKHRILVYEYMENGSLAWNLHTNQLNWQKRIAIATGVAKGLAYLHEDCLEWVLHCDVKPQNILLDADYNPKVADFGLSKLFNRGATQDSIFSTIRGTRGYMAPEWVFDLQITSKVDVYSYGMVVLEMITGRSPTCDQASNHSQRAEQKPLVSWVREKVQEANGSLTESQMVELLDPTMRAEYEKDQMENLLKVAEYEKDQMENLLKVALQCVQEDKDARPTMSQVVKMLLHQQIRDSGETS >KVH89225 pep supercontig:CcrdV1:scaffold_389:48853:62228:1 gene:Ccrd_008787 transcript:KVH89225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase MVHAVNASEFGLDVEDYILLEFALCPMSCFKTSNSKINTKYVQSQKLLYAKVDKRCNSQKFSRLNSKSDQETIERYEFTNLIESTDFCQDLLLFQSELLKSDECCCQDSLIAKNNVDAIKMVVIIVIQQATDFEQLAVASSVAAVVLFRLMFSCVTQWVAGGAASGKTTVCDMIIEQLHDQRVVLVNQDSFYHKLTAEELTRVHEYNFDHPGKFYAFDNEKLLSAMEMLKHGEAVDIPKYNFRSYKNNVSRRETRTRFYTSCYFCDCRRGWKRLCILQSLEAKRLGKSGTFFSCVSSKNHVLGIGLPIWYWTICGPGLPGSLSPFYQFFHWVEHFASKLYHSFLSFF >KVH89226 pep supercontig:CcrdV1:scaffold_389:161498:162475:1 gene:Ccrd_008788 transcript:KVH89226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSMEEKHTFKSFANGRALTSHLFNLYLHSNPHEYQNHQQDFQSKNNTFRSVDSEVLFAGGVTVTVTPNAAVARGSVSEPESSRNATVRRRSKRIRKPEVSWFGDRRHFHQLDRCNQSQYLKKSMIVNESSLVDPDSVSSISDFSPEEDVAYCLMMLSRDRWIEEHQKPDDEFDDEEKEDENDSDTDSESEREILNVKRTPARSKYRCDTCNKVFRSYQALGGHRASHKKIKLSHHNSNHQTQNVSMEDKIHECPVCFKVFASGQALGGHKRSHFTASSSAITAAKPVTKQRINLIDLNLPATIEDDDVSQIEVSAVSDGEFVKPH >KVH89224 pep supercontig:CcrdV1:scaffold_389:268487:269568:1 gene:Ccrd_008794 transcript:KVH89224 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSCHGCRVLRKRCDDSCVLRPCLDWIDSPEAKARATLFVSKFFGRTDLINFISSVPIHKRNALFQSLLFEAVGRTVNPVNGAIGLLSTGNWHLCQAAVRTVLAGGTPTVLSNSFHHIPEIDGRSGVSETGGSWSAMMIRNQIPSGKCSITNAAPLANXKVAXSAAGDEQXFSASNISKVLMSFRCSDGEEPKLLNLLV >KVH89223 pep supercontig:CcrdV1:scaffold_389:241860:242161:1 gene:Ccrd_008792 transcript:KVH89223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNTGPINISNPNEFTMIERAETVKELINLRIEIKMVENTPHNPGRESQTSQMQINCLDGSQ >KVH89221 pep supercontig:CcrdV1:scaffold_389:197416:198075:1 gene:Ccrd_008790 transcript:KVH89221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MALQAFISSPTAKSMPFFRQDSFNHLRYLESSWTKGKRSKRPRTFDDHPPTDEEEYLALCLMFLAHGGSHDSSIHPPQKIKQYKCTVCNKGFGSYQALGGHKASHRRNGDVEPATVTTTTLNSHECSICHKCFPTGQALGGHKRCHYDGIIAGGHVSTGNNSSLGMGSNHSQRGFDLNLPEYMPEFVDDEVEGPHPVKRSRLFAPAKLSGHRRPIVFTI >KVH89222 pep supercontig:CcrdV1:scaffold_389:224377:236056:1 gene:Ccrd_008791 transcript:KVH89222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSCQLLVSNTPSSATRLFLLPSVALSHCSSLPPASVALSLLVSSSLGLPIVGVEGITFRLCLXSPSPSLAAAQAFRLCLPSPSPALXAAQAFRLXLLSPSPALAAAQTFRLCLLSPSPASIDVLFRQALLSFSRAPSRGLEFCTSAERFVFFVEQTTILPMLRLLFGDRLLPNFKRNYFPALLQSDRCLHTLYSSFADDTQTSLNYSGIDNREIDVDLLFDSCTNSQLAKRLHTLLIVLGKVQSLFISTRLINLHANLGNISLCQQTFDQIPIKDVYTWNSMISAYVRNGIPSDAVNCLYKMSRYEVKPDFYTFPPVLKACRNLNDGKRIHSWISKAGLEWDVFVAASLVHMYCRFGLFDAAYQIFRNMPFRDMACWNAIISGFCQNGKGEKALEVLDEMKFEGIKMDSVTVSTILPVCAQMDDMVQGRLTHFIAQSRDSQSSRCVHGFILRRCWFVKDVIIGNAVVDMYAKLGDMDSAIKLFGSICFKDVVSWNTLITGYGQNGLACEAIEVYRMMVKTEGIMPNQGTWASIIPAYAHIGALKEGATTHGRVLKSGLLSDVFIGTCLIDLYGKCGEVEDALSLFYQVPRKSSVPWNAVISCLGIHGHGDKSLQLFRHMLDEGVQPDTITFISLLSACSHSGLVEQGERFFNLMQHDYGIKPSLKHYGCLVDLLGRAGQLEKAYNFIKSMPLQPDASVWGALLGACRIYGNAELGKVASDRLLEVDPDNVGYYVLLSNIYANAGKWEGVNRVRSLANSKGLKKTPGWSSIELNNKMEVFYTGNQSHPQCDLIYEELENLTAKMTILGYIPDYSFVLQDVEEDEKQHILTSHSERLAIAFGIINTPSKTPIRIFKNLRVCGDCHNATKFISKITEREIIVRDSNRFHNFKDGVCSCGDY >KVI04753 pep supercontig:CcrdV1:scaffold_3890:22677:24278:-1 gene:Ccrd_016926 transcript:KVI04753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MCQQNSSPPFLKCNSTQKQSHIFNPLIPRTPFLDVNPHKSHLSLAINETIAIAQIAIPMILTGLLMYSRSMISMLFLGHLGELPLAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAGKRTLLGLCLQRTILLLLFTSFPISLLWFNMKKMLLFCGQDDEIATMAQTYLLYSLPDLLAQSLLHPLRIYLRSQSITLPLTFCASLSIVFHIPINYFLVTKLGLGIKGVAISSVWTNFNLVASLIIYILISGVYKQTWGGLSKECFKGWNSLLNLAVPSCISVCLEWWWYEIMILLCGLLVNPRATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGAGRPAKAKLAAIVGLCCSFVLGFSALFFAAGVRNLWAIMFTQDKEIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPRIGANINLGCFYLVGMPVAVVLGFFLGFDFEGLWLGMLAAQMSCVVTMVVVLGWTDWEVEAERAKELTDGGGNGGGGGDDDDDSEETKGEKYKLIKAANKEEESMGLGDDLV >KVH82794 pep supercontig:CcrdV1:scaffold_3893:29081:53247:-1 gene:Ccrd_025477 transcript:KVH82794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGAGSTFSLNSSSQDGHSSSPYDDFMIQHRCNMVIPVPIQMLRFHRLFHMPKKILERFVVVKKRHTSSPNVLGNTLVRASKATYSVRRHGCLFVVESSDSNLADAVYGTRVRVTARKSVPIPIRRTFSIPHRDEAGPSRTRDRSVTPPPPPPPPEDRAPVARPTGMTPTKSYMFSGMTHDVLTHRMKLESHDHLIEGFTNMMATHHETMNKTIELLVHTMVSVRRLYSYVFLLMMIMMVILGWMILWVRH >KVH97015 pep supercontig:CcrdV1:scaffold_3894:45002:46032:1 gene:Ccrd_000891 transcript:KVH97015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MAQRMVNTVHDWSTVKTVLDVGCGRGILLNAVAMQLKKEDRKNTTLSTLRTAGMEGVQEYVTCREGDARRLPFPDNYFDVVVSAGFVHKDGKEFGQKSAAASAERMRVVGEVVRVLNEGGIGVVWDLGFSVKTRGAPVERKAPTPEKTKATAKDPDEDEDEYDE >KVI08232 pep supercontig:CcrdV1:scaffold_3895:29787:44470:-1 gene:Ccrd_013398 transcript:KVI08232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQKHSKSHGSSKRPGKHGLKPKIKSSKKVKKSESEAAPKPPPPPPPPPELLLTTSQQLEFFIQQYQSANSIQLSSLELESFTDACILKLSESLPQDVSNLSEHMKAAFGPSWKEVLCKKQLLGKISEPGSPALLTISLSALRSLELLRSLKPFTRDCHAAKLFAKHLKIEDQVSCLKNHVNVACGTPSRIKKLIDMEALCLSRLAIVVLDMHSDVKGYSLFSIPQIRDEFWELYTTHFHQRVLDGSLRICLYGTINANNFKKKGKTDASG >KVH82793 pep supercontig:CcrdV1:scaffold_3896:10507:22782:-1 gene:Ccrd_025478 transcript:KVH82793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIGDALRQAFMQKHEYESLRDEDKAWIKLQRPILISVFSFITSSIFIATIISLKIMFPSDPGRRPFCKDLRIQPLSINFSSIETADIGIRGEDRVGGAFYLTDQETVDYYWMVVFVPSAMVFALSAVYLVAGITVAYAAPTRHGCLKVVENNYCASKRGGVRCLSILNLVFAITFGLLALFLGSTLLTLGSRCSIPLFWCYEIASWGLVILYGGTAFHLRRKAATVLDESEFGGRNLGLEMLEAEFTPDVERRVNEGFRSWMGSSYLSSDEDEDENDPNAYQHLSRTNSTSRQRG >KVH98711 pep supercontig:CcrdV1:scaffold_3897:16388:36534:-1 gene:Ccrd_023062 transcript:KVH98711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase MatK, N-terminal domain-containing protein MVIFKSPQVGFEPTTNRLTADRSTTELLRNNGRFDRFPMLIGRGHQLRIGCNNPNDPTTSGPFRRCTKAITLRSASTKKATPNRSGRIIPKLLCTFSIFYSLMIGHRLFFFLYTSHVCEYESGFIFLRNQSSHLRSTSSGALLERIYFYGKMEHLAEVFARAFQANLWLFKDPFMHYVSSPGCLFSCPWIQQQFERLTYSGISGSMLIFNSPKHFVAYYALPRLWVPSIIVEILDYCGSTLNRNIQNHYGDIVCKMENENGVDGGARLVVLERWVE >KVH98710 pep supercontig:CcrdV1:scaffold_3897:25675:27382:1 gene:Ccrd_023063 transcript:KVH98710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGSNNLIEDRHSLDVFVIDGWPYEAPNFATVTKELLRQGHPKEMFAHGRYEMK >KVH98709 pep supercontig:CcrdV1:scaffold_3897:33529:35720:1 gene:Ccrd_023064 transcript:KVH98709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQQNDNQIASSSAAQAVDTYNTHATPVKINSTKPIYRNDGEVQTRKGLRWIPRHPETRKGVVSDEMLRGVENKHRSGDSRIEGLQKMLIVSEKIGYGER >KVH98328 pep supercontig:CcrdV1:scaffold_39:203952:210774:-1 gene:Ccrd_023443 transcript:KVH98328 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MYAVGRLSSYITRGVSTVSGPFHPFGGAIDIVVVQHEDGSLKSSPWYVRFGKFQGVLKAKERVVDINVNGVEAGFHMYLNPRGEAYFLREDVDPEEILGEPDREGRRLRPIKSKSLDINFDQCNSLIDVNDSKSFSRNLGFVFSRKARSDNEEDDLSVGRRNSRESAEIAADLLEMKWSTTLPSETNNRNDGRVNQDDGEITSGKLEASLVLHEEHFVNNLEDNEGNTKVAKEVDKVIVANADSDGNVEIYEGDLGSLENGETLKVGSDGSNGPETAEEPSKAVEESYTEVACIRQGDVSEEVNVVEVSVCRAEDDKGTSDANETDKIKSPLKSNGEFQDGSNASLLEPPERVVEEQFTFSDLDDRNSSANHLEQETQPPSTSEVNHGSDSSPCTFHKEIKEDDMEGPKGNIRRFPSDADIVKNCQLTEEETGTQAKSLPNMWSQFDEFNPNNLDASSYYCLDSKRKFSKWDILRKDASRIIKEGDKQLSDSQSADTKSKNLKDGSNAGGNPSNIHDGTDKSWSLWPFKRSRSKKFSHKEHDCKKDSDFDCASEVDGEKDPLSKSHKMHRELTPTPEQLESLNLTEGKNTVTFKFSTPVLGNQQVYARIFLWRWDSHIVISDVDGTITKSDVLGQFMPLVGRDWSHIGVTHLFSAIKENGYQILFLSARSISQADVTRQFLINLKQEGKALPEGPVVISPDGLFPSLFREVIRRAPHEFKISCLEDIRACFPADWNPFFAGFGNRDTDEFSYLKVGIPKGKIFIINPKGEVVVNRCIDPKSYSSLHTIVNGIFPPVCVHKEGYDLALVNDDASERPAAQ >KVH98367 pep supercontig:CcrdV1:scaffold_39:467171:470681:1 gene:Ccrd_023423 transcript:KVH98367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQSSTNGGGSDPQQQQTTQNNQPAVVATTAPPPPAVVGVGPQQQQWVAMQYPAAAMVMQHQMMAPSPGHYPMAPHYMPYHPHHLHHPVAQQPQQGSGGGGAGGENRTIWVASIKVIRNKQTGFSEGYGFVEFFSHAAAEKVLQTYTCIAMPNTEQPFRLNWATFSMGDKRSNNGSDLSIFVGDLAADVTDTLLHETFANKYPSVKAAKVVIDANTGRSKGYGFVRFGDDSERTQAMTEMNGTYCSSRPMRIGAATPRKSSGYQQQYGSQGGYMSNGGSQSDGDSNNTTWYPCYWYVNPKS >KVH98335 pep supercontig:CcrdV1:scaffold_39:579248:580386:1 gene:Ccrd_023419 transcript:KVH98335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucanase MRQEQEHCLCLVLYMALVRMRMVEPSMHHHLEVYLMLPSLLQHGFGKVNIQIKLVEGDSAGTVTAFYMSSGGPKHHEFDFEFLGNTIGEPYVVQTNVYVNGVGNRVQRLNLWFDHTKDFHSYSILWNQHQVVHQLIWVRANHMIYDYCNDAARFCFGAGGMRTSPPLVRP >KVH98330 pep supercontig:CcrdV1:scaffold_39:223513:230325:-1 gene:Ccrd_023441 transcript:KVH98330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MSSNKGEHQTVPLSVLLKREVANEKIERPEIISGQANQSKKGEDFTLIKSECQRVLGDGVTTYSVFGVLDGHNGSAAAIYTKENLLNNVLGAIPPDLNRDEWIAVLPRALVAGFVKTDKDFQEKGQLSGTTVTFVIIEGFVVTVASVGDSRCILESVDGSLHYLSADHRFEDNEEETERVTASGGEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDLDVGEFIVPVPYVKQVKLSSAGGRLIIASDDKTNPPVPQPKKMTKGVFKVKFIFKKKSSGSTSNMDDEYLEPDVVEEMVEEGSALLSERLDTKYPLCNMFKLFTCAVCQVEMKPGEGISVHAGSSSGRNSRPWDGPFLCTGDTAVEVNSKR >KVH98325 pep supercontig:CcrdV1:scaffold_39:186833:193689:1 gene:Ccrd_023446 transcript:KVH98325 gene_biotype:protein_coding transcript_biotype:protein_coding description:START-like domain-containing protein ALCRNRRDFPVNSYLITASRLGLSILVADSYRSKNIYSSSSDQLHCFTMSSLKSTSKAVRQLIICKNGGTRLVRCLQNNPELETCVQSRYFRSIGSVETLPSSCQMVGAAHKDPPFLQNTSSGILSQRRGFLGCGDGDEGSNVLSKVHEEKRIMGYSPEQLFAVVAAVDMYQDFLPWCQRSDIIQRHSDASFDAELEIGFKFLVESYVSHVEMIKPKMIKTTSSQSSLFDHLINIWEFHPGPVPGTCNLHFFVDFKFQSPLYSQMASMFFKEVVSRLVNSFNDRCHLIYGPGIPVREKLIERGT >KVH98345 pep supercontig:CcrdV1:scaffold_39:328151:334317:1 gene:Ccrd_023433 transcript:KVH98345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein NQRRGNAAEEEGIRTPSATLFAAPVISISGGSNISGHMDISNSHNNSILWFFKHKGFDEKGINEIFRKCKRLECVQKENLSANWDYLKSIGIHERKLPSIVTKCPRIMTLDLNEKLVPMVQCLATLGTKPDEVASAITKFPHILTHSVEEKLCPLLGFFESLGVSGTQLGKMILHNPRIISYSIDTKLSGVVDFLASIGLTKEGMIGKILVKNPSIMGYNVEKRLRPTTEFLLSLGITKPDLQKVAVNFPEVLCRDVDRILRPNLDYLKTRGFDSQQIATLVARYPPILIKSVKNSLEPRIRFLVEVMDRRIEEAAAYPEFFQHGLKKRLEKREKLLKQRNISCSLSEMLDCNHKKFLSRFDLVEKFV >KVH98354 pep supercontig:CcrdV1:scaffold_39:4064:6355:1 gene:Ccrd_023454 transcript:KVH98354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MANHILYVCLLSLSISNSLLVLANSETYIVYMDLSAMPKSFSSHHSWFTATLDSIAAVTTTAISTSSSSKLVYSYKNAIHGFTATLSPSELEIVKNSPGYLSSIKDAXVQLDTTHSTQFLGLSSKSGAWPVAGYGKDVIIGLVDTGVWPESESFNDDGMGAVPTRWKGECEAGVQFNSSLCNKKLIGARYFNKGLVSNLPNSTLSMNSARDTEGHGTHTSSTAAGRCVRHATYFGYGTGTATGVAPNARIAMYKAIWEEGVFLSDILAAIDQAIMDGVDVLSLSLGVDGIALHQDPIAIATFAAMEKGIFVSTSAGNHGPHLRSLHNGTPWVLTVAAGTMDREFTGILTLGNGLSVTGFALYPGNSSSNPAPMVFIGACEKKMEANKLERKIVVCFDNNGKLRQQLFMVRSSNASGAIFITNDTDVELYMQSSYPVLFLDLQSGKIVTDYIKKLDNGEAKASMKFHGTRLMTKPAPRVASYSSRGPSYACPVVLKPDLTAPGSRILAAWPDSVPSAYIQKGQEQLYSKFNLLSGTSMSCPHASGVAALLKAAHPEWSPSAIRSAMMTTSEILDNTLNPIQDIGDNDNPATPLSMGSGHVSPNKALNPGLIYDIKMEDYVNLLCGLNFTKSQIHTITRSSTFNCSNPSLDINYPSFIAYFNGNDTKSTAKVVHVFKRTVTYVGDGSSTFTAKLTAISGINVSVSPEKLTFRSKNEKQSYKLRIEGPNILKDEVVHGFLSWIESTGTIVVRSPIVATSLNAQKTL >KVH98368 pep supercontig:CcrdV1:scaffold_39:510711:516464:1 gene:Ccrd_023422 transcript:KVH98368 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MDLKRKRFAQIEAGTFFLKIGILRPEYNSFQLFPAFSFSFGIGVVVINTRKENEIGFGEEEERRPIPLKLLRLSTKINTRVKTNLRPMKTPFKDPKVDKQMQMEAEKTSTSTTRRGSVRERKMALQQDVEKLRKRLRHEENVHRALERAFTRPLGALPRLPPYLPPSTLELLAEVAVLEEEVVRLEEQVVHFRQGLYQEAVYISSSKRNLENALDGQEHKASKLSPQLETNLSTPIIENSPMISEKQACTTAAKIKQRSPNPKSQTPNSRRSPVDSKSTGKSLDPKKLQFEGRAMEGAYLEGKTFVIQEKGLLSGDDDPNKISESILKCLMNIFVRMSSTRSRSITEMLPSLTSIETLKETEFKDPYDISCKFEKRDIGQYKHLYAIEATSINKNRTTNSVFLIQRLKLLLGKLASVDLTSLTHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVQLMQKATINVGGHFLNAISIEHFILRLPYHSKYTFTKGVKNDEITARSVFGLELSEPLVTFALSCGSWSSPAVRIYTGSEVENELEVAKKDYLQAAIGISTAKKMVAIPKLLDWYMLDFAKDMDSLLDWVCLQLPNEVGKEAMKCLEREKSVPLSHCLRVIPYEFRFSDLVGQVVNQERGVLTFQSVVFLKTSNAYNL >KVH98355 pep supercontig:CcrdV1:scaffold_39:145853:150231:1 gene:Ccrd_023450 transcript:KVH98355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAAGAEEFCKDYLVVDAKEASLYDIASILICSTDSLKKKRFYDERYEDVEKSTTVNLRRRRLIFSSVVLQKLLIWTKKPLALTGSLIEMWLNLLSSNGGLFGLMINRLRGKVVKPEESSEKFMSVAGELDRRLKLDASIRKGDGRYNPSVSMMAAKFSYENEAFVKAAVQDHLKMVFIGFYKFWNDYQNQFTTHASMFQDASDPNLIVVALRGTSPFDANDWITDLDISWYQLKHTNDSDDCIGRVHGGFMKALGLQKIKGWPKELEPPQDPNDHHPFAYYKIREKLREILEKNPNAKFIVTGHSLGGALAILFVAVLGLHEEKWLLKRLEGVYTFGQPRVGDESFGRHMMNMIEDYNVNYFRYVYCNDLVPRLPNDDKALFFKHFGATLYFNSFYGGKVMKEEPNKNYFSLLWVIPKYLNAIWEVIRSFILPYWKGKEYKEETIEKLLRMFGLIIPGLAAHGPKDYIDVTRLGTDLVPTIER >KVH98341 pep supercontig:CcrdV1:scaffold_39:639598:642937:-1 gene:Ccrd_023415 transcript:KVH98341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B MAIRFTVSYSGYVAQNLASSAAGKTNTCRIFHEVFSRPRMFQKPDRDVSTGYRPAATAATRNSVSAYATLASEFLGVESSNKPSPLVVGLISLVKSAATGGCSGGGSGVFGISPLKASSIIPFLQGSKWLPCNEINSSEVDKGGTKTKNNNQSSSDCLKSCDVVGGKAMIVERNNWLSKLLNVCSDDAKAAFTALSVSILFRSQLAEPRSIPSQSMSPTLDVGDRILAEKVSYIFRNPEVSDIVIFKAPPILQEIGYSSGDVFIKRIVAKAGDCVEVREGELLVNGVVQDEEFILEPLAYEMEPMLVPEGGPLPVENIVGRSVLRYWPPTKISDTIYEAKRGVAVQS >KVH98356 pep supercontig:CcrdV1:scaffold_39:124985:125692:1 gene:Ccrd_023451 transcript:KVH98356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDVELLRCSPVDQMEMIMAQFETRLPEFYPQNKLIDDGSSSSSSNPAAVNTSFFMEDSHFSSITPSAANVSFTARSPVVEKESLSSWRKRKVGESHSKVVQKRNMAEMREMLFKISALQPVDIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTQMDTASMLDEAINYMKFLKNQIQSMEKADVNHPVAVARMNFPVTIANGSSVLPWEHQQRINYLQYG >KVH98333 pep supercontig:CcrdV1:scaffold_39:171754:173984:-1 gene:Ccrd_023448 transcript:KVH98333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKNYGNQPPAAEVGGGGSGRPPGNFNLARQGSIYSLTFDELQTTMGSIGKDFGSMNMDELLKSIWNAEEVQTTVNTTTNGGQDGGGGGGGGGDGGANIQRQGSLTLPRTISQKTVDEVWKDISKEFSNGFGQPHLPQRQQTLGEMTLEEFLVKAGVVREEPQLDSKSNDNDLFSSLTNSQNNSSFGAIGFQPAASRNVNRIPENSSNQIAFQSTNLPLSVNGVRSTQQHPQQNHQQQLFQKQPNLSYGAPMASKQMGSPVIRNGIMGLSDSSMNGNLVSSGMLQGGMGGMVGLGATGGVTVAVGSPVFSSDGIGKTNGDTSSVSPAPYEFSGVVRGRKTGYGDDEHAARSQTTLSEKDTVWTVVKNLIKRSRSVRHLAFTRVVSVHIS >KVH98360 pep supercontig:CcrdV1:scaffold_39:397534:406991:1 gene:Ccrd_023428 transcript:KVH98360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MEVVEEVEVVVVGGARGGDVVEVEVVMDGGASINDEWILLTTSGNPRTITDYSTYLDMEKVKLKNSNSTGYRKRCYSVVDTLQQGRPFKDRDELISSNNVFVFKFFSFGTSIRPYLGIFYNLKYKQNILYEAVWVANRNNLILDIYNKLMIDVNGKLNILSSAMHCFFISSLLFW >KVH98351 pep supercontig:CcrdV1:scaffold_39:303356:311287:1 gene:Ccrd_023435 transcript:KVH98351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSELDYTYSNNGRGGRGVPKWCSYKRTTVIICSINIVVALYVFQNLYTSLYSYSYQDLHTAVTYTPDQIRNMEESVRIRKQSEPRKLIEMVKEIKQKVDRREDMVELPQASKQKLINEIIELLGGLNGGANATLQHGMLARALEFDWAELLHEIGLWIPVNVINKEHNDKPEGEDEFDDMILAGRRLPPECNAELHTDYGGRAVKWGLTHRKESAYECCQACLNQARNARSNEMKCNIWVYCPAEGGCHSPDIYQHKLEECWLKYAEKPEVNFKDRYSENYRRNHPNAPLVVPWVSGVISS >KVH98344 pep supercontig:CcrdV1:scaffold_39:349581:352041:1 gene:Ccrd_023432 transcript:KVH98344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDETSSKSDGEQTKMISGDGIPRWSVYDSLKIIPLKPDALMAEINSAISSLEYAKAMKLLNSPALVSKDKKNVDDGNPNSLYSARKADEAYKAGLASLAAGNLEEAFRSLNVALSKCPPNKTSAVAKLRSLISLTAQRLRKSPG >KVH98324 pep supercontig:CcrdV1:scaffold_39:181760:193338:-1 gene:Ccrd_023447 transcript:KVH98324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNTKKNQKVVKDAEICPEIPPIAAKCLINFAYFIGEDGVLRGGDEKTGRRSGRRDCLLIRMAGFGRLAPKTKNMVVAGGLTGFVFGVYFYTMRAVGGSDELQVAIDKFESQKIKNDP >KVH98364 pep supercontig:CcrdV1:scaffold_39:416014:420758:-1 gene:Ccrd_023426 transcript:KVH98364 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MSGMERLQRMFAGAGGALGHPPPDSPTLDTSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQEMLSLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIIQTLGTMLDTVVF >KVH98342 pep supercontig:CcrdV1:scaffold_39:625490:628468:1 gene:Ccrd_023416 transcript:KVH98342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAVTDFFAGEIATELLKMLISITRKACLCKPSAEQLLVSIEELLPIIEEIKYSGVELTATRQMQLDVLSRALHDGHELAGKVLASSRWNVYKNLQLSRKMEKVEKKISRFLRGPLHAHVLADVHHARFEATERFDRLEGSNRRLEQRLGSMKIGGSGWLEEAVKEIDEEEQYECSLLKVGMELGKRKVKDMILDKDDFVVIGINGIGGSGKTTLAREICRDDEVRSYYNNKILFLKVSQSPNVEQLRQQIGEFISESRINGCSDIAHQWTLQSNSWNTVTPILVVLDDVWSLPVLNQLIFRVAGCKILVVSRIKFQSELITSTYELELLREEDAISLFCHTAFGKTSILPGSDENLIKQIVEKCKGLPLALKVIGASLRDQPEKYWIGAKNRLSRAQPICDSHETELLNRMKLSIDYLSDKVRDCFLDLGSFPEDKKIPLDVLINIWTELHDIDEADAFAIIVELSSKNLLTLVKDSRGGANYSSKCEILVSQHDVLRDLAIHMSSLESVNQRRWLVMPRRENGVPKEWERNIDQPFHAQIVSVHTGEMREMDWLKMEFPKAEVLILNFDSTDYFLPPFIENMPKLRALVLINYLNSTKTAQLRNLSVLAKSTNLRSLWFKKVTIPQLPKITLPLTKLLKISLILCKIDLKDQPELDLSHLFPRLNELTMDHCVEMTKLPSSICQVKTLKSLSITNCEIEELPSDLGKLLFLQILRVYACPKLKMLPSGIKNLIWLEHIDISQCVALHCLNEEIGGCGSLKDIDMRECLQIKRLPKSVAWLRSLRQVVCDEEVSWLWKEIEKEVPGLCVQVTEQNHDLEWLKE >KVH98336 pep supercontig:CcrdV1:scaffold_39:707061:709258:1 gene:Ccrd_023410 transcript:KVH98336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVWRTMYQHHLFMDHFPLQENYGLIILEALAITLLLYILSFRFICNKTTTTVPEASGAWPIIGHFNLFGASSSLPHLALASMADRYGPIFTVRLGIRRVLVVSSWEIAKEIFTTHDVIVSNRPKYLAAKVLGHNYASFSFAPYGPYWREIRKIVSMELLSSSRLEKLKFIQVFELENSINNMLELWREKRDANGKALVEMKTWFGDLTMNTVLRMVAGKRYAATDRYDDEDWEEMKRRREVMREWFLHVGRFVVADTLPFLGWLDLGGHEKTMKRVATELDSMLENWLDEHRRKRASDATLPEKDFMDVMMSVVEADVSPDYNAETIIKANCMAQQEIEMHIGKDRQVNESDIKNLVYLQAVVKEALRLYPAALLGGPRAFSENCTVAGYHVPKGTWLLINMWKLHRDPKIWSDPLEFRPERFLTPDHKDVDVKGGDFELIPFGAGRRSCPAIGFGLQMSHMVVATLLHNFDMWTPNGEPVDMTPTAGMTNAKATPFDVVFVPRLVAKTIG >KVH98331 pep supercontig:CcrdV1:scaffold_39:247628:249416:-1 gene:Ccrd_023440 transcript:KVH98331 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MKRTKPRVIGTEMVEVRRSSRVANKPAPVYKEIVVYERVDLPRSSRRYTYSRRDLANRVYASDEAREYAINKADELEAMLEGGHPSFVKPMLQSHVTGGFWLGLPGHFCRKNLPKKDGTVTLIDEEGEEFPTVYLAHKAGLSGGWRGFSISHGLVDGDALVFQQTKPTVFKV >KVH98346 pep supercontig:CcrdV1:scaffold_39:384097:385068:1 gene:Ccrd_023430 transcript:KVH98346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MGSVSKEILQDVPPYLRVYNDGTIERLVGTEVVPPTADSATGVTSKDVLISPKTAVSARLYRPTLSSPTQKLPLLIYFHGGAFCIASPSFPLYHRSVNNLVSESRVIAVSVDYRLVPEHPLPAAFDDAWDALRWASSHVPGGTGTEEWLKENVDFNRVFLAGDSAGATIAHHTAIRIGTKPDPNIAFKISGIILINPYFWGKEPIGSEAKDSMKKAMVDKWWQFACPPGSSLGLDDPLINPMAKGAPDLSGMGCSRVIVTVAGKDILRDRGCLYYESLVKSKWEGKAEMMEIDGEDHVFHIFNPDGDKAVNMIKRLATFINQQ >KVH98326 pep supercontig:CcrdV1:scaffold_39:194888:198413:-1 gene:Ccrd_023445 transcript:KVH98326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MADDKAHGSVNSSELVDRYSKDPYILQQEIYPASPSSTAEETFLSPDSSISSGHKKYENIEPSDSSKNIEASYSNKNIEASFSNKNIEASFSNKNIEPSDSSKNIEPSDSSKNIEPSNSSKNIEPSNSSKNIETSYSNSSSNVEKDDSKKQLDKYDSKVGHVDTAAPFESVKEAVSKFGGIVDWKAHRVQKDERRKFIEQELEKANEEIPVFKKKSEEAEKAKEQALRDLDSTKRLIEELKLNLERAQTEERQAKQDSELAQLRLQELQQGIAEESSVAAKTQLEVAKARHLAAAEELISVRDELEEIRKDYSVLVSERDAAIKKAQEAVSAAKEIEREVENLTIQLITTKESIESTHAAHLESEEHRIVETSDRDQEAINWEQELKKSEEELEKVHQQIQLAKDLRSKLDTASVLLQKLKDELAVYMQAKMAEDLDDNESNNSNKFTRRDIQAGVAEAKKNLDEVNHNIEKATENIMCLKTAANSLSAKLESEKAVLKKIRKQKGLGSEAVVSLESEIKKTRSELDDLRRKEQEAREKMAELPKQLKKVSEEAENAKSMAEGARLVLKKAKEAAEQAKAGANSMTTRLAAAQKEIEAARAAEKLALGAITALHESESARGPKNEEPKGGVTISLEEYYELSKRAHEAEEAANKRVTDAMTQIDEAKDSEMQSLNKLTQLNSELAARKDALNVALQKAELAKESKLNIEQELQKRKGENDQKKKGNGAGGFFRGLSQKNRDPPPQKDGGSVKSKNGAPEGNSNAGDNSPDGKGSKKKKKSFMPKFFMFMGGKNKMNMNVNMNMNMKGFHKKDSKDSKDSKDSKDV >KVH98334 pep supercontig:CcrdV1:scaffold_39:585111:585794:1 gene:Ccrd_023418 transcript:KVH98334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MESFNRCSSATSSSSDSSDCSHSARKIDKIKGPWSAEEDRILTRLVDTYGPRNWSLISKYIKGRSGKSCRLRWCNQLSPNVQHRPFSAAEDQTILAAHAQYGNRWATIARLLPGRTDNAVKNHWNSTLKRRQSFVTQTSRSESEKEFLSFGTAHMSPGSVSNGDAAADVDDPMTTLSLAPPGMGGYESAEKRTENVPAGFWDVMKGVIAREVRDYVTSSFPETSGFQ >KVH98337 pep supercontig:CcrdV1:scaffold_39:683715:697106:1 gene:Ccrd_023411 transcript:KVH98337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGRTKSKSRAREAPELGGAWPIIGHLHLLGGGNQLLYRTLGAMADKYGPAFNIRLGTRRAFVVSSWEVAKDCFTVNDKALASRPKTAAVKHMGYNYAVFGFAPYTPFWRHMRKIATLELLSHRRLEMLKNVRLSEINSGIKELYGRWEENSRRPVVVELNKWLEHMMLNIVVMMVAGKRYFGVGGGGDEAARCQKAISDFFRLIGIFVVSDAIPFLWWLDLQGYEKQMKKTAKDLDLVLRGWLHEHRQNRKLDLGRNNENVKDFIDVMLSLEDEGQLSGFEHDADSSIKSTCLALILGGSDTTAGTLTWAISLLLNHPNVLTKLQHELDEHVGKERQVDESDIKNLLFLQAVIKETLRLYPAGPLLGPREAMEDCTVAGYNVKAGTRLIVNVWKLQRDSTVWVDPSKFEPERFMGSDHGHVDLRGQQFVLMPFGSGRRSCPGATFGLQVLHLTLARLVHSFDLDRVGGLPVDMAESPGMTIPKKKPLEVLLNPRPLAKLYENYSLVMVEALAIFLVVYSLSFIYNRTTSTAPEAGGAWPIIGHFKLFGASSDLPHLALASLADRYGPIFTVRLGIRRVLVVSGWEIAKEIFTTHDVIVSDRPKYLAAKILGHNYAAFSFAPYGPYWRGMRKTISLELLSSSRLDKLQFVQVFELENSIKNMLQLWREKRDEEGKVLVEMKRWFGELTMNIVLRMVAGKRYTSTMDNDEDEEETKKLREVMREWFLYLGRFVMADALPFLGWLDLGGYEKTMKRVATELDLIVGKWLAEHRQKRDSDKITGARDFIDVMISEVESGAFENYDADTISLIIGGTDTTSVMLTWALSLLLNNRYALRKAQEELETHVEKDRQVNELDIKNLVYLQAIVKETLRLYPAGFLGGPRAFSKDCTVSGYHVPKGTWLLINMWKLHRDPKIWSDPLEFRPERFLTPNHKDVDVKGGDFELIPFGAGRRSCPGMGFGLQALHLVLATLLHNFDISTPNGAPVDMTETAGMTNAKATPLEVLVIPRLVATING >KVH98358 pep supercontig:CcrdV1:scaffold_39:24922:27216:1 gene:Ccrd_023453 transcript:KVH98358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MANQILYVWLLSLSISNSLIVLANSETYIVNMDLSAMPKSFSSHHSWFTATLDSIAAVTTTAVSTSSSSKLVYSYKNAIHGFTATLSPSELEIVKNSPGYLSSIKDAXVQLDTTHSXQFLGLSSXSGAWPVAXYGKDVIIGLVDTGVWPESESFNDDGMGAVPTRWKGECEAGVQFNSSLCNKKLIGARYFNKGLLSSLPNSTLSMNSARDTDGHGTHTSSTAAGRYVRHATYFGYGTGTATGVAPNARIAVYKAVWEEGVFLSDILAAIDQAIMDGVDVLSLSLGADGIALHEDPIAIATFAAMEKGIFVSASAGNHGPYLRSLHNGTPWVLTVAASTMDRAFTGILTLGNGVSVTGFALYPGNSSSNPAPMVFIGACEKEMEANKLERKIVVCFDNNDTLSQQFYMVESSNALGAIFITNSTDLEPYMQSSYPVLLLDLQSGKIVTDYIQKLDNGEAKAMTYVGDGSSTFTAKLTAISGINVSVSPEKLIFRSKNEKQSYKLRIEGPNTLEDEVVYGFLSWIESKGKIVVRSPIVATSLIGTVDTF >KVH98332 pep supercontig:CcrdV1:scaffold_39:159547:167351:1 gene:Ccrd_023449 transcript:KVH98332 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex, subunit 5 MDLNMAEPGEILPDRKIDTSAYDLLHKTRASVEDIVAQMLSVKKEGGGGDSTKSQLPELVTQMLINFVNLRKANRSILLEEDLVKAETEHAKAPVDFTTLQLHNLMYEKNHYVKAIKACKDFKSKYPDIELVPEEDFFRDAPEQIKNSVQSNDSAHDLMLKRLNFELFQRKELSKLHEKMEEQKKILQDTIANRKKFLSSLPSHLKSLKKASLPVQNQLGILHTKKLKQHQSAELLPPPLYVIYSQLLAHKEAFGESIDLEIVGSMKDAHVFARQQANKDNGITSPNIENSKLEDDVPDEEDDGQRRRKRPKKIQVKESLDPARIYQAHPLKLILQVHDDEASDQKSTKLIVLKFEYLLKLNVVCVGIEGSNEGPESNILCNLFPNDAGLELPHQSAKLWSGDGPIFDERRSSRPYKWTQHLAGIDFLPEVSPLLTTGGDSTNGETTKQTAIISGLSLYRQQNRVQTVVQRIRARKKAQMALAEQIDSLTKLKWPALTCRTVPWFSHVRLCSLQSWTVKPPPKPAASVGEQIQVSQEVDMVVEPDTLKAEIENIREDGELPSLNPATTIVNEITTTPVKGSGIEHSKRLALITKSMASPMSKGKSPSFRKHDEDLDLMLLSDSEVDEPPQTEPETDEISGTGNLKVIDNSWMSCGVREYRLLLTRKVYSGDGLMKLEAKINISMEYPLRPPLFTLNLFRATTAGTGSETEANEWFNELRAMEAEVNIHVAKLISWEEENYILGHQVCCLAMLFDFYVNDGASSTEKGKSTFVVDVGLCKPVNGGLVTRTYRGRDHRKMISWKDNGCTPGYPS >KVH98329 pep supercontig:CcrdV1:scaffold_39:215349:217162:1 gene:Ccrd_023442 transcript:KVH98329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYESSHHNYTIPKETALQALNTIIQLHFEKTLEKKRAVDQQKKELWKLFQLFFLFLALVFMAQVQSSRLQCHHCWVPIGLLSLSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATERLCQIRMRMSGVVAGGGEDVLRDDYEIHYQEPPDSYFGKFKRNWALHFGFLILVYMFMVSSSVVLLCF >KVH98343 pep supercontig:CcrdV1:scaffold_39:598081:600968:1 gene:Ccrd_023417 transcript:KVH98343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MHPITCRLRLLISSPSSSRIRIQARSLFSSFSDEPSCRSRSLFFDDPGEPGTGSLVYRHTLKTQRPSTIRWQKELTNSASFIGRVYTPVKTFTTRDGKLVANTLLRVESPSDSKKFLTILLTMWEDMAELSIQHLKQNDCIYVSGYLGSFTKASNNGDIILRHKVTVKEINYVANNDQTASKNKEDPEESPLEKQRKRLHLWQVFFASPHEWKDLRKRKTNPRQPDFMHKGTREALWLNPFDPPWIMRQLQLQDSRMGGMGLGEHLSNRSSLSPLSYESD >KVH98339 pep supercontig:CcrdV1:scaffold_39:657895:673113:1 gene:Ccrd_023413 transcript:KVH98339 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MMNARRPHFIKGFNPNISFDKLKIPSKFGKHLEGKTAGTVSLMGPSGNTWHADLAHQTDGLFILDGWAAFVRDHFLENGDSLVFRYDGNLHFTVQIFDQSSCEKETAFSAECHQDLSIFDQHFGKKREREYATMLTNMVDGVPKKARSSQVHSECVTKYHETTNIAEMQLGLQETMNGRCEVADFLNGSEFCGSAFKDSITPALPVSEVSPTEDELGRLSASEADKIAQSFTSSFPHFTQVMKRFNISGSYTLNVPYQFAMAYLPNCKVKIVLHNLKGESWTVNSIPTTRVQTSHTFCGGWLSFVRGNNINVRDVCIFELVGKCELRVNILRVRQEAQDYEHDDVKGLANGASHKSSGRLTKKVKGNSRKTQKPTMIEGQKVAFSIEKVKLGIAAKGTVLGSHSRTSNGKSGKPKSLQEKRGSSMLGCMSMKSAPEEKIAAESFISSFPYFVRVMKKFNIGGSYTLKIPYQFSMEHLPNCRTEIVLHNLKGECWTVNSIPTLKVQTLHTFCGGWMAFVRDNGIQMGDICIFELVGRCEMRVHVSSVGKNVALDYQIGHGPSNELDNGLIPQIKEGKNH >KVH98353 pep supercontig:CcrdV1:scaffold_39:260928:262916:1 gene:Ccrd_023439 transcript:KVH98353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKFYISPFTASTFKIHLYSTRSKPTWNSSQTNLFITNPTLLAVESCNSMAHLKQIQSQMTRTGIIFHVFPISRILTFCALSDTGDLNYAHRLFNHFPNPNVYMWNIMIRGYLKLQLHVKGLSLFRLMVRKCVEMDRRSFVFGLKACEGLLAGESVHSLVWKVGFECDLVVQNGLVHFYGERGRLDSARKVFDESCVRDVVSWTSLINGYVKQGMSDEALRVFELMEASGVQPNEITMITVFSACSQKGDLELGRSLHDYVKRMNLNSSLNLMNSILDMYVKCCSLVTAQEIFENMRTRDVFSWTSLINGYAKNGELVLAKKLFDEMPERNIVSWNAMIAGYSQNNKPKEAIELFYAMENASLIPIETTLICVLSACAQSGCLDLGQWIYFHYIKRNQIQLTVTLGNAFIDMYAKCGHIDAAAELFNGMQEKDLVSWNSMIVGFASHGQAMKSLNLFEQIIEIGYKPDKITFIGVLSACSHGGLLTQGRTYFKEMENIYGLKPTVEHYACMIDLLGRNGLLDEAYGSIVEMPMEADKAVWGAVLSACRMHGNVELGKLATEKLLILDPNDSGIYVLMESLCAMRQKWDEVKMVRSMMRGKGVKKTPGCSCIKVEGEFHEFLVADNSHPESETIYKVLGELISLSRVEDTSGVNCIVENEVHIL >KVH98361 pep supercontig:CcrdV1:scaffold_39:427021:435477:1 gene:Ccrd_023425 transcript:KVH98361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MSFKEEPRSPLQPPTYGNLITILSIDGGGVRGIIPSVILEFLESELQKLDGENARLADYFDVMAGTSTGGLVTGMLATPNEQNRPVFAAKDVKDFYLEHCPKIFPHDDNLLAPATKVVKALSGPRYDGEHLHKIIEEKLQKRRLHETLTNVVIPTFDIKYMQPMIFSSYQKNSSLDAKLSDICIGTSAAPTYLPSHSFQIEDSEGNLLREFNLIDGAVAANNPTLVAISEVTNEITRGSPNFFPIKPTEYGRFLVLSLGTGSQKFQERYDATKSSNWGILGWLAGEGSTPLVDVFTQASGDMVDYHISTVFQALHSEENYLRIQDDNLSGDLASLDLATKENLENLVKVGEELLKKPVTRVNLGTGITEPYYHTTNEMALKKFAKILHHEKNVREARSPSTNKGPFNRSDSIEDRIAQELRSPLQPPTYGNLITILSIDGRGVRGIIPSVVLEFLESELQELDGENARLADYFDVMAGTSTGGLVTAMLTTPNEENHANVVAMNWKIVNYVIRHLKYPCFWCNICSNPLAPATKVVKALSGPKHNGEHLHKVIREKLQERRLNEELTNVVIPTFDIKYLQPTIFSSYELKKNPSLDAKLSDICIRTSAAPTYLPSHSFQTEDPEGKLLRDFNLIDGGVAANNPTLVAISEVTKEITSGSPNFFPIKPTEYSRFLVLSMGTGSLEFQEKYDATKSSNWGILGWLAGGGSTPLVDVFTQASGDMVDYHISIVFQALHSQDNYLRIQDDTLSGDLASMDLATEENLENLVKVSEDLLKKPVNHTIRLRTRWL >KVH98365 pep supercontig:CcrdV1:scaffold_39:531808:532644:-1 gene:Ccrd_023421 transcript:KVH98365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAAAMDFDFNSSSYGGASYYNSAPSSPTRMTEFYCQFDELLISAEANHTNCLAAVPFAWEEKPGVPKGFTDSFEDDFSFDDLFLFRSASDGRAMDRDPLKKYSTISRKHDQDFRNSAEAGSVSKRRGRVSAHEQHYNLNRAVSNDMKKKTYLPYRHGILGGLAFNP >KVH98340 pep supercontig:CcrdV1:scaffold_39:650590:654434:1 gene:Ccrd_023414 transcript:KVH98340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MEERPETELISIPATPRDSTPEILTPSGHRSPRPQSKEGGKSWGIVSLTIAYFWQLYTLWILVQLHEAVPGKRYNRYVELAEAAFGETFSYSHRNRLGAWLSIFPTAYLSAGTATALIIVGGETMKLFFEIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIFYSTMVWVLSVSQPRPPNISYEPVPLPTFTASSTMPSTFKHPAHVPMWKGAKVAYFFIAMCLFPVAIGGFWAYGNLMPSGGILNAMFGFHEHDISRSLLAMTFLLVVFSCLSSFQIYSMPVFDNFEASYTHRTNRPCSVWVRSGFRVVYGFINFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPTKYSFNWYFNWSLGWLGVAFSVAFTIGGIWSIVDSGLKLKFFKPS >KVH98350 pep supercontig:CcrdV1:scaffold_39:317086:323527:1 gene:Ccrd_023434 transcript:KVH98350 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein FTALPLPPSSVPALAATTKIGPRSSSLSALLLSPSSLKSFLPGLLEKIISVENVNCFDHYSAFMLVIRLYPRILKNLIMQLANNALRQTHYDVLGVKEDASQEEVRTSYRSALLCSHPDKLHKISSDHNDPRVRFLEIQTAWEILGDVSRQDEVTADEVELEDLMVDASGDVVELFYQCRCGDYFSLDSSELREMGFQLLTEERPPHKVAKTVQLDFSDDS >KVH98362 pep supercontig:CcrdV1:scaffold_39:436826:447880:1 gene:Ccrd_023424 transcript:KVH98362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLRRIVPSRTTVNHRRVYLSNCNRHRFFSANPSDCNSKDSTGYAHDLNGSQDSLPPPQLPVLHRLRPSSLSPLSRTSPFALSATVISAAAVLAASYVILFDDSQIKEEQPNNKRLFDDFEHAVERSKESLKRVVNTMKHTGVAASVLWKSLRSVLSSANHEVWSGFEVRVAALLADIAAANESRRAAIVGAGGGVVLDWLLESVALTGGGNYGTQAESARALAYLIADPNVCEAVLGRSHAIPNLLRFIFFAQPHQSEKQPRRSSFDISDPSRGRSMLVAAIMDIVTSNCDNVDKIRLKPKLSGAAAMRDIAAALQVVEEGGMLMDEPPGSQDDDDGGTGLKGIGMKVLGGTTVVGLSRTNGSIELEESNATHSASFKSTAKALTLNKLNDSSPAHTSLSSAVIPGLWDDLHSQHVAVPFAAWALANWAMASDVNRSHIQELDQDGHAVMSALVAPERSVKWHGSLVARLLLEDRNLPMNDFVSDWSSSLLTTVSQASKTDDVSLTRVALSAFLVSIERSPGARKAVMEKGLHLMRETAKRTMDHKFVQEALAKGLESLCSGDMHLSLQEGQKWSCILMQWVFRETSTDAIRSSAITILSRICEDYGPSSVPISQGWLAIMLSDILKSRKLSLKGSAQPRDKVKTQIDQANVVSGTQSVNQLASAVVNLAANQLGTESVNGDLYSLADFLSLEPLVGAYKNLKKDSLPKVNAADSALATLKGIKAMTEICSDDLFCQDEIVDYGVIPLLRRFLLRDDYEKLSATEAYDASRDMEARDHGESSVSDARDPSSVRVPPTAHVRRHAARLLTVLSVHPKAKKLILDDNAWCNWLEECANGKIAGCNDLKTQSYARATLLNIFCNDDDSGDVVNNGRPGGTDKNHSCARYPEMFFLINPELSHWECPRKGRQESAVDTSTENENQIDPFIRVDKEAIDVENGPFTKVPRDASIPNSNTRSESHTGDPSFDVIFVHGLRGGPFKTWRLSECKSSSKSGLVEKIDEEAGKQGTFWPGEWLSADFPHARLFTLKYKTNLTQWSGSSLPLQEVSSMLLEKLIAARIGDRPVIFVTHSMGGLVVKQMLHQASAENRGNLVRNTVGVVFYSCPHFGSKLADMPWRMGYVFRPAPSIGELRSGSTRLVELNDFLHDLHKKGSLDVLSFCETKVTPIVEGYGGWAFRLEIVPIESAYPGYGELVVLESTDHVNSCKPISRTDPSYSETLRFLLKLRDAHR >KVH98349 pep supercontig:CcrdV1:scaffold_39:273655:278056:-1 gene:Ccrd_023437 transcript:KVH98349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWCSKLRLLAVVRSSSSFPKSQQPPPYLHRHLRTRLFHSSTPNFLNKSLITPSMISPNFHPLLCTSPSRLQVTTSSIRASSLPLSFMQVRHLTLKQRKRKLKSRQPLSPVVSKLKKIKMKSYSSFKGRFRTMKDGQIRRWKEGKRHNAHLKASKRRGRLPGIVPAAYAKVMKKLNFC >KVH98352 pep supercontig:CcrdV1:scaffold_39:264814:268269:1 gene:Ccrd_023438 transcript:KVH98352 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MRVLVTGASGYLGGRLCHALLRHGHSVRAFVRRTSDLSSLPTLSDGVALELAYGDVTDYPSLLTACSGCNVIFHAAALVEPWLPDPSKFISVNVGGLKNVLRAYKEMNTIEKIIYTSSFFALGSTDGYIADEGQIHSAKYFYTEYEKSKAVADKIALEAAKEGVPIVAVYPGVIYGPGKVTAGNVVARLIVERFNGRLPGYIGHGNDKFSFSHVDDVVEGHIAALDKGQPGERYLLTGENASFMQIFDMAATITNTKRPWLNIPLFVIEVYGWLSVLFSRITGTLPIISPPAVYVLKHEWAYSCEKAKRELNYKPRSLSEGLEEVLPWLKNLGLIKY >KVH98366 pep supercontig:CcrdV1:scaffold_39:558374:559087:1 gene:Ccrd_023420 transcript:KVH98366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MPSVHSSPFHQMENPALVSLLQHTTNREKRSKSRGSGGGGGGIFRMFKLLPMLTSGCKMVALLGRPRKQLLTDHATTGTLFGYRRGRVSLAIQEDPHRLPIFVIELPMSSTAFQKEMASDIVRLSLESETKTHKKKVLEEYVWGVYCNGRKYGYSIRRKHMTDDELHVMQSLRGVSMGAGVLPALSEKESTVDGELTYMRARFDRVVGSKDSEAFHMINPEGAADGQELSIFFVRIH >KVH98363 pep supercontig:CcrdV1:scaffold_39:408314:411525:1 gene:Ccrd_023427 transcript:KVH98363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MAGTSIFFVIIMTSLSCYFFSKPCYSVTDTLEQGRPFKDWDELVSSNKVFVFKFFSFGTSIRPYLGVFYYRNLQSKPNRYSEPRARWDMGIYNQGQRYEQDIPHEAVWVANKNNPIPDIYSKLLIDANGKLSILSGTSTVLDLFTPTPVARNVSLTLLDNGNLVLQELYPNGSVKGVLWQSFNYPTDTLLPGMKLGVNLKTGHKWSLTSWRSEQLPADGSFTLTGDPNGTGQLFILWRGSIIDWASGPWQNGQFQNTNLQSSGPNVNLYYISNETEKSFSYLTKTYDSFPALKMYPDGQLKGSTLKLDIECSSINGHGRGCSAEYKLGNLKCREDHYFAPRHGYIYMDEYVYDESYNLTAYDCKRICWTNCSCIAFAYIHATNNRVRCKTYGQMIYNPAEAENHHDTNLLSLVKYEETEHTIKMWIWVMIVVGSLAPLVSCYLIDKRFHVRGKAKKFQTLLLPKLLHKLRALLHKLRRFYNNIRRDKKMNNELRYFTFQSILSATNKFSSTNKLGEGGFGAVYKGKLVDGQEVAVKRLSSSSAQGVKEFKNETELIVKLQHTNLVRLLGCCIEKKEKILVYEYMPNNSLDFFLFDPRKTGLLDWNNRFVIIDGIAQGLLYLHKFSRLRVIHRDLKASNILLDDYLKPKISDFGMAKLFGTNESEANTSRVWLYAARVCERRCRFNQDGCLQFWCFVARDYKQQENHESYDVEHPLNLLGLAWELWKDGRGLELMDPVLEDSCTPKEIMTCIHVGLLCVQEHAADRPTMSDVISMLTNENMNLPDPKQPAFFIERHAEEAEAGAEAGAPRDDSLGNRSINGQSISIVLAR >KVH98327 pep supercontig:CcrdV1:scaffold_39:203167:203511:1 gene:Ccrd_023444 transcript:KVH98327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKGHIIGRNGVQELTLEEFKIWLMTFDVNKDGRISREELREAIRFRGGGRITTLIKGRCGVKSADANRNGFIDYDEIKNLVEFAEKVLHLKIVDAGFQQQNLGVVSRSWTFCR >KVH98347 pep supercontig:CcrdV1:scaffold_39:353887:357029:-1 gene:Ccrd_023431 transcript:KVH98347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4370 MEKLVMMRSLYRSISRRSLGFVAPAAVTSNHQCLSHGIRYFHHAPSSLPYNGRSPFAMGIGSTRCFSDGLTHLPDIKDGDIKHAFKELMAVNWAEIPDTVICKAKRALSKNTEDKANQEALANLLRAAEAVEEFSGFLVTLKMEIDDSVGLSGENVKPLSNDVANALSVAFGRYYAYLDSFGADEGYLRKKVENELGMKLIHLKMRCSGLGGDWGKVTVLGTSGLSGSYIEHRA >KVH98357 pep supercontig:CcrdV1:scaffold_39:100663:102052:1 gene:Ccrd_023452 transcript:KVH98357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MAMMLDNSCEGGILLSLDSHKAVPAPFLTKTYQLVDDPSTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTSQPQVTVNHHHHPHHFTGIGTGGGLQGGNNFFSYPTTRSISPPDSDDHLCCDNSPPLSSPTTTTTTAGMLGIFHNSNHSISSGRGGNSVTALSEDNERLRRSNNMLMSELAHMRKLYNDIIYFVQNHVKPVAPSNSYPSSLLLSSTNSNMNGQLLMQKQQQQQSFLNMGTNFNAASGYPNKSQQLHASTINNIVDDNVNVSRTKLFGVPLLSKKRLHPEYGTNNTMVETHKARLVLENDDLGLNLMPPSPC >KVH98359 pep supercontig:CcrdV1:scaffold_39:400283:405005:-1 gene:Ccrd_023429 transcript:KVH98359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRRILLIFIVTLSLSCYFFSKPCYXTTDTLQQGQHXKDWDELVSSNAVFTLKFFSFGTSMCPXLGIVYKNYQIHDDSHTDRNHNRDDNANYNRNEVVWVANRNNPIPDMYXKLIIDANGKLTISSSAGTILDLFTPTPVMRNASAXLLDSGNLVLQELYPDGSVKRVLWQSFDYPTDTLLPGMKLGVNLMTGHRWSLTSWRNGRLPADGSYTLTGDPNGTSQLVILGRGDTRWRSGLWQNXQFKNTDLQSSGPDVRLYYISNETEQSFTYLTKTYDSFPVLRIHPDGHLWGSTLNFDVQCFSIDHPGPGCAEYDFEKLECRNDSYFYSEDGFYPTNYGYIFVDEYVYGESHNLTLYDCKRICWRNCSCIAYTYSTENRAGCKTYGQXIYNPAESENHRDTEYFTIASSYIEGKAKRTLKLLLHKLRRFYNYIRRDTKMNNELRYFTFHSILSATNNFASTNKLGEGGFGAVYKLMSWISQGRLVDGQEVAVKQLSKGSAQGVKEFKNETELIVKLQHTNLVRLLGCCIEKQEKILVYEYMPNNSLDFFLFDPXKNGLLDWNNRFVIIDGIAQGLLYLHKFSRLKVIHRDLKASNILLDDYLKPKISDFGMAKLFGINESEENTGRVVGTRGYMPPEYMREGTVSTKIDVFSFGAWELWNEGRGLELMDSVLEDSCTPKEVMTCIHVGLLCVQDHAMDRPNMSEVISMLTNENMNLPVPKQPAFFIERHDREAARDDSLGNGSVNGQSISIVVAR >KVH98348 pep supercontig:CcrdV1:scaffold_39:282375:286641:-1 gene:Ccrd_023436 transcript:KVH98348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF829, TMEM53 NTKIIISQSSIPPSQSQQEFALLLPQYSCSISSVILVSEDRSRGRMWGFGGRFYWGKRTEEDRRRGPNKGIVVLFPWISSQDKQLRNYVDLYSSLGWDSLICHSQFLNLFFPDKATMLALDVLNELLKELKRRPCPVVFASFSGGSKACMYKALQIIDSKCESHRNLDEYRLVRDSLSGHIFDSTPVDFTSDLGTQFMLHPTVLKLSRPPTIATWIGNGISSCLDALFLNKFELQRAEYWQTLYSTVAIGAPYLILCSENDDLAPYQIICNFAQRLESLGGTVKLVKWSSSPHVDEYKAAVTDLLTTAVSVYSSRTQQLTAAHDETTEPLRHLREAVSTSNQYQSFHRVTLDLNDHFVVPGSVEYHEGRDVGSVHDAPKERFIPRSTPPKINAHGILGQILFDVCVPKTVEDWDLRSASSSFATFASGRRHSNFNPIKCIRRSRL >KVH98338 pep supercontig:CcrdV1:scaffold_39:654059:673479:-1 gene:Ccrd_023412 transcript:KVH98338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2053, membrane MSFLELRMANNELCVAMNREVEAEGAGASQVVRPLFLSAIKKVKGVLRKMVEGKTVGPDQIPIEKAKTQAKGVAPTRIASAGAAKERESGTDKEKATSSWGSIKKTDHKDGNIGDQVLQHHNKLKRKGSINMTGAAGKNYIVKEGSKMFAVKCKGLHSALNLLLALLENSYQQEELDKWFRINKVGPNFWPLSPSAQQLTITQTEDFQIDVASKSGFNPSQYGLKNFSFSPLSTMLQIPPIVNATLNATPSHPNDQLKYQLKLYFVGFLISTHIKHGYANVTGRVSPPNNPARLERNGSATPMKKLMNP >KVH93825 pep supercontig:CcrdV1:scaffold_390:102173:104186:-1 gene:Ccrd_004123 transcript:KVH93825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MPCSKHKASAENPKEPKMESLKSDPDQNEPKDTYKMAYIIHFLLGAGNLLPWNALITAIDYFGHLFPEKHVEKVFSVAYMSSSTLVLIIVISWRNFSRIVTFQVRMNVGFTMFCLSLMVTPLIDWSWQDVKSSTAFYVVVASVVICGLADGLIGGSLVGSAGKLPKQYMQAIFAGTASSGILVSLLRITTKASLPHDPQGLKKSAHLYFLVSTLILLVCMVFCTLLYKFPVMEQHYKLLQRDSSASRTKFWDVARMIRWPALGIFIIYTVTLSIFPGFLAENIESQLLKDWYPIMLITTYNIADFAGKSFTAIYVLNNITKATWGCMCRLLLYPLFTACLHGPKRLKNEVFVVFLTVILGFTNGYLTSAIMILVPKLVPPSEAEISAIVMALFLGLGLVSGSVLGWFWII >KVH93822 pep supercontig:CcrdV1:scaffold_390:54073:56430:1 gene:Ccrd_004126 transcript:KVH93822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQSSFTSWMNHFIDYMGAQSGGRLTILLEIISIHHEAPLELYGKKFFHFKLSTESSGCFGCCSKSRPITAVDEPSKGLRIQGQTVKKSTLSDDFWSTSTCDIDFSAVQSQRSLSSISISNVSLSQSSGTTSAGIQSEFVNHGKFSSLQLQLI >KVH93824 pep supercontig:CcrdV1:scaffold_390:95538:97234:1 gene:Ccrd_004124 transcript:KVH93824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLLRSSSNPALNSWFRHENLSESLSLESISIRRTPKLPTISLYSLNSFNDSSKKISRASSEADLIASSLSKCKSPLRKNTNCLLSAIAVEEDTEGEESDCGVLLFSNYGLYDNEGRGFGVMVDGAGGGGGGGGGEGKISGGGGHSNHHHEDGTDLYYQTMIEANPANSMLLSNYAKYLKEVRGDFSKAEEYCSRAILANPSDGNVLSMYADLIWETHKDAPRARSYFDQAVQASPDDCYVMASYARFLWDADDEEEDDDDDDDDDEVDKKAICNMNVLTPSFLTGASQPPPIAAAS >KVH93827 pep supercontig:CcrdV1:scaffold_390:142777:147773:-1 gene:Ccrd_004121 transcript:KVH93827 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MSSSSCIDVAAASEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMGMAAAFHQSLSNSNSTSQDSTSHQHGQQAPNHTASNYRVDLGSSSKYNSRMPMRPSPASITSEQKINHPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNLSCVQGSEKRLRSRAALFKK >KVH93819 pep supercontig:CcrdV1:scaffold_390:260930:265509:1 gene:Ccrd_004119 transcript:KVH93819 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type MASLGPNDEAVSLELPAPSGWKKMNETVFTAPTGEEITNRKQLEQYLKSHPGGPKISEFDWGSGETPRRSSRISEKVKSTPPPAENEPVKKRPRKSSSSKKDKKENEDAPEEKDVEMQEAEKAEKDDEKPKEEADGKSEIPEVPPTEEVAKPVNEVNDEKGVSENAESKNVNEEVCEISEVPLPDKQEEEGEPGKEVIKEVCEITQVPSSEEVANPEEAGESKAAETKHEEGEAVNEEACENQELPPIEEVAKPAKEVNEEVLENPLTPPPEEVTKVVDETAIDDSVPVTKVEAGGATAAENGCPVVEVSEAQPSWEEIKIE >KVH93820 pep supercontig:CcrdV1:scaffold_390:269805:270137:-1 gene:Ccrd_004117 transcript:KVH93820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKALGSDTSLDEMNRIMAGIDTDCDGFISLEEFASLEDDDEMKELQDTFELYDLNKNGLISAKELHQILSQLGERCTVDDHTNMIKTVDSDGDAYVNNSPYGTRNLSLIS >KVH93821 pep supercontig:CcrdV1:scaffold_390:14020:14984:1 gene:Ccrd_004127 transcript:KVH93821 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 7 MPLKGHRKIRNLLRWPPPPLDALFLPPEKGRGRPSRIHLLFRICNITDEVSGRGETTGGAAHYAFGALEDDMIIKHRLLTRTTTTRGEPPLKKLQKKFTAFALETEKDAENFADCERLAKAFLQELKTFELPLVKTKAVIDANVREKENFNELNDEINRKIVEAQDDIEDLKRQLEESKVERKHKEECETIRKLIAAQQPRYETQKLINELEKEIAALEAENTASSRTLELRKKQFALLLHV >KVH93826 pep supercontig:CcrdV1:scaffold_390:111442:114188:-1 gene:Ccrd_004122 transcript:KVH93826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MEMILIPTDFEIYIPKPKLKLFPMGEPKCWYNVLGSEASAFEKMTTTTVDLRIIPLHNCTKCIRKVENTLFRFDGVKLLDVDSENGKFTIETTKHPEEIRDALQRKFAGKSVFLSKRINHSNPLCALMNPRKSSIQGPLNFHGMAEALVTVSRANGGLQTVEYTQSSTIKLKAMFMGILLSSTGTMIHVAVAPSFDIHEFSYYFILYR >KVH93818 pep supercontig:CcrdV1:scaffold_390:264505:267653:-1 gene:Ccrd_004118 transcript:KVH93818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFLANPSTFLPSSSTSMQKHIVKGTSVCKPVNKLPNYPPQVSKRSLSISLTSLFLLSSTGNPHGANAAILEADDDLELMEKVKKDRKKRIEKQTVLNSSSKDKDYLQDVIYKLSKIGQAIENNDLPSAGSVLGQTLDADWVKMANVALSKTPNAMTELQITEMFVSNKIHQKQTMLTERIGSTNTCYSIFISSQEGWASLTSTTGQPFSAAVAPPASTLVTGTESSIAVSSTTLVTSSGGGVSGFSSTSSLTSFAGLATSSIGGSSWFSHASSLTASPSSCLLLTPPLLLGLATSSVGGTSGISLFPSASSLGFSSSFSAFSASCISTSFSSGASSFSFLSFFEDDDFLGRFFTGSFSAGGGVDFTFSLILEDLRGVSPLPQSNSEILGPPGCDFRYCSSCFLFVISSPVGAVNTVSFFLGVPPFFSKHYT >KVH93823 pep supercontig:CcrdV1:scaffold_390:77690:80193:1 gene:Ccrd_004125 transcript:KVH93823 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein NCFWIIFVCFLVKDLVFLVGFYQKFQRCLLWLITGMFSDGSVIELTGDDYHTGGTLCSKDSSRLLSVASHQDVYSPPCKRLRISGSYFLFFEEENKQSIDLLPDECLYEIFRRLPGGQARSASACVSKRWLTVLSTIRKSEIVSDNGSSNDVEMISQENDGFLTRCLEGKKATDGRLAAIAVGTSARGGLGKLSIRGSNKVTKLGFAAIARGCPSLKVLSLWNVPSIGDEALIEISKECHSLEKLDLCHCPSISNKGIAAIAENCPNLSSLTIEFCKNIGNESLQSVARFCPNLQSISIKDCPLVGDQGVATLLSSPSSVLKKLKLQSLNITDFSLAVVGHYGKSISNLALISLQNASQKGFWAMGNAKGLQSLTSLIVTSCYGMTDLSLEAIGTGCCLLKQMLLKKCCFVSDKGLVAFAKSAKSLECLQLEECNRISQQGILGALSNCESKLKSLTIVKCMGIKDLDQETADLTQCGSLRSLTIKDCIGFGNTSLEIVGTLCPQLQNLELSGLCGITDSGVFLVLENCKSGLVKVNLSNCINLTDKIVVDLAKIHGETLKVLNLEGGRKITDESLMAIAENCSLLNDLDVSKCSITDSGLSCLSEGVQIDLQILSLSGCSKISNKSLPSLKKLGQTLVGLNIQQCNSVTSSAVDSLVENLWRCDILS >KVH93828 pep supercontig:CcrdV1:scaffold_390:202595:204765:1 gene:Ccrd_004120 transcript:KVH93828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSSLLLGSNNFSLMFLGFDLRFDMVLSKCDYDGIVAHIFCLRRLSTLYKKDNCRPLAIRRGFVICAK >KVI07989 pep supercontig:CcrdV1:scaffold_3900:29427:39131:1 gene:Ccrd_013645 transcript:KVI07989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSPRTDTSTDGDTEDKALGFHSSQSHGLVVSDASDKSRDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRMKLTQLEQELQRARQQGIFISSSGEQSQSMSGNGALAFDVEYGRWLEEHNRRINELKGAVSNHAGDGELRIIVDGVVAHYEDIFRIKGDAAKADVFHVLSGMWKTPAERCFLWLGGFRSSELLKLLITQLEPLTEQQLLAIGNLQQSSQQAEDALSQGMEALQQSLAETIAGSLGSSNSSGNVASYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALIAINDYSSRLRALSSLWLARPRE >KVH96704 pep supercontig:CcrdV1:scaffold_3902:12165:36664:-1 gene:Ccrd_001206 transcript:KVH96704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELQISPADHGGTPGKDQQAAGVGILLQIMMLVLSFILGHVLRRHRFYYLPEASASLLIGLIVGGLANISNTETSIRYLGGAMYLMYRLPLVECLMFGALISATDPVTVLSIFQELGTDTNLYALVFGESVLNDAVSLILISTIIVSYYVAIYVLKLILFTLVYVFCHMLDNFEDGNFSVQSHSSSGENFFLIVVRFLETFVGSMSAAFVPPSFETSEIKKNSTWYQNISIPDCFSVAAVFQSQQRSRNKHLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGMVMKHYSFSNLSENSQRFVAAFFHLISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSIIFIGLARAANVFTCAYLLNLVRPAQRQIPVKHQKALWYSGLRGAMAFALALQSVHELPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDNHEGHLTDSFESNIGYVAPFDGESTSGNRLKMRLKEFHRSAASFRALDRNYLTPFFTTQTGDRDDNDDSHAEDSLLRSRREGFH >KVH99317 pep supercontig:CcrdV1:scaffold_3903:19046:24862:-1 gene:Ccrd_022452 transcript:KVH99317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MQRSSNEELPDCCYQENQPSLIKPSSPTPNHMLHLSNLDDQKFLRFSIKYIYLFQNSVTIHLLKLSLSRVLVDYYPLAGRLKTLLSPHDDDDHGDDQKLQVDCNGEGATNVHVKEGRKLQVGGGKRCAMVDEVEGRGKGKENGWEMTRDGGDPQRWDCVCLEVVTKLRCGGMIVCTSINHCLCDGIGTSQFLHDWAYLTTKPIDSIPITPFHSRHMFKPRSPSSHLPLLHPAFTKNVPNSTAEDSFSVNRYLHSQPLVPASLTYTASNIMRLKSQCVPSIKCTTFEVLASHTWLSWVKSLNLAPSLEVKLLFSMNIRNRVNPKIPKGYYANGFVLACAKTTVKGLVNSNLHNVVKLVQEAKLALTDDCVNSILEMLEDKNIKTDLTASLVISQWSKLGLEDLDFGEGKPLHMGPLTSDIYCLFLPVIGHPNDIRVLVSLPKGLVSKFEYYMNRFLDSNNVEATGNCEK >KVI02823 pep supercontig:CcrdV1:scaffold_3904:23375:26833:-1 gene:Ccrd_018888 transcript:KVI02823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4281 MAFSFCLSHHQMALKINLLTSVAKHPTSTFALKAVNNEIYGVQIGYSLRTEWSFMGGSRAIVIPNLGRSDMRQRTLRLHASSKMFSKETTLASAWIHLLVVDLYAARQVYQDGLDNDIETRHSVSLCLLFCPIGILIHAITKALITTSRGSKTEMH >KVI02822 pep supercontig:CcrdV1:scaffold_3904:7577:15224:1 gene:Ccrd_018886 transcript:KVI02822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e MYTSRKKIQKDKDVEPTEFEESVAQALFDLENANQDLKSDLKDLYINSATQIDISGNRKAVVIHVPYRLRKAFRKIHLKLVRELEKKFSGKDVVLIATRRMVPPPKKGSAAQRPRSRTLTAVHDAMLEDIVHPAEIVGKRIRYRIDGSKIIKIYLDPKARNDTEYKLETYAGVYRKLCGKDVVFEYPITDASSFLQTTTMAAAHGYVLASTRITQMPQAVLNKMRVPYKLKQGQSRIFHQLPSGLNMEVIYQKGLQIKNPDEKIEKSWTPQPPLVFVHGSFHAAWCWAEHWLPFFSENGFDSYALSLLGQGESDAPAGSVAGSLQTHAACIADFIQKQTTSSPVLIGHSFGGLIVQYYIANLEKDSSGTNDFCFRGQWLSMAVTMSLAAKAFQTSLPLCKETFFSRGMDDHLVLRYQELMKESSRMPLFDLRKLNASLPVATDAKGLEETGSFYGVQPVCVEGVAHDMMLDSTWEKGAERILSWIKEKI >KVI02821 pep supercontig:CcrdV1:scaffold_3904:16929:23810:1 gene:Ccrd_018887 transcript:KVI02821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPNYIPEDRASSHTNLRHAPLQIIHVIGSFLRIWSVYSMYNYLSHTGASVVLFIFSCLVPSSVVFLVLQRPWKGRPLANTQVVPSVINGALTALYFILWGIGLKSCGPVRAVLAEYSGAVLGVVSAVLYGRRGNIWKKVNVPCTCLISYVGVLPLSGTLGIQKYDILFKQYICNGGGWTCCNIPLNDRPDSEDRTEETLNMKSMVAPIFAGILSALRRVIARRVSLKNQLKRRLHAITIASATCFLFPVAMWDMIVGSTNVELPFSAWAFSSTILFGVILIFYVDSIAEERLHMVFSSPRHLMVAGGCLIVMEIFYKMDFSLPGFGYTKQRLWNVGKEITSKMLTSQMGFLKIQFRCLLFQLEHPYRLSISEELLWMQYLPKRSTIDFFNLQYLTIDAQSPSQNLKPVE >KVH89370 pep supercontig:CcrdV1:scaffold_3905:17048:46840:-1 gene:Ccrd_008641 transcript:KVH89370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSMSSSHCLVGSPYYHLHQLKNLSYKVSLNSNFIANKHSITCASSRSRRRRRCDLKAKSSSDAEVKNDEQEKQKRRVLRILIAGGGVGGLVLALAAKKRGFEVMVFEKDLSAVRGEGKHRGPIQLLSSALGVLSSIDEGVAQQVMDAGCVIGNRINGLADGRFGNWIAKFDLMTPAINNGLPTTVIISRMALQEILLNAVGDHIVLNKSKVVDFSQDTHKVRKKLFGAQEASYSGYTCYSGLVDYTPSYISSIGYRVFLGPGQYFVACDVGNEKMQWYAFHHVPPRSYDTMAELTSKKVKLMELFGCWCSDVTTLIDKTREENIVRRDIYDRDMIYSWGIGRVTLLGDAAHPVQPNLGLGGCLAIEDCHQLILEMESITKCESDAIKLNEIVLVLKRYEQKRMLRTRIVHGVTRMASKLLGDYHAFTNFRMIWVRVAYLLPSFGVCFEFSFATFCGLVGNQPLISKSSSYISCSHSLHIFISTLNTYRLRNDPFYHL >KVI11248 pep supercontig:CcrdV1:scaffold_3908:44568:55041:-1 gene:Ccrd_010344 transcript:KVI11248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEINGVCFQQMLWHLQVLEDIQLTITFFVIRTPIHIPTDFFDNCMSISHDLRYFQIQVSEPSVDETILILEDLRERYKIHNKLHYTGDALVAAAKLSLQYKVRYKIHHKLQYTDDALVAAAKLSPQYKV >KVI01035 pep supercontig:CcrdV1:scaffold_391:17854:29866:-1 gene:Ccrd_020705 transcript:KVI01035 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF382 MTAEVANGVLGTPSFNPNGGVNHQTNMNQASKKSRETDRRRRRRKQKKNKSAVGEDTNTDASVTGDDNAKENSDPQKVVEQVEVEYIPEKAELDGYLDDEFRKVFEKFTFKETAPSEENDKNEETAANAASNKKASSDSEEEEQDAQQKDKGGVSNKKKKLQRRMKIAELKQISTRPDVVEVWDATSADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDNKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYHEGKEFEVKLREMKPGTLSHELKEALGMPDGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEFGRPVYGDVFGQQEELPNYEEEPVDKTKHWGDLEEEEEEEEEEEEVEEQYEEEDLEDGIQSVDSLSSYVIGGAPQDKPSGKRVDLLRGQKTDKVDVSLQPEELELMDNVLPAKYEEAREEEKLRKRKEEKAENARKGQQVEEKGFQVLSSIICWNVVV >KVI01038 pep supercontig:CcrdV1:scaffold_391:229083:232922:-1 gene:Ccrd_020692 transcript:KVI01038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPQVQPTNAPEIFSAQIPDSDANKQVQPTNAPEISTSQIPDSDANKQANEISTNDLGSSSQPQIPDSDANKPATVISTNDLGSSSQPQVQPTNAPEISTTQIPDSDANKQATEISTNDLGSSSLPQVQPTNAPETSTTQMPDSDANNHATTIPAHDPGTSSSTEGQPTVAPETSTPQIPDLDANRHDPGNSSPPETTHEGSTTEVAASNQESTSITQTNPPAASTDNNTASGPKISSPEIQQATDPPTGIASTDLPGPSPEQKPKKEITPSDSAPEQERKHLTNLIDQELKFVDKFCPKLGIHEEHIKKEAKEAANKFRKLKGEPCELKELKDLKKIVTKLKLQIPAKYRTYDEIDQQEKKQFDMDNRGVISSKLQKKMPQLHNQLFSESPFCKNIQKRYNDLRRELKLCLLCFSVFPENAIISRRLMVYWWIGEGLVRLDDDVGFEKTIEDCANCYFEELMEKDFIEPESKRHGRNVATCKMHPMVRAALVMIADRVKFFDFDEYGNPKDFGKFDEIESPEDVPLIYPLGEPREFFDFYNEQDEETDETIKFHDSDGNPIPFAPRHPDDILHPVDRNGKPIVSEKKFYFYEKKKITTNSYKVCLMGSGLSKGIPWEKLHMLFNVKDDILEFKPEWFLRMENVNVVFLGRWQSSAAHHIEVEEFKFEESLEHMNHVRFFSLQGVSRISKLPRSISKLESLVILDLRACHNLEVIPRTIGLLKCLTHLDIAECYLLEHMPKEISSLESLEVLKGFVVVEAAGRRICTLNDLRKLGNLRKLSMYTHMKDFPQESHLDALQKFERLRKLTILWGGHESKPKTDKLKQDSGCQSNLASKMMKLIPRKQWVKGSMRRMNAFNNSTLGSRLEKLDLKCFPDHVTPNWLTPGSLKGLKKLYIRGGQFSDLGQYQDIDDLGTSPIPPKETWNVEVLRLKYLDELKMDWRELQTLFPKMNSLEKVKCPRLTLFPCNEHGVWTKKRTTTR >KVI01043 pep supercontig:CcrdV1:scaffold_391:123352:125067:1 gene:Ccrd_020699 transcript:KVI01043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MVEDKTQIVGVHAGNSRLRLATDQISSLIVLSHSIKVFSSRWKLIRNKLNEILPLLPPEIQDSGDGPSFSGVIQAIEETTEFTTKLAQKCIDFSYSGKLLMQSDLDIICVKFNNHIKSLSELSSIDAFSSNGQYAIVVSRPGPTASRDDIRFYFKDLLSRFKIGNSEMKEQALICFNEVIQEDNRCVKTAMEIDGLVFVLVEFLGSKEVGIQEEALKSLDMICRFHSYKGVLASIGIVAPLIRALEGGSHLSKKLSTRCLMKVTADSDNAWAVSAHGGVTALLRISASESENGAELVSLACGVLKNLVGVEEIKRFIVEEGTIPMFINLVKSINEVTQISAIDFLRSIASGDELVANLIANEGGIRVLVRVLDPKSSFSSKTKEMSIMAIMSLCSNPTRLNNLVSYGFMDHILHLLRDGEVSVQESCLKAAFWLSGTSDEFKKAMGDAGFMPELIKFVAAKSFEVREMASETLSSLVSIPRNRKRFVENDQNVSLLLQSIDPEEGTSGNRKLLLPIIMSLSGCNSGRKKILGSGYLKNIEKLADEQVSDAKKIVRNLSSNRLVRLIRGIWR >KVI01041 pep supercontig:CcrdV1:scaffold_391:165030:178855:-1 gene:Ccrd_020695 transcript:KVI01041 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MQFSPDSKKIMPINSVNILVHATEIPISEKKFSRVKNLMNKYGSQDHNESLSKTESQNKIDDFFGKSSVCSDLTQTNEELSRVPNGEVCVLSDDSSIEVSDDEDLYSNYYGEKLVLDTHGALWDVFRRQDVPKLVEYLRKYSNELKESHSSPEKVSSFINIFNTIFQIDRVVHPVLDESFYLDAFHKRKLKEEFDVEPWTFEQHIGEAVFIPAGCPYQVKKIKSCVNLVLEFISPESASECFKLSEELRRLPMNHKAKGKMLSVVLVILGFSFRMDFLKADLQRCQFNKVAMTVLYSQFLVPHGFLKTEFQIKLPENTKESDCGPVASRKNVE >KVI01033 pep supercontig:CcrdV1:scaffold_391:58909:61022:-1 gene:Ccrd_020703 transcript:KVI01033 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4220 MRRMMADLFPKWKRVWDTWDLQGFIILSLSLQTFLILFAPLRKRTKSNWIIMPLWSAYLLADWAANFAVGLISNSQGNPNSDSPKSEDLLAFWAPFLLVHLGGPDTITAFALEDNELWLRHLLGLMFQCLAAVYVFVQSLPENQLWVPTLLIIEMIREPDRAAKSANKAKKGNLTELEIVQYAHAFFENFKGLVVDMIFSRRERNQSRDFFLNRTAKDAFDVIEVELNFIYEALFTKLPVVYGYLGAMNRVFSLATISLSIVLFFFKNKSSLSDVDITITYGLLFGALALDVTALFMLVFSDLTIISLRKSPDDELDKSIKTRILTRVLRFMTEGTVRDPNVNPRQKPKEHQTRKPLIRFLKRRWSESVSTYNLIDYCLHPRSSFQQFVVDNLGLSGFLDGIEYVKPEEFTVKLRDFIFKELKSKSDLGDDLETAKEISLARGDWVLRVETGWGSLLQYTLDADYDQSLLLWHIATELCYNNELNNGIESTDQREIAKLLSDYMLYLLIMQPSMMSAIAGIGQIRFRDTCAEAKRFFESGKGREVDEDRRKTPVSDDPKSNQKQINACMEILKVETEVPPATVKGDRSKSLLFDGCILAKKLMNIEKKNKSLDKWLIISKVWVELLCYAASHSRANSQAAQVSRG >KVI01032 pep supercontig:CcrdV1:scaffold_391:107977:116543:1 gene:Ccrd_020700 transcript:KVI01032 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDLYQKRTKTVDRIDSVDPGVDPDVVSPELTRVCPFPDEVLEPVLSLINSHKDRSSVSLVCKDWYNAERWSRRHVFIGNCYSVSPEIVAGRFPKIRSITLKGKPRFSDFNLVPEDWGADVYPWLSVLAKAYPFLEELRLKRMAVSDESLEFLAKNFPDFKALSLLSCDGFSTDGLKAVASHCRNLTELDIQENGIDDLGGDWLSCFPESLTSLEVLNFASLNSEVSFDALEKLVSRCKSLRVLKVNRNVTLDQLQKLLLRASQLTELGTGTFMQEPVTRPVNDLESTFSNCKNLLTLSGLWDTSSLYLPVIYPACSSLTFLNLSYATLHSVELAKLLIHCKSLQRLWVLDTVGDRGLEAVGSCCPLLEELRVFPADPFHDLDVTGVTESGFLSVSRGCPKLHYVLYFCHQMTNAAVATIVQNCPGSSDLGLKYVLGGCPKLRKLEIRDCPFGNAALLSGLTKYESMRSLWMSACNLTMNGCRVLAKEMPRLNVEVMKDEDSEDSQAHKVYVYRTVAGPRRDAPPFVLTL >KVI01031 pep supercontig:CcrdV1:scaffold_391:79372:85995:1 gene:Ccrd_020701 transcript:KVI01031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand KLRVFLTPTHFQISLCLPFPPIFLVNSSLSISLCENHEYRLEFLAFCFVNFEAEMRSLKLYERFTKTFREHPSISRFLIIFAVSGGGLVAYSEANIQNGAKIDELPEAGNQKKRVVVLGTGWAGTSFLKNLKNPSYDVQVISPRNYFAFTPLLPSVTVGTVEARSIVEPIRNIVKKKKVNVNFWEAECLRIDAKNKQVYCRSTQDVKEEFVVDYDYLVVAMGARVNTFNTPGVEENCLYLKEVEDAQKIRRRVIDCFEKASLPDMSDDERKRMLQFVVVGGGPTGVEFAAELHDFVSEDLVKLYPAVKDLVKISLLEATDHILNIDGIDLKTGAMVVKVSDKEISTKEIKTGEISTIPYGMAVWSTGIATRPVVMDFMKQIGQANRRVLATDEWLRVEGTNSIYALGDCATINQRRVMEDISSIFKKADKDNSGTLTVKEFQETLDDICVRYPQVQLYLKNKKMSSLVDLMKESKGDVARESIELNVAAQQGTYLADCFNRMEECEKQPEGPLRFRESGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSTQWLWYSVYASKQVSWRTRSLVVSDWMRRFIFGRDSSQI >KVI01040 pep supercontig:CcrdV1:scaffold_391:150413:160611:1 gene:Ccrd_020696 transcript:KVI01040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MSRLLAIRNIKRTAQLIRTSNWGVHSEDGKHGSIVRFGLYCSRFRIHSQSMFSNRGFASVLACKAKCCFSKNSFSKNYSVIPANNTMTHHAQIAWKRLSDSSYRNGQISGISRIAQAFSLALSRSYVVLPGIFALTCGNMVLADAGSNLDYLQPRNTLYMRAENGHAFLVSSLLSVFEGLVLLFRAVYLAILFSPSMAMAPFAEYFGASSRQTWLQLVRRTLEIAGPAFIKWGQWAATRPDLFPTDLCTELSKLHSKAPEHSFAYTKKTVEKAFGRKISEIFDDFEEVPVASGSIAQIHRASLKYRYRGKRNKPLLVAVKVRHPGVGESIRRDFEIINVVAKISKFIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRSWRDVSFPKPVYPLVHPAVLVETFEHGESVARYVDELEGHVRLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRSKSSRKGIFKSKPHVIFLDVGMTAELSKSDRVNLLEFFKAVARRDGRTAAECTLRLSKQQNCPNPQAFIKEVTESFDFWGTPEGDIVHPADCMHQVLEQVRRHRVNVDGNGWQRKLDPDYDVMHTLQTLLLKEDWAKSLTYTIEGLMAP >KVI01044 pep supercontig:CcrdV1:scaffold_391:130359:138023:-1 gene:Ccrd_020698 transcript:KVI01044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MRLYGSIFLTTLMKIVVEAGQREKKRSSCREKKLYFWANNSKFELPVMAIVDNRPPSEGGGGSKVWGLFKLPFRNTGNVTTHTTTSSSSYQIEGSNAHNSNNHHGSSSSSSVSSVARSLLPTRRRLRLDPRNKLYFPNEPGKQVQSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATGNNFWSIFKFVEPLDSNEKQMDQKRKVKFKIMSLKVKGMMDYVPELHRLAEAEAALESHKKPAEETGPKIINEGLVIDEWKERRERYLARQQVESVDSV >KVI01034 pep supercontig:CcrdV1:scaffold_391:63686:68709:1 gene:Ccrd_020702 transcript:KVI01034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWMKRERSLADESPSTGKKPRVNYDGQSLTTSHRVVLNTADCDLDFDIEGDGLKGSALYEHGFAYCWSGARANIGITGGKYCFSCKVVSPQLVDMDDTPIDQKHLCRVGISRGDDKVGNLGETEHSFGFGGTGKFSNAGKFSTYGEKFDIGDTILCAVDLESKPMASISFTKNGKWLGTARHFNAGPTGLGVIDSPIKELHWESAVFPHVLLKNVVVQLQFSYEDGLVPQDGYRPWASAIEDGKGLLGPSFSDVYNCELIMMVGLPASGKTTWAERWVNDHPEKRYILLGTNLALDQMKVPGLLRKQNYGERFDCLMDRATMIFNTLLTRASKTPRNFIIDQTNVYKSARKRKLKPFANFQKIAVVVFPRPEDLKARSAKRFREMGKEVPAEAVNEMLANFVLPKSKDMPGTDEYFDQVIYVELNMTESKRCLDEMKAKMQLGISVSPCSHQSSLQSYSSNPVRHSQENVLQPHRSSSTHQYPPESSTHFSHPTSGHYAQGNSLPTYDSPALQYQATPSGGNWQGSYPSQPILPNMHGNEPSKGRFLPRDDFNHRRSYSGYEHRASAPMGFSDSYQRNSSYGARVEYHTPSNETMDLSPRYTANVIHHGPPIERYATSSSFYDASGGPLSASGAPQVDMHTPTQSRFLPATSIPYGSAYGTPDSMNPQGNYPPNYDGYQSRLRYY >KVI01042 pep supercontig:CcrdV1:scaffold_391:178880:185491:-1 gene:Ccrd_020694 transcript:KVI01042 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRC-like protein MVEKIGDEGGDCSLPDKQRCKRSDGRKWRCRRPVMQGRTLCEAHFAQSHYRKQREPVPDHLKLERPKPTADLPQIDEESSGNLKIMENEDVGCPILPQKRSRRKRKEENSVCGFGENPTDNLKIRANGDAGCSNLPPKQGKRNKREEKSSVDISEKLDDALRKMNLEKGDLQLDLIRGCLMRQVEKKKEKQLTTKENVVRDLKYGRLEISQSPVSSRLISGNNAGSLNVKVGVPASNFFPRRVFRSKNIEPLPIATMQILPSVKAKVKVAKKKCHWCRKCGYRNFIKCSTCKKHIFCEDCVQARLQLSEWIRCLDKTNVKKNCPVCCGTCDCRACIKERSKDVKITVRNRATIIVFILILNVHNPYSFMFGTMFQDLVVYNSKKKFDKSEQLLYMIDMLLPLLEQINQEKIVELNMEAKIKGKVSFSFCKSYIVDVHRRCENCSYMLCISCCWDFREGQLHGGFRDFKYMSTEKRKSLSTILWNWKTSEDGSIKCSPKNLGGCGNGVLDIRCLFDFNWTNELETSAKEIICKYKFTKSLEVTSCCSPSDGNAETGNESDDRILFKSKCLYLSMRDDLRDENLQHFTQHWVKGQPLIIRDVVKNDPELKWDPVFMFCTYLEASAKYQKDEGAEVENCSNWYEVEMGRKQIFMGGKTHANVQNEVLKFKVQLSSVFFQQYFPSHYAAVMGDLPLHEYINPLSGLLNLASKLPRETQNLDLGPLIYLSYGRPGEPIDPDFSTKLCYHAFDVVCFKKLQLLQTTKSTKLN >KVI01037 pep supercontig:CcrdV1:scaffold_391:221917:227167:1 gene:Ccrd_020693 transcript:KVI01037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MDFFFDLEIPLTSFKEHQSDILPSLFADESVFVLHDFPANFRQEALMVISKFSEDLDPFVTYLAVNYMDRFISAQKLVNQEKPWIVGLVAISCLSLSVKMKNSDLAIPDLQGYKCSIYDAKSISRMEVLILTSLKWRMRSITPFSFLYYFLSLFELEDPCLSQAIKDRASQIILKSSYGIKYLEFRPSVIAASALLHASQHLIPLQHSQFRAAISSCKYLNKESLEECLGVMRNMASNIKESTSVVDHQCRSSESENNTSMKRRRLNDRHIIPFSQFENC >KVI01039 pep supercontig:CcrdV1:scaffold_391:130802:141592:1 gene:Ccrd_020697 transcript:KVI01039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPSLMIFGPVSSAGFLWDSRAASASANRYSTDLTKLQTIRRKGVNWRMTGNTTLTIPSICKHLSQNGFVKVSSTLYNLATTGSTSSTDERKREREATERILKHPQESIYWMFMYTAKLIAWIKSKTTPCREQRSSHRRNGTARTRAMVVVAVVSVRSFDLIGRRRRRCLKQSPNFTAAAAFRRRSIVDDCHHRQFKFRIIRPELQEYNTSLQQYNTKQLKLEREKAAILENHITLRGHYRLLQKEFTSTILRMKELVVYQELEACFETSQMSQSMQTCDDVINKEAAMKEVDILIGELLQVRDDRDHKLLQLEDLATELAKHKESTSRSIVELDNIHTSTSNSCPESKTKGACNCLVIGFTISLHFLYFELDIINLNTTDLSESETRTEYEEQKRVVSELQDRLKDTERQLLEGERLRKKLHNTILELKGNILVFCRVWSLLLEDGSGAEATVSYPTSLEF >KVI01036 pep supercontig:CcrdV1:scaffold_391:34764:42516:-1 gene:Ccrd_020704 transcript:KVI01036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MDKVLHKSVQVDGLKLHVAEVGSESSPAVIFLHGFPEIWYTWRYQMIAVANAGFRAIAPDFRGYGLSDSPAEPEKASFADFVNDIVSILDSLGISKVFVVAKDFGAMVAFSFVLLHPEKVAGLISLGMIFVPPGAYKRSFALPEGFYVRRWQEPGRAEADFGRLDVKTVVRNIYILFSKSEIPIADENQEIMDLVKPTSPLPSWFTEEDLATYGALYERSGFRTALQVPYRATYLDVGPVEHDPKMEAPTLLIMGEEDYVMKIMDEYVRSDGMKKYVPNLETIYVPHGSHFVHEQFPDHVNQLIVTFLDRNKHRVPV >KVH81844 pep supercontig:CcrdV1:scaffold_3910:18211:24155:-1 gene:Ccrd_025480 transcript:KVH81844 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MLMALSSFSSLFKLSAFTLCLSILVESSSGQLSTSFYSTTCPNFRSVITRAVNAAVSKEARMGASLLRLHFHDCFGCDASVLLDDTANFIGEKTAGPNNNSLRGFEVIDTIKTQLERMCPGVVSCSDILSAVARDSVVTLGGPSWNVLFGRRDSTKANLNAANSNLPSPDSSLSALISTFSNKGFTVNEMVALSGAHTIGQVRCSVFRKRLYNDNNINSSFATSLRAHCPSSGGDDNLSPLDASPASFDNRYFNNLINQRGLLHSDQELFNGGSTDAQVRIYASNRATFSRDFANAMVKMGKISPLIGSNGQVRTNCRRTN >KVH81843 pep supercontig:CcrdV1:scaffold_3910:2764:3598:1 gene:Ccrd_025479 transcript:KVH81843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKSKEGTTKSQSLHPAYSVTNINNKIRTLDGNKITYSSWVKFFRLHAIAYKVMNHFDVTPSPDKDDVGYDEWKEIDALVYSGFIAFNKTSLATSLKHEFTNLTLVKCSSIDDYYQKIKDITKNLGDVDNLVSHSRLVLQMIRGLPSEYEVVATFINQWSPKWDVARSMLQLELHKHASHQNPSQSAMVTPQTTHPTPNPISQNDQNHPQYYQLYDQNCTRSGGRGNQWSGGQGHGRSQGSGQRSTG >KVI11005 pep supercontig:CcrdV1:scaffold_3912:9972:10523:-1 gene:Ccrd_010589 transcript:KVI11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MGMKMIGNANTENFVRGNASLIAEHLEENPDGATSRYHRVYKCKDCDKEYDSFQALGGHRASHRKLMKETGAHCSGLQFLEESGPKLHECRICGKGFAIGQALGGHMRKHREMKLDTGQKDSLHNNANAKIWNTTVVSCNPDSSSVTSVSNGKVVFKYDLNLLPHENEFINAYWGSIKKRRYF >KVI11004 pep supercontig:CcrdV1:scaffold_3912:27043:34753:1 gene:Ccrd_010590 transcript:KVI11004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 MANRTDPSAKSIRGTNPQNLVEKILRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTHGGNRKPTPFICLVMKMLQIQPDKEIVVEFIKNEDYKYVRVLGAFYLRLTGTDIDVYRYLEPLYNDYRKLRRKLGDGQYALTHVDEVIDELLTKDYSCDIALPRIKKRLTIEAIGALEPRKSALEDDFEEEEEKDEDDQLMDTDIGSHDKDYYRGQSPTRERGRDRKRDSHRHRERDHEREYERDYERDRGRGRDRDRDRDRDRERYRERDYREREREREGRERDRRDRERGSRRRSPSRSRSRSRDRDRDRKDRDHDGEERRRRHARDNSSSPRRGAAEDEKPPKKKKEKEKKKDDGTDHPDPEIAEANRIRASLGMKPLKL >KVI11003 pep supercontig:CcrdV1:scaffold_3912:40960:44870:-1 gene:Ccrd_010591 transcript:KVI11003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MNEKETFRMKTKPPLVPLGTLIRRELRHGNVEKPTVNHGQAALAKKGEDYFLIKPDCQRIPGNPSTSFSVFAIFDGHNGISAAIFAKENLLNNILSAIPQGCGREEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTITVASVGDSRCILDTQAGVVSLLTVDHRLEENVEERERVTASGGEVGRLNIFGGDGVKKSIFKFYVCRKEGCKSYVLAAVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNSGGRLIIASDGIWDALSSDVAAQSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPLGYPVLPPTPRKKQNLLTSLILGKKFQNSINKAPNKLSAVGVVEELFEEGSAMLAERLGKDLALNSNSGMFRCAVCQADHDDVSGTPSSHSQPWEGPMLCSKCRRKKDAMEGKRST >KVI03352 pep supercontig:CcrdV1:scaffold_3913:16649:22444:-1 gene:Ccrd_018349 transcript:KVI03352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MGQIANGIPFFELSNNTKIPSVGLGTWQSDPGLVGDAVAAAIKGIDILTVLKFMGTRRRCTDHAPEDVPVALDKTLNDLELEYLDLYLIHWPVRMKKGSVGFKPENLMPADIPSTWKAMEKLYDSGKARAIGVSNFSTKKLGDLLDVARIPPAVNQVECHPSWKQTKLRDFCKIKGVHLSVRTSFMKSDVLKHPVLAEVAEKLGKTPAQVALRWGLQMGHSILPKSTSESRIKENFDIFDWSIPEDIFAKISDIEQAWMLLLRGTNFVDETHGQYKNIEELWDGEL >KVI03353 pep supercontig:CcrdV1:scaffold_3913:51507:51702:-1 gene:Ccrd_018350 transcript:KVI03353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MNKVFFRERKFEFTLNPKSFNVKEHVLITIFANFDVENPYAIHIVSIVKIFYGDFLGVVDCGGDT >KVI00897 pep supercontig:CcrdV1:scaffold_3916:7534:7758:-1 gene:Ccrd_020840 transcript:KVI00897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLSKVLDNKSKRFDDIDIDEIDNIGASYDIGASYDIDASDGILDQELELLISVNALTMDMLPEEENQLYITL >KVI00898 pep supercontig:CcrdV1:scaffold_3916:6415:7202:-1 gene:Ccrd_020841 transcript:KVI00898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYSSMSKSFCHDESCDWSEPGRIYINQLSNHSLDFLGYRSSVPLERSTVCNQILENAFLIDNVIKKFDTIVPIMPLIGSLAKSKFCNALGHPIGKVIWANLSDYDIIDRFGGIYKNLSYHHSGSLKKSLYQVKYILRLSCARILARKYKSIVHAFLERFGLQFHD >KVI00899 pep supercontig:CcrdV1:scaffold_3916:11177:28599:-1 gene:Ccrd_020839 transcript:KVI00899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MKNNKAVVTTKNKRNTNGESSWVGKDGKVYHSHDGLAPHSHEPIYSPGFFSRRAPPLVTRDFNERAFTIGIGGPVGTGKTALMLALCKQLRDKYSLAAVTNDIFTKEDGEFLVKNGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAAAVGADLAVMERDALRMRDGGPFVFAQVKHGVGVEEIVDNILQAWEATTNSKRR >KVI02966 pep supercontig:CcrdV1:scaffold_3917:47457:51883:-1 gene:Ccrd_018742 transcript:KVI02966 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MESCFPKLLNLLFKARNLIGVATIGSSSAAATDAIISSPKFSTTAAAAAAMDDLQTLKTRLCIIGSGPAAHTAAIYAARAELKPLLFEGWMANDIAAGGQLTTTTDVENFPGFPEGILGFELMERCKNQSLRFGTQIFSETVTKVDFSSTPFKVFTDSKSVIADSVVVATGAVARRLHFPGSDDGKLGFWNKGISACAVCDGAAPIFRGKPLAVIGGGDSAMEEANYLTKYGSKVYIIHRRGEFRASKIMQQRAMGNPKIEVVWNSAVVEAYGAEGKSLLGGLKVKNVVSGEISNLEVSGLFFAIGHEPATKFLDGQLELDSEGYVVTKPGTTLTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGSQEGKSD >KVI11788 pep supercontig:CcrdV1:scaffold_3918:32564:46620:1 gene:Ccrd_009797 transcript:KVI11788 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF676, lipase-like protein MQMPKRVIGVHVGKSRFQSGWPKVLSVPANSDMDLIPKLGKLFFVSQQNKTNQAVEVIESDNNVSCKDGFDAMSAGTKVQPDHLVIMVNGIIGSAADWGYAAKQFVKRLPDKVIVHCSECNSATLTFDGVDRMGERLAEEVMAITKRWPEVSKISFVAHSLGGLVARYAIGRLYENFPVIGLSGSNGNDASNSEQCHEQLYEARIAGLQPINFITVATPHLGSRGHRQLPLLCGLPFLERSASQTAHWIAGRSGKHLFLTDNDDGETPLLLRMVNDSKDIKFISALGSFKRRVAYANANYDHMVGWGTSSIRRQHELPNSNLLSEDEKYPHIVYVEQGQQEADEAVQKGTPSVVAPEDFEEEMIRGLTQKPWERVDVKSYWLNSDGADVVLHMIDNFLL >KVI11790 pep supercontig:CcrdV1:scaffold_3918:9420:15850:-1 gene:Ccrd_009795 transcript:KVI11790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVAACKQWNGLVRELHSPISPLFLQHYSTTTSSKGAYNGSEILNYMGGLDGDKKQLVHKLVNFRMKQGKKTIVRAIFHETLHRLARTDRDGVQLIADALENVKPICEVAKVRIAGNIYDVPGVVAKDRQQTLAVRWVLDAAFKRRTNHSSRLEECLFAEIMDAYRKRGTARKKREVLHGLASTNRSYAHFRWWFSFLYYILKKAHK >KVI11787 pep supercontig:CcrdV1:scaffold_3918:47014:49080:-1 gene:Ccrd_009798 transcript:KVI11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MASPAVAMAPASSKTESYVDNKRKEDIRMANINAARSVSSAVRTSLGPKGMDKMISTASGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDIVAGDGTTTVVVIAGALLKQCQTLLRAGIHPTVISDSLHKVSVKALDVLTAMAVPVELSDRESLIKSASTSLNSKVVSQYSSLLAPLAVDAVLSVVDSAKPDIVDLRDVKIVKKLGGTVDDTELVKGLVFDKKVSHSAGGPTRVENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGIIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDDIEFITKTLNCLPIANVEHFRAEKLGFASMVEEVSLGDGKIVKITGIKDMGRTTTVLVRGSNQLVLDEAERSLHDALCLNPIAIVTELRNKHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVSSKNPFLKVLI >KVI11789 pep supercontig:CcrdV1:scaffold_3918:22686:30646:1 gene:Ccrd_009796 transcript:KVI11789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MCFSKATSMILVFLFSLILSSSNAITHPRTHSHHAIKAFLSLQNQARAAIRMPPLVWDKRLARYANIYARQRRQDCSLKHSNGPYGENIFWGSGSRWSPAQAAATWVSEGRWYNHQLNSCNGGKECGHYTQIVWRRSRRIGCARVTCFGDIYSSQPCYSLTCPLPGFHPPPLLLKMTPLLLFSVLLLTSLPLSFSSTPTPNFHKIPDNETVYRTSKQLCVGCTWESFQFLFAQNMVRAAKWEIPLGWDFQLQRYAQWWAGQRKRDCELMHSFPEDDFKLGENIYWGSGSSWSPVDAVNTWADEEKYYSYASNTCVRGQQCGHYTQIVWKTTRRVGCARVVCDTGDVFMTCNYDPVGNVIGERPY >KVI11791 pep supercontig:CcrdV1:scaffold_3918:4120:8595:1 gene:Ccrd_009794 transcript:KVI11791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRKLSSASLATSSGASCHSMHLRLLHSSCIRPLKKQQFRRNFHNLKPKRSPPAIAPIVSDSTIVQWNTTITNYMRSGQCDSALRMFEKMSQRTSVSWNAMISGYLMNNRFDLARQMFEKMPERDLVSWNVMITGCVRNGNLGMARKLFDQMPERDAVSWNAMLSGYAQNGYVEEARIIFDRMPNKNSISWNGILAAYVQNGRIDDARKLFESNSAWDVISWNCLMGGYVRKKKLVDARWLFDRIPVRDEVSWNTMISGYAQNGQLPEAQKLFDESPVRDVYTWTAMVSGYVQNGMLDEARRVFDEMPVKNPVSWNAIIAGYMQCKNIDVAKELFDAMPCKNVSSWNTMITGFAQSSLIDLARDLFDKMPRRDCISWGAIIAGYAHLGHNEEALRLFVEMKRDGEKANRSIFSCILSTCAEITSLELGNQLHAQLFKVGLGSGWYVGNALLAMYCKCGNIDQAYIIFEEIADKDIVSWNTIIAGYARHGFGKEALRIFESMKRSGVKPDEVTMVGVLSACSHSGLVDTGTEYFYTMDRDYGITANSKHYTCMIDLLGRAGRLDDAQNLMKNMPFEPDAATWGALLGASRIYGNTELGEKAAEMVFQMEPNNAGMYILLSNLYAASGRWADVGTMRLKMRDSGVKKVPGYSWLHVQNKIHTFSVGDSTHPETARIYAYLEEMDFRLKKEGYISSPKLVLHDVEEEEKEHMLKYHSEKLAVAFGILNTQAGRPIRVFKNLRVCTNCHNVIKHMSKIVGRLIIVRDSHRFHHFRDGVCSCGDYW >KVI08154 pep supercontig:CcrdV1:scaffold_392:213572:214231:-1 gene:Ccrd_013477 transcript:KVI08154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLGLDLFTQSLHLLLIFEVLCRFCAAVEAKYPALLFKQQLTTFLEKIYGLIRDNLKKEISLLIGSCIQVSRTSRAIFFNNLPSGSVIFDARCGNGKYLGLNPDYVFIGCDISAPLIQICNDRGHEVLVADAVNLPYF >KVI08153 pep supercontig:CcrdV1:scaffold_392:248482:262571:1 gene:Ccrd_013478 transcript:KVI08153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MEVSPSDDDTSHTSQQQCSSDNHRLYLVPFRWWKEAQGYCDPDGGTKKKRGVLYDALPASSSYVGPMKILNNIFKSDLAFNLVRKGEEDEQQQDDDNNDNDSSENNGGDDRDINGVSGRNYALVVADMWLQALKWHSDSKGTVKDGKSFLAAEDDMTDVYPLQLKLSILQETNTLGVRISKKDNAVECYRRACKIFSIESELLHIWDFSGQTTQFLLDEKNKNAKDSLRQSEQEILLELQVYGLSDLTRNKDMKKDEMTSHLVKTSSKMNGSTGNSICLRSNSAVSSGSYCEKGSLGLTGLQNLGNTCFMNSSLQCLAHTPKLVDYFLGDHRREINHDNPLGMNGEIALAFGDLLKTLWAPGATAVPPRTFKSKLAHFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYAEAKDGDGRPDEDIADEYWQNHLARNDSIIVDVCQGQYRSTLVCPICRKVSVTFDPFMYLSLPLPSTSMRTMTLTTVPTDGSAQPTQVTVTVPKHGKFEDLVRALRTMCSLGNDETLMVAEVYNNHIIRFLGEPTDSLSLIRDDDRLVAYNIQKDFEKFSRVVFVHQQIEKNLTSGKNKSSCKPFGLPLVACGEIAKGHDIRDLYFNVLKPLTIKAKSSSEDDNNTGTVPIEDEERNNVASPEDTSEASDTVNGNSRTDCEVQFYLTDDNGNVKGSEIVMDELLNSTELGGRLNILVCWSDKMLELYDQSLLTSPAEVYKPALFSKRPQESISLYKCLEAFLKEEPLGPEDMYCPGCKKHRQASKKLDLWRLPEILVIHLKRFSYSRFLKNKLETFVDFPIHDLDLTTFVAYNNGRSSHRYMLYAISNHYGSMGGGHYTAFIRHDGDRWYDFDDSHVSPIDEDRIKTSAAYVLFYRRLEDI >KVI08155 pep supercontig:CcrdV1:scaffold_392:52857:57289:-1 gene:Ccrd_013476 transcript:KVI08155 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MSLESFERVCYVHCNFCNTILAVSVPCSSMSSIVTVRCGHCANLLSLNISALLQTTTHHHNHHQKQLSSNNDKAQFGGSGSSSLQSVEPQVPQTPAICPPEKRQRVPSAYNRFIKEEIKRIKASNPEISHREAFSMAAKNWAHFPHIHFGLKLDETSK >KVI00293 pep supercontig:CcrdV1:scaffold_3922:21504:25346:-1 gene:Ccrd_021460 transcript:KVI00293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MMSRSNDNSNKLNSVFYAQAYHPIQAGSIDGTDILPHDNAVYRALLCSSAGLYDPLGDPNVIGEDPYCTVFVGHLSQLTTEDTLRKGMSKYGTVKNLRLVRHIVTGASQGYAFVEFESEREMRRAYEKAHHSVIDDSEIIVDYNRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPLDDLKRLGIAPPPEGNYMSRFEVPSPPRRKTDYADREDRHSRRRERRSSSRDHLHDPRGSVDNNDSSRKSHSRNYSERRSSRDKYDEREDNAEKRYRDSHRHDRSYTSHDHQSDTRRSSDREQRSHKRHKHE >KVI00291 pep supercontig:CcrdV1:scaffold_3922:48392:50903:1 gene:Ccrd_021463 transcript:KVI00291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSNSSQALLDLENSTQNSSSKESALLVCKRGDEDLLKKLEAAKTKANGPPDSKPAIAAPPPSQVMGKVRDFLGVMAESNKKLQLDAMNSKNYDIEALTGDESEYIEMDLMLGVADLHTPAAFEIHDDVKRKCDNSWFCTGYAQCDNIRKEVEFHNYCSLLVIITVYA >KVI00289 pep supercontig:CcrdV1:scaffold_3922:6668:9376:-1 gene:Ccrd_021458 transcript:KVI00289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MAGSLSPCTATFFTPTAIIDTTSIVNPMVTYFKPSMRAKKATLIMCSRRPNYIPNHIQDPKYIRIFDTTLRDGEQSPGASMTPNQKLQIARQLAKLGVDIIEAGFPAASVSEVEAIKLIAQEVGNATVDDMNGHVPVICGMARCIKEDILKAWDAMKYAKYPRILLFISTSEIHMKYKLXMSKZEVIEKARSMVAYARSVGFNDIEFGLEDATRSDREFLYEIVSEVIKAGVTTIDIADTVGYCLPREFGQLVADIKANAPGIENVVISIPCHDDLGLAVANTIEGMSSGATQVEVTIKGIGERAGNASLEEMVMTMKCKGELLGGVYTGINTRHIVKTSKMVEEYTGMQVQPHKAIVGANAFAHESGIHQDGILKNKSTYEIMSPGDIGLQRPDESGFTLGKLSGRHALKAKLIELGHNIDGEELDDIFLRFKSIAETKKVVTNDDVIALVLN >KVI00288 pep supercontig:CcrdV1:scaffold_3922:26725:36948:-1 gene:Ccrd_021461 transcript:KVI00288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter MNKNGMIECSVCHSKLVKPSTKTVSRAYDEHRSKISKKHRALNVILVVGDCMLVGLQPILVYVSKVDGKFKFSPISVNFLTEVAKVFFALVMLIIQARNQKIGDKPILSFSSFVQAARNNVLLAVPALLYAINNYLKFIMQLHLYAALQRYQFSSIEHIHLVKHNHVNICLFSKHVCLPSAKGKENLTNQAINKDEPTLPSLVIDIEKFKALHLYFNPATVKMLSNLKVLVIAVLLKIIMKRRFSIIQWEALALLLIGISINQMRSLPEGTTAMGLPVAMGAYVYTLIFVTVPSLASVFNEYALKSHYDTSIYLQNLFLYGYGAIFNFLGILGTAIIKGPESFDILQGHSKATMLLIINNAGQGILSSFFFKYADAILKKYSSTVATIFTGFASAALFGHTLTINFLLGISIVFISMHQFFSPLSKVKEEENGVIELEPVQSNDRSRDSTFINMAASANEEVRMLVIALVLMKDDHFFLPNPTLAAS >KVI00292 pep supercontig:CcrdV1:scaffold_3922:40941:46496:1 gene:Ccrd_021462 transcript:KVI00292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKRSWEKVNLHLSKRHSSSLASLISLIPNPDSSKISRQSSVYDTFKKSISTSSPDQLEGLIDPRFNPPQSNSDPQRDSGIAANLAEEFAVLQGSLSVDKSASRKCSDVDVICNAIRDNGADFGDKTQKFLSKFREKLSESLVVDVLRLLQNAELGVKFFLWAGRQIGYTHSLAVYDTLLDIMGCNNADRLSDHFLREIKDDDDKEVLGKLLNVLIRKYCQNGSWNVALEELGRLKDFRYKSSKVTYNALMEVFLKADKLDTACLIYQEMADLGHKMNAHTLGTLAYSLCKAGKWKEALDMVDKEKFAPDTVLYTRMIGGLCEGSFFEEAMNFLDRMRSDSCVPNGLTYKTLLCGCLNKGKLGRCKRILGMMIAEGCYPSPKIYNSLVHAFCKSEDFKYAYKLLKEMARYGIQPGYVIYNIFIGGICGSKELPGLDKLELAEMAYGQMLESGFTLNRINVSNYAQCLCGAGKFDRAYNVIREMMSKGFVPDASTYSNVISFLCDASKFEKAFWLFKEMKKNGVLPNVHTYTMLIDSFCKAGLLLQARNWFDEMVTNGCSPNVVTYTALMHAYLKAKKIADANELFEMMLSCGCSPNVVTITALIDGHCKAGEVEKALQIYERMKGKEIPDVNKYFRGKEGNTLEPNVVTYGALVDGLCKVHKVDEACKLLDVMSLEGCEPNNIVYDALIDGLLKDEKLAEAQGVYSRMCERGYSPNVFTYGSMIDKMFKDNRLDLASQVLSNMLEKSCPPNVVIYTEMVDGLCKVGKTDEAYRLMEMMEVKGCKPNVVTYTAMINGFGKMGKVEKSLEIFRQMGSKSCAPNYVTYTVLIHHCCVSGLLKEAHELLEEMKQTYWPKHMASYRKVIEGFNREFLINLGLLDDISEYDSVPIIPVYKLLFDSYRKAGELEVALELLKEISSLCSSIDKSLYFSLIESLSASHRVEKAFELYADMISKGGVPELSVFVNLVKGLVKVNRWEEAIQLSQSLCYMESFLDPLDRIELLVLIREEPTSLT >KVI00290 pep supercontig:CcrdV1:scaffold_3922:20147:22529:1 gene:Ccrd_021459 transcript:KVI00290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 METSSRPTSPSNKPYFQDSECPDLQSPIDCEKGSGFYKNEDSWPKTHMHRKKNHVFLQGYVDEEELVRAKSLTDEDLEELRGCLDLGFGFSYDEIPELCNTLPALELCYSMTQKFLDDQQKSPESPSTASESSSPHSGATANWKISSPGDDPEDVKARLKFWAQAVACTVRLCN >KVH96484 pep supercontig:CcrdV1:scaffold_3923:34773:44413:-1 gene:Ccrd_001431 transcript:KVH96484 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAPP II complex, Trs120 EVKNNTLDCVNYPSALLRLLLSAFSAVLFPATATMEPDVSIDTSSIIRIAVLPIGTIHLHLFRKYAGMLGRHHKIELSTITSFYTEHQKSPFSQQPWESGSLKFKFIVGGSPPSPWEDFQSNRKIHGVIGICHCPSSPDLDSVVEQFAAACKGYSSSLVQRCFAFSPGDTQLADGNNKGNKLVLFPPADQRTQEIHLQTMMQDIAASLLMEFEKWVLQAESGGTILKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPVDANAHYSTALELARLTGDYFWYAGALEGGVCALLMDKAVQRDPIIEEEVKYRYNSVILHYRKSFIQDNAQRVSPLSFELEATLKLARFLCRRELAKEVVELLTSAADGAKSLIDASDRLILYIEIARLYGTLGYHRKAAFFSRQVAQLYLQQENSLAAISAMQVLALTTKAYRVQSRASIPKHAIY >KVH96483 pep supercontig:CcrdV1:scaffold_3923:15063:26771:-1 gene:Ccrd_001430 transcript:KVH96483 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAPP II complex, Trs120 MVSEQLVLKLMRSLLVRAAKMMENVTLMQLTMEAFKEIGKPPRKPFKKIGFCEREEKWLSFQPLSYFIKRGRVWVQTEAGAKIGVDSSNVADLAEREDQEKEVCNFLSEDVGSSIADGGKMHHHLVVSLFESQWSTLQMVVLKEILLSAVRAGDPLAAWSAAARLLRSYYPLITPSGQNGLASALNNSAERLPSGTHCADPALPFVRFSASKFPFSFHITSSFVYKCLDRLKIGQFRLHGHKLHSFPLHPSQMDIIKRNSGREDWWAGSAPSGPFIYTPFSKGDSVNSSKQELVWVVGEPVQVLVELANPCGFDLLVNSIYLSVHSGNFDAFPISVTLPPNSSKVISLSGIPTKVGPVNIPGCLVHCFGVITEHFFKDVDNLLLGAAQGLVISDPFRCCGSGKLKTTTVPNITVVPSLPLLVSHIVGGDGAVILYEGEIRDLWISVANAGTVPVEQAHISLSGKNQDSVISIGYEALKSALPLKPGAEVTIPVTLKAWQLGLVDLDNIATKSTSVKATRPLKDASSPMLLIHYAGPVENHGEPPESVNVVPPGRRLVTPLNICVLQGLSFVKARLLSMEIPAHVGEITENALDGVSADNSSDSSRHASDRLVKIDPYRGSWGLRFLELELSNPTNVVFEVGVSVQLEKGNIEDSCSEFDYPKTRIDRDYTARVLIPLEHFKLPVLDGSFLVTNSRSNGDVGKSASFSEKNKKAELNASIKNLISKIKVRWLSGRNSSGELHIKDATQAALQTSVMDVLLPDPLTFSFRLVKDSPKGPVLAHDMTPMEVFVRNNTKDSISMSLSITCRDVAGENCIEGTNSAVLWSGALSGMKVDVPPLEEIKHSFCLYFLVPGEYTLLAAAVIDDPNEILRARARSSSPDEPIFCRGPPYHVRVNGTL >KVI06694 pep supercontig:CcrdV1:scaffold_3928:40157:42651:1 gene:Ccrd_014951 transcript:KVI06694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MGFSKGPSSFLHVFFLALLLWDHGAQAKQNYKDALAKSILFFQGQRSGRLPTSQKLSWRSISGLSDGSLAHNSKPLGPQLENVRVAIRWGADYLIKCATATPGVLYVGVGDPNTDHKCWERPEDMDTARTVYSVSRNKPGSDVAGETAAALAAASMVFRVVDKKYSKLLLRTAKSVFQFAAQYRGSYSDSLGAAVCPFYCSYSGYKDELLWGAAWLLRATKDVSYRNFINSLGANDATDIFSWDNKLAGARVLLSRGSLVANDKSYEPFKQQAQDFMCRILPNSPYSTTQYTKGGLMFKLSASNLQYVSSITFLLTTYAKYMKSAKTTFNCGNILMSYMVGYGANYPRRIHHRGSSLPSVSTHPQSFGCEGGFQPFYYTSNPNPNILTGAIVGGPNENDFYPDERTDYSHAEPATYINAAIVGPLAYLSGRA >KVH81634 pep supercontig:CcrdV1:scaffold_3929:50098:51645:1 gene:Ccrd_025481 transcript:KVH81634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MASSTAQVHTLGATSFVSTKNSPKTVFFGKGLSKSVSFSHQKSFLKLKKGRSNGPLRVVVEKVVGIDLGTTNSVVDAMEGGKPFIVTNAEWAEDYAVGAGSGDRLVGQIAKRQAVVKPENTFFSVKRFIRRKMSKVDEESKPFAAEEISAHVLRKLVDDVSKFLNDKVTKAVVTVPAYFNDSQRIATKDVGRIAGLQVLRIINEPTTASLAYGLERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLTGDDFDKRVVDLLAASFKKDEGIDLLKDKQALQRLTETAEKAKMEHSTLTQANISLPFITATADGPKHIDTTLTRAKFEELCSDLLDRLKRPVENSLRDANLSFKDIDEVILVGGSTRIPAGQEVVKSLTGKEPNVTVNPDEVVALGAAIQGGVLSGHVSDIVLLDVTPLSIWLETLGGVMTKYIPRNTTLPNSKSKVFSTATDGQTSVEINVLQGVREFVRDNKSLGSFQLDRIPPAPRGVPQIE >KVI04625 pep supercontig:CcrdV1:scaffold_393:115430:118289:-1 gene:Ccrd_017052 transcript:KVI04625 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDEKRVIESLTQGKEYAKQLQIHLNDPNSSSHQTQQILINNILNSYDKSLSLLTTPNAIGTQSHLHAGIGITKLESQVFSTQDSDHEFKDLQDHKDFSAKRNPSSMEKWKNEVKVRADMEVEEGLDDGYSWSKYGQKDILGAKHPRGYYRCTYRHSEGCLATKQVQRTEDDPNIFNISYRGSHTCNLGTNTTSTIPLPLPSPPQSIIQHLQQENQQAPRCPEFLLDLQTCDKPTTENIKTSSFQFPSTSNNSIIFPTLDNAFDSNTSPSFISPTTSVPAYFTMSQPRVSVVRNISSPVAAKSEVNNVVSVATSSTSSHNVDVGFQFGQMEFGNDFSFDNSIFFD >KVI04629 pep supercontig:CcrdV1:scaffold_393:219491:221501:-1 gene:Ccrd_017056 transcript:KVI04629 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MMLARTAAKLYEAMGMQRSARSQSESMSKSRYDHVDGGYSRGGETIAIDQNYISWLREHPSALSSFRDMINASKGKQIVIFLDYDGTLSPIVSDPECAFMSDEMRTAVHDVSNCFPTAIISGRSREKVFDFVKLNTVYYSGSHGMETMGPPPQNKSYDKKYHQTSFDNEILSELTERMKNIQGVMIEDNKFCLSVHYRHVKDEDYGRVEEAVQLMLANNGDFHMTGGNKVLEIRPSIQWNKGDALIYLLDTLGFQKSDDVFPIYIGDDRTDEDAFKVLRERGGYPIVVSSKPRETMALHSLRNPSE >KVI04628 pep supercontig:CcrdV1:scaffold_393:238879:245230:-1 gene:Ccrd_017057 transcript:KVI04628 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MECNKDEALRAKVIAEKKLADKDFAGAKKFTLKAQTLYPGLDGISQLLTTLDVYISAENKISGEVDWYGILGVKPADDDETIRKSYRKLALMLHPDKNKSVGADGAFKLLSEAWSLLSDKAKRLAYNQRRSMRGFHLKVPSSQTGGPSAPPAANGVYNFAKRATSKPNIRSSTTATRMGQGSVPPVVRPAAPPPVVHQRNDTFWTICHRCKMHYEYLNLYLNHTLLCPNCHEPFLAKEMPPPVNLPKSTQSSARQDSSNHAPSGRSNSNPGRSTAATQKSNVHWGPSSRTNVPSSTDPSIATKAASVVQQANDRLKREREEFYAGWPSKKRKADDDAQSSGVKIPFQMSTGNGGNLSKGSGFSNKVNRSRELTPSETRNMLMQKAQSEIRKKIIEWDSEEKTKVNKETQNGNQSSEKNSVDQPADAQERQESSMNVPDPDFHDFDLDRTENSFGDNQVWAAYDDDDGMPRFYALVHKVISRNPFKMKISWLNSKTTSEFGSFDWLGCGFRKTCGEFRVGRHEFNKSLNSFSQKVEWTKAKGIIHILPRKGQVWAVYRNWSPDWNENTPEDVIHKYDMVEVLDDYNEEKGVSVSRLVKHAGFRTVFHGRTDESEVEVIPKEEMFRFSHQVPKYVLTGEEGDNCPKGCLELDPAATPLDLIQEAATEESNEEVENGEEGKQQK >KVI04635 pep supercontig:CcrdV1:scaffold_393:247662:259353:1 gene:Ccrd_017059 transcript:KVI04635 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, beta subunit MQVILSALPRLKAVSINFLAADSGSATTNCFSIRFPKARDIAKTPPTLQVPGNNMKFSPVFSSAENKAKNNRLKQSVLDGEKKKDPTIEPYHSASATGKRSVLIVELINNIAKADEGVSVFGGIGERTREGNDLYMEMKESKIKHQAASTNSLAYADMQQVAAGGIRGLKCREADGSRWKMHFQSRFKCVEKERINHCPEMTAAYHLRAHKLRDLLQELQKKPRSSNMLIEDRIGI >KVI04634 pep supercontig:CcrdV1:scaffold_393:21678:22218:-1 gene:Ccrd_017047 transcript:KVI04634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MVSNNLSVLDALDTARTQWYHVNAIVIAGLGFFTDAYDPFCISTVSKLLGHLYFSDHITGEPGKLPTFTNNIVTGVALIGTLTGQLVRLACPRAVIGTLCFFRFWLGFGIGRDYPLSATIMSEYANKKTRGALSLPFSPCRGWVLYSPV >KVI04622 pep supercontig:CcrdV1:scaffold_393:247252:259524:-1 gene:Ccrd_017058 transcript:KVI04622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MVCSSHLRTVILQAGNIQKVAEGLVQFANFKSSLKTLPAATFSSVKIFPSIRKMMVDNSASANRGLITDTSPEKDNYGGYASGGWKSEDGKLSCGYSSFRGKRVSMEDFYDVKTCKINGQTVCLFGIFDGHGGSRAAEYLKENLFKNLMNHPKFLTNTRVAISKPINSNEFTGETYQQTDSDFLESGKDTFRDDGSTASTAVLVGNHLYVANVGDSRTVLSKAGKAIPLSDDHKPNRSDERKRIESAGGVVMWAGKMSCKNKDLISLGFDHGQMGFLDLHLFIGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQEQELDEEFELLVLASDGLWDVVPNEDAVSLALLEEEPESAARKLIETAFSRGSADNITCIVVRLYHHESSAATAPEFKPQPPKSQSETETQIQPENELETETEIESESESRSEETKTDE >KVI04633 pep supercontig:CcrdV1:scaffold_393:70507:70982:1 gene:Ccrd_017049 transcript:KVI04633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLVFSTSIVPLWAWMKQLYNEDLDNLKVLLDETIYYDKQWQVTWPNEKRESGGD >KVI04623 pep supercontig:CcrdV1:scaffold_393:18457:21672:-1 gene:Ccrd_017046 transcript:KVI04623 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MAVSHIFLKANWAPSFDQNHVLSTQRNADYAWRIVLMLGALPALLTYYWRMKMPYTAIIEGNAKQAASDMGRRHGRHLIGTMSTWFLLDIAFYSQNLTQKDIFPVMGLTKKARQVNALEEMFEISRAMFVIAMFGTFPGYWFTVAFIEKLGRFNIQLMGFFKMSVFMFIIGIKYEDLATRDNRWLFSALYGLTFFFANFRPNSTTFVLPAELFPTRVRSTCHAMSAAAGKAGAMVGAFGIQTYTLHGEKSKIKKEMIILAVTNMLGFFCTFLVTETKGRSLEEISGEDGSKDKAEAQMSNRGSRQSQES >KVI04627 pep supercontig:CcrdV1:scaffold_393:96465:97668:1 gene:Ccrd_017050 transcript:KVI04627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MVGDQSTSLPEFFDSATSAEQFGETKFLSAGVASPDDIFSILEALEGVSDEFTALTPLDDHGVEEGAVHNHLLSQKSTSLSAVEELVEAELEAFSPKNKRHKVSSSVEEGCENSDGQLKMSHVTVERNRRKQMNEHLTVLRSLMPCFYVKRVLYSTLRLALFLRISNFCLPTGDQASIIGGVVDYITELQQVLQSLEAKKQRKVYSDVLSPRLISSPRTLPLSPRKPPLSPRPSLPISPRTPQSASPYRHRLPSYLLSPSSMANTTPASPCNSSSNSDTINELVANSKSCIADVEVKFSGSNLLLKTLSPRLPGQATKIISVLEDLSLEILQATINTIDETLVNSFTIKVTQSLNLI >KVI04631 pep supercontig:CcrdV1:scaffold_393:172225:174273:-1 gene:Ccrd_017054 transcript:KVI04631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLKNLVTDCLGKRDITSTNMAITSHSRNGQLDIARKLFDEMPQRTVVSWNTMISAYSKCGRFEEGLNVLSSMHFNNVKLNETTFCSGLSATARLPSYYAGKQLHGLVLRSALESFQLVGSALLYFYANCYEIEEARQVFNDLHEGNGLLWSLMLVCYVQCNLLDDALDVFNRMPARDIVAWTAVMSGFSKRDGGFEKSLELFCLMRRRGEAEPNEFTLDCVIRACGRFAALLEGKTVHGLAIKYGFEFEHSISGALIDFYCSCKSIDDGKRAYLGVSNPSMSDSNSLIEGLLGGGRIEEAELVFNGLVKKNPTTYNLMIKAYSLAGRFEDSVKLFLKMPQKVLASMNTMISVYSRYGNLNKAFELFEVTKEERNTVTWNSMISAYIHNNQPENALELYISMHKLSVEKSRSTFSSLFHACSCLGSLRQGQLLHAQLAKTPLASSVYVGTALVDFYSKCGSVTDAQSSFINILNPNVAAWTALLNGYAHHGLCSEAILLLENMVNQGIKPNEATFVGVLSACAHAGWVNEGMRYLRLMKEIYGIELTMEHFTYAVDLLGQSGHIQEAEEMIKEMPFEPDSVVLGALLKACCLWLDVEVGERVAQKMVDMNPKSIFAYVIMSNIYSGIGRWKDKIKVRQILRDLEVKKDPGCSWVEVDNKVCVFSVEDRSHRCYNIKNLQVSST >KVI04632 pep supercontig:CcrdV1:scaffold_393:37003:41499:-1 gene:Ccrd_017048 transcript:KVI04632 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MTCSFSTGWFRKGELPPIRRDCLAHEEAVGRCLLKTDTAAAVGSLVDEKEAANNCCLVAHRTGTVVVWQLPFGRTSDGNELLFWLVQQRKIKQRVAAVFLVQQREIRQRILKTSTMASNNLSVLEALDTAKTQWYHAKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYSDHSDGKEPGKLPTSINNAVIGVALVGTLSGQLVFGWLGDKLGRKKVYGITLVLMVICAVCSGLSFGYSPKAVITTLCFFRFWLGFGIGGDYPLSATIMSEYANKATRGAFIAAVFAMQGMGIIFAGLVSMIVSHLFLKANQAPAFETNHVLSTQKNADYAWRIVLMLGALPALLTYYWRMKMPETGRYTAVIEGNAKQAAADMGRVLDIEIQAEQDKLAKFKSSNDYPLWSGKFVQRHGRHLIGTMSTWFLLDIAFYSQNLTQKDIFPVMGLTKKAHQVNALEEMFETSRAMFVIAMFGTFPGYWFTVAFIEKLGRFRIQLMGFFMMSIFMFIIGIKYDDLATRENRWLFAILYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHAMSAAAGKAGAMVGAFGIQTYTLKGERSKIKRAMMILAVTNMLGFFCTFLVTETKGRSLEEISGEDGSKDKAETQMSNRGHDEEQEN >KVI04630 pep supercontig:CcrdV1:scaffold_393:183586:189157:1 gene:Ccrd_017055 transcript:KVI04630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MASVSSQPQFRYTQTPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFAEQNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTSADVAGNVLLVTIEGNDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFTDSETATSAKDALDGRSIPRYLIPELGACSLKITYSAHTDLSVKFQSHRSRDYTNPMLPVASSAIDATGQFNLGLDGKKIESESNVLLASIENMQYAVTLDVLHMVFSAFGPVLKIAMFDKNGGVQALIQYPDVQTAVVAKEALEGHCIYDGGFCKLHISYSRHTDLSIKVKHKMLVNNDRSRDYTMPAGQIMNPQPSILGQQPPSAAPQYNGGQYIPAPEGYAAPQSSGGWAPGAPAGHPMQMQMQMQMHNHPYMPQQGMPSEMGPGPTHFGGQNGFPQGGGPPRYHPQ >KVI04626 pep supercontig:CcrdV1:scaffold_393:105305:106646:1 gene:Ccrd_017051 transcript:KVI04626 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 FPPPPSSLPTLIAPYPPPHSAVTSPPSSLAPPPSSIIARTFSFLSRSSHLLPQPIRSPYLGTKKMEVSISTVMGVGLVLLLLILTLALLHASAFPKEMDGILGSFGWPFVGESFPLYLNFQVIFMNKRQQRYGKVFKSYVLGRYIVFTTGREASKMLLTGKYGMVSLNLFYTGQKNGEDHKRLRRLIAEPLSIDGLKLS >KVI04624 pep supercontig:CcrdV1:scaffold_393:168465:170154:1 gene:Ccrd_017053 transcript:KVI04624 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MIVMLHLAVGLMEKCCVFVAGNAASFLLYAAPIWTFARVIRKKSTEEFSSVPYVISLLNCLMYTWYGLPVVSHEWENFPMITINGLGILLELSFIIIFIWFASRKQKLKAGIMTTAVIIIFSITALISTYVFHDHHTRKELVGSVGLIASVAMYGSPLVVMKKVIETKSVEYMPFSLSFFSFLASALWMAYGLLGRDLLIAAPNLVGCPLGALQLVLYCKYRNRVMEEPKPAQEWDVEKVDKKTSKHVQIAVVTTDENINGKKSQIINS >KVI06844 pep supercontig:CcrdV1:scaffold_3930:27885:30937:1 gene:Ccrd_014799 transcript:KVI06844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MAGRGQTLGSGEANKATSRSSKAGLQFPLGRIARFLKATSMLNVSVLELQSTSSPKSLSWLEMQQEITRTLEFVPRHIQLVVRNDKELSKLIGDVPIANGNVMPNIHNLWLPKKLHLVLDHSIWVSRNSICPSEGCVIQNLSGLGSFGLTSKVSWGLFWWSLSFFRCLSRLILLGVVLLLEDSVRFVIMLCHLLFLTMLVSDGIFVEGVVYHLHFWKHLAQPVSEVLDFFSLTVDGIGASCSRSLKL >KVI06846 pep supercontig:CcrdV1:scaffold_3930:32355:33769:1 gene:Ccrd_014800 transcript:KVI06846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQPWILWNAKGICRLLGYICWYGCFHDGC >KVI06845 pep supercontig:CcrdV1:scaffold_3930:12248:13224:-1 gene:Ccrd_014798 transcript:KVI06845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MDLFASSSKPNNTVSEPFDFLKTKAQESAKPIPFLSFLSLEPCKLEGNSSSRCNGVEDDEDSTVALRIGLPSGHNKNVIVVDDNDDDDDDRHTDNNIVGDMSANAEYWIPSRAQILAGFTHFSCQICNKTFNRYNNLQMHMWGHGSEYRKGAESLRGTQPRVMIGVPCYCCEEECKNNINHPRSKPLKDFRTLQTHYKRKHGTKRFSCRKCGKSFAVKGDWRTHEKNCGKRWLCVCGSDFKHKRSLKDHITSFGSGHGPAPPLFQPVDLRFNREAIN >KVI09291 pep supercontig:CcrdV1:scaffold_3937:46860:47995:1 gene:Ccrd_012330 transcript:KVI09291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNKSSRKGQDKNKKLGRLTEKAMSFHGQAAEDMVGKLRRPRTVPDLISGTGRVASSTGMVRPKLTKLLLNVTVQRSLGPVHVLISLESTVSDLVAAALQQYSKESRRPILPSLNPSGFELHYSQFSLESLDPDEKLIELGSRNFFLCPKPSVTAGGSGDDKGEIGFDYAVTTTTSSCSTEATNTGGGWLRFMNFSL >KVI09288 pep supercontig:CcrdV1:scaffold_3937:26119:28272:1 gene:Ccrd_012328 transcript:KVI09288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MFHRSISRLNWWLDLYPTMPPRMKRDKNHFVQKLSCGISTMKRNDDIIKIRGPKLVRNPKTPLSYDQMLPSDHKKPTQNDEDLFSIEFDSSCKYFRNNVHSEYNNTSSTSTRTSISDGYLTLGNNQEEKIKQLSTLLEALGEEQENSWGETTEEQVQMPPIPEEKVQLDQKKCTTCSSTRPTSEWQSREFSYSELVDATNRFSSNNLIYRGENEAVFHGTLKGTKLNVIVKEQKDVKKYKSEMQALEKTRNENVVMLLGTCLENNPRLLVFELACNGSLDQHLSRKISLRYIRPSLLINQHQRPLIWTERIKIAIGASRGLLHLHENNIIHGDIAGFGLARMKNEPDNSSDHFIIGTFGYLAPEYTERGNATTETDVYAFGIVLLELLTGRSPTDTRLKGQSFIEWVIFTSYKKKKNFYYFFT >KVI09290 pep supercontig:CcrdV1:scaffold_3937:25234:26045:1 gene:Ccrd_012327 transcript:KVI09290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEGESINQRVVLIHDASGGVRTNAVRWIIDGFSLKPGDMFTFLSVLHEIHHPSMFDSFQILTSKLVHLLLSDLVLVGYKIRVDGSMFGANQKAIDKELERRKKEYKDNLELVQISKLYEMHEVTEGNFVFLSLG >KVI09289 pep supercontig:CcrdV1:scaffold_3937:34312:39244:1 gene:Ccrd_012329 transcript:KVI09289 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MSRRRPPPQEPESLSDTEDLELSELANQLPEPEEDSEEAESFFKEACEALESEEFKKLDEVDDIDYVDDREELYDFPHDPENWKEEDLKEYWADGPPLLTKPGWDPNFVEEDELNIINQEIKEGKDPPIAPFYVPYRKYYPVVPHNHFDIRNPKSVIEELDRIEEFLQWHSFVFADGSTYEGEWLQNNPEGHGVLEVDIPTYEPVPGSELEAQMRAEGYIFKRDFMSPEDKEWLEKDIEDCVRFSRGRREIPFYENEEWVRQFGEKPEKGRYRYAGQWKHARMHGCGVFELNERTTYGRFYFGEFLEEDHGCDVETSALHAGIAEVAAAKARMFVNKPDGMVREERGPYSDPQHPYLYEGEDVWMAPGFINQFCEVPDYWKAYIEDVDEERQMWINSFYKAPLRLPMPAELEYWWKNEGPPEFILLNKEPEPDPEDPSKLVYTEDPVILHTPTGRIINYVDDEEYGIRMFWQPPLKEGEDVDPSKVEFLPIGNEDMRKVDHRNFFERMLTSMQDKCKSMLENLEKQTEEKKKESELKMKIIETDIDIVEAESELKEIIEEMDDELRRLEKEEQKKMELGSSPFAASSLPFASCGLASL >KVI08216 pep supercontig:CcrdV1:scaffold_3938:20447:34866:-1 gene:Ccrd_013414 transcript:KVI08216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MFPGMMDPEMMRLAQEQMSRMSPADLSRIQQQMMSNPDLMRMATDSMKYMKPEDLRHAAEQLKSTRPDEMAEIGEKMANATPEELAAMRSRVDAQLSYELNAAQMLKKQGNELHSHGRFKDALEKYMRAKNNLKGVPVSKGGTLLLACSLNLMSCYLKTGQYDECIEEGTEVLASDARNVKALYRRGQAYKSLGQLEKAVSDLSKALEFSPDDETIADVLRDAKERLTEQGDEDAPGGIRIEEITDEEPTPLSENHQTSSSEFSERKKAVGKRVSSQSVNTSACFPTKPEYLEALKDDKESIRSFQNFMSQADPETMASLSSGKFESISPDMVKTASNVISKMPPEEFQKMLQMASSFQGENPLLNRSSTGSSFDSSNHGSGIPNVTPDMLKTANDMMSKMPAEELLKMFEMASSLNGKYPSSAEGGLQSNRNNSSEGQESRRSSDNGNNVESYPSQGFLNSRSAPQPSFPSPSSDIREQLKNPAMREMMSSMMKNMSPDMMANMSQQFGVKLSREDAERAQQAMSSLSPENLDRMMKWADRIQRAGEGAIKTKNWLLGRQGLVMALCMLVFAIFLHWLGYVGS >KVH81346 pep supercontig:CcrdV1:scaffold_3939:31398:48593:1 gene:Ccrd_025482 transcript:KVH81346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MPPRKEPCRNFQRGFGPCDIVGDISCEELRASAYDDAKHGMNIQSIVEKERSLLSSKPAAPNNAFGQSNQFQAPTQMSNASQKNNFAFGNPGPTGSQPSSQLFHSSFPSTTTTFANTDRNAFSNAAITTNVDVAHQQSSMPFGNHNASTNVVSDPVSSVEMTCQYGERCKFLHVNQQQSKPNPFGFGTQNATQALHTDSQPQKSNPFGFGVQNNSQIGFKPNQFKPGENKWSRFGSSSAPQKQDNQPSAPNHVCTDSESCKYQIKEDFEQEKPLWKLTCYGHRKWYVVPHLLGPCDIVGDISCEELRASAYDDAKHGMNIQSIVEKERSLLSSKLIEFENLLRNPYTPSQNSTHTAQNTFPGNNSSPQMIQNNVPPSVSSFSQLGTTINAGFQMRQDFVFLFDLCLSFSKPAAPNNAFGQSNQFQAPTQMSNASQKNNFAFGNPGPTGSQPSSQLFHSSFPSTTTTFANTDRNAFSNAAITTNVDVAHQQSSMPFGNHNASTNVVSDPVSSVEMTQNLQKSYSHRDNSIWFKDEWTPGEIPEEVPPEGVIY >KVH93083 pep supercontig:CcrdV1:scaffold_394:224914:232028:-1 gene:Ccrd_004874 transcript:KVH93083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIQVQLQTHPSKFNGFSQHPSVYNIQRSKKLSLQAKAISSRTQRIMESISVGGEVGGAGGAYSYDALKRLDNLWSSICSDQRVVQEPKQVVSNTPGLFSESDIAEKEVDKFDVLVCGGTLGIFVATALSLKGLRVGIVERNQLKGREQEWNISRKELLELVEVGILTEDEIELTTTSVFNPVKKIQLNLQQTMNNAWPAKLIDIMRERFNSLGGTIFEGCSVSSIDVYQDIAVLQLTEGKILSACLIIDAMGNFSPVLKQIRGGKKPDGICLVVGSCCCGFKENSSSDVIFSSAEAKPVGNSEAQYFWEVIMSLDRTFQFGLVLFENVIVADAFEISKLIVLLNQQAFPAGSGPLDRTTYMFTYVEPQPSSPKLEELLEDYWDLMPKYQEVTLDELEILRVIYGIFPTYRDRSSIEFPLHYYSLQFGDASGIQSPVSFGIHEAISANLLDSDNLSLLNPYMPNLSASWLFQRAMSARKQAGVSPDFINELLYANFQSMQVPLLPMIDTFPTETKYKWKRQLEAWKYGSGLDYKFESEDTTKEYVGQKPLESKIGSQNTI >KVH93081 pep supercontig:CcrdV1:scaffold_394:243245:261733:1 gene:Ccrd_004872 transcript:KVH93081 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MSAATTATAAVYYPRLTPLSGICTVRTLNTIPCAKHSIHRPFRPLTCSAPGDFNFKAYGAKKSEIPEVLDFNSEKESLVSEEQNDHEIDLGWLPAFPHTLVASMSNFLFGFHIGVMNGPIVSTAKELGFEGNSILEGIVVSMFIAGAFLGSAGAGYMVDRLGFRRTLQVDTVPLILGAVLSAQAHSLDQLLWGRFFVGLGIGANAVLVPIYISEVAPTKYRGSLGSLCQIGTCTGIIASLFLGIYSENDPHWWRAMLYIASVPGFILALGMQFAVESPRWLCKAGKLHDAREVISNLWGPSEVERSIEEFQSVLNNDGGDLDSRWSELLEEPHSRVAFIGGTLFVLQQFAGINAVLYFSSLTFKDAGITNGALASLYVGITNFAGALCALYLIDTQGRQKLITGSYLGMAMSMFLVVSAISFPINEELRNNLSIFGTILLAAAGHVCNFLVGLFFLELMKNFGVAPVYASFGIVSLLAAFFSLSFLVETKGRSFEEIEMSLDPKAR >KVH93075 pep supercontig:CcrdV1:scaffold_394:26329:26869:-1 gene:Ccrd_004888 transcript:KVH93075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASEFNLDYEPSALWSPPISPPKFFLTSPAGFICSVDEMLKKFHSIRETKISRFKKFISCCFDV >KVH93072 pep supercontig:CcrdV1:scaffold_394:65553:69617:-1 gene:Ccrd_004885 transcript:KVH93072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGPIAQDWEPVVIRKKAPTSAARKDEKAVNAARRAGAEIETVRKATAGSNKAASSSTSLNTRKLDEETENLSHEKLINEKPQIIQEYESGKAIPNQQIITKLERALGVKLRGKK >KVH93068 pep supercontig:CcrdV1:scaffold_394:120727:127944:1 gene:Ccrd_004881 transcript:KVH93068 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2296 MAEENDVKELVEKKNGYTPKKEKKSIFSRIWNWIWLHGDDIEQRLQYISKAEASLLARIKKRASRRRRMARDLIVFSVIFEVAAIGYAIMTTRTANMDWRVRGLRVLPMFLLPVLSRAFYSGLITFTGMCKFPFKKYDPDPAAKAAAASVLASKLGADSGLQVSVGGDEPQPNQQGAGKSSDVDFAQSTGLRRRNPPEARSPGGKLVDHSDKETASYAGSEVSEISLPSELVVEHHNPMAINSHDGGLARKEDYPFISYYCPHCHSLNRPRKSDENSSGTNTPDTRSSTSHRHGSNKPKNSDENLSETNTRDTRSSMADANLRKQSSESTTENVSASSTPPEAVAVKES >KVH93067 pep supercontig:CcrdV1:scaffold_394:128942:135200:-1 gene:Ccrd_004880 transcript:KVH93067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MPMPSSRKFFQARPQSNLVRRSILLTLCFVIGIASLILFGIVVSRGQIGGGNKCKYIKPLSVSVVWDKSGASTGGNERGGLVSDGDQKRHKVMGFVGIQTGFGSVGRRQSLRKTWMPSDHQGLQRLEDATGLVFRFIIGKTGDASKMAALRKEAEEYDDFLMLDIVEEYSKLPYKTLAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWLVFQKLPIFRMFSNEDVTIGAWMLAMNVNHEDNRQLCQPECTATSVAVWDIPKCSGLCNPEKKMLELHRIESCANSPTLPSDED >KVH93073 pep supercontig:CcrdV1:scaffold_394:59372:64640:1 gene:Ccrd_004886 transcript:KVH93073 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSLRRSFLSRRINSRSKSLKEFIAIDNAQFKSHEISGGDDVVVNGNEDVFTEPDGNRNGGDSWSNMLPELIGEIIKRVEASEDRWPFRQSVVACGCVCKKWREVVKEIVKPPVHGGNITFPSCLKQPGPRDTPLQCLIKRNKKNSMFYLYLAASSSFIEKGKFLLAARRYRHGAHTEYTISLDPEDLSQGSNAYVGKLSSDFLGTKFTIYDSQPPHNGAKHSSSRAGRRFTSKQISPQVPAGNFEIGEVSYKFNLLKSRGPRRMVSSLKCTSSNEHPEPQPEPKLSKSKSVATTAVAGQTLLRNKAPRWHDQLQCWCLNFHGRVTVASVKNFQLVATMDPSQPGGRGDGETVLLQFGKVGDDVFTMDYRQPLSAFHAFAICLTSFGTKLACE >KVH93085 pep supercontig:CcrdV1:scaffold_394:193207:196127:-1 gene:Ccrd_004876 transcript:KVH93085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III domain-containing protein MEDDEVLLSFKNLGLEEQRHVHESVKESELTPPPLASLMEVEEIIGYSFRNKELLKEAFTHGSYKAEDCQSYERLEYLGDSVLNHVIAKLHYFHYPDMAPGELTRLRAANVDTEALARAALKYDLHKYLRHRKPLLDGQIQEFMEGINEYPLHSHGMIDPPKVLADIFESLIGAIFIDTDSSMDATWEVVERLLQPLITPENLKLHPVAKFNEACQKIGVKPQAKDLWNKTGEIEIYINNEFIGRGMYKLKKLIAVNRAADDAYKNLFEKLGKKDGGIGG >KVH93076 pep supercontig:CcrdV1:scaffold_394:4265:5554:1 gene:Ccrd_004889 transcript:KVH93076 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF641, plant MDSVHRSAITPSKSRLSRTFAKVLHIRPATAIDESQKVKLFNEEDEKLQNRVLMDAFIAKVFATLSSVKAAYAQLQYSQSPYDPEGIQSADEIVVAELKRLSEFKQSFLKNHIDDHSPENTILLADIQEQKNLLKTYQNTGKKMASQSRLKDSEIIFLKEKLEEANRENKSIERRLNSSGSSSPHENLHFSTLNPSNFVTALKQSMKSIKNLVKFMITEMEFADWNLDAAAETIQPNVVYVNKTHRSYAFESFVCREMFDGFGNPNFSVSGDRRPRKAKRQQFFFDRFMELKYLKAGEYIAWKPSSAFAKFCWFKYLRLVHPKMESSLFGNLNQRSLVTAGEFPETTFFAAFAEAAKRIWLLHCLAFSLDPDGASIFQVRNGCRFSEVFMECVNEEVFLSPENRREVAFTVVPGFKLGKSVVQCHVYLS >KVH93080 pep supercontig:CcrdV1:scaffold_394:234676:238878:-1 gene:Ccrd_004873 transcript:KVH93080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSMNLVTYLRGRLHLSSSESANIVTNFMGSLNLLALFGGFLADAKLGRYMAIIFFASICAAANRTQRAMLYIALYTIALGAGGIKSNVSGFGSDQFDKSNPKEEKAMVYFFNRFYFCVSLGSLFTVTVLVYIQDHVGRKWGYGISAGTMIIAIVLLLSATMVYRYKKPHGSPLTVIWRVVFLAWKNRRLSYPDHPSFLNGYNSSKVPHTEKFRSNPWIVSTVTQVEEVKMVIKLIPIWSTCILFWTVYSQMNTFTIEQATTMNRKLGGFDVPPGSFSVFLFVSILLFTSINERVVVRVARRITRNPKGLNSLQRIGIGLVFSVAGMVAAAVCEKRRKEMQLNGGTKMSAFWLVPQFFLVGAGEAFAYVGQLEFFITEAPERMKSMSTGLFLTTLAMGYYVSSLLVSLIDVVTHESWLKNDLDQSKLNNFYWLLAVMGVLNFLIFLILSSRHQYKLQHLNGPA >KVH93069 pep supercontig:CcrdV1:scaffold_394:95881:100541:-1 gene:Ccrd_004882 transcript:KVH93069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical SPISPLRAGPAASTSSSSSGSVSSRTGSGPRSGTVPGKSDSNATVNNHSGELSVESSPTFSAGGGSRAFRSGQKRSDSRGGIGGCPTIHSGGGGSSATSPAGNVLPAGNICPSGKIVKTGMMANRSSKTEVLGLGTGNYGHGSIMRGGSATKSTVSGGETHPSTVLSSSSSRRSSLDPEELKRLGNEQYKKGNFVEALNYYDRAIAISPNNAAYRCNRSAALMSLNRLSDALKECYEAIKLDSGYIRVHHRLGSLLISFSDLLAIDRLRAYFFHSFPNLISVSNGSLGQVENARKHLFFPGCQPDPNELRRLQAVEKHLRKCTDLRRVRDWSGVLRESDAAIASGADACPQLFACKSEAFLKLKQLEAADLNLLNAPKFEASCSASCSQTKFFGMLSEAYLLFVHAQIDMALGRFENAISTIEKSGRIDPRNVEVAVLLQNIRLVSGARARGNDLFKSERLTEACSAYGEGLRLDPSNPILHCNRAACWFKLGQFERSLDDCNQALLIHPNYTKALLRRAVTFSKLERWAESVKDYEALRREFPNNNDIAESLFHAQVALKKSRGEDVTSMKFGGEVERITSLDQFKAAVASSGASVVLYKTSSDPQCKKISPFFDTLCARYPAISFLKVDLNESPEIGSSENVRVVPTIKIYKKGNRVKEMVCPSPEVLESSLRHYSD >KVH93082 pep supercontig:CcrdV1:scaffold_394:222334:225437:1 gene:Ccrd_004875 transcript:KVH93082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIVGRLAAGKEGAYFLQESKHAVGRLVEKTNKPTVAAGNRPPATPIRETLDNEADILPEILKHNLPSRIFRPPSDSTLTTGSKWLLHPADDSNGASFVSRDAINPLRAYVSLPQVTFGPKRWQFPNAENSFAASTANELRNDKYTPMNSERLKAAAVGLSQIAKAFAAATAIVFGGATLTIGLAVSRLELHTTNDIRTKGKDIVQPKFESIKEHMAPLRTWAEGVSKKWHMEKDEDIKEKPMIKELSRMLGAKRSS >KVH93074 pep supercontig:CcrdV1:scaffold_394:35638:52792:1 gene:Ccrd_004887 transcript:KVH93074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSPNSETKPRVRRRLIQSTLFPHKSQDNVGSVDEDFEPGVDQEDVEEDYCETPVKNNKKRKPRAASQSRASRKIAVNGNEPGAKNTDEEDSPVTVKVDFFVKVSERRHQQRQQKEQPSIINSPEKNEQCCSPPDVITNSKSPRKPRRRASSTPKKRQTCLTPEKRQMNLTPSRNAINGKQIHPFFVSWKNGKKNTESTGTENKWCHVERKESNNDVNPVHIFEKTQSETFFVDWRNWTFSESIFTRTGQDLEDSCLQLIYEGSVNCLQFDNFLGVPPLGMSLCQNKGSSSQYPIQLEEISTSFCSMLQEKSLVTSSASVVDKLEPQCNQPKDIEVVHEIGKTGGSLANTEFVVNLDTQWQDTLHTERMASKYHDCSYQPENSLWTTKYQPERAVEICGNYESVKFLNEWLRLWHEKGSRTNKCSTDTDKWIMQDVDLNYCPSDSASQYTDEESTLKNVLLVTGPVGIGEMAHLSSKNLGKLSMPNIENPDNKDQLKSSKAVQRSTNDVIELIPLSDEEDSVNVGGTVVKSIDKENKVSCSQNGTKTLILFEDVDATLYEDRDRLEVSFRIPSSEELLSLASLVCAAEKAEIEPCLVERFIDHCQSDIRKTITFLQFWCQGQNQRKVRNIYGPLLFDIDAGHQVLPQLIPCGYTSKLSEMIEKEIMKSTFLVAKDASSMETIDEEEENNNDSMIEIERKHSIEAKKDEMLRRHCSDQDGNDFAARCTTINELPSCSSSPVAFMRRTLQKKYDPIMNTDSEECLNDGLLNVIEDVNEEVLVDTKRLRRKYNSVLSSDSEEERFDEGLRVKNVEVNEDEKFFQMENEQPLSEAEKLEETCHPSEIPYYSKINDICKSGDVSCVPESSYVPETEIENGMMMCSTMCSSGRVDGGIEEGPTSADCLPRIVPVEFSNLCYYREEDKTDSGLDTVPVHGEEIGDSHIEPVDNLPREYQMMDECSRIDFNKKAESIHRQKPVASMDLVQETWRKLRNCENELRQYVSVEEKDTLEALGISYGMTNLISEADLLLADCQSLTCDYVKPSMVLTEESHSFRWHDDQLQMASTIAQHGFCLYAKRSVAAGSSAGMDLASEMLAASTSAISLGKLINNRNNTTVKKSPLCNTVHSIVPLRSQLSLKGYIFHEYLSSLAQISRSESSRLSEAVNKSTQRRKRVARNYLSNGALALSSEDISLLDRFSCYRTPSSQSKTES >KVH93084 pep supercontig:CcrdV1:scaffold_394:188261:189676:1 gene:Ccrd_004877 transcript:KVH93084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLLSSESLLQLLQRFIKNAIEIKQIHSLLITNGDLLSIPNPSTFKWTTTILYNTLIRAYLNVHLPHTTLLLFTHMISTTFVSVLSSCANSAVGGLLNGKQIHGYIIKNEDQVTAFTGTALITLYGKTGGLEYAMKVFKSMIKTKVCTWNAMIGALASNGKEKQAFEMFDKMNLGGWHPNAITFVVILAACARAKLIDFGFEVFYSMMPRFGILPRMEHYGCMVDLLGRAGFLAEARDFLKRMPFEPDATVLGALLGACKLHGDIELGDEVAKRLLELQPEKCGQYILLSSIYAEAEKWERASDLRNIMVGAGVEKPPAYSVVNAM >KVH93070 pep supercontig:CcrdV1:scaffold_394:84856:90951:1 gene:Ccrd_004883 transcript:KVH93070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKDMCFHELDNLSHDLWKPSLCYLAVSLLAWVLNMLFGFLQKFTILTLTSIQALLSAPNPDDPLSENIAKHWKSNEAEAQRNGPVCMRVVRNRDVEDECWSE >KVH93078 pep supercontig:CcrdV1:scaffold_394:167141:169102:-1 gene:Ccrd_004878 transcript:KVH93078 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MATPSTGFSFDSDRITFSDHHQPEFMDFTGHNSSGSPSSTTIHFPLNCTYHQPGKSDDKRINEVDFFTENTHNLSKSIGSSVSCVQYQESTEPTMDLDFHINTGLHLVTGYTDSDQSVIDDGVSPNSGDKRTKHELATVQAELERMNDENQRLREALNQVMVNCNTLEMHLATMIQQNQGDEKGGSTVPKRSMDLALAAPRMAEADENSESSSAERRRDEHSRSPINNNGVINGEVSPKQGSHVLQRLNSLNDNNRNTGNVDKSNEGTIQKARVSVRVRSEASVITDGCQWRKYGQKIAKGNPCPRAYYRCTMSVGCPVRKQVQRCAEDQTILITTYEGNHNHPLPHTAIAMASTTSSAAKMLLSGSMPSSDGLMNSNFLGRNLHNYSSSMATISASSPFPTVTLDLTQTPNLLQFHRTTGQFPVPFSIPNQLPQIFGQSLYNHSKFSGLQMSHNMETAGRLPPAALADTVTALTADPNFPAAVAAAISSIIGSGSHGKDKSGNVNGTNINQNGHGNNKVSNSGFGGNQ >KVH93071 pep supercontig:CcrdV1:scaffold_394:74240:82783:1 gene:Ccrd_004884 transcript:KVH93071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase MAHKNVEKLASIDAQLRLLVPGKVSEDDKLIEYDALLLDKFLDILQDLHGEVLKETVCSRHSFSYNKDQLKSSPTTCNVRAVQRSTNDVQECHELSAEYESKHDPKKLEELGSVLTSLDPGDSIVIAKAFSNMLNLANLAEEVQIAHRRRIKLKTGDFGDEAMATTESDIEETLKRLVHKLKKSPEEVFDALKNQTVDLVLTAHPTQAVRRSLLQKHGRYITPDDKQELDEALQREIQAAFRTDEIRRNPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNSPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMVANIDELRFRAEELYRSARRDVKHYIEFWKQVPPTEPYRVILGDVRDKLYNTRERSRHLLAHGFSDIPEEHAYTSIEQLCYRSLCMCGDRVIADGILLDFLRQVSTFGLSLVRLDIRQESERHTDVLDAITQHLEIGSYREWSEEKRQEWLLEELRGKRPLFGPDLPQTEEIADVLDTFHVLAELPSDCFGAYIISMATSPSDVLAVELLQRECHVKQPLRVVPLFEKLADLDAAPAAVARLFSIEWYRNRIDGKQEVMVGYSDSGKDAGRLSAAWQLYKAQEELIXVAKKXGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFCAATLEHGMNPPISPRQEWRQLMDNLAIYATEQYRSIATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKKAIEKDAKSLLMLQEMYKTWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSNDLWSFGESLRANYVETRNLILQIAGHKDLLEGNPFLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYHVKLRPHISKDGPEPSKPADELIKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >KVH93079 pep supercontig:CcrdV1:scaffold_394:264808:274913:1 gene:Ccrd_004871 transcript:KVH93079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTGIDGGGGEERDRSHVVELVTSTEGSDSASEDQIIPLLSQLDKPKINIFSVSYPRRKANKDQIARLIETETSAFTQFIMWVWSGSRYSGLLCMALSSSIYCIMEVLLDVCSAQAIPLFEIAFTRCVIITVLSFMWLRRSGEPIFGPANVRNLLVSRAITGCISLLTFIYCIQILPLSQAMILSFTTPIMASVAARFILHENLKIAEIAGIAFSFFGVLFILVSAISIEGASTNIGEAKASSIHGLRHVFAVLIGLVSSLAGGVSYCLVRAGAKASDQPVPAAAICMITTEDFVLPSFYSFILMIVLSILAFFAEVALFQLWGMGSSRVGLSFGRLVGCFLVFVSASCTVYFGPEKEME >KVH93077 pep supercontig:CcrdV1:scaffold_394:138229:150133:-1 gene:Ccrd_004879 transcript:KVH93077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MKGNCNKYLKKLFSRGIEDLHDDDFEGSRDEDCIFREVFFGHERGRSSKKCLVTGAINFENENEKPKDVSFGSNSDNSVLTNHMDFQNMKEDVGPGSLSEEFTILARNDPDVEVKRRKVLLEEHLDAKPYLEKVVNSSIPSKEVDSCIFQPAAIVACRLVESSSQGFKSSSYLLKGAVGDIGDKDASKCRLSSSDTNDQKGNDVSAAIASPVSQESHVSKLLVSRPEPIQCSRRKWKESSFVELDEEESLAPPKESTTDPKPILRYHLHRLLRAAKWVIGRRNRITHCKGHGEYVFKSPEGRPIREFHRAWNMCGQKLFADANYVVDDSDGIHWTNLTQFQSDLSNTLIEIEEQLRNLEAATALAHWWYLLDPFAKVVFIDKSLRFLKEGNQVKTKRSVVDGFYLSDAAALHSRNEAIAAKQITRKHGNESSSLVLVSSPICRSDTISCQTNNLYAHPVSSATANASTGGSESICPHQDGIELGSGCMEEDRHCSGVQQHRMDGSSFALDAVVNTKEHKKSKKISEMKVSAANGLQEISESSVQLKSNMARPCTVSNAENYGSYRKSSFCFSEDQHEKKQSTFKKSLQHSGSPKRSSTCEKDRRHEKERKGNCHLNDDDLLLSAILKNRSTTKSSGIKRKSCVPKVPRKYKGPKGSCRLRPRSLTKGGQPHMEGRWSGLGVRTVLSWLIDFGVIRVNEVIQYRNPRDDSVVKDGLVTRDGILCRCCEKMLSVSEFKNHAGFSLKSPCLNLFMESGKSFTLCQLEAWSGEYKVRRGATRTVEVEEIDENDDSCGLCGDGGELICCDNCPSTFHLTCLCVQELPEGNWYCSKCSCWICGNVVNDNEASSMGALKCLQCEHKYHEECLREKEMERELVPSTQWCCGESCKEVHSGLQSRIGLMNPISDGFSWTLLKCIHGDQKVHSAQRLVALKAECNLKLAVALTIMEECFLPMVDPRTGIDMIPHVVYNWGSEFARLNYEGFYTLILEKDDVILCVASVRIHGVAVAEMPLIATCSKYRRKGMCRRLMNAIEEVLKCFKVEKLVVSAIPSLVETWTDGFGFTPLEVDEKKSLTKTNLMVFPGTVWLKKPMYQGAPTEAVASDPSSNHEEASSPVEKITPVVLQEDEEPKVSSEAGSKTEGCSSETGCDISGTTTGN >KVH81269 pep supercontig:CcrdV1:scaffold_3941:12598:25491:-1 gene:Ccrd_025483 transcript:KVH81269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Limkain-b1 GPLQSISVVHSLLKWWDIENCQVPKGCEPHSIAQNISAALVDMNYCGPVSISAYGDTNRIPTSVQQGLNSTGITLNHVDWREERRKREGERGEKMVSGSGICAREVVVDACHMLGRLSSILSKELLNGQRDTIVRCEEICLSGGLIPSSSDLLVEDIRTRISAFGTDLTNEKKKLTNALSRAEKSKEAQHEFDRKRDLQHQGIIAEALDNHLTDI >KVH81038 pep supercontig:CcrdV1:scaffold_3944:38173:39075:-1 gene:Ccrd_025484 transcript:KVH81038 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MDFEEGDWNYIFRTNLTGSWLVAKHVCINMRKAKQGGSVINISSIAVMAMELGINNIRVNCINPGIFGTEITQGLVDKDWFNNVTLRTVPLKTLGTINPALTSLALYLIHDSSV >KVI11249 pep supercontig:CcrdV1:scaffold_3946:28770:33930:1 gene:Ccrd_010343 transcript:KVI11249 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MLHALNRRRRWHNVRKVLPNKRQGSGKHIIDGGNSEPTANTGQAPEGRGRHKKNKSDDQSGDESSTGSSKASKKFRIRSLISEEMLKRKGKQHQRRSPSPTKKQSKSMNHNENRSDSGKNEKPSTQSNGESPSTIKKRKCDICAAMLTVSYLRQKGLAMEQQQKAIAEDKTQQNKFVNDHLASLKMNQPLDGRLNQRIGHSKSFSFNLLRSTKRRDFEIEELKRKLRECKDIETAKEKFILPMNQWKDTGSPKPMLTRPESFKSPSSTSQKENTPTNHQSKSLKQKIGYVIKDSRKEKKRILMDAVFHKIPYGRRSSKDGKKVNPNKIKSSSTGSSKIDPSSAEKAAGLKKTSSSTEAMSKYRWLLNHTPATRDEKCLHGDHKPKLCVAEAHCLGASTEKKMLKRVRSLPNISPFDFMQNPEFPVKTLNLRIASAVLDDQKPADNFILAEKRNGPVAAIESVAKGSKFVEEVAVDENPKVGVNTFDHQENFQLRNESSGAIESATKETILVDKDEAVDENPGVGLSSPDHKKKMDNENESNAAKKGAAKKTKLVDKDEDVNESIVGVLDTSDHQEENHQQNESSAAIESPTNETKLVEKEEDVNESIVGGLDTSNHQEENHQQNASSAAIESPTNETKLVEKDDVVIEKGGADLNTSSHEEKVDHEKESSADTGSTSPQSSVVVDKDKSFNEILGMFKVASDQKVKLERQNESSAAVGSVAKNTTVDKDLGVDKSLENGQNTLDHQEEKKSTLTSGDEVECLIQDSPTEDCSTQFSSMQVSPTEDSYTQFYSMKDSSTQVSWTEDSLLDSSSLIGLPNSPPLSAFKDFSDENEQYLFSISDQPSTDQPSIEKRPIDEEYSFSIDDKRQNSDQLVNMSTTNAKKIVEGFVHLNLESVKDNAEFHYVKEVLERSGFLENQLLGEWYASYQPIDPSLFAEVETSFLQTKNLEELESLKDDEAAQKIINDHHLLLFDLINEALLEVYHKTFTYCPHPLTYCSKIRPMPVGCRVLEEVWDFVNMYLSLKPNLQPSLDDAVSRDLQKGDGWMNLQPDAELVGIELEEMIADDLLDELVFDDLLM >KVI04825 pep supercontig:CcrdV1:scaffold_3948:45859:49898:-1 gene:Ccrd_016856 transcript:KVI04825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MGKSVPSSARLQDFARVITSDRIQQQSKRGPKPVFNRTRVVPPPEPAKSKGIRIVQSEKQQQRVKIMEENSSMDRRIPLAEVVLECSRRWFQETLRDAKTGDTSMQVLVGQMYCSGYGVVKDAQKGQAWINKASRSRSSVWRVGDKRPGYDASDSDTDECIISGWVNTVVKLVNRSSVQPQSHPLFSIS >KVI04824 pep supercontig:CcrdV1:scaffold_3948:37116:38222:-1 gene:Ccrd_016855 transcript:KVI04824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEGLCDRRREGGGGDLQTGDERVGEGLCESGDERVVELKVGLHCEDCIKMILKAIKKIEDIETYDVDTRLNKVTVTGNVTNQQVIKALQKIGKHASYWQRDSTTSY >KVI04822 pep supercontig:CcrdV1:scaffold_3948:24051:26650:1 gene:Ccrd_016853 transcript:KVI04822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox homologous domain-containing protein MMVYEDEAFQEAHLYASREEMESLALHDDGNTNKSSTFNGSANGRDDNEHHYSLPSPVTVAETTTNEDGGPLELLSSPHGNRNSFNSFLEPPSYADAMFRSFDGDHGKQINGLGVVSTSAQSTSSDYLEISVTHPRKEHGVANSRVSGVNTYVTYLITTWTNLPEFNDTFSVRRRFSDVVTLSDRLLDSYRGFFLPMRPDKGVVESQVMQKQKFVEQRRIAVEMYLRNLAAHPVIRRSEELRVFLQVQGKLPLVKTADVASRVLDGAVKLPKQLFRESVIDPSEVVHPARGKDLLRIFKEMRQSVTYGWGGTKTPLMEEDKEFMEKRMKLQDFELQLTNVSQQAESLVKAQQDIGESMGRLGLAFVKLTKFETEEAVFNSQKVRARDMRSVVTSAVKANRSNALLTVQTLESEVSTLNSRIEKLEAVAFKVFGGDRSRIQKIEELKEAMRVTDGAKDCAENNKSELERLDKERRDDFFGMLKGFVINQAGYAEKMASAWETTANATSGYAQICSSKWDV >KVI04821 pep supercontig:CcrdV1:scaffold_3948:7384:17818:-1 gene:Ccrd_016852 transcript:KVI04821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFASSNWLADDFASSNWLLGFALSKPPKPKTKHKVDIDTVEKFQTLREQERKYFDDMVQRCKDIGATLVICHWGFDDEANLLLMHINLPTVRWVGGVELELISIATGGRTVPRFQELTPEKLGKAGLVREKAFGTTKDRMLHIELCANSRAVTIFIRGDSLPKSSPKLNWMGERYTVEIHDMERVDEVIAYRRNRLIEEDDQEVGVDSGQLLFKCAEIQTAISVVCRQHTEASSIRIRSNLKPFAVINCKLLNISRFSQLDCYYQPNSSCSQTTAGQTTAVAKQQLQPNSSP >KVI04823 pep supercontig:CcrdV1:scaffold_3948:29240:36918:-1 gene:Ccrd_016854 transcript:KVI04823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAAPPSSMPITSVQSTTTTTNNNNDNQPNPVATSALRSFLYRISSSLRQSFSQRRPWSELVDRSTFARPESFSEATSRIRKNFGYFRVNYSALLAFAIALSLLTHPFSLLVLLCLLAAWIFLYLFRPTDQPLVLLGRTFSDREILVLLVLSTIIVVFLTNVGSLMISALLIGLLFLCVHGAFKVPEDLFLDDQEPANAGFLSFLGGAASSAAIAAAPAIPRKDGEEHNERIAVTSVGYEASNYTKLAVANTSQTWDGIIVKESDLQALQSFKQELIDPNGFLKSWNDSGYGACSGGWEGIKCAQGQVIIIQLPWRGLGGQITSKIGQFQALRKLSLHDNAIGGSIPKELGFLPNLRGIQLFNNKFTGSIPPSLGSCTLLQNLDFGNNSLVGGIPDSLGNCTKLYNVNLSLNSLSDYIPVSLTQSQSLVFLSLQYNNFSGVLPDSWGGASMVKSLTFDHNFFTGRIPVSLSKLTELEEISFSHNQFNEEIPDGFGGLVKLKSLDLSYNSINGSIPTSFSNLSSLTSLNLAHNNLKGQIPVFLGDQLKLAFFNVSYNNLSGPVPNQLSSRFDSSVFVGNLDLCGYNPSTRCPMVAPPRESHQRKLSTKETLLIAGVLIAVLLLVCCILLCCLLKGDAVKQKDVEGGGGAAATKGIPVGKGEGEAAAGEGGGKLVHFEGGMEFTADDLLCATAEIMGKSTYGTVYKATLVEGNQVAVKRLRERVTKNQKEFQSEVNSIGKIRHQNLLAMRACYLGPKGEKLLVFDYMPKGSLATFLHARGPDTPVDWQTRMRIAKGMTRGLLNLHTQHNIIHGNLTSSNVLLDDDLTPKIADFGLSRLMTATANSNVIATAGALGYRAPELSKLKKANTKTDIYSLGVIMLELLTGKTPGEEEDGVGLPQWVASIVKEEWTNEVFDLELMKDASAIGDELLNTLKLALHCVDPAPSARPEAQLVLQQLEEIRPETATTSGDGGGGDPSTGD >KVH90127 pep supercontig:CcrdV1:scaffold_395:40329:45249:-1 gene:Ccrd_007878 transcript:KVH90127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MSATATLSSSIIKCCEDTIGRRQRLAFDDNGFPEKNLILNASTVKPPRPRRIILVRHGQSEGNVDEGAYTRVADPRIRLTEKGKREAEQCGQRIREMIEKDGADDWKVYFYVSPYQRTIETLRGLGTAFQRSRIAGVREEPRLREQDFGNFQDQEQMKIQKAVRVQYGRFFYRFPNGESAADVYDRITGFRETLRTDIDIGRFQPPGEQSPNMNLVIVSHGLTLRVFLMRWYKWTVEQFERLNNMPNGNMIVMQTGQGGRYSLLVHHTKQQMMDFGLTQEMLVDQEWCSNYPFVPSHTYIPLVLNFPMYANKVFLFVVSRQKTAKPGELNYESLTAGPSFFTHFDDESNGRFS >KVH90130 pep supercontig:CcrdV1:scaffold_395:92311:95645:-1 gene:Ccrd_007875 transcript:KVH90130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 MKLVQKDSEKVIWDQMRTHASNTTSPILPNRVGSGLPKLMLYLILFVCATYLVYTLKLLNTSNLPCPEQDLLFSTRDSLQNITLTPAIVEPEKTELKHIVFGIAASAKLWEKRRNYIRLWWKKEAKMRGIVWLDNPVKTQPDDGLPPLKISGDTSHFAYKNKQGHRSAIRISRIISETMRLGMENVRWFVMGDDDTVFITDNLVRVLNKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALEKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPIVSLHHLDVVEPIFPEVTRVEALQRLMLPMKLDSAGLIQQSICYDSSKSWTVSVSWGFAIQVFRGILSPREVEMPSRTFLNWYRRADYTAYAFNTRPVARNPCQKPFVFYMTKVRLNSTSNETISEYARHRVPHPACKWKILDPSNLDKVVVYKRPDPQLWDRSPRRNCCRVLESKKKGLVVDVGVCEEGEISEV >KVH90131 pep supercontig:CcrdV1:scaffold_395:80358:89148:1 gene:Ccrd_007876 transcript:KVH90131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKKMDLVSGYKGVVGLVFGNENSSSNDDSYVERLLDCISNGKLAEDRRNAMAELQSVVAESHTAQLALGAMGAYKLVRGAVETLVSALTPVTHAKSHKNEVQPASMNSDLLSRDEQNISLLLSLLSEDDFYVRYYTLQVLTALLANSPIRLQEAILTIPRGITRLVDMLMDREVIRNEALLLLTYLTREAEEIQKILVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRNNASNQVLLRETIGFDSLISILKLRGSTYSFTQQKTINLLCVLETIRLLLNGGSEADPAKDVERLTNKTVLVQRKVLDHLLMLGVESQWAPVAVRCGAFRCIGDLIVGHRQNLDNLASKFLGDEPQVEPALNSILRIILRTSSIQEFIAADYVFKSFCEENTDGQKILASTLIPQPFSMTHAPLEEDVNMSFGSVVLQTSCRAASVLSYVLKDNIQSKEKALQIELESPMPSFGSPEPLLHHLVKCLALASSKKGKDGKPNTPNSYVQPIILKLLVTWLSDCPSAVHSFLASRPHLTYLVELVSNGDATVCVRGLAAMLLGECVIFNKAIESGKDAFSVVDAISQKVGLASFFLRLDEMQKSFIFSSPKPAQCHKPLTRSNTDIRAEMEDIEEEDAYEHKNEDHPMLASMFDSQFVNFIKNLKIGIRDGIVKIYSHPKSNVSVMPAELEQRKDEADVDYIKRLKSFLEKQCSEIQDLVNRNATLAEDVANTGGGGSSQTEPRPNGGSERVQIETLRRDLQETSQRIEMLRREKSNIESEASSYKTLCAKTESDLKSLSDAYNSVEEANHRWENEVKALKNGDIEAIKAEAKEEAEKESEAELGDLLVCLGQEQSKVEKLSGRLMELGEDVDGLLQGIGDDGGAPEEDEDDI >KVH90126 pep supercontig:CcrdV1:scaffold_395:235916:238483:-1 gene:Ccrd_007866 transcript:KVH90126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEVPLNNNSHKCLSKIATNDGHGENSPYFDGWKAFDSDPYHAESNPTGVIQMGLAENQLCFDLVEEWIQKHPEASICTPQGGFGFKEIAIFQDYHGLPSFRKAIANFMSQVRGGRVRFDPDRIVMSGGATGAHETVAFCLANPGEAFLVPTPYYPGFDRDLRWRTGVELLPVVCESSNNFKVTLKALEEAYEKAQESNIKVKGLLITNPSNPLGTFFDKETLKNLXTFINNKNIHLICDEIYAGTVTTXHEFXSIAEIXXEYPTICNRNLIHIVXSLSKDMGFPGFRVGIVYSYNDTXVNIARKMSSFGLVSTQTQHMISSMLSDDHFVKNFISKNRSRLAHRHDMLSRELAQVGIGSLXSNAGLFFWMDLRRFLKEPTFDSEMIFWKIIISEIKLNVSPGSSFHCSEPGWFRVCFANMDDETVTVAVQRIKSFVHKNKMLEIKTTLAKTKKQCWQKNLHLKLSSRRLEDIMSPHSPITSPMLRAQN >KVH90134 pep supercontig:CcrdV1:scaffold_395:131281:132216:1 gene:Ccrd_007871 transcript:KVH90134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRSSGRGQSSLGYLFGDSDELGPQGHDGSRVSSLPPVCHSGGGQSSLGYLFGSGELRQQSNQDSKVSSPQVCVPPYGTDDMEENSPEKSLAPSSKKDDQKPSPNSYIYHKVDSPNSRDFLMTARPSTRVTSVPGGDSSVGYLFGDK >KVH90125 pep supercontig:CcrdV1:scaffold_395:224937:225434:-1 gene:Ccrd_007867 transcript:KVH90125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEVSLHNNHHKCLSKIATNDGHGENSPYFDGWKAYDADPYHAESNPTGVIQMGLAENQLCFDLVEEWVQKHPEASICTPQGGFGFKEIAIFQDYHGLPSFRRAIANFMSQVRGGRVRFDPDRIVMSGGATGAHETMAFCLANPGEAFLVPTPYYPGYVYTHFTLY >KVH90136 pep supercontig:CcrdV1:scaffold_395:110871:117281:1 gene:Ccrd_007873 transcript:KVH90136 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MPGQKIETGHTDIVHDVSMDYYGKRVASASSDATVKIIGVSSSSASHPLATLSGHNGPVWQVGWAHPKFGSLLASCSYDGTVIIWKEGNLNEWTQAHTFSEHKSSVNSIAWAPHELGLCLACGSSDGSISVHTARSDGGWDTTRIEQAHPVGVTSISWAPSMAPGALIGSGVFEPVQKLASGGHDNTVKIWKFSNGAWKMDCFPALQMHSDLVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGEQWNGKVLNDFKAPVWRVSWSLTGNLLAVASGDNTVTLWKEAVDGEWQEVSSVE >KVH90135 pep supercontig:CcrdV1:scaffold_395:118313:120985:1 gene:Ccrd_007872 transcript:KVH90135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMQFSGLSRLLSTHKQETDRHGMQQLHMNMRDERVCYRNCNLTKAMSSMIGDSVRAPDPTPSDTIKQFYECMNEKNIKLLEDYISNDCFFEDYSFPKPFNGKKVRPFGSNLRVRPLQGAMKDIGKEVDDGRHWLPSRRIGTGYKF >KVH90124 pep supercontig:CcrdV1:scaffold_395:197349:198635:1 gene:Ccrd_007868 transcript:KVH90124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MGNGLTIIFLSSFVEQVVINIKPLFPLSYLPSSYKTTLSTIPHLQFLIFSPIFQLFNTPTVIIIIIIIIMPESKKVVVKVDAHNDRDKRKVLKAVSKLSGIESLAMDMKDQKLTVIGDVDPVCIIAKLKRCWHPIIVTVGPAKEEKKGEKKDEKKDDKKDDKKDDKKDDGCKLLADYYRAYNPCMTQRYCVYSIEENPNACVIC >KVH90132 pep supercontig:CcrdV1:scaffold_395:73937:76988:1 gene:Ccrd_007877 transcript:KVH90132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MAEEEEKIIRGNDIMVGSSTGNYNCYTDDHHVNSSSNHNPTTSFETSPLEMFNFESHNPVSHHNSVLFWKGPSPPPSSKPITDSISAGDFYHFDESSLQRCVFSCEPNERPSQGLSLSLSSANPSTIGIQPFELARPQEHQYQHHHQQGYFGKSMSIDHDQHHQDMMTQDGFLGKPGNIINSGSDGGEGSSLYNHHQSAQYNIRSSRYLVPAQELLNEFCNLGSKQHQSDQSPKAKAAATTSNQWQDHDNMNNATSSKTKSLSSLEFMELQKRKTKLLQMLEEVDRRYKHYCDQMKAVVSSFEAVAGNGAAKVYSSLASKAMSRHFRCLRDSIVSQMKTTKVAMGEKDVSAPGTSRGETPRLRVLDQTLRQQRAFQQMTMMDSHPWRPQRGLPERSVSVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEETKTNQETMEGTSDGFNPNPQMDQKPTADELVRIDSECLSSIINHPEKMDHHRTKTQDHDFHHSFSRVTNSFGAVELDFSSYNNHHNFGGGGDGGGGTGGVSLTLGLQQHGGGNGTGGGGGGVSLAFSPTSQNSLFYPRDHIEDCQTAVQYSSLLEGDDQGQNLPYRNLMGAQLLHDLAG >KVH90129 pep supercontig:CcrdV1:scaffold_395:104417:106985:-1 gene:Ccrd_007874 transcript:KVH90129 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MDPYTSPHQQPWLNKPGSSRTTSSSLVEDGGSFSLRKHRGHGALQGKPMAMLLLLWTRLRCRSRGRRLGLIYEDMTDYSQTNNKQTGSLENIVGWYDSHPGYGCWLSVSDASTQMLNQQFQEPFLAVEIDPTRTLSAGKTAEKLEQAEGQMSPFTLGPLMTPKRDYQGHPSLILFANPSNFGQNCRVMSLWFHPEDVDEKRLLLNCKGV >KVH90123 pep supercontig:CcrdV1:scaffold_395:162706:163269:-1 gene:Ccrd_007869 transcript:KVH90123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPHSDYGYNPTHLSFLNQDLGINNIKQPIQEQPVISSSSSSLFMLDTSGSVLEGQNGDCITQTEFFNDSMWQIHKNQIPELSSQVLNFTTNNNGMYSSYLPPLMENLESMVEGQNCHLTNGEGRECLIQKQHEFNGWVVDQTSQQCPSYLFWDDEHQEVQLGGEEIGVPSASNMGPILSSYPTSL >KVH90128 pep supercontig:CcrdV1:scaffold_395:11351:15620:1 gene:Ccrd_007879 transcript:KVH90128 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MAATASSDHHKIHLHKNPTTTIKFRRRRLKETTISSTAITTTNHHQHPSVVSPENSSWCCPSVVSSSKPPPQPPSPQTTTSKPQPSSDSPALPPSRESLSDDSSLSIEKFLILSSNSPVNLDKTNMTTTTAVYGGGSNHQETFPSSFTNFNSALTAGLLNPMSPPPPTNDKTRSSPTLFEMMANEPDSKIPNHPNGNVSYPTPKKPNNHVIPPIIIDKQALMQQRLLDLLSCRSPGSQFNDPNSSDVKLTLTSKDGLSVSMNAHRQILLCHSRFFAVKLSEYRWKGGQQGQQQPYIIEIADCDDIEVYIESIRLMYCKNLRRKLMKEDVPRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVSSLLSELRLEGVGATEVLKRVSVDFSSGVDEGNNNEEVLLKLLHTVLDGKDEKARREMKVLVAKMLRENSSQHDLRKESLYSACEKCLLLLREHFLKASNGELQDVAQITRQADNLHWLLDILIDRQIAEDFLKSWASLAELSEVHSKVPPLHRYEISRVTARMFVGIGKGQLLASKDLRCLLLQTWLVPFYDDFAWMKRASRGLDRHLIEDGLGNTILTLPLSWQQEILMSWFDRFLNSSDDCPNIQRAFEVWWRRAFWRKNCQQPERSLQFRVTDENFKNS >KVH90133 pep supercontig:CcrdV1:scaffold_395:148924:151569:1 gene:Ccrd_007870 transcript:KVH90133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MVLDVVFHEFNKFSNMTCALMVLETFFLMNLQVMKVVLVLVLAFLSAKNVNGRRRHHHNNIKGCFEYSAISCRAHTASLTDFGGVGDGTTSNTKAFQTAIDHLSQYGSSGGGSLLYVPPGKWLTGSFNLTSHFTLFLHQDAVLLATQDENEWAVIDPLPSYGRGRDADGNNGTINGQGGVWWDKFHKGELTYTRPYLIEIMYSTNIQISNLTLIDSPSWNVHPIYSSNIIIQGITILAPVRSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAYGMPTKQLVIRRLTCISPTSAVIALGSEMSGGIQDVRAEDILAINSESGVRIKTGIGRGGYVKDIYVKGFTMKTMKWAFWMTGNYGSHPDDKWDPNAIPIIQNINYRDMVAENVTMAARLEGISGDPFTGICISNVTIEMSKFAKKLSWNCTDIEGISSDVTPQPCDALAVQGGKTCDFPEENLPIEDVKVQLCSYRANYM >KVI08109 pep supercontig:CcrdV1:scaffold_3951:15252:21806:1 gene:Ccrd_013522 transcript:KVI08109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MELFHELRTPFLEEIKTSRVGQKHHSDAGVVDEVVDATLQLFASIVQHLLQQEQSPPALLLRNVDWHDFVINLMARFKDETGINVVEQFNELQQHDSLEVYTDEFENLRSIMLHNNHALPDPYILDSFLGVLRPAVKPFVRAFKPTSIARAVEIARLQEESLVLLIQTQKLINPCHQNPSKKYL >KVI08110 pep supercontig:CcrdV1:scaffold_3951:15159:48498:-1 gene:Ccrd_013521 transcript:KVI08110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology domain-containing protein MSASLAAFTRPRNGATNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSALSQRGSEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETPEDLYEWKTALEHALVQAPNAALVMGHNGIFRNDTTESIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGGPSFLEKALRFLEKHGSKVEGILRQSADVEEVDRRVQEYETGKTEFSSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARVNAMRAAILETFPEPNRRLLQRILKMMHTVSMHTSENRMTASAVAACMAPLLLRPLLAGECELEDDFDDNPDSRDNSASEDSTDDENMEIKDNVYHDAENEADQETDEDPERIFSGKLSESSGYDGSDLYDFKMQQYRESENQMDTPGMLPECHRSTGVLLSSIEQGVPQSFSGHESCTETPISKLTGSNYNGRKTPSMESIDSSGEEEVAVQRLELTKNDLRQRIAKEARGNVILQASLERRKQALHERRLALEQDVQRLQEQLQAERDLRAALEVGLSMSSGQVLGSRNMDSKTRAELEEIALAEADVAQLKQKVAELHQQLHQQRQHHYDASDRHLHLQLRNLKGQALMNGRQLPRKHFLDSTSLSDSKSTGASTSLTTDDFGAERRSQLMEQLHNLDLNYSTSSQDFTYKQPSPGPGWNILKRS >KVH91441 pep supercontig:CcrdV1:scaffold_3952:39788:43420:1 gene:Ccrd_006536 transcript:KVH91441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLRKFGKRASCIWSRIRLRVLVWRTVSRKVVIGRFKKKNPTKKDRNGDQIAPNRIRVASFNVAMFSLAPTIAKSDGKWIDGVRGHEGNGGPIKSILKPSPLRSTTVIGNEHLPKKVSINLPENEISMAQNRVLRSPIYLPMMNWMDDGNRSILDVLKEVDADILALQDVKAEEEKGMKPLSDLAFGLGMNYVFAESWAPEYGNAILSKWPIKRNVLKATIDVPRTGQLNFYCTQLDHLDEEWRMKQINAIIKSSDQPHVLAGGINSLSGSDYSTERWNNIVKYYEELGKPTPRIDVMNFLHENDYIDAKHFAGDCEPAVIISKGQSVQGTCKYGTRVDYVLASRELEYTFVPGSYSVVSSKGTSDHHIVKVDLKGGAREVKRTKRIKKSIVKLTCKYCIDLCKDI >KVH91442 pep supercontig:CcrdV1:scaffold_3952:7097:7970:1 gene:Ccrd_006534 transcript:KVH91442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHVSWRHFSVTWTIDWVPFIYCLSSSMKTKAPTREGEKMASLFVKGMLLKSADKAYTSTRLKQRRSCENGDLPRLNHHRQRPFLHWIRHGNLCTTESQVGGSIPLRAVLSPESPPLSTAKKVRIHYCISYGFRIHS >KVH91443 pep supercontig:CcrdV1:scaffold_3952:22696:32146:1 gene:Ccrd_006535 transcript:KVH91443 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 1 MASIGLSVPPGLTISTEACNEYQQIGKKLPPGLWDEILEGLQYVQNEMGASLGDPSKALLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAGKSGARFAYDSYRRLGQLKAERGVKLDTDLTASDLKDLVEQYKNVYVEWKGEKFPSDPKKQLELAVNAVFDSWDSPRANKYRTINQITGLKGTAVNIQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLINAQDLVTMKNCMPEAYTELVENCEILERHYKDMMDIEFTVQENRLWMLQCRAGKRTGKAAVRIAVDMVNEGLTDTRTAIKMVEPQHLDQLLHPQFEDPSAYKNQVVATGLPASPGAAIGQVVFSAEDAEAWHAQGKSAILVRTDTSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCADIRVNDDTKVLLIGDKVIKEGEWLSLNGSTGEVILGKQPLAPPAMSTDMEIFMSWADQVRRLKVMANADTPNDALTARNNVSITNIYYLDHLQFFASDERIKAVRKMIMAVTTEQRKASLDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTEDTGMTEDEVYSRIEKLSEVNPMLGFRGCRLGISYPELTEMQVRAIFQAAVSMTNQGVTELGHQVGVIRSVAKTVFAEMGMSLKYKVGTMIEIPRAALIADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPVYLSQGILQHDPFEVLDQQGVGQLIKMATDKGRAANPNLKVGICGEHGGDPSSVAFFDGVGLDYVSCSPFRVPIARLAAAQVAV >KVH91444 pep supercontig:CcrdV1:scaffold_3952:2628:5443:1 gene:Ccrd_006533 transcript:KVH91444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRWTKEYSKGLDNYIHITKRKKESHGFLAMRFFYFSGFQLSYTVILGSYSSVLFVRTRDTEKQEWVEM >KVI01016 pep supercontig:CcrdV1:scaffold_3955:26268:27050:1 gene:Ccrd_020720 transcript:KVI01016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MEKPIVIDRYQEQQQQQQQRQHQKQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKVPPTTAATTHVASTSQPVDHNPNLDHLSLVYGLAPQNPNYQRCNTLVSGYDFSQPQMSDLGLRFSPSDPGAGVVINHAHNSSSFFSSYPSSMFSGSTSSSTTSAPIMASILASGFQQQQRFMDWSNHFQGQTNNNDQLEAMASSNQNPLLWNTQTSGGGGWFDPTSNIDSSVPSLI >KVI08182 pep supercontig:CcrdV1:scaffold_3956:32354:32698:-1 gene:Ccrd_013449 transcript:KVI08182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVNAINAQYEEQLAALRVRHTNHRDEFLRRESHARQQQYQQAAMEQQYANNAMGFSEPHGYSGGESQRAYNVDSYRDRPRFPGGNRDSRDPGFEPRGQYPGERVYGSGSRYY >KVH80900 pep supercontig:CcrdV1:scaffold_3957:5985:8279:1 gene:Ccrd_025485 transcript:KVH80900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFIDNGGLFLLSNNSDFGFGFKANTDITSFTVVIVHISSSRIIWSANRGSPVANSDSFVFDDVGNAYIQSNGKVIWSTSTAGKGVSAMELLDSGNLVLVGVDGVIVWQSFSHPTNTLMPNQDFSAGLRLVSDPKNNLTFSLEIKSGDLILSAGFRNPQPYWAMGKDKRRIINKDGGNLNSATIDGNSWRFFDQNKVFLWQFVFADGVDGNTTWAAVLEEDGFIRFYNLPVKTSGNLVIPDDQCGTPQSCSPYLVCHDGKTCQCPSGLNEMNCKPSVVSCRTVKEQVTLVNAGENLSYSALGYVPSSLKTTLDGCKSACLGNCSCQVMFFDNKSGNCYLFDQIGSFVDAKNGVSFESYVRISHSSGGKGNKQVTGIVIAIVIATMLVILSLVFIGVRWFKKKNNDSEERHDETSEEDNFLESLSGMPVRFNYIDLQQATENFNKKLGQGGFGSVYEGVLKDGTQIAVKQLEGIGQGKKEFRAEVSIIGGIHHHHLVRLKGFCAEGAHRLLVYEYMANGSLDRWIFKKKKTEFLLDWDTRYNIAVGTAKGLAYLHEDCDVKIVHCDIKPENVLLDRNFRAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDSSMISEKSHFPSYAFKMMEEGKIQEIMDQQMKIDESDERVTVAIQVALWCIQDDMNLRPPMTKVVQMLEGLCPVPAPPMASQTGSRLYSGLFKSISEGGTSSGPSDCNSDAYLSAVRLSGPR >KVI00870 pep supercontig:CcrdV1:scaffold_3958:34347:39952:-1 gene:Ccrd_020872 transcript:KVI00870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEGEINLVKASSHIGMGLRMAVSVGIPRRIIRCLEHVELKDAARPVAFLAKMTVHQSLLVQLVGKGLLDPNMMRRLLDSSSPREVILDILMIISDLARMDKIFKPSRMHRGYKRIPQNHKDN >KVI00869 pep supercontig:CcrdV1:scaffold_3958:49125:50400:1 gene:Ccrd_020873 transcript:KVI00869 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MATITPLLFPLLFLTLSGGMLTLAKGQASGQGAWCVAKPSATDEELEQNINFACAFVNCNVIQPGGACYDPQTLSSHASVAMNLYYQKQGRNYWNCDFRKSALISVIDPSYDNCKYEYA >KVI00871 pep supercontig:CcrdV1:scaffold_3958:22624:28919:1 gene:Ccrd_020871 transcript:KVI00871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFFLFHVSLRQNQFSKDQTSLSSIAATFAQIADLMCQFLRSKTTSDLDTIRVLVDRLQMDCILHLGKPQNSPSISNFSIFKTSRVTVKKVAPKGKAAKDPNKPKRPALAFFVFMFNLKKLTYDERKQKLIERLNALNAINLEIKNH >KVH96972 pep supercontig:CcrdV1:scaffold_396:188819:193182:-1 gene:Ccrd_000934 transcript:KVH96972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDDVHQWGFLPPTEAPSLYTQEQRDDHWRHFDKSVNAISFGFVATAILISMFLVMAIFERFLRTSSPVLSPDGGGGGGRNAAGEVDSQMGFNSKFDHSSLKISENAREVSVLMPGEDVPTFIAQPAPVPCPSERIPWLADPRISYPKLTSNSNS >KVI04268 pep supercontig:CcrdV1:scaffold_3960:47211:47714:1 gene:Ccrd_017421 transcript:KVI04268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNGNVLSYRSPSSAAAASPPERSILLRFISRRRTCILLILLLCAILLSSWNLLNSVLSWYASAVATSSSSSSFWWPSIYASVAVGVIFGVLSMAAALAMAMPAIMVIWISVLVLLSFAGKPRESVVVEGKKLTVEMSRTVGMVVIKEGNLVAAVCAILGYFLLFR >KVI04267 pep supercontig:CcrdV1:scaffold_3960:25245:25679:1 gene:Ccrd_017422 transcript:KVI04267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGFFVICLLHSSIAISCGGLIMFYLNEISVFGHGIETARKLSGSTPHDQLLIQTSHSFVGLLLCGIGFIYFMVAFVKDREFQGFFAKGCVILHLSMALWRIYFERRLEDLAGDWPKQLVGDTLLATSWVFFLVYSWREKYD >KVH80798 pep supercontig:CcrdV1:scaffold_3961:37080:40024:-1 gene:Ccrd_025486 transcript:KVH80798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-related protein MNQEVGGRSRKRKRRNNHRKIETPSIKQNATAAAAANSTVKKELPSTSTVSKSSTKPSSFLEKMKAKLSGGHFRMINEKLYTCSNSSSANIRFSGRMQLIPDSPGQYHTGYQEQMSRWPEQPVNIIMKWLKDRSSSLVVADFGCGDARLAKGVKNKVFSIDLVSKDPSVIACDMSNVNVAVFCLSLMGTNFPNYLEEANRVLKPSGWLLIAEVKSRFDPNTGGADPDSFCQAVCELGFTSASKDFSNKMFVLLYFKKKPKNNSNKEIKWPELKPCLYKRR >KVH80797 pep supercontig:CcrdV1:scaffold_3961:42300:46549:-1 gene:Ccrd_025487 transcript:KVH80797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/Sorbosone dehydrogenase MAVLHFIIIVFSLTFLQFLLFNPALSLPLCTDSRAPIKPKTPLAFCPYNHSSCCDSIQDSNIQKQFESMNVSQPGCASVLKSILCSLCDPFSAELFTIMEGRRQVPVLCNSTVGSDSSQTSQATNNFCETVWDSCQNVSIKNSPFSPLLQGQAPPPANATSKLIDIWPSRTDFCTIAGGSSTDGSVCFTGEKVNFNGTTNNTNTSPKGMCLERIGNETFIDMVPHNDGSNRAFFANQKGQIWLATIPEIGSGALLNINESNPYLDLTDEVHFDTATGLMSIAIHPNFTQNGRFFASFNCDKSEWPGCAGRCACNSDVNCDPSKLEHENGAQPCQYQTILFGPDDGYLYFMMGDGGGTADPQNFAQNKKSLLGKIMRFDIDNIPSETEITRRALWGNYSIPRDNPYSEDVDLLPEIWASGLRNPWRCSFDSERSSYFMCGDIGQNEYEEVNLITKDGNYGWRVYEGTDPFVPQESPGGNTSANSIDPIYPVMGYRHSDVNKNEGSASITGGYFYRSQTDPCMYGSYLYADLFATAMWAGTETPMNSGNFTSSKIPFTCASDSPIPCSIVPGSSLPTLGYIFSFAQDNNKDMFLLSSSGVYRIVAPSRCNYVCAIGKTKTDPTQSPTFAPSSTKMLKGSCKNTMFFLVSTLVLLFMASTLSM >KVH80592 pep supercontig:CcrdV1:scaffold_3964:38062:41259:1 gene:Ccrd_025490 transcript:KVH80592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGFRFESEKSVEMVLMNMEPVEMVHMNMEPVEMVLLNRSDVVDLAHLLDAHDQDLLTLSAKSLNCTEHMVGVSKPKKVRIYCQFPPLLQR >KVH80594 pep supercontig:CcrdV1:scaffold_3964:16576:22250:-1 gene:Ccrd_025488 transcript:KVH80594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYEVFNYDNGKLKGWGVLVRSYYLTEWGVTSCKIAYALEKTNYQEQQYFSEAGHEVLCFWFQFTSFHREVWKYSYKAEHSKRKGAWDNLVSLHREWTQELKEKLMPMRERVWLDVMAIKGQGVAEKNNDVGAKPRIRCWIEKKGDDGLSLWEERSGGNSRLPSSSRQQWWFLLSVERDWAWRWRSVKHSHTHIEVDGSLPKEDVFAKIDSALANLIEQKNTTMLAT >KVH80593 pep supercontig:CcrdV1:scaffold_3964:22288:36495:-1 gene:Ccrd_025489 transcript:KVH80593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MASCPHLNSSMMLSKPKHPIASFCPLDFPNPQHLSSLSFIASPIGGVKSVRRRCRQTNQRCSLILASMDNPKKPLKIMISGAPASGKGTQCELITSKYDLVHVAAGDLLRAEVAAGSENGKQAKEYMEKGQLVPDEIVVMMVKDRLSQQDSNEKGWLLDGYPRSSSQATALQAFGFRPDLFILLEVPEEILVDRVVGRRLDPVTGRIYHLTYSPPETEEIAARLTQRFDDTEERVKLRLETHNQNVESVLSLFEEITVKIIHPLVHARGLKLVHQFHLYDNEKSILVGRSSLEIAVAVATMPFTISAAVTTPSFPSLPPLRVVT >KVH95030 pep supercontig:CcrdV1:scaffold_3965:38410:40363:1 gene:Ccrd_002901 transcript:KVH95030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VINLDQLKRKKKAKKNLIIERKTGNKQPTLGNKRPSECAFFHDTGHQLLYCPDHSCRSCEKKSPRHYASDCFKNPNHNKALTRSTMDNDLSTMPSRFSQAQKSTTFTSNDTTELMKQMMCNFSHTMDTALAQGTSWLFDAGCCNHMTQTVMSLSQRH >KVH95031 pep supercontig:CcrdV1:scaffold_3965:30093:30479:1 gene:Ccrd_002900 transcript:KVH95031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MLMMKKVLCVMVACMVVAAPYAEAAITSCGQVFDKMKPCLDYLRRGGSVPAVCCNAVRGLNSDSKSTADRKLACGCIKTALSAFAGINPDNALRLPGKCGVNFPYTISPKTDCSKYVQIYTFFLLSHG >KVH80577 pep supercontig:CcrdV1:scaffold_3966:9409:16405:-1 gene:Ccrd_025492 transcript:KVH80577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILLSPILQVLAEKLGSAAFKKLARYSQIHIEVNKWETSLTDIQALLNDASQKEIRDEYIKGWLNRFQHLTYDIDDILEHLTYDIDDILDNLATDAMHRKLIAEELGAISSKVRMLIPTCSTSFSANIRMHDKLKDITTKLQDLEKEKVTLGLSVINGRPITMSRNYETSLPDASDIVGRQGEKEALLHKLLWEESINKNINIVPIVGKGGLGKTTLARLLYNETQVQNHFKLKAWVCVSDEFDIFTISKSIFASVTGENKEFQNVNQVQEDLRKQVTDKRFLLVLDDVWSESYEDWNTFVRPFHAGALGSKIVITTRKEQLLRELGCDNLEHLQSLSYDDALSLFCQHALGVSNFDSHPNLRPYGEGIVKKCDGLPLGLRVLGRLLRTKADEVEWKELLSDEIWSLQTGDGIIPALRLSYHDLSACLKRLFAYCSLFPKHYLFEKEELILLWMAEGFLHHSRESMSTVERLGHNYFKQLLSRSFFQKAPNEDSLFLMHDLMNDLATSVAGEFFWRSEDEMEKGVGMDTLEKYRHLSFVCERFISDKKLKAFIGAKNLRTFLAVSFKPYEEWDGFFLSNKILDDLLHEFPLLRVLYLACLHIITVPKSIGSLKHLRYLNLGQTKIRYLPENVCNLYNLQTLIVFGCHELTKLPNSFSKLTSLRHFDIRDTPLLNKMPSGIGELKNLQTLSKITIGGADEFPLTKLKDLKNLHEMICIKGLDKVQNAMHAREANLLQMRLRELEVEWSDVFDGSRDETLENMVLDVLNPGNENLEKLNIVSYGGIKFPNWGWEVWSYNGGVVDPVYPCLGTLRIKGCPKLVEISVEELPSLRDLEIDECSDGVLRRLVQVASSITKLKIVSILGLTDQLWGGVMKHLGVVEEVIIERCDEIRYVWESETEACKVLVNLRKLEMRRCNNLVRLGEKEEDHCGSKLTSLTMLDIWFCGRMEHCSCSNSIKSLFIFGCDSITSISFPTGGKKQLKSCSIYECKKLLEKEFGGGRSEETINASMWMLDTRK >KVH80578 pep supercontig:CcrdV1:scaffold_3966:39035:43327:1 gene:Ccrd_025493 transcript:KVH80578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MESIQTIIKTLLRNPTLIKSKSEAKQIHAQIVKSFPIHTSSLLFIYSKLNLLPESLLLFNTLPTPPVLAWKSIIKCYSSNGYFLESLNCFVKMRGLGINHDHNVFPSVLKSCTHLMYFKFGKSVHACVIRVGLEFDIFIGNALLSMYSKVQRFSALEVFDESPGRMTADQNESIFVSDHNNNNFYHKVSEFDKNLGILNQISDQEETVDEIMRWKNKEGDVRMRSVRKVFETMPERDIVSYNTVILGYAQSNMYNEALMMIKEMGRANLKPDAFTLSNVLPIVAEYMDIWKGKEIHGYGVRHGFHRNEFIVSGLTDVYANCNMVEDSYRLFSSLSKKDSVSWCSIIAACVQNGLFDEGLRLFRQMLSVNIKPVPISFSSIIPACAHLTALPLGQQLHGYIIRGYFEDNMYIASSLVHMYARCGNIKLAMRIFDNMKQHDLVSWTAMMMEFALHGHAYDAISLFEQMEKEGFRPNSVAFVAVLTACSHAGMVNEGLKFFNKMVKQYKITPDFEHYACMADLLGRAGKLEEAFGFICSMPENKTASMWLPLLAACRVHKNVELAEKVSMQICEFDTENAGADLLLSDTYSSVGRYKDAANVRRMLSKIRKGLYKGLVRLQITVSPVRN >KVH80579 pep supercontig:CcrdV1:scaffold_3966:4003:4708:-1 gene:Ccrd_025491 transcript:KVH80579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor E2F/dimerization partner (TDP) MASLHDIETKNPSLYSRKEKSLGVLCSKILETTGVERRRIYDIVNILESVGARNRHLMRTPKAHSTAALEP >KVH89390 pep supercontig:CcrdV1:scaffold_397:103227:105349:1 gene:Ccrd_008623 transcript:KVH89390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MAVNPYASTLLPSSFSSKPHTHTPRLLAIKATKPSRKATISCAALQSSPLLHFPNSINTEQSALHQPHQWNFLQRLAATALDMFENALVSRETQSPLPKTSDPRVQIAGNFAPVPEQGVKHNLPVSGSIPDCINGVYVRNGANPLFEPVAGHHLFDGDGMVHAVSIDGKSVSYSCKLTETHRLVQERELGRPVFPKAIGELHGHSGVARLALFYTRSLLGLVDQSKGMGVANAGLIYFNHRLLAMSEDDMPYQIKITPSSDIETVGRYDFSEQLMSTMIAHPKLDPVTGEMFALSYDVVQKPYLKYFKFSKDGEKSPDVEIPLEVPTMMHDFAITENFVVVPDQQVVFKLEEMIKGGSPVIYDKNKMSRFGILSKNAETSDDMIWVDSPETFCFHLWNAWEEPESNEVVVIGSCMTPPDSIFNECDENLNSVLSEIRINLKTRKSTKRPILKGSEHVNLEAGMVNRDKLGRKTQFAYLAIAEPWPKVSGFAKVDLVTGEVKKFFYGDERFGGEPFFLPSGLNSEREDDGYVLAFVHDEKTWKSELQIINAMTMEMEASVKLPSRVPIIEEKLLCFSQSVSSVPILLLLL >KVH89388 pep supercontig:CcrdV1:scaffold_397:255130:257397:1 gene:Ccrd_008621 transcript:KVH89388 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO/COL/TOC1, conserved site-containing protein MRRNCNLELRLVPPSPFLFSDHHHDRQETPSEGMELTLAGDSTEKQQNHQQLTIFYGGKVSICDVTELQARTIIKLASEEMEEKWRRTPGSTPSSEPSSPLISPPVCSPAGLSMKRSLQRFLQKRKHRIQATSPYHH >KVH89389 pep supercontig:CcrdV1:scaffold_397:221891:225991:-1 gene:Ccrd_008622 transcript:KVH89389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase, C-terminal SPNSLPHSTPFSIKSTNTHKNQKTFLNLSAPLPLLNKSIPKSYKLTFGFHFEFPHLLIFHNPPFFFNFKKMASIFQGVGTATTLSSSASFDSNKFHPSSRRSLSERKVSFLVVRSDAAKMNPGLNKIGGRADQLVTNAVATKPDTSAASTAASKSGHELLLFEALREGLEEEMERDSRVCIIGEDVGHYGGSYKVSKGLAPKYGDLRVLDTPIAENAFTGMGIGAAMMGLRPIIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKETIPDEEYVLNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHMIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFQDFLDAPIVCLSSQDVPTPYAGTLEEWTVVQPPQIVAAVEQLCR >KVI00780 pep supercontig:CcrdV1:scaffold_3970:43915:46381:-1 gene:Ccrd_020965 transcript:KVI00780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAMRVKVPPNSATLAEARHRTFDFFRTACRSIPAIMDIYNLYDVVNPSQLRSTIASEIRKNAHITNPKVIDMLLFKGMEELSNITEHAKQRHHIIGQYVVGNQGLVQDVATKDEELSNFLKNFYKSNYF >KVI00782 pep supercontig:CcrdV1:scaffold_3970:46533:48599:1 gene:Ccrd_020966 transcript:KVI00782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIMGICWVQSYTLIVVFKLVSPKFCISVALMLSSINMIISLVISVELIIGVIITRFEYKVFGL >KVI00781 pep supercontig:CcrdV1:scaffold_3970:29401:37823:1 gene:Ccrd_020964 transcript:KVI00781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSNMHVAIAKPFTFPSCEVSKSSVAVFSGGIREASWTKLKSSCHISSTHVISQNIASSPVKFNRLVTKAISESNDTKPLPGLPIDLRGKRAFIAGIADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKEVAESVKEDFGSIDILVHSLANGPEVSKPLLETSRYGYLAAISASSYSYVSLLKHFVPIMNPGGASISLTYIAAERIIPGYGGGMSSAKAALESDTKVLAFEAGRKHRIRVNTISAGPLGSRAAKAIGFIDMMIDYSSENAPLQKELSAEEVGNAAAFLASPLASAITGTVLYVDNGLNAMGVGVDSPVFKDLNIPRDNQR >KVH80537 pep supercontig:CcrdV1:scaffold_3971:7063:15768:-1 gene:Ccrd_025494 transcript:KVH80537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVQSFFYLISIAQLSGSQLLRSLKILNLSFCEQLWSVGGFSKFPALERLILSNCSSLIEICESIEQYDGLDLIDLSYCNNAGKLLRTINKVKNVKILKLDGCNHGETTVEMMDDVEEMLNCNNIGMNSKTSFSAIVEAIPRAFESYLIYVPSSLECGVSLVYDEDEEEEVLGYYKSWNHRIGGDLSPFLTTAPGQYRLNQDRFYGGDYINYVDKHPLFRAFRPTK >KVH80535 pep supercontig:CcrdV1:scaffold_3971:47831:49764:-1 gene:Ccrd_025496 transcript:KVH80535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGNSQRPGKSQKLTGSCSKDNKRLLRSLKILNLSFCKQLHSLCGFIELPALEKLLLSNCIGLIEVCESIWQCDHLELIDLSYCNEVGKILRTIGMLKKXKILKLDGCNLSENPIKMRDTELPEMVMANNLAINSQTSSSTIVEIIPRDLGSSLIFLLRSLECLSLKNNGLSNESFPMDFSNLSMLKELYLDGNNIVSMPNCVRGLPRLEKLSIDHCKRLTILEHPPLTLRHLIMGVSYLSKVVFDREMSPIMLSTWTGCRSLIEGMFKVEDMADVEEEVLHSLGWTNLDFTKNZLTEXKVZMQYEFGIFSTRYEGKEMPDWISDRREGSSISFTIPSSPXNLKGLNFCIVFTAIKGFXHVVGKIRISNITKNRTWIYSCFGFFESTGEGIIVYLSHWMFGKSEMEDGDEVTATVTARQADEYIYKELDVLECGISLVYDDGKKKMEEEEDVLVYYKSWNHIIGGDLSPFQTTTPGVYDLNRWHIFGSNYINNYVEKRPLFRAFSQSKST >KVH80536 pep supercontig:CcrdV1:scaffold_3971:17319:24345:-1 gene:Ccrd_025495 transcript:KVH80536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 domain 2 ILNLSFCEQLWSVGGFSEFPALERLILSNCSSLIEVCESIEQCDGLDLIDLSYCNNTGKLLRTINKVKNVKILKLDGCNRGETTIEMRDDVEETLNRNHIGMNSQTSSSAIMEAIPRAFESYLIFVPSSLVCLSLEDNNLSNESFPMDMSSLSMLKELYLDDNLLVSLPNWVKSLSRLEILSICENFSLKSLKHPPPTLKELRYGFDGDGEVIFNREMSPILLSYSRYATSLGDNIEGMVKEEDMRDVEEKVLRSLGWSHLVNLDFTEIQPIESGRVKMVYEFGIFSTWYVGKEMPNCVSDIRWEGSSISFTIPSSHCNLRGLNFFCLFTSGDDEDFLAYISKIRISNITKMCTWIYNVSEGFQGIREGITYLSHWMFGKNEMEDGDQIAVILDVKDFVTRECGVSLVYDEDEEDVLGYYKSWNHIIGGDLSPFQSTTPGKYHLHQIRFTGIGTGWPDYNYIEIDSFSQSVNSFSQGNDFFSPNAAIHVLETIKADAGASKTYPQQAGTIRKGGHIVIKNRDCKNGQGVSIAVRHIESMIQKSEAHARMHLEDVDMAIRVLLDSFISTQKFGVQKALQKVDGMQSFKKYMTSKKDFNAIVLHLLNQLVKEALHFEEIVSGSNKDVTHIDVKVEELQSKVLDYGITDLKAFFSSVEFGRGNFELDKERSVIRHHLVR >KVH80427 pep supercontig:CcrdV1:scaffold_3973:6231:43908:1 gene:Ccrd_025497 transcript:KVH80427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCEGTMDIEVGPIEETVQALMEYLVGPLLPLKHSDISKRIPSESQQKSVAEQVHAAAVLYNYYHIKHHQKREFLKFDQFCNLAIMFKPSILHHMKYMRQSDRPIIDDPENQLSLTEKAIMDACTISETLLDASANISSIIKGWPITKVAVLLIDSKRENCFLQFNNGVWSVIEKEISSEVSGIGSESKTNKKRRMLYMNKDDAEGEAGFQQLALSAVKEVAGIITGELVVLEGHVVYSLSRAKTATRFYIVQSTQPISEDSLVPIQDAICSLQGPVVRKSSGSWVITPVVEYYHLLPYAEKISEWFSRVSNSLQHQVEEGSADESVILGSQKSYEKESGESKRVSYDNVQIKSNLELESVHMSNSSKENRYKSNKHCTTLEPIRKAPQDVATSCSIEFAFDKGAMETENSNGNRITEAYGDSLKQKDMNGASGASNKGLDRPMVSLQKGNQNTKMHTPLKVYRHEKRSTTNAINNKKTCIDSPHENAILAVDCALASVQQNAESIEDFQITVDAKRSELSEAALRALLKKREKLCNQQRNIEAELTLCDKKIQAIMHGGIGDCLGLKLEAVIDCCNEICQKDENRDLHVGKSLPFSGKSGFVANVTVKGTDFECSDVSGIQASIREARNSAATLVIMKLQQMAIQHTTALH >KVI07976 pep supercontig:CcrdV1:scaffold_3975:6065:35885:1 gene:Ccrd_013658 transcript:KVI07976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase MDLSVPHRCVVHSSSSCCSSQLLKPRFQLVPTPNQIKHPLVSINQRYKFYPMEVQRTTGCSASSLLNDHGSPITADPPVDTVRDRIGSVKRVTNETNVFVELNLDGVGSPDSSTGIPFLDHMLDVILVVPYVLFARHNAFLQIHCTIVLFESSAGLEPMSTGNFFTLGIKASAYQRQTFHLQLISCDVSNKVSSMAIPLSDTFTNLTLIISSVWQSLTIIKSLTKQRSTELNIVFNVIARLVECRSSNVLILGSKLVLCDHNQKNLSDRDEIDAPIRLWKRAADDDDDDESNKAIDMQLASHGLFDVHVKAVGDTHIDDHHTNEDVALAIGTALLGALGDRKGINRFGDFTAPLDEALVHVALVWSHCNPKRVSTVSSFLFVSELGSIPLDINASVLILASPLGSSTFANLMVCSRLVASHSYYFQPCPYFKITNKAINEERLVEDQDLSGRPHLNYDLHIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRRGTVPRGEISM >KVI08108 pep supercontig:CcrdV1:scaffold_3979:18137:34296:1 gene:Ccrd_013523 transcript:KVI08108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVGRDFSYTMQSLAVPPVSADVMFASTRFPNYKIGANDQILNGREEGKLVSMQEVIARETAQLLEQQKRLSVRDLASKFEKGLAAAAKISDEAKLRDVVCLEKHVLLKKLRDALESLRGRVVGKNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLASFLKQASEDAKKLVDEERAFARTEIENARAAVQRVEEALQEQERISQASGTQDLEELMKEVQEARRIKMLHRPSKVMDMEHELQALRVQLVEKSKRSIELQKELAISKRGEENASYLFELDGTSALGSYLQIQPCSDRAPELSECSIQWYRLTSEGGNRDIISGATKSIYAPEPSDVGRYLEVDVISDGQSVTLTTSDSIEPGLGNYVEALVRRHDTEFNVVIIQMNGVDHPSESVHVLHVGKMRMKLCKGKTTVAKEYYSSSMQLCGVRGGGNAAAQASFWQPKIGVSFVLAFESERERNAAIMLARRFAFDCNIMLGGPDDRAAQKP >KVH96590 pep supercontig:CcrdV1:scaffold_398:38944:41376:-1 gene:Ccrd_001337 transcript:KVH96590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRIKTVVDKFVEELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >KVH96586 pep supercontig:CcrdV1:scaffold_398:84782:89113:1 gene:Ccrd_001330 transcript:KVH96586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSPPSVAPLSSCSTSSSTSCTLVGRGVGGEDDEHWRNFDNSVSAVSFGLVATAILISMFLAMALFERFLRPPSPSSSVATTTTGVRNHGDLDSQTVSHGKPEYPSPKVNIYAREVSVLMPGEETPTFIANPAPSVCPP >KVH96584 pep supercontig:CcrdV1:scaffold_398:6205:10130:1 gene:Ccrd_001341 transcript:KVH96584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MEIDTLAVIGPQSSVMAHVLSHLANELLVPFLSFTALDPTLSPLQFRFFIQTAPNDLYLMTAIAEMVSYFGYREVTAIFTDDDQFRNSISTLGDQLSERLCKLSYKAPFPPXSXLSSQDIKDILLKVRSMESRVIVVHTYXKXGLXVMETAXSLGMMKKGYVWIATTWLSTVLDSTGXSPAHAPSVQGVLTLRPHTPDSDKKRAFXRRWKNLSNGEIGLNPYGLFAYDTVWMIAYAIDKFLKEGGKISFSKDSSLGGLRRAKSLNFGALSVFNGGKQLLRNILQTNMSGLTGPLWFNLDQSLIHPSFDVINLVGNQGRRLGYWSNHSGLTVQSPETLYAEPSNRSIANQHLRSVVWPGNTKDRPRGWEFSNNGRPLRIGVPLRVXFKEIVTVVNSSHEIHGFSXDVFMAAIKLIQYPVPYEFIMFTLSTMFFSHRENTVSTLGRMVLFIWLFVVLIINSSYTAXLTSILTXQQLSSPIRGIDSLIASNERIGFQIGSFSENYLMEELNIPKSRLVALGSPEEYAEKLGGGIVAAIVXERXYVDLFLSNYCRFQVNGELQKIHDHWLKRKTCSLRNSDSDQLQLESFCGLFLIFGVACALALGIHFCMVLREFGKHDPSPEKGSRSVRLQRFLSFADEKEEISKRKLKRKRDGREVNRSNRIQAEVDEDQNCE >KVH96580 pep supercontig:CcrdV1:scaffold_398:106287:114924:-1 gene:Ccrd_001329 transcript:KVH96580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MHSRFKSVSRTLINSNLLSSVSVRTFSSQFQQPQTLTELRHRLAADSPTLTDFIRLQSDEEYSVEVGTKKKPLPKPKWMKESIPGGEKYTHIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPSNVAEAIASWGLDYVVITSVDRDDLSDQGSGHFAETVQKLKILKPSMLIEALVPDFRGDVTCVEKVAKSGLDVFAHNIETVEELQSSVRDHRANFKQSLDVLMRAKDYAPAGTLTKTSVMLGCGETPDQVVNTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYRLLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIERDRAASA >KVH96589 pep supercontig:CcrdV1:scaffold_398:41739:43322:-1 gene:Ccrd_001335 transcript:KVH96589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGVADLFTPPEEEEMDNGEEEGEEDDGISEVFAEIQKEQWYKPEVSLYTEIILVLAMNSLYDKVDMIFVELKNEKGKIRG >KVH96575 pep supercontig:CcrdV1:scaffold_398:168885:171171:-1 gene:Ccrd_001322 transcript:KVH96575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MMISDKPRPENSRPVRSRYLSTPSLPPSPSHNHPLSPNRQQKPKSSSKHTGLLRGVWPSSHSSKSHDDNSPTTTLAEYLGNDRKRDSGGGSTHLSKQKSCSEFSRFENDPKKQSNLKENQKPLFRGGSMRYAGKFRFPGRSSTSSSSSSSKSSEFLDHSGEIIIPGRLSVDENELRRRSYSDRMRSDSFSDDSECSDMGSPFIIGRNSPASYMAPTLSSRKSGLEVPSKYMNSPLSTANSPTKSTIKNAMKKANSLALPSRWGSSGGRSESPPTPTNSSFSRSKPPTSPSRTGKKNFLHMGLDLIKLKKSSRSGCLSPLGAGMGMAEGVHQLRMMHGSWMQWRYTNARANVVNGTLDNKAKKDSLHALENITKLQQSVLQQRLQLEKEKLELKLNFIVNPQMKMLEAWRDIERKHTSDVSVIKDSLEAVACRVPLVEGAKVFEHLEQMFIMDSQTTSLALRQATDLVASVISMKSFLTPTTRETVSTFSELAKIAFEEKLLLEECIEHLRVISTLE >KVH96593 pep supercontig:CcrdV1:scaffold_398:28860:38504:1 gene:Ccrd_001338 transcript:KVH96593 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, ATP-dependent, DEAH-box type, conserved site-containing protein MPSMATGDANNNSNINHPQIRPKLVNGSHKSDRRQKIEQQRRSLPIASVEKRLVEEVRKNDILIIVGETGSGKTTPWPGTRESGFSIAGFCRDGGTIGITQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFEDMTSISTRIKYMTDGLLLSHFEFLEPHQLLLVIAKFMLRKAAYAHREALLDPYLSRYSVIIVDEAHERTVQTDVLLGLLKGVQKKRSQSSNNDHVKANNGTLMKEENNGQAPHLGRRLNPLKLIIMSASLDARGFSEYFGGAKAVHVQGRQFPVDILYTAQPETDCLDAALVSIFQIHLEEGPGDILVFLSGQEEIESIEGLVRENLKKLPEACQKLLILPLFSSLPSEKQLKVFTPAPVEFRKVILATNIAETSVTIPGIKYVIDPGLVKVRSYSPDSGIESLIVVKTSKAQALQRSALGVDDIIGFDFMEKPDRMAVIRSLELLYLLGALTDEKKLSDPIGHQMARLPLEPNDSKALILASQFDCLEEMLIVVAMLSVESIFYAPREKLEESRTVIKSFSSPEGDHLTLLNVYRASLEFLEKNKTENGKEKAEKNLRKWCKDNFINSRSLKHARDIYNQIRENVERMGLKIASCGDDTFQLRRCLAASYFLNAALKQPDGTYRVLANGQIAEIHPTSVLRRTKSECIIFYNLVQTTRNYVRNVTRIDYLWLAELAPQCYALKE >KVH96592 pep supercontig:CcrdV1:scaffold_398:21517:26915:-1 gene:Ccrd_001339 transcript:KVH96592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEDMDIVVRAHLHGWKMIFLNDVVVLEKDLAHQDYKLEAQDLKDYQDGDRINSKAFESSSLSGYDLWRMCCKCQKNFAKTLRKLYNVDPNDYMFWDEDLRELSSPGKMATFSTCE >KVH96574 pep supercontig:CcrdV1:scaffold_398:158247:158489:1 gene:Ccrd_001325 transcript:KVH96574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGNAPFARKFANDDVVLDKIYQELLGRRNRFGQGAWCVASSDNWSDPCVVHGDDSVFMPGPGTVRLSGLFRQLLSQDS >KVH96585 pep supercontig:CcrdV1:scaffold_398:79492:80598:1 gene:Ccrd_001331 transcript:KVH96585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MGSIASSSWLSRLQDEDSPQFYRVYAAFSAKSPSSDVNRSTWIEYYNPSNNTWHRVTTIPGLVENHVLKGFAMVIVRDFIYIIGGVLCHKELLEGQGPDDVSEVDLEVYQSVLRYNIIDDTWSKCAPLIVPRFDFACTVSGNKIYVAGGKCTIDSVRGVSSSEVYDPALDQWKSLPDMGTSRYKCVSVTWQGRIYVVGGFAESGSVDAQGPFSMARSSAEVYDTMNNKWDFLPRMWDLDVPPNQIVVVGEKLFSSGDCYKKWKGFIEKYDRELNMWNVVDGSSPTSMLDVTSRQHPQTEQLYLTIAPIGTYLHFLAGYRMTGETTSRFRSEVHVFDVRLGGGWMSFEPMVEDEEKELSCHCATYKRRD >KVH96579 pep supercontig:CcrdV1:scaffold_398:118264:121250:1 gene:Ccrd_001328 transcript:KVH96579 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP-like protein MGSTYDSNPFDGEEPDTFANSRPEPADYGGGSKDDVPLNSTNDLRAKEKELEAKEAELNRREEELKRKEDAIARARIFQEEKNWPPFFPLIHHDIPKEIPLHQQRTQYVAFTTLLGIVVCLIWNLVAVTVAWIAGEVSYSLLPICFYCSSYDLQGEISYCFYFVGFAFFAIESTISIYVIQQVYRYFRGSGKAQEVKRDAKRSTTMVAL >KVH96583 pep supercontig:CcrdV1:scaffold_398:11877:18327:1 gene:Ccrd_001340 transcript:KVH96583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNTCRGSFAVRINQGFNQPGYQSSSKRNPSIAQSNNSSRHSPTTVNRPRSASKEFPRKGSNFNPNSNPKSSPASRKESTMNRHNANQAYYVLGHKTANIRDLYTLGQKLGQGQFGTTYLCTENSTGTNYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCNGGELFDRIIQRGQYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSIFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKANIDFDSDPWPLISDSAKDLIKQMLCSLPSKRLTAHQVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLKRFGSTLKDTEIRDLMDAADVDNSGTIDYGEFVAATMHLNKLEREEHLVAAFQYFDKDGSGYITFDELQQACTDHNMTDILVEDIIKEVDQDNDGRIDYGEFVAMMTKGNAGIGRRTMRNSLNISMREVQGDQ >KVH96577 pep supercontig:CcrdV1:scaffold_398:209855:210805:-1 gene:Ccrd_001320 transcript:KVH96577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSFPVQSGPQKTCRLDLSSELFGGVNAACGRNLDRSRCCPVLAAWLFAAHARSALQLNASSTPTTGLLPVMPDDSQRCVTSLQTSLQKRNIHIPQPNASCDAVLCFCGIRLHQIGSLSCPAAFNLTASSRNATPTAVVKNLEKSCRNSSYAGCTKCLGALQKLKAEGSKNGTRKGDRRSEMMSRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPAHESKCSPDQENMPLAVNSLQFDKSESSSSSSPSSSSAAWSSSWSRAFGIVTTISGGLLVFV >KVH96588 pep supercontig:CcrdV1:scaffold_398:73919:75700:1 gene:Ccrd_001332 transcript:KVH96588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEEDLPRDAKIVKTLLKSMGVENYEPRVVHQFLELWYRYVVLLELARNRNKIPLPKSIAGPGMALPPDSDTLITPNYQLVTPKKRTSEAVEETEEEEEASESKSQEQRTDMPQTTPQRVSFPLGPKRAR >KVH96576 pep supercontig:CcrdV1:scaffold_398:165113:166030:-1 gene:Ccrd_001323 transcript:KVH96576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MNDLFSSSFKQSQAVKTHSYLDVEAGGGAANDTVDLDKFFQDVENVKDDMAGVEKLYKKLQESNEESKTVHNAKTMKQLRARMDSDVELVLKRVKIIKGKLVALDKSNVEHRKTPGCGPGSSTDRTRTSVVSGLGKKLKIMMDDFQALRTKMNEEYKETVGRRYFTITGEKANDELIEDLISSGESEDFLQKAIQDQGRGQIMDTIMEIQERHDAVKDIEKNLIELHQIFLDMAALVEAQGQQLNDIESHVAHASSFVHRGTEQLVETKELQKSSRKCTCIALALVLVLIIVATYPVWFPMIMRP >KVH96571 pep supercontig:CcrdV1:scaffold_398:153799:157975:1 gene:Ccrd_001326 transcript:KVH96571 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAND domain-containing protein MRISCLPLFSSSGYLSLSLSLFLAESSTHTLRLHITRDIEGEMANGVDENVVDGSTMFSDEEVREISGFKRGGDDYVEVTCGCTSHRYGDAVGTLRVFTSGDLEISCECTPGCQEDKLTPAAFEKHSGRETSRKWKNNVWVIVDGEKVPLSKTALLKYYNQALSNGSNRSQAVRVCHRDEFLKCTQCNKHRRFRLRTKEECRTYHDAFINANWKCSDMPYDKITCDDDEERASRRVYRGCLRSPTCRGCTSCVCFGCGTCRFSDCDCQTCIDFTMNAKA >KVH96572 pep supercontig:CcrdV1:scaffold_398:133581:137140:1 gene:Ccrd_001327 transcript:KVH96572 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Gar1/Naf1 MMGYAHNNTKIKLEQEPVELEQFVQASPGLKKSNVSLDQSFIEVEDFPDAYLDSILSFDDWVEDHPNLLVAEVMNSEENSSLEKSLEFKTEKEEVCDSGKLGDLGSLIQEGMGRVRLTSGADVIDCVGSSEVTAGDEISMRNDWKQETQEVVSDGVESGEVKNVNENEAKNDTEKGGDNKDELVGGELEESEDSEEESSSSSSSSSSSSDDEEEEEEEEEEEEEEESDDDDDDSDEEEDTKEIKKVKGEVEMEEGEIRDFEVEEVVAWSDDEDGDAVKGPIRSKNEVQQLPPVPPVNVTIEPHHQTLPVGVVLSIMGAQVIVEGVENHNPLSEGSILWITESRSPLGVVDEIFGPVKNPYYMVRYNSETEVPTEIQQGSLISFVPEFADYVLNNNNLYKKGYDASGENDEELDDEIEFSDDEKEAEYKKMLKMSKRGSTEQKNGNMKKDKKSRNRVGNWKNDHQASPTSQVNSFAGRPPTSGPGVSPAFSPGPPAPNLTGPSGVWPNGFPAIQPQNVGFPPNSFSPNGLPPNVPFMQQNFNQQSFQNLGLPNLAPFYPQFNTNGQMFPSNFGQGVPQNFGANPAFTSWPAGMPQNSFNQPQVGPPMAFQGLPFNPSVNVQQSMNVQHPQGVAMPNRPQMENISMRPPMANGFPNRGGRKPFQRGGGRFRGGRSGQQSR >KVH96581 pep supercontig:CcrdV1:scaffold_398:225933:255277:1 gene:Ccrd_001319 transcript:KVH96581 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MNNLKSSSNNFLNEFYIPDYILVPGGKFEALSFAPVCPTIVFVNSKSGGQLGGELLVTYRSVLNENQVFDLGEEAPDKVLRRLYLTLENLKLNGDALATKIEKQLRIIVAGGDGTAGWLLGVVSDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTNRESVLHFLDQVMKGKEMEIDSWHILMRMKSTPKEGSCDPIAPLELPHSLHAFNRVSDTDELNVSGYDTFRGGFWNYFSMGKSQQLTFSSKFYDNLVVFQEVASFKLKIPCT >KVH96587 pep supercontig:CcrdV1:scaffold_398:65270:68368:-1 gene:Ccrd_001333 transcript:KVH96587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MATVNPNCFFSTHKISPPKKPSSLTPFSFSFSSSKFFTRSRNAHRLYPLINSIAEDREVVTEEISISNMNQDEQIGSELESNSLVDGIGSEGIDRFVGKAINASIVLGVGTLAVTRLLTIDHEYWHAIGLHKQVLYVPISCKVLFFNTFFLVSVVCRWFFKRILQCVEGKPLFEFDRTRLFRSGLVGFALHGSLSHFYYQFCEALIPSKDWWVVPAKIAFDQTAWAAVWNSIYFVALGLLRLESPANIFNELKATFLLMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISDAVIDLRGPRYVQQVLVGSTYAHLCRIVLTDGQESLREVMKKDANMRRDEFICKVAILERGYAIVIAD >KVH96582 pep supercontig:CcrdV1:scaffold_398:265507:270029:1 gene:Ccrd_001318 transcript:KVH96582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MESEDSNPKALGLYMXESLNAYKILYCHMVEPRMKLVGEAVECPHSLVPMRKAFKGTFISAGGYDMEDGNKALSENRTDLVAYGRWFLANPDLPKRFELKAPLNKYDRSTFYTPDPVVGYTDYPFLETTV >KVH96591 pep supercontig:CcrdV1:scaffold_398:41626:42571:1 gene:Ccrd_001336 transcript:KVH96591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLRFRPFCKGFLFLPVSLIVILIELPLHLFPISSDGVFENSIKLSQQDILVCIETVPYPPQLILLIAIPIFKFTLKYNMMFLSDDRDHEMLFGLLQQLSMRQDESSSKA >KVH96573 pep supercontig:CcrdV1:scaffold_398:162283:164172:-1 gene:Ccrd_001324 transcript:KVH96573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAARQAANLLRLSSPGSASHAASVIQRRGLSGAADHHGPPKVAFWKDPMSPSRWKEEHFVILSLSGWGLLIFSGYKFFTKGKNKDKQVS >KVH96578 pep supercontig:CcrdV1:scaffold_398:181714:184559:1 gene:Ccrd_001321 transcript:KVH96578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MEAASASMPDEKGAPTRLEGKSTAMVVCWLLGIGCLFSWNSMLTIEDYYVNLYPDYHPSRVLTLVYQPFALITLAVLAYHEANVNTRKRNLFGYTLFFFGTLAILVLNLATSGKGGIGTFIGVCTVSAAFGLADANVQGGMVGDLSYMMPDFIQSFVAGLAASGAITSSLRLITKAVFDNSQNGLRKGAILFFVLCTIFELLCVFLYAFVFPKLSIVKYYRSKAASEGSKTVSADLAAGGIHSHSVAPDDNSTKQERLSNKQLLVENIDYAMDMFLIYLLTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVWDLVGRYVPLIECVKLESRSGLMIVVIARFLLIPAFYFTAKYADQGWMIFLTSFLGLSNGYLTVCVLTAAPKGYKGPEQNALGNILVLFLVGGLFAGVTSDWLWLIGKGW >KVH80067 pep supercontig:CcrdV1:scaffold_3980:53837:55881:-1 gene:Ccrd_025498 transcript:KVH80067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRDHGQVDPTNNFPLECIIRRVFRSSQGDECLLLCPIDTPVQILKSTNFEGWSAVSDDEVEFILPTASYALAKIHMHLVYSGFCYTARGGFCYTEDDIFECRTDDGQDLDGLPTEGVEITCFQLDGSHYMIYTPSDPLLFVAVKDDNGKLRIADDEVLEDAATISAIDEETEFNALVEEETALLGSLLGKR >KVH95010 pep supercontig:CcrdV1:scaffold_3981:49734:52810:-1 gene:Ccrd_002921 transcript:KVH95010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small-subunit processome, Utp13 RKDANDQVKQALGVLGTEEFRQLLEYIREWNTKPKLCHIAQFVLFKMRGIGELLEGLIPYSQRHYSRIDRLERSTFLLDYTLNGMSIVEPDMGVVEDPKDESLVGPTEAVAKGQERANEEVSKQRSLKKRKAKILNGGNKKIKGSVSTDGAVVSVMK >KVI01513 pep supercontig:CcrdV1:scaffold_3983:3282:19178:1 gene:Ccrd_020215 transcript:KVI01513 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1771 MSLLNKGASAIDKKLGATGKAKSLNPDAAEFVPFALRSPSGNTTDISSNFGNFGASTPGKAILNRSESSVSNNSDDEAHQFWRHQLPDDITPDFNVVGEEESQGINSIPFSTLSIADVNGISSFPTSTATVFMSKEQHDLSPRQINGCSFSEKMRYPLPAYGENPSSASFQQMPGKPWDMHGDQLLAGIRDGPPYNGDPGQEYIDDMLNEQQMEGTEVNPLGFLASQFPGFAAESLAEVYYANGCDLNLTFEMLTQLELQVDGSLNQNLNSKALSAPNLSALDFPALSPTDNQTRVPTFSGHDQQQNVNSYRSLEKELLMFKSSPTAPSLGATDFASAVRKMASQDSSIWKYDRNLSPNSTIGSSRSSLALASSYSGGHGRGSYGDRFANRSSSRSAPVWLETGEAVANMYSEMRGEARDHARLRNAYFEQARQAYLVGHKALAKELSVKGQLHNMQMKAAHGKAQESIYYQRNPDMQQQGNGRGGDERIIDLHGLHVSEAIHVLKRDLGVLRNIGRSIEQRMQVYICVGTGHHTKGTRTPARLPVAVQRYLLEEEECRVITKPQMQRHCKFRRYSPDRPFCATLLRSYFPDVTLQNTQNCYTTIASSSSSQSPWFTFIRTAIARNDLLFGKSIHALLITHGHITSDRFLTNNLINMYSKCGCLPSARQLFDVMPHRDLVTWNSILAAYASCCDSLSGNVEEGFRLFKLLLRSSDVSLTKLTFAPVLKLCLMSSYVWASECVHGYSAKIGLESEVFISGALVNIYIKFEKIREARLMFDNMAEYDRDAVLWNVMLKAYVKMGVQEEVCHFLSDFHRSEVVRPDVGSLQCVLGGFAEDDDRDRKYKEQVHAYAMKLSLTDDDFSKVVSWNKTISHHLQLGDHWTAIKCFLDMNRSNIKHDNVTLIVSLAIVVALGDLKLGEQIHGMALKSAFDINVSISNSLINMYSKMGRLTSARGIFFDMEEMDVVSWNSMITSHVQSGLVEESVDLYIKLLRDDLRPDHFTLASVLRACSSLSAGLHLTQQIHAHAMKSGLDSDTFVSTALVDSYSRNGGTDEAEFLLLDKKEFYLASWNAMVFGFISSGNSHKAWELFTLMHTNGEKPDEITLGTMAKACGFLASLKLGRQIHGYVLKLGFDPDLYLSSSLLDMYIKCGDMVDAHRVFQAIPSPDNVAWTSMISGCVDNGDAECALLIYHKMRQSGVPPDEYTFATLIKASSCLTALEQGRQIHANAIKSNCGIDTYVSTSLIDFYAKCGNIEESYRLFKRTHVQNIVLWNAMLMGLAQYGHGKETLNLFNDLKSVGNMLPDGVTFIGVLSACSHSGLISEAYSYFQMMTKDYGIEPEIEHYSCLVDALGRAGRLQEAEKLITSMPFEASGSMYRALLGACRLQGDMETGKRVATKLLELEPFDSSAYVLLSNIYAASNQWTKVADARKTMMHKNVKKDPGFSWIDVKNKAHVFVVDDRSHPEGEIIYDKVEDLIKLIKEDGYIPDTDYVLLDVEEEEKERSLYYHSEKLAIAFGLISTPSSTTIRVIKNLRVCGDCHNAIKHISKVCQREIVLRDANRFHRFYNGICSCGDYW >KVH80022 pep supercontig:CcrdV1:scaffold_3984:33956:34489:-1 gene:Ccrd_025500 transcript:KVH80022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-1,5-bisphosphate carboxylase small subunit, N-terminal MASISASSAAVATPGQLILVAPFTGLKSNAAFPVTKKSNNDFSSLPSNGGRVQCMKVLKELNECKKEYPNAFIRIISFDNVRQVQCISFIAHRPKGF >KVH80023 pep supercontig:CcrdV1:scaffold_3984:34007:36114:1 gene:Ccrd_025501 transcript:KVH80023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIIETDDTDKGIWVLLLAFVKLLQHLSRIGAPKHWQLPHGPVSSVILSCGSRYESVSYFFNLSGGHTFMHCTLPPLLGREEKSLLDFLVTGKAALDLRPTHESHIDKRGLYLDRYTMNQKLEMVGWLVENLRFVSNPLYVSGISYMGIIVPNVALEVYKGKNFYCN >KVH80021 pep supercontig:CcrdV1:scaffold_3984:5947:11807:1 gene:Ccrd_025499 transcript:KVH80021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKYMMIFLFIIFLQFIASSNSKSIIKNLPGFHSDLPFTLQTGYIGMGEDDSMQVFYYFVESQRSPLDDPLLLYLAGGPGYSGLYPFLYQIGPLSFNFESSKGDNITLELNPYSWSKAANVIFVDLPVGVGFSYAKTLEASRSSDSILAWLVENPRFLNNPLYISGISYMGIVVPNVALEIYNGKNFYSITRCTFLRNELGNQPQLNIKVNILPHKLVNVIAKGCLIVNPLTDKFISFNARFEFAHRLALISDDIYESTKATCGGNYVYNDPLNILCADNLKRVDECTSKITLWNILQPKCDARDMEPTCKTYTDTFIETWANNKDVQKALNIREGIIEKWETTNKCISYDFKKNDTICYSYDVWSSIPTHKQLLTKNCQVLIICGDHDMVFPYVGTEKWIRTLNLSIESPWEPWFVRNQVAGYQMTYARSGSSIKFATVLGTIPSMAEELLL >KVI04403 pep supercontig:CcrdV1:scaffold_3985:14592:17268:1 gene:Ccrd_017283 transcript:KVI04403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWYASSLSLNMFTGLTHFDEILQEADGIILSCGNLCFDLPPEKFQQQLVVFVCFKFQQQLFLHQEMEV >KVH96910 pep supercontig:CcrdV1:scaffold_3986:4753:29210:1 gene:Ccrd_000997 transcript:KVH96910 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MAGVKVNVPLPDEIRCKRSDGKRWQCSLPPMEGKIFCQAHYLQSRHRQLRKPVPDSLKLDRATRNKLVPKLEEESNDLPRRGGKRKRAETSTVPRKRGKKDKSILNPEKAVVKELKYGLMEIPQASPSSAQLKRPGSPKSKIGVAASQSVLRRPIRSKNVEPIPMATLQMLPSIKANIREAVKKKNHKKCHWCKWSSYRVLVKCSTCKTQFFCVDCIDERFYDKAAVKRECPVCLGTCWCRACIRERLKEDKSKELVVCSPEKDLVVHNPDMDLVVHNPEEELVVCSPEKDLVVHNPDMDLVVHNPEEELVVYNREKKFDKIQQLHLIRMLLPVMEKMNQEKIIALDTEAKNKGRMLGQLQVELAECSQKRKCSFCDDWVADLHRSCISCSYILCMLCCQEFSDGYLHSGLADLKNTKMIRSKAPRKKISWRFCADGSIRCPPKDLGGCGEAFLKLACFPPFNWTKDLEASARQIVFKYRFKKPFGIASSPCLLCEENNDMGSEKVGNLIKDIGLYFSTKQDFKDKNLEHFMKHWGEGQPLIIRDVLQSRPDLSWDFGFMFCEYLERSAESCKDTERVKSKSRSDWCEVQFGRKHILVGGKTHANVWQEFLKFKVRFSSGFFQEQFPDHYAAVMQALPVEEYLNPLTGFLNLAANLRTETQNLNLGPCIHISYGGPEDFMDSGHLTKLCRHPVDMMNILANATTDPISETKLNDVKILMKKYTSEDHLQSSSKIRTRLKLEEIFGSLTGLEDGERPCMTNSSLHLISDDSSTEDSGDEDFSQNKIRSCSTSRYGEEQVIDTCGAQWDIFRREDVPKLVEYLRKYSDKLRESYGSPGKVVHPLFDEVYHLDDLHKTRLKEEFDVEPWSFEQHIGEAVIIPAGCPYQMKKIKICKEKPKKSCVNVVLEFISPESASECIEVGNEVRQLPVNHKAKGKRIEVKKMVINGMHAAIEEIRTVSQTGKRRKAFEIWLQQKNVKIKGFGNEIYSYN >KVI09917 pep supercontig:CcrdV1:scaffold_399:140180:141365:1 gene:Ccrd_011702 transcript:KVI09917 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MRRGRSPRSPIVFLVAIVSISCFLVLILSVLRLPDASFSNGAGNAIILKKINKLPENSERIGKFGEMMIEMLPQDLSFTIFIPSKTAFERDLRLRINDSLVGEKANDTYAILSRVLGFTVVPWKILSESVPYGEEINCDSLSGLKLDISKDGDEMIIVNRVRSKRVDLRKGEMVIHVMDGVIMEADFEQSVQPDDDDDDDKD >KVI09893 pep supercontig:CcrdV1:scaffold_399:238653:242406:1 gene:Ccrd_011713 transcript:KVI09893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MWGWIMYEDAHLITSNCCLLSGLIKSGACQMGKKRTSAALKVQAEEKKPKVMVKHETSEEEESGEEETQEIEESESEEVKEGDEEEIEEDEEEEEDEDEEEEEVEEEKEEEEEEEEEEEEEEEGDESSKKETLRKLLDPLPKDKIVEFLKEAALNDHSIVASLTETAEVDPAHRKIFVYGLGWDATSDQVRSVFKQYGDIEECKVVTDKVTGKAKGYGFVLFKTRKSAKKALKKTQKKIGSRMASCQLASAGPAGPNNNQPTVKADPTGRKIFVANVASHVNPNALRSHFAKFGEIEEGPLGIDNATGKFKGFAMFVYKTAEGCKKALEEPNKVFDGCQLQCRQAVDGQRANRNIKNFPLVTPTGPGNLQQSDIANLAYGYGALYAPQLMNPVAGIMVGQNPMLVSALNQNSITPTPQSFGISGGYGINTVSPSMIASYGSQLGSPSLGEYQNSQVGRSSAGTTSAAPAARQQSGVGSLGANFPSYLGR >KVI09904 pep supercontig:CcrdV1:scaffold_399:55211:63232:-1 gene:Ccrd_011693 transcript:KVI09904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate lyase METGACIKGSDGGCPTSYFTRASSLRGQSVLPPCRASSYVALPRRSCTCKATLKEANNNIEAVEKVKVKSSEFSSEMELSNLTAISPLDGRYWNKVKDLSRYLSEYGLIYYRTLVEIKWLLKLSQVPEVSEVPSFSNEAQMKLQGLIDGFSDADAMQVKNIERITNHDVKAVEYFLKTKCQEDPEIAKVLEFFHFGCTSEDINNLAHGLMLKEAVNSVILPVMDDLLKAIYTMAKANAHIPMLSRTHGQPATPTTLGKEMVIFAERLSRERRDISQIDILGKFAGAVGNYNAHVVAYPDVNWPQVAEQFVNSVGLSFNPHIEPHDYMAKLFHSFIRFNNILLDFDKDIWGYISVGYFKQVTKAGEIGSSTMPHKVNPIDFENSEGNIGIANAILDHLSMKLPISRWQRDLTDSTVLRNIGVGLGYSLLAYKSALVGIGKLQVNEAALNKDLDHSWEVLAEPIQTVMRRYDVEEPYEKLKELTRGRAVTKESITEFINGLEIPVEAKTELLKLTPHNYVGVAAQLVEEACIRANV >KVI09894 pep supercontig:CcrdV1:scaffold_399:227767:228688:1 gene:Ccrd_011710 transcript:KVI09894 gene_biotype:protein_coding transcript_biotype:protein_coding description:WIYLD domain-containing protein MDAAIDAMAPFGFTEEVVVAKMRELLKEYGGQNGYGFIELDAYTVLLEALLADQEKGNCEGQNQEQIPYGKTSKANELVEGEKVEGEEGHEISPADISVCSSSRLTIPPPPQPQPQPPILDAVLPLPPLPPSPPVTDAALPPPENAQPRRRKPCHGWISDDNEEWHLIRLPSSINQAISLPETPPTQPGLTGARKNRTRRRSRWDLRPEDM >KVI09913 pep supercontig:CcrdV1:scaffold_399:177398:182321:1 gene:Ccrd_011706 transcript:KVI09913 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXTL2, alpha-1,4-N-acetylhexosaminyltransferase MVARTSLISRRTAQKFRQAAISAAGSLKIKLLLFCCFVFTLTLLASRMPSFLGWGQQSVPRLDRTSRKGYTLLINTWKRYDLLKQSISHYTSCPGLDSIHIVWSEPNLPSDPLVKFLNQAVKSNAGDGRYIELAFDINKEDSLNNRFKEIKNPRTDAVFSIDDDIIFPCSSVEFAFSVWQSAPDTMVGFVPRIHLINRSKENKGSYVYGGWWPVWWTGTYSMILSKASFFHMKYLRLYTNEMPTSIKEYVKSNRNCEDIAMSFLVANATGISSLGGHSNKRTECVNRFVGEFGRMPLVPTTVKAVDSRDTWFW >KVI09918 pep supercontig:CcrdV1:scaffold_399:208200:211710:1 gene:Ccrd_011709 transcript:KVI09918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVPNKQAEEAIVSGEMKGENEQDQGEGEAGVDQSMSGFKNFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIILQVFYGLLGSWTAYLISVLYVEYRARKEKENVSFKNHVIQWFEVLDGLLGPHWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALIHGQMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVFTLTLPSSAAMYWAFGDQLLNHSNAFSLLPKTRFRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFLPSWTAMYIVNVFIVVWVFVVGFGFGGWASMTNFIKQVDTFGLFAKCYQCKPPPPLQKH >KVI09905 pep supercontig:CcrdV1:scaffold_399:46959:50990:-1 gene:Ccrd_011692 transcript:KVI09905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MFMANLSLFFHAIVLLSWPATLAIASLAGNNTDHLALLAIKSSITHDPQGVLNTWNTSIHFCQWQGVTCGRRHPRVTILNLGSRGIVGSLSPQIGNLSFLRVIRLGNNTFNGVIPPQVGGLFRLQKLILYNNSFEGEIPASLSNCTRLNILGLGGNQLVGKLPQQLGSLLNLVILSINDNSFSGGTSFMGNLTSLEVLAAHNNHLGGNIPDVFGQLHSLKDFVFAGNRLHGTIPPSLYNLTSLRTVDLYENQISGGLSKDIGLQLPNLEELQIWRNKLTGSIPSSISNCSNLVHLDLAENGFTGKVDINFRHIPNLRFLVLYQNSLGSSEPDEMNFIDSMINCSNLELFLAFKNQLRGVLPSSLANLSSQLTLLDLGANFIYGSLPSGIGNLVKLETISMQYNQLTGIIPSELGNLGNLTQLYLNNNKFTGNFPDSISSLSLLLELDLSVNRLEGQMPQNLGNCKSLVKLYLPVNNLTGPIPRELFQLSSLIYLDLSQNHLVGPLPQDIGNLKSLTVLNLSKNDLVGEIPDAITSCTSLEYVYLEANSFAGPIAPAFRALRGIRDLDLSSNNFSGKIPIFLEQLNLSSLNLSFNNLDGKVPTGGIFKNASMIAINGNGRLCGGIPELGLPRCDLVARSKKGSRVILVVVPLFSFLVLAMALSLLFCWQRRKRQTQPPEASAGQPFSRVSYGSILKATDEFSEQNLIGTGTFSAVYKGILEAGGAMVAIKVLKLGNQGALKSFMAECEALKNIRHRNLVKIITSCSSIDFQGNDFKALIYEFMPNGNLDTWLHPSQEQEIETEEAAPRRLSLHQRVTIALDVAHAIHYLHQECEIPIIHCDLKPSNILLDNDMVAHIGDFGLAKFLPLKPHESSSIGIRGTVGYAAPEYGLGSKMTKEGDIYSFGILLLEMMTGKRPTDEVFKEGLNLYDCVKMALPDRVMEIVEPTVKEEMEAASVNRRSGEDEVRRWKRLEEGIMSLARIGKLH >KVI09896 pep supercontig:CcrdV1:scaffold_399:258003:258525:1 gene:Ccrd_011716 transcript:KVI09896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNHLVAVVSILNVYIQMLVCLFSLTIWLIELENRNSKRETLGQRTFTXRQIRSTKIQRITRESDVSYINELRVDRNVFENMSMENDDDRNVPPIPSPFNTTSHSSIPNKEEGTSKKRKRTNEISKLLEKIKNGIHEATNQMKGLASVISDSTTEMDGLSDELKRLGLGVME >KVI09897 pep supercontig:CcrdV1:scaffold_399:259614:260202:1 gene:Ccrd_011717 transcript:KVI09897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPPDTQLSLVQRFDPREIPSLSAEAQELVRTLLKNLNYEXPLLSTLNKSDKWLQCLEIPSTYELLYKSYTKANMKELLQKLMKHIDRDGIVPNKRLFLDALGALGSSYGNSIPTKVNLRMPADIT >KVI09898 pep supercontig:CcrdV1:scaffold_399:242952:246054:-1 gene:Ccrd_011714 transcript:KVI09898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSSSFFWKAFVLFLALVIQMNGVVSSSNADTDRLALLAIQSKITEDPQGVFRSWNDSLPLCQWEGVTCGRRHQRVTTLDLSGKGLVGSLSPYVGNLSFLRYMNFSNNQLHGSIPPEIGRLSRLQLLSLPRNSLTGEIPVNISSCSKLQHIDLSTNMLSGKIPTSFSSMLTIKSLSLGENKLTGGIPPSIGNLTSLQQLVLSLCPLGGSIPESFNRLNNLQLLSLGGNDLVGPFPLFIFNLSKLELLKFPGNQLVGRLPSNLCSSQPHLQTLEFEFNHFSGILPPSISNCSELESFDVSNNDFEGEIDIEFGKLQYLRWLSIGLNNFETEHLGGMKYFDSLSNCSNLELMQIAAVQLRRQLPNSFGNLTKLNFLVLPSSYISGSLPSSIGNLVSLSILSLSGNNFTGMIPENIGMLGTLGELHLDINSFSGIIPRSIGNLSSLTKMYLGGNKLEGAIPSTIGKCKILILLSLRDNNLRGSVPKELFQLSSLSIRLDLSRNNLSGVLPQEISNLKHLGSLYFSDNHLSGELPSSISRCISLELLDVSGNRFHGSMPVSLSSLRALQYVDVSGNNFSGHIPTYLQEIPLKLLNLSDNNFEGEVAVKGVFANASALSVSGNPRLCGGIPELHLPICRTKRSRKLSLRVVVAISLSSTVAGLGLVSFVLFYCCIKKRSKPSESTLTESFKKISYGRLFKATAGFSAANLIGTGGFGSVYKGVLDENDLTVAIKVLNLQRRGGSRSFMAECEALRNIRHRNLVKVITSCSSLDFQGNDFKALVYDFMPNGSLETWLHSSTTLDHLPHDQLHQLDLVQRISIAKDVAYALDYPHYRCGNVVVHRDLKPSNILLDADMVAHVGDFGLAKILSLDELSDANKSSSSHVRGTIGYAPPEYGVGNEVSPSGDIYSYGILLLEMLTGKRPVDPMFREGLSLHSYATSALAGGCVLQIVDPMLLHDVKEMCLISLLKIGVHCSFESPQDRMDIGTVIHELLSLTVTASSSFMELPTSTQKAGL >KVI09908 pep supercontig:CcrdV1:scaffold_399:95339:102681:-1 gene:Ccrd_011699 transcript:KVI09908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTDRDPKKEKKKAGTVEQMYQVIQQEGWGRLYGGLTPSLVGTACSQLVIDDDDDHEQKVNSAQVFAKSKAEATALRRKKEGAGDGSVGMLSSLMVAAMSGCVNVLLTNPIWVVVTRMQTHTKKSPLNQVQPIVSEHAIVGGIEPPPYATSHAVQEVFDEAGIWGFWKGVFPTLVMVSNPSIQFMLYETLLKKLKQRRASSQSNKGVFLLGALAKLGATVVTYPLLVVKSRLQAKQAIGVDKKHQYKGTLDAILKMIRYEGLHGFYKGMNTKIVQSVLAAAVLFMVKEELVNGARWLLLKDAANAVQSKPLR >KVI09891 pep supercontig:CcrdV1:scaffold_399:268165:278145:-1 gene:Ccrd_011719 transcript:KVI09891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup LALVSFVLFYCCKKKRXKPSESTLTESFEKISYARLFKATEEFSAANLIGTGGFASVYKGVLDENGLTVAIKVLNLQSRGGSRSFMAECEALRNIRHRNLVKVITSCSSLDFQGNDFKALVYDFMPNGSLETWLHTSTTLDHLPHDQLHQLDLVQRISIAKDVACALDYLHYHCGNVVVHRDLKPSNILLDADMVAHVGDFGLAKILYLDELSDANNGSSSHVRGTIGYAPPEYGVGNEVSTSGDIYSYGILLLEMLTGKKPIDPMFVEGLSLHSYATSALAGGFVLQIVDPMLLRDEVKERCLIXLVEIGVRCSSESPKDRMDIGTGLQSALPFPKPPLVHHNQDSSSTXPAVTVHWEGHSPAP >KVI09909 pep supercontig:CcrdV1:scaffold_399:91056:95127:-1 gene:Ccrd_011698 transcript:KVI09909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase complex subunit 2 MTATNGTTANSSTKSPKKANLLDHHSIKHLLDESVSEIVTSRGYSEDVRMSNVRLLIGVIIIVIALFAQFYNKKFPDNKNYLIGCIVLYPFQFVAFWCIMFLCMINPHTSSIILLLFQNRMCLIFDCCKYVLFNGILQLIIYTKEKNAILFTYPPAGSAYNSTGLMISSKLPRFSDMFTKDGVLVEGLFWKDVEGLVNDYAKEHKKSK >KVI09902 pep supercontig:CcrdV1:scaffold_399:69686:71527:1 gene:Ccrd_011695 transcript:KVI09902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein METSSQSLHLLSSNNMMQEIKSSKWTNEQNKWFESALAIFDTETPDRWSNVAALVPGKSEYDVRKQYEVLKADINDIEAGLIPVPGYFAFKSELVEDRGFYSFGNRLLRSRSFDQERRKGIPWTEEEHRRFLMGLQVHGKGDWRNISRNFVMTKTPTQVASHAQKYYARQHSDGKEKRRPSIHDITTVHLPENANFSGEREKFSPLVSTPNNRNPNIRVYNSGLYFQPTRYQVQG >KVI09907 pep supercontig:CcrdV1:scaffold_399:29371:29745:-1 gene:Ccrd_011690 transcript:KVI09907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MTKEGDIYSFGILLLETITGKRPTDLVFVEGLNLYGYVMMALPDQVMEIVEPTLLHDLEDEMEAANVNHRSGEDEARRWKRSEEGMISLARIGLACSMESPKQRTDASKIVHELHHINGIHTNN >KVI09915 pep supercontig:CcrdV1:scaffold_399:106381:107364:1 gene:Ccrd_011700 transcript:KVI09915 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MATATSHRPPPKLLDLEITIVSAKHLKNVNWRNGDLSPYAIFWLDPDRRLATKSDDSNSTKPVWNERFLIPLPSVSAAAVLTLEIFHSKPSDTPKPLVGTLRVPIDDLPNPEDSTVIRTFELRRPSGRPSGKIRLKLALRERPLPDYQVTPQPAYYYTTAPPPSYGRYPPSQPPYGTSLPPPPAVAPPAPSPPPPHPYHYGSYTDPYAGYYQGGYYSQPPPPQTPRPFTDRQSSYGGGGGGGSGSVSGGPSAPVDYAQYEQKQRPGKVASGPGLGTGLAVGAVAGGLAGLALDEGSRYEEEKIADRVESDLNARELDDYSDYRRVGY >KVI09892 pep supercontig:CcrdV1:scaffold_399:234652:235086:-1 gene:Ccrd_011712 transcript:KVI09892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology domain-containing protein MASLWRAVMGESPPNADDYDGVQYWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKESIVTRGSRPRGVIPVATCLTVKGAEDVLNKQFAFELSTRSETMYFIADSEKEKEDWINSIGRSIVQLSRSVTDNEIVDYDSNR >KVI09910 pep supercontig:CcrdV1:scaffold_399:168075:169525:-1 gene:Ccrd_011705 transcript:KVI09910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MEGKEEDVRLGANKFRERQPIGTAAQVPDKDYQEPPPAPFFEPSELSSWSFYRAGIAEFIATFLFLYVTVLTVMGVSKSPTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRTLYYMVMQCLGAICGAGVVRGFEGGNQYKLNGGGANVIAHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKEHAWNDHWVFWVGPFIGAALAALYHQVVIRAIPFKNK >KVI09920 pep supercontig:CcrdV1:scaffold_399:838:4045:1 gene:Ccrd_011686 transcript:KVI09920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MACLSLFFHAVIAVLLWPPTLAGNHTDHLSLLAIKKCISNDPQRVLDTWNTSLHFCQWQGVTCGRRHPRVTKLDLGSRGLFGSLSPHIGNLTFLRVIXLGXNXFNGVIPPQLGGLFRLQRLILKNNTFEGEVPASLSNCTSLNELWLARNKLVGKLPQQLGSLVNLMILTLHANSFTGGIPSFLGNLTSLEAISAFDNRLGGNIPDAFGQLYNLQXMGFGKNQLHGMIPPSLYNLTSLTVLSLPDNQISGGLSKDIGLQLPHLETFEIWGNRLTGSIPFSFSNCSHLAELSLAENSFTGKVNINFRHIPNFRHLGLFNNSLGSSEPDDMNFIDTMINCSNLQLLLVHQNQLRGVLPSSLGNLSSQLTVLSFHENLIHGLLPSGIGNLVNLERLGMQHNQFTGIIPSEXGXLQNLRLLYLXENNFXGSIPDSVGNMSLLNELWLNDNSLEGQIPRDLGNCRRLVTLDLSVNNLTGPIPKELFQLSSLSIILNLAQNHLTGLIPQEXRNLINLKTLDLSXNDLVGEIPDAIGSCKSLEYLDMKANSFEGPIPLRMSNLKGIRILDLSSNNISGRIPRPLEQLTLSLLNLSFNNLDGEVPMGGIFKNASAISIDGNNRLCGGVPELRLPKCDLVARSKKSFHVILVVIPLCSFLVXAIALSLLFXWXXRKRQKPPTGASLVEPFSRVSYGSILKATDEFSERNLIGTGTFXAVYKGILXAGXAMVAIKVLKLGNRGALKSFMAECEALKNIRHRNLVKIITSCSSVDFQGNDFKALIYEFMPNGSLESWLHPSPRQETETERRLSLRQRVTVAMDVANAIHYLHQDCETPIIHCDLKPSNILLDDDMVAHIGDFGLAKFLPLKPPESSSIGIRGTIGYAAPEYGLGSEMTKEGDIYSFGILLLEMITEKRPTDEGFEEGLNLHGYXMMALPDQLMEIVEPALLHDLEEEMEATNVNRRSGXDEARRWKRLEEGMISLARTGVACSMESPRERMDSSKIVHELRRIDGILAGMGT >KVI09914 pep supercontig:CcrdV1:scaffold_399:132469:133935:1 gene:Ccrd_011701 transcript:KVI09914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MPCESVMEFSATQIISKSTVFPATKSTLSDLKLSASDLPMLSCHYIQKGNLFPRPSIAITDLLLLLQQGLSRALAHFPPLAGRLITDANGYVYITCNDAGAQFVHASATHLTVNDVISPTHVPDSVKGFFSFDRMVSYDGHFNPILAVQVTELDDGVFIGFSVNHAVVDGTSLWNFINTFAEVCRGANLISKQPFFTRESVLISPAVLRVPADGLKVTFDEYAPLSERVFSFSRESILKLKDRTNNRKKFSCYGNGEINAAEVMGKQSNDPIKLSDEKVTTLIGNWIRNAVVTKTEPAREISSFQSLCALLWRGVTRARKFPNSKTTTFRMAVNCRHRLEPKLETLYFGNAIQSIPTYATAGDVLSHDLKWCAEQLNKNVLSHDDTMVRRAVHSWEQDPRCFPLGNFDGAMLTMGSSPRFPMFDNDFGWGKPVAVRSGRANKFDGKISAFPGKEGGGSVDLEVVLSPETMAALELDPEFMQYVSGQCC >KVI09916 pep supercontig:CcrdV1:scaffold_399:142587:143291:-1 gene:Ccrd_011703 transcript:KVI09916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MDEGMSDFGLKLSPTKGGPPSPAPAPAPAPAGAGAGAGGAGAGAKCGRWNPTNEQVKVLTDLFRSGLRTPTTDQIQNISSQLSFYGKIESKNVFYWFQNHKARERQKRRRVYVENLDQNDHHFNVSKQQVLESGRVIETLQLFPVNSLTFSDQPEKVRAYTNDECKENASPFMYTCATEMENHYQHRHPSLDLRLSFM >KVI09923 pep supercontig:CcrdV1:scaffold_399:26843:29827:1 gene:Ccrd_011689 transcript:KVI09923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFDASLAAPVNPAGDDSVVQDSSILGTEEAQVSDYDGSVLPPLSEMQPEEGFALREWRRQNALRLEEKERIEKELLNQILDEADEYKVNFHSKRKITCDSNIATNRESEKVYLAGRDRFHAEANQSYWKAIADLVPKEVAVIETRGAKKDQDKKPSVVMIQGPKPGKPTDLSRMRQILIKLKHDTPLHLKHSPPPPAAKDAPSAAPDAAVTTAEAVAVA >KVI09895 pep supercontig:CcrdV1:scaffold_399:232229:233096:1 gene:Ccrd_011711 transcript:KVI09895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTLAVTSIPMASNSLSFSRSHSITAIPLSLSPSSSSVSLSSSQTTSISPLIYCGRGDKKTAKGKRFNHSFGNARPRNKKKGRGPPRVPVPPAPPKKDKFDDGEVVKIEIDESIFSN >KVI09899 pep supercontig:CcrdV1:scaffold_399:246062:248656:-1 gene:Ccrd_011715 transcript:KVI09899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLLVVPIEKGIVYLIFISINSSRSLDHMQLTARPDDVVFGCITWPSGPGGWALFTVVIYLSEKKEGAVVDSEEREGLETWENMLTISLGFFFRSIGNLPSLTKVHQSRNFFEGTIPSTIGKCKKLMLVSFCENNLEGSVPKELSQLSSLSVNFQLPISISGCISLELLELSGNFFHGSLPRKQKALSLSCCGDFTVIYLRRSGLFFFLSSSLTLNLKQ >KVI09922 pep supercontig:CcrdV1:scaffold_399:21306:25105:1 gene:Ccrd_011688 transcript:KVI09922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAPEKEMAGTTAVRQRQHQMIGNLRNSSMREKEEELGLFLEMRKREKERHDLLLQNAEEEFDSSLGSRTGSSPIFSMPSAPTVRKTGADEFLNSENDKNDYDWLLTPPGTPLFPSLEMDSQKTVMNQNGTTKAHPNALKSRLSNNQSEATGRNMVSRQRASSPGPSVGLRRPSSSGGPGSRPSTPTGRPASSTSSRPISNPVSKSTTMSKSTSTTTSRPSRSSTPTSRPILSSSKPTVPARSSTPTRSTARSSTPTSRPSLSTSKPASRASTPTRRPATLSTVTKTSAPPVKSPTFSTSASNTTRNAAQPSAGSPSARPRPWKPQEMPGYTLDAPPNLRTSLTDRPILSMRGRAGAPSSRSSSIEPVPNGRVRRQSCSPSRGRLPNGVSRKSGTSVPVPSLNRAYAKANDNVSPGSYGTKMVERVINMRKLVPPMQDDKQSPRSNLSGKSSSPDSSGFGYKAHSTE >KVI09903 pep supercontig:CcrdV1:scaffold_399:66006:68692:1 gene:Ccrd_011694 transcript:KVI09903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, active site-containing protein METGVACFARGTILPTIASQHSTSFASPWSVSPSFTTRALRVMPRSSFKVSKAQNSSFVTKCEIGESLEEFLSKATPDKGLIRLLTCMSEAIRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFDALTHSHFCKYACSEEVPELQDMGGPVEAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVLAIKGFPGTHEFLLLDEGKWQHVKETTEISEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGVFTNVISPTTKAKLRLLFEVAPLGLLIENAGGYSSDGTKSVLDKVIVNLDDRTQVAYGSKNEIIRFEETLYGSSRLKSGVPVGASA >KVI09911 pep supercontig:CcrdV1:scaffold_399:151596:158604:-1 gene:Ccrd_011704 transcript:KVI09911 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MASADQTVLQFVPLSSSLSAKVHPLVIFNICDCFVRRPDQAERVIGTLLGSILPDGTVDIRNSYVVPHNESSDQVALDIDYHHNLLSSHQKVNPKEVIVGWFSTGFGVTGGSALIHEFYSREVANPVHLTVDTGFTNGEASIKAFVSVNLSLGDQQLAAQFQEIPLDLRMVEAERVGFDILKATAVDKLPTDMEGMEATMERLLQLIDDTYKYVDDVVEGHVAPDNNIGRFISETVSSIPKLSPSAFDKLVNDSLQDQLLLLYLSSITRTQLSLAEKLNTAAQVL >KVI09919 pep supercontig:CcrdV1:scaffold_399:194293:195039:-1 gene:Ccrd_011708 transcript:KVI09919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLAKLGIKPFSYGLIICVYENGSVFIPEVLDLTEDDLVEKFAFGVSMLTSLALVIHYPTIAAAPHMLISGYKNALAIAVETDQHDPSKFAVAVPAVVAGGSAEEKKEEPNEESDDELLL >KVI09901 pep supercontig:CcrdV1:scaffold_399:73055:76248:-1 gene:Ccrd_011696 transcript:KVI09901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRSYTNQRIHGMSDVVFECVLSYINDSRDRQSISLVCRRLYELEAQTRKHVTIALCYTATPKQLWRRFPFLESLKLKGKPRAAMYNLIPEDWGGFATPWVEELAKSFTCLKAVHFRRMIVKDEDLELLARERGHVLQVLKLDKCSGFSTDGLLHICRSCRNLKTLFLEESQVMEKDGNWLHELAMNNTLLETLNFYMTDLTQTRFEDLELIARGCKSLLSVKIGDCEILDLVGFFQSAVSLEEFGGGCFNDQAERYASVAYPPRLCRLGMNYMSTNEMPIVFPFASRLKKLDLLYALLDTEDHCLLLRRCPNLEVLETRNVIGDRGMEVLSRYCKKIKRLRIERGADEQEMEDEEGIVSQRGLTALSQGCLELEYIAVYVSDITNAALESMGMNLTNLCDFRMVLLDREEVITDLPLDNGIRSLLSGCQKLRRFALYLRPGGLTDVGLAYIGQYSQNIRWMLLGYVGESDTGLLGFSRGCPSLQKLEVRGCCFSEHALAIAVLQLRSLRYLWVQGYRGSPTGCDLLAMARPFWNIEIIPPRKVNAGEEREMEHPAHILAYYSLAGPRTDFPPSVIPIDSIAT >KVI09921 pep supercontig:CcrdV1:scaffold_399:8149:14615:-1 gene:Ccrd_011687 transcript:KVI09921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MKDRLHMADKKKIKSEASSSNSINNGGAESVVDDVGRRRSSCGYCKSGSNTSITHGLWAHSLTVYDYQALLDRGWRRSGCFLYKPEMEKTCCPSYTIRLKASDFVPSKEQVRVSKRMQRFLDGSLNVKKPDEQNETSRDSNGLSKKDTVSSVQGDVMVDNGAQMNNLEQVTYYLSKQIDSVVLACTDSGEFPSDVQFSKSSVKSVAPAKRKLQAETAEVLLYSSNISFQIAAALRRANKSIHQKDDHCVEPTPKVIAEVLSSHLDNLVSAIGLSVKACNGHINFYSTQVQSQADVIVGKPAVSKDSLTSSGSKGSSSNNSRKLQAKGQSLEIRLKMSSFDPEEYALYRRYQIKVHNDTPNHVTESSYRRFLVDSPLVFVPTSGDGAVPPCGFGSFHQQYLINGRLVAVGVMDILPKCLSSKYLFWDPDLAFLSLGKYSALQEINWVSENQKHCPSLQYYYLGYYIHSCSKMRYKAAYRPSELLCPLRYQWVPFDIARPLLDRKRYVVLSDYATLQNEAASSPHIIEDQTEQEEESFPEESNDIPIDADEEMSELAFEDSDDESGPETTSLSPAEMGKDVGNIVIGLKGMHLKYKDIREAFGAKERNYLETQLHKYVMAVGSDLSERIIYSLG >KVI09906 pep supercontig:CcrdV1:scaffold_399:31393:46925:1 gene:Ccrd_011691 transcript:KVI09906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMNWSTSIDCCDWDGVICNHFTGDVIALDLSCAMLRGTIHPNSTLFNLPRLQRLNLAFNDFTDSQLPREIGRFVDSLTHLNISQCGFTGQVPSDISLLRKLVSLDLSSNGVSLQLKPRVLNNLLRNSTLLRDLSLADVNIGLVLPTYLDIPPSLKLLNLSFTGLQGKLPDNIFNLRYLEKLDLSYNNDLDSRLPEVNTSTSVALEWLSLSSTNLSGEIPYSIGHLKYLNYLDLSLNKGLSGEIPYSIGHLESLNTLRLDYCGLAGSLPKSIVNLRHLTTLDLSSNMLNGSLPSGFFALRSLKLLSLTQNQFVGKIDVLDQDSSSQSFRQLVNLTHLDLSFNSFRGVWELDALLSSLTTLVELRLSQSGLSVVTNNANRYVNPDFQFLHLASCKLKVFPESLRAMKKLQSLDLSSNEIHGHIPDWATEIGGKGMFHLDLSHNFITGLPRFQWDGLTELHLESNRIEGPFPPSICNLSNIWYLNISDNLFGGVIPQCIQNIHSSLWIADLGNNLFHGSIPNAYKDCGQLQGLILNGNHLEGEVPSSLSNCQYLEVLNLGNNLLNGTFPSWLGDLPFLQVLVLKSNKLHGPIKTSSAGKAPFPCLQVLDLSNNGFVGDLPRQYFQNFNAMKNVVKNGTRSTYLNTGGMYYSIVVAVKGVEQNFPKIYVDYTIIDLSSNKFESKIPDIIGNLSSLIVLNLSHNSLTGRIPNALGNLSEIESLDLSRNQLTGEIPQSLAALTFLGFLNLSQNRLMGRIPEGKQFNTFEGNSFGGNPQLCGLPLPEKCERPHEPQLETDGDTESEFTWRAVMLGCGCGTILGLSQHLRSGCVRCTRRLLPLFVINCVWIIRSANIFDLVRSGLPSTVLLLVPFGSNLKRMSHSKLFLSILLFFFFIQYASFSSNNSHICSATQSHALLLFKQDLFSTDRSYKYSSVGSVCHDLLGSNYYPIMMNWKTNVDCCNWDGVTCNHFSGDVIGLDLSCGMLRGIIHFNTTLFNLPHLQRLNLAYNDLYRSQLPNEIGRFSNSLTHLNISQCGFTGQVPKDITLLHKLVSLDLSSNKFDFKLEPHVLNSLFQNSTHLRDLSLADVSISSVLPPYLNISPSLKLLYLRSTGLQGKLPNNIFNLQYLEKLDLSYNNLTAGRSSKANTSIGIPLKLLGLQGTRLSSREISDSIGHLKSLNYLDISATNLLGEIPHSIGHLESLNTLVLVSCGLMGPLPKFLVNLRYLTHLYLYANMLNGTLPSWLFTLPLQTVYLGNNMFSGSLPSQVFTMQSLKRLSLGYNQFSGVIDVLDQGPTLQTFQQLVNLTYLDLAHNNFSGEWELDTLLSSLKTLVGLDLSYSGLTVTTNNANHYVSPDFWMLYLSSCKLKVFPESLKAMKNLEYLDLSRNEIHGHIPEWVGKIGGNKLSYLDLSYNFITGLPPFQWDLHYLYLQSNLIQGSFPLSICNMSNLWHLEMSNNSFGGVIPQCFGNISSSLVRIGLGNNYFHGSIPHLYKGCGELQQLFLNENQFEGEVPSSLSECHSLMQLDMHSNKIQGPFPPSICNMSNLQYLRMSDNRFDGVILQCFENIISNPYLRMVDLGDNYFHGTIPSTYKDCGYLEGLMLNGNQLDGEVPSSLFKCQSLKVLDLGNNHLNGTFPGWLGDLPLLQVLDLKSNNFHGPIETSSMGRNPFPSLQVLDLSHNGFVGHLPRKYFQSFDAMKNVVKSHKTPEYLVVGGYYYSIIVAVKGVQLNFPQISVDYTILDLSNNMFEGQIPDIIGDLSSLIVLNLSHNNLTGRIPHALGNLSEIESLDLSWNHLTEEIPQSLAALTFLAFLNLSQNHLVGRIPEGKQFNTFEANSFGGNPKLCGIPLPEKCEHPHEQQLEDDEETESGFTWRVVMLGYGCGTLLGLVMGYLMLSTGKPKWFNAIADAGADMIQPRRNKRRYIFIGK >KVI09900 pep supercontig:CcrdV1:scaffold_399:86068:89829:1 gene:Ccrd_011697 transcript:KVI09900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTGKKKLQARAEEVIKQNKAERSSKQIDEDTAVLIQMSQELKEEGNRLFQKCDYEGAMLNYEKALKLLPANHIDVVSLRCNMASCYMQMGIGEYPRAIHECNLALEGAPKYSKALLKRARCYKALNKFECALRDVRDVLSIEPNNVTALEIQDSVKKATEEKGLNVEGTEVVLPPQDTEPSALKKVKVLKEKLKKRKSNRNEKKGAENVNQSKAEGEEVKEIKVEEGKDRKVEKVGDNKVKRVRDKRIGLVEVKKAEAKVVVEDENSIEEEKAVTRLVKLVLRDDIRWAHLPISCSVSLVREIIRDRFPGLEGVLIKYKDREGDLITITTTAELRLAEASSDPQGSLKLYLVEVSPDKEPLYKDFTSDGFPEASGLVSSVSKDGNVGKIGEVEKVTTCVEDWIVQFARLFKDHVGYDSDSYVDLYELGRELSSEAIEEIVTTENAQKLFDIAGAKFQEMAALGLFNWGNVHLNKARKWVVFAEDGTNESIKEQVKTGYQWAEKEYVSAGIQYEEALKIKSDLFEGFFALGQQLFEQAKLSWCYTLGTKPSLEVGPPAQILELYNKAEDNMEHGMQIWEELEEQRLNGLSLYDKYRDDLINLGLEGFLKDISTDEDAEQTANLRSQMYILWGTLLYERSIVEFKMGLSAWEESLTASVEKFELAGASPTDLAILIRNHCSNGTPSEGLGSKIDEIVQAWNEMYDVKRWQTGVPSFRLEPLFRHRVSKVHSVMESL >KVI09912 pep supercontig:CcrdV1:scaffold_399:183668:185086:-1 gene:Ccrd_011707 transcript:KVI09912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hs1pro-1, C-terminal MVDMDCKAKIVPSDSMPSRSPRPSNSSSNKLTVLVPPPPVRVLDLSPASDAACVAYDHYLRLPQIRQFWNKEEFPGWKNEAVLKPALQALEFTFRFVSIVLSDPRPYANRREWRRRLESLTTSQIELISLFCEDEDIGTAPIGNIGESGGVLKREGSSAEVWKLHEGGDATVLVSQISEESLLPRLATWKKSEDVAQKILYSIECQMRRCPYTLGLGEPNLSGKPSLNYDAVCKPSELHSLSKTPPDQANVNNYENRTLFTTHQILESWIYVAQQLLKRIESEIDSNDFENASSNSYILEQVWKLLTEIEDLHLLMDPDDFLRLKNQLDIKTTSETESFCFRSKALVEITKVSKDLRHKVPYILDVEVDPMGGPRIQEAAMKLYRKKHNAEKIHLLQGLQAIEAAVKKFYYSYKQLLVVAMGSVEAKGSLAFVTVDSSDSLAQIFLEPTYFPSLDGAKTFLGDYWSHEGRGR >KVI09890 pep supercontig:CcrdV1:scaffold_399:260420:266674:-1 gene:Ccrd_011718 transcript:KVI09890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLFSNNQLHGNIPPEIGHLSRLRGLSLTNNSFTGEIPVNISSCSKLWFLDLSTNTLSGKIPNIFSSTLMIKVLFMSNNNLTGGXPPSIGNLTSLEQLDLSVCPLGGSIPVFFNGLNNLQLLGLGGSGLVGSFPLFLFNLSKLEHLYFPNNQLLGRLPSNLCSSQPHLQTLEFEFNHFGGILPPWISNCSELETLDVSNNDFEGEIDIDFGKLRYLSWLSIGLNSFETGRLGGMKYFDSLSNCSNLELMQIGAVKLRRELPNSFGNLTKLNTLRLQSSYISGXLPSSIGNXVSLTGLYLVGNNFTGMIPESIGKLGSLEELQLETNSFSGIIPPSIGNLSSLIKVYLGRNKLEGTIPSTIGNCKKLLFVSIYENSLEGSVPKELFQLSSLSIILDLGSNNLXGVLPQEIGNLKNLGSLDLSDNYLLGELPSAXSSCISLEILEISGNLFHXSMPVSLSSLRGLQYVDVSGNNFSGHIPTYLQEIPLKQLDLSGNNFEGEVSVKGVFANTSAISVIGNPRLCGGIPELHLPICRTNDSKRSRKLSLRVVLAISLSSTVAGLALVSFVLFYCCKKKRXKPSESTLTESXEKISYARLFKATEEFSAANLIGTGGFASVYKGVLDENGLTVAIKVLNLQSRGGSRSFMAECEALRNIRHRNLVKVITSCSSLDFQGNDFKALVYDFMPNGSLETWLHTSTTLDHLPHDQLHQLDLXQRISIAKDVACALDYLHYHCGNVVVHRDLKPSNILLDADMVAHVGDFGLAKILYLDELSDANNGSSSHVRGTIGYAPPEYGLGNEVSTSGDIYSYGILLLEMLTGKKPIDPMFVEGLSLHSYATSALAGGFVLQIVDPILLRDEVKERCLISLVEIGVRCSSESPKDRMDIGTVIHELFSNLHP >KVH79763 pep supercontig:CcrdV1:scaffold_3990:29617:40593:1 gene:Ccrd_025502 transcript:KVH79763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDHVIGGKFKLGRKIGSGSFGELYLGVNVQSGEEVAVKLESVKTKHPQLHYEAKLYMLLQGGTGVPHLKWIGVEGEYNAMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQASIDEPDSGIPIHSSGSISSFVLYSFHVKNAAAYLPVQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKRLTPIEVLCKSYPTEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSGSSSRGRTVGKLPLNPGPSAERVEKTPGLIFLSHGDSDRGRISRTGSTSKRAIVSSSRPSSSGEPSESRSNRLGSGSGRISTNQRIHSQPGFESKSSSFSRAVPSSRGGRDDALRSFELLTIGNTKRK >KVH94905 pep supercontig:CcrdV1:scaffold_3992:4398:6564:-1 gene:Ccrd_003025 transcript:KVH94905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MEAWIRALMVVGFIFFPLVVQGRVRHYSFNVVMKKANRLCSEKSIVTVNGHSPGPTLYAREGDTVLVRVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLFWHAHILWLRATVHGAIVILPKRGVPYPFPKPDVEQVVVLGEWWKSDTEAVINQALKSGLAPNVSDAHTINGHPGPISGCKAQGGFQLSVENGKSYMLRIVNAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTIVIAPGQTTNAIVTANKNSGKFMMAASPFMDSPIAVDNKTAIATLHYTGTLSNSPTTLTMTPPQNSTATANNFIDSLRSLNSKKFPANVPMKIDQSLFFTVGLGINPCPTCKAGNGSRVVASINNVTFVMPTTALLQAHYLNQKGVFTTDFPRNPPDSFNYTGAPPKNLATXSGTKLYRLKYNSTVQLVLQDTSLISPENHPIHLHGFNFFAVGKGLGNYNPKVDPNKFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEIHTSWGLKMAFLVENGKGPNESLLPPPKDLPKC >KVH94906 pep supercontig:CcrdV1:scaffold_3992:40294:42460:-1 gene:Ccrd_003029 transcript:KVH94906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0503 MEKKTLENSVHFTTQPLPQPPVMNPTAIADQPPPVLPLQPPRSSFSCDRHPDENFTGFCPSCLCERLTTLDQSANAAPQRKSCDVRGRNTLWSLFSIHDDNKPRHSYSQQQILGNNGIVLETNRENEEEDHEEDHEEDPVHNEDNDGDEIRVTEELDVRSVNDLDNNIVEEVAEIDEKEDATVEVLKPMKDHIDLDSQSKKPSLSNLWSAASVLSKKWHKWRRKQKKSGVTSNGVILTATLPPKKPISRKYRETQSEIADYGFGRRSCDTDPRFSLDAGRMSFDDPRYSFDEPRASWDGYLIGRTFPRLPPMVEDVPVVHVPRCDTQIPVEDPSMADDNIPGGSTQTREYYLDSSSKRRKSLDRSNSIRKMAAAVVAELDETKVTPIVSNAKVSPATIDNNPVHGSSKFASVTFDNEPPRVSASNSLRDDCSETFELGFRDNGIGTAIGLEKKEMKKSRRWSWKIWGFIHRRNSGNKDDDEDRCSSVNGVGRSYSESWQDLRGEGNNEANGGINKKIFRSNSSVSWRSSSYNTRKSNSKMNGKIMGNEQGFGNGKNKRNGEEFVLERNRSARYSPTHIDNGLLRFYLAPMSGSRRGGIGITSRPTTNSHSISRSMLGLY >KVH94904 pep supercontig:CcrdV1:scaffold_3992:22266:24461:1 gene:Ccrd_003026 transcript:KVH94904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWIRALMVIGFIFFPLVVQGRVRHYSFNVVMKKANRLCSEKSIVTVNGHSPGPTLYAREGDTVLVRVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLFWHAHILWLRATVHGAIVILPKRGVPYPFPKPDVEQVVVLGEWWKSDTEAVINQALKSGLAPNVSDAHTINGHPGPISGCKAQGGFQLSVENGKSYMLRIVNAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTIVIAPGQTTNAIVTANKNSGKFMMAASPFMDSPIAVDNKTAIATLHYTGTLSNSPTTLTMTPPQNSTATANNFIDSLRSLNSKKFPANVPMKIDQSLFFTVGLGINPCPTCKAGNGSRVVASINNVTFVMPTTALLQAHYLNQKGVFTTDFPRNPPDSFNYTGAPPKNLATXSGTKLYRLKYNSTVQLVLQDTSLISPENHPIHLHGFNFFAVGKGLGNYNPKVDPNKFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEIHTSWGLKMAFLVENGKGPNESLLPPPKDLPKC >KVH94903 pep supercontig:CcrdV1:scaffold_3992:27135:29228:1 gene:Ccrd_003027 transcript:KVH94903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAEFAKSLFPKHLLKLLKAKKDPISALSLFDSAISSPNYTPTSSVFHHILRRISQSPKLLPQVARIVDLIEAKKCTCSEDIPLIVIKAYSNNAMIDHALDLFQRMTQIFGCDPGIRSYNTLLNAFADSNQFNRVDLLFKNFRKMGVFPNLETYNILIKICCKKKQFDRAEEMLNWMSERGMFPDAISYGTLINGLVKSGKMSSALKVFDEMIEKGVTPDVMCYNILIDGFFKKGDFLEANAVWEKLVNSSSVYPDVGSYNVMIGGFCKCGKFKESLKIWDRMKQNERQMDLFTYSTVINMFCELGNVEGGMEVFREMIAKRLSPDVAIYNVLLNGYCHAGMIKECFDLWELMEKKDCRNVVSFNIFINGLFENRKVEEAISLWQLLHENSSVVNSTTYGILIHGLCQNGYIDKAFSILKEAEDRRNNLDVYSFSSMINGFCNVGRLNDAVCMLDRMVMNGYSPNTNVCNTLMKGFIQARKIEDAIGFFDKMVTRGCSPNIVSYNTLIDGLCKAQRFDEAYHLVREILEKGLRPDMITNSLLMRGLCQDTKVDMALKLWHQVIDNGFVPDVIMYNIIMHGLCSVGNVRYALELYFKMGEYRCVPNLVTLNTLMEGFYKTRDCINASTIWARILKSGFRPDIISYNIVLKGLCSCNKTPDAIRYLNDAMAREIVPTAITWNILVRAVLRFQPPMQQCQY >KVH94907 pep supercontig:CcrdV1:scaffold_3992:30804:32285:-1 gene:Ccrd_003028 transcript:KVH94907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKAIQTCFITTKHEIFHKTDFSTKNHHHSRLFLCKSKDSDTNSPSSSPPPSEGDERKQDLLARIAMLQAQKVRLTDYLDERSAYLTQFAEEANVEFDQIMGNIESQMQAFEESAESSKMEIEENQKKLDEFENRFANERNEGLFFQSLGESKPVDKSIAKAAAQKMNELNKQTAGTETRRNIYLALIGLVSISIVESLISPSFDWRKGVVLGLILVGLLTQLTYEQKMLSETQSTESEKNQKKKE >KVH98732 pep supercontig:CcrdV1:scaffold_3993:826:3497:-1 gene:Ccrd_023040 transcript:KVH98732 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MVPLRIVHRPSQSPKIIRSSIVAPAESSHLLNRPSSHLLNPSIVHRPKSIIPPLPSSIVPPPLSGFAFTLRIVLLTLSFNLQPSIHLPGNYLDIANTELAPTHPIRLGLALNFSVFYYEILNSRDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMKEDGADEIKEAPAPKQGEEQQQQ >KVH98730 pep supercontig:CcrdV1:scaffold_3993:24186:25190:1 gene:Ccrd_023042 transcript:KVH98730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPQEEMQFLGLFGIYKETLKTIISWRKIFTQLTLTFILPLAFIFLAQMEVSALISRKMMHTDFERHITRPGTLRYDKLTDALNSEWIAYWLLKATYFTFLVLFSLFSTCAVVYATASIYTSRNLTFTDIISVIPKVWKRLTVTFVCVFVVLFIYNVLAILIVVFYMVHFPDNAFGIVVFHLMLILYLVGFIYMSIIWQMACVVSVLESSYGPKAMMKGNGLIKGKRWVAIVAFSKLYLSFFAVQIVFEVYVVVYGRTLGVWKRVGVAVLCVVVLSKVFLFGLVVQTILYLVCKSYHHENIDKSSLGDLLQGYHGDYVALNGDDNLELEQPQV >KVH98729 pep supercontig:CcrdV1:scaffold_3993:29121:39357:-1 gene:Ccrd_023043 transcript:KVH98729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, beta-type subunit, conserved site-containing protein MDSLLSPEGDSQRTQYPYVTGTSVIGIKYKDGILMAADMGGSYGSTIRYKSVERLKQVGKHSLLGASGEISDFQEILKYLDELILHDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFDPLWNSLVIGGVKNGQKYLGSIAKITEEGLTISQPYSLKTFWNFAAFQNPTVGAEGSW >KVH98733 pep supercontig:CcrdV1:scaffold_3993:47667:49465:-1 gene:Ccrd_023044 transcript:KVH98733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold LSYDSNGKEELGQQVQPFVYILKNFKVVENSGAFKVEKVDTYVPSIPMHRFQHASEKTVNDRLNDDNILTDIIGCLTAVGDVETVRGGFRKRDLEIISKLQVIFQVIFASKVYINLNTDYVLALADRFANVCPRLHLGVSSGKVKRTVEEEMFENRMNIQQLLQAEWSNKPKGYIITILGVIEHIETQYGWFYLGCQGCCRKVNLIDGVYTCASCNVAYKNALTLFKLHLSVRDDTGVVNCVVLHKLAERMVDSSPLKLLNKYDPDKDNLPREITSLCGQKFVFCLQLSDYNIKHGSDIVTVCKVFNPDYVLEKEYKPVDSTTETALSDMKNLDDIGGTPPCNNATPTTTRKREFIVND >KVH98731 pep supercontig:CcrdV1:scaffold_3993:3936:6782:1 gene:Ccrd_023041 transcript:KVH98731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MQQHFLLSYLRRPSRRYHTFNDTAAAATSSVVTSINWVRDRALDHAVEKERDLKPMLNVKNLLTSEPSKSLPISVISDKRASLGIPVRPIDFIRKYPSVFQEFLPGGLGIHPHVKLTPEILTLDAEEQLLFQSEIQKQDVANRLLKLLMIARINKLPLCVIDRLKWDLGLPHDYTQSVVPQFPDYFQVASNGNELELVCWSDEVAVSVMEKKSMTSFPLQYSRGFEVDKKFKKWVDGWQKLPYISPYKNALDLQAKSDESDKWTVAVLHELLHLLVPKRTDKDNLLFLGEYLGVRSRFKRALLQHPGIFYVSSKLNTHTVVLREAYKRDLLVSKPQHPLMILRSNYIHLMNMVMVNKSKNTNQAVRPKKDKTTQDSNEGEDNEWSDTASEQEGDEMVSSLSGSESEGVTDGDSMEGDRLVVNGRTPTKKTKFEGQVPLKQTGNISVQKGRKHISTDDSDQENGNRKIRGRTMKFNHNDSPRRNTPNARSSENDGRRRGKGKTRKNMFDEKMNSETNQMSDRRGRGTSRKNMLDEKTNLETNMMSERRGTRETNRSRFLGTEVPAAQKARGRSSERWGGSRTRESRFQTADV >KVI11179 pep supercontig:CcrdV1:scaffold_3996:22793:24868:1 gene:Ccrd_010413 transcript:KVI11179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MDIKKKDEQPLTTIMADDIHHRKRKRRRCICLSVIVLILVVALIILILAFTVFKVRKAVTTVNSVQINDFDASVNLLPPRVSLNVSLDLDISIRNPNKVRIKYRNSSANLLYKGQVVGDVPIAAGEIGSGDTKRLNLTLTVFADRLLTNLEVYADVIRGHLQVSTYTRISGYALLIDFSIGGNSIENNRRQLHRLPIGASNRLLGTCF >KVI09579 pep supercontig:CcrdV1:scaffold_3998:22078:29293:-1 gene:Ccrd_012036 transcript:KVI09579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFFANTPNQYLPFNENDSQEMVLFGMLAESSYGDEDSSHQTTSIPADKISYRGVRARSWGKYAAEIRDSTRNGVRVWLGTFDTAEEAALAYDQAAFAARGSMAVLNFPVETVYESLQAMDYRFEEGSSPVLALKRSHSMRRKAVIKEKKRKEMKLADDYNKDVLVLEDLGADYLEEILSLSESSEWLKWVPGKANIHLWRTLNNRRDGSIRNAG >KVI09578 pep supercontig:CcrdV1:scaffold_3998:3996:5589:1 gene:Ccrd_012033 transcript:KVI09578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MTEVLNNDYRVCEEIGRGRFGTVYRCYSNVSGHAFACKTIDKRLLSDPTDRDCLQKEPKILHILGGNSHIVQIHRLYEEENYLHMVIDLCDTPDLFDRISKRAGVFSESEAASIFSPLILSISYCHRLGIAHRDIKPDNVLFDSRGNLKLADFGSAEWFGMNDRGTMTGIVGTPYYVAPEVLSGREYNEKVDVWSAGVILYIMLAGVPPFYGETPAETFEAVLRGNLRFPTRIFRSVSPEAKDLLRKMLCKDVSRRFSAEQVLRHPWVVSEGQTRSMADLT >KVI09581 pep supercontig:CcrdV1:scaffold_3998:14671:16799:-1 gene:Ccrd_012034 transcript:KVI09581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSVVDDIIHRLLEVRGKPVKQFQLTESEIKQLCGLSRQIFLQQPNLLELQAPIKICGDIHGQFSDLLRLFEQDGLPPEADYLFLGDYVDRGKQSLETICLLLAYKIKYPNNIFLLRGNHECASINRVYGFYDECKRRFNVKLWKIFSDCFNCLPVAALISEKILCMHGGLSPDLNHLDQIRDLSRPADVPDGGLLCDLLWSDPHKDVKGWAMNDRGVSYTFGADVVTEFLLKHDLDLVCRAHQVCIYTHIHTLICNYVPSFGNDVERKHRLAFYTAFVKQNGVVEDGYEFFAYRQLVTVFSAPNYCGEFDNAGAFISVDDTLVCSFRILKPIVKKSKSKSMINLFGSSTAAKP >KVI09582 pep supercontig:CcrdV1:scaffold_3998:21600:21812:-1 gene:Ccrd_012035 transcript:KVI09582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYMMDIEEGTSPVLALKRCHTMRRKAVIREKKRKEMKLDDESKEVVVFEDLGADYLEEILSLSESSCSW >KVI09580 pep supercontig:CcrdV1:scaffold_3998:41253:48088:-1 gene:Ccrd_012037 transcript:KVI09580 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDPSFSPETSFSSPEYSSPDFFPNYPSTNHHFLPFNENDSEEMLLFGIIADTVAHHHHQPSTPTNHIKEDEILEKDCSYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEEAALAYDQAAYAVRGSAAVLNFSEDVVYESLRKMDYKYEEGSSPVLALKKKHTLKRKSTMKKKKKQKIEKEAKVGNVVVLVDLGREYLEELLGLSESCSSSSHSCSQPNYPPTDHHYLPFNENDSQEMLLLGVIADAQPPHTTNHPEEEEECFKPEKECSYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEEAALAYDQAAFSVRGSAAVLNFSEELVYASLRKMDYKYEEGSSPVLALKKKHTMKRKSTMMKKKKKKEEAKVKNVVVLEDLGREYLEELLGLSESGSGSGSGSSSSFRY >KVH79761 pep supercontig:CcrdV1:scaffold_3999:33590:49327:-1 gene:Ccrd_025504 transcript:KVH79761 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MTMRSSSGTINVAASAQKINVDDRISLRYYYRIADNILRQAAIFREEKNIIDLYVMLLRFSSLATETIPFHRDYRASLQRDKLSLKKKSLSALTELEDLKPAVRQKIDDLSRKHAYQSNGWSHLPQTNLLESSKPLPERSSVRKQTLMNSYSIDKAPQVNANGYVYQDTRIQMNAHANPLEEQARRLYLNIPRAKEETLSRHSILGPNGLRGQWQAPSINIGVRYPSNIDLTPVEIPRENKLHLVEDGVLIEKISSNLEQEKSTLESITLPNNNNQPCVDEPDSLISFEEKLETPKLDIIRQPSPPPVLAEVQDLLPTTSPLVKDTGPGSGNPTDGVVSPLELHISTKLMDHFMKLAKSNTNKNLETCGILAGSLKNRKFYVTALIIPKQEATSDSCQATHEEEIFEVQDKRSLFALGWIHTHPTQSCFMSSIDVHTHYAYQIMLPEAIAIVMAPRDSSRTHGIFRLTTPGGMGVIRNCPHRGFHAHDAPSDGNPIYKQCTDVYINPKLKFDVIDLR >KVH79760 pep supercontig:CcrdV1:scaffold_3999:28163:31804:1 gene:Ccrd_025503 transcript:KVH79760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLILINLIFSTITMLSNLLSHLIFNTTAYLLVIAIQGLRVPGEALQSAMEQIADLIRTCIGYVLEVVXEVISGIVGLVFDLVKEGVFXSVSATGAAAVGVVEKMKSGFDGLTEEIPAVVEGVVEMDGKIRAWKSKTNDPRIYKRIEIKHQLEVLNYIIGADDDNDIDRFGF >KVI00340 pep supercontig:CcrdV1:scaffold_4:918435:923761:1 gene:Ccrd_021331 transcript:KVI00340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPLHNQTKPLPLLPLPASTMADRHHIAAVELTELPPAKFGPADLDETDYSTSFADTTSSNQNNSATLSDTEVDSQFYSDVNGFSSPFDDFGTVLRVRKKRLTSHWRSFIRPIMWRCKWAELKIKQFESQASKYAKNIAARDLTKHLASNQPIPEGFTSRSMPYTCQRRRRKLMKRRKRVRVEETTDADTYMSQHVLFSYNESKKSDPDGVSITDDFDEPEQNTSSQEVLGLGIHNNGSFLEDKDNDMEHIFRKIDIAHSRVHKLKAQLALVISEHARMFPLSENSNHLGPSEAQTSSVRSPTFSTCNGDAVSVGGLYAMTQHTSEFDMGGLGMSEHAISNYGESFHIPDIIESTVGTLSSVDVTQNQSQIGDSCENILDNMLVHDETTEAERHTIRNCQHQLIVKQEVVKSEEEESTHLGPALEYDTATKDAVPQDQSTLKSCLVSEFQIPKNKRKRGERKAGSGNWSRQLPGEPDSQ >KVI00409 pep supercontig:CcrdV1:scaffold_4:957976:963322:1 gene:Ccrd_021329 transcript:KVI00409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MALRISPVTLQSERYRSFSVTKTANLRSPKFAMASTLGSSTPKVENTKKPFTPPREVHVQVTHSMPPQKIEIFKSIEGWAEENILVHLKPVEKCWQAQDFLPDPASEGFDEQVKELRARAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTPWAVWTRAWTAEENRHGDLLHTYLYLSGRVDMRQIQKTIQYLIGSGMVSFSFPFLKADPRTENSPYLGFIYTSFQERATFVSHGNTARHAKEHGDVKLAQICGIIAADEKRHETAYTKIVEKLFEIDPDGTVLAFADMMRKKISMPAHLMYDGRDDDLFEHFSAVAQRLGVYTAKDYADILEFLVGRWKVADLTGLSGEGRKAQDYVCGLPPRIRRLEERAQGRAKEGPVVPFSWIFDRQVKL >KVI00362 pep supercontig:CcrdV1:scaffold_4:313867:315531:1 gene:Ccrd_021386 transcript:KVI00362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFDLRMGMGLLLPSISINDKTFLCKTLVFPKPSSRTLTATKSQFSRSTKTPSLSVYFSRGKTNSDKEDTVQFDDLRQNWNLKRSLFEKLKDKDSDPVRILEEDGDWSKELFWAVVGFLNQTSRSNQVLQVFDKWKSKDDSRVSEFNYQRIIRFLVEEGLVEDAVLSLKEMKNVQNLQASSEIYDSIIHGFIEKGRFEDALLYLKEMEDLEVKPHTSTYNGLIKAYAKNGLYDDMATCVKRMESNGCIPDQSTYNLLIREFSVAGLINMMEKTHRIVISKKMDVEASTMVAMLEVYSNFGLWDKMEKVYKRILRLRPKVYLQDDLIRKVAAVYMENCMFSKLDDMGINLYSKTGNTHIVWCLRMLSHARLLSRTGMESVGREMDYKKVQWSVSVANIMLFGYAKMKDFERLKVVLAEMRARGVKPDIVTCGILWDAHGFGFDGVGELDSWRKMGFFGDLVEFKTDPLVLDAFGKGGFLRTVAELDHRRSKVWTYEHLIELVEQHRHKN >KVI00391 pep supercontig:CcrdV1:scaffold_4:401464:407416:1 gene:Ccrd_021378 transcript:KVI00391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane attack complex component/perforin (MACPF) domain-containing protein MDYSEKPIELRAFDSLGLGFDFASDFRLKFAKACPEGGRLVELDESRKRDIVLPGGGATISGVSEDIHCDKGDHVRFKSDVLEFNQMSELLNQKASVQGKVPSGYFNALFDLSGAWLNDVADAKHLAFDGYFISLYYLHLTASPLVLQERVKKSVPTHWNPALLARFIQTYGTHIIVGMGVGGQDIICIKQKSSSTISPTDLRGYLEDLGDSLFLDGTSPSLPERKTKDGKQKVPDVFNRMLQPHTIQFSNITETSTKDGLTIIGSKRGGDVFSDSHSKWLQTVGARPEAILFKFVPITSLLNGVPGNKPAPEDLQLFLEFQVPRQWAPLFCELPLRHQQRKTSYPQIQFAFLGPKMYVNTAQVSSSKKPIIGLRLFLEGKRSNRLAMHLQHLSSLPNIMTYSSPSSIIACKWRGSDEYESSAQFLEPIRWKSYSNVCSSVVKHDPNWLREEGTGGGVFIVTGAQLISKGKWPKTVLHLRLLFTHLPHCTIRKTEWAGAPSVGRKSSIFTNLSSTFTFTHRSVADAPKQLPATLNSGVYPDGPPVPIRSTKLRKYVDIEEVVRSSHDMPGHWLVTAAKLVLDGGKIGLHVKFALLDYPQEM >KVI00390 pep supercontig:CcrdV1:scaffold_4:391977:393215:-1 gene:Ccrd_021379 transcript:KVI00390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MDSSDDEGEEYLFKVVIIGDSAVGKSNLLSRYARNEFNMHSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRGTTFDSVSRWLEELNTHSETTVARMLVGNKCDLDNIRGVSVEDGKKLAEKNGLFFMETSALDSTNVKMAFEMVIKEIYNNVSRKVLNSDSYKAELSVNRVTLANNGDAGSKQDRSRYSCCS >KVI00395 pep supercontig:CcrdV1:scaffold_4:449910:451313:-1 gene:Ccrd_021374 transcript:KVI00395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKRTEEDGYEPSADEDDDDNDNGKRSESERVVKKRKREAPEYWCNLDPYWKGTEPLMHRYFHPDGSQTADSDDEVWGGHESCYSIVTSLLADGKIREHYVRINRWPQMYVSRRQDWGWEMSNWIYCYSSVRDADKKGGTGPYLPTL >KVI00346 pep supercontig:CcrdV1:scaffold_4:846926:847797:-1 gene:Ccrd_021336 transcript:KVI00346 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MGTAVKGLLKGLRYISEIFEADNEKEQEIQIGMPTDVKHIAHIGCDGPSTNAPSWVRTNVPHLFNMNDFQGSESGSSDLGGSKDSMSSQTRRGKSKQPKKHGAGGSVGSSPDIEPRTRRNRNSSGDSPMNETSRPRRTKNSGTGGESPSQEPGAKKTRKKKVGSNDGNTRPSRPKNRDPSTTDNAD >KVI00431 pep supercontig:CcrdV1:scaffold_4:276880:280243:-1 gene:Ccrd_021389 transcript:KVI00431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHDARHLRLLCLTFFLLLLSFTAADDAAVMAKLSTSLSPALSSWKSNTDFCKWEGITCDDSKLVTSINIPSKSLTGNLPSDINQLSQLKTLNLQRNSLSGDLPTLANLTLLEQLNLESNNFTSIPPGFFSGLTSLQSFSISDNSDLSPWVLPNDLTQSSNLQSFQASNANIMSSIPDFFDSFRNLQNLRLSYNNLTGNLPRSFIGSEIQNLWLNNQLQGLSGTLDVISSMTQLSQVWLQANSFTGAIPDLSNCTLLFDLQLRDNQLTGIVPQSLMSLPKLVNVTLQNNKLQGPLPVFPDGVKTELGTDTNSFCLPTPGPCDPQVTSLLEVAGALGYPMQLAQSWQGNDACQRWTFVSCDSSGKNVTTVSFGKQKFSGTISPAFANLTSLRSLSLNDNNLVGPIPGVLTSLPNLQLLDVSNNNLSGPIPDVPQKLKFMHDGNLLLGKDVSSGPPGSGLNGGNGGGGTPGGAAKKVSVSTGMVVGIVIGALVFVVIVSFVSYKCYTKKRNQKPKRVDDPENGKELVKASIIGGSSDALGVFSELQSQSSGDHSEMPVFEGGNVTISIQVLRQVTNNFSDENVLGRGGFGIVYKGELHDGTKIAVKRMESGVMGTKGLKEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLSQHLFEWREYKSDPLSWKQRVSIALDVGRGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKSAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELITGRKALDETVPDDRSHLVTWFRRVLISKENIVKAIDQTLDTEDEETLESICKVAELAGHCTAREPYQRPDMGHAVNVLGPLVELWKPSTHEEEDGNGIDLHMSLPQILQRWQADEGTSSMFDTSFTQTQSSIPSKPSGLADTFDSTNGR >KVI00350 pep supercontig:CcrdV1:scaffold_4:515326:516914:1 gene:Ccrd_021369 transcript:KVI00350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MVDPVVFYLNNIKKKETEKQDRNLNGIQNLLNLCGFGYWVQGFRCFPWLALNFHMANNLNLNPSTLQLVQNFANLPMVAKPFYGILSDALFIGGSHRLPYISIGVLLQGISWGSMALSPMASQALPILMACVLLSNLGASITEVAKDALVAEYGQKNKINGLQSYAFMALAAGGVLGNFLGGFFLLRTHKPKSMFLIFASLMSFQLVLSLKTREESFGLPHSSNHHESIILNIKKQSSDLMIAIRDDGIFRSLSWVVASIAMVPILSGSIFCYQTQCLNLDPSIIGMSKVMGQLLLLTVAVVYDRCWKTISMRKLIGTVQILYASSLLLDLVLVKQINLKFGIPNHIFAVCISGVAEMIAQFKLLPFQVLFASLAPAGCEGSLMSFLASALCLSSICSGFLGVGMASFLGITSADYSNLPLGIMIQFLAALVPVFWIQNVPTSQPADEKEKKTGLSKRRRKTRRVGRVVFNMVFVYRRERESEAQR >KVI00426 pep supercontig:CcrdV1:scaffold_4:93400:106518:-1 gene:Ccrd_021403 transcript:KVI00426 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MSRKMLIDGDVGETTEETHYDFDLFVIGAGSGGVRASRFSAQYGAKVGICELPFHPISSEVVGGVGGTCVIRGCVPKKILVYGASFGPEIQDAREYGWQVNEKIDFDWKKLLHKKTEEILRLNGIYKRLLSNAGVKLFEGEGRIVGPNEVEVIQLDGTKLSYTAKHILIATGSRAARPAIPGQELAITSDEALSLDELPKRVVILGAGYIAVEFASIWRGMGSTVNLCFRKELPLRGFDDEMRALVARNLEGRGIILHPQTNLTQLVKTEDGIKVTTDHGEELMADAVLFATGRLPNTKRLNLQAVGVEVDSAGAVKVDEFSRTNVSSIWAIGDATNRMNLTPVALMEGTLFAPDYSNIPCAVFCIPPLSVVGVSEEEAIEKAQGDILVFTSTFNPMKNSISGRQEKTLMKLIVSAETDKVIGASMCGPDAAEIMQVGIHPSSAEEFVTMRSVTRRIAAAGKPKTNL >KVI00398 pep supercontig:CcrdV1:scaffold_4:499874:510144:-1 gene:Ccrd_021371 transcript:KVI00398 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal-processing peptidase S41A MVVAKDLKKALDGFSISVSLRNLSGNKFSGGIKDRVIKQILASIRNPIHFGGSQMEVIGGSSSVSLLISSRYNLCFTSKVLPWNSLLVSPVKARLCNSLSCVSVNASDRLAAFNSKRVHEDRSFLRLVRRFNKRFAFEHLVHFRRKGRLLSKVRKHTISLWKLAESSEKFKNLFPVLVVPIVLGMMLFMTMTIPVSRSPSWALTEENLLFLEAWRTIDRAYVDKSFNGQSWFRYRENALRNEPMNNRQQTYAAIKKMLGTLDDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPTGNDGALSGLMVISASPGGPASRAAISPGDLILAIDDASTETMDIYDAAERLQGPEGSEVQLKLRSGPEIKNLSLTRENISLNPVTSRVCVTPGLGKGVSKIGYIKLTSFNQNASGAVKEAIETLRRDNVDAFVLDLRNNSGGLFPEGIEIARIWLDKGVIVYICDSRGVRDIYDSDGTHAIAASEPLAVLILAGALKDNKRAVLLGEPTFGKGKIQSVFELSDGSGLAVTVARYETPDHIDIDKVGIIPDHPLPASFPKVDDGFCGCIGDPASGCFLNRVGLFSR >KVI00360 pep supercontig:CcrdV1:scaffold_4:337658:349989:1 gene:Ccrd_021383 transcript:KVI00360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLSLQSDPRQVQQLQQQQQQTARVSYNNGDHQRESSYLLSTETAPTSSNATLKLSQGLESREATEDMLMSVAHQSYKTGDFRQALDHSKAVYDRNPMRLDNLLLLGAIYYQVSSLHAGWFITFCNQSLANLDKTCSPSFQLHDFDMCIAKNEEALCIDRNFAECYGNMANAWKEKGNIDVAIRYYLVAIELRPNFADAWSNLGNAYMRKGRLSEAAQCCRQALSLNPHLVDAHSNLGNLMKAQGLMQEAYSCYLEALHIQPTFAIAWSNLAGLFMESGDLSRALQYYKEAVKLKPTFSDAYLNLGNVYKALGMAAEAIVCYQRALQSKPDYAMAFGNLASIFYEQGSLEMAINHYKQAIACDAGFLEAYNNLVSLFSAPILGNALKDAGKVEEAIHCYRQCLSLQPSHPQALTNLGNIYMEWYIGFFTLKYASKLVLKEMFVIFRNMMTAAVQCYKATLSVTTGLSAPFNNLAIIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVNEAVQDYSRAIVIRPNMAEAHANLASAYKDSGNVEAAIKSYRQALAIRPDFPEATCNLLHTLQCVCDWDDRTRMFVEVDGVLRRQIKTSVIPSVQPFHAIAYPLDPVLALEISRKYASHCSVIASRFSLPAFNHPLPLPIKVSGGNKRLKIGYVSSDFGNHPLSHLMGSVFGMHNRENIEVFCYALSPNDGSEWRIRIQSEAEHFKDVSAMTSDMIARLINEDQIQILVNLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGANYIQYLVTDEKNLDVLDPNCQPKRSAYGLPDDKFIFACFNQLYKMDPEIFMHWCNILKRVPNSALWLLRFPAAGEMRLRACMPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIANSMKEYEERAVSLALNPSKLQDLTKRLKSARLTCPLFDTSRWVTNLERSYMKMWNLHCDGRQPHHFKVKENDSDYPYDR >KVI00348 pep supercontig:CcrdV1:scaffold_4:150130:153078:1 gene:Ccrd_021400 transcript:KVI00348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MVSSDKPNPVYDIRISTVAPGFISGHDAAQELSTMDLAMKLHYLRFVFYFPSPAFDGFTILNIKESMFNWLSHAYIPCGRFRRSDSGRPYIKCNDSGVRIIEAKCHLGLDEWLESRDDSRNTLLVPNNVIDAFSAMGFIKLWAQAIVGHYPAQPLTMAQPQVHARNCQSLNPSPDPLSVKRVDPVGDLWSTSNTSKLETFSLCISTSELIRLQAKICREKGERKISPFECICVVIWQCVAKARQGLGPEAVTICRSDMRNRAKGIITNKSQTISLVKTDLSVAKSDPMELGLLIMNQAVDERMKIEETIERDDELPDFLIYGANLTFVDLSDVPFYEMEVRGQKPAYVNYAIDNIGDEGVVLVLPTPKNCPDGKMVSVTLPENQVVELKSTLKEDWCIA >KVI00347 pep supercontig:CcrdV1:scaffold_4:836893:837204:-1 gene:Ccrd_021338 transcript:KVI00347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MRDVWSDRRDVGRGSKLCFNGLYVVLYAACMGQGCVYCVLMMFTCHWLYACLFRERLRAKYGLPADPCNDCCVHFCCHA >KVI00354 pep supercontig:CcrdV1:scaffold_4:543432:544429:1 gene:Ccrd_021367 transcript:KVI00354 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like protein MAQTVGRNVAAPLLFLNLVMYFITLGFSSWCLNKFINRQTNHPSFGGNGATEFFLEFAILASVLGIVSKFAGGNHLRAWRNDSLAAAGSSSLVAWAVTVLAFGLACKEINVGGHRGWRLRIVEAFIIILTLTELLYVLLVHAGLYSSRYGPGYRDTDYGMGTHPSETGAKGTTGVAGTRV >KVI00406 pep supercontig:CcrdV1:scaffold_4:1007697:1008218:-1 gene:Ccrd_021326 transcript:KVI00406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSDAQSHYKTHKFFLICNYILLGAASSCIFLTLSLRLFPSVAGALLVLLHIGTIVGAISGCNAVSAGSNRWYAAHMVATVLTAIFQGSVSVLIFTTTSNFLGALKSYVREDDAAVILKMAGGLCVLMFCLEWLVLTLAFFLRYYAVMEGSRNGGKVQAEDPKGWTPPFHV >KVI00355 pep supercontig:CcrdV1:scaffold_4:595006:615265:-1 gene:Ccrd_021360 transcript:KVI00355 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, C-terminal PSLYLTSGVLNSLRSTRQPKQIYCFKDRKTLTTNTKATKRLKESKNINKEKDYPHVLWWKEKMQMCRKTSSMQLIKRLTYSNLLGLDDNLRNGSIKDGTLNWEILKFKSRFPREVLLCRVGEFYEALGFDACILVEYAGLNPFGGLRSDSVPKAGCPVVNLRQTLDDLTRNGFSVCIVEEIQGPIQARSRKSRFISGHAHPGSPYVFGLVEDDHDFEFPEPMPVIGVSRSASGYCIVSVLETMKTYSSEDGLTEEAVVTKLRTCHYHHLFLHKSLKNNSSGTTRWAEFGEGGLLWGECNGRHFEWIEGNIINEMLFKVKELYGLDDEVTFRNVTIASEKKPHPLHLGTASQIGAIPTEGVPFLLKILVPSNCTGLPAIYIRDLLLNPPAYATASTIQAICKLMSNISCSIPEFTCISPSKIPLFLTYVICCLVCLIIHGSPILQLVKLLELREANHVEFCKIKSVLDEILQLHKNSELNEILRLLVDPTWVATGLKFDIEILVNECESISHTIGELISLDGESDQKLSSYVNIPNDFFEEMESSWKSRVKKIHLKEAYEEVDKAAEALSLAVTEDLLPIIFRIKATTAPFGGPKGEILYAREHRAIWFKGKRFTPSVWAGTPGEEQIKQLRPSIDSKGRKVGEEWFTTVKVEDALTSEGRRRKWVFPTLIQSSEETEQTYGNREMKITGLSPYWFDAAEGSAVLNTVDMKSMFLLTGPNGGGKSSLLRSICAAALLGVCGFMVPAESASIPHFDSIMLHVKSYDSPADGKSSFQIEMSEMRSIITRATSKSLVLVDEICRGTETAKGTCIAGSIIETLDSIGCLGIVSTHLHDIFNLPLTTKNTVYKAMGSNYLNGKTKPTWKLIDGICKESLAFETAQKEGVPEAIIQRAEELYNSVYTKDLNSGSGNTKVQPFPCKESHKSCNQHKGIQEGPISCVDKSTNQMEKFGEDVENAVCIVCNRKLIELCKTKTTPEIAVRCVVIAPREQPPPSTIGASSVYVILRPDKKLYVGETDDLQGRVRAHRSKPGMQNASFLYFLVPGKSMACQLETLLINQLPNHGFRLANIADGQHRNFGTYDLSLESLSPQSHR >KVI00372 pep supercontig:CcrdV1:scaffold_4:246373:256290:-1 gene:Ccrd_021391 transcript:KVI00372 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MIFTTALLNPLFQPPSMSIAITSTNVVGSQSYHRRKRHSFCHQYRCVNVNTENVFFRSKSGRRDRVSLYHRIRVYSESKMEDKEIRKYSPLLEGPLLSENHVLTDELKTIPDIWRSSAERFGDRVALVDPHHDPPTNMTYKQVEQEILDFSEGLRVIGIKPCEKLALFADNSCRWLVADQGIMATGAINVVRGSRSSVEELLHIYNHSESVALAVDNPELYHRIAEGFISKATVRFVILLWGEKSSLVSNMMEGIPAYSYKEIIDMGHEHRAVLVDSHDAREKYVYEPIKSDEVAALIYTSGTTGNPKGVMLTHSNLLHQVHNLWDIVPAAPGDRFLSMLPPWHAYERACEYFILSLGIEQVYTTVKNLKDDLRHYQPQFMISVPLVFQTLYSGIQKQISTSSTIRKLVAVSFLRISMVYMEFKNIHEGKYLSRDQKQPSYIAAMLDWLYARVIAAILFPLHLLAKKVIYSKIHSSIGLSKAGISGGGSLPLHVDKFFEAIGTKIQVGYGLTESSPVVAARRPDCNVLGSVGHPIRHTEIKVVHDETGEDLPPGSKGIVKARGPQIMQGYYKNPIATKQALDEDGWLNTGDIGWISPSYSIGRSRHSGGIIVLEGRAKDTIVLSTGENVEPEQLEEAAMRSSLVQQIVVIGQDQRRLGAIIVPNKEEILLAAKSSDSGVAELSKEQMVGLLSAELRKWTSDCSFQIGPILVIEEPFTIDSGLMTATMKIRRNRVAELYKEQIDDLYK >KVI00377 pep supercontig:CcrdV1:scaffold_4:1102516:1110289:-1 gene:Ccrd_021318 transcript:KVI00377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MASRAILRRRRLLSDYLHISSRSIQGLSHDFSTKYSDPGDSSSRTDSPLRDLGSRKYDNPTIRRREEFKNFSELGFFRPHKFYTITGNGTLGDMLSMRIQLVSQSSSFASIATAHQPNLGGEDEDNEKVSKRKEASPEECDQAVEGLSSAKAKVKAKQVSEPPKAARSIFQRIWAMLLGIGPALRAVASMSREDWAKKLVHWKNEFVSTLKHYWLGTKLLGVDVKISSRLLLKLASGKSLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTAKEMAKEVQNTRSGEIKKTAEDLDDFLSKASLQLIVRKGAMVANEEILGFAKLFNDELTLDNISRPRLVNMCKYMGIQPYGTDAYLRYMLRKRLQWIKNDDKMIQAEGGVDALSEDELREDCRERGMLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKLKPEEVVSAALSSLPDEVVDTVGITSLPSEDSLSERRRKLEFLEMQDKIIKEEEEKEEEEQAKMKQSVGGDTDVALEEMINATASEVQEEARAKALDKQEKLCKVSEALAVLASASSVSREREEFLRLVNKEKEIDDVDAKIGDRWRVLDRDYDGKVTPEEVASAAMYLKDTLGKEGVQELISNLSKDKEGKILVEDIVKLGSRSEEAD >KVI00339 pep supercontig:CcrdV1:scaffold_4:890669:906731:-1 gene:Ccrd_021332 transcript:KVI00339 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MITEAAIDCLLPSWWEVQVTVAAAFFFIVAYWFFAYDGDISTGDRSLIDNSSLVSGDVIDDKEKIDQLKGDPHGSSAYIIKVELLAAKNLIGANLNGMSDPYAIITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVSIYDWDIIWKSAVLGSVTIPVENEGQTGAVWHPLSSSPGQVCLHIKTINLPTNSSRGLKGYAGANPRRRNSVYNLKPGPIVVVQHSYSCAMERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAVINPAITIVLRMGAGGHGVPPLRSPDGRVRYMFASFWNRNHAVRTLQRSAKNYHSMVEAEKKEQQQSALRASSSSLNRKKQVKVLEESVPKKHQPFVQEDVLTGIYNDVFPRTAEQFFDLLLSDSSNFTSDYRSARKDTNLNMGQWHSADEYDGQVREITFRSLCNSPMCPPDTAMTEWQHVLLSSDKKSLVFETVQQAHDVPFGSYFEVHCRWVVVTTSESSCSIDVKVGVHFKKWCVMQSKIKSGAINEYKKEVELMLEVARSCINSKTVDDETESVAASLLTTEYDT >KVI00405 pep supercontig:CcrdV1:scaffold_4:1015018:1023401:1 gene:Ccrd_021325 transcript:KVI00405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHESSPPSVSRDWFFPSPSPSFIHSSSDHLHKTPTRRFSTYPRPSSSSPASSSHRDVKYAGFSRRTNFSRRYQRSPAPEVAVSSLAQQIPQKLHVSDDKTSIRNTFIDLAGGRLKFRWHMAFLVAVGSLSRFHLLITTFSSLLHKNISLHNQANDLQAQIIKLNGRLQVCNLLEPMDFDDFNSQETINLPNKSLKTIALVVSVTLLSIPFVFLKYVEYISKSKSPDNLKEEASLNKQLAYKVDVFLSVRPYSKPLALLVATLLLIGVGGLALFGVTDDSLADCLWLSWSFVADSGNHANSEGVGPRLVSVSISFGGMLIFAMMLGLVTDAISEKFDSLRKGRSEVVKKDHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFDFRQTSVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLTGVKDGLRGHIVVELGDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQVWEDILGFENCEFYIKRWPQLDGMHFEDVLISFPDAIPCGVKSVSCGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPVALPTVKEAPFIHISRPARKPQKILLCGWRRDIDDMIVVWRGNLPKEFIGPNSMEKILLCGWRRDIEDMITVLDAFLAPGSELWMFNEVVEGVREHKLIDGGLDIERLMNITLVHREGNAVIRRHLESLPLESFDSVSRSRFKILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAKATQGRKGSFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSRISDYVLSNELVSMALAMVAEDRQINDVLEELFAEETLTLPPNSTSECDLGNEMHIRQADLYLKEGEELSFYEILLRARQRREIVIGYRIGNVQKAIINPPGKLEKRRWSVKDVFVVIAEKE >KVI00407 pep supercontig:CcrdV1:scaffold_4:993133:1000351:-1 gene:Ccrd_021327 transcript:KVI00407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MQQAPICNPTTTTARWWSKDSIAIVTGANKGIGYALVKRFAQLGLTVVLTSRDPSKGVKAVDSLKVLGLDGNICFCQLDISDQASVRSFVSWFRSKFDAFDILKLKNTKMKAILGDEKRLSEDRIDMVVGVFLQDVKDGRWERQGWPDIWTDYSVSKLALNAYSRVLARRYEGLVSVNCFCPGFTQTAMTDGQGKHSADDAAEMAASLALLSPSRLTTGKFYTGSTTRGMHSKL >KVI00385 pep supercontig:CcrdV1:scaffold_4:794231:798539:1 gene:Ccrd_021343 transcript:KVI00385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase MESETPTKASVVSSLMAVKRNSGKTFSQIAAEIGLTNVYVAQLLRRQAQLKPETAPKLLAALPGLTETHILQMAEPPLRSYDPTIIQDPTIYRMSAIDFFCAVDKVKGVDGKDRVVLTFDGKYLPHTEHKVEHMVSRLKLQEDLKGPGT >KVI00432 pep supercontig:CcrdV1:scaffold_4:2517:4615:-1 gene:Ccrd_021412 transcript:KVI00432 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MGPPHSHANVVCAFAASPEPSIGFPVTGIIDGKFESGYLCTVMIGGEPLQGILYQCNGHPSYQTSDHHGVVTQGQTTSGNAARPSTMVRRRRRKKSEIKKRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDRDISRMIGELWNNLTDSKKAVYQEKAMKDKERYRIEMEHYRESLRTGRLVSNAVPLLQQLFKRDVNMMEFNETFETDGGVSPQTPENELTSGDKSSFEDEGKTADTDSNFGLPLVGAEIASMQTVSGGLMKTVIGGEEFPRDIEMDPKESNQQESISVHKNESKHDEKSRHDEPTMPVTIDENEKKHQFASMLEKMPVEFDGTVEHRTLAVEELEQVTSETQVQYEPKPLDPIESAAVSMNLEQEPHVLQENIPKVIDDGAQIEAAPTNEVELRPPEGRGLD >KVI00370 pep supercontig:CcrdV1:scaffold_4:199183:201071:1 gene:Ccrd_021397 transcript:KVI00370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase MALTVEKTSSGREYKVKDMSLADFGRLELELAEVEMPGLMSCRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEFEKTGKVPDPTSTDNPEFQIVLSIIKEGLQVDPKKYHKMKDRLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAAMKQAGARVIVTEIDPICALQATMEGLQVRTLEDVVSEADIFVTTTGNKDIIMVSDMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYGKEVYVLPKHLDEKVAALHLEKLGAKLTKLSKDQADYISVPVEGPYKPAHYRY >KVI00434 pep supercontig:CcrdV1:scaffold_4:10754:12727:-1 gene:Ccrd_021410 transcript:KVI00434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAQHQTTLILAITMAVLYGTAMAQSSGCTSVLISMSPCLNYISGNSSTPSSGCCTQLASVVRSQPECLCQVLNGGGSSMGININQTQALELPKACNVQTPPTTSSPTNSPSGTTPSSSGNPSGTDTETNTVPSTDNGSSDATSTRFASIPIVFSLLVVAYTMV >KVI00375 pep supercontig:CcrdV1:scaffold_4:233857:235686:-1 gene:Ccrd_021392 transcript:KVI00375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHHHLLQSLRFCATHRRPSDGKTLHAHIHKIGLDQYGALPNTLISIYAKCGLIKDALQLFDQMPHRDQVSWASILTAYNQSNLPNRALSVFAHSFFRDCLQPDHFIFASLLNSCAALNALQIGHQVHAQFVLSPFSSDDVVKSSLVDMYAKCGSVDVARAVFDTISSKNSISLTAMISGYARSGRKSEAIELLRSMKEANLFSWTALISGLIQSGHWVGAFHLFIAMRKEGIKIIDPFILSSVIGASANLAALELGKQVHCLVLGLGFESSLYVSNSLVDMYAKCSDIIAAKTAFNSIVRKDVVSWTSIIVGLAQHGKAKEALVLYNDMISTGLNPNEVTFVGLIYACSHVGLVDKGLELFKSMVEYYGLNPSLQHYTCLLDLFSRSGHLDEAENLLNTMPFDLDEAVWASLLSACKRHGKTQMGTRIADRLMGLGPKDPSTFILLSNAYAGASMWENVAKVRNLMATMDVKKEPAYSWVHLGKESQVFYAGEPSHPMKDQMSVLLKDLDDKMRKRGYVPDTSYVLHDMGKQEKENQLFWHSERLAVAYGLLKSVPGSVIRVVKNLRVCGDCHMVLKLICSIVGREIIVRDASRFHHFKDGRCSCSDFW >KVI00363 pep supercontig:CcrdV1:scaffold_4:298238:299593:-1 gene:Ccrd_021387 transcript:KVI00363 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDHHHFLLVSLSFQSHINPTLRLATKLIRAGARVSFATTVSGLNNLKTRPSLPGLSYDCFSDGNDTEPDANVRKPGYVQEIELIGSINLKNLLLSKAKQGEKVDFLIYGMCIPWVAKVARELHLPSAFFFFQSAASFSVVVYQFFKGDGGMVNSDIDPNGLLQIPGLPLLRHGEIPQFLRPTEASFPVFREHIETLEKHPNPCILLNTFDGLEEESIKPIRDHINIFSVAPLIPGETEEPFICDIFQDSDRETYLRWLDSKPAKSVIYVSFGSIVELGKKQKEEILEGLIEAGYPFLWVIRNHGEDDEVAKSYRAEAATADGNGLIVRWCSQVEVLNHFAIGCFVSHCGWNSISESMVGGVAVVGCPQFSDQMMNMKMVEEVWGNGVKAVADGDGVVGREGIKRCLKVAMESEEIKRNCERLKAIAMEAVVDGGSSHTNLKRLFESFKCNS >KVI00387 pep supercontig:CcrdV1:scaffold_4:817259:819859:-1 gene:Ccrd_021341 transcript:KVI00387 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MRSAVIIQYNIVYGIFSYKLSDNLDGNLRVYSLNESSGLWLITWQAIAQPCNVHGICGRNGICTHGEKLECSCPSGYEWSDPTDLTQGCMPPFNKTCGNPTSFGFLELPHTDY >KVI00379 pep supercontig:CcrdV1:scaffold_4:35806:38382:1 gene:Ccrd_021408 transcript:KVI00379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MPFYPFHHHFKSSCHPNHPPFSSATAAATTTTMHFSRLCFVLPSEGDGNPPVDHHHKQPNTRHKHRSCGIYIHDLLRRALHRLNDKRPDFDCCGGCRRQQSSVFHDTQGVQFPNEKVFAGHSPKIFGYSELYIGTKGFSKDEILGSGGFGRVYRAVLPSDGTVVAVKCLVETGERFEKTFAAELAAVAHLRHRNLVPLRGWCVNDDQLLLVYDYMPNRSLDRVLFGRAAALLAWERRVKIVKGLAAALFYLHEQLEAQIIHRDVKTSNVMLDSRFNARLGDFGLARWLEHELKYQIRMPSSKTRKFKVTDTSRIGGTIGYLSPESFQKRGVATAKSDVFSFGIVLLEIASGRKAVDLAFPDDRIILLDWIRELADDQLLLQAADHRLRDGSYKLHDMEHLIRLGLLCTLHDPESRPNMRWIVDALSGNNCEKIPDLPSFKSHPRYISISPPISSSNNSNTATPPSTITTTTVATISVSSTAFISAKGESLYATTELGSSDDGMISTSTSHLSRRQSKIFPMVQPPREISYKEIISATDNFSDSNRLAEVDFGTAYYGVLDDHDIIVKRLSMKTCPALRARFSNELSNLGRLCHRNLVQLHGWCTEQGEMLVVYNYSANRVLGQLLYHHNHRAPQSLLQWDHRYNIVKSLAGAIQYLHNEWEEQVIHRNITSSAICIEPDMNPRLGSFALAEFLTRKEHDHHVEIDKKSSVHGIFGYMAPEYMGSGEATPMADVYSFGVVVLEVVSGQMAVDFRTPDVLLVKRVHEFETQKRDYKQLVDPRLGGEYDHKEMVRLVKLAIACTRSNPDCRPTMSQVVSILDGRDKCLTEIGKKKENTEEWKERNALSLSLVRRVQALGIQ >KVI00421 pep supercontig:CcrdV1:scaffold_4:626850:628579:-1 gene:Ccrd_021358 transcript:KVI00421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization METTFRLSGGGGGDGGDYGDDDELELGLGLSLTSAAYAPSSNGALSSQVVGWPPVKTYRMNSLVNQAKFSKNEEEKGVGGNDGSKKKKKNSNKNDEKMVKETGHSGFVKVNMDGLPIGRKVDLNAHDCYETLAQALEIMFLKASSSIRREKQQHSRLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFLGTVKRLRIMKTSDANGLAPRYQEKNQKPKSKQLELRR >KVI00422 pep supercontig:CcrdV1:scaffold_4:59749:66089:-1 gene:Ccrd_021405 transcript:KVI00422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNTPVNGPAPAGDDDELEEFSDDFKCCVCLDLIYKPVVLACGHISCFWCVFEAMDTCQESRCPVCRHAYYHFPSICWLLHFVLLKLYPDAYRRRESQVTAVSHCNEQMPLQWHATGQGEDCSVRGSLEPALSDNNCTTGSPTSSSDKITKNVTVEGNLLSGNEYVDSQPAKQVPITDLLCAICKELLCRPVVLNCGHACIIDSCNEPCRCPVCRSMHPNGFPKVCLVLEGFLAQHVSEEYAARKVAIDKPITCEQGSSSTVSTQVPQDSQSVPMNDYLCSPDSKVHFGVGCDCCGMYPLIGDRYRCKDCFEEVGFDLCEDCYNSSSNLPGRFNQQHKPDHEFEVKEPKPMIILSTGFASDWHDPEPPPTNDTFGDRDGIGPT >KVI00430 pep supercontig:CcrdV1:scaffold_4:288059:291249:-1 gene:Ccrd_021388 transcript:KVI00430 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDQHHFLLVSLPFQSHINPTLRLATKLTRAGARVSFATTVNGLNNLKTRPPLPAVSYDSFSDGNEAQANATFGQPPSFQQIELIGSISLKNLLLSKAKQGQKVDFLIYGMCLPWVAKVARELHLPSAFFFFQSAASFSVVVYQFFKGDGGMVNSDIDPNGLLQIPGLPLLRYDEIPDQFLRPTDALFPVFREHIETLEKDPNPCILINTFDGLEEESIRSIRDRINIFSVGPLVPGETEDSDRETYLRWLDSKPAKSVIYVSFGSIAKLGKKQQEEILEGLIESGHPFLWVIRNHGEDDEAAKSYRASTVAEDDGLIVRWCSQVEVLNHFAIGCFVSHCGWNSISESMVGGVAMVGCPQFADQKMNIKMVEEVWGNGVKAVADGGGEGVVGREEIKRCLKVAMESEEIKRNCERLKAMAMEAVADGGN >KVI00412 pep supercontig:CcrdV1:scaffold_4:693324:701534:1 gene:Ccrd_021351 transcript:KVI00412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MDAKKDGYKNAVIESSGNTCLSDRLRVDKSLISLFCVQELYLRQICSLNKKIPKQIKNLEERFIGYCLELIHSSESRKDLQTFLPQSFGCDASSLISIGDESGVISSYSDPIIGSITMNTNMTNALNKPLITQLEVKEPTSSPGVSQVGSSQDRQNRRTVREGQEFVLRDASCSSSNAYDQGSLHCSWNGGFPSYVFAIEGNRRVFITTNVLKDSISDTGIDCIYIFRSGVEDSDVHVLGEMRVSTSFELCPHGTEFMETRFVLYANGDGIEGDECNSDQKVEKNKGLLSKKMANVFRRRSFMRSFGMQESVLEPNQDGDRLPPPKLELAAIVMKDHIRGSRKEGDLGGWGLKFLRKDGNCESCSLCSTGMNVLIPDGFHGGPRSRIGGGPSSLIERWSSGGSCDCGGWDLGCPLTILHDRPNCEDVVLGNGFPFDLFVQGCKQSKPVLKMADVRGGSSFEDIIPLKFCTSR >KVI00341 pep supercontig:CcrdV1:scaffold_4:938846:944358:1 gene:Ccrd_021330 transcript:KVI00341 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGRKGSWFSAIKKVFTSNSKDKLPNEVEKKSPHEKKGRGKLKHGESRSFIPLFREPSSIEKILGEVDQQLLFIGHPTAVEQPPPPPFSGRPASPMVTSHQAASPRVSSPREPSPRSSPPRVVHQRTEISYRPEPTLRHHHLSATIIQAAYRGYMAARSGKRTKREATNRQRHETDATVERQLPSDEPIRNPEFTPTPPRPNLDQKTSPRPQSSIFKQNNFSFDNLDTPTPRSSRSMIPPRTRQQMTPTRTPPSTTPNLMKYSKAKGSAAGSPYPMKDDDSLMSCPPFSVPNYMSPTVSAKAKARLTGNPKDRLTSTAASESSSKRRFSFPLTQSIGSSFKWNKRSSSKDSMASLAPRALEKHKSPRSIGDLSMDSSISMPAAVGRRPFNRYV >KVI00382 pep supercontig:CcrdV1:scaffold_4:787559:791964:1 gene:Ccrd_021344 transcript:KVI00382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mammalian uncoordinated homology 13, domain 2 MVHLNHREYFQDPTNHIHVPSPPAAPHPATLDIAADLSFPFGKIDGLDRDDLRMTAYEIFFTACRSSPGFGARTAVTFYQSEGREHGTPGSPGSPRHGGVGMAVTSRTKKALGLKMLKRTPSTRRSSSCGSNPFSPTGFSNNHNNGSNGISPRASFSTLPTHGKIRRPMTSAEIMRQQMKVSEGSDNRLRKTIMRTLVGQTGRRPDTIILPLELLRQLKPSEFSSSNEYHIWQKRQLKILEAGLLDHPSIPLDKSNNFAVRLREIIHRGDTKPIDTNKSSETMRAICNCVVSLSWRTANGSPTDVCHWADGYPFNVHLYVALLRSIFDPKDETCVLDEVDELLELMKKTWSTLGITRPIHNLCFTWVLFEQYVMTGQIENDLLSASLTMLAEVANDAKKADRQPVYMEMLAAVLNSMKTWSEKQLLDYHESFNKGTVGLMENILPMVFSATKILEEDVPAYKRVAIDRSEEASDHTGNRMLDNGNRINGSMSLQEASEKLIQLAKETEELAIREKGLFSSMLKKWHPISAGVAAVTLHTCYGNLLRQFLAANSMISNETVAVLQRANKLEKVLVNMVVEDSVECEDGGKTVVREMVPYEVDAIVLRYLRQWIQDCLKKAKDVVQTAKDTETWNPKSKSEPYAQSAVELMKQTKDAIDSFFDIPIGVSEDLVRSKQSYIPTLPPLTRCGRDSKFIKLWRMAAPCSVVNNEVGCEEGNYSRPSTSRGTQRLYIRLNTLHYILSQLQSFEKSLALSPRIILSPKNRLGGSRAGGSYFEQTRSAIQSVTQHVSEVAAYRLIFLDSNSVFYGSLYMGDVENSRITPAVKIMKHNLTLLTAIVTDRAQPIAMKEVMKATFEAYLTVLLAGGSPRSFTRADHRMIEEDLRNLKRVFVTGGEGLIVEDVVDREAEAVEGVVALMGQATEQLVEEFRSLVCEASGVLVTGGAGQKLPMPPTTGKWSSTDPNTILRVLCHRNDSAANYFLKKTFQLPKRK >KVI00386 pep supercontig:CcrdV1:scaffold_4:824235:824660:1 gene:Ccrd_021340 transcript:KVI00386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 6 [Source:Projected from Arabidopsis thaliana (AT3G23440) UniProtKB/TrEMBL;Acc:Q9LW53] MNEQRSYGMMNPGASRLKRKDRESVFPAIRSPQPPPQKLGRATTVTASTTIPTSKGMSSHKLLAGYMAYEFLTKGTLLGQKFESTVQNQNQSGIEAETEKWRKGKESYKEITSLMMVKSDGGGIHIPGIVNPTQLGRWIQM >KVI00419 pep supercontig:CcrdV1:scaffold_4:642891:646512:1 gene:Ccrd_021356 transcript:KVI00419 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MMLHTEPSFSVYADADVFLDSNTIGDLKVKQGSGEFSFANDEDGSSRFSGFENLGIQKEDQQIESVGINGKQTSFDQTVDEDPSNPVFLKNHAHLLLSNGDLNGAEEYYLRVTQTDANDGESLMQYAKLVWELHGDKNRASAYLERATKGDLEGAEEYYSRAIQADGEDGEVISEYAQLVWELHRDQHKAASYFERAVEAAPANSHVLAAYAKFLWEVEGEDGDE >KVI00388 pep supercontig:CcrdV1:scaffold_4:762065:765029:-1 gene:Ccrd_021348 transcript:KVI00388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MASKGIAAADGTSLTPEAECEPLTYERRVRTDLETHLPKPYLARGLVAPDTEHPNGTLGHRHHDMTVLQQHVAFFDQNGDGIIYPWETYAGMRQLGFNILISLLAAIVINIGMSYPTLPVRSSGGCLRFSSPYTSKTYTRQSMEATQQLMILKEGLYSVLVHLKISVGSVLIFVLNLMRSCRYMPVHFENIFSKYARTVPDKLTLGEIWNMTEGNRLAFDFFGWDEEGMLSKEAVRRCFDGSLFEYCAKKNRAAVYNKTY >KVI00425 pep supercontig:CcrdV1:scaffold_4:106527:131103:1 gene:Ccrd_021401 transcript:KVI00425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filamin/ABP280 repeat-containing protein MENDLFFREVFMPEVHSEVGLQFLKISTPYASSSNHYPLKSIPPSTATNHRLDSKIRGFVVNFGVYMADRNLAVVKPIWMKQAEEAKLKSEAEKDAAAKAAFEATFKDVEKNREPAALSDSDGDEEEDLTNKPIGPVDPSKCTAAGTGVAGGTACAPSSFVVVTKDSDGRKVPNGGALVKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMLDVECDGKPIMGSPFPVFFSAGTTTGGLLGFAPQVNFPNLVNQTMPNMPNYSGSVSGAFPGLLGMIPGIVPSASGGVVLPGIGASLGEVCREYLNGRCVKTDCKFSHPPHNLLMTALASTSTMGTLSQAPMAPSAAAMAAAQAIVAAQALQAHASSAQAHSSRDTSGSADKAAKTDSLKKTLQVSNLSPLLTADQLKQFFSFCGTVIDCSITDSKHFAYIEYSKPEEATAALALNNMDVLGRPLNVEMAKSLPQKPANMNAALGSSSLPMVMQQAVAMQQMQFQQALLMQQTMNAQQAANRAATMKTATELAAARAAEISMKLKAEGIIGNDDEPIKSPRSPSPVPARSRSKSKSPVIYQRRRRSRSFSPQHRRMRDYRSRSPVRSRHYFSYEYERRPFRDIRGGSDRSRRREYGRSNDRHAWRNRSRSVSPRARKSYRDGSESPKRRRESPTHRPKKSSQATSRSPVSHRGNRSPPKSDDQTKEKHRRRSRSGSLDVKHRSDDKIDDSREERAKRRERRRSRSRSLVRSSPKSDDQTKEKNRRRSRSGSLDAKHQSNDKIDDSREERSKRRERRRSRSRSLEGKHRSGDKSSDTKQRDRRYSRSLSAEDKHHSRSNLNTRILDDGKSKHRRRSRTRSPEDNHRSSDKTDRSRKEKSKYHARRQSRSASPEGRRHKGSRKSPGRSDEHKAKHRRRSRSKSAEHNRLNNDDHDPDWSGDVNAERNSNSNILKDIKEDGASFPSPRADNVTYPDDSKGGSDPFKSETYRDGERDHKTAQVTVKKPHDAEEVQQEYAGFTLSADFNWLKCLKNFSAPLTGVCNAMFLAT >KVI00394 pep supercontig:CcrdV1:scaffold_4:437072:445152:-1 gene:Ccrd_021375 transcript:KVI00394 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF676, lipase-like protein MLRRLKWFIAGFNQQKTPKTKADAKLLSLPGEHHSSTQSRKSMLEAVHEVAIYIHRFHNLDLFQQGWYQIKITMRWEDSDYASSLGTPSRVVQYDAPDLGSDEALGVWKIDDTDHSFSSQPFRIRYARQDILLSVMISFNLSLGKFKRSSVLLTLLSCELMNTYNLQGPITSAVILKFELLYTPVLENGSNMQDSLDASPAAVHEFRIPPKALLGLHSYCPVHFDAFHAVLVDTSVHISLLKGGVHAMKKPRLTSSLIAYNLFQHVLLKQVFLVKAFLTSRASLLQELQNLSTAIDQTIELTGFASQHDETKFLAASAEVGMETANGSKIPNGTLDFSSDDLYSLQNDELYRLFHSLGDQMHYLWNTFLNFHRANKTKVLEHLRNSWAIDRRAEWSIWMVYSKVEMPHQSTRSEIDDSLYHGLRGKLPSSQAAAMRAELHRRSIAQMRVSQLSQRLHYPTSFTWETISLFHSYADKQSFNPRPSYFWGSLTYTYHNLNAPLHSPSGNSYFRNMDPKDTNGMLPEIDSSFSGNQQKGRVLKIAVFVHGFQACCVLYNGHHLDLRLVRNQWLLIDPKIEFLMSEVNEDKTSEDFRDMGLRLAQEVIVFVKKKMDKASRSGGLKNVKLSFVGHSIGNVIIRTALAESIMEPYHRYLNTYISQKTLESFKNIILLSSPQDGYVPYHSARMEMCQASSADYSKKGKLFLEMLNNCLDQIRSPSSAQRTFMRCDVNFDTSLQGRNLNTMIGRAAHIEFLESDIFAKFIMWSFPELFR >KVI00389 pep supercontig:CcrdV1:scaffold_4:745996:747658:-1 gene:Ccrd_021349 transcript:KVI00389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MEHPDGTPEHDPRGMSVLQQHVAFFDQDNNDIGFEQSGSTYLPVNFENMFSKYAQTTPDKLTLRELWNMTEGNRTXLDLFGWIFSKLEWGFLYMVAKDEEGYLSKEAMRGVYDGSLFDSLARKNSAKGKRMD >KVI00353 pep supercontig:CcrdV1:scaffold_4:546089:552564:1 gene:Ccrd_021366 transcript:KVI00353 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K9 methyltransferase, plant MGSAIDLFLYPDHSTATITTVSGSAGGGIGGPSTATTLKTPKVEPKLEPLDEPLGVSPEPDNSRNPNPHFSPSAPLTDSNFTVAPPGFVENNVHSGFNAELASNIEENDELQVIYPDALAIVPVPEEDQLSTVECSSRRKSQQRSAELVRVMNLQIEDERYFRDLVRKTRMLYDSLWVYTMVEDEKRRSYTNGRMPRTRGDLKAATMMKDSGLWLNREKRIVGAIPGIHVGDVFFFRMELCVLGIHGQAQAGIDFLSSSHSSNGEPIATSVIVSGGYEDDEDGGDVIVYTGHGGQDKHGKQVVHQKLDGGNLGMERSKHHGIEVRVVRGFKYKGSASEKVYVYDGLYKIVDAWFEVGKSGFGVYKFKLVRMEGQPELGSAILKFAENIRTSPLEARPSGYVSLDISSNKENVPVFLFNDIDSNYEPLSYEYLVKTIFPPFVYHLGGIDGGCDCVSGCSSDCVCAKKNGGEFAYDMNGLLLRGKPLIFECGPHCSCPPRCCNRISQKGLRNRFEVFRSMETGWGVRSLDLIQAGSFICEYTGVVLTREQAQVFTMNGDSLVYPNRFGERWAEWGDLSQVFPHYVRPSYPSVPPLNFAMDVSRMRNVACYMSHSSCPNVFVQLVLFDHSNVAFPHLMLFAMEDIPPMRELSLDYGAADEWTEKLAIYPATHSIPRCVIKTIIGAILQLSFLRASHLIFLVVDKGF >KVI00435 pep supercontig:CcrdV1:scaffold_4:4617:7159:-1 gene:Ccrd_021411 transcript:KVI00435 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein MIPVEEKAMQYYLYPPPIARYEDVLEEKKWKEVTNSFSFPPSATNASFILRKYYMSLIHHFEQVYYFKAKAWTPTVI >KVI00352 pep supercontig:CcrdV1:scaffold_4:550429:556640:-1 gene:Ccrd_021365 transcript:KVI00352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSERLQQWGGRAMPRFSFRNATIIVCFLNLITALFLIHGFLSPSTSNRSDSGHALTINDCSAIHAHVLQANQQGYPSMWFNVDAGCGDFSFAATENQGNFPDGYAISSLWSKDLKDQIVLNGVIALLISEGFHSGKVVYRSFKPLRCL >KVI00366 pep supercontig:CcrdV1:scaffold_4:159450:166741:-1 gene:Ccrd_021399 transcript:KVI00366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKPTLDEPDLLKKEKHKEKKHKKDKKDKEKRDGKEKREKDRSEGKHKEKKDKKDKKDKHRDKKEKKGKSSNPDEKRVHSQFEGYNGEKVHPNDSTRSSSSSDKKQSLQFQNGEYHEQLQKKEESSKEKNSSSDDKKSSIQFCGQNGELVRNRIKATDTSENIKFVQELDRRIKNEEKGTGSHQFVVEGRKNSVNRTEVQKMDGRQSIMEEAGFGRNATVQNKINGKTLPPLDNKIEKRIEQKDKMPQKESEDKRGDKRKNKDRDKQRQGKDKDMEKEKKEEKAKEKSEQKRAEREKNKYIRKSESVANPNNFSAHPPENSFHGTGSEGNLKKRKDMETNGVSHENESRPNKMGRFSSNISPENGRKLDPVQNSGSSLLDRQGASQGASLNSFKVGSKGQRVNGMIDPQPDSVPAKTPPFPAFNHIPAKPSPIKSPPVITNHVAAQPPPVPTTKPQPAVPNHIAAQLPTPKPPPSIPNHVAARSPPLSSTPPPSTTAKQPLSRPKPPPAVVNKAAPPPPLPLPKKKPEPPMKPPHPDTKYLNQILSVPKLDQWCGFDEQEWLFNRKEEYPCSKKTTMEEHQVQVWSEAKHIESVDVCALPYVIPY >KVI00358 pep supercontig:CcrdV1:scaffold_4:576494:580479:1 gene:Ccrd_021363 transcript:KVI00358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase large subunit, alpha/beta/alpha MTMTEKIFSRASEKSQVNPGDNVWINVDVLMTHDACGPGCIGVFKKEFGDDAKVWDREKIVIIADHYIFTSDERANRNLNFVRDFCIEQGIKYFYDITDRSDFKANPDYKGVCHVALAQEGHCRPGEVMIGTDSHTCTAGAFGQFATGVGNTDAAFGFETGKVLLKIIGEISVSGATYKAMEFVGSTIESLTMEERMTLCNMVVEAGGKNSVIPADATTFKYLEDRTSVPYEPVYSDDQARFVAEYRIDASKLEPVVAKPHSPDNRALARECKDVKTDRVYIGSCTGGKTEDFLAAAKVFLASGEKVKVPTFLVPATQKVWMDLYTLPVPGSGGKTCSQIFEAAGCDTPTSPSCGACLGGPRDVCVSTTNRNFPGRMGHTEGQIYLASPYTAAASALTGFVTDPREFLR >KVI00396 pep supercontig:CcrdV1:scaffold_4:456523:467116:-1 gene:Ccrd_021373 transcript:KVI00396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dil domain-containing protein MAELEEAKNKEHANLQSALKEMEVQLADTEEAKNKEIATLQSALKEMEVQLADMEEAKNKESAKLQAALKELEDQLADMEEAKNKEASDLQSALKEMEVQLADMGEAKNKETSSLQSALKEMEVQLADMEEAKKKETSDLQSALKEMEARMADMEEAKEKEISDLQSALKEMETRMADMEEAKDKENAKLQSALKEMEVQLADMEDTKNKEITQLQSSLKEMEGQFQETKELLEAAQKEMEQLRATDNEKIENLTTDNEKLKEKVNLLEQELDETQKKYEETSKLSEDRLIQALDAESKIIDLKLDMQRLYNHTSTTISNSLLHENFIISLFEAEEVTCLVICFCDSPTLFFSLQEKISDMEAEDQILRQKERQSGTPSKKFDSNLTQSTIGRERDLVEALRKVATEDVGFCQGKPVATYVIYKSLLHWKTFEADITTVFDRIIQMMGSAIERTLSPSPPKPQQPASLFGRMTQGFRSSSNAGIVKHIEAKYPALLFKKQLAAYVDKIYGFIRNNLKKDLSQLLSSCIQAPTISDGNPYPTFYWEHIIERLNELLDTLKEYHVPSVIIRKMFAQVYTYIDVQLFNSLLLHKECCSITYGEYVKAGLAKLEQWCSQVTAEVLINLDALTIFLEARVRRSNHSLNQYADSSSDELTHVRQAVEFLVIQQKSTISYDHLTTTLCPVLSVQQHYQICTLYSNGNDDTNGVPPEVISKLKVLMTEDSGNPDSDSYLLDDNSGSGIQLEFHKDWKTFSGGDSDSESSSRTGMEWQISDESCNQQQLLESSSQALLCKTQISEDNSLMDAIAVVYLLIYVNLCLGYVVLWALRVVSS >KVI00433 pep supercontig:CcrdV1:scaffold_4:1300:2456:1 gene:Ccrd_021413 transcript:KVI00433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNHHKESSLQPSCYHVSGDSSIWATINGGGGLSLSSDGGGVDAGGGGATSLPLNFLENFPQDSRFVNRSLVLSETEPAISLQPGTDRVSPDEDVASNGFNSFGDCRLSNSKIRPTKHTRMSVRGGKKQFRGVRQRHWGKWVAEIRLPRNRMRVWLGTFKTAEEAAFAYDTAAYMLRGDFAHLNFPNLKNQLKTNSINGNTAALLQAKLQRMPIVAPSLPKVDLPENDGPFEDGPEITIDKSKNSLESISSEGVQLILLQEKHMKIAKAICVYEIYAVIRNL >KVI00392 pep supercontig:CcrdV1:scaffold_4:407761:417001:-1 gene:Ccrd_021377 transcript:KVI00392 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 1 MDSLRVLQCFSATKNSSFLETQFARELRFLHHHNRQRTFRVVGFLKPRLAPGRGHHLGLQQQSSPVVCAVSTQTREEEKGETGMKSKTARGMVQLSLRLDHQVKFGEHVGVLGSMKEFGSWKKKKQLSWTETGWVCDMECKGGETCEFKFVVEQLDKSMVWEGGDNRVLKLPQSGSFEMVCHWNMTNEPVNLLPVDGKEYEVEVENVSELQEDNGSQVLEGATSPFVEKWQGKEASFMRSNEHGDRERQRQWDTAGLEGVALKLVEGDRDGRNWWRKLEVIRELVVGSMDGAERLDALIYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERISSRKDTSPQELLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRCAGPEDLIATAAMLERITKNPGQYNEAFVEQFKIFHHELKDFFNAGSLTEQLESIKESFDERSKAKLSSFLECKKALDNFQGTPDVLKGNGIELLVNTIQSLDGFREIVMKGLESGIRNDAPDTAIAMRQKWRLVEIGLEDYAFVLMSRYLNALDAAGGAQWLAENAHSKNINSWSDPIGALVVGIRQLGLSGWKPEECKAIENELLAWKEKGLLEKEGSEDGKTIWALRIKATLDRSKRLIDEYSEALLQIFPPKVEVTAAGSNIVGVILLQELPHLSHLGEKVAFVTCEDDDKIASIKKLEGKHVRLDASPSGVNVSLSLEDGVRDGLVETSSNGTITDSVPKSISSSQGVSTGGIIPLADADVQTSGAKAAACVYSDQGVPAAFNVPNGAVIPFGTMELAIEESQLKEAFASLLEQIETAKMDGGELDKLCNELQNLITSLQLPSRILESLGELFEGNARLIVRSSANVEDLAGMSAAGLYDSIPNVTLSNPVNFGHAVSRVWASLYTRRAVLSRRVAGVPQKAATMAVLVQEMLSPDLSFVLHTVSPTDNNNNVVEAEIAPGLGETLASGTRGTPWRLSSGKFDGVVRTLAFANFSEEMVVGGLADGEVLHLTVDYSKKPLTVNPVFRQQFGQKLGAVGLFLERKFGSAQDVEGCLVGKDIFIVQTRPQPL >KVI00408 pep supercontig:CcrdV1:scaffold_4:973888:982517:-1 gene:Ccrd_021328 transcript:KVI00408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF842, eukaryotic MNHIDAAEERIVTEKLRQKLNDVNSAAQSQLAVVQDHVNFTLQKAYFKCAYECFDRRRKQDDISMCVENCSIPVLQAQNLVEGELAKFQERLNRSLMVCQDKFESAKLQKIRTDATKDMESCVNQSIEENVKALPHLVAKLKASLSINPITEE >KVI00367 pep supercontig:CcrdV1:scaffold_4:177211:182909:-1 gene:Ccrd_021398 transcript:KVI00367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MESAKSDLIPQSSTVDPCSDWDLPFTDEELQSIEDVFESAASSSSSSRKSGADCDGDRPRTRRRLPDSLFNFGEQSTLLSDKSSSECNPIGASSSFSLLPCRTNRFSSPCGSSYRDKLKMRYPAMSFKGHIVYSRTFSEVEKAANELLTFVETKNRDGGHAVFGFDIEWRPTFKKGVKQGKAAVLQICAGAARCHVMHIIHSGFPENLKSLLRDPRSVKVIELVNFTCYFCPDYYAIIASHQKMFMCPLPNEQVGVGIAGDARKIFNDHNASVEALEDLSSLANQKLGGEPKSWGLASLTETLTCKQVLNSLPDAKIHTNEVVEAMAAS >KVI00344 pep supercontig:CcrdV1:scaffold_4:826059:830985:-1 gene:Ccrd_021339 transcript:KVI00344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein METMDGPVTEVRSEGERAVMEELGQNVDEIIVKVDELEQRLNEVDQFYSKTGKKQSNTSKASSVMKDKDKDKQITSFKRRQQDASRREAAAAKRMQDLMRQFAGLLRPFMQPVDVVGLGLHDYYEVIEKPMDFSTIKSKMEAKDGTGYKNVREICADVRLIFKNAMKYNDERDDVHVMAKTLLVKFEEKWLQLLPKVDEEDERRKKEEAEAQLDMQLAQEVTHAKMARDLSSELDEVELHLEKLREVALRNCRKMSTEEKKALMTVLTQLSPEDLNKALLIVAQNNPNFQATGQEVDLDIDAQSESTLWKLKFFVKEVVQGQGKSPTSITMNNNNNMNQNKRKREEAKGTQKRNKKPW >KVI00393 pep supercontig:CcrdV1:scaffold_4:419965:425040:-1 gene:Ccrd_021376 transcript:KVI00393 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG1-like protein MASQFIREWSGIQQFPLATQEKLLELLGRLKEKKKDRLTILVMGKGGVGKSSTVNSIIGERAVTVNAFQSETPRPTMVSRERFLLNKTIDVLLYVDRLDAYRVDSLDVKIVKAISNSFGQEIWHRAIIVLTHAQLSPPDCLSYDEFLARRSEALLKVVHLGARFKKKDIQKFSIPVGLVENSSRCNKNDKDEKILPNGTAWIPSIVETITKVALTDSKCILVDQKLIDGPNPNDRGKLFIPLILAFQYFFVLQPLQRSIKTDVENAVKSKWE >KVI00414 pep supercontig:CcrdV1:scaffold_4:662869:672449:-1 gene:Ccrd_021353 transcript:KVI00414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 19 MDDLVPIKTGKIAKPRPVQAASIPGMLGMFQNHDSACRVIARLKKELDEARTMLAHLERQAPMPASTAVTANASALSNGKRAAEDDELGPDGKRIRPGISASIITELTDCNAALSQQRKRRQIPPTLAPLDAVERYTQLNSYPLHKTNKAGILSIDIHQSKDFIATGGVDMNAVVFNRSSGEILSTLSGHSKKVTSVKFVGDGEMVVTGSADKTVRVWQGSENGNYDCRHVLKDHTAEVQAVTVHATNNYFVTASLDNSWCFYELSSGLCLAQVEDSSASEGYTSAAFHPDGLILGTGTSGAIVKIWDVKSQTAAQDGVKLWDLRKLRNFRTFAPYDENTPTQSVEFDHSGNYLAIGGSDIRVYQVANVKSEWNCIKTLPDLSGTGKINSVKFGADAKYIAVGSMDRNLRLFGLPQEDAAMES >KVI00380 pep supercontig:CcrdV1:scaffold_4:775965:779385:-1 gene:Ccrd_021346 transcript:KVI00380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFREGVASTEADSAPTLSSYASEPHHAYLSISTPLLSTGSSISTGLPHYTIETVKRISQEVVEIVEPARLGYQLTLKLNFAKIPRKKDAVKIITEISSVQAVILSSQLREILRNFSQFALKRIQRWLSQRPSFRSLWMLEAQERVLGHLLATGRLFHLPKISPRHVEGKKLDKTVWNLLNFNALVKYHVKVTSELTRNRDQIYQFENLNNHFDSLTVHQRLCATKDEKPFGKLGRRGVKKLLKFTRCTILLRRWNLAKKMKMIRSRIRIHGFGKFQKRWLTLPSFASVKSYQKLDKSCSFRSS >KVI00410 pep supercontig:CcrdV1:scaffold_4:1120275:1126860:-1 gene:Ccrd_021317 transcript:KVI00410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDRAVQLKRGISRQFSAGSMKLGGKFSFKRQSSMDPRSNNIRFSFGRQSSLDPIRRTPTKDEFTLPGNLDSTMQLLFLACKGDVQGVKDLLDDGIDVNSIDLDGRTALHIAACEGHVDVAQLLLSRKANIDARDRWGSTAAADAKYYGNVETYNILKARGAKVPGNYQVAKWNGTKVSVKILDKDSYSDPESINTFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEFHQRVSSLSHPNRLYVCARNILLDSGGQLKVAGFAVIRLSKISPNKARLARPVTIDRANLYIAPEIYRDDLFDRSVDVYSFGLILYEMMEGVQPFHPKAPEDAVKLMCVDDKRPPFKIKAKHYPPDLKELIEECWYPDSTVRPKFSEIIIRLDSIVGNCSKQGWWKETFKLPWK >KVI00411 pep supercontig:CcrdV1:scaffold_4:1139302:1165903:-1 gene:Ccrd_021316 transcript:KVI00411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MEEVIRNKQVVLKDYVTGFPKESDLFLDASATISLKLPQGSNGLLVKNLYLSCDPYMRSRMSKVQGSYLESFTPGSRIVGYGVAKVVDSGHSNFKRGDLVWGFTGWEEYSINTSPETLFKIQHTDVPLSYYTGILVGAAPFLVDLKVKLPTLELALVHPRLLLTKEWSKSNVHNSEMLKCMPGLTAYFGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLSGCYVVGSAGTKEKYNLEQGEGVRNLVCLVTKRVRMQGFIVGDRYDLYPKYMEMVIPLIKEGKICCIEDIAEGLESAPAALVGLFSGRNVVTTGASFQSTPLLMLHTSIMEEVRNKQVILKDYVHGFPKESDMLINTSSTITLNLPQGSNALLLKNLYLSCDPYMRNRMSKSQGSYVQSFTPGSVCIFIFHNLSESYSNFSLLFCLLNVRPIVGYGVAKVVDSGHSNFKKGDLVWGGIGWEEYSIVTAPETLFKIQHTDVPLSYYTGLLGMPGMTAYAGFYEICTPKEGEYVFVSAASGAVGQLVGQFAKLSGCHVVGSAGTKEKKSAQLFFSSGSQSHFCIQISSPKVELLKNKFGFDDAFNYKEEQDLDAALKRYFPNGIDIYFENVGGKMLEAVLLNMRLRGRIAVCGMISQYNLEEGEGVXNLSXLIGKRVRMEGFITSDHYHLYPKYMEMVIPLIKEGKLCYIEDIAEGLESAPAALVGLFSGRNVGKQVVAVARKKLIRLKNAWLVSMNEQIICAGAGHTQKVSRNLGFRLLQLTTPAVHFNPLQYRSTLTTMAEVRNKQVLLKDYVNGFPEESDMLINASSTITLNLPQGSNALLVKNLYLSCDPYMRNRMNKNQGSGSYAESFTPGSVVPASMLIKPSFCFHLPITMLQPIVGFGVAKVVDSGHSNFEKGDLVWGLTGWEEYSIITAPETLFKIQHTDVPLSYYTGLLGIFSLLNVFSRLAGMTAYAGFYEICTPKEGGYVFVSAASGAVGQLVGQFAKLSGCYVVGSAGTKEKEEQDLDAALKRYFPDGIDIYFENVGGKMLEAVLLNMRLRGRIAVCGMISQYNLEEGEGVQNLSTLIGKRVRMEGFLTGDHYHLYPKYMEMVIPLIKEGKLCYIEDIAEGLESAPAALVGIFSGRNVGKQVVVVARE >KVI00402 pep supercontig:CcrdV1:scaffold_4:1059780:1071033:1 gene:Ccrd_021322 transcript:KVI00402 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein CIYIYFYKTICFDSLPVTLILSRHLSDDQVAIVSFAKAKFRLPLSFVFRSFPTINGMQNSGSLPKNNSLRLTPQQSLRRLNFCSQIATGQQTSPVVFPEKRTKGKVSRRNDGNISSDDSKKAKREEHKIDIGDEQSDLLGYEVISGKLVLDKRKTIKATDAQNSTETAHLDTVDAKLTSRALVWGSHVLNLDDVISVSYNYGLRHFIVHSYPVKKPSYSLSCFLKSGRSRKDFCFLASTPDEAFQWVTGFADQHCFVNCSPHPLVSSKKQDSEILATDFSYTHIKSKSPPRMLVILNPRSGRGRSSKVFHNLVEPIFKLAGFKLEVVKTTSAGHARNLAYSVDFSTCPDGIICVGGDGIVNEVLNGLLCRDNQKEAISIPIGIIPAGSDNSLVWTVLGVKDPVSAALAIVKGGLTATDVFAVEWIQTGVIHFGTTVSYFGFVSDVLELSDRYQKRFGPLRYFVAGVLKFLCLPKYSYELEYLPASKEKGDLERKALADKDVVDMSDLYTDVMRRSNTDGIPRASSLSSIDSIMTPGRSAAEMDTTCSSTEPSDYVRAIDPKSKRLSSGRTNMPSEPEVIHPQLPLSSTPNWPRTRSKSRADKGWSGVTASQDPTRSSWGNLGANDKEDISSTMSDPGPIWDAEPKWDTEPNWDMENPIQLPGPPDDVGSGERNETGVILEENWVVKKGKFLGILVCNHSCKTVQSLSSQVVAPKAEHDDNTLDLLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYVKVKSVKLKPGKSTHNGCGIDGELFRISGQVVSSLLPDQCRLIGRPPSSNTKGPS >KVI00400 pep supercontig:CcrdV1:scaffold_4:1085836:1087447:-1 gene:Ccrd_021320 transcript:KVI00400 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO domain-containing protein MKNPGLWYSAIMVQRLIGISRHYRANPKVDLKKLRPMILKRIENRAKDYPVKAMVPVAYDVLRSRTLLIQGVSILLQVIPIWSCKRHAKNKVHEWIKGGINDIVVPVDTFHLHNMFQDVIKHEQRFDYKRVPAVIELCLQAGADLDEEEVSANKLESVSGESLSSDDLRMVADGTLKAWEVLRSGVQKLLMVYPAKVCKYCSEVHVGPSGHKARLCGVFKYESWRGTHLWKKAQVDDLVPSKVVWFRRARDPIVLQDKWRSFYGHAPAVVDLCTKGGAIAPAKYNCMMKLQGLSADVAFKHGR >KVI00368 pep supercontig:CcrdV1:scaffold_4:212155:212523:-1 gene:Ccrd_021395 transcript:KVI00368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEDWEWNQSINGNSHIRPPPTTATCTFCIKAFSDGDEITRLSCHHYFHRPCILRWLSRHKYCPICLRSIPLPMKPSPAGEAGRRQVPAVAHIHVAPGHYRRPHCLWFYQLMELIESLFFRDD >KVI00424 pep supercontig:CcrdV1:scaffold_4:38322:41091:-1 gene:Ccrd_021407 transcript:KVI00424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCAPITKSTIQTIESSMGTSRPCPTLKSLSNKFGGMMRCCNSKNRYERLDSKLERKMMEVKQSSTPGNSNIRSINSIILRFPQLRKGLEEIRGVFQQYDVDSNGTIDREELAKCLQKLQFECTDQEIDDLFESCDLGGSKGMKFKQFIVVLCLVYLLEGTSSSWHKATTMRSLEVKATFDTIIEAFLFLDTNGDGKLNKKDMKKWIGTTTGKSASGNSYSL >KVI00364 pep supercontig:CcrdV1:scaffold_4:330620:335267:1 gene:Ccrd_021384 transcript:KVI00364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MEDDFEVPTAGKFNDSDEEDGIGEDMDVPEGAPVGKVGEENEIGKDGLKKKILKEGDGWETPINGDEVEVHYVGSLLDGTRFDSSFDREMPFKFKLGLVSKLGIVLFTGHVIQGWDDGIKTMKKGEKALFTIPPGLAYGESGSPPTIPPNATLQFEIELISWTKVKDICKDGGIFKTILTEGENWQTPKDPDEVMVKFEARLEDGGLVLKVDEVEFTVKDDAFGEKGREPAGGDECVVPPNTTLQITLKLVSWKTVSEVTTDRRVRKKILKEGEGYDHPNDGAIVQVKLVGKLQDGTVFVNRGDDEVPFEFKIDEEQVIDGLDRGVKTMKKGEVALLTIHPEYAFGSIESHQESATIPANSTVHYNVELISFEKEKDSWELSTPEKIETSGRKKEEGNTLFKRKKYERASKRYEKALSYIEYDSAFSDDEKQQAKVLKISCNLNNAACKLKLRDYKQAVKLCTKVLDADSKNVKALYRRAQAYIQLVDLDLAELDIKKALEIDPDNRDVKLEYKLLREKVKEYNKKDAEFYGNIFAKMNKLEHLDSANGARKQEAVPMTIDSKA >KVI00429 pep supercontig:CcrdV1:scaffold_4:351324:359386:1 gene:Ccrd_021381 transcript:KVI00429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MKHFMQPRSAILRDNSSDNPAPNPSSMKQNRSSKRKQKSCKENAPPSDMNVFPDASPSFGKPSPSVASKLKSLLPPRPPSSNPLKRKLGSDFHHENGVSGSSDTGVKVIVRMRPPNKNEEGEMIVQKTSGDSLSILGQQFTFDSVADATSTQASIDLFQLVGAPLVENCLAGFNSSIFAYGQTGSGKTYTIWGPTNTLLEGNSSSNEQGLTPRVFERLFSRITEIYNEQITDLLNPSQRNLHLREDAKTGVYVENLAEEFIYNMKDVMQLLKKGLSNRKTGATSINTESSRSHSVFTCVVESRCKSMDGLSCCKTSRMNLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLVMVCAISPSQSCRSETFSTLRFAQRAKAIKNKAIVNEQMQNDVNTLREVIRQLKDELVRMKSTGNQDDPSAGYSTGWNARRSLNLLKFSLSRPMMLPHVDDDGDEEMEIVEEGEQVLLSGSEVNKKMGIGASGILTRDCIPNVSGIANINRKDSEDTDVNMEEVGNLEVTVVHSIEANGDTDSLGKPHDSLYLSTSELLEKETRLPISTAESGNHVLTDAVKDDASAQNGSGDCVIPNVTLEPSEVSPVLKSPIPSVSPITDNSSSRKSLRTSSMLTASQKELTQTTVSSNFENPCMKPSKSISSNPLSSNIRRSCLPSDHLAASLHRGLEVIDKHCKSSAFGRSSFRFTCKPLDSKPVLVQKDDGVQTLLQGNELDEEKPMMFLCRNCNCISSPKVKDGSDGPNLQLLPVDGQQLVPTDSSQLADKSKQLVPKAVEKVLTGAIRREMALEELYTRQDSEINQLNRLLHQYKHERECNLIIGQIREDKIARLESLMDGILSAEEFRDDELASLKNENKILKENYENHPEVLRTEIEFKRVQDELERYQNFFDLGERDVLLEEIQDLRTQLQSYMDSSAKKRNPVLQITYPSDPSVVPPLNTVQESAEHRFESERIRWKEAESKWISLAEDLRCELEASRLLVEKQKHELDTEKKCSKELKEAMQMAMEGHARMLEQYADLDEKHMHLLTMQRRIQDGITDVKKAAARAGVRGTESKFINALAEEISILKAEREKERCHYRDENKGLQAQLRDTAEAVQAAGELLVRLKEAEEAVAAAEIVNLKRKHENGVLPLVSDGGEPNGTSDQQWRDEFDTFYSEDEGELSKLPEPSSWFSGYDRCNI >KVI00359 pep supercontig:CcrdV1:scaffold_4:350089:350616:-1 gene:Ccrd_021382 transcript:KVI00359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MIMDKDSWKRGTPLVLPHPHFHLRVRHFLPLHKPSNSEMNLHITMANSIITFSFVIFVSTFVAANGILGGRSKITDVNTNKEIQDLGRYSVEEYNRLHRSGTVKNGGDLTFYRVMEAEKQVVSGMKYYLKIQAFSKTSGDPKVFEAVVVVKPWLRSKQLLKFAPSPAAAISQLVW >KVI00416 pep supercontig:CcrdV1:scaffold_4:646761:651116:-1 gene:Ccrd_021355 transcript:KVI00416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MATPFHLPVTAAQVGTYFVGHYYQVLQTQPDFVHQFYSDRSTLLRVDGPSRETATSMLQIHALVMSLNYTGIEIKTVHSLESWDRGVLVLVSGSVHIKDFSGRRNFVQTFFLAPQEKGYFVLNDIFHFIEDQPIHHHPVAYLTQNDLVSKLNGSTALRDQASSYMAANDIQARDFVPPTTAEENGPVNNYSYQEQQLRAPEAESILEDNYAVQSNGSVQNSVNAPQDHLAPVEEAVGEPQKHTYASILQVAKVQSAPSAQPVTKSTAHPDMNHVPEPPAQQSASLSTGERSGAEAVEDTSVMEDEAEVKSVYVRNVPSTAIASDIEEEFMKFGKIRQDGVAIRTRKDIDVCYAFVEFEDITGVQNAIKASTAEIAGQQVHIEGRRPNRSNAYRGGRGRGRGRVSNQMDGRGRFGGRNYGSRGNGQDGNDRDYNRPRGNGYYRQAPRQDRAYSNSQQASRNGHSISD >KVI00378 pep supercontig:CcrdV1:scaffold_4:14865:16051:-1 gene:Ccrd_021409 transcript:KVI00378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAQHQTMLILAITMTVLYGTAMAQSSGCTSVLISMSPCLNYISGNSSTPSSRCCTQLASVVRSQPECLCQVLNGGGSSMGININQTQALELPKACNVQTPPTSRCNASSPTNSPSGTTPSGTGTQSNTVPSTDNGSSDATSTRFASIPIVFSLLVVAYSMVF >KVI00357 pep supercontig:CcrdV1:scaffold_4:580758:583986:-1 gene:Ccrd_021362 transcript:KVI00357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 MEVKPSDSDIVVIEEFNRTKKDWDDAYVHTLNHIRAIQDYNNHINNNNNNNGDSLARLNGLAQDGLGMLTTALFNLDLLAPQLPRYHNAHLLLHSWNNQIQSLRSSLRKANLQAKASMRKATREERELLLGGGEESTARRLKLQTKAGMTSAAGIITESLRRTRQLMIQEVERSGSTLMAFEESKEVLKKAEREYKGHHSLPMRTRKLLLTMKRQDVLGRIILLVGFVLFSLAVLYVVSKRIGILKLQRKVIGAIRARMVGEAEIDKGTPGVADAINHLAQINEHAVPNADVGLEQHMHDEL >KVI00338 pep supercontig:CcrdV1:scaffold_4:885132:888351:-1 gene:Ccrd_021333 transcript:KVI00338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sep15/SelM redox MWKLIYAGFLLIVCIRAEQLSSKECEVLGFTGLALCSDCNALAEYVKDQELLSDCRKCCAEDSDDSTSKIVYSGAVLEVCMRKLVFYPEIVSFIEDEKDKFPSVKVHYAFNAPPKLIMLDDAGQHKEIIRIDNWKREHMLEFLREKLKPISAA >KVI00403 pep supercontig:CcrdV1:scaffold_4:1047602:1052540:1 gene:Ccrd_021323 transcript:KVI00403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin 6, N-terminal MSSIQDPYYIVKEDIQDLIDKLQFTFRQWENISANGEEHFHLTKELLENCDSIEWQVGELEKTISVVTRDPARFGINEVELEKRKRWTSIARTQVGNVKKVLCGKDVNGVSISRRNGAHRELMRMPNSQRLDGSQQYIAGENDDFSSSESDRQMLLIKQQDEELDEMTESVQRIGGVGLTIREELLAQDRIIDELGTETDDTANHLDFVQKRVDTVMKKAGIKGQLMMILF >KVI00369 pep supercontig:CcrdV1:scaffold_4:221742:222125:-1 gene:Ccrd_021394 transcript:KVI00369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASSLMEDWEWKQVTCRNSHIRPPPTTATCAFCIKAFSDGDEITRLSCHHYFHRPCILRWLSRHKYCPICLRSIPLPMKPSPAGEAGRRQVPAVAHIHVAPGHYRRPHCLWFYQLMELVESLFFRDD >KVI00423 pep supercontig:CcrdV1:scaffold_4:78760:89695:1 gene:Ccrd_021404 transcript:KVI00423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIGIRCSLMLVVVLVAFIGDYGVVLGDLVKGVKDEKNLFGKPKPGFGGGLGHGIYKKGFRHVKGGGGGLGGGIGGGVGGGIGHHGGLGGGVGGGVGGGGGLRHHGGLGGGGIGHRGGLGGGIGGGGGLRHHGGLGGGVGIGHHGGYKGGGRFGHNGGLGGGAGGYGGADGTGSVFFLDSSTQRYFRPRSPESQSLLLPEIGAAISVLLGFAPPLTLSPTSSKKEIILDEVLLPNPFDRPRAVFVLEINGVEDEGSGLGMDNDVFVSSLKSRVAFGQNYADIQLSDKEKVSLVSLNEPLTSDMEFTDNILDDFASWLGGSYVRNLVKSLSGELTVPLANDVQLKLHMSKVGAHMDKLLKFRLKALKDQYGSNDRVQQAVELLVTSVSKIYGQIVGVVLFNGSPARESGTMLECDVHFTASSLLAGREKRVTSQSYDCRSGFGPTNPCMDHRKHPYNGDSVRDLLPDEHDIDKGCPSLFICEA >KVI00373 pep supercontig:CcrdV1:scaffold_4:268903:269847:-1 gene:Ccrd_021390 transcript:KVI00373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MNVGRVKLYDADHEILHLLSGKNIDVAITVANDEISGIAANQHLADQWVYEHVLAHYPNTRIRFVLVGNEVLSSTGTAQDLQIARDLVPAIRRIKNTIKAQGIRNIKVGTPLAMDMMETTFPPSNGSFKPEIREFMVPLLKYVNGTRSFFFLDVYPYFAWSESRAENHTGISLDFALLRSGNETYTDPATGLVYTDLLDQMLDSVVYAMAKLGYDDVMLAIGETGWPHEGDSDEYGASRENAAEFNNNLIKKMTGVPSTGTPARPGMIIPTFIFSMYDENQKYGPATERHWGLLNPDGSPVYQVNMTGKGIPSD >KVI00374 pep supercontig:CcrdV1:scaffold_4:229426:232258:-1 gene:Ccrd_021393 transcript:KVI00374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MEGNQSASAGGGGGGPAPFLLKTYDMVDDSLTDEIVSWSSNRNSFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEEFVKDQKHLLKNIHRRKPIHSHSIPQSSTVDPERAAFEEEIDKLTREKTSLEKSVSRFKQQQPATKLQLEDLTQRVNTMEQRQDTLLAFMKKATQNPEFVEHLARKLESMDFSAENKKRRLPRSRVFPDDGLVDNHSPQSPSRPDFGNVFHHDFSNKLRLELSPAVSDINFISNSTQSSNEDGGSPQIRLFEGCTRTPPGGLPFAPETQELSDTCTSFGFNMESSFMPKTGPCNNPSVRCLQPPIVDANEESDGHLSCQLNLTLASCVSQINPCQETDTIPPSFEDIGKPSEWTANARVPEKNRSSLVGENEPTKPAPPATSQARVNDVFWEQFLTERPGSSDVEDASSNLKSTPSYEQEDRRSGHGSFGNSRNMQNLTL >KVI00383 pep supercontig:CcrdV1:scaffold_4:784200:786104:1 gene:Ccrd_021345 transcript:KVI00383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIGVDSKLVVNGNQETGVVTGGRIEEDEDNESKKLLLPKKGGLRKKSGKKHRRVQWNDRNGHKLTEVLEYQPSDGSDSEDEDSDSCLCNIM >KVI00417 pep supercontig:CcrdV1:scaffold_4:656820:661673:1 gene:Ccrd_021354 transcript:KVI00417 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLDc MHSWKDRVTDKLTNLFSDSPSSPPSPASPHKARSHTKDGNYMSSVFTFMLPSSESSSKKHENYLKPIQSLPTRWKNRNTSWQHEPLNTHDEFDDEADPHERNGEQSCLKGIKCDNRETPNTREDNGDQGSGRSTSSSEAFEDAPEPNTPMKAVIDLSLDSLFISPELYHFFHTLRHGISLRTLIRKSSDLSGPCLLITGDPQGAVFGGMLNCPLTPTPKRKYQGTYETFVFTTLYGAPRLFRPTALGGGGNFALCLDGDLLSGTSGRCDTFGNQCLAHNEAFELKNVELWGFAHSSRYP >KVI00356 pep supercontig:CcrdV1:scaffold_4:588260:591866:1 gene:Ccrd_021361 transcript:KVI00356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brix domain-containing protein MARFRNKKKVAFVKPIKKQPQQNVDHVTGDKIPRSFVFSRLKLPGSLKQLQADLRKMMLPYTALNLKEKKRNNLRDFLNVAGPMGVTHFLMLSKTGSSPYLRVARTPQGPTLTFKIQEYSLAVDIANSQLRPRVPKDLFKNSPLIVLSGFGTGEQHLKLTTIMFQNIFPAIDINTVKLSSCQRIVLINYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRTLQDVSDFVTKAGYGSESEGDEEAATVSLATAFGRVNKACTKSAVKLQEVGPRMTLELIKIEEGLCSGTVIYSQYGNSFFQYIFSVPLEIVRNRKRKEMKRMMIQKEMPREVKKKTSSIIMPF >KVI00376 pep supercontig:CcrdV1:scaffold_4:1099845:1101101:1 gene:Ccrd_021319 transcript:KVI00376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MAPTMEEEDKLKDASPFNLGPAEKFLKAVIDIPFAFKRVDAMLYISNFDVEIDYLMRNSRMFMKLLEAALKAGNRMNIGTNRGDAYAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGCRLWGANNLDFTTQINQQSELCDEVEFRKRGLEVVLSLGGELSSVKKAAVMDSDLLSKEVRRITMGITKIREAVTLDEEYVSDGRFSDSMNTFLKKAEDDIIKIEAKEKLLIYMVKETTEYFHGNSSMEEAQPLRIFMVVRDFLTVLDQVCKEVGKINERTTVSMPMSQTLPSAFPWFNGRRHVGSPDGKDDPTSD >KVI00427 pep supercontig:CcrdV1:scaffold_4:108681:117010:-1 gene:Ccrd_021402 transcript:KVI00427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKKGTSKDTMSNLEKLQADFAVYLRHKYFSGKDIEGEEIFEEIAKIGGMTIKGSRFPPTSSYEDPVAYRNGFTASCVEVEKNLLADLVDEENRIPRLEYPVKPARNQVEPLGVQDTSDDSSDSLQEISADAWNEVQSAIKQAKSGVGPSQKRP >KVI00399 pep supercontig:CcrdV1:scaffold_4:509992:513897:1 gene:Ccrd_021370 transcript:KVI00399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLMPPLNLLPLKFLSDTLMLKPSRAFFKSFATTMSVQSSSNPIKSRLKGVVFDMDGTLTVPVIDFQAMYKAVLGQDLYVSIKSNSPSGIDILHHIEQWAPDKQQKAYEIIADFEQQGLDRLQIMPGAAELCGYLDSKNIRRGLITRNVKSAVDLFHERFRMSFSPALSREFRPYKPDPAPLLHICSSWEVQPNEVMMIGDSLKDDVACGKRAGAFTCLLDETGRYDSPEYATVEHKPDYKISSLSQLHLLLETNFDLTP >KVI00420 pep supercontig:CcrdV1:scaffold_4:618965:626619:1 gene:Ccrd_021359 transcript:KVI00420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAISSSSFLSVSQKDAGVSSFYAQPSSSIQMSQKKVSKKIVSVMAPQQSERKPSTTGSVKTGMTMTEKIFSRAAEKPQLNPGDNVWVNVDILMTHDVCGPGCIGIFKKEFGNDAKVWDREKIVIIPDHYIFTSDERANRNVDIIRDFSTEQDIKYFYDIKDRSNFKVNPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKIIGEISVSGATYKAMEFVGSTIESLTVSLFLLFCFVMEERMTLCNMVVEAGGKNGIVPADATTFKYLEDKTSLPYEPVYSDDQARFLAEYRIDVTKLEPLVAKGKKVKVPTFLVPATQKVWMDLYTLPVPESGGKTCSQIFEEAGCDTPTSPSCGACLGGPQDTYARLNEPQASISLLYQTKDHTVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGFVTDPREFLR >KVI00381 pep supercontig:CcrdV1:scaffold_4:768854:770047:-1 gene:Ccrd_021347 transcript:KVI00381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSVTATGFSSSFHGSWGTSIAGNHRVLQINRGGFKTTARADSFGKEERRKTRIPSLEVQFEDQRFQVPGES >KVI00371 pep supercontig:CcrdV1:scaffold_4:205018:205383:-1 gene:Ccrd_021396 transcript:KVI00371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MRSLLLPFFFFFFHTHFQISTGVSFLNPNLLENDEFNPMVKRVCGGKIVECSEDDLMDSESNRRVLLMGKRYISYETLKRDLVPCGTPGASYYNCKGKGVANPYNRGCEIITRCARDAINT >KVI00365 pep supercontig:CcrdV1:scaffold_4:316285:325347:-1 gene:Ccrd_021385 transcript:KVI00365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MSTEMANTDPEGIDGVRMTWNAWPRTKVEASKCVIPIAASISPIRPHPHIPTNPYAPLRCKTCSAVLNPFCRVDFSALIWICPLCFQRNHFPHHFSGISETNVPAELYPQYTTIEYTLPTHDVHHTLPPPVYVFVLDTCMIEEELGFAKSALQQALEFLPENALVGFVSFGTQVQVHELGYADMSKVYVFRGSKEMTKDQVLDQLGLGGGGFAGGRRVGGVPGQGFQKGAIQGGGFPNSGVSRFLLPASEGAYIIHSIQTIHCNLMLIEVIIQLLEELGTDQWPVAPGNRSLRCTGVALSVAAGLLGACLPGTGARMVALVGGPCTEGPGSVSSLAMSPAIKYYKILVANAIISKDLSDPVRSHKDLDKDAAPYFRKAVQFYEELAKQMVSQGHVLDLFASALDQVGVAEMKVIIERTGGLVVLAESFGHSVFKDSFKRVFEKGEESLGLAHNGTLEINCSKDIKIQGIIGPCTSLDKKGPSVASTTIGQGNTTAWKLCGLDKDTCLTVFFDISSSDKDPSGNLNPQLYIQTVTSYQSVDGQSKMRVTTITRRWVESAVVSEELMQGFDQEAAAVVMARLTSYKMEMEETFDATRWLDRNLIRLCSKFGDYRKDDPSSFSLNPSFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRESITNATVMIQPSLISYSFNSLPSPALLDVASISADRILLLDSYFSVVIFHGMTIAQWRNMGYQNQPEHLLLQAPHDDAELIIRDRFPVPRLVARFLLAKLNPSATYNNEVAAGMDVIFTDDVNLQVFFEHLQRLAVQSS >KVI00401 pep supercontig:CcrdV1:scaffold_4:1076459:1084457:1 gene:Ccrd_021321 transcript:KVI00401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDPPNHPSDTEMSEAAVAPPPPQQQQPLPGIDNIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPERMSFNDVYIAYELMDTDLHQIIRSNQSLSEEHCQVCFYPDVVVGVLYFDVYFDFKWPCFKFLGFVICSPFADMYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMEDIPKTLVGWGAVLIGTPSEAELGFLNENAKRYIRQLPQYRRQSFTEKFPQVHPAAIDLIEKMLTFDPRHRITGEKNIIFEDALAHPYLTSLHDISDEPVCLTPFSFDFEQHALIEEEMKEMIYREALAFNPEYQQQHM >KVI00404 pep supercontig:CcrdV1:scaffold_4:1027110:1044994:1 gene:Ccrd_021324 transcript:KVI00404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptojanin, N-terminal MVIFCIMGFHEIRLSVAAGGPRNTSVIVLTLDTNEVYVVVSLSSRTDTQVIYIDPTTGSLQHNRKLGYDVFNSQDEALNHITDASNLICKSTTYAKAILGYAVLGSFALLLVATRLTASIPYLPGGGCVYTISESKWIKILLQNPQPQGKGEVKNIQELTEFEIDGKHYFCETRDITRPFPSRISQQSPDDEFVWNGWFSTAFRRIGLEQHCVILLQGFVEYRTFGSLGQQEGIVALIARRSRLHPGTRYLARGINSCYSTGNEIECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGADLKMTAAEAVIYVSDHDPYKGSARYYQRLSTRYDARDLGVVGTNQNKGGFVPIVCVNLLRNGEGKSESILVQHFEESLNHIRSTRKLPSTRLHLINYDWHTSIRLKGEQQTIEGLWYHLKGPTISIGISEGDYLPSRQHMNNFQGEIIYNDDLIGFFCLRVHQNGVIRYNCADSLDRTNAASYFGGLQVFTEQCRRLGICLDSDLVIGRQSPNNHSGSTTSSSPGWKKQSNDATGTPNYTDQNTRTTSGKHPCPDKPWKHFDMTFEEFKRSTILSPVCQLADLFLISGDIHATIYTGSKAMHSHILNIFSDEAGKSKQFSVAQNVKITLQRRYNNAVVDSYRQKQLEMFLGLRLFKHLPSVSIRPLHVLSRRSGCLLKPIASIEESSGDGDSLLSFRQKDIIWGASIPRCANGTNMVIPISGPISDKDMALTGTAASLPAHRTKFPFLYDSNGLEGELDFLTRVVVLTFYPAESTCSPVTLGEVEILGISLPWRGMLASEGPGRRLWESVNNMREDANSFPSDTDSNPTVVALTNDVLPHEKSDTYTSPQVDLLSGEDIDSEPVSQPMTEISLHDSSLDLCHGHKEEADSQRISLQDKIPIKMGVEQYISCFELLTAMHGDNKLGFVEAMKLEIERLRLNLSAAERDRALSSIGIDPATINPNTLLEESYIGSLCKAANSLAVLGQAFLEDRTTGLIGLGPVDDDIDIDFWNINRIGEECCGDPCQVRAETSTLTSSSQSIFSCSACQRKVCRVCCAGKGAILLVRNQTGGTSSGDSSLNRSVTMDGVICKLCCQHSVLDALTLDCMRVLISQRRSTHAETATYEVLSQVVGKNYFSRKKESSDKYAAAKSLQELLNEEESLAEFPFGSFLHSVESASGSAPPLSLLAPLDTGSRQSYWKAPPCISSVEFIIVLGNLSDVSGVVLLVSPCGYSMSDSPTVQIWASQKIHKEERSCVGKWDVRSLITSSPELSGPEKSDKTLRHIKFAFRNPVRCRLIWIKLSIQMVGSNSVSFEKGCNLLSLDEEDLSSGLGRRASIAGTSETDPCLHAKRILVVGNSVKADIADSSSRTSERASSLKNWLEKAPLLNRYRVQVESEKLIENALVLEQFVSLASPTVAGFRLDGFSAIKPRLTHSPSSNVNPLDASSIVFDHRFISPPVLHIQVSTLQGSSEEMVVVAEYRIPEVKGGTAIYFDLPKAISSRRIWFRLVGDIARFADDPEEGEGGRPLAAGLCLLNRIKLYYYAQPNDLGRWASLSGI >KVI00361 pep supercontig:CcrdV1:scaffold_4:369490:372035:-1 gene:Ccrd_021380 transcript:KVI00361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEKHSFLTNEGTGIPHAWNSIFGMELESQVTELNCSSEQLSNCFFNRNWDNSMDQSDPFESALSSIVSSPASSHPGIPIPGGCGGGDNMVLKELIGRLGSICNSGEISPQSCIHGNTSTNTSCYNTPLNSPPKLNLSIMDHHQIQGNLTIPRNHQLPLAPFPVDPGFVERAARFSCFGGKNSGVKESEFPHMVESGKLSRVSSNQSFKTAGSQFMGIQEDKDGNGIPASDKKFSRLSMSLTPENKELDNSMEESSLSEQIPGGETGIKAQSTNSNGRKRKAIPKGKGKEAQFSTLSAKENKTLAGAEKEESDAKRSKPDEEGNAMEKEKEKEKEKKKTEGNQNQKQTKENVKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRTDVNMEALLSKDIFQARALMSNSMNQLDTSSQPFYGMIPDGQDNPLMASMFWENDLQSVVQMGLSQNQAQGFHGTMGTGQMKVEL >KVI00349 pep supercontig:CcrdV1:scaffold_4:516966:519174:-1 gene:Ccrd_021368 transcript:KVI00349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFSTKPSQSPAPRHLLQLLQLSISNQSLKLTHQSHARVCHLGLQQHPVVLTNLINAYALTENTVQSRKLFDSIEVKDVGLWNTYINGFVKNDLHPESFSIFNNMCRTANSLPDGFTFSTLAKASGVIGDLLAGKCVHGKTIKLGFLSDTILTNSLMSMYNKCDRIQDSRKLFDEMPQRTISSWNIILSGYVSGENPFLEYQVWKILKYMLTEGLKPNEFTLSSLLPMCGFRLGRFDHGRELHCYIIRNELNLDIYSGVHLNCSLIDMYSRCRKVSLARLIFDQMRFKNVFTWTAMMNGYLQIGDGNEVVLLFCEMQRRGDVEPNEVSLLTLLPAGNLVAGLLGVMQIHGYCAKKSFINHTSFCNCLIDMYSKNGLLSHAREVFDHDCLAKDAISWGSMISGYGLHGKGQEAVDLYDKMLANGIKSDAIHVVGVLSACSRSGLVEKGLDVYRKATSISGFEPTMEMYSCVVDILGRSGQLDEALSFIKTLPLEPGPSVWGAFVSASVLHTNYETGVLAYKALIQIEPENPSNYVSLSNLHATSRKWDSVAEVRRTMKERSLRKFPGCSWITINSETHSFSASDKGHPDSVITLQQILPASSYNPLLPP >KVI00342 pep supercontig:CcrdV1:scaffold_4:853879:855950:-1 gene:Ccrd_021335 transcript:KVI00342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein domain-containing protein MEETVFTPAIEGMKKIKSDHDEILTKPFLDVCKMLLPILVYIMVYVFVLILDKFGAAMAVVKSDISGNISRLDSKYTGNPTRFNYLYALVQAEVETKTAKSSSSCTNGLLWLTRAMDFLVELFRNLYQHQDWSMQQACNDSYSKTLKKWHGWIASSSFTLVPDRKKFMEVIASKGDVYADMDKFCASFSPLLAQIHKFL >KVI00415 pep supercontig:CcrdV1:scaffold_4:676264:681355:-1 gene:Ccrd_021352 transcript:KVI00415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDVVLSPDQPPVEQVSTPLSAQILEFCKSELFPETIQNSEVASSSNGCYEEQSSYTTNPSDPWNNMTKCPTLVDTATIALETKATAATATPTTSKFLIFEEESIENDISTPLDLTNTSSFTNHQYPFSNEDQFDLSLLQNQVPLTTYNNTIDNGPFSSYVHTLSNDHVVPIIRPSALPTVCEEDSLSSMPPSKFMRLNNPLSPNCSFIDPSIHSYLPGSSEGSGTFNVNLLLGSDILPNELEFQGDNGGMFCTDLLQCSFNSNELQALSNESQYLVNGGGSSTTPLASEITSLESDAFRNSYKLSSKERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGENNRTNSNHEEDTDEDNQHVAVKDEEENFESSDILSQLSGVNSFKCKYSIQSWI >KVI00418 pep supercontig:CcrdV1:scaffold_4:637861:639221:-1 gene:Ccrd_021357 transcript:KVI00418 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MPASKPYQLLEVSVMSAQDLEPTWGKMKTYATAWIHTRRKLSTRIDSVGGANPTWNDKFVFRVDEEFLCLDDSSVMIEIYATNWLRDTLVGTVRVLVGNVVSHPQTSHNKHYTGGMRCVALQVRRPSGRPQGILNVGMAVLDSSMRSMPLYSGASAVGYSDLMRLGDVDTHQDPNYHRQTRHDKNDESVAERPFKPVLLRSRSESSSMYEHLSVANSSLIAVPKKPASSILDEPCFAGLKSKKGKASSVVSGEELREKPKPKGKKKRSSSIIGVPIFSKPEPVSKKSGGSIVGKQGLKPSASFPHKASSVWSESEVGPSASEVAMAIMERKYPLDDARSSVLDGWSEDGSEEGLQSKLERWRREKTPLFDRGSSKSSSFQWDHKRRHTVDDVPGMFSCFGSVCGMEFQCVCGDPNGAMDHDGGLSP >KVI00345 pep supercontig:CcrdV1:scaffold_4:838876:842827:-1 gene:Ccrd_021337 transcript:KVI00345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVRRVKESGGGGGGAKVTTVPPTKTTRSTTPVSERFICRGGDSVNMSTGKENANSSRPSSRIRAAAKTQKPIVPPMVRVDKEIGEPRAKWSTNCSAQPRGRSSSPSEFNRFTSDARKTRVSRVSIDRNERSVSAGRSTRVSDRVGQQNKGSFRNSKFSQVVDNGVTNSKHSVVGLEKSNEIRKESKPKPVNTQNPRVSTVSPKSGRERDVVSRPETSNTQCSSDSLVKVSESVKLFERFKSKSCVGLRSSNGSENEEIGVRSSFRDSGGKFSSRGSSLDGSKEKGASEDSISGRSTAKYPSKLHGKLAFLEEKVKRIASDIKRTKEMLDLNNPDASKVMLSDIQETVAGIEKAMGDVVNGEKDKKGLVKANETNNLQVNSAKISPTKESRDTKSLDKGLNTDELEARFFPHHKLLRDRTTLKAPSVSHETLKTEVSMAISETKPEVVKSSTMVDENPAQLLISDSKVTTRGGLELCEVLETDSTVDSKANDSSKIYAGKGNVETMLIADETLEDCDEQENNAVMIFEEEIDDSCNNQLNEIGHKTCTGGWFVSEGESVLLAHDDGSCTFYDVANSEEKSMYKAPAEVSPNIWRDCWILRAPGADGCSGRYVVAASAGNGMDSGFCSWDFYTKEVRAFHIEDGVTNTRTALAPLPNNVLNRRHDLSNLTPENRQWWYKPCGPLIISTATNQKAVQIYDIRDGEHIMKWELQNPVLAMENSSPLQWRNRGKVVVAAAEGVSLWDVGSLSPLPILTAASVSSSEAEGNDGVFCTPDSINVLDFRHPSGIGLKIPKYGTNVHSVFSRGDSIYLGCSGSPSAARKPTSAAQIQHFSLRKQKLLSTYALPESNAHPHYKAVTQVWGNSNLAMGVCGLGLFVFDALKDDGLRLLGSDHGKVRETIGPDNLYCPSFDYLGSRALLISRDRPAIWKYMS >KVI00413 pep supercontig:CcrdV1:scaffold_4:706045:729363:-1 gene:Ccrd_021350 transcript:KVI00413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MEINGDSVAMATTAPLAPVTAQRPVRTDLELSIPKPYLARAMAALDMEHPDGTLEHDARGMSVLQQHVAFFDQDNNDIVYPWETYIGFRAIGFNVFISLIAAFVINITSSYRSLPGYIPSLLFPIYIANIHKCKHASDSGTYDTEGRYLPVNFENMFSKYAQTTPDKLTLRELWNMTEGNRTALDLFGWIFSKLEWGFLYMVAKDEEGYLSKEAMRVKMAQDSIAMATVAPMAPVTAQRPVPTNLESSVPKPYMARAMAAPDMEHPDGTPEHRARDMRLRAIGFNVLFSLIAGFFFNITFTYPTLPVSQSLFMCFSKSSYYGWYMPVNFEEMFSKYGKTAPDKLTLKELWSMTEGNRIAFDIVGWILSKLEWAAVYIIAKDEEGYLSKEAMRGVFDGSLFEDLARKNGANEKKRYMPVNFESMFSKYGKTAPDKLTFKELWNMTEGNRVSFDIIGWVMTKFEWGAVYIIAKDEDGYLSKDAMRGVFDGSLFERLAEKNAAKKRS >KVI00397 pep supercontig:CcrdV1:scaffold_4:481564:494029:-1 gene:Ccrd_021372 transcript:KVI00397 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHSTFAEKLYQMFKDHKCFNKPKLSKTDFTICHYAGDVTYQTDLFLDKNKDYVVAEHQELLTASKCSFVASLFPPLPQDSSKASKFSSIGSKFKQQLQALLETLSHTEPHYVRCVKPNNLLKPGIFENQNILQQLRCGGVMEAIRISCAGFPTRKPFAEFIERFKVLSPEVTKLRWYINYNVVHYFLLELRKGFEPTISKVLPPKGWHQIPHSDEVQASRILLEKANLKGYQIGKTKVFLRAGQMAEIDARRSEVLGRSAVVIQAKFLGYSGFKKFTLLRSSVVPIQAACRGEVARSRYERRRREVACMKIQKHTRMHCKRKSYKRLCSLAIELQKIIRGMAARKALYCRKQVRAAVFIQSRCRQFLASLHYLRVRHAAIIAQSIFRGDWARRELMKLKKAAKDTSALQHAKAQLEREIKEAAMRLQEEERMRNSLLCI >KVI00351 pep supercontig:CcrdV1:scaffold_4:558128:563234:1 gene:Ccrd_021364 transcript:KVI00351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asn/Gln amidotransferase MALTLLRGIQTRPLFPCSSAFVTRKHVLFCSMQSTQTETTMKTKQQPHSNFTETARAKAVEKALKDFEAIIGIETHVQLATMTKAFCSCAYSYGDQPNSCVCPVCMGLPGALPVLNSKVIEYAVMLGLALNCKLSMNSKFDRKQYFYPDLPKGYQISQFDIPIATNGFIDLDLPLEFGGGHRRFGITRVHMEEDAGKLLHTGTGNYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGVSNGNMQEGSLRCDVNVSIRPIGQLEFGTKVEIKNLNAFSAMSRAIDFEIARQAALYNEGKAEEIVQETRLWEEGSQKTVTMRKKEGLADYRYFPEPDLPEVILTEEYVNGIHDSLPELPDMKRRRYEDMGLSMQDILFLANDVNVADYFEATIAKVPDIKLATNWIMGDIAAYMKNEKLSINEIKLSPQELGELIASIKSGTISGKIGKEIVDPVEIEKIVDKVIANNPKQLEQYRGGKTKLQGFFAGQLLYRVDKARSLELDFCSSRSFKMYVLPFFFSRNSTSNGRPAGFLSFCVGVQKQT >KVI00428 pep supercontig:CcrdV1:scaffold_4:42559:48315:-1 gene:Ccrd_021406 transcript:KVI00428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MYSGIHSIPLEGTGIAHADFPRHLPTTNLVGDPCLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKMIMRTMGVKGLTLYHLKSHLQKYRMGKQSCKEFMENSKDASCIAESQDMSSSTSSSTRMMAQDLNDGFEVTEALRVQMEVQRRLHDQLEVQHRLQLRIEAQGKYLQSILEKACKALNDQAITTAGLEAAREELSELAIKVANDCPLSVTPTPSLTQIATHVENEHPPNSTARLMDHSIDSCLTSTAPPVGLSSQAAALKKRQRAMFTQAEWMAANIG >KVI00384 pep supercontig:CcrdV1:scaffold_4:802195:811977:1 gene:Ccrd_021342 transcript:KVI00384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MRKSTGHKHKYTNKCEIPQSPSNDGTAARTRPFSFDEIMLRRKNKKQSGSVEDQQGDSEVASVKGAQSVTGLVESERRERRDVGGHEQHASKEPLKVHSRAKEDKSKMREGKIVEGEYRESNNAQRRSKVGSDNAKDRRKERGINEKRKNQDRSSDDSDNEYGKRHGKDSAIKARDRNVERNRGRSEHVSKTRTQNGYDERTRDQDAGKKHESRTWHDSDTSERKQKKGTSESRHEDLKLKGKWSRSRERTRDKRRSNSPSPRGYKQRLHDVQEHEELSAHSSKDRLGRFDSNVDRKRISINGSSNKSKRHETAASRLGGYSPRKRRSEAAVKTPSPTSRSPEKNAGWDSPPVKMEGSFISSFQSDLLSLNKTELSNRQEVASVVPLISSIPKPTFGLLSSIPSMMNSTVDSVQLTQATRPKRRIYVENLPSSASEAAVMEWLGGYLRPSGVNHVQGTSPCISCIVSIYLLSETCLLNILHVNKEKGQALVEFLTPEDASTALSLDGRSFSGNILKIRRPKDYVDASVRLKTMSTYGLCTCFQTVAIFMQTGVSEKPVAGAVSVKNIVEDSPNKIFIGGLSKLITSKMLMEIASAFGPLKAYHFEANADLDGPYAFVEVLFYLLTCIVLQYVDQSVTVKACVGLNGMKLGGKVLTVTQATPDASSLENHGDQPFYGTPEHARPLLEEPTQLDPNSLLSLSEPELEEILEDVRLECTRFGMVKSINIIKQNDNPTSSDTVKVAEEDCSMADIVTEDQSRERGSEPSGSDKDQEIKEPADGNDCHDDKPEEVNEINGDNHENKIVKDETSDNSVLENQNLEENSNQISSAIDQMELNDLKSRDDFGTENKPLKLEMVSLESRSATKDEASDLNDTFKVGCVLVEYKRTEASSMAAHCLHGRIFDGRTVSVGFVAHDVYCARFRK >KVI00343 pep supercontig:CcrdV1:scaffold_4:873397:876047:1 gene:Ccrd_021334 transcript:KVI00343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MMEHKRSPVSVEQGSLTSLTPKRHKAGLSISSKERKEKVGERIAALQQLVSPYGKTDTASVLLEAMEYIRFLHEQVKEIDMYNLKSKGLRLVPISYTMGVASSNGADIWAPIKTNNNSPKT >KVH94431 pep supercontig:CcrdV1:scaffold_40:106079:108206:1 gene:Ccrd_003516 transcript:KVH94431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MVEISGIQQTNTLEVSQIQPAALLRSPAIDSDVQGSANMKIIGYNCIPKSSILLVNHDLSALMFCKTLTATASTLVVSSNYQKRINLVGNLQCSVASRPYASNSVGSSTSKSESVRRSRKQGGSSSLYGRASLLEMKNERIATRARVYDFLRGIGIEPDELDGLELPVTVDVMKERVDFLHTLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRRSTLTEFLRRYPQVLHASVVVDLAPVVKHLEGMDIKPNNIPRVLEKYPEVLGYKLEGTMSTSVAYLVGIGVARREIGGILTRYPEILGMRVGKVIKPFVEYLESLGIPMLAVARLIEERPHILGFGLEEKVKPNVKFLTEFGVRMTSISSVIAQYPEIIGLDLGARLLKQQSFLNSVVDFSPEDFGKVVEKMPQIVSLSNTPVVKHVDFLKSCGFSLDQVRKMVVGCPQLLALNMDIMKLNFDYFQSKMGRELDELVDFPAFFTYGLESTIRPRHRMVAKKGLKCSLAWLLNCSDAKFEQRMNYDTIDMEEMEDDSSFDMNTLMEPRNEESDSDYDEDSDEEYL >KVH94446 pep supercontig:CcrdV1:scaffold_40:662820:670746:1 gene:Ccrd_003475 transcript:KVH94446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFPILRSKEIDKNPSRCHLPADAKRSGVRRREEQISKSDDWRPFFSRRGYVAEHRISVVVDVRKMKILIRVYQDDDLNEDSPEDHVSLGVPAAKKGEVQILSQLDMLKGADVDEVMHQRDQTSLLQKRQADIGVEDDVEFPFFANKCEFTYTPQNASACNSDEEILSDNEVRGNCMQSSKGFTSEADNYQKIGPSSFGVIRKDGVCTWLAADAIGNVNNNVDSTSYRPVSARAKRLCKEMDELKNKSAEKPVSHTHTTTSMAELLIRIQDEHDLPEGSTMKYSKTKGTSEQLSVKRSILSMHHGDIDDDPDCLGSDSSDEDKPAMPDSRQKTIADQFQEALGAAATNEEGNINAVPRQTSFGLFGKLQRIIQSEKERDSYFLNQLQKEDEASCLDVKILSKSLEGKLTVCSCSSIENGKVHIDRL >KVH94416 pep supercontig:CcrdV1:scaffold_40:18204:32468:-1 gene:Ccrd_003523 transcript:KVH94416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTMAVTIPVSTNMWDGVMEMIKSAQNKGVDPLMWAVELSSTLLSAGVSMPSTEVAELLVSHICWSNNVPIAWKFLEKALSIRIVPPMLVLALLSNRVIPSRRSYPAAYRLYLELLKRHIFPLASEVNGSNYHKIMESIDQALNLHQIFGFQSSEPGILLVEFIFSVVWELLDASLDDENLLELVPEKKSIWPIKSQDMEINDHNNFGEQKIDFHQGLYKSNTTMSIEIIGELFRNKVTSRILHLARRNMPVHWGSFIQHLRLLVANSTALRNSKDITPEALLQLTSDSRVLLSRECKTISLQQFHAVMACGSLISSAGQSHGASRSATWLPIDLFLEDTMDGYVVATTSAAETLTETSFVMLSINTCTCVLGLLKAHQAITQASWQDALLGLWIAALRLVQREDEAVEGPVPRLDTCLCLLLCTTTLAVANIIEEEENALLEEDDHKRVHQGSEAQVLGNCRKSLVSSLQQLGDYEGLLTPPLSVTSIANQAAAKAIMFFSGISVGSGYLDGVSLNDMPVTCGVFSNLHMIYLNRTFSAYVIFLVAGFMVKSDTFLYAAGNMRHLIVEACIARNLLDTSAYLWPGYVKGHSNQIPRTISGQMPGWSSLMKGSSLTPSMMHTLVSTPASSLAEIEKIYGIATTGSDEEKISAATVLCGASLTRGWNIQEHTGYFIIKLLAPPVPVDYSGSESHLIVYAPLLNVLLVGISSIDCVQIFSLHGLAPHLAGVLMPICEVFGSCSPTVSCTLSTGERLCPLAVFSNAFTLLLKLWRFHQPALEHVLGDVTPVGSQLTPEFLLLARNSQLASRGNSLDQKTRRGHFRRCNLSSSEPIFLDSFPKLTFWYRQHQACIASTLSDLKPGTSVYQIFDALLNMMFRKMNRGGQSLTPTTSGSSSSSGPIPEDCTLRLKLPAWDILEAVPFVLDAALTACAHGRLSPRELTTVVIIPLSIFMAGLKDLADFLPASMATIVSYLSAETTRGLWKPASMNGTDWPSPATSLAMVEKNMNKILSATGVDVPSLSAGGTTQATLPLPLAALVSLTITYKVDRDSESVLNLAAPALNALGAGCPWPCMAIISALWVQKAKRWTDYLVFSASRTVFHHNSDAVVQLLRVCFQSTVGLASPFAVATGGGVGSLLGHGFGSHCSGGIAPVAPGILYLRVHRSVRDVMFMTEEIVTVLMHTVREIVSTHLPMEKMRRAKNGMALRYGEVSLSAAMTRIKLAASLGASIVWITGRLNLIQSLIKETLPSWFISGHKSDLSKGETGGMVGMLMGYALAYFAVLSGAFAWGVDAASSASKKRPFILGAHLEFMARALDGKISLGCNKATWRAYVSGFVSLMVSCAPKWASEVDVEVLKSLSKGLRKWDEEELALALLGISGHGESPMTV >KVH94448 pep supercontig:CcrdV1:scaffold_40:589289:592188:-1 gene:Ccrd_003478 transcript:KVH94448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVNFGKPETTTQVGVENQAKIPSVMENYEPSGFTCYGLPIPVTWVRKTSSEEAIESNNDLLPLPGLSTEPWSAIEHKSFLLGLYVFSKNFGLVKRFVGSKRMRSIISYYYREFHKSDDHHSWSKWRKDRRKRPQYARKLFTGWSHQELISRLSSNVSDGCKDQLIFPSFMYLFYEFFQDGTKYIEGRLSLTRFIFNLKHNVGMNLLVEAVAIGQEERDILEFRQINDWSYVSVEVPIGNASSSLSPQEILKILKGGARLSKSRSSDLFWDAVWPRLLARGWHSVQPRNNVHQNSKNWVFLVPGVIEFSRNELEKGIHYFDSLTDVLSKVASDPQLLELESEKHESVDLDTNRDSNDEEHQAKSSEFYSESKPVDDTVENIGFPEKTTSGNGKHQEIVEEPCSKNKLDQGIIVDVNLSQMALDSDPDQLSLSANPTTNQLEFSNAGAVIVHQPVLVPQRYSTRSRMLSTKALEALAFGYLSPKKKRKRAEEKPPRRYFVPRSCPSYYDRKQLRVERKLDLAVFD >KVH94460 pep supercontig:CcrdV1:scaffold_40:246254:246782:1 gene:Ccrd_003506 transcript:KVH94460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MDSVKATKSVGGRGKPKSTKSVSRSSKAGLQFPVGRVSRYLKAGRYAKRVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRITPRHIQLAVRNDDELGKLLGKVTIANGGVLPKIHQTLLPSKKGKGKDEIGSASQEF >KVH94461 pep supercontig:CcrdV1:scaffold_40:310711:314174:1 gene:Ccrd_003500 transcript:KVH94461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-type matrin MLKPKGLNSATSSDISQIIPNDKKKPCLGEILKADVFSSLYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRIYYQQYEAQQNQYLIDQKVKEHLGQAAAYQQVGAAYNQLRPRYPGMPQMVTPPGATSMPGQINPSMPGQVNNGVPRPMTMSAPMMVPGSSGTPTSGAPPMFAPSMYQASPTPPPPPAVSGGFETTNANAQSQDADH >KVH94417 pep supercontig:CcrdV1:scaffold_40:62331:70915:1 gene:Ccrd_003520 transcript:KVH94417 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase MIPWGGVTCCLSAAALYLLGRNSGKDAEILKSVTRVNQLKDLAQLLDAANKVLPFVVTISGRVSSDTPINCEYSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDGSARVYVVGARGAAGLVLTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGTPLTVVGEAIKDDVGTVRIQRPLKGPFYVSHKTIDQLIANLGKWARVLDAAARRPTPYSEGSNGEAENGGNSTRKDVQMPDICVICLEQEYNSVWSYVLLYDMLFKVDILSTMSNSNRAGGEDLPSLSNWLLVGMNT >KVH94453 pep supercontig:CcrdV1:scaffold_40:562601:574050:-1 gene:Ccrd_003480 transcript:KVH94453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin, N-terminal MSLSYLTVIDFDEGWEFMEDGITKLIRILEGLPEPQFNSEEYIMLYTTIYNMCTQKAPHNYAQQVYDKYKEVFHEYINSMVLPSLRETRDQFMLRELVERWTNHKLMVRWLSRFFNYLDRYFIPRRSLPSLNEVGITCFRDTINRERGGQRVDRALLKNVIDIFVEIGMGDMKYYVNDFEKDMLAASAAYYSREASIWIAEEYSFPKYMVKAEDCVTEEKHRVSHYMHSSTAPKLMQNVLNELLDVHSSRLLEIERSEFHSLLRDNKAIKEAFEVLCNKDIAGSNGAELLASYCDILKKSGSETLSDEAIEETLDKLQENV >KVH94443 pep supercontig:CcrdV1:scaffold_40:692959:697906:1 gene:Ccrd_003472 transcript:KVH94443 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MALPIDHKANIIDGKAIAQTIRSEIASEVSILTEKYGKAPGLAVVIVGHRKDSQSYVNMKRKACAEVGIKSIDIDLPEQVPEAELIAKVHELNADSDVHGILVQLPLPKHINEEKVLTAISIEKDVDGFHPLNIGKLAMKGREPLFLPCTPKAITFNLGFLEGCIELLKRSGITIKGKRAVVVGRSNIVGLPVSLLLLKADATVTVVHSHTVDPETIIREADIVVAAAGQAVMIKGSWIKPGAAVIDVGTNAVDDPSRKSGYRLVGDVDFQEACNVAGWITPVPGGVGPMTVAMLLKNTLDGAKRVIGK >KVH94419 pep supercontig:CcrdV1:scaffold_40:108481:114983:-1 gene:Ccrd_003515 transcript:KVH94419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLQTSVYKPPLSNFFSINPTIPRLSPRFRGKTCFNIHASSNELGTKTVEDEGKEEYQESIGVTNKSSVGATTSSGAIEKDLKKVVQKTAATFAPRASTASKNPAVPGTTLYTVFEVQAYASMLVGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLVPLLNVTIPFFLKSFALVWSADTMAFFAMYAWKVVFLALHRPCFSSLTCTFLFNAIGQISRFRDQSNLDRKVWYLCPSLVFYTYGSHPLPKGVLPSIDVERCKYSGKKSSTK >KVH94452 pep supercontig:CcrdV1:scaffold_40:550278:561114:1 gene:Ccrd_003481 transcript:KVH94452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CW-type MISVGSRDEREELRKMESETELEEGEACFQNNNDDDSAIDPDISLSYIDEKIQDVLGHCQKEFEGGVSAENLGAKFGGYGSFLPTYQRSPLWPHPKTPPKVLTYSAPISPNNAHVEVDHQNSVSQSSASQPARHGPTPRRPSMNSKLKQEAPTSSAMHGDKSTSNSQQTVKGFASGSDQKSLKVRIRVGSDNLSDRRNAEIYSGLGLDVSPSSSLEISPVDSDGFFHVPQDGPDESPTICGSRLLSPLPYDVLNLTEKKWEDGSCGPVHKGSQESTVTALHGSDLGKTEQNVLGEKPKSSETNTVSMESTNGIDVPNGTGILAKKETSVDNMVSEELVSNPRRLPLPSNDDFSDIAKEEDPVLPRYDQRVEQLNGNGGSVGEANNTTCDENNSGFPTKADSDVSSGSKTLDSGLVKTRKHKDGKKAPLREMDGMKLVSGNKTSSSGGKRKSKGQDLDNGSQNIDISKSGVKNDSFTSRGKNNANGSKIYTENLKKNSGKARETYKYFFGELDLEQEDPDEMALEKSSGGRVKETIVNEKGTLENNSLSKERLVVMKSQKPSSSLYPRVGSHVPVIGNGLGSDVAVASMAPVVNEDWVCCDKCEKWRLLPPGVNPGSLPEKWLCSMLDWLPGMNRCSISEEETYKAITSRFPGPSLVQGSQPPVHPGGPQLGVISLDASCPDGRNRHFGSEIPSTNIKKKHESKDLTNEVKQERPSLSSNSTKKNLDTSYRSRSLNGRNQSPRVNEAEFQDSGHSIKMDGIHGTDEDWTSDHGGTVFKASCSSSSGLPADISRNDRGKLDRRPKDTKSVSKVSAQDQENQNQTSSNDGTLHTGKSNKKDVAKKRKANEFEGTGKYVKEASETNSRKEKKSRVLKSGDEGTDEKRKNVEDASRRRDTVPVLSSLAATSSSSKVSGSHKTKPNNQEAKGSPVESVSSSPLRILNIDKFTSSRRNIRGNDDLQDAFGPKKCSDEDDQYLDVSTNKGKEKIVPFLEFETSHVAGGGVGTSGHCNRVETSSHGQNEERTKSNQSHSNGSRSRKHGKGSSLRSKEKSRSRIDIIDKGTSKISEPLNKNVDYRLKGGRKSDNLANGSASKELPSGGNSKHRHHDGSDVRIDAKQPMKRDVSGRGKSHSLPPSGKGQNGNERLPEANPGYQKESGGNPMLLDAARRNDSSKASKPNKKAQNQNGKQPMNTKHSTPNRHKGGNTDAPVTLVRDISNQATTNALREATNLKHMADRMKTGRYGDMIQSMSIYSSTAKLCEYCAHEYERTKEMATASLAYKCMEVAYLKVIYSSHTTASKDVNELQTSLQIGPTGESPSSSASASDLDNMNNPATIDKAALAKGLNAPQIAGNHDVNSAMEASRKARSCFAASSAKQEASLSAVKKALDFNFHDVEELLRLIELIESSGILSHKDRVFIDLLQSLGKKRSWVQIRAATCKYFRIKVDNGGSSALLVHRE >KVH94440 pep supercontig:CcrdV1:scaffold_40:614509:615087:1 gene:Ccrd_003477 transcript:KVH94440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MVHNIGSNNSGRQVLNFTYDATTSATQEIDHGVVKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDHCRKEKRKTVNGDDVCWAMLNLGFDDYAEPLKRYLHKIREFDGERANQNNKVGSSSSNEEIKDYLPNPHQETSSNYRSAGNQAPRKATVSTSPNLFKFTVIDKRNIF >KVH94458 pep supercontig:CcrdV1:scaffold_40:252245:268771:1 gene:Ccrd_003504 transcript:KVH94458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MGSKPWLQPAPTYHPLETYWDTEDDAPGPRCAHTLTAVAQTKTQGPRLILFGGATAIEGGAAAPIPGIRLAGVTNSVHSYDVLTRKWTRIRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDMSNDKYKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDDAWALDTAQKPYAWQRLNPEGDKPSARMYATASARSDGMFLLCGGRDTSGTVFVGARLHVTGGVVRGGRAVDGEAAVAVLDTAAGVWLDRHGLVTAPRKGQTEDPSLELMRRCRHAASSVGVRLYIYGGLRGEILLDDFLIAENSPFQSDVNSPVATSERASNVASPRTNNLTSAAEAEAANAVWQAAQAHAANPEETSVADDNSQAVVVAKEAVGNLGGLVISTLLRPRNWKPPANRRFFLDSYEVGELCYAAEQIFLHEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKAKNDGIWAWTRFNQLFNHLPLAALIEKKIICMHGGIGRSDPTENDSVEGLRPNARGPGLVTFGELNIQRPPTPTRGRPQDHDRSSLAYI >KVH94465 pep supercontig:CcrdV1:scaffold_40:190210:201221:-1 gene:Ccrd_003509 transcript:KVH94465 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MGYALSRLEIDPDFSDSESSPVGDDNNSPQPSSQNDLEHEVAQLTKLGSTPHDRLQRVLPRRQEFPVSPVKMLAGREGNYSGRGRFSAADRCHLLNKYLPVKGPSIIDQLTTRAYVSQFSTDGSLFGSHIKIYNAEKGWKLQKNIVAKSLRWTVTDTSLSPDKRFLVYATMSPIVNIVNIGSAATESHANVTEIHEGLEFSADDEEGYSFGIFSVKFSNDGRELVAGSSDDSIYVYDIEANRLSLRIQAHTSDVNSVCFADEASHLIYSGRKPAGILMGHLEGITFLDSRGDGRYFISNGKDQTIKLWDIRKMSSNATRTPGFRNYEWDYRWMDYPLRARDVKHPCDQSVATYKGHSVLRTLIRCYFSPEYGTGQKYIYTGSHDSCVYVYDLVSGAQVARLVHHKSTVRDCSWHPHYPMLVSSSFDGDIAKWEFPGNGETPIPTNNSRPRRRHFD >KVH94422 pep supercontig:CcrdV1:scaffold_40:420401:424182:1 gene:Ccrd_003492 transcript:KVH94422 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MVYSLPEEVLEHVFSFITLDKDRNAVSLVCKSWYEIERWCRRRIFVGNCYAVSPSIMTRRFPEVRSVELKGKPHFADFNLVPEGWGGFACPWISEMSRAYPWLEEIRLKRMVVTDESLELISTSFKNFKVLVLSSCEGFSTDGLAAIAANCSEVMDLSGHWLSHFPNTCTSLESLNIACLGSEVSFSALERLVGRSPNLKILRLNRAVPLEKLSTLLCRAPQLVELGTGAYSSGIRSDVYSSLSKAFLGCKELKGLSGFWDVDPSYLLAFYSVCSRLTSLNLSYATIRSPDITKVISQCPNLQRLWVLDHVEDSGLNAVALSCKDLRELRVFPSDPYIADANVTLTEQGLVSVSEGCPKLQSVLYFCRQMSNSALITIARNRPNLICFRLCVIEPRAPDYLTLEPLDVGFGAIVEHCKELRRLSLSGLLTDRVFEYIGRHAKRLEMLSIAFAGNSDLGLHHVLSGCDGLRKLEIRDCPFGDKALLANVAKLETMRSLWMSSCAVSYGACKFLSQKMPMLNVEVIDENGSLDSRFDSCPVEKLYIYRTVAGPRSDMPGYIRTIDRDRVNTIS >KVH94415 pep supercontig:CcrdV1:scaffold_40:33494:45909:-1 gene:Ccrd_003522 transcript:KVH94415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MPLREVREKISQILYNGESIGKARLDGGKANLLVGHNLDSKLDCLLMSYPDQLLRDTATYPPLMKTNFASHSLKYLTKAYLGYDIRLGTYDTFQDCVSVMRLYKRMRAQEHQEGKTGTSYSHDTKWNRNMADQTSQDLENMSPDELFQISKSNYQCWCLDSRPHDPIRDWSL >KVH94434 pep supercontig:CcrdV1:scaffold_40:172774:180661:1 gene:Ccrd_003511 transcript:KVH94434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast carcinoma amplified sequence 3 MKKVKGKNTGLLPSSLKIISSCIKTVSTNASTVVRSAGATPILDFNGNQVLWAGFDRLEIGPASFRHVLLLGYQNGFQVIDVEDASDFSELVSKRDGPVTFLQLLHIPAKCDGNEKFLSLHPLLVVVAGDEATMSALGQNRSYLVAPGRDGSNVAQSGASVDSPTAVRFYSFQSNCYVKVLRFRSTVFMVRCSPLIVAVGLEKEMHCIDAVTLENKFTVPTFPVPRFGGQGMVGVNFGYGPLALGPRWLAYASNKLLVSNSGRLSPKNLTPGISPSTSPSNGSLVARYAMESSKQLAAGIITLGDKGYKTFSKYYPEMLQDHPISSTPSNAGWKVSNASSEVNNAGMVIVKDVVSEAVISQFRAHSSPLCALCFDPSGTLLVTASIHGNNVNIFRIMPCTRSGGSSNQIHDWSSSHSCRWISIVSSKHTCHVFVLSPFGGDADCRTHGSQSQAINPVQSLPWWSTPSFVIDEQPFPPPSPLTLSVVGRLKNNSSGFLNSVSNAASSAVGKTHLPSGAVASVFHNSMTYRYQDVSTKVNSLEYLLVYTPSGYVIQYELMPSMGIQHGSRTQSVSHQPSQVEELGVTFESRQWWQVCRRSDSPEREESISVTSINCRESAMPTYNSRVNICMGGQKLVKSDSVKRNDRAHWFLSNAEVQINSGRLPAQIENIPCHEVEIRRKDLLPVFHHFNNIKSGWDDRAYSVRKNNSSLSLESGQCREKASDESVICLSKPASVSSTESSDGGSSRRIENLFDLDPVNCEKSSIPIGRIPNQLYLETRLSLVNRNPKEVSSELYNTATSQMDDHIGSRIASTPLLTTDHFDIKKLPCVSPGLKNPVDFDGCFQEGYCKVVEQVGHGKLTGAALDDDEHDGSHCGREISENDEEDELHGGMFDLFEE >KVH94414 pep supercontig:CcrdV1:scaffold_40:5538:11749:1 gene:Ccrd_003525 transcript:KVH94414 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MASLSDILVSAAINSSSALLFLVAFAILRLQPINDRVYFPKWYLKGIRDSPTSSGTAVKKYVNVDVKMYLKFLNWMPAALRMPEPELIAHAGLDSANFEQMKETMKDLTYSNIDKLSISNVPSGSKRLFAHIVMAYAFTFWTCYALYNEYMIVTKMRLHFLAAERRRPDQFTVLVRNIPPDDDESVSEHVEHFFCVNHPDHYLLHQVSFFFEEHTVVYNANALGAMVVTKKSLQNWLTYYTNKFERNPNKRPTTKTGFWGLWGKNVDAIDFYTEQIETLTKKEEAERERVLNDPKAIVPAAFVSFKSRWGAAVCAQTQQSHNPTIWLTDWAPEPRDVYWDNLAIPFVELNFRRLLMAVALFGLTFCFMIPIAFVQTLANIEGSVKSFIQGYLPGIILKIFLILLPTIIMTMSKIEGYTALSALETHGWSGIAAEILRLVPLIIFHLKNAFLVKTEKDREQAMDAGSLGWAISEPRIQLYFLLGLVYSTVTPLLLPFIVVFFAFSYLVFRHQIINVYDQKYESGATFWPDVHRRIIIGLMISHILFLGLLNTKKASNATPFLIPLPILTFWFFRDAMRKDTLERATEPQLNLKLYLQDAYVHPVFKGACEFERRPMVEEEEEENQLVATRRGLHRDHVQGTQEDTSQDRIETSKGGSKAAPKVITTKDNL >KVH94445 pep supercontig:CcrdV1:scaffold_40:679605:684324:1 gene:Ccrd_003474 transcript:KVH94445 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MATVSSFPSPPWPNPNPNADHHSDDHSAIATTVDGDLSSLLPPIKTNATQEQQTQYNHEDGDGNVREWLNQFLPDNEDIHDVTQLSQDLIPAPAPVPVHDATLMSSPQIMAPDFHEHASPPLPLSLLHVSFNQDHGCFACGITHGFRIYNCDPFREIFRRDFENGGGIGIVEMLFRCNILALVGGGPEPQYPPNKVMIWDDHKSRCIGELSFRSEVRGVRLRRDRIIVVLEQKIFVYNFADLKLLHQIETFANPKGLCEVSQGSSNFVLVSLGLQKGQVRVEHYASKKTKFILAHDSRIACFTLAQDGHMLATASTKGTLIRIFDTHDGTLLQEVRRGADRAEIYSLAFSSTVQWLAVSSDKGTVHVFSLKLTQGNQGVDKAIESSDHSAPVSSSSSSLSFIKGVLPKYFSSEWSVAQFRLIEGSQYIVAFGHQKNTVVILGMDGSFYRCKFDPASGGEMTQLEYHNFVKPDDSLTSL >KVH94420 pep supercontig:CcrdV1:scaffold_40:330652:334740:1 gene:Ccrd_003498 transcript:KVH94420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MHVMLEGKIALITGAASGIGKEAATKFIDNGAKVVIADIHHELGHATATELGPNASFISCNVTNESDIANAVDFTVSKYGQLDIMYNNAGIPCRTPPSIVDLDLNTFDRVNSVNVRGLLAGVKHASRVMIPRGRGSILCTASVTGVLGGLAQHTYSISKFTVIGIVKSLASELSQHGVRINCISPFVIPTAFVMEEMKGYFPDLEVHEIACMVRNVGEFKGSYCEPGDVANAAVYLASDDAKYVNGHNLVVDGDWNRKAEEANPERVQYEEETLNPS >KVH94418 pep supercontig:CcrdV1:scaffold_40:48629:57575:-1 gene:Ccrd_003521 transcript:KVH94418 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MGRPCIETLRTSSSSSSPPAVTTTSTSVTETVNGEHDFRISGYSLSKGIGIGKYVASDTFMVGGYSWAIYFYPDGKSLEDNATYVSLFIALASEGSDVRALFELTLLDQSGRERHKVHSHFGRALESGPYTLKYRVKISICIGYSCLRIFMREGILVSYCCSFVTCYRGYKRFFKRSALETSDYLKDDCLQVHCCVGVVKSHTKGPKTYSIHVPPSDIGQHFGQLLECGKGTDISFEVKGEAFPAHKLVLAARSPVFRAQLFGPMKDQSSRCIEVEDIEPPLLEYVARINEHSPAISKRLNEVIPDALDVHGRRLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQEKYPHMLSVRNCFIRGSVVRYVQLPPEGVDVELLHDATRREARGG >KVH94430 pep supercontig:CcrdV1:scaffold_40:99419:104987:1 gene:Ccrd_003517 transcript:KVH94430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex RNA-binding protein 1/RRP40/RRP4 MRGMEMSLNQTQKLRLQRALELLETLSSGINSNSSVTVADTIPVNQEDGVLKGHGTTELEGEVVATVCGVIERVNKLVYVRTLRARYKPEVGDIIVGRVAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEDDDVICAEVRDFMRDGSLQLQARSQKYGKLERGQMLTISPYLIKRRKQHFHHLDQYGIDLILGCNGFIWVGEHVETKDNMIEEEPIKPDPQNNKSKTSMSLEEEEQTYTPLETRQNICRIANAIRVLSTLGFSITIDVILDVVDLSISKGLDIHEMLGAEFSVLVAEREAERRNSSTRRKR >KVH94435 pep supercontig:CcrdV1:scaffold_40:186304:187377:1 gene:Ccrd_003510 transcript:KVH94435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDDMPGSTYFSSWDFTSDPCNFAGVFCDGDRVVTLNLGDPRAGASGLSGRIHPDIGKLTNLVEFTIVPGRIIGTLPATLSQLKNLRFLGISRNFISGEIPATLGELQRLRTLDLSYNQLTGNLPWSVGSLTALTNVVLCHNRLSGSIPSFSSQALTRLDLKHNNFSGSIPPGSLPSSLQYLSLSWNRFTGPVDQLLPQLNNLNYLDLSLNRFTGPIPGCVFTFPITNLQLERNLFSGPVQPFNEVSIQTVDLSHNMLYGQVSPLFSTVQNLYLNNNRFTGSVPTTLMDRLMAGNVQLLYLQHNFLTAVPINPTAEIPLSSSLCLQYNCMIPPVQTPCPIEAGTQKTRPTSQCMEWKG >KVH94432 pep supercontig:CcrdV1:scaffold_40:119103:139471:1 gene:Ccrd_003514 transcript:KVH94432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Piezo family MNDLISTLRVNNEGISRWIYVGFATIYSRFNELEFDISWELASFSTYSIRSSGKRVPSDLLGGLLLVVSKVAYRNLRNQDRSKATSKVAYELWINNRLLGFHSRGRVVLWFIVVFSLVAILSRVTFLLAWAIWCGRCSAEEPLWAKIIGFKIVQSWKSPIVIYLLILQLLAAFSAFTELHEIRLHLFTWASSFLESLATAVEQIGSHVKVAFFLLLPAVQLVVGISNTSWVSLPFFISSCVGLVDWSLTSNFHGLFRWWRPLWLYAGFNIFFLYVYQLPPRLPKFLNVIAFTQLSFVKIDLEEMNFIMSMREGNLTEHLLPSMNSFFIRESRPGERDTNLLLRGAVFRTFSINFFTYGGPVTKSSQQTIAAKRMQISCGSSTKKKGFKEVSLFALALWSFYYASICAFGLLGYVGYVIFSFPSLFHLHRLNGLLLVFILLWAVSTYIFNIAFSCLNWKVGKDTEIWEMVGLWHYPFPGFFILAQFCLGVLVSLVNLVNNSVFLYISDEVHHTDDVDPAPEVEEETKVLIVATIAWVLRKCNRAIMLVQIFLIAMKPVIFFFLYLLSHRISTRVQQSLLLLCEIHFAILYTLQITLISGVREKSETTSWEILSQLGLLECDSSWSFVEIALLACFCAIQKHGHKVLFSFSAIVQHTPRPPIGFSILKAGLNKSVLLSVYANNNEDDDNPSQERKVASYLSAIGQKFLSLYRSFGTYIAFVTILITVYMSRPNYVSFGYLFLLLFWITGRQLVEKTRKRLWFPLKVYSIVMFILIYILSIFPSFESWISTKVDIYTYLGYNSETSVFENVSESLAITIAMQLYSYERRQNRYPNLEDTNRLQFGVIGFIRRLLIWHSQKILFAAMFYASISPISAFGFFYLLGTVFCSILPKASRVPSKSFLVYTGVLASSEYLFQLCGDQAAMFPGQKYSALSNFLGLKVYQPGPWGLEAGLRAKVLVIAACTLQYNVFHWLEMMPSWLSGVGQWEEPCPLFFSEEDVLPIASISNGDNTPSVKKMGLRTSSSWPPNIQELSGDAGVAPGISNSSSRRYMLGSFLGRVNENQKWNKKQVLSLRKERFDMQKASLRIYLKFWMENMFILFGLEINTIVLLLASFALLNAISLLYIASLAACVLLGRQLVQRSWSLFVVLFASVLLLEYFAIWKTERSLSELAPGEATLHCHDCWRNSESHFSYCRYCWLGLAVDDPRVLISYFLVFMFACFKLRADRFCSFSGSYTYRQMISQRKNAFVWRDLSLETKTIWTLLDYLRLYCYCHLLDLVFALILITGTLEYDILHLGYLAFALTLFRLRLTILKKKNKIFKWLRIYNFAVIVLSLAYQSPFVGAFNDGKCETIDYVYEVIGFYKYDYGFRITSRSALVEIIIFILVSLQSYMFSSPELNYVFQYLEAEQIGAIVHEQEKKAAWKTEQLQHIREDEEKKRQRNMQVEKMKSEMLNLQIQLHATCPVHAHGSDDSPHCEGLRRRRAVSLNTDHTNQNVHKEEDIFKKQESDSNVDFAFPFDFHGTESYSALGSPKHSHLIEVAEFEEDDTEIEKKHKSLRRESTLISAVQLIGDGVSQVQSIGNQAVSNIANYLNIPPXDLDSDDPTSLAATGRHSETESQNGSRMASNRSSSLHSEKSRTSSDSASCGKIFQHIWGQMQSNNDVVCYCCFLLVFLWNFSLLSMVYLAALFLYALCVNTGPNYIFWVVMLIYTEFYILIQYVYQIIIQHCGFTIESISLPEWGFPTNRITSSFVISLLPLFLVYLFTLIQSSITAKDSEHIFSTEFSSLTGKIVNPKEVLFSSSWRENTQRMLQLATNIAKLIIGNCLRYWKSLTQEAESPPYFIQLSLDVHSWPEYGIQPASVESGVNELLRFVHNSRCKESNPDTCSCASNVVVRSIEKSKDNPNVALAVFEVTYASPREECILAERYMSLTPAADVAKEIAEAQDACLSKQLGFPYSIISIIGGGKREIDLYAYIFGADLVVFFLVAIFYQSVIKNKNEFLDVYQLEDQFPKEFAIFFLIVLDRVIYLRSFATGKVIFYIFNLIIFTYSVTEYAWSMEPSQHNAAGLALRAIYLTKAISLTLQAMQIRAGVPHKSTLYRQFLTSSISRVNYLGCRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKSDAVLNRADHRQGEKQKKVTKFCNGLCLFFVLICVIWAPMLMYSSGNPTNIANPINDASVQFHIKTNGGRLMLYETTLCAITPWNVLRRFVDLDPNNYLDSYNVDDIQMICCHADAISWWLVPDAIQRRFIQSLDMAMDMKFSWVLTRDRPKNKEVVKYEQTIQRSDLPKPSDLENAGGVDAKLLLNRRGDSEWWSFFDIDWFNSNVCGDLMGPKAIVGLLGETLSKSSIWGLYITFVLAVGRFIRLQLIAICEDIYAARAEGELVVEEVLYWTLVKIYRSPHMLLEYTNPD >KVH94437 pep supercontig:CcrdV1:scaffold_40:94191:97093:-1 gene:Ccrd_003518 transcript:KVH94437 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD-like protein MNFFKSMLSDDTEPENMDGHGNSDTDRRPNQSPKHQEIGRAEEEFTDLNLTSSAEVVGVGGGGGGGGGGGLWSFGDLVKTITTRSESVLETYRRDLKEFGSGLRKESDLFREVASRAVKELPSSIEVGASAIDGVLKSTADIISQGKEALLEPSDFDDSDASESAQNRSGLNSRGYSRFESQLNAIQTDARTYCVDPEDIDDYSNWKLGFLLDDKESEIEKLIGDNGSIEAIYRKLVPNEVDDGSFWSRYFYRVHKLKQQEDMRANLVRRSLTADDEEELSWDVDDEDEDEDEDEEEKESNQVKSNTSNIKDSSQIETKQNPIHESEAVSSNVADDDLEKNPNSAIVNNNTAESMPKSNEDLDHSENVVDKDLEKNTDAAIVDKNNADDSKPSSRDLPNETVESVPKTKENQGSSSHEEEDIEWDEIEDLGEHDEKRVSQGDSLKNGGDLFKQLNVAEDDEDLSWDIEDDDDEPGKTAGAGGSARIRPLWARGGTYFADFLDPTFHGKKTPVPPRGNRLGLMTIGRTGANISSCGAPHLETLSIES >KVH94462 pep supercontig:CcrdV1:scaffold_40:304101:309855:-1 gene:Ccrd_003501 transcript:KVH94462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MPEGIKNGLSFKKSSNNTGEMGVKDIQNWWTSPSKVASHFGTSGAAVAAATGITHPLDVLKVRLQMQFVGERGPLSGMGQTFVRVVRKEGPRSLYLGLTPALTRSVLYGGLRLGLYEPSKHFCELAFESTNIFMKIASGAASGAFATLLTNPVEVRLQMNHNVHGGAIGELRKIAAKEGIAALWKGVLRKWTSLEEGFYLHLSSSTVAGSVSTLITAPMDMVKTRLMLQQESVRDGAYKNGFHCAYKVTCYGFAIFARLGPQTTITFILCEKMRELAGLKAI >KVH94449 pep supercontig:CcrdV1:scaffold_40:497557:503154:-1 gene:Ccrd_003485 transcript:KVH94449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CHY-type CRKAVLARTPLDKRKDKPPKKHHTVINLQTSTMADDDTASLQNNPHDFGKLLHGFPAIPHLIFLVYIYVRPNSYDDDDDIDRCNHYRRRCKIRAPCCNQIFFCRHCHNESTSGLTNPKERHEIVRHDVKQISLSHLLKVELMTIRTLIDLNLAYRFRFCATTVTILAKHPFTSSATSATTVSLIIPEGLEQ >KVH94455 pep supercontig:CcrdV1:scaffold_40:526979:532741:1 gene:Ccrd_003482 transcript:KVH94455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MAMVAALVNERSVVIFSKSSCCMCHTIKTLISSFGANPTIYELDEHPMGQQIEKELKGLGCKPSVPVVYIGQQLIGGANEIMTLHVKGQLVPLLLSSNAIWVYIRTLICSFGANPTVYELDERPDGQEIERELKALGRKPCVPAVFIGQELVGGANEIMSLHLQGKLVPMLIKERAIWL >KVH94421 pep supercontig:CcrdV1:scaffold_40:315536:322665:-1 gene:Ccrd_003499 transcript:KVH94421 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MDGFNDHEAGNNPDVSIEDGHLIAGDFDYTEEVEIPIIQSQFVNLDGDTEELDIPHFVGNLRALMVDDSDDEVVLDSEDEVVTEPKEGKIRGLWRRASAGGTQSSEVRSSAGSNLTEKVSDNGPSSVHAEARCREVLVGRSKERNLISDNNNVAMVDQDRYGRECREDNIGSKDMETKCSKGDEFFNPKVPSFDFKNANREFSGSAMISDNKLALGCYDESQEPGESSQANALDFVDHYLAVSVVNSSPEVRIPKIDGLRSPLASGAKGSQNLAMKANLMTKIGTSTFEWDDDQPDHGGEFLKKKKELDIQREEHLGGRCKEGLQELVLVDSKRTFSSSVPQNSNPISKVLQTDETERDAFNKFEQFEEESSKQLPGNGSNGRDESEMFDVGFDTQMAAEAMEALLYLLPPCVNANEHEGLKVTPNDILKGATSEKTSKQFCFSSRANCDSQNDGISFKQKRVTNQRHQMSTSFQKNSQNQRESYLELPTLAMEARGESSTENRMDGNNLSEPKKKAHKKSLKAVIRKKQTQDSNKENIQGGYRKHGLVKPDKCNPLGGIQQEVNTFSPVACRTRLGSLVKRSKRSEDTTCNLGYIRDGKQVDVPHKRKLRDLDTNLRKRTKCPTSDSCELKEATKKELFQKWPGHLDETTTSGRLKFDSWKWPKKKRTLRNMRQNAKLSKTFYVLSSVVGSANGNSVMGPSETEGSFREAFSMSSVKRKARSSSKYRSASRXNLLEEXPIQKSGQPSLADVTNTKPDXMSXKMIXXAFDLSGASSQSTKLIDEGWIPSLSGAEKMKNLLSKRRSRKSTMVSSVGNRKLLRKKNSASSSLQNETARLGFAESLPDFSSKDLRRRRSKVEIRVLFSQSLDDDVVKQLRKIMKKLGISLATDCSDATHFVSDRFARTKKMLEAMAFGKPVMENAQQASMECKSSDDLIILSCEEDYELCVPFLDKGSAVYGSELLLNGIVIQKLEYARHQLFDEHVKMKRYARRKIGRQHLHDG >KVH94442 pep supercontig:CcrdV1:scaffold_40:700332:706514:1 gene:Ccrd_003471 transcript:KVH94442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3493 MATADCLRTALKEYNLKFGTILNDPDLASFRVLPEFKELQEEARLGGEDVGYGFRRDLKLISEVQAPFRGVRRFFFLAFTAAAGISLFFTLPRLFLAVKGGDEEEQLAQITRDETLSRLPLRLSTNRVVELVQLRDTARPVILAGKKETVSLAIQKAERFRMELLNRGVILVPVIWGENESQTEKKGFGGPKKAAASLPSVGGLPDWNQIVKELPPLEALLSKLER >KVH94464 pep supercontig:CcrdV1:scaffold_40:232102:233489:1 gene:Ccrd_003508 transcript:KVH94464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEINANEAKKVVPLHTWILISNFKLAYNMLRRPDGTFNRELAEFLDRKVAANTVPVDGQEVKLLFLKKATIGFYFLPNNEHFYTLMDEIKSFVSS >KVH94429 pep supercontig:CcrdV1:scaffold_40:385216:386827:-1 gene:Ccrd_003495 transcript:KVH94429 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MVDSKRKLSAYWWWFDKQNTSITRKSPWLRSTLAELDEKTESMLKIIEEDADSFAERAEMYYKKRPELINLLEDIYRTHRSLALRYDHATKSDSGSSHLYDTYSESFDFDHDQDSREPENNDDREHKEDDDQVRAEEHGSSEVKNLREEIEKIKIENKFEKELLAQKDEEKREAIRQLSLSVDLLKQENMNLKKRIGKDSSKKKNNSSSSFTRVFWGKMFTGKMLYKCH >KVH94454 pep supercontig:CcrdV1:scaffold_40:516741:521384:-1 gene:Ccrd_003483 transcript:KVH94454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MNRVIDLASKKAAVIFTKSSCCMCHSIKALFYELGASPAIHEVDHDADMEWALQRLGCNPALPAVFIGGKYVGSARDVISLHVDGSLKQKLIEARAIWSFQKQNPKMDKIQSLISENGVVIFSKSSCCLCYAVTILFQELGVEPLVHEIDHDPEGWEMEKALVNRGCTSPPFPAVFIGGNLIGSTNEVMSLHLSGSLLPLLRPYQILA >KVH94451 pep supercontig:CcrdV1:scaffold_40:489501:495549:1 gene:Ccrd_003486 transcript:KVH94451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDLRPSLKTPQNEVRALHQGVYDRCSNDVTSSKSRRRMNGRLRKSLDITGSMTERTRIPQVGFSGRQPNLVVAGFLLRLVEDLDSWNRYPWGSYLWALTHPQLKTALPRRQHHVGVKADRIAKYTLTGFVFAFKDGRQPLTVTSTEVERQTDWWSDSQRFFNSIADDFQPPTKRGRSYSPPRPPRPPAPPISSFAGVGASSPEAAITVPKSLEQRVAALEQAVHDLQAGQRSLEAGQSDLEAGYRAMQAAYSGMNYTHARVETSRDVALGRWQHDAMSLVLIAIDIPEQHWPT >KVH94447 pep supercontig:CcrdV1:scaffold_40:585292:587832:1 gene:Ccrd_003479 transcript:KVH94447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVKFGKLKTTSQVGAEYQAIIPPLMEEYKPSEDFRYGLPIPVKWVRDDQSKTITEEAIESNNAGESAIVDDEKESKLKTQDNDLLPLPGLVTETWSAIEHGSFLLGLYLYAKNFPLVKRFMGSKGMGSIMSYYYGEFYKSDAHRRWSKWRKERRNRPLNARKLFTGWSHHELISRLSSNVTDEVKDRLIKDGTRYIEGRLSLTRFIFNLKENVGMNLLVEAVAIGQEERDLLEFRPISDCSYVSLEVTVPIANTTSSLTRANTASSLTPQEILKILKGDARLSKRRSSDLFWDYVWPRLLARGWNSEQPRSNVLQNPKNLVYLVPGVTEFSRNELKKGIHYFDSLTDVLSKVASEPELLELESDQEEPVNSDANKDPDNQEHQDYVEPDVPQSNQDLKMFTIVDASLDRDKDGIFKLTELPTYMVEEETGELDVTESRNQASSNVPQSNLDSKPFTIVDTSLDRDKDGVVQLMELPNLPVSEPTYHVEQGPGENIGFQEKTSSGNNGEHEEMVEEPRSKNKHEPQIIIDLNLSRMIPHTDPNQSSLSAEPTSNQVESSNGAGANMQQQLVLEPQRRYSTRSRMLSTKALEALAFGYLSPKKKRKTAEEKTPRQTIAVSSGGARNVENAVNGALNGATDTVERSPE >KVH94426 pep supercontig:CcrdV1:scaffold_40:368628:372850:-1 gene:Ccrd_003496 transcript:KVH94426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGVTETVVIIVGAGPSGLSTAASLHRLSIPYVILEREDCIASIFNNKSYDRLHLHLTKQFCQLPHMPFPANFPSYVPIKDFLKYLDDYASHFKINPMFHNFVKFAKYDEDRKKWKVEAEIVGGRSAEEEGGVRWYEGKFLVVATGETSDVFIPAVDGLSEFKGEVIHSTEYKSGERFENKKVLVVGAGNSGMEIAFDLSNHGAKTSIVVRSPMHILSRWSTNLGLMSLASMPLHLVDSFLVFVNTIMYGDLTKYGIQRPKEGPFLMKVRDGKYPVIDVGTVKKIKSGVTEVKEHKRRWK >KVH94463 pep supercontig:CcrdV1:scaffold_40:293204:297219:1 gene:Ccrd_003502 transcript:KVH94463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVVVPRGTGCGGGCGGGGGRSENGTTEEASSKQHQNHISVLELLIAALRKSMAACRVDQREESKSSAIHQMEIGWPTDVQHLSHVTFDRFHGFLGLPVEFQVEIPCRVPSASVFGVSAESMQCSYDSRGNSVPTILLLMQERLYAHGGLKAEGIFRINPENSQEERVRDQLNRGMVPEGIEVHCLAGLIKAWFRELPCGVLDGLSPDEVLQCNTEDERVELVKQLKPTETALLNWAIDLMSDVAQHEESNKMNARNIAMMSDPLTALMHAVQVMNLLKTLITKTLRERKENTTNEEFNCREEIQTSCDLRRRGSSESEEDDNDEHIRYDLCGNSVDGEIEESFLKQTKENKPAKDRFKKEWDDHTVTPRSDPTSGYDFREESLVMSDGCVSGGVSRVSKESENGKNGMYELNLPS >KVH94441 pep supercontig:CcrdV1:scaffold_40:708239:709793:-1 gene:Ccrd_003470 transcript:KVH94441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAKPVMIVGVDDSEQSFFALEWTLDHFLGPSAPNPPFSLIVVHSKPTPTSSMGFAIPGVDIISHVDTDLKNIANRVIDRAKELCLSKSVNDVSLEIVEGDARNVLCDAVERHHAIMLVVGSHGYGAIKRAVLGSVSDYVTHHAHCTVMVVKKPKTKHEDHHH >KVH94459 pep supercontig:CcrdV1:scaffold_40:246329:251981:-1 gene:Ccrd_003505 transcript:KVH94459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFESCIELEWNPTRLMNRRRRGGGKREEERSSGPAKTRLPIAPTSQTNRGHCTDIFSKGSWLSLMNLRQHSTVSNRHFSQQLAQFIIVPHSQLNMPRSDPVLLIFEDGGEVNRTTRSDTFCISAGFKVPGNSADGELKTGF >KVH94423 pep supercontig:CcrdV1:scaffold_40:403122:408151:1 gene:Ccrd_003493 transcript:KVH94423 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MRLGFFSLLNAIHHSRFHVVSFLTWLGSFFFYMCHDAGDSRRRVLAADSKCIENAIRCGGLAPTKASCIKNMLSCLFEKRGELCLEYLRDLSIDEIKMELSRFKGIGPKTVIKFGFDMVFNAGDFVSQVNCDEKDIEI >KVH94456 pep supercontig:CcrdV1:scaffold_40:467347:468822:-1 gene:Ccrd_003489 transcript:KVH94456 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MYTIKLLVLFNIGSYGLIVITTLLTSQGSLRVMIVGWICAVFSVCVFAAPLSIIRLVIRTRSVEYMPFSLSFFLTICAVLWFFYGLLIKDYYVATPNVLGFVFGVTQMILYMIYKDKKKQVRPVVQPQDGPAATVVDLGAILEMKEKAGVDLGAILEMQEKAGVDLGVILEIQDKAGVHELGIILEMQEKSVAGDEAVGEKKEEVTAKVSLGLDGEKTIMPTFA >KVH94436 pep supercontig:CcrdV1:scaffold_40:85954:94403:1 gene:Ccrd_003519 transcript:KVH94436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAGVSSVTDLAPAVHPSAMSETFAKNAIISWFRGEFAAANAIIDSLCGHLSRLDGGRCEYESVFAAIHRRRLNWIPILQMQKYFSIADVTLELQKVTEEKTKLRGSERIEEVDVSSPVKLEKQPEILEMESTDSNRNGGAEADDDDTRLSAPTSEITDTGSEEVQPLVETVKICSNQEDLEAWRAQIRIRKGFVAKESVKGHMASLKLYENILGDTELTRLIDYVHKLRVASRNGELSGETFITYNQQLKTIKREAIQFGTPIFGQIRDETTGVINHLIKYHLITENRRPNSCIINFFDEGEFSQPFLKPPHLDQPISVLFLSESTMAFGRTLACDKDGNYRGPLMLPLKEGADMARNVMCQSPTKRINISFFRVRIDSNDEKTSSATATDDAMTVWQPGVPTPKGTTLNGYDSMDKVSKWGAPQLLMLAPVRPMVMSPRRLPRGGTGVFFPWNKIGKICTSSSPKRTDSRTSSSTG >KVH94427 pep supercontig:CcrdV1:scaffold_40:332468:356473:-1 gene:Ccrd_003497 transcript:KVH94427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MNTGVTETVVIIVGAGPSGLSTAASLHRLSIPYVILEREDCIASIFNNKSYDRLHLHLTKQFCQLPHMPFPPNFPAYVPIKDFLKYLDDYASHFKINPMFHNFVKFAKYDEDRKKWKVEAEIVGGRCAEEEGGVRWYEGKFLVVATGETSDVFIPAVDGLSEFKGEVIHSTEYKSGERYENKKVLVVGAGNSGMEIAFDLSNHGAKTSIVVRSPMHILSRWSTNLGLMSLTIMPSHLVDSFLILVNKIVYGDLTKYGIQRPREGPFLLKVRDGKYPVIDVGTVKKIKSGEIQVLPRLKNIKGGGNEVVFENGKSYQFDGDDFLLNEDGLAKPKYPNHWKGENGLFCVGLARRGLYGTAMDTQKIAHHNKSYHRFHLHLTKEACQLAHMNFPANFPTYVPRKDFLKYLDDYASHFEIKPMFHNFVKLAKYDEDRKKWKVEVEIVGGEEDGGGRWYEGEFLVVATGETSDPFIPEVDGLSEFRGEVIHSTEYKSGERYENKKVLVVGAGNSGMEIALDLCNHGAKTSIIHITPRWSINFGFKLMTHIKFIPWHWVDSFLVLTSKVMYGNLTKYGIQRPKEGPLFLKARYNRYPVLPGLKSIKSSGTEVVFENGKCYHFDTIMFATGFKRSTHLWLQHGTALGRSVELSRFIGYEGLIVELDRMFEFDGRLVSGTSGWVAVVRKMTIESAAT >KVH94450 pep supercontig:CcrdV1:scaffold_40:489931:491776:-1 gene:Ccrd_003487 transcript:KVH94450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MYSGIHSIPLEGTGIAHADLPRHLPTTNLVGDPCLVLTTDPKPRLRWTAELHERFVDAITQLGGSNSDGGRWKKRIEPMWKKTRTNNTHGQRHGNYDASIYKDML >KVH94428 pep supercontig:CcrdV1:scaffold_40:390697:397244:-1 gene:Ccrd_003494 transcript:KVH94428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MGLLSSSSSDPEAEFVDQIALFTALLCACIVIGHLLEKSRWINQSITSLLIGLGTGCVILLTSGGKSSRILEFQQEFFFMYLLPPIIFNAGYQFFRNFMTIVSYGAIGTLISFAIISYGATRLFPKLDIGYLEIKDYLALGAIFSATDSVCVLQGVVNDATSVVLFNAVMNFDLSDMNTAVAYKFAGNFLSLHSTDREIALMILMAYLSYITAEVFKLSGILTVFFCGILMSHYAWHNVTLKSQVTTRHTFATMSFIAEVFIFLYVGMDSLDVEKWRFVDNTPGKSIGASATLLGLIMVGRAAFVLPLSYLSNLTRKERHEKVGFKQQVTVWWAGLMRGAVSVALAYKKVFGLLTKPFLRMLLPHPPEETEPSSPRSLAIPLLGNGGDLDAERGNLRTLPTTSSLKMLLNSPTNTVHHYWRRFDDSVMRPIFGGRGFVSYATGTRDMDVIH >KVH94424 pep supercontig:CcrdV1:scaffold_40:452683:456428:-1 gene:Ccrd_003490 transcript:KVH94424 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing MKNSARISKGNANNGGSNQNFNRLAPVNNSKMKNRNRMGGGGGGLSLQAFANAKTKTDGYNPALIKKQREFYKNAKYVNKYKKSLKQQNQEQGSSQATKSVEEVGERHRGKRQTGNKSAYSLKEIYEKKREEEEKARMEKEAIIDAKKRKKEESEGRRKAQREKMLKRTRSGQPIMKYRIEHLLQTIQGSND >KVH94457 pep supercontig:CcrdV1:scaffold_40:480106:484283:-1 gene:Ccrd_003488 transcript:KVH94457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSPPIDHSHGETKTTGIYGSSLRRPCDFCNKSTAILYCRADTAKLCLSCDREVHSTNQLFTKHTRSLLCDACDSSPASIFCSTDAAVHCQNCDWETHNKTNSNSPTTHDRRPLEGFTGCPSVTDLLSILGFEDLSKKGVFLGGDGGSDSHGSGDGFPDYLVWDTPSFVSLDDLIVSSGSDHNYQAMGNRNAALGTYKDELLRQLRELAIFEPNVDDGQDMVKPMSEFQPTVTTESIQIEGRSSGFEHKLEQKIYSPYETNCVVPDENLYSVHSSSHANANHEGRLHDHNVAAENVPLFPVVRDINSQERETAISRYKEKKKSRRYDKHIRYESRKVRAESRTRIRGRFAKLDR >KVH94412 pep supercontig:CcrdV1:scaffold_40:1103:2011:1 gene:Ccrd_003526 transcript:KVH94412 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSANQRVRLNTASSGSGSGSNEPDIFNERVLLLVMEWMKWDVQLLCRTASVCRRLRAMAKRLLWRELCLFRAPRITETLSNGVHSSRMGGGWPALAKLLFFCGGCESSRNFVLSRPKPGHFVMESRFSKTSGRSFLMKRCRGDLLFVSDPCEHRMGDREDDLGVFRGVFRGFRESKTRACLIARQVALEEKDRCPYCGARVWSMTTAGLVPRNAAKRLGSHEGALEYFVCVNGHLHGTCWLAPLSSDEGVNGDDEIEEYEMDGSYGSGGTGNNSGGVTDGFFDDPTAGNRFQYDVYNGCTTR >KVH94413 pep supercontig:CcrdV1:scaffold_40:13450:17021:1 gene:Ccrd_003524 transcript:KVH94413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAMLSLSSATHPRYLTCGTTKLSKLIIADSCKYGRRVTRSLGRMDMERSLVFGEVAKNMIKGSCHNLRVGVLAASTIPVIETVEKLSTYLFRTEKGGHVKVITRLKDDKYGVDVEVSYLQLSGNDGDVVMSWGIFRSDSSSLMSLRSNDSGILETPFVNKSLNKLSVELEFDASLAPFYISFLLKSQLSGEKIEIRSHRNTNFCFPVGIRSGYPAPLGLSYTADGFINFALFSRNAKSVVLCLFDDSSKEEPALEIDLDPYINRSGDIWHASMDSAMNFVSYGYRCRNGAQDKSQVKRVSLDPYAKVIGENFLGKICTEPAFDWSGDVHPRLSMEKLMVYRLNVMDFTKDTSSNLPNEIGGTFLGVSEKLHHFKDLGVNAILLEPIVPFDKQVGPYFPFHFFSLHDSFGPPGGSMPTIKSMKEMVKRLHADGIEILLEVVLTHTSQNASLTKIDNSSYYFVKEGDDLATKCTLNCAHPVVQQLILDSLRHWVIEYHIDGFCFINASSMLRGFNGENLSRPPLIEAIAFDPILSKTKLIADSFDPFHKSAKEIQFPHWKRWAEMNTNFCKDARNYLRGESLLSNLATRLCGSGDIFLNGRGPAFSFNFVTRNHGFSLVDLVSFNNTRELSWNCGEEGATKKKSVLETRLKQIRNFIFILYISLGVPVLTMGDECGRSSGGLLEQGDRKPFNWKALQTGFGIQTTQFVSFLSALKIRRSDLLQSREFLKVENIDWHGPNLSPPDWEDPTSRFLAMRLKVDEDDENQLKGDVFVAINGGDEPVMAAVPLPGSKMAWVRLVDTALQYPRFFSTAGDRVPEKIPGSPVYDMGPHSCVLLEARSIDD >KVH94439 pep supercontig:CcrdV1:scaffold_40:621883:642026:-1 gene:Ccrd_003476 transcript:KVH94439 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MSTPGGSKRNSNMSTPGGSKRNSNVVNIYEEDDNQNHNQIYSHQTPDPLLPEIEPPGLKRHDSLDIESAKIHGHHGHHGTKDWGIILRLAFQSIGVVYGDIGTSPLYVYASTFTDGIKHEDDILGVLSLIFYTITLIPVIKYVMIVLHANDNGDGGTFALYSKLCRFAKVGVIPSEQAEDREVSNFQLELPSKVNTISSKFKKALENSKFAKFCLLFAAMLGTSMVIGDGILTPSISVIILITLFMVQRFGTDKVGYSFAPIICVWFALIGGIGVFNFIKFDPSVAKAINPKYIVDYFRRNKKDAWISLGGVVLAITGTEAMFADLGHFTVKSIQISMGCVVYPALITAYSGIAVIFAETLTSSFMVVIMLVIWKTNILLVILYVLVISSTEYFYLSSVLYKFGEGGYLPFSFAIVLMFIMCTWNYVHRAKYNYELNHKVPREVIKDIVSDTSISKMRGLAIFYSELAHGIPPIFKHYVDNVPALHSVLVFVSIKSLPISRVAPEERFLFRRVKPNELYVFRCVVRYGYTDVRNEKDSFEKILIEHLKEFVETDYRAPEEQNRRVADEDLVVLDKSWRAGVVHLVGEHEIVSKSGSSIGKRFLIDYAYNFMRKNLRQSSSVFEIPHKRMLKRHDSLDIESAKIHSHHRHNDTKDWGMTLRLAFQSIGVVYGDIGTSPLYVYASTFSDGIKHEDDILGVLSIIFYTITLIPVIKYVLIVLHANDNGDGLIPSEQAEDREVSNFRLELPAKNNAISSKLKKSLESSRFSKFSLLFIAMLGTCMVIGDGILTPSISVLSAVSGLKKATDTMTERVIVVVSVVILITLFVVQRFGTDKVGYSFAPIICVWFGLITVIGIYNFIKFDPSVAKAINPKYIIDYFKRNKKDAWISLGGTVLAITASWLRKHKDNVADTFYKSAPEVMYWLVFVMAVMAAIVASQAMISGTFSIIKQSLSLGCFPRVTVVHTSAEYEGQVFIPEMNYLLMIGCVLVTVTFRTTENIGHAYGKIFCTSTEYIYLSSVLYKFSEGGYLPFSFAVVLMFIMCTWNYVYRAKYNYELDNKVSQEVIKDVVSGSDISRIPGLAIFYSELVHGIPPIFKHYVDNVPALHSVLVFVSIKSLPISKVAPEERFLFRRVKPNELYVFRCVVRYGYMDVRNKKESFEKILIEHLADFIESNHEVSEAQHDLVVVENAWRAGVVHLVGEHEIVSRSGSSIGKRFVIDYVYHFVNKNSRQSESVFEIPHKRMLKVGMTYEL >KVH94444 pep supercontig:CcrdV1:scaffold_40:689778:690014:1 gene:Ccrd_003473 transcript:KVH94444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQHISNDTSMIHECKRVGERLRQAFQATVMAADSQNGSIDSERRVQYAKETNRPKRKMNVEDPMRTIMFLGSWSHT >KVH94433 pep supercontig:CcrdV1:scaffold_40:154485:156446:-1 gene:Ccrd_003512 transcript:KVH94433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAIHRHLLLRRLLLLLFLPSLSLSTSSDNHHHHHRTLLQSANVSCPLSFDLLRRLVGSLRPSLDPQTVCRYTQQGLRLVEADYLRRTSSFVPPLNSAESCWASYQTVVADFFPNFDLRRNCGFETNWIAVPGCMNITNRRQFEGNVSRSSLNTAVSACNQSLSGSSCSACTVALSNLQASYLNGASVGNLTDCRAYPFIYAAAFANRFGPTDRGTAVCLFSLDLASADSKNKLNTIVIVIIVVIITVFLLAISIGFWYYRRKKLENLKLKRRSRVAEINSVSALDSITGSTTLIRYTIDDIKEATRNFSRDNIIGKGGYGNVYKGFLTDGSEVALKRFKNCSAAGDAIFTHEVEVIASVRHVNLVALRGYCTATTPFEGYQRIIVCDLVKNGSLHDHLFGNSSIRSHLNWPIRRKIALGTARGLAYLHYGAQPAIIHRDIKASNILLDENFEAKVADFGLAKFAPEGATHLSTRVAGTMGYVAPEYALYGQLTERSDVYSFGVVLLELLSGKKALLGIGDGQQPVLLADWAWSMVRKGTPLEVIDDEMSECGSPEIMEKYVLAAVLSSHPQLYARPTMDQVLKMLDTDLPVPSIPERPIPLIAGIEDIEKSVSSNGSGQLSTPRGYQAYMFEDGRPVAREEVEEEERRLSNCR >KVH94425 pep supercontig:CcrdV1:scaffold_40:442290:448132:1 gene:Ccrd_003491 transcript:KVH94425 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAYSFPEEVLEHVFSFISSEKDRNAVSLVCKSWYEMERWCRRRIFVGNCYAVNPKIMIRRFPEVKSIELKGKPHFADFNLVPEGWGGYVYPWIVEIARGYPCLEEIRLKRMVVTDESLELISKSFKNFKVLVLSSCEGFSTDGLAAIAANCRNLRVLELRECEVEDLSGHWLSHFPDSCTSLESLNIACLLSEVSFSALERLVARSPNLKTLRLNRNVPLEKLSTLLQLAPQLVEFGTGVYSAEIRSDVYASLTEAFSGCKNLKGLSGFWEVIPAYLPAFYSVCSRLTTLNLSYATAQSPELTKIVSQCHNLQRLLVCLDAYLYPIQVLDYIEDTGLNALALSCKDLQELRVFPSDPFVADANVLLTEQGLVSVSEGCPKLQSVLYFCRQMSNSALITIANNRPNLTCFRLCILEPRAPDYVTFEPLDAGFGAIVENCKQLRRLSMSGLLTDRVFEHIGTHGKKLDMLSVAFAGESDLGLHHVLSGCDSLRKLEIRDCPFGDKALLANASKLETMRSLWMSSCGVSFGACKLLGEKMPGLNVEVIDERENLDSLHESCPVEKLYIYRTVAGQRWGSNKVLKKALLVKESD >KVH94438 pep supercontig:CcrdV1:scaffold_40:507428:512773:-1 gene:Ccrd_003484 transcript:KVH94438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein, HCG-1 MAGGNEQIELKFRIYDGTDIGHSSYASSTTVAALKQKLVSEWPQGKSVVPKTVNDVKLIHLGKVLENNKTLSESGVHDGAFAGGVITMHVVIQPVLTKKKTSKKQDEMGKLNACGCTIL >KVH89673 pep supercontig:CcrdV1:scaffold_400:132576:149933:-1 gene:Ccrd_008339 transcript:KVH89673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase/fosfomycin resistance/dioxygenase domain-containing protein MASSSLYHLSPFRPYKSPSSSSSSFRRDVSVPVACSASRRLALIQLGTVIPQSQLFGVNASTLLKSDGNMTDVSTVANTAKPLTEENALDWLRKDKRRMLHVVYRVGDLEKTIKFYTECLGMKLLRQRNIPEERYANAFLGYGPEESNFVIELTYNYGVDKYDIGTGFGHFGIAVEDVAKTVDLVKAKGGKVTREPGPLKGSSTVIALIEDPNGYKFELLERKSRILEPLCEVMLRVGDIDRSIKFYQQAYGMDLLRKKDDPENKYTIAVMGYGSEDVSAVLELTYNYGITEYDRGNGYGQIAIGTDDVYKTAEVVKLFGGDIVLEPGPLPVFVDNVDFMKELE >KVH89668 pep supercontig:CcrdV1:scaffold_400:72841:80218:1 gene:Ccrd_008336 transcript:KVH89668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MTTIRRTLSPVPRPGTVLGGEACSVASPLSKCSSCNQDYTPTSGSVPNSSFASTEYALYRFQSLVLNLFPKRTSRPLEKQKPKGNLWRRSLFHFIVCFLVGVFVGFTPIVSIRTSTNLMSRNQAFSFEQFGKVQLNDVSHMKVVETVVASNVSSDLEGQMGQAKQENETSNSIVLVLPQIHGPEMGIGKFLIIVTPTYPRMFQAYYLNRLAHTLKLVQPPLLWIVVEMTSQSGHTSELLRRSGVMYRHLVCTKNTTEIKDSRVHQRNVALLHIETHRLDGIIYFADDTNIYSTELFDHMRQIRRFGTWPVAKLKKNTRVATFVGPICNGTQVIGWHTNNVMRRFHRFHADLSGFAFNSTILWDPKKWHRPTIEPIRQLDTLKDGFQISSFLEQVVEDETEMEGIPKDCSKVLVWHLPMDSSSAHPQEWSFKVNLDAILPLSLSI >KVH89670 pep supercontig:CcrdV1:scaffold_400:5042:15635:1 gene:Ccrd_008334 transcript:KVH89670 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1620 MAMAMKLSLLLLFFLSSLIPTFSLFEDQVGFMDWHQRYIGKVKHAVFQTQKAGRKRVVVSTEENVIASLDLRRGEIFWRHVLGANDVVDKIDIALGKYVITLSSEGSILRAWNLPDGQMVWESFLSGSKPSKALLTVPANLKVDLDSPIFVYGGACLYAISSIDGEILWKKDFTAEGIDVHQLLLPDGSDVVHAVGVTDLSQFDTYEIDVRNGELLKHVSASFPDGIYGEILPISSDKFVALDARRSVLVLISIKDGQINLQQTHVSDLVHGSSGNTAILPSKLSGIFSVITDTFIAFIKVTNEGKLDVMEKVDKMVVVSDALPLSEGEQAFALVQHGESKIHLSVRLVHDMSSNHLKETVKMDHERGFVHKIFINNYVRTDRSHGFRALIVMEDHSLLLLQQGEIVWSRDDGLASVIDVTTSELPVEKLGVSVSKVEHSLFEWLKGHMLKLKGTLMLATPDEVAAIQKIRLQSSEKSKMTRDHNGFRRLLIVLTRAGKLFALHTGDGRVVWSSLLQSLRKSDKCTDPIGLKLHQWQIPHHHALDNNPSVLVVGRCGLSLSASSAMSIVDAYTGKELHNMGPAHSTVQVISLPFSDSTEQQLHLLIDADKRAHLYPRTPEAAEIFQRESENVYWYSVEAEAGILRGYAVKGSCNLEVPNEYCFETRNLWSIVFPSESEKIIATVTRKANEVIHTQAKVIADEDVMYKYISKNILFVATVSPKASGPIGSATPDESLLVVHLVDTVTGRILHRMSHSGSQGPVHAVLSENWVVYHYFNLRAHRYEISVIEIYDQSRAENKDVLKLIVGKHNLTAPVSSYSRPEVTIKSQSYFFTHSVKAISVTSTAKGITSKHLLIGTLGDKVLALDKRFVDPRRSLNPTQAEKEEGLLPLTDALPIIPQSYITHAFKVEGLRGIETVPAKLESTTLVFAYGVDLFFTRLAPSRTYDSLTEDFNYALLLLTIVALVVAIFVTWVLSERKDLQDKWR >KVH89672 pep supercontig:CcrdV1:scaffold_400:129617:133909:1 gene:Ccrd_008338 transcript:KVH89672 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF828 STSVCSSNSNRLEKIKEEGQENWLPAACRLPETPTESMEFLGRSWSVSSVEISKALSNTSQPQSLAKEDSPPVSPRKSDEMKLYRNIMRGRTMGRWIKDQKERRKHEARTHNAQLHAAVSVAGVAAAVAALTSTNNEQTARSKAVASAAALVASHCIEIAEDMGAEHDQILSAVNSATTARTNGDIMTLTAGAATALRGAATLRARLQKGATTMGLAEEQGEEGKDLHVLAALNFVSKGGELLKLTRKGDLHWKQISFKVNTKWQVVAKMKSKHMAGTFTKKKKCNNIATPAGVVSGVYDDVPAWPGREMDDSNEQRQYFGIETSERIIQFECSTKDEKQKWMEGLQHLLNCRANMAWFT >KVH89669 pep supercontig:CcrdV1:scaffold_400:110105:111133:1 gene:Ccrd_008337 transcript:KVH89669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant CATPTVVLVVLAVVAHHQPRRRPHSSADHHSSAIHLFPSSSQQRRPPQQRRPPSPFYLIAAMGLQVLWSFGLACLDIHALRLKKDLHNHIFLSLLVVGDWVTAILSLAAACSSAGVMVLFVKDTDICRSHNLLSCNTF >KVH89664 pep supercontig:CcrdV1:scaffold_400:252350:266137:-1 gene:Ccrd_008343 transcript:KVH89664 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MEVDTSPPTPTTFDLKPKPPPYSLYSSSSDIAHSLNLDIEDSEMGAALFSSLNAGIQLPSAAADAECQSPTSHSDAAMKLQKVYRGYRTRRLLADSAVVAEELWWQAIDFARLNHSTISFFNFQKPESAASRWNRISLNASKVGKGLSLDSKAHKLAFQHWIEAIDPRHRYGHSLHIYYKEWCKADAGQPFFYWLDVGEGKEVDLKECPRSKLRQQCIKYLGPQEREHYEYIIVEGKIMHSRTNFLLDTTNGTKWIFVMSTSKRLYAGETISPYSGHYRPTDESLNLFLSLLKEHGVDLDTVEIRKANEDYENYEHKNASNNGPKPETPISSDTKGEEDEGEEEESILSQPVEAGEKLKKNVSYKRSLSGGLQSPKADVPKTAILERINSKKAARSYQLGNQLSLKWSTGAGPRIGCIADYPLELRMLQ >KVH89666 pep supercontig:CcrdV1:scaffold_400:210358:210837:1 gene:Ccrd_008341 transcript:KVH89666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MRNSSLCIYSTSGMTGFRDVAKKVGEAIAKLCMEKGITTVAFDRGGYPYHGRIQALXDAAREHGLQF >KVH89667 pep supercontig:CcrdV1:scaffold_400:180908:186651:1 gene:Ccrd_008340 transcript:KVH89667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein TauE like protein MKLGMMMMMLSLVLASWEQSVMTEVSSAAGDGDGVGDEFGSGYFLKMAYYLFQTNGSGYEHVWPDEKFKKNVHVQEMEFGWKIVVGSVVGFCGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSATAISKCMIMGAAGSAVYYNLMLRHPTLDMPIIDYDLALLIQPMLMLGISIGVTFNVIFSDWMVTVLLIVLFIGTSTKAFCKGVETWKKETIMKKEATKRLKTNGGYSSFLIQLQEGHLDYNLVFFLANRTSFISMLSGSGADIEYKLLPGDGNPEKSLEQEITIFENVYWEELGLLLLVWVSFLVLQIAKNYTFTCSIWYWVLNLLQIPISFGVSGYEAVSLYKGYRKISSKGDSGTNLRVGQLVSYCSCGILAGLVGGLLGLGGGFIMGPLFLELGVSSATATFAMLFSSSMSVVEYYLLRRFPVPYALHFLVVATIAAFIGQHVVRKLIILLGRASLIIFILAFTIFVSAILLGGVGISNMIGKIEQQEYMGFENLCMYEV >KVH89671 pep supercontig:CcrdV1:scaffold_400:26686:31954:-1 gene:Ccrd_008335 transcript:KVH89671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MTCSAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEVIVRLVEQYGAKKWSTIAQHLPGRIGKQCRERWHNHLNPNINKEAWSQEEELALINAHQIYGNKWAELTKFLPGRSDNAIKNHWNSSVKKKLDSYLASGLLAQSQGLPRFSHTNQSTASTSSWVQQYSGDDSIPKDITETEDVSDCSQGSTFGGCSKATMANAIAGYAQNESQSAENSNQDLGSYPSSGLPCELSDSSKMVEQCFSHDWVTSDKDWQISSNELQKIPSLDLSEDSEFINCIDVDQNHEVAPYPTQSSVNFGASTSIGNLVVASDVAEHMLMSEDDCSRVIYPPDGSTLSYASENLYKCPNSNEDGSADSLIYQPSNGEVPNDSNINSQSYYSDLLGTPCYQSICMPLQPPSGQGTNNFNSESNQFNGPSINNQELPVHAHNGLPYANDSSNTDFCNSQDIVGSQNHRDQVNDSLEVVPTDAFSLAQLQSDPSVDQVHVSETEQHDTGVLSYDPPRFPSLDIPFFSCDLVQYGGEMQHEYSPLGIRKLMMSSGNCFSPYRLWDSPSRADSPEAVLKSAAKTFTGTPSILKKRNRDLCSPLSEKRCEKKLERTSFSNLARDFSRLEVMFDDSKSQESPSFSHKEDYGPFVEDKENVIPATEGREKDGGNSTITKICFTYRASFSIQSCQVQQSSGVLTERNLDNLSFFSPDKFTTKADTPKGPNAKISGKTSSSSSEVVPDHVTAMASSCDNPCQSLVSPHTVSGKKAGSCLVASMHSAPNTVEKSGNDASVETFGLFGETPFKRSFDSPSAWKSPWFSFLPGPRVDTDITIEDIGYFVSPRERSYDALGLMKQLSEHTAPAYANAQEVLGDETPDSILKKRYEEKGERSRQESNLLTERRVLDFSECGSPSKGTEGASFSSPSSYLLKGCR >KVH89665 pep supercontig:CcrdV1:scaffold_400:219652:224809:1 gene:Ccrd_008342 transcript:KVH89665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MEGVLMVLWQTCYHVKQINSQVSFQNTKTMSYFVTKISRSVTGLELTMDENRKEKNTKPRYLFKGKEGVLSVFPNSIQKLQTTRSWDFVGMPLKRTERNTKAESNLIIGVLDTGIWPQSPSFNDKGFGPPPRKWKDQKLSPVDEDGHGTHTASTAAGVPVKGAGLYGIGKGTARGGVPSARIAAYKVCWASGCSDMDLLAGFDSAIADGVDVISVSVGGSPRKFFQDSIAIGAFHAMKKGIFTACSAGNEGPDLLTVQNVAPWITTVAASRKIVYCLGKNQQDHILYNQGVAGIIVSRESDNDTPSPFLIPGTTVSPEEGKNIDAYINSTKFTVQPDIAAPGLGILAAYSKLTSMTGHPDYDKRFVDYQIASGTSMACPHVSAAAAYINTAAMELASGSGLINPTKAVNPGLIYDINTSAYIAYLCKVGYNSTTLGILNGGRHQYDCKNFKPTRGVDGLNYPSMQLQVEQNVTNFSAVFKRIVKNVGDERAIYEAKVVKPLGLTITVTPPVLKFEKLNQKLAFTVVLEGAFVKKDAFLLSGSIEWRDSKHSVVSPVSVYRYIPPVLQDEIRV >KVI01681 pep supercontig:CcrdV1:scaffold_4000:24260:26510:-1 gene:Ccrd_020040 transcript:KVI01681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MATEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTIEIDDETYEEIVRSTRRTVPFLFVRGDGVILVSPPLRTA >KVI10846 pep supercontig:CcrdV1:scaffold_4002:44900:46151:1 gene:Ccrd_010751 transcript:KVI10846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVFGSGCSSGCESGWTLYLEHSMYPSHSLQNPNNGDGFICKKGSFTHEDYEVEEEEEEEEEDMSMVSDASSGPPHFQEQEEECFNTNNNGGGGGGGCCVYPPLPSLIDHPTNGKRQKIPKQPNLHRKVQDLPSFLDDTASSPFFNFSNNNLTVTSNKASMADNDMIDYSQGYSTTYFEGKSTFQDHFGFFHPSVSGTQLQQNQLSVFIFLHSGVVLYKPSMHLDSNKMYIFLMHTRWFEGKR >KVI10847 pep supercontig:CcrdV1:scaffold_4002:3337:4560:-1 gene:Ccrd_010750 transcript:KVI10847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MSTNEEYHSGDFHEVSFIDQNGELKTTKIPVVQELARQGLMTDHFPKRFIAFQPTTPENDPCLPVTPPVIDLAKLQGDATRESELRRLAEAVKEWGTFLIKNHGLEDMVLSDAKNVVRGFFGLSFEEKKANVGSYKSVDNMGYGKSFVKSEDQLLDWTDRLTMKAAPVDEATNGLMIWPKKPANFRQAIETYVEKSRKVLDGLLQDLAASLSLDENAFLQYFEPKQSEVKVRVNYYPPCPRPDLAIGIMPHSDASGLTLLLEFGATSGLQVLKDNLWTTLQWPEDNSLLVNIGDLVEIISNGVFKSPWHRVRTQLDVERFSLAYFYNPPAKSEIGPEVGGDSAEVTYKKVVVEDYVSNFYKISPRPSKEAMEYAKLT >KVI04255 pep supercontig:CcrdV1:scaffold_4003:11171:15365:-1 gene:Ccrd_017434 transcript:KVI04255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MQQICSRRKVQNLFELNLMKMILEVLLVVMFIGPAMSQLPSQDILALLEFKKGIKHDPTAFVLSSWNEESIDFNGCPSSWNGIMCNGGNVAGVSLKNLSLAGNNFTGPIPDWISGLNSIQSLDLSRNSFSGPIPPSLTHLNNLVYLNLSENGFMKKIPSGFQDMVALEVLDLHGNMFDGDFDAQFLLLTTAIHVDFSGNLLVSSSSQQEKFLAGISDTVKYLNLSRNQLTGSLVTGGVAQMFGSVVLKLSNNRFSGFIPNDLLRGDSLNQLTGSIPEVIAQFLRLNHLNLSHNSLDSAIPKAITMFPRLSVLDLGFNRFGGPFPNGLISMPTLQELHLPNNELVGSVDLFSSSFSNDSNIRVLDISDNQLDGDFPDGFGLLTGLQVLDVSGNNFSGSLPPSLGGLSDLVSLDISQNHFTGPLLKNLTDDLKSFNASYNDLSGVVPENLRKFPESAFFPGNSDLQFPNPPPGPNPSASSNKKKPIKTIFKVLVIVACVIAVVILILLAVFIHYMRISRRPLPQEVGTKDVRRRQTAAGSGGGMVISAGDIMTSRKGSSSEMITPEKIGGGGAVASFSPSKTSGFSYSPDSGDSYTVENLSRLDVRSPDRLAGELYFLDDTVSFSPEELSRAPAEVLGRSSHGTSYRATLDNGLLLTVKWLREGVAKQRKDFAKEAKKFANIRHPNVVGLRGKGPPLTWAQRLKIAVDIARGLNYLHFDRAIPHGNLKATNILLDGVDLNARVADYCLHRLMTQAGTVEQILDAGVLGYRAPELAASKRPLPSFKSDMYAFGVILLELLTGKCAGDVVSGEDGGGVDLNDWVRLKVAEGHGPDCFDAVLITEMGTPAADKGMKEVLGIALRCIRPLSERPGIKTVYEDLSSI >KVI04254 pep supercontig:CcrdV1:scaffold_4003:31133:36393:1 gene:Ccrd_017435 transcript:KVI04254 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW-like protein MFASATSFRAFTMIKCSKDPFLRTTTYMAAPPTTTVCSRALSADSSVDATPSTQDRGSYINEARPAIVSLQEWQAWGTDSPLPTQVAEIVDEMMVSERESDAQMKFGGLGGKLKGHFKMQEDKKHRAKYRALDDSEQKLHFFSARQIACRILGSRGYLCQKCWLPLEDCMCLRVVPCSLWHRIRFWLYMHPKDFLRQNNTGKLLWQTDSAETMNFILIDGTWSNSAAMFRRLKFSSLGLDKQAEAVEDSVDVLLASLTARRLRMGRSISRAEKHHDDIC >KVH79589 pep supercontig:CcrdV1:scaffold_4005:35471:37930:1 gene:Ccrd_025505 transcript:KVH79589 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDALSTNDRKPHVIFIPFPGQSHIKAMLKLAELLHHKGLQITFVNTDFVHKRFLESGGPHCLDASPADFRFETIPDGISRGSLDDMATSRQKLIHSIENFLSTPFLDLITKLPIPPTCIISDGFMSVFTIDVAQKLGIPIMLYWTLAACGFMGFYQTMLLMEKGLVPLKDESYLTNGYLDTIIDWIPGMEGIRIKDFPSMVRTTDPNDQLLTFTTESTRRSHEVSHQIFHTFDELETSIVKALSAMYSHVYTIGPLQLLLDRIPENEKHTQVSNFNGYSLTKEEPECFQWLQSKEPNSVIYVNFGSSTVMSLEDLIEFGWGLAESNYPFLWIIRSNLVVGESAALPPELEEYIKEKKGFLASWCSQEKVLNHPSVGGFLTHCGWGSTIESLSAGVPMICWPFLADQMTDCRYICKEWEVGLEMGNGVKRKEVKRVIQELMGDDRMRNKAMEWKVKAHIATGPNGSSSLNVDSLVKEISKLL >KVH79566 pep supercontig:CcrdV1:scaffold_4006:38083:38307:1 gene:Ccrd_025509 transcript:KVH79566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESVQPLVVKRVTGNLDMRFKAFDMVAHDNQTQVSTISIESLWPRSMSTDSSLYKDYESSEMKLLTDLYDVSI >KVH79568 pep supercontig:CcrdV1:scaffold_4006:10249:21048:1 gene:Ccrd_025507 transcript:KVH79568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEKANGAHNGTFLEFEDVYVNGSTLDIHLYWAGKGTTFVPTRGVYGPLISAIAITPNYDVSTGLPAGAIAGIVIGSCTVIMLILALLWKKGYLGGDKLLLTTILVTELRALELQTGYFSLRQIKSATHNFDSANKIGEGGFGPVYKGILTDGSEIAVKQLSARSKQGNREFVTEIGMISALQHPNLVKLYGCCIEGKELLLVYEYLENNSLARALFGHEDQKLNLEWPTRKKICMGIARGLAYLHEESRLKIVHRDIKATNVLLDKDFNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEE >KVH79567 pep supercontig:CcrdV1:scaffold_4006:1018:2580:1 gene:Ccrd_025506 transcript:KVH79567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MEPHQCIPLKADNSSFDLLFIRVLIHESGRIVMPAKTTHQARVLEEQGSLLELVDSCLGSKYSKEEAMTMLNMALLCTNPSPTLRPPMSSVVKMLEGKIPVQPPMVNEVRRSLDMSFTAFDVLSRDSQTQXSAISEGSLRADEHADQ >KVH79564 pep supercontig:CcrdV1:scaffold_4006:46662:47397:-1 gene:Ccrd_025510 transcript:KVH79564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTLSGRIPDFIGNWTIINALRISDLAGSSSMRFPNLQDMTRMQRLTLRNCLLTGPIPDYIGQMRSMKNL >KVH79565 pep supercontig:CcrdV1:scaffold_4006:28818:31247:1 gene:Ccrd_025508 transcript:KVH79565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLSLALLCTNPSPTLRPPMSSVVKMLEGKIPVQPLVVKRDTGNLDMRFKXFDMVAHDGQTQASTISIESLWPRSLSTDSSLYKDHESSEMKLLTDLYDPRNSNSTAIQRHEKKQWQQPRPGRRFFKQKQRDMRRETIGGRSRTRAKFD >KVI04636 pep supercontig:CcrdV1:scaffold_4008:21638:24107:-1 gene:Ccrd_017045 transcript:KVI04636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MNYGRLQPKSISQPEFTHDQYESGSSEPPKKPRSKLKLLLIVGITLIVASAVSVALVVALRNKASGGGSGSMVRPKPTKAISRVCSRTLYQNLCVNSFLDFPGSLTASVKDLVHISVNMSLQRVGSALYTSTDINNVEMDLRVRSAYNDCVELLEDSVEQLSRSLTTVAPSSSGDTQRVGSTDDVMTWLSASLTNQDTCTDGLSEAEDGEVKRLMQEKLKDLSELVSNSLAIYSSESDDDDVDETPIENRRRRLMSEASGKRSSEFPEWVSKKERRLLQMPAAVIQADIVVSKDGNGTCKTIKEAIKKAPQHSSRRFIIYVKAGRYEERDLKVGRKKTNLMIIGDGKGKTVITGGVSVADHVTTFHTASFAATGAGFMARDITFENYAGPAKHQAVALRVGADHSVVYRCQVIGYQDTLYVHSQRQFYRDCDIYGTVDFIFGNAAVVLQNCNIYARKPMDQQKITITAQNRKDPNQNTGISIHSCKLLAQPDLLNGSFQTFLGRPWKMYSRTVYMLSYMGQHIDPKGWLEWDGTFALDTLYYGEYMNYGPGGAIGQRVKWPGYRVITSEIEASRFTVGKFIYGSSWLPATGVAFVAGLSA >KVH99167 pep supercontig:CcrdV1:scaffold_401:100664:106209:-1 gene:Ccrd_022602 transcript:KVH99167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MADPWVREYNEATKLSDDISSMISAMSSYSSGPEAQRHASATRRKITILGTRLDSLQSLLTKLPARQPLTEKEMNRRKDMLANLRTKVTQMGSALNMSNFANRDSLLGPDTKAADAMSRTTEQDEGLEKLEETVISTKHIALAVNEELDLHTRLIDDLDHHVDVTDSRLKRVQKHLAILNKRTKGGCSCLGLLLSVVGIVMLLVAIWMIIKYL >KVH99159 pep supercontig:CcrdV1:scaffold_401:233700:235404:-1 gene:Ccrd_022613 transcript:KVH99159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRHLLYLLYTSSVRPPASTPYFISPPPFLLRKKGYSQESSSKGKHDDETINHERAPSTLEEFKRLEEDKANQGVASQTVEKAEDGAIEATIGDRNFESVKESFKENVVRENVGVGDFRRTGEE >KVH99154 pep supercontig:CcrdV1:scaffold_401:253126:262226:1 gene:Ccrd_022615 transcript:KVH99154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein MDEVITAADASSSLRSYSSESSALPSNLPLLSAFLSLFIAQFLKVFTHWFKERKWDTRRMLSSGGMPSSHSATVTALAACIGLQEGTGSSSFAIAVVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPLSNVRPLRDSLGHTPFQVRLQSNFLHVCCFATWNCFSTIFSVHKVMGRGCGWWRRWEEVVGGGGDRKSGGWWRRWEEVVGGGGDGKRLWVVVLMGRGCSRCCAGSSDGISHGNLSLTVG >KVH99164 pep supercontig:CcrdV1:scaffold_401:36037:51271:1 gene:Ccrd_022595 transcript:KVH99164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MSRSAELRVSDQWLQSQFSQAQLRSLKSKYIQLKNQNGQVTAGDLPPLLVKLKPFNVIFKEEEIRDILCDRGTDEENRLDFESFLRAYVNLQTQAAKKLGNSKSAASFLKASTVHTVHDSEKEVYVAHINSYLRDDPFMKQFLPIDPSSNAFKLINVAVPNTIDDRAINTKRELNLWERNENHTLCLNSAKAIGCTVVNVGCQDLAEGRIQLLADLNLRKTPQLLELVEDDEDVEELIALSPEKVLLKWMNFHLKKAGYTKTVTNFSTDLKDGEAYAYLLNVLAPEHCNPATLDTNDPIERARLVLEHAERMKAKRYLTPKDIVDGSTNLNLAFVAQLFHHRNGLSNDNNKVSYAEMMKDDEQVSREERCFRLWINSLGISSYVNNVFEDLRNGWILLEVLDKISPGSVNWRHTTKPPIKMPFRKVENCNQAVRIGKMLRFSLVNVAGNDIDKSLSNGIFFLELLTAVEPRVVNWNLVTRGQTDEEKKLNATYIISVARKLGCCIFLLPEDIMENDLLFLTEGDIFV >KVH99175 pep supercontig:CcrdV1:scaffold_401:182692:184655:1 gene:Ccrd_022608 transcript:KVH99175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNESDLLVNDQNKYRSMWIRTYSSVWMLLGFILVVYLGHLYLWGMIIIIQISMASELHFFFTAMLYVYGRILSQQIMHAVTPEKFFYKLATSFMNQYAWTHMILIVVFTQSSFTAANIFEGIF >KVH99176 pep supercontig:CcrdV1:scaffold_401:193129:200734:-1 gene:Ccrd_022609 transcript:KVH99176 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MVAGGMISRISRTVVSRCQGSLIFRGRCDDRNFIPIIRNCFHSIHGSQDKGVSEQMELRNPIINLYTVQKSGISSSASQQPNENGTSRNGGDSTDIPNDAKERSDAGNLDETDASDKKEEEDEEDLPVLSVDDLIKLVAEKEGLLKTKHKEIEKMKDKVLRTYAEMENVMERTKREADNSKKFAIQVFKKFGVEKYDPTNEEFDPDRHNAVFQVPDPTKSPDTVAVVLKAGYMLHDRILRPAEVGVTIAVDGDKGSET >KVH99173 pep supercontig:CcrdV1:scaffold_401:119702:129674:1 gene:Ccrd_022604 transcript:KVH99173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MSDLDRQIEQLKNCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPNINTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVEGWGLSPRGAGFLFGGSVVTSFNHTNNIDYICRAHQCGNVAAILELDENLNKQFRVFKAAPQAPKGSIDGSAPGSWLGCRNGASLHPDFISRSHLIPFALKKVRLTIVLRSSVNVC >KVH99155 pep supercontig:CcrdV1:scaffold_401:241330:245894:-1 gene:Ccrd_022614 transcript:KVH99155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIKRVPTVLSNYQKEEVEEGGAAGGCGRNCMRDCCLPGAKLPLYAFRKSDKVESSDKKEPPFAFLDSLLLGEWEDRVERGLFRYDVTACETKLNEGRHLKKRPTEFRVDKVLQPFDGSKFNFTKVGQEEILFQFESSEDDEVKFYPNAPIDVSPIEYGHVLLIPRILEGLTMRASCLHCTWHQKPGIHTFVSVTIVWAYYLAVSFPIEKAPTRKITDFNGGVTISEILKYPVRGLVFEGGCSLEDLSNAVSDSCICLQDNNIPYNVLISDSGKRIFLLPQCYAEKQALGEVSSELLDTQVNPAVWEISGHMVLKRKEDYNGASEENAWRLLAEVSLSEERFQEVIAIIFEAISCAVSENGSLPDEDEHDLEDVDALNNGSHQTAIVAGKQECLVQH >KVH99156 pep supercontig:CcrdV1:scaffold_401:263985:272574:-1 gene:Ccrd_022616 transcript:KVH99156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MQSAEQLVLDLSSPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPFLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLVHNVQGPRVGLQGGGGFDHMLVN >KVH99161 pep supercontig:CcrdV1:scaffold_401:52190:52399:1 gene:Ccrd_022596 transcript:KVH99161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFYLQQFDDEAESAASSVAVTPDASPAPSVNGDDENYMGTEFFNMSMDDAASDTTTCSSVTLEDTTSL >KVH99169 pep supercontig:CcrdV1:scaffold_401:90501:99953:-1 gene:Ccrd_022600 transcript:KVH99169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding MEESRGPEIDGQVEDWGYRFIGLFENLIPRTVSCLKPKLKPHRLHPNQTRKKKVAFVKPTKKQPQQNVDHVTGDKIPRSFVFSRLKLPGSLKQLQADLRKMMLPYTALNLKEKKRNNLKDFLNVAGPMGVTHFLMLSKTGSSPYLRVARTPQGPTLTFKIQEYSLAVDIANSQLRPRVPKDLFKNPPLIVLSGFGTGEQHLKLTTIMFQNIFPAIDINTPVGVSRRIRKFVQNHQVPDLRTLQDVSDFVTKAGYGSESEGDDEAATVSLTTDFGRVNKACTKSAVKLQEIGPRMTLQLIKIEEGLCSGTVIFSEYENSDGKKDEGNEEVDDDDDDDSEGDAEGNEED >KVH99166 pep supercontig:CcrdV1:scaffold_401:83901:87840:1 gene:Ccrd_022599 transcript:KVH99166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIFTTLIFIVIGIIACFCTRICCNKGPSANLFHLTLVITATICCWMM >KVH99174 pep supercontig:CcrdV1:scaffold_401:132393:143316:1 gene:Ccrd_022605 transcript:KVH99174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bactericidal permeability-increasing protein, alpha/beta domain-containing protein MGRRLLFIFLVPLLLLSSSTRVESGENDFISFGISEKGLEFAKDLLINNAISSLIPLQLPQIEQKVQIPLVGTVRMVLSDIVNVSSSIVQPGEIGVTIVASGATANLSLNWSYSYSTWLFDLSDSGLASIQNQQGSLSLSPEDCGCYVHYISIKLEGGASWLYQGIVDYFEDDIVSAVEDTITKNVMDGVMKLDSISESLPKEIAFGDVATLNITITEGPIMTNTSLLIGIDGLFTQIGYGITSGRYGNSLLSTGSCNNAEKMVGISVHENVLNSASVVYFNTNKMYWIIENLPEQKLLNTAGWRFIIPKLYKEYPNDEMSLNFTILSPPIIEVENQGIVASVSSDVIINVEIKASGSAGISLSTLAGGVSLSKLTMSLKWSKIGTLHMVLVQSVMSAFLRRIILPVVNLRLKIGYSLPDFHGYGLQNASIIYGDSKIIVCSDVDRAYEYVSHLILK >KVH99162 pep supercontig:CcrdV1:scaffold_401:59528:76273:1 gene:Ccrd_022597 transcript:KVH99162 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase 1, replication foci domain-containing protein MASSDDEGETLPDAVSDYYFCDGDDEPLSFVKLPVQWNENERSISDAQPIFLSGTADNGLQKLYKQVKAWKFDFSKDNPEISVCYKDSHWFKLQKPRKSYEKEIRTILITVQCLYFFKSEPEASGKALWDHLSKVFRLYDVRPSENDLVDHMNFIKEVVKRDEMLARSKFLAAFLENPRKRKDPDENAEIATKPSFIVDDMNDDEPQDDDFIAKQTEDSESDEEDDHFDSVCAICDNGGQLTCCEGKCFRSFHATKDIEEAEESNCQSLNIPPEFVKGSQPFRCENCLYSLHQCFVCGELGSATCGHFYHPRCVAKLLQQDDEAEPQDLEKKIAVGEPFICPSHRCVVCKQTENEKAMSNIIPQEIQHEIDGEIGTPARNLKFQDILKNKTDQRSKKKKVAVTEAGTDSEITFKKTSIQKSKKGIMKSSAVKPENSSKKRANVSSGPESLKKKKIVDTNRSSLRRTSSTKVKTPSSNDNQPSLGSRLYEYMQKGTESNELEKDDPSVVDDKHTMAAKALQKEILPPLDADSRLRILALMKDAASSITLDEVKKYHKGKALHVALQKIEKGCSIEDAMAVCEPGVLGQISRWKEKLKVYLAPFLHGMRYTSFGRHFTKVEKLEKIIDKLQWYVEDGDTVVDFCCGANDFSCLIKKRLDEMGKRRCSYKNYDITRPKNDFNFEKRDWMTVAPKELPRGSRLIMGINPPLDSEQQKIPYDLIWEDVKLLAGKSFYLPGSVDTNDKQMDQWNNTPPPLYLWSRADWTSKHLAIAHQHGHISGVQDDDNLGLSNENKGIGVGLPVPLDDLPVTNKVSEQTTDKPKTDMKKGKKESPSHYDDGTETKRKQIVKEKTNQNSSKRNDHNQEFKRAKHDDKRSPDLSRQDHAPKHEDRCSPAPPSLDQAQKHDDKLSLAPPHQDEGQKHDKKRYRDPSYQDEAQKHEDKHSPASSCQDHARKLDDNRSLVSSRQDHARKHDDNRSLVPSHQDHPRKHDDNRSPVPSHEDHAWKHDDKRFPAPSRRDHGLKHDGSHSPASSRQDHGPKHDDNRSPAPGRQDQARKHDDKCSPAHETMHLEVFDQHLERRYNSPTAELGNSFQNDAGPSFDHRLERRYNSITDESTFSFQNDLDQHLERRYGSTAEEPFLGTTYRRLDGANLATPGYSTRLDHSPYPAYDRFARGSYLEEINTRGGGGYMMSHHEKGLTRYGPAETAPYNRTSTSTTQRYAPRLDELNHTQIGRPELDELNHPRMGMGWQGLDELNHPRMGIGRPELNELNHPRMGRPELNELNHPRMSMGRGAEPSITNQRLMSYGPALHGPGFHTDSTGFASGPYHRYSQPDSSSGGWLNE >KVH99168 pep supercontig:CcrdV1:scaffold_401:110474:114125:-1 gene:Ccrd_022603 transcript:KVH99168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme Q biosynthesis Coq4 MVEGARVRLRGWQQAAVALGSAVGALVDPRRADLIAALGETTGKPAFERVLERMKRNPEGRFMGSRNFSPDDRPPVRFMETEELAYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMLLPMCFFSVVGGTARFNDKQRSLFYQHYFPWAIRAGMKSTDLMCVYYEKHFHEDLEDVRRRWGIIPAPSI >KVH99172 pep supercontig:CcrdV1:scaffold_401:163492:170104:-1 gene:Ccrd_022607 transcript:KVH99172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MAEVDVQNPLIGETTCGTLLHQLQKIWDEVGESDEERDKMLLQLEQDCLDVYKRKVDQAAKSRAHLLQTLADAKLELSTLLASLGEKTFLGIPEKTSGTIKEQLAAIAPALEQLWKQKEERIKEFLDVQTQIQKICGEIAGSNQQAGSPTVDESDLSLKKLDEFHDQLQELQKEKSKSISNGTLARLAKTVLALKEDKKQRLKKLQELATQLIDLWNLMDTSEEERSLFDHVTCNISASVDEAEVEAERLDKLKASRMKEIALKRQGELEEIFVRAHIEIDTQAAREKILALIDSGNVEPSELLVDMDNQIIKAKEEAISRKDILDKDDNRYNASRGAHLNLKRAEKARILVNKIPAWEEEHGVTFAYDGVPLLAMLDEYALLRHDREEEKRRMRLNTEQEAIFGSRPSPARPLSSAKKVVGPRVNGGAANGTPNRRLSLNQNGGRSGKRDSGARPIAPLNYVAISKEDAASHVSGTEPSPNTP >KVH99157 pep supercontig:CcrdV1:scaffold_401:216464:217147:-1 gene:Ccrd_022611 transcript:KVH99157 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MRRGKPAAAAPAAPVINGSGSEIRFRGVRKRPWGKFAAEIRDPWKKARIWLGTFNSAEEAARAYDNAARSLRGAKAKTNFASTVTTEFLNLQNGNDHHPLFHQHSRMYHHSYQAEHQIVVSQRPCCSSMSSTVESFSGPRPMTTAEVVFPRRHHPRSPPVLPDDCHSDCDSSSSVVVDGDGKGGDMGDIASSSSRKPSLQFDLNLPPPLDEVESPTNDDLICTALRL >KVH99170 pep supercontig:CcrdV1:scaffold_401:91135:93650:1 gene:Ccrd_022601 transcript:KVH99170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MIPTGPEASQAQAFTFLVRDQHLGANVGSTQGPIGLVRVGPCGVLATLKYGELPVFDNIRKCVTPIGPATFKKSFRLLRFFSCSLENHNCNNHIRIKINNAECQQLKEAS >KVH99160 pep supercontig:CcrdV1:scaffold_401:226662:227483:1 gene:Ccrd_022612 transcript:KVH99160 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S globulin MMEYIGATGKPVTFDGIPIEDGIDFHFILSFAIDSDVSGIPQNGKFTPYWSSSLTADSVAAVKSKHPNVKALASLSGWSLGSTTLRWYKPQNAQTWISNAFTSIKSIVETYHLDGIDIDYENFPKHNESFSYCIGELITYLKNQSVISVATIAPYRLTTIPYIQLFDAYGDVIDYVNHQFYTDKVKTTEAYLEDFRLRTEEFDGNKVLPSYEVDGRGIQGDAFFDALQVLEENGFEINGIMIYSADASASTNSTAKFYYEQKSQEFLLNSSRI >KVH99163 pep supercontig:CcrdV1:scaffold_401:5808:16911:1 gene:Ccrd_022594 transcript:KVH99163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MYPPKFRFRDNMGDDKNEGKDGKKDDASESGEVLSREASESSIYATEDDEDAQIELGPKISIKEHLEKDKVLTFLSQKRKSLCFAFMHFLIICVLLVQDDESLRRWKEQLLGSVDVNAVAEIEEPDVKIISLAIVTADRPDIVLEIPESGNPKGRWFTLKEGSRYNLRFSFKQLRGGGRWEVADGSMNTQTTQMASRGMEMGSDGGKRAETLDMAAWSPEISMVKVDMVKTKFLDDDNKCYLDLNYTFDIQKEWPK >KVH99171 pep supercontig:CcrdV1:scaffold_401:149255:169454:1 gene:Ccrd_022606 transcript:KVH99171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTGVVESMDGSEVRSESLGEKRPLENGLDRDLGSKKARVGGEDVVLAGNVKKVAEIVLLLATMGKMRGGRKPTAVEVQMMVEAREKLADVCKEFAPKDIFPRDAFGTVIEDLGLNRLKEQRLGIRPQKMSIAEKLKFTKEKMEKSEVFPLRSNIYTPPRLQSNLSGAAESRGTSNVRMFSSDKANPASVSSGSFQHPSNTVHASAANSRTLPYQLPTSEVRPGVSSVSPSIQMLRAERPQFRLDVGSNGSSYASESQAISAGDHMKGKTPTWSMQPQSGSFAKLGSDKMSANTFVKAEGSSDMATSRVSYQGITSKPFITQVTSSPMTANHMQHRMNFIQSSDTHGEISKIVQKLLQPHLPDHPTWNPPSRDYMSKALTCQMCKGTINEVDTALVCDACERGYHLRCLQCNPKAIPRGEWQEWHCAKCLAISNGKPLPPKYGRVMRNISTPKLSSNALVGQPSLDKKVQSSHVNVNQQMMTTNENFVNISQSASGGTAGTDSKLAEVQANDKNECGIHGSLEQSSVSSSIEGSHEEKLVTVSESQPSANFSEFGSNSLIKEDKQGDHVEKSETCIVGSEHEGPSSSDMNEVEWLGGVQKETDGKTYYHSCCINGIAMWEDGKTAKKWVTVTRCFFPDDLPEGVGRPCAPESNEVYESNHESMLAAGLIHGSCEVLSPSKFSEESVVRTRLRARGSDRPKPLFLCNHRQLKALLSPGAIGLAPLSLLPDLPPFWFRDNRLFGVPLAAPPFTRGPTTFFALLRGRAGLGLEPNIASCSMYPSNSKDQLAELLTLEAAEKKERHDINISQMNQHKWAHKNKID >KVH99165 pep supercontig:CcrdV1:scaffold_401:80206:81243:1 gene:Ccrd_022598 transcript:KVH99165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVFKNSVFYISTLTASLSYCYFFSSKLSKGIFRFISLFPIFYLFAILPLRCSFLFPTAVSFSFTTWLTNFKLLRFAFDLDPSPFQPSDSLLRFITVASLPIKTKNSDSDRSASVKSSPERFLFKLGCRILIFSILASLVQNYRYQFHPKIVLVIYCVLLFLIIDIVAAVANSLVMLLTGFELEPSSNEPYFATSIQNFWGRWNLLVTNTLRHTIYKPVRLTFAGHYWAPHAGVIASFFVSGLMHELFVYQLSREKPTWEMTSFFMIHGICVVVEMIVKRHLAGRRWRLPQFVSTPLTVGFVAATGFWLFFPPLIRSGVDMKVLNEYESAVGFIKNKLISVYSF >KVH99158 pep supercontig:CcrdV1:scaffold_401:202078:207966:1 gene:Ccrd_022610 transcript:KVH99158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSSTNRTASQKVTEACKATSCPPATNPRTVVAGGDSYFSDQMFGSSSRLFLLNGPLSLLHHRPSTLLRLPHRHTLTCLPQPLSTVPHCHLLQSRANSHFLIKSSQQLHSQSTGNTLQDENHVPADANLVPPSHPWPELLEFLESISNSCYYELSRNMKDEFVPNESLPMEFVDAACSCLGFAHDRSDILGWLPKDDIQVLIDGGAPFLFKNALETERRMKSFLQGEDSNDVRMVDLMKYILSYASNPIIYPERNIREATESSARNLLREMTNFSCKGAALSLPDMEKFNGAFRAEEDEEAPRNLEPYNKMKRDFLKQVQFHEFCKEYRMP >KVH79158 pep supercontig:CcrdV1:scaffold_4011:30045:37400:-1 gene:Ccrd_025511 transcript:KVH79158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan/mRNA-binding protein MATANPFDLLGDDDNDDPSQLVEKIASAPAKRVQAAPPTGKAAVQSAKLPSKPLSPAQAVKEARNEGARGGRGGRGFGRGRGGGTFNRDSGSSENSFGNRGFSGDQGAVEESDAGKAYERRGGYGGARGAFRGGRRGGFSNGDVEDGERSRRPYERRSGTGRGETEEVVIEGEKTVGSDKPLTEEVATDERKENAANEPEDKEPENKFFSRTASLVFSAVDSDFMEMTLEEYQKVLEEKRKALEALKTEERKVEVDKELASMQQLSNKKSSDDIFVKLGTDKDKRKEIAEKEERAKKFLKPAVGERYYTPGGRGRGRGPRGGGRFSQGGSSSSYAPEAPKIEDPSHFPTLGGKWMTVSTCFDVLFVLGQSTTIIPNGGLLK >KVH79125 pep supercontig:CcrdV1:scaffold_4018:4539:5171:1 gene:Ccrd_025512 transcript:KVH79125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKGSLAKLYETKILTGLNYLNDRLVVHSRDIRCANILVDVSGSVKLADFGLAKECLSISFSTRVRKLSSIYYTAFTLALLVSTNVSKEFCRWHNNFSISSSGNLIYIQVIH >KVH79055 pep supercontig:CcrdV1:scaffold_4019:30312:30947:1 gene:Ccrd_025515 transcript:KVH79055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MARLPSKGRKKIQLKRIENDKERTVTLSKRRNGIFKKANALATLCRIQIAIILFSISEKPLSFGSPNVQSVVNKFLNPNQVDQQPNDFIDMAVNSNHEPKLQDFNKEFDEVNERLANEKKQEQMFDEYIKRLLGGKTYEDYVVIRGYYGFMQIKFKMEELQGNKEYTLDAVCRPSSSNDENEADLSKIGVPKRLLEVVIVRKKNYLLIPML >KVH79054 pep supercontig:CcrdV1:scaffold_4019:366:29059:1 gene:Ccrd_025513 transcript:KVH79054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MARLPRGGNRNTELKLIENEXERAVTXSKRRNALFKKGNELATLCGVKIAIILLSIGRKSISFGNPSVQSVVTQYLNSDQVDQQXDDIDTRSANSYHESTNPELNKELNEAIERLAEVKKEGHMLDEILKRSYGGKTHKEYVNSLGYDELMQLKGKNEELRPDGKDLWFEALNVDNKGPVQIKTLKLCATTEIETKTKFDLPPVSDDWILKLFAKKVRNWRARIKKDYYDPSLSLQEQIKSKPKRLYLLSILAW >KVH79056 pep supercontig:CcrdV1:scaffold_4019:28706:29068:-1 gene:Ccrd_025514 transcript:KVH79056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MARLPSKDRKKIQLERIENEKERAVTLSKWCNGIFKKANELATLCHIQIAIILFSITGKRLSFGSPNVQSVVNKFLNPNQLDQQPNDFINMAVNSNHESKLQDFNKEFDEVNEHLANEKK >KVH94077 pep supercontig:CcrdV1:scaffold_402:125984:128619:1 gene:Ccrd_003865 transcript:KVH94077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGKIFKDSLKALEADIQHANTLALSSPRDQDGASLQMRLSFSPAVDLFSFLFPWTDCKMAGALGLLRIFIYMAGFAEKCRLKQTYADGKTTMSVQERKASIKQFYDVIFPSIMQLQSGITDLEERKQKEICSRRYSRKDGFDKGKLSDADAEREFECGICMETNSRVL >KVH94074 pep supercontig:CcrdV1:scaffold_402:64716:67936:-1 gene:Ccrd_003862 transcript:KVH94074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNRHPRGLVVGNYCHDVLIKDEVVLAQTLGGAVSFISAVLDGLNISSDYVSKVGSDFAYTVKVNHAPIVSPSGKTAVFHAYFFSDPSEIRREDRILKRVQSCDPISSSDLPDDANFNFGMAVGVAGEISPETLEKLLDICDVVLVDIQALIRGFDEIDGTVKLVKLKESGFSHLLPRIGFLKASAEEAPYVDVEEARKSCCVVVTSGEDGCTLYWKDGEMQISPFSTVQVDPTGAGDSFLGGLVAGLVQGLAVPDAALIGNLFGSLTVGQIGLPEFDSRLMQKVKDEMQRRKMQYDGRDDESCRFMKRRGHEQFIASLGAAKLPTSRPISPDHQMLFLKNSVLEEPIKSVDTKP >KVH94068 pep supercontig:CcrdV1:scaffold_402:197229:202239:-1 gene:Ccrd_003872 transcript:KVH94068 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPSIAGSRSSPMSIAGGEISSPQKRRLRSNSALSDEPFSSTPSKPCSPLKSKSPRRCVEDSPHRSRANGDKTVANDNLTKMRKSPKKKLLDAFLDKPIWNPTDSEQLSAVKEALHVSTAPSMIMCREDEQKRIEEFCKQCLEQEKAGSLYICGCPGSGKSLLMENVKGSLAVWAKETGGHLPEILAINCTSLSSTSEIFNKVKIYHDIDIHMPRPIVTDVMLKNVDHSEVFNTLAVSRLVIADELDYLTTKDRVVLHDLFMLTTLPFSKVILIGIANAIDLADRFLPKLQSLNCKPMVVSFRAYSMDHIIIILKQRLMALSYTVFQPQALELCARQVDVVLNYKTHTIRILAESSCSIWRYEESSWYLQVGMGAIEMLETELRESACTSNLSSMVRVDHMAIALSRAYKSPIVDTIQSLPQHQQIVLCSAVKLFWRGKKDTTIKELNKSYIDVCKSTLIPPVGIMELSCMCRVLDDQGILKLGQSRDDKLRRLTLQVDEADIIFALQ >KVH94069 pep supercontig:CcrdV1:scaffold_402:212958:216065:1 gene:Ccrd_003873 transcript:KVH94069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical GDTVRTPAAFAGIFNRKILTSDISSVFTQTNFLGMGVKVASGCVNWSQQSTNYWPPSSSPQTLASAISSPSTRRRSLTDVALVFRCVHRPTIFGTKLNSYRSFENPKISRGRKSIKSIVSASLDAEFSDEEFCREIQELAYIFQLSDDHESNTMDNHDLMVSETQNLEPFPNSKMEPPDWVGDMIPASIERKANSIELPLSLRIIQRKKQWQAGFREAGESAYCSVKKAFSSMVFIIRELQSYTIHLREALFYEDLQGVLVRVQKEMNASFVWLFQQVFSHTPTLMVYVMILLANYSVFAMSSNVAFAVAPPPATVESVSFVGNKSHAKIDSSSIKTFPMNSSGKTMSIGGINGGGGKSRPVASGTDGEESFDGAVTHHRRIVPDGASLSSTVNPSVPSQGIEEEESGLWKSITEEADNMQDVIRYGVVDRQTMQRFVSPVTATAVEEDTAEGHFRTELLYQMGLSEEPDNPLLLANYAQFLYIVVQDYDRAEKYFKRASKVEPKDAEALSKYSNFLLEVRKDLWVAEETLLEAIALDPSNPFYAATYANFLWSNATDDACFPIDSSDIANSDGL >KVH94073 pep supercontig:CcrdV1:scaffold_402:85992:87155:1 gene:Ccrd_003863 transcript:KVH94073 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MAVEKLQKRRAVAIIFGVTGLVGKQLLEKLLSSRSKWKVYGVARRPEMVTMIKKPIHTNPNYHFISCNLLDPFDTQMKLSGLHDVTHVFWVTWASHFQLDTIECYDQNKAMMSNALDSILPVAKGLSHFSLQTGTKHYVSLHGGTASFDHLVKKVCYYDENCPRVETQVGSNFYYALEDLLKERLGGVVPWSVHRPGLIMGSSRKTMYNFMGSLCVYGSICKYLNLPFVFGGRKECWEEQFVDASDARLVADQQIWAATNNLVQSKNGQAFNSINGDSSTWKDIWGAIGEKFGAVVPWEMLSKDFTFSGFMSDKAGVWKEIVRKEGLVDTEMDDLANWYFLDALFRCPVKMLGTRAKADRLGFTTRYTALDSILHWIDVMRKEKLIP >KVH94075 pep supercontig:CcrdV1:scaffold_402:131484:134630:-1 gene:Ccrd_003867 transcript:KVH94075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase MNRRRPLLTIFFVIHLLSFSQSLNSFPFHKKVHEIKGPIKTLVVLVMENRSFDHILGWIKKTRPDIDGLTGKEYNHVKASDPTSDKVYVSDDAVFVDSDPGHSIQAIREQIFGSNETYSKPAPMKGFVQQANTMGVDGLDRTVMSGFKSELLPIYTELANEFAVFDRWFASVPASTQPNRLYVHSATSHGASSNVRKDLINGFPQKTIFDSLDENDLTFGIYYQNIPATLFFQSLRKLKFITKFHEYDLKFKYHAKKGKLPNYVVVEQRYFDVNLFPANDDHPSHDVAVGQAFVKEVYEILRASPQWNEMALLITYDEHGGFYDHVATPVDDVPNPDGIIGPEPYYFWFDRLGVRKLFNLDSNFLTKRDAWAGTFESYFNLRDVPRDDCPEQLPEIKFSLRPRGPKEELRLTEFQIELIQLASQLNGDHILNTYPDIGKYMTVGEAHQYAHDAIARFLEAGRAALMAGANESAIVTMRPSLSSRSPQR >KVH94067 pep supercontig:CcrdV1:scaffold_402:180887:188250:-1 gene:Ccrd_003871 transcript:KVH94067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISDGTHAHLHSRHRNWQNLDGSTVEHTLSPPPSDALTMETLAISHRLLPPPLRRSSVRPSHSFTFSSLAHSTARFPTLKCVAQSPTASVDHSAKFKEAAKHGNLIPLYRSIFSDHLTPVLAYRCLVKEDDRDAPSFLFESVEPGLKASNVGRYSVIGAQPTMEIVAKENMVTVMDHHEGRKTEEFVEDPMLVPRKIMEQWKPQRVDELPDAFCGGWVGYFSYDTVRYVEKKKLPFSNAPEDDRNLPDVHLGLYDDVIVFDHVEKKAYVIHWVRLDQYSSVDEAFIDGMDRLGTLVSRVHDIVPPRLSPGAIKLYTSLFGPSLKKSNMTSEAYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKRKVTNRPLAGTIRRGKTPKEDFMLENQLLHDEKQCAEHIMLVDLGRNDVGKVSKPGSVNVEKLMNVERYSHVMHISSTVTGELLDDLSTWDALRAALPVGTVSGAPKCQYTNSPNIFLLFTLTRRGPYSGGFGGISFTGDMDIALALRTIVFPTAPRYDTMYSYRDANKRRDWIAYLQAGAGIVADSDPGDEQRECENKAAALVRAIDLAESSFVDK >KVH94080 pep supercontig:CcrdV1:scaffold_402:138862:155056:-1 gene:Ccrd_003868 transcript:KVH94080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MVIKVYWCQKSGYAVVITADKAGVLNKQHQVSISTPKMSSPTTGRRQTTLLKSGALQHDIHYWLGNEANEVDSALASDKALELDVVLGSQSVQYKEVQGQETGKFLSYFRPCIIPVEGIYCSGQVQLKAPGYETRLLTCKGDRVVHVKEVPFSRSSLNHRDVFILDTASKIFHFSGCNSSIQERAKALEVVQYIKEYKHKGNCEVAAIEDGKFVGDAEVGEFWNFFGGYAPIPKDPPSAQEQLQSSAVKLFWLKRSMLESIKCYMLDGDFQIFVWMGRTTSITERKTSISAAEDFLRAQGRPINTHLAFLTEGSETSIFKSYFDDWPQTVEPKLYEEGRGKVAAMFKQTGYDVEELPDEDDKPHIDCNGTLKVWRVNVGKLSPIPVVEQRKLYSGDCYTVQYTYSANGREERLFYIWLGSKSSTEDRGDAISLTSVLVDSTKGDPVLARIVENKEPPQFFSIFQTLIMFKGGMGSRYKSFVAEKGLYDETYDDKKTALFRIQGTNRDNMQAVQVDQVSRSLNSSCCYILKAQGSIFTWLGNLSTTGDHDLLYGMLDLINPTWQPILVREGNEPDVFWDALGGKTEYPKEKEIKGFIEDPHLFVCTVAEDVDSQSSNLKVKEIFNFTQDDLTTEDVLVLDCCSEIFVWIGHNSVVKLKQQALSIGLAFLKKDVLGEGLSMDTPTYVVTEGHEPPFFTRFFEWDTSKANAPLRTSPNVYSKETTPNGFRRPSPTPNGSRRPSPTPNGSRRPSPSPNGLTRRQSLDSYTLRSTSPTFSRSDFSATNNRRFSSSSIPRMIPSSSSPDVRGAANTLVSASPSLEAKNFPSKDSGPKQDGENQINVNLVNYPYERVKVNSNDPVPDIDITKREAYLSEEEFEEKFDMSKRSFYQLPRWKQNKVKMSLFLF >KVH94066 pep supercontig:CcrdV1:scaffold_402:177471:178680:-1 gene:Ccrd_003870 transcript:KVH94066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alginate lyase 2 MKGASACSVLLLVVHLSLLNNALMVFAVDPTQGFTNVPLTPSSFIYQKPYNIPLEKRYSFNHGVRRFWVYANDLPFERGSNTRPRTEVQIKGNTTILLRIYNGDMRYYNGEVIATDLYDKWFKVNLIHNVDQGKVMVYINNVKKFESHDEGPGNLYFKCGVYGAPSDTSRYMESRWREIKIYKKES >KVH94071 pep supercontig:CcrdV1:scaffold_402:237927:239057:-1 gene:Ccrd_003875 transcript:KVH94071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPPVFTKFLSLLFILIHLGCFIIFPTSDHHRRRSRKPTSPLPLLRPTATKLKPRKTLSGSWAFIKQIFSSKPTSQTDGNSSIVHHVPSPASSTRSLRISTINVSMAPLETTLLERGNRPGSLTESDFFPLRNDIYPCPNCGEIFQKPGLLEQHQAFKHAVSELFDDDPGKNIVRIIFQTGWPITGKNPMIYRVMKIHNSPKILTRFEEYREIVKSKAARYGGVRRRDERCIADGNELLRFHCATFLCDLGQNGNSSICSHQYCSVCGIIRAGFSSKMDGISTLSTSWKGHVALPEDIEEEFRFMHVKRAMLVCRVIAGRVGCDPEMGDKDDPGYDSLVGRETGGTESKLDDEDELIVFNPRAVLPCFVIAYTV >KVH94078 pep supercontig:CcrdV1:scaffold_402:88132:110455:-1 gene:Ccrd_003864 transcript:KVH94078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDGSEYFDVDTESGHDTFSRQSNAEEFRRDETELLWAAIERLPSRKEKKFALLKRPASESNGSEEKTETVDVTKLDRRNRSLIVRKALATSEQDNYKLLAAIKERFDRSVDELYFRSLFINFCVVCGKRVGLEVPKVEVRFEKLNVAADVLIGSRALPTLVNYTRDVIERLLTCLRIFRPQRHHLSILKDISGSIKPGRMTLLLGPPGSGKSTLLLALAGKLDTKLKRSGMITYNGHAFHEFCVQRTSAYISQTDNHIAELTVRETLDFGARCEGEGFAGNLRELTRLEKENHIRPSPEVDAFMKACSVAGRRHSISTDYILKVLGLDVCSETVIGNDMVRGISGGQRKRVTTGEMVVGPRKTLFMDEISTGLDSSTTYQIVKCIKNFVHQMEATVLMALLQPAPETFNLFDDLVVLSEGHMVYEGPRERVLEFFESLGFQKPLRKGTADFLQEVTSRKDQAQYWAESSKPYEFIPVSKISEAYKSSIYGRSLESSLRVPFDKSKSHVSALRKKEYGVSKWKLFEACFSREYLLIKRHSFLYIFKTIQVAFVGFVTCTLFIRTRLHPTDVMDGTLYLGCLFFALVHMMFNGFSELPLMIFRLPVFYKQRDNHFYPAWAWSISSWILRVPYSAVEAVVWSVIVYYSVGFSPSAGRFFRHVFVLFSIHQMALGLFRTLAAVARNMIISNTFGSAALLVVFLLGGFIMPKEMIKPWWVWGFWLSPLSYAQSAIAVNEFTATRWKKLHLTCLHLLYQVQWSNKNPNTTTLQKLTGTNTTVGYSVLHYHSLPTDDNWYWLGVGVLLLYALVFNIIVTLTLAYLNPGNQPSPAKADTGSRRQGMTLPFKPLSMTFHNINYLVDMPKVHLVPGTMSPPAHLTYAKSRITSLCPGHGFGRYNRKEVATLVRRVLTALMGSSGAGKTTLMDVLAGRKTGGYIEGEINISGFQKEQGTFARVSGYVEQNDIHSPQVTVIESLLFSAFLRLPADVNAKQRRDFVEGVMKLVELDNLRDALVGLPGSTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSNEIFEAFDELLLMKRGGHVIYGGKVGERSEILIKYFESINGISPMPSEYNPANWMLEMTTPAVEERIGQDFAVIYKNSKQYRDIEALIRQTSTPQPGSEPLYFSSTYSRSGLLQFQTCFWKQNLVYWRSPEYNAVRLFFTTMCALIVGSVFWDVGSKRNNSQNLMVVMGALYTAVMFLGVNNSSSVQPVIAIERTVFYRERAAGMYSAIPYAIAQGLVEIPYIAIQTIVYGKLLLYLVFMFLTFTYFTFYGMLAIGLTPSQQIAAVVSSAFYSLWNLLAGFLVPKPKIPGWWIWFYYICPISWTLQGLIGSQLADLEEPIVGPGFQGTVKGYLKEALGIESNMIGISALVLFAFSVFFFLVFALSLKFLNFQKR >KVH94079 pep supercontig:CcrdV1:scaffold_402:171791:177119:1 gene:Ccrd_003869 transcript:KVH94079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MNPLTLVKRIQNINSKEASLGISEDASWHAKYKDSAYVYVGGIPFDLTEGDLLAVFAQYGEIVDVNLVRDKGTGKSKGFAFVAYEDQRSTILAVDNLNGAQILGRTIRVDHVTKYKKKEEEDEEAEQQKREARGVCRAFQRGECTRGAGCRFSHNEQRAANTGWGPQDAKNSKWENDKFQGPTRSERGSGPSNQSAAEKMRTRDKDSRDEDTRRLKPKKDEDLHGHGRKPTKYDSEMNYGEGGDRRKEKRSKHDSESYRREDQESSRRTSQREDQESRRRTSQREDQESDRRSSRHHRRKD >KVH94070 pep supercontig:CcrdV1:scaffold_402:221398:223828:1 gene:Ccrd_003874 transcript:KVH94070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEGGGHGGGGFPNYVNLQKHPLVPPPLTAIGRFLQGQRRQNHFPYHNFEHNKGIVNPANGVCGFSSSSNGGIGGLYGEHEVSLVPCLPMDKICAADHDVFLKNYGNIGLNHEVIKSGKSMKSKGGGRKRLIKGQWTDEEDRKLLRLVKQHGVRKWAHIAEQMTGRAGKQCRERWHNHLRPDIKKDTWSEDEEIMLVEAHQKVGNKWAEIAKLIPGRTENAIKNHWNATKRRQSSRHMDQSYDDELTFMQSFFGDSNLIRTQDSNTTSNEPSDHIIDLKSSLDMNPLGFSSSSPYGFASSSFISNESSMVHIKDPKPSLDVNPLGFDGNLQFGFHSSSLVPDHDENPNLYLNKEDSSKTQLASDVYISYLLEGATTLSNSSDHCCYGDMKRDLVFDADEQSASSSTDGTKEMDLMEMVFSNSQFSQGSNFLI >KVH94072 pep supercontig:CcrdV1:scaffold_402:258291:258653:1 gene:Ccrd_003876 transcript:KVH94072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSGGPETMGKRTTMFCELNSSKKVDSNKTKFAGTCRFLPQRSELSPVKYIKHLGNKMMATIRFIAARKRSCTKVTSSGTPKQPMVAPIDSQRAEAIDDCIEFINSSSSLTRSNSVTC >KVH94076 pep supercontig:CcrdV1:scaffold_402:129216:130610:-1 gene:Ccrd_003866 transcript:KVH94076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MEVSWETSVTDSINTIYLLFSAYLVFVMQLGFAMLCAGSVRAKNAMNILLTNVVDAVVGSLSYFLFGFAFAFGGGSDSNPFIGTNYFALKDIPSTSYDYSFFLYQWAFAIAVAGITSGSIAERTQFSAYLIFSFFLTGFVYPVVAHWVWSSNGWLHPDSTSLLFGSGAIDFAGSGVVHLVGGVAGLWGALIEGPRVGRFDAFGKPVQMRGHNATLVVLGTFLLWFGWFGFNPGSFNKILVSYPDSFDQGNWTAVGRTAVTTTLAGSTAGIVTLFGRRLLVGHWDALDVCNGVLGGFVAITSGCSVVEPWAAIVCGFFAACVLIGLNIVALKLQFDDPLEAAQLHGGCGAWGLIFTGLFAKEEFVIQTYDSGNVGVTRPYGLFLGGGWGLIGAQVVELLAVVGWVSVTMGPLFYILHKLRILRISSDEEVAGLDISSHGGYAYSAHPEETGPRMYGEYLRFQDQS >KVH99388 pep supercontig:CcrdV1:scaffold_4024:41363:42002:1 gene:Ccrd_022381 transcript:KVH99388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MYYSKMADRRNWNIQEEDVLISILQEIVAAGGRSDNGCFRTGTYEQIVLKMREKITSLNITSKHIQNKMKRLKDKYSAAYDMLNTSGFGWDDAHQCVTVDAQVLEEYLKPFPQYERLKTIFEKDRATGSMAESAADALEHINLESAVGDDTDELNVPLTTHSNGASASSIPQDVEAS >KVI04461 pep supercontig:CcrdV1:scaffold_4025:12991:29116:-1 gene:Ccrd_017222 transcript:KVI04461 gene_biotype:protein_coding transcript_biotype:protein_coding description:eRF1 domain 1/Pelota-like protein MKIVRRDFAPDGPGSVKMIPDESDDLWVAYNLIAPGDTIMAVTVRKVLREAASGSREAERVKLKLEIKVENVDYDKEGAVLRIRGKNILENEHIGAFHTLEIELHRPFVLRKVFWDSLAIDALHQASDPSASADLAVVLMQEGLAHILLALNKFYENVLQAFMKHIDFKVVRCAVIASPGFTKDQFHRHLMLEAERRDLRHIIENKSRIILVHSTSGYKHSLREVLDAPNVMSIIKDTKAAQEVRALKDFFTMLSNTLLITDELFRSSDVATRQRYVNLVNTVKESGGTTHVFSSMHVSGEQLAQLTGIAAILRFPLPDLDDIEM >KVH90373 pep supercontig:CcrdV1:scaffold_403:260218:262782:1 gene:Ccrd_007608 transcript:KVH90373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRSRRLKWHPTPPPPSPKIINLPTRHGTRRKKTRPTVAKQPTATSGTIQTYNYKGKLESLFGVEREFTRAPVVLLNSGERRERVGSEEEVDGGGGELAEEKWRFQAEILRAECNFLRMERKFALKKLEKNRVRIERTLKSALENLASGRKKLCEGKNMELVLAEEMKELAEKLEDLQSSYNGSEDRELRKCKNFDKKASRLQRRLEKLGGLTDDECKKEIKNEARINEDISILDYRNQTKSTDVEMLERKMEGLSKGMIDRMEKEYGSILNASVASSASTSKRIDVSDQFTFSNRYSNQTKEPLTSHDNSNKCSGRCKVLVRRIVEQVRAETEQWSQMQEMLGQLRQEMEELQTSKDFWETQALASANEIRSLECSVEEWRGKAIEYETKANKLQTEGDLLKDELEKLKEDQAKEVALTPKKTMLSLSKQIERETKSGLSCRMKGNCEKVEEGKKDSQPLSLAKQLAREKRILISRLKENRGSNNEGLRKGYNLVRSPFKDIGNSSSSSASLVRQNSNAIFPLHYLEPARMEDSFWK >KVH90376 pep supercontig:CcrdV1:scaffold_403:64840:69747:-1 gene:Ccrd_007621 transcript:KVH90376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDTVEATKMVMSRIQKLDPENASKIMGYILIQDQGDNEMIRLAFGPENLLLSVIKQAKSFLDISSNTSSAPSSTTPSLFIHRNSPQIVIPNNGFHHMNPPSPSSPWSLSGSFPDHHLHRGSPRPVSYAAVVNGGGSSASSFYNNFNDPTDEYSTNNGLQVVSDQLSFFDESKNVDFIDPMVSPGGRSDSVLFPYPNDTSNWPMVSGNCGDAHHHHLHRRSCSVNDVFLGGGGGGNDDMGGGGGFGGWRPCMYFARGFCKNGTSCKFVHGGFGDEIGLNPSSPTAVAGSPTGKIDSFDDLLRIKAIQQQQQRIAAMAGGGVPPFPFNRCMNFLNENPRSAAALMMGDEFHKFSRCRPDRNDFAAMGLGNSNSSSRQIYLTFPADSTFKEEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFMLPETVKAILAKGNPHFVCDSRQQMERGDFSGCLSPTALESAEPFDHIPFGARMFNQEMILRRKLEERAELQQAMEFQDRRLMNLQLSDLKNHHFHPNLSVSSPTQSCAQINQNLLLEHILPDNLFASPKKSAAIDNQTIFSTDMPETDDSAATASSLSAANDIQVLPTTSTPLEMLASLNSCYFQMPRKMEE >KVH90377 pep supercontig:CcrdV1:scaffold_403:96285:108567:-1 gene:Ccrd_007620 transcript:KVH90377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MPTDQAELNSEQVLRRDIAWETYMTTKLITGTSLQLLRRYDKKSENDRAPLLDNDGPSYIHVFISILRDIQKEETVEYVLALIDEMLTANPKRALLFHDKSLADDDIYEPFLRLLWKGSWFIQEKSSKILTLIVSARPKQNGRTNGATDSKKKITTSDDVLKGLVEWLCIQLKKPSHPSRSIPASVNCLATLLKESVVRSSFVQADGVKLLVPLISPASTQQSIQILYETCLCVWLLSYYEPAIEYLATSRALPRLLEVVKGSTKEKVVRLIVLTFKNLLAKGSFGAQMVDLGLPQIVQSLKAQAWSDEDLLDTLNHLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPIFWRDNITNFEENDFQYHPAGRIIVTDLKAKERVMKLMNHENAENKN >KVH90378 pep supercontig:CcrdV1:scaffold_403:44033:50766:-1 gene:Ccrd_007623 transcript:KVH90378 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MLCYSNRSATRISLGRMREALGDCLMAATIDPNFLKAISRRASLYEMIRDYGQAAIDLQKLVSLLTMQVEEKGGATDKISHMNELKQTQARLADVEEESRKGIPLNMYLILGIESTASAADIKKAYRKAALRHHPDK >KVH90384 pep supercontig:CcrdV1:scaffold_403:203895:207993:-1 gene:Ccrd_007612 transcript:KVH90384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3411 MSTAAMSAMGFTSSRAHSKLNPYIPIQSFQPPISLSVRTTGVLHNTCSLNHHHHHSREKFPILLAFSGGGDDGIGNGNSSGGGGGGDGGSGGGSGGDRNEKEALMVLAEVGRSLDTIPKDLAAAIGSGKIPGSIVQRYLEMEKSPFLRWLLQFGGFKERLLADDLFLAKVGMECGVGMFTKTAAEYEKRKENFSKELEIVFADVVMAVIADFMLVYLPAPTISLRPPISLNAGRIAKFFYNCPDNAFQTALGGASYSLLQRLGAIARNGTKLFAVGTASSLVGTVVTNTLINAKKAVQKSSDDELENIPVLSTSVGYGVYMAVSSNLRYQILAGVIEQRLLEPLLHQHKLMLSAACFVVRTGNTYLGSLLWVDYARLVGLQKAPEVE >KVH90372 pep supercontig:CcrdV1:scaffold_403:240050:243208:1 gene:Ccrd_007609 transcript:KVH90372 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSGAGKTVCVTGGSGYIASCLVKLLLERGYSVKASVRYPNNPTKTDHLLKLDGAKERLHLFKADLLQDGSFDDAVDGCDGVFHTASPFFTAVTDPQGTLNVLASCSKASSVKRVVVTSSMAAVEATGRPKTPETVVDETWFSDPDLCKEMKLWYVLSKTLAEEAAWKFAKEKGMDIVTINPAMAFEIPSASGRYCLVERVVHYSELIAILRKLYPSCQLPEKCADDNPFAPTFQVSKEKAKSLGIDYIPIEQSIKETVESLKDKNFVKL >KVH90388 pep supercontig:CcrdV1:scaffold_403:142160:150644:1 gene:Ccrd_007616 transcript:KVH90388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease, phosphorolytic domain 1 MDQRQANSLIMTVNEKKFIESALLSDIRVDGRRPFDYRNLTIRFGSEDGSSEVQLGHTQVIGFVSAQLIQPYRDRPTEGTFAIYIEFSPMADPSFEADISCRESRAIDTESLCVVAGKLVWSIRIDLHIIDNGGNLVDAANIAALAALSTFRRPECTFGGEDGQEVIVNPPDLWEPLPLIIHHLPVAVTFGFIGKENNVVIDPTHYEEAVMGGSMTATLNTNGDICAIQKAGGDGVLQSVIMQCLRIASVKAGDITSKIKNAVSLFSVEAYNTQRSLRKIKRHDPLPDEQGSIDVAKYHMERLTLGSDVSTAGHSNMEIGSQSNERDETNKKNTTAKGFSGRPASWDPYSRGVDGDALKASLASRVTAPPDKHIKSSRQVKADVAKSSQRSSDTNSFQEAKKIGLQTDESKTLKDAVKPRQKRRINKSTSNSAAT >KVH90387 pep supercontig:CcrdV1:scaffold_403:157376:159596:1 gene:Ccrd_007615 transcript:KVH90387 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEIEVGKSGGGGSTAEHGDRDDDGGGGISMGPSMSMRERATYLVWQDLRVMLPSTGDGPTKKLLHGLNGFAEPGRLMAIMGPSGSGKSTLLDALAGRLSKNVVMTGNILLNGEKKKLTYGAVAYVTQEDVLMGTLSVRETITYSAHLRLPTTMTNEEIQDTIEGTIMEMGLEDCADRLVGNWHLRGISGGEKKRLSIALEILVRPRLLFLDEPTSGLDSASAFFVAHALRSVARDGRTVVSSIHQPSSEVFALFDDLFLLSGGETVYFGEAKDAIE >KVH90389 pep supercontig:CcrdV1:scaffold_403:132484:136721:1 gene:Ccrd_007617 transcript:KVH90389 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA endonuclease-like domain-containing protein VLITQPNLFFILIDCFYLSNHRVLLILNNFHLHHRSKTGTISESDFYLPRFLHTIFVPISSSISAYRRQVLMGPRWKGKGSEAKALAVPISRSILDLQASLIESNSHGMLSGCSVVLASNAEQTDLLNQTCFGRPIITVDKDKQWFQLTFEEAFYLCFSLRCINIVGGDNSVKTKDQLWEYMISKKPSFPTFFKAYSHLRIKNWVVRSGCQYGVDFVAYCHHPSLVHSEYGVMVFSEGNGNDRLRVWSDFQCTLRLCGSVAKTLLILHVNKTCENAIASPSYLDGYSVEERTITRWNPERCREDQVIVETRNNVSRQKSDSISSEVLTGTKMEREMERIGNESGQFWIFSLL >KVH90386 pep supercontig:CcrdV1:scaffold_403:160515:162848:1 gene:Ccrd_007614 transcript:KVH90386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFRGPETRMSTASQIFTRERLNGYYGCAVFILSNFLSSFPFLVAVSLLTGTITWNMVKFNHGFSRYAFYCLNLFGSIAVIESCMMIVASLVPNFLMGLVTGAGVLGIMMMTSGFFRQLPDLPKPFWRYPVSYINYGSWAIQGGYKNDLIGLVFDGLAPGDPKITGADVITKLFRLPLSHSKWWDLFAIYALLVSYRTLFFLVLKLKEKALPIFQSMYAKRTIHRLKRRASFTKFPSSRRYQSLRALATQEGLNSPIP >KVH90380 pep supercontig:CcrdV1:scaffold_403:121960:126841:-1 gene:Ccrd_007618 transcript:KVH90380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKDERKIGGLATRKRVSLIKMSDDSIWVTGVSGSIYERFWNGLQWVIAPHLLPVQAGYAVSIFLVNHTVFALSEAGILYQIQLNENSQPVWVEFVPILDSSTTIQIQSGVTSHEKERIYFCTKNGLLLELSEADPPRWINHGKPPGADVAAIVDVGSIRPQVIFTISSSGDLYEFDRTSKPMWKKHIWSKEITQDTALTPLIGCTVHRRTRSHSDSLLLLTKGGNLVERRLHQRKWKWVIHGSPRDQHLTSMTLVTLDETYTHPFSLFLTTASGSVFEYNISKQEGNAPVKHVEELWVNHMHPPHTKVAKGIAGLQLQVGRLLFHLDDGRLGELHQSKTGGDGVGPTPPVNTRRRMATKYTWSIIESPESEGWNAEYCTEDRGPLNCFVGVKDELNDEGTTRPASRRRAGNKAHEHYYLVSPASSRSRSQEETYTDPESDINTNFRLRLMHEGSSFFLVTESGLIYEFLNVENVWLWVQHEHQMPMKGALGSYNSSLFLVDENNDLIIQERAGNELAWINRMGAKKGRQVIGGRPWDLPPGEYPKVALRKFKWKDCRNPSDSKIASIVDQELFRENLVFVVGRDGQLYQYNKVTGLWHRHYQSQHMVLSKHPGTAMRPSSRSLTGSLFMISEDGRLIEYHWNPMDGWNWVEHGTPSLGVTLVGSTGPCVGRNQLFLIGSNGNVYLRYLDQVTWKWSDCGFPYMGNIVGENCQEDKVCIDHELRDKTHLEHKKEADNCDPKVAPTRPIPFTETAVIFELRDGRLAEMQRTEDLRWVWLRTIGTPTSRCNLIYWTAVAS >KVH90375 pep supercontig:CcrdV1:scaffold_403:33853:43480:1 gene:Ccrd_007624 transcript:KVH90375 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MAWACSRHWSSIRTPTVASATIPKETVNSRVLVLGGTGRVGGSAAIALSNLSPDLHIIVAGRNREKGASMVATLGKNAEFAEFDINDVQSLESALTDVDLVVHAAGPFQQTDNCRVLEAAIRAKTAYLDVCDDTNYALRAKAFMNEALAAKVPAITTGGIYPGVSNVFFLLLFFFLSTLYIDIIIYLLILTVMAAELVRVAKSENDDEPERLRFYYYTAGTGGAGPTILATSFLLLGEEAIAYNKGEKIKVRPYSGMVNIDFGKGIGKKDVYLLNLPEVTSAHEILGVPTVSARFGTAPFFWNWAMDAMARFVPPELLRDRSKVQEMVRLFDPVVRAIDEIAGERVALRVDLECAGGRHTIGVFSHKRLSVSVGTSIAAFALAVLEGSTQPGVWFPEEPEGIAIEARELLLERAAQGTISFVMHKAPWMVETKPKELGLGIYV >KVH90381 pep supercontig:CcrdV1:scaffold_403:114052:122342:1 gene:Ccrd_007619 transcript:KVH90381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MANTADVDALDFEPDDDDLMDEDAAVDVDASSPSAAAPIPKLKSAITAGSAAALASSVPKKIKGRGFREETDAGRSNRLSGRFDSLDSDGGPGPERFTGVHEEAQEDDLQNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYENFEEAEKAITSMDGGELLTQAVNVDWAFSRGPFKRKNNRRRYASHTRRILNHQVHITTWASLKKSSEEILIWYASEATGCGFVMINLIDACNVY >KVH90382 pep supercontig:CcrdV1:scaffold_403:236713:239841:1 gene:Ccrd_007610 transcript:KVH90382 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSSQEEGKTVCVTGASGYIASWLVKLLLHRGYTVNATVRDLTKERLHLFQADLLMDGSFDAVVQGCDGVFHTASPFFITTNNPQEELIDPAVKGTLNVLSSCSKVPSIKRVVLTSSVAAVLYNGSPLTPKVVVDESWFSDQGICKESKTLAEEAAWKYAEEKGMDMVTINPAMVIGPLLQPTLNTSARAIFSLINAPTYRNITVGCVHITDVAIAHILAFETPSASGRYCMAESVVHFSELVQILHKLYPSFKLPNTCEDDAPIVKYQFSKERAKCLGIKYTPLEEGIKETIESLKEKKFLKTE >KVH90379 pep supercontig:CcrdV1:scaffold_403:58268:59063:-1 gene:Ccrd_007622 transcript:KVH90379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDDHDTKTERIHVLKNQIKEVKCRLEENKNDVPKERIEATKNLSEKYKQLRDEYNLLLGQKQIS >KVH90383 pep supercontig:CcrdV1:scaffold_403:214260:224799:1 gene:Ccrd_007611 transcript:KVH90383 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex, mu/sigma subunit MISQFFVLSQRGDNIVFRDYRGDVQKGSAEIFFRKVKFWKEDGETEAPPVFNVDGVNYFHVKVVGLLFVATTRANVSPSLVLELLQRIARVTKDYLGILNEDSIRKNFVLVYELLDEVIDFGYVQTTSTEVLKASVFNEPIMIDATHMPPLGPAALFMQGSKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSVSKLSFDSIFFPGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLNIGRGGRSVYGIYPINYGGSSGSGSVILDDCNFHESVHLDSFDVDRTLTLVPPDGEFPVMNYRMTQEFKPPFRINTLIEEAGSLKVDIFSV >KVH90374 pep supercontig:CcrdV1:scaffold_403:13495:25199:-1 gene:Ccrd_007625 transcript:KVH90374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIAVLLHHLRARSASSSSAQRQSSVPHHGHHFSSFSLSFLPICPQVQCSLHAVLIDCNFSVFVFGSFTEDELKSLQSSPKENDVEFMFGSLDSATLRSVGIFNNRPSEIEIAKKHQTSQLVNKRNTDEIVHTTAKASQSLVSVVHKNGNIHDSSSLSFTKGISEQERKSSELPLSFVPGSVGEPLDQSLQPYGTESVTLSSTSLGNEAPVSSFEALDLIDTKNNIFNASNGLYTTATELLPRGLVNSGNLCFLNATLQALLACSPFVRLLQELRMRNIPELSVCCQFAISCAELKYFRQEDAQEFLSFVMHQLHDELLRFEGEISIVNGGKVSLVSSVSDEDGDSWETVGPRNKTAITRTQSFIPSKLSEIFGGQLRSVVKARVQHVPPASVPVQVDSGYCCSSYWCSSLMNLSRTNLARLNTSRDRWSMAFEEDISAELVSASKSVKILELPEIIILHLMRFSYGSQGSTKLLKPVYFPLELILNHELLVSPSTEGRRYELVATITHHGREPSKGHYTADILHPSGKWLHYDDASVIAIPTTKVLHDQAYVLFYKQL >KVH90385 pep supercontig:CcrdV1:scaffold_403:174391:177183:1 gene:Ccrd_007613 transcript:KVH90385 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MEMGLQDCADKLIGNWHLRGISGGEKKRLSIALEILTKPTLLFLDEPTSGLDSASAFFVVQALRSVARDGRRTVVSSIHQPSSEVFALFDDLFLLSGGETFFSDAGIPCPSRRNPSDHFLRCINSDFDRINATLQGSQRFRHDTKIACTTTLSCSTTAQIKGMLVYKYKSSKYAAAARTRIKEISTIVSLFRVIRLTEALVVETKSGRKASWWKQLTTLTKRSFVNMSRDVGYYWLRIGVYIAVSICVGTVFYDIGTNYHAMLARGACGGFISGFMIFMSIGGFPSFIEEMKIFHRERLNGHYGVGVFILSNFLSSLPFLTVMSFSTAVITYNMVKFHRGFFREMYACLDLLLSIAAVESCMMVIASVVPNFMMGIIIGAGCYDDDCGLLPSPPRTSKAILAIPGDPKLPGELILTSVLGISIEHSKWWDLAAVVAILLFYRLLFFAILKLKERAKPMVRELYTRRTLHHLKKRASFRKTSPFPSKRHQVAHPLSIQEGLNSPLH >KVH91394 pep supercontig:CcrdV1:scaffold_4030:39568:47678:-1 gene:Ccrd_006584 transcript:KVH91394 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MIAAISWVPKGASKAVPSAADPPSKEELEEIKKNVLVEKGVGVESEEDEEDMSVDASKEPADVEQALGAANALGKLSVRKAGGSELPDITDAIDIFGSGIADTFYPSNELDPYIKDKDDDDDSEELEDIIIKAEDAVVVWIIEDPDGDSNMYVHHDILIPAFPLCTAWLDCPIKGGEKGNFIAVGSMEPAIEIWDLDIMDEVQPSLILGGVAEQKKKKKKNGKKVEKSIKYKEDSHTDAVLGLAWNKGYRNILASASADKLVKIWDVATGKCKITMEHHTDKANSVFSSF >KVH91397 pep supercontig:CcrdV1:scaffold_4030:7430:9021:-1 gene:Ccrd_006581 transcript:KVH91397 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MITILLIVSSLILSFYFVLNKKPKALNLPPGPPKLPLIGNLHQVAGALPHRVFRDLASRYGPIMHVKLGQINSVVISSPRLAKEVLKTNDIAIADRPYVLLAELVLSGNQGIVLAQYGEYWRQMKKILSLQLLSVKKVRSFQXIREQEIGRMLEAFRLSSGKPVNIHAKVAQGINSVVCISAFGSNCRQQHRLIEFMEAMERETSGMSDMFPDLEFLDKFKRRSRVMQLKKTYDDLLDDILDEHRQRKRDGDQAEEEEGLLGSLLKIREEGGLEFPITNDVLKALFLDVFAGGTDTSTATVEWAMTELIKHPTIMSKVQAEIRAALKGKTVITEADLQDLSYMQCIIRETLRLHPPFPLLVPHDCREQCKIDGYDIPVKYRVFINAWACATHPEYWEDPDSFKPERFQKTSVDFLGNDYQFLPFGSGRRICPGIYFGLRTTELFLAQMLNNYDWKLPHGLTPSNVDTAETLGGGVLVTKKNSLHLIPTLYSPVD >KVH91396 pep supercontig:CcrdV1:scaffold_4030:13695:15281:1 gene:Ccrd_006582 transcript:KVH91396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIITILLIVSSLILSFYFVLNKKPKALNLPPGPPKLPLIGNLHQVAGALPHRVFRDLASRYGPIMHVKLGQINSVVISSPRLAKEVLKTNDIAIADRPYVLLAELVLSGNQGIVLAQYGEYWRQMKKILSLQLLSVKKVRSFQXIREQEIGRMLEAFRLSSGKPVNIHAKVAQGINSVVCISAFGSNCRQQHRLIEFMEAMERETSGMSDMFPDLEFLDKFKRRSRVMQLKKTYDDLLDDILDEHRQRKRDGDQAEEEEGLLGSLLKIREEGGLEFPITNNVLKALFLDVFAGGTDTSTATVEWAMTELIKHPTIMSKVQAEIRAALKGKTVITEADLQDLSYMQCIIRETLRLHPPFPLLVPHDCREQCKIDGYDIPVKYRVFINAWACATHPEYWEDPDSFKPERFQKTSVDFLGNDYQFLPFGSGRRICPGIYFGLRTTELFLAQMLNNYDWKLPHGLTPSNVDTAETLGGGVLVTKKNSLHLIPTLYSPVD >KVH91395 pep supercontig:CcrdV1:scaffold_4030:31516:42360:1 gene:Ccrd_006583 transcript:KVH91395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MDGISGDDMDTGVYGYEFRLPLLFNSIEIRIGNQSAAAQLLINGVGFRKVVWMVFWYFLIVFSDMDCPFHGGFPWFVWLRSSMASHSETRLISAFLLCLSSGCSITWFNTICFVLCIKNFPENWPLAVSLSVSFNGVTAALYNLIVTKISSDAKNGSYLILNAFLPLITSVAALIPILQQPCSRKNLHVENAADKEDAYTFGFLYLLAAESLSSISNQKSFGCRVFDKMIEKDRVTVIGEEHTVRMLVTRCDFWLYFVAYFCGGTIGLVYSNNLGQISQSRGYVSETRSLVTIYSTCSFFGRLISAGPDLVGGFYPSKTYTKRYTTTRTGWLALSLVPMPIAFLMLVLSGTESALSTATGLIGISSGFVFSAAVSITSELFGSKSSGINHNILITNIPLGSLLYGALGAVIYDNQIKSSAEVVVVGGSKVCMGRNCYNETFGWWGCVSLFGLAASFLLTNHKRVLIMWIPCQAFDVSTNGPLKP >KVI08089 pep supercontig:CcrdV1:scaffold_4031:30562:31264:-1 gene:Ccrd_013543 transcript:KVI08089 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding ADNEKEPEIQIGNPTDVKHVAHIGCDGPSTPAPSWMNEYQGGSESQSGETGSKDPLPSTGGPSPSRPAKTKQSRRQAASSSPGMESPNMNPKPRKSKNINVDSSSSTANETSSRTRRIKNSILGSESPAQETNARRTRKKKGSTGDGTARSSKTKNKDPSNTDGSGLDSGCSDGVCQKPDD >KVI08090 pep supercontig:CcrdV1:scaffold_4031:25996:29762:1 gene:Ccrd_013542 transcript:KVI08090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MASLLVHSSISHSNARPQSSFPSQNTVFDFKYTHNLPKRRKPIISLSAVDEKVPVSEPSPSSYTGFQLPGTVQSLKLKLVTAVSGLNKGLAASEEDLKKADFAAKELESAGGPVDLSADLDKLQGRWKLIYSSAFSSRTLGGSRPGPPIGRLLPITLGQVFQRIDTVSKDFDNIVELQLGTPWPLQPVEVTATLAHKFEIIGSCLIRITFEKTTVKTTGNLSQLPSLEVPQLPEQFRPSTNRGSGEFEVTYLDSDLRITRGDRGELRVFVAS >KVH78207 pep supercontig:CcrdV1:scaffold_4035:4744:14673:1 gene:Ccrd_025516 transcript:KVH78207 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM-like protein MDPNQSSNQLLKPKDSHSTDYAPYPKIDPNDVAPAPAPSPAPAPTAAIGDDWTSVPVGSQQQATPPPPVAGQPMYTAGSRSSDAPPQGLPGGGATTMPNESNPYVSPAPVPVSSAKKTMDSVKDVLGKWGKAAAEATKKGQDYAGDVWQHLKTGPSLADAAVGRIAQGTKVLAEGGYEKIFKTTFETVPDEKLLKSYACYLSTSAGPVMGILYMSTAKLAFSSDNPLSYKVGEEIQWSLYKVVIPLHQLKAVNASKSKANPAEKYIQIISVDNHEFWFMGFVNYDSAVQSLQGALQSHYQV >KVH78206 pep supercontig:CcrdV1:scaffold_4035:42070:43542:-1 gene:Ccrd_025517 transcript:KVH78206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGYLSCNAESAISTCDSYTFSKNKKKHPISNPQNKQFKIRDFCFADLKTATNGFSHTNFLGKGSHGSVYRGVLDDGALIAAVKRTTNHHTIGLGQCTTSIASPAENEIETLSRVRSPRLVNLLGFGSDPIDGRKLIVVEYMPNGSLYDLLHKNETRPPGLARRVKIAVQVAKAVHHLHSSNPPVIHRDIKSSNVLFDGKWNARLGDFGLALRGHVEDVKIQCTPPAGTLGYLDPCYLAPGDLSAKSDVFSFGILLLEILSGRNAIDLKYSPPSVVDWAAPFIRSGEYAEIFDPNIEISSDNSGVRQLAVLAARCVKSTAEKRPGMAEVVQCLITAGKRITSLVLGRRRVRRTPPPSIKYEPLNESTETVKASRASSRRTRKVSGATSVPLKTKSNGPDCLKSRHAARSKSIGSVLDIKAAPLDSGLGRRRGGGQGLGVKGSMVTMNRSKSTGELRGTGLVHKRNGGIVLQMIRNPNVRELESSKLLVKFR >KVI10995 pep supercontig:CcrdV1:scaffold_4037:37191:38798:1 gene:Ccrd_010599 transcript:KVI10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MAGRRGSATVAAAVLCLLAVALHCEVAQAAIYVVGGRGGWTFNLSVFNYQKGAHNVVVVNKAGYNGCSTTPRNAKVYTSGKDRIRLVKGLNNFICTLPGHCASGMKIQVSAS >KVI10996 pep supercontig:CcrdV1:scaffold_4037:33631:33946:-1 gene:Ccrd_010598 transcript:KVI10996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTSMGKDEACLYLKIGLLCTQSCPKNQPSMSDAMKIVLGKPEDSTMYRNTTSSHATMTFTSITER >KVI10997 pep supercontig:CcrdV1:scaffold_4037:709:23313:1 gene:Ccrd_010597 transcript:KVI10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEHLNRKKVACFPVSSPPALPPCENDNTSGCVQSSFRQGKRKLTREHRLECVVVFEKIFGDVLCLEGDLVIINFFALIPGQNTSVFIVQEGWSLAELFNVRCVVAAPYVVPYSAPSSFERKFREELPLVYDYFQAAPSEKIGWKDVIHWMWPLFTEDWGTWRATELNLSPLPFTDPVTSHPLWHNRPSSPLLLYGFSKEVVECPGYWPSNVCVCGFWILPMEWQFSCEECAEIAVLISSKNLNMKAELCSSHAELEQFLNAPASLPPVFIGLSSIGRQVFNMGFMRKPQLLLHVLQSVLEITSYRFILFSSGYGPLDAATRVLAAETSSCSEKGEFIKDGVSLFSGRLFCFSGSVPYKWLFPKCAAAIHHGGSGSTAAALHAGTPQVLCPFMLDQFYWAERMFWLGVSPEPLKRTQLLPDEDDETSIKEAACGLARAIDYALSSQGMQYNSGNGRFIQLHGIRALTALSQRHQKPLAISVCPCVVCFLLLLLLLLYRPLPPDGMLEAVQMLKASIASQSSKED >KVH99473 pep supercontig:CcrdV1:scaffold_4038:41791:42179:-1 gene:Ccrd_022293 transcript:KVH99473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSAISYGHMHPRGRGHWQELIESIVLNTSLILRFMLQLPRNMSGAGHPDWTKWDDSFSFRICTLPEPKS >KVH99475 pep supercontig:CcrdV1:scaffold_4038:24140:25052:-1 gene:Ccrd_022291 transcript:KVH99475 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase, subunit D MTPEIILAANPLDSTVVASKYANIVAGAGSIKSSAVEAPKGELGILLIGDNGVFHWRYEIRPPGFIKLQILPQLVKRMKLADIMTILSSIDTIMVDVDH >KVH99474 pep supercontig:CcrdV1:scaffold_4038:29610:30123:-1 gene:Ccrd_022292 transcript:KVH99474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSQINGNFIDKTFSIVANILLRIIPTTSREKEAFTYYXDVLRETNMIRHWDKKKKKSTLVEGKVWKTIPSVVYPRLMQPQMQQRGRSTTPRNQQHENFVLNYPLAGLGLKEWLGPKKCISFVFWIPV >KVH99476 pep supercontig:CcrdV1:scaffold_4038:21871:22481:-1 gene:Ccrd_022290 transcript:KVH99476 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase, subunit D MIYARVEAPKGELGIFLIGDKSIFPWRYKIHPPRFIKLQILPQLESLKEVYGIIWMLIPNFTPILGIIIGVLVIVWFEKEISAGIQQRISPEYAGPLGXLQALADGTKLLFKENLLPTRGHTRLFSIGPSIAVISILLSYLVIRFGYHQGKKYYRHKI >KVI04046 pep supercontig:CcrdV1:scaffold_404:168105:173243:-1 gene:Ccrd_017652 transcript:KVI04046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MANIGVLQEWFDRVDSDKSGNITAVQLQRALAVGNLQFPITIVQQMVRMYDFDRNGTMSFEEFVALNKFLLKVQQAFSDLERDRGFLVPDEVYEALLKIDISLDSPAFYTVCESFDKQKDGRFRLDDLISLCIFVQSARNLFNSFDTSKQGRVTLDLNQFIYCTANCRI >KVI04037 pep supercontig:CcrdV1:scaffold_404:216819:219671:-1 gene:Ccrd_017647 transcript:KVI04037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKLARELSKALINNINNPRFAWQIFKRIASNPNFPPHAFIPSLPVVIQILVGAKMFTQINALPQLLLTHPTHTCHHSMILLVRLLAKLGHVTLAISQLQTLRAHHPNRPPPVSLYNLLIRSSLRQNLPHCVRFLYEDMIISRVSPETYTFNLLIGGLCDSGWLEDARKVFDKMHERGCLPNEFTFGILVRGYCRAGHASQGLELLEVMRSMEVVPNIVIYNTLVSTFCKEGKTDEAEKLVERIREDGLVPNVVTFNSRISALCSAGKILEASQIFRDMQVDKELGLPQPDMITYNLMLEGFCKGGMIEEAKTLVESMKESGVSLEVESYNIWLSGLVRNAKLLEAQLVLNEMAEKHIWPTIVTYNIMMDGLCKNGMLRDVKMVMSSMKNDGVAPDVVSYSILLHGYCKKGMINEANKLLRKMIANGCFPNNYTCNSLLESLWKEGRVLEAEELLNKMEERGYALDTVTYNIVLDGLCKSGKVDKAVESMHEMRNQENAAPSDLDNSYVGLANDDNNRNGCTPDLISYSTIINALCKDGKFDEAKKKFIEMIGRNVYPDSIIYDIFVCNLCKMGKVSSAFRVLKDMEKKGYNKSLQTYNSLILGLGMKGQFFEMYGLMDEMRERGISPNVRTYNNMIRCLCESGQTDDATKLLDEMLTKGVSPNISSFKLLIRPLCQIGEFRPAQEVFDISLSIFGHKEVLYNFMFNELVAGEELLEAKDIFLSALDRCFDLGNFQYEDFIGRLCKVEMLECASDVLKKMIQKGYAFEPASFMPVIDGLRTTGNKHEADEFAERMLEMASELKVTNQVSRNVRVFNRKKVSNNNENSWQSILHRDDGSGVTLKILNKIQKGWGHANISNLQSPKYDFLDN >KVI04047 pep supercontig:CcrdV1:scaffold_404:147704:153466:-1 gene:Ccrd_017655 transcript:KVI04047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenase family MAKRTLPILKQLLHQRSPSLSPLSRSVTYMPRPGDGAPRTVTLIPGDGIGPLVTGAVEQVMDAMHAPIYFEKFDVHGDMKSFPTEVVESIKKNKVCLKGGLNTPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKPIKTKKKVEFCRVMGFGVRMDFVSFNTGDEKFSSREYDLKFSILINWQVITKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREIASKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKQKIVDQRTANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKTRTKDLGGKSTTQEVVDAVIANLE >KVI04042 pep supercontig:CcrdV1:scaffold_404:192982:199116:1 gene:Ccrd_017649 transcript:KVI04042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTDSKFSEYVLANSETGFPNHDKKTALRDLQNENRNMGFKSDGSSLLKDNGPAVEAVKVSGTKRPQPECTMRSPHQQTPVSNNGHLVYVRRKTESEQHKNSNCNKANDQPKKLYEHDEKNHGQYPTNDSTICTRKNISEPKTSEGVSSTAPLVTLDSGKSNITSPVTDSSCLKLDNPRGLNIPHWEERYLRLQNFLKALDLSNQDDYHQMLRSLSSVGLSRIAVELEKRSIQLSLEEAREVQRAKLVDVLDKFPRSVGEHQSTK >KVI04029 pep supercontig:CcrdV1:scaffold_404:27166:29061:1 gene:Ccrd_017665 transcript:KVI04029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MGFSYFCIVFFLSISISISISSTNACDRCLHQTKAAFFSKASSLSSGACGYGSLATSFYGSHLAAAVPTIYKSGSGCGACFQVRCKDSKLCSQKGTRVIVTDLNQSNETDFVLSSRAFMAMANKGLGQNLLKLGVADVEYKRIPCDYKGKNLAVRVEESSQKPNYLALKFLYQGGQTEIVGVDIAQVGSSNWGFMSRNHGAVWDTSRVPAGALQLRLVVTAGYDGKWIWAKSVLPADWKIGGVYDSGVQIDDIAQEGCAECDEQIWN >KVI04033 pep supercontig:CcrdV1:scaffold_404:106281:111635:-1 gene:Ccrd_017661 transcript:KVI04033 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MASTTLHSRLSTTQSPAVTRSSSSLRPITGNSLTWSRAFAPDRLLSAVSHSSLRGVGGSVKPLITCAAGTAPVAAANLAPGTPVRPTSIMVIGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNGDLSKPETIPATLVGVHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFFSIHNCDKHPEVPLMEIKYCTEKFLKDSGINHVVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIAIRSEKVDGKLLTFAGPRAWTTQEVITLCERLAGQDASVTTVPVSVLKFTRQLTRCFEWTNDVADRLAFSEFWGTYLSPMPIFMLALHILTSDTVFSVPMNETYQLLGVDQKDIITLEKYLQDYFSNILKKLKDLKAQSKQSDFYI >KVI04043 pep supercontig:CcrdV1:scaffold_404:180447:183849:1 gene:Ccrd_017651 transcript:KVI04043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEILRNDDDLVGEMMKMIETVGSYMGFRRTQRKECLKLVRRLKLLLPLLEEIKEMNQSIPTRALQSFVILNKSLLSAKKLLKHCNFGSKIFLAMESDAVMSRFHCVYDKLNEALDGLMYQELGISEEVQEQIDLLRKQLQGAKRRTDTLDIELAMDMMVIFSKNDERNADIVILERLAKKLDLSSVGDLEIETVAVRKLVKERGTTKHVESTEQIVDLLRKFKQVAGFDEVNHNSKVLEESPSLRCLERCRSMLIPHEFLCPISLEIMTDPVIIATGQTYERESIQKWLNSNHRTCPKTGETLSHLAVAPNFALRNLILQWCEKNNFELPKRESFSIAENQNAFLDEVSLLVQNMSSSQLSTQSQAVEKIRMLSKENPENRILIANCGAIPPLVKLLYSPNWKVREHSVTALLNLSLDEANKRLIAREGAIPAVIEVLQNGTDEARENSAATLFSLSMLNENKVMIGSLKGIPPLVKLLKNGTVRGKKDAATALFNLSLNQSNKTRAIKAGIIEPLLHLLKEKSLGMVDEALSILSILAKQPEGQSGIGQLSVIETLVGFVKDGTPKNKECATAVLLELGLSNSCLILAALQYGVYEHLVELSRCGTSRAQRKANALLQQMSKCEHIL >KVI04035 pep supercontig:CcrdV1:scaffold_404:59694:64853:-1 gene:Ccrd_017663 transcript:KVI04035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGLCASAPKSRTNPERNQFKNHQKTNLFSYNHQNPPSTNNPQKFSGQQTTTGAGGVGATPPPFSEFSFADLKTATNNFSTDLIVSESGEKAPNLVYKGRLKNRRWIAVKKFSKLSWPDPKQFAEEAWGVGKLRHKRLANLIGYCCDGEERLLVAEYMPNDTLAKHLFHWENQTIEWAMRIRVAQYISDALNYCSAEGRQLYHDLNAYRVLFDEDGDPRLSCFGFGTVLLDLLSGKHIPPSHALDMIRGKNIILLMDSHLEGNFSTDEATTVVELASKCLQYEPKDRPSIKDLVATLAPLQTKCDVPSYVMLGISKLDEAPATPQRPLSSLGEACSRKDLTAIHQILLSFQEWTQQMRDMLEARKRGDQAFRDKDFKAAIDFYSQFIDVGTMISPTVLARRSLCYLLCDQPDAALRDAMQAQIVYADWPTAFYMQSVALAKLDMHQDALDMLNEAAGLEEKRQKGDRRSS >KVI04040 pep supercontig:CcrdV1:scaffold_404:258787:261150:1 gene:Ccrd_017643 transcript:KVI04040 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit D MQLFRNSFGXNKVYIFDKMSGQGQRLNVVPTVTVLGVIKARLVGATRGHALLKKKSDALTVQFRAILKKIVSTKESMGTIMKSSSFALTEAKYVAGDNIKYTVLENVKTASLRVRSRTENVAGVKLPKFEYFTEAETKNDLTGLSRGGQQVQGCKVAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALESVVKPRLENTISYIKGELDELEREDFFRLKKIQAFKKREVERQMLNSKQFAEDQVAENLSLQKGISMDAAHNLLAGTQKDEDIIF >KVI04038 pep supercontig:CcrdV1:scaffold_404:235234:236891:-1 gene:Ccrd_017644 transcript:KVI04038 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MANDDQLHIAMLPWLAFGHLIPFLQLAKLMATKGHKITFISTPRNIHRLPQIPQTLSPFITFLQIHLPNVDNLPPNAESTKDLPQEKVKYLKMASDGLRLPVTNFLKTSSPDWIIYDFTTYWLGPIAAEHGVLTAYFSVFPAVVLGYLGSPELLINGDYYHEPQEFSNLPKWVSFESHVRPSLFQFTRSNENFNDDEAENVSDAYRLGATIHGCDAVVVRSSFDFEADWLKLLNHLYQKPVIPAGLLPAAGAEENTSWPETREWLEKHEKGSVVYIAFGTETKPNQYELTQIALGLELCGLPFYWVLIDQRGPSDDVAIELPRGYEERTRGRGVVCTRWAPQFKILSHDSVGVLLIHSGMSSVVEGLQLGKPLVLLPFLFDQGLIASYLVEKKMAYMIPRDELDGSFSPESVADSLSLVMGKEEGKIYRDKAREMMTIFGGINFSSCLLSLSKPLSSWICTLYSPSVIIASSSINLISHASSVSSRQPFHPAISGPIDDGHPIYADALHPSQIF >KVI04034 pep supercontig:CcrdV1:scaffold_404:74795:79590:-1 gene:Ccrd_017662 transcript:KVI04034 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGCVGSKPDDSPAVALCRKRCQFLDEAIHQRYALAQAHLAYLHSLKSVGDSLHRFFDLHSAAAGAGAGDGHASPVLNLPSQRKGDSSGPPPPSVTSAPAVEHRHSHSNSGSSHLHFHTDSDDDSGDEDDILHLHSENGGSSPLHHQRYGNLSYNEQHESLGGSYLPPYSYPPAGYPPAGYPPAGYPPAGYPPAGFPPAGYSSGGYTMNFMRKQPTPSVVYQQRPMSSEPIQYGEASSSSYYSNNYNNQNPGSYSNYGEFFGSSQPPPYGGVSAPQAVSPSEASSSNSKAPPPPPPPPSSSWDFLNPFDTFESYYPPYTPSRDSREVRDEEGIPDLEDEDFYQQEVVKEVHGNQKFVDAGGGAGGGSGSGGDDGGKKGAAVEEKSEERAAADLHYRSVPNVVTKEDDPVEYEVHVVDKEVDNQKKPLVFQNDAEVVKEIQTQFNRASESGNDLSKILEVGKLPHNRKHVAYQVPSKMLSVFTPLALAPADPALDTDVDLLTKSKNLSSTLHKLYLWEKKLFDEVKIEEKMRLVHEEKKRRLRRLDEKGAEPHKVDATRTLVRSLSTKIRIAIQVVDKISEKINSLRDEELWPLLNDFIEGSMVECHRSQCEAIGAAKRMDAIASHKHLTDGSLEATLQLEHELLNWAIRFSCWFGAQKGFVRALNEWLVKCVMYEPEETVDGPVPYSPGRIGAPAVFIICNQWAQAMQRISDKEVVDAIRDFAGTVLQLWERDKVEMRERMVVDKNLERNVKNLDREDEKIHKELQILEKMMVVGSGDENGMSLGHPAVYQSETSKNGSVQIGLKRVLESMERFTANSLKIYEELLQRIEDDKLGG >KVI04051 pep supercontig:CcrdV1:scaffold_404:129621:134426:1 gene:Ccrd_017658 transcript:KVI04051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVRRTDNEQAKLIKLGIRPNIEDYLPTDSIQEPLSKLQLTLSHDVSSRILQSLGTGMCMFPLWCLGVVVRYEILFPVRVLILALGWIVFLSSYIPVHVLLKGHDLLREKLEYHGPRPCARPIFQHAFELGSTVCAIAIKYNKIFVDAFWNSRKQSFTTNLLQLMTSWAVVCDVWYLKPQNMKPGETPIEFADLKVRNIISVRAGHKMVPWDGYLKYSRPRPKHRKRK >KVI04036 pep supercontig:CcrdV1:scaffold_404:222975:225066:-1 gene:Ccrd_017646 transcript:KVI04036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGTCCSSFFSSRNQTPNTIGNLSSGISQTTISSSNTTTGSGSTSSGSSSNSQFLAPGGQILPHPNLKIFTFAELKTATRNFRTDTVLGEGGFGKVYKGWIEDKSNSKHNIIAVKKLNSESMQGLEEWQAEVDFLGRLSHPNLVKLFGYCYEGTELLLIYEFMQKGSLENHLFGRGSTVQPLPWDIRLKILIGAAQGLMFLHTSEKQVIYRDFKASNILLDGSYNAKISDFGLAKIGPSASQSHVSTRIMGTYGYAAPEYVSTGHLYVKSDVYGFGMVMVEMLSGMRALDTGRPAAQQNLSDWVKPYLADRRKLKNIMDSRLEGRYPSKAVVQIALLALTCLGPEPKSRPSMKEVVEKLEQLDAMKERAKVPRVHHSPYRHGQQPASHHRISPQPQPQPQAQARKQRSPITI >KVI04041 pep supercontig:CcrdV1:scaffold_404:211074:214984:1 gene:Ccrd_017648 transcript:KVI04041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MEDHLASFMPQTTTMFGSMKENALDFSRMDQISYDLSFSNPWDSSSSYLNSSETYLRRLNPNPSHRYFKDEIDVKPLGTSLDYPLINTFFDNQTPVKRLIQAIEYLKESTRDIDILIQLWLPVPRGGECVLTTXDQPFILNSESNXLLDYRQISRSQHFTTDEDSEAFLGLPSLVFLKKYPMCTPAFQFLGKEEDPXIDIAQQLXLGGSLHLPVFELGSGTCLGVIEIVTTSQNVSYHDPLNNIXKALEAFDLRSSEFLIHPKLEDCNGSYQVVLAEIREVLKSVCETHCFPLAQTWSLCTQQGRGGCQQQSATCISVISSASYVYNPHVLGFHEACAERHLLRGEGIAGKALGTNKPCFATDIKAFCRTEYPLADHARMFGLGGAVAIRLRSTYMGPVDFILEFFLPHDCRNEEEQKHILSSISSVIQHVSRSLRVINDEELAGEDSSSVKETATQDASSWISHMLDAQQRGESFIVSMGSHKEEPEEFKVINQWDYHEKSIYRRSTLPECAKQREPNLGPKGRRRSSGTRRSEEKRRVKAERNISFPVLQQYFPGSLKDAAKSIGVCPTTLKRICREHGITRWPSRKIKKVGHSLKKLQLIIDSVQGAEGTIQLESFYTNFPELSSPVSPSPKPTNDCVNLFKSQKTSSCSSSCASHNSGSSPCCSKENALYAEKPSGLVKRQAQGKSLVRTQRDTHVDYHHSNEVLVPLLKRSNPISRDEGGFRVKAIYGEEKIRLSMSQHWDLGDLQREIMRSFSIDDMDNITLKYLDDDSEWKKNQQLDLLPLVTSVHRLNAQFTSNSLLSKILGTSGARFNAKDV >KVI04045 pep supercontig:CcrdV1:scaffold_404:164354:167757:1 gene:Ccrd_017653 transcript:KVI04045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRRRSLDYRAPYYRPPPNHIWNSEQLASESVKMGYFGNRYWVLRHGKSIPNEKGLIVSSMDNGKLEEYKLASEGIDQAQLAGESFLKELKENGIPIENVRICYSPFARTTHTAKVVASVLNIDLEGPQCKIFEDLRERYFGPSFELQSHEKYAEIWDLDEKDPFSKTEGGESVADVVSRLTNVCAILIVSHGDPLQILQTVVGAVGEQVEPNGVELAKRVEAIKVPSVLSQHRKHALETGELRSVV >KVI04032 pep supercontig:CcrdV1:scaffold_404:113252:115380:-1 gene:Ccrd_017660 transcript:KVI04032 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MMHDNLSAFESDWILYFPEVIYGSILCSNFSEIFDVDWFISYLSKDVKIVKELPSSINGRVVHPYRTRVPRKCNQGCYQSRMVPLLDKRKAVMLTKFDYRLSNRLATNLQKLRCRANYHALRFTDPIVEMGKTPICLPSPGATMAAEIKNDENYNKFEDGGKLYMNPDRERRQGRCPLTPEEVGLMLRALGYGRDIHIYVASGEVYGGEDTLAPLKALFPNIHSKDTITSKNEMAPFLSYSSRMAALDFIVCDESDPTIRPNAKKLWRLFVDRNNMTWEEFETTTRAYQMGFMGEPNEVKPGRGEFHENPAACICENSESKSKSMMHSNPDVKFDEKNDVAEDEHDGFYEEDVEIEDKSRVQRLGTKNETDLGRIGASESGELEEIFSD >KVI04031 pep supercontig:CcrdV1:scaffold_404:9319:9717:-1 gene:Ccrd_017667 transcript:KVI04031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTARKLVKMARKWHKEASKSCCAERMANKGHFVVYTTDHTRFVIPLWYLNTDVFKELLRMSEDEFGLPTDGPITLVCDSMLLSYLINVFERGLTKELENVLLVSISSNRCSLCSLDPGGENGRQSLVCGF >KVI04028 pep supercontig:CcrdV1:scaffold_404:48239:50883:1 gene:Ccrd_017664 transcript:KVI04028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT4G35190) UniProtKB/TrEMBL;Acc:A0A178UWQ5] MENENKSRFKRVCVFCGSSSGKRDVYGDAAIELAQELVAKKLDLVYGGGSIGLMGLVSQAVHCGGGHVLGIIPRALMGKEKTGESIGEVKAVADMHERKAEMARNSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIFVSAPNAKELVQKLEEYIPVKDGVIPQVKWEVEQVGFNALHASAEIAL >KVI04052 pep supercontig:CcrdV1:scaffold_404:142305:146689:1 gene:Ccrd_017656 transcript:KVI04052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MASCKLNDAAVYNGLATESGEWAKTKLKKGSFPEQLSVQVRDSFRSNNSKRLRYADVVGHCCPVSSKMMLYSSVIRKRRRISSAVQHLINSDCSVRSSELEESFVTEEENDVSNTRQVYPDLMDIGSTEEPEMIENSRSQNIKRELVALSLPALAGQAIEPMALLMETAYIGRLGPVQLASAGVSISIFNIVSKLFNIPLLSVATSFVAEDIAKNSLRSSSQEENSEEANGNGKAFVDIAERKQLASVSTALLLAVGIGIFEGLALYFGSGVFLNLMGISSGSSMHALAQQFLSLRALGAPAVVVSLALQGVFRGIGNCLAIFLFPLLMYYFKLGVTGAAISTVISQYMITFLLIWHLNKRAVLLPPRLGALQFGGYMKSGGFLLGRTLAVLTTTTLGTSMAARQGPIAMAAHQICLQVWLAVSLITDALAASGQIGFVTGVSLAAILGISFGSIATLFTKDVEVLRIARTGVLFVSASQPLNALAFIVDGLHYGVSDFPYAAYSMMSVGILSSAFLFYVPSTFGLYGVWSGLTLFMGLRMVAGFIRLSLRTKTMNLTLCNNWLAR >KVI04044 pep supercontig:CcrdV1:scaffold_404:189774:191351:1 gene:Ccrd_017650 transcript:KVI04044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTIHIIHGSASTGVGNGNASSTPVVGEGGFPVSWWHIPEFRQVQLEQQLNQNPNMIRDIINMSAIQNLMNNPEMMREIIDCNPELLQEMGFFDTQENIRASLATQKMCILL >KVI04039 pep supercontig:CcrdV1:scaffold_404:226945:230341:-1 gene:Ccrd_017645 transcript:KVI04039 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MNSLIFRTSSTRLTALASITACNSLRLLIPSSSPPTVTLSSNKSQFHIALSFRVLRRNVHASRWVAVSSSTLFKQSAGFAVAYDEDSSSSSDGLDVSKLGISQHIVTALAKKGITNLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPIMDNIIRYNEKNGKGRNPLAIVLAPTRELARQVEKEFYEAAPNLYTLCVYGGVPIQRQMSTLDHGVDVIVGTPGRVIDLIKRGSLKLSEVKFAVLDEADQMLNVGFADDVETIFEHLPRERQSMMFSATMPSWIVKLVRKHLKNPLTIDLVGDSDQKLADGITLYSLEAETRDKPSVIGPLIAEHANGGKCIVFTQTKRDADRLSYAMQKHFTCEALHGDITQNQRERTLSGFRDGRFNVLVATDVAARGLDVPNVDLVIHYELPNDSEIFVHRSGRTGRAGKKGKAILIHSSHQLRDVKGYARDVGCKFLELPRIAVDASSRIELGSGSSSSGGRFGKSGFGGSSGYGGGRFGSSSGRSSRDSGYGGSSYGGSGGGYRGSSSDRGSDFGERSSGFSKSSSSGFKFGSDRSSGVGGRRSGFGDRFGKG >KVI04030 pep supercontig:CcrdV1:scaffold_404:16079:23264:1 gene:Ccrd_017666 transcript:KVI04030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligase, class IIc MLTIQNLHAGFRNYRDFIVQSLSLIYPTRLPSRNSRIKRRDLHFIRNASASVQPLTEDLVEETSQDLPMSGNSIRRRFLEFYAARGHKILPSSSLVPDDPTVLLTIAGMLQFKPVFLGKVPRQVPCATTSQKCIRTNDIENVGRTSRHHTFFEMLGNFSFGDYFKKEAIKWAWELSTIEYGLTAENLWISVYEHDEETYAIWHDEVKRVPANRIKKMGEEDNFWTSGLTGPCGPCSEIYYDFHPNRGHSDAVPNNYETDLIFPIIEKVSELANVSYALTDDSSRTKLKVIGDHMRAIVYLISDGVFPSNIGRGYVVRRLIRRAVRTGRLLGIRGDEKGNIEGAFLPIVAEKVIDLSSEIDPDVKTRKARILEELKREELRFVVTLERGEKLLDEMLDEALENAKLNKTAPCLSGKIAFLLYDTYGFPVEITMEEADERGVSIDMSDFDAEMEIQRRQSQAAHNTVKLMVENGSELTENVPDTEFLGYDTLYSKAVIKGLLLSGKPVVEVSKGSEVEVLLDRTPFYAESGGQIGDRGLLKVTETESRKTAVVEIADVQKSLGNIYVHKGMIKEGTITVGREVEAAVDAKLRQRAKVRVVNVPGVSMELCGGTHVSNTSEIRGFKIISEQGIASGIRRIEAVAGDAFIEYVSDRDNYMKQLCSTLKVISVKAEEVPSRVAAVMEELRMAKNEVSAARAKTAVYKASVIANNVFHVGTSTQIRVLVEFMDDTDADSLKSAAEYLVDALGDPSAIILGSSPGEEKVSLVAAFSPSVVSMGIQAGKFIGPIAKMCGGGGGGRANFAQAGGRKPENLSSALEKARTDLVSALSEKAS >KVI04048 pep supercontig:CcrdV1:scaffold_404:155398:159218:-1 gene:Ccrd_017654 transcript:KVI04048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein GLFLRSLSPKPNTFSLDPIVRSCFLALLISSMALNLRQRQTECIARMLNLNQPINASGTANEEVYKILIYDKFCQDILSPLIHVKDLRKHGVTLYFLIDKNRKPVADVPAVYFVKPSQHNIQRIISDASNSLYDYFHLNFSSSIPRPLLEDLASGTLNSESIQRISKVHDQYLEFVTLEDNLFSLADKSCYVQLNDPKATDHEIEAIIEKLVSGLFCVLATLAVVPIIRCPRGGPAEMVASLLDQRLRDHLLVKNNLFSESGNFTSSFQRPVLCLFDRNLELSVAIQHDFRYRPLVHDVLGLRLNRLSVQGDKGGMKSFELDRLDPFWVANGSLEFPEVAVEIETQLNKYKRDVDEVNRRTGGNDGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSFAKKEYEMMVRGGIDRGELLAVLKGKGTKMDKLRFAIMFLISTETIPQLEVETVESALREAEVDTSAFQYVKKIKSLNVSLASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDHQLAMARTVEALMEGKPNPEIESYLMFDPRAPKSSSAASSGHMKGPFKEAIVFMIGGGNYVEYGSLQELAQRQQPPKHIIYGTTEILTGGEFVDQLALLGQKMGLGGTSAAPAPAH >KVI04049 pep supercontig:CcrdV1:scaffold_404:138008:140593:1 gene:Ccrd_017657 transcript:KVI04049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MKQTSSVGTESTFSHFNFPLLLFPSASTSSSSSAINNPSKSSHSTHTVSFFDMGFPILTLSFFLLIISSLFSLQSAQQTLGFRCTNTSTSTCYSLIDYQLPNTTTLSSVQTLFEIKNLRILLAANDLPTNISQNQNFPASSILKIPFPCSCRNGTGISNRRPNYVVLPNDTLFHIAAEVFSRVVTFPQIQLVNNIPNADLIMVGQRLWIPLPCSCDDVEGQAVVHYGHLVQAGSTVSGIAEQFNTTESTLLNLNGMTSPSQLQASSILDVPLKVCTSMVTSNSTDYPLLVPNGTSIYTANNCVRCKCNAANNWILQCESSGLTLPRGQTCPLTLCEGTSVSLGNITSGPGCNRSRCVYTEGNNNDTPGSGWKDRRLSFVVGASLMAVHLLSM >KVI04050 pep supercontig:CcrdV1:scaffold_404:115392:116529:-1 gene:Ccrd_017659 transcript:KVI04050 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MNHSVAATTTTTTTIATATAPPLLFKETYPSTMKKKPHHHNNAVYIAIGILQSAILYNRRRIHLHHLLPFISAVAGCILFLFAVLSFLSPPINHHHFRHSRSLSDQEIEVQSNAGKPTAFLVPPSRTDWSVGRNLWRSSQSQFYSGCSEKNPKFLESKMKTNPHRFLLIATSGGLNQQRTGITDAVVAAYILNATLVVPKLDQKSYWKDQSRMQNSLGSFETGWILYFSEVVYGVHFVCNNLKKSS >KVI07903 pep supercontig:CcrdV1:scaffold_4040:40862:43977:-1 gene:Ccrd_013732 transcript:KVI07903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MEGTVSIDGASPPFNHGGWITFPFFIATMAGLTLAAAGWSNNVVVYLIREFNMKNINAAQIANILNGCINLFPIVAAVVADSYLGSFSVIVISSSLSLLGLILLTLSAILDMLKPPPCETGSSFCQNPSKLQLAILYTSLALASLGAAGTRFTLATMGADQFNNQNHQGVFFNWHFFTFYAATLVSVVGIIYVEDNVSWGLGFGLCVTANLLGLVIFVSGKRYFRLLKPRGSPFTGLACVMVVAFRKRKAALSFKKEDYCHEPRDGGRKTTLRTTPSNNFKFLNRAALLTDGHTDSDGPIMKPWNLCTLQQVEDLKTLIRISPLWSTGILLNTPIAIQMSLIVLQALAMDRHLGSHFQIPAGSMIVFVMITTSVALALIDRFLIPTWQRLTGRTPLPLQRIGLGHTLTISSMVISALVESRRLSMARTHKLNGNSVVPMSTFWLVPQLVAVGVAEAFHFPGQVSLYYQEFPKSLKSSAAAMVAVFIGIAFYLGTAVVDLLRKTTGWLPDGINDGRMDNVYWVLTAIGIANFGYYLVCASLYRYQNVEKVELTDSNYGELNLVV >KVI07904 pep supercontig:CcrdV1:scaffold_4040:11159:22399:-1 gene:Ccrd_013731 transcript:KVI07904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYSFLNFKNTIDNPVDVDEEQETTKSVGRRVTKQESREGKQHSTVVDEVEKGRVVKTDTIPTGDQSRKATSNPNNERLEYLTGDLETRVTHKDKRTAVGHDKEVIGEHNDAKDTTLGIRTRTSPKTLYDKVKSLTLTQRASIKDMGFESLQDMMVDGIPTKLGFYVVDMLNTTAMNIRMNDGAIPIRVKSIHEVLKLPMGGGIDLNSVEQSSCLDDMTTAWRKQFTKERMRPKDVMNVVHKSADAGAMFKLSFLVIVINTLAECSQIQHQLYEWKTPRLGKKGFMDRVIPYGRLLPPPPARSVGNPLPGRRSSSYLVQPHNPSRIDRLFQNPETRSDVIPVRLHFSETLQVAWKEEPTVHDQSQPLTADATPPTTGHLPTLAFFEVTPPKVATISDPDLLSPLSQFWTSPTVIAEVDRASNEKSAQRTRYNIRSTQSVHMEKFKMPSFQSPVEKVGSVRNRSIDQSETRTRKMCKRGIVDAVKYTPGNSEQPIVSYGDIEPPSFDLGLSPSDKQVVAVVDNSNAVAIQEYLLPTLAKRDSKLSFKLRSPYITRVVTFDVTSDKFVVTPNGRTLTRKAMQSLASQSVVCRDVLDGWLTVLNREERLRSHDSSRRYFYPTDVSMNRIIRDRYLDVHQRYESFKNNVSSYTINDKGLISMHNIDLIFFPIVEDTFYYMVVFDLKHPSIVIIDSTDRDVTVDDIYGSSTVSLQDMMIMHLLREGHEAGKIYAEMDQEQIRIRWQSRESSVDYSVMLMRHMETYFGCDGGKWDCGFYKESTKQKRQLKGLRTKYCSILLLSEKNIRKSAIIIDVERFIAMETSYNAKNK >KVH77873 pep supercontig:CcrdV1:scaffold_4041:31110:32420:1 gene:Ccrd_025519 transcript:KVH77873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MIFLLQLIVVVVVLISHEHEAIAQFVPPYSSVVMPVNKHTDAAKPLYSVEIMTSYVNMQYMRAKFLIDMDAPFIWHDCILKWNTFQDSCPPNTLCTYPVSCEEYQCTDVRTTYSYENPSCPPVNNGSTLPGWGYCTCPVTVVDPVTGSCGEAMLDYDEFTVNTSNGRNVFTGLYGAYPNAACAPSSSFASFPANVSGVMALSSSPYAFPAYLYQPLSRIIALCLPSTLSSSGLLFYGNSSYHLLPQSNVDIRSLLSYTPLIKRPGSFGYFIGVNAIVIKTRSIQLLENTTTKLSTIDPYTTMRTDIYKWVVRRFSLVTKRIPLAAPVAPFGLCFSTFTNGTRVSLRVPDIDISINDGKKWSISTANSIKQITEDVACLAFVDGGATSEPAIVIGTFQFEDNFLMFDLENSTFGFSSSLLRKKTSCSNFNFTLTESN >KVH77874 pep supercontig:CcrdV1:scaffold_4041:30925:38815:-1 gene:Ccrd_025518 transcript:KVH77874 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSRP1 domain-containing protein MKDVVATMNHMKTQVLVTDSLVEMSFHIPNSNIQFFGDENMSSADVFQENITSIVVFEIGGDEAVVTFETVTILTPWYALGVHCRELVAESLYKERCIVVDNGQNWAKYMSISGSPDDEYNIITLQYTEEGLLTVDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEDNCVFFLPHRPRSEICGPPFFLFMKGTSSLVAPPSTNARHATSSVICLMELAVDILHFFPSLILISMSGTLRLTRVPLVKVLKQRPNGATGAARGILYGNIQSLKGVPKAFVMDGITKA >KVH96482 pep supercontig:CcrdV1:scaffold_4045:29729:30895:-1 gene:Ccrd_001433 transcript:KVH96482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MTFLVLILLWFGISVPLTLFNGFVATKALYLKILPNFSRDSSIALYIFLYSINYLVFDLKSLSGAVSAMLYLGYSLFMVIVIMLATVVIGFLTSFFFIHRLFSSVKID >KVH96481 pep supercontig:CcrdV1:scaffold_4045:756:3143:-1 gene:Ccrd_001432 transcript:KVH96481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSKTTSSNQKFEVFISPHLLQNPSNFYEY >KVH77847 pep supercontig:CcrdV1:scaffold_4048:13946:19240:-1 gene:Ccrd_025521 transcript:KVH77847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGNASCFLVGCIDQASVGVVEKWGRFEKLAEPGLNFFNPCAGQYLAGVLSTRINSLDVKIETKTKDNVFVQIVSSIQYRVIKQNADDAYYELQNPEEQIQAYVFDVVRAQVPRMTLDQLFEQKDEVAKSVLEELEKVMREYGYSIEHILMVDIIPDPSVRRAMNEINAAQRLQLASVYKGEADKILQVKKAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGATAKDVMDLILITQYFDTIKDLGNSSKNTTVFIPHGPGHIRDIGDQIRNGMMEGKAGTSFNVDDN >KVH77846 pep supercontig:CcrdV1:scaffold_4048:4924:13511:1 gene:Ccrd_025520 transcript:KVH77846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAPKRMSARRKKSSSSSATTVTLVDHELPTSQVETGDGLKLEETDTELLGQVQVSNPNPADINVDSTKGVAVSSKSVIKEEVVLENCEVGDVSEANIEVGGPDVGSDKINEDSWLESEDVDNGIVCIDDQKEDLRQGSENMNEEKQEAGVVKVEDTVVCIYGSQLETVDEEKQEAREETVAVAQEETGAVKVGEFKILNDNNTSLVVKGDGVEEGEKIAKLISSQESNISKSSCKNDAALVMDDGGNGDGKCTAEMFSTQESVQAVSTKAPCENNTALIVEDDSKGNGDDEESDDDSEGDDTDNEGDENPSISVQDPLDNKKEKNIEIYVGKLNKDTVEEDLVNAFQQFGELESTRIVRNSTTNKSKGFAFIRFASVDQAKRALSELKDGVEVRGKHVMISASQNNDTLYLGNICKTWNREEVLQQLKHYGIEHIKVIRLPEDPRIEGKIKGFAFLEFSAHADAVAAFQRLRKPDAIFGRDISAKVAFAQAPLDEDLSQVKQVYVEGLTKAWNEKKLKEICEKYGEIDRVKLCLGTRIKKDFGFVTFTSHESALACVEGINKVGVSELKIKASIAKPPHNSQLQKLVCRGGFKVEKQIQASVLINEDGISKGTSEIKSSKTKGVLNSRQAKRNRKDSSKLKIKASIANSQQKELPRKQNSGGKINVEKQSKLSPLKKDGESSKEAELLKMKGDLNSQQAKRKRKASSEQNLKAPPGLRHGGDKGTPKKLKVGNDGQNSKIASKAGSHKRKKFSNYEGHEDGGNRNTHNKKPFKKQKGNMHGRERDNSRNPTSDTHLRKGRDDYRNSPRYIDPYTPKHAASHAYHLGLDSMSTRFHMEMEPHAGYIEPASAKQNLSYSRYVQPVAQTLRQHQTVYLEPAAGSQSQLHSRYLDRLATTQSHHKGYIETAVGPEVQPYRGYRPSAIVQIAHDPYDSRFARFTLILPFAIKLFDMMVDVPALLMLEVHLFQLLNLEITQATTRVVAQVTAEVTVVVVSSGHTTNY >KVH77845 pep supercontig:CcrdV1:scaffold_4048:19159:29758:1 gene:Ccrd_025522 transcript:KVH77845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLLCEDIDVNVDSETAAGAREVCFFPPIFVLVILFSVLLHSVFGCCPIVKGEWHIIEFEELHISVPRLTKLFEVLDGLLGPYWRAIRLAFNCTFLLFGPVIQLIACARYVSFF >KVH91150 pep supercontig:CcrdV1:scaffold_4049:11423:14228:1 gene:Ccrd_006834 transcript:KVH91150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MVIIVDKINGGSNACVTVDSYSRVKEDVQLLKKMGVNSYRFSISWSRILPGGKACMGKNQEGINYYNKVIDELLANDIEPFVTIFHWDVPNALEEEYMGFLSSKIVDDFVCYADICFWEFGDRVKNWFTLNEPHMFTYNGYVTGAFAPGRGEKSKYSDFETEPYTVAYNLLNCHAAAYRKYEKDYKSFQKGKVGITLDLNFCKPARGPNNLEDVKAVDYAFDFVNGWFLEPLAKGTWPETMQKFATAPTANYPKGRTLPEFSDDQRIKLIDSYDCLGVNYYTAFYAQYQAPSDDIPPGYTRDCHFKASGSPDMGDCKKTYEEVRDDTYRVEYIKSHFVAIRTAMQNNVNVIGYFYWSFMDSFEWSSGYDDRFGMIYVDYKNNLQRYPKNSALWFIKFLSEKKEGPLKRARMDGEEKDEVNDAMVEAEKPTGRDMIKLKKAKA >KVH91148 pep supercontig:CcrdV1:scaffold_4049:48654:50339:1 gene:Ccrd_006836 transcript:KVH91148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MFTYNGYVIGTFAPGRSANCKDSDVETEPYTVAYNLLNCHAVAYKKYEKDYKSFQRVKWELRLTLAFASLFVVRVMMKMSKPCNMHMISGTDVKVKWPENMQKFSTTPTANYPKGLTLPEFSDDQCTKLIDSYDFLGINYYTAFYIQYQALSVDIPPGYTRDGRFKASGNDSNGDPIGKQAYVDPTNPMLSWVYLCPAELTELLYLVKNTYNVSKPIIITENGSPEMNDTGKTYQEVWDDTYRLEYIQKHLTAIRTAICNKVNVKGYFAWSFMDSFEWSFGYKDRFGLTYVDYVNNLQRYPKTGYFRRNFRRKFSAGNF >KVH91149 pep supercontig:CcrdV1:scaffold_4049:34087:37022:1 gene:Ccrd_006835 transcript:KVH91149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MLDFNHTPLLDDDLKRQNFPSNFLFGVATSAYQVEGAWNADGKGLSICDCFALRNPDKISGGTNACVTVDSYSRMKVNISFCSFVNNYQEDVQLLKKMGVNSYRFSISWPRILPGGKVSMGKNQEGINYYNKLIDELLANGIEPFVTLFHWDLPNALEEEYMGFLSSKVVADFVDYVDICFWEFGDRVKNWLTLNEPHMFTYNGYVIGKFAPGRGENCKDSDVETEPYTVAYNLLNCHAAAYRKYEKDYKKSQKGKVGITLDLNFCKPYGGPSNDEDVKAVQYAYDFGNGWFLEPLVKGKWPENMQKFATTPTANYPKGRALPEFSADQRTKLIDSYDFLGINYYTSSYIQYRAPSVGIAPGYSTDCHFNASGNGKLSF >KVH98319 pep supercontig:CcrdV1:scaffold_405:6454:8439:-1 gene:Ccrd_023475 transcript:KVH98319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MAGWAIAVHGGAGVDPNLPADRQEEAKQLLTRCLNLGIDALRSSLSAIDVVELVVRELETDPLFNSGRGSALTENGTVEMEASIMDGSGRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFSGAEKFAKQMSPIHMNGLPISIYAPETVGCVVVDSEGRCAAATSTGGLLNKRMGRIGDSPLIGAGTYAGELCGVSCTGEGEAIIRGTLAREVGAVMEYKGLGLQEAVDFVIKERLDEGKAGLIAVSNTGEVAYGFNCVGMFRGCATENGFMEVGIWE >KVH98316 pep supercontig:CcrdV1:scaffold_405:233047:236037:-1 gene:Ccrd_023458 transcript:KVH98316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGAIGSFPETLGFDHGSGSSDGDQQICWENNLQNSPSNRLSDYMSSANGSDLFLESTNQEGQGISMWTVGEAGSSSGTNQVSHGQIEPRPDRGWPALMKSCPEPSARMLQLGDHGSSVPHNVPLTSLETFSGSATASSSSFSDPFGPSSDPFEMDDRRLSRKRKAVELSVGQSSSGIGSSNTLERPEGGSTMWQTIAENPPSDNQIIPRLGLGVSGLPFENPVAENTRRNVQLRISSSRQQDPLLANSSNRQSDISALYPSLRLNPVDFRSLPVPATAENSNSSSHQGQPVLRIPALRRNFQSSSRWSRSSSSRNNRSSNLVIPERNEVDSRAIPSNISDHPLFAQPTEINWSANGGGGTISNAGNGIAATSSQAAPTPLSAAPNSSAHRNQSHYNPRRLSAFLRRSLLSATDSEAAGGLNSNIFPRIPPSASSSQDLGIPSAVSIQGHHQQQQPHLRTSSVLVGRQLEGAFGFPYLSRSMMAGSEGRGRLVSEIRNVLDLMRRGEPLRFEELLALEERIGNVNTGLTEDAISKHLKQKQYVTVTGQPDAEPCCVCQIRRDCRIEMSFKMVTRNTRTGTILERWSVGMSSITVASNNGCNTRTRARFASRRASVRREGAMENG >KVH98304 pep supercontig:CcrdV1:scaffold_405:69018:70673:1 gene:Ccrd_023469 transcript:KVH98304 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MALEFMDSCRNTTFLAKMEETAVKEAGAAGIRSLENLIGLLSSHCHRKPSYSVATTDYVTVADAAVTKFKKFISLLDRSCTGHARFRRGPVTDPPVVSEKKVTLASTAVNNSQKYHQTSVATAEMGYSAPPIQQRMPQLPQVSDHRPLVKSGSFDRKDVPTTTINFAAAAASPANSFMSSLTGDTEGLQPSMSSGFQITNLSQVSSAGQPNLSSSSFKRKCNSTDDSHTRCTNSSGRCHCSKKRKSRMKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVERALDDPTMLIVTYESEHNHSLNVKDSSATIIFESS >KVH98317 pep supercontig:CcrdV1:scaffold_405:223913:230453:1 gene:Ccrd_023459 transcript:KVH98317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MKCTDASCIQQQGGVINQYMADIHRGYNTSRGDCFVKPKILSEEAKKPIYFSPWDLPLFSFNYIQKGLLFRLPENQDFSIATFLDDLKDSLSATLTYFHPLAARLATVKQQKPPSLVAFLDHENSPGARFIHSTVDLRISDVLEPTDVPLIVQSFFDHHEAISHDGHQLSLLSIQVTELIDGIFIGCSINHMVADGTSYWQFFNSWSEVFRSKTQNGHLAPVSRPPILERLIPAGSDPIISLPFTDDDELIERYSPPFLRERIFHFSSDSLSKLKAKVNSDCNTTKISTLQGLSALVWRCVTRARQLPADQETGCRLAFLDPNSVHMGSSPRFDMYGNEFGLGKGVAVLSGFANKFDGKMTLYPGRDGGGSMDLEVCLLPENMAAFESDEEFLSVVS >KVH98320 pep supercontig:CcrdV1:scaffold_405:30483:38004:1 gene:Ccrd_023472 transcript:KVH98320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 MALESTSFSSSSLSFLLRLIQNFKMALPYLPSDPKNSAPLSAVFLNSSSGASLFTCSLPKYSITRGFCIAFVLTFFTMFDVPVFWPILLFYWMVLFVSTMKRQIMHMVKYRYVPFSFGKQRYTGKKAVVDDDAASGRP >KVH98309 pep supercontig:CcrdV1:scaffold_405:190641:194021:-1 gene:Ccrd_023462 transcript:KVH98309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MASPTVEIVSHCFVKPKFPSNKPIYFSPWDLVMVNVNYIQKGLLFRLTENQDFSIVTFLEDLKDSLSATLTHFHPLAARLATVKQQNPPSLVVFLNPENSPGARFIHSTVDLTVSDVLGPTDVPLIVQSFFDHHEAIDHDGHELSLLSIQVTELVDGIFIGCSINHMVVDGTSYWHFFNSWSEVFQSKTQNGNIAPISRPPVLERWIPTGSDPVLSLPFTHNDEFLDRPNRPFLRERIFHFSSESLSKFKAKVNSECNTTKISTLQCLSALMWRCVTRARRAPENKETGCRLAVNNRSRLSPPLPDNYFGNSIQTVRVVTTAGVLLDQSLGWAAWMLHELVMNHGDKAIKEFVGSWVKRPFVYKMSQLFDGDSIQMGSSPRFDIYGNEFGLGKGVAVLSGYANKFDGKVTLYPGRDGGGSVDLEVCLLPENMAAFESDKEFMSVVNGEEAIVTHKIAFLRHELEMATKSELSRSQFEHIHPQRKVERTLQKALLDGFHDILASGTKDSVEINPPL >KVH98322 pep supercontig:CcrdV1:scaffold_405:45666:49481:-1 gene:Ccrd_023470 transcript:KVH98322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPSMAILLLFALFAVSSAVDMSIIGYDATHMTTADQSSSSWRTDDEVNAMYESWLVKNGKFYNALGEKEKRFQIFKDNLRYIDDHNSGDQTYKLGLNKFADLSNEEYRSTYTGAKRIDAKRKLNNVKSDRYSPRSDDVLPDFVDWRSSGAVAAVKDQGSCGSCWAFSTIGSVEAINQIVTGELITLSEQELVDCDTSYNEGCNGGYMDYAFEFIVKNGGIDTDTDYPYTGKDGKCDSSRKNAKVVSIDSYEDVPINDESALQKAAANQPITVAIEAGGRDFQFYTSGIFSGSCGTDLDHGVVVVGYGTEGGKDYWIVRNSWGAEWGEKGYLRMERNIKQNVGKCGIAMEPSYPIKNGQNPPNPGPSPPTPVAPETVCDQYYTCPQSTTCCCIYTYRGTCFAWGCCPLEGASCCDDHYSCCPHDYPVCNVRRGTCSQSKHSQLEIEALKRILATPTNVKRNVD >KVH98318 pep supercontig:CcrdV1:scaffold_405:13580:14983:1 gene:Ccrd_023474 transcript:KVH98318 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSQLSSSTTGVTSRGRDDVLDYSCRSMADQGLPDFLLPPSGKEVDDGVFVANDVSNLPDACLASIFHFLGPGDRKRCSLVCRRWLDVEGQSRHRLSLNAQSDLLSVVPSLFSRFDAVTKLSLKCERRSVSIGDDALILISLRCQNLTRLKLRSCRQLTDLGMESFAKNCKKLKKLSCGSCTFGAKGMNAVVDNCSLLEELSVKRLRGLADGASTEPIRPGLAAASLKIICLTDLYNGQCFGPLIMGSKNLRTLRLFRCSGDWDNLLEVITNNVKPLVEVHLERLQVTDIGLASLSNCRNLEILRLLKTPDCSNLGLTSVAQKCKLLRKLHIDGWKTNRINDEGLIGVAKYCLNLQELVLISVNPTSSSLERLGSNCRKLERLALYESETIGDAEISCIAAKCTALRKLCIKSCPVSDHGMEALAVGCPNLVKITVKKCKGVTYDGAGWLRASKESLAVNIDACSRCS >KVH98310 pep supercontig:CcrdV1:scaffold_405:120199:122560:-1 gene:Ccrd_023465 transcript:KVH98310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MLRWLLIVVVIAAAGIFLLLLFCVIRRFCGHHKPPPQQQPIQRASSLQNGISKLHHQESVFNNKRRTNYYVLRRGISSKALFNWSDNPSLITDAVENGWSRFAFTNYISSPSIQPNRSILGYCAPTGDGGVKDVEMVEISWEVCQGSADFMQKIRLNSGLQKIVTTPSSSMAAASVIKSALPLPGPALGNSSPFPQEAYFEITILSTYEDEIEINSNEKVRANKGDGEKIKLIQENPAGENASSESLIHVTSSNSNGINTRGVLAKNEELKGRTGGKDIVEGKTEMRIVLSVGLAGGGSLPLKLPGSYPGSVGFNSDGSVYLEGVKLATESKSESESGEWGRAEKVIGCGYNPSQKKVFFTVDSKLVGEVHCTTEEFTTPLYPTLAANSDVTVLVNFGQSVFKYTQANLHRTPNPCFIGPMASSPIIGFEDSKELFSMGRIDAHWLDRSTKRSTQYFGSVNRGMSDYDESSEGDLFEIVLDSNSRGRSPSTHFQ >KVH98321 pep supercontig:CcrdV1:scaffold_405:18889:27261:-1 gene:Ccrd_023473 transcript:KVH98321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystinosin/ERS1p repeat-containing protein MAPMEFLGMDFSCVFGSLSNGKFPEKDCMLPLISKLLGYAIVAASTTVKLPQIMKIIQHKSVRGLSVVAFEMEVVGYTIALAYCLHNGLPFSAYGELAFLLLQGIVSSKLSLLLGVLVVQEPCPLSEVLRRTYYFSIILVATIYYFSQPVGNSTWIRALLYCGLAPTILAGKIHPLLFEALYASQHAIFFFARVPQIWKNVKNKSTGELSFITSFMNFLGSIVRVFTSLQEKAPTSVVMGSVLGILTNGAILSQIILYKKPEEMTTKKGKKAE >KVH98308 pep supercontig:CcrdV1:scaffold_405:179683:185764:1 gene:Ccrd_023463 transcript:KVH98308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAQIQVQPPTVSGPNGVAATANASGVAATAAAGAAAAAAAAVAGGNQSFVTTSLYVGDLELNVTDSQLYDLFNQVGQVVSVRVCRDLSTRRSLGYGYVNYSNPNDAARAIDVLNFTAVNGKAIRIMYSHRDPSIRKSGTANIFIKNLDKTIDNKALHDTFSSFGNILSCKIATDATGQSKGYGFVQFDTEEAAQNAIDKLNGMLMNDKQVYVGHFLRKQERDSSLSRTKFNNVYVKNLSESTTDEDLKKTFGEYGTITSAVVMRDGDGKSKCFGFVNFENADDAANAVEALNGKKFDEKEWYVGKAQKKSERELELKSRFEQTAKEAVDKFQGVNLYVKNLDDTIDDDKLKELFSEYGTITSCKVMRDPSGISRGSGFVAFSSSEEASRALSEMNGKMIVSKPLYVALAQRKEERRARLQAQFSQMRPVAMAPSIMAPRMPMYPPGAPGMGQQLFYGQAPPAMIPPQAGFGYQQQLVPGMRPGGGPMPNFFVPVVQQGQQGQRLGGGRRGTGPVQQNQQPVPMMQQPMVPRGGRMYRYPGRNVGDGNMGGGGGMLPVPYDMGGGGMLPRGDIQQPMPITALASALANAAPDQQRTMLGENLYPLVDQLEHEHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRNVQQANTPATQLASLSLNENLVS >KVH98312 pep supercontig:CcrdV1:scaffold_405:86033:90899:1 gene:Ccrd_023467 transcript:KVH98312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKDVDMMDSDAPVTSSPSDTTELSSVSDQIEVARRLLTVARQLIDQGKPSQALQAGGEAAAFQALTRAKELYRNKIHETTAADELASLFAECAIAEASPEPSTSNNTISHPFPSFESDTSGTSILAETGRKQIVLDAFSDGSSFVCLQCGGLVSNDRRDEHYAFWCGRS >KVH98323 pep supercontig:CcrdV1:scaffold_405:39688:44224:1 gene:Ccrd_023471 transcript:KVH98323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGLPMLTCLIQHTLKNLCTCSSSDSSSSDPKWVYAVYWRISPRIYPPPKWDYEGGVLDRAKGNKRNWILVWDDGFCDLDECERVGNHGFLKSRFGADVFFKLSHEVYNYGEGLIGKVAADNSHRWTIAIISVREGIIQLGSFDKVLEDLNLVISIQRKFSYLRSIPGLFSIQRPFSTIQHLYNPLKHKGVIGGNVIGSDEAQQVIGSKVNIRGGESQLHKGPILSFGSGYNIQEIGRTGSHGPPLWPIPPLLPSNFESQLDKMPSYNDPIRIRNDGISQVKDPDQERKHGCFDPNQNLVVELGFGPKRIAQESDVKSQLS >KVH98306 pep supercontig:CcrdV1:scaffold_405:206260:207624:1 gene:Ccrd_023461 transcript:KVH98306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MKSPSVEIISDVFIKPKLVSEEAKKPIYFSPWDLLLFNIHYIQKGLLFRLPENLDFSIATFLEDLKDSLSVTLTHFHPLAARFATVKRQNPPSLVLFLNHENSPGARFIHSTVDLRVADVIEPTDVPLIVQSFFDHHDVIAHDGHQLSLLSIQVTELIDGIFIGCSINHMVVDGTSYWHFFNSWSEVFRSKTQNGHLTPVSRPPILERWIPAGSDPIISLPFADDCELIDRYRQPFLRERIFHFSSNSLSKLKAKVNSDCNTTKISTLQGLSALVWRCVTRARRSPADQETGCRLAVNNRSRILPPVSESYFGNMVSIVTGKTTAGELLDQSIGRAAWRLHEAVVNHGDKAIKGFVDSWLKKPFVFKLSQFLDPNVVHMGSSPRFDMYGNEFGLGKGVAVMSGYANKFDGKMTLYPGREGGGSMDLEVCLLPENMAAFECDDEFMSVVNGEKPI >KVH98314 pep supercontig:CcrdV1:scaffold_405:266074:267309:-1 gene:Ccrd_023455 transcript:KVH98314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MGSFFYSSVLLMQLLVLQPWLSIASTQPKNSQTYIIHMDHSQKPPHFSDHESWHHQTLKSLSSVCDEDEDNHRGTFLYSYTHVMQGFSAKLTPCQLSQLEKSRAHLATYKESFGKLFTTHTPKFLGLKRNSGIWPTASYGEDVIIGMIDTGIWPESESFSDKGMAKVPSRWKGKCENGTAFSPSICNNKLIGATSFSKGLEAAGLNISTEFDFDSPRDFLGHGSHTSSTAAGNHVVGANHFGYAQGVARGMAPRAHIAMYKVLWASDSFSSASTDILAGMDQAISDGVDIMSISLGLDHTPFFEDVIAIASLSAIEKGIVVVCAAGNDGPGSSSIYNGAPWIMTVGAGTIDRSYMATLELGNGLTFVGTSYFPMSVSITNTHLYYGNNDPRKAGCSALNPLEVAGKIVLYM >KVH98315 pep supercontig:CcrdV1:scaffold_405:265017:266045:-1 gene:Ccrd_023456 transcript:KVH98315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MDVVTRAGAYAAIFLTESLFLDPEDYTSPGILLHTSYAAAIKEYAMKGNTSIVKKMKFVLTETGTGPAPEVAYFSSRGPDPITPSVLKPDILAPGVDVLGAVRPDLPFMVVGKYDLVTDYALYSGTSMAAPHVAGVAALLKSIHGDWTPAAIRSALMTTATNTDNRNGIIEDQWYNQPATPLDFGAGHIYPNKAMDPGLIYDMGFQDYIDFLCGLGYTDQQMSAVIRRSRWSCSTNHTELNYPSFIADFSNQTTSPLEKHFIRTVTNVGDPRSTYQAVVEVPARMTVRVEPKTIRFTSKYQSEDFVMSIQMDKRSPNVTYGYLKWIDEHNHTVSSPIVVIGS >KVH98311 pep supercontig:CcrdV1:scaffold_405:172782:173264:1 gene:Ccrd_023464 transcript:KVH98311 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MESPATAAAAPCSSNTKEEIIHHTQNAKTYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFYLRGPTARLNFPDSIGDDGDLHDLSSASIRKKATEVGAKVDALQNQNGGINHHHNHQSPSSERHSGRVCLNTDLNEYPSPESSDEN >KVH98305 pep supercontig:CcrdV1:scaffold_405:81035:83036:1 gene:Ccrd_023468 transcript:KVH98305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAALNSNPSSPPQDDGVSLLAFKSEADPSNKLGYSVDKSSAVCKWQGVQCQIDGKVGRLVLENLNLAGVFAANTLSRLDQLRVLSLRNNSLTGPIPDLAGLVNLKALFLDHNYFSGGIPSSISTLHRLRTLDLSYNKLSGVIPVELSDLDRLNYLRLDSNRFSGSIPPLNQSSLQIFNVSINFLTGPVPVTPTLSRFGPALFSINPRLCGKIVRTECGSGGPFFGKNSTTNQPSSSPPVVASGQSAQVQPEIGGFTNSNSTKQKRLGLIVGFSAGLFLLVTSVLCIIMLIKTSEKKKRKSTVTKREMMEMAEAADAAAEVMRMEDANELEKKVRKLHQGIALKKSGNLVFYTGESQLYTVEQLMRASAELLGSGSVGTTYKALLDNGVILCVKRLDASRLAGTTNEAFQRHMEVVGTLRHPNVVALRAYFQAKEEKLLVYDYQPNGSLFSLVHGSKSTMAKPLHWTSCLKIAEDVAQGVSYLHQACSLVHGNLKSSNVLLGSDFEACLSDYCLSSLFHHLPDDGDGDPYKAPETGTDDEPTTKSDVYSFGVLLFELLTGKSASEQPDLLPDDVVKWVRSSRDSNGGGGMAEKPLEMITEVAIACTVRSPELRPTMWQVIKMLQEIKEAAIMEDCGIVTS >KVH98313 pep supercontig:CcrdV1:scaffold_405:91535:102591:-1 gene:Ccrd_023466 transcript:KVH98313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MMEAPPATTPMEDCCVKVAVHIRPLIGDEKLQACKDCVAVVPGKPQVQLGTHSFTFDHVYGSTGSPSSSMFEECVSPLVDGLLQGYNATVLAYGQTGSGKTYTMGTGFKDGYQAGLIPQAMSALFNKVGSLQHQIEFQLHVSFIEILKEEVRDLLDPNSSNKSEITNGQTGKVNSPGKPPIQIRETSSGVITLAGSTECSVKTLKEMTDCLEHGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKPNSNIPGDGNSNDSTCDEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSRTVMIACVSPADINAEETLNTLKYANRARNIQNKPVVNRDPVSSEMLKMRQQLECLQAELGARGGGSSVELQGLRERIAWLEATNQELCRELREYRSRAIAIDQSEKVAKVGDSFCMKNDGFKRGLQSVDSSDYQMRESGDSGVIEEEAAKEWEHTLLQDSMDKELLELNKRLEQKESEMRLFEGSDTMTLKQHFGKKIMELEDEKRAVQIERDRLFAEVENLSASSDGQTQKVKDMHSHKLKSLESQIQDLKKKQESQVLLLKQKQKSDEAAKRLQDEIHFIKAQKVQLQHKIKQEAEQFRHWKASREKELLQLKKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSTRDNSVTSNTNGANGQNNEKALQRWLDHELEVMVNVHEVRHEYGKQSQVRAALAEELAVLRQVDGFASKGVSPPRGKNGFSRACSLSPNARMARISSLENMLSISSNSLVSMASQLSEAEERERAFASRGRWNQLRSMADAKNLLQYMFNSLADARCQSWEKDLEMKEMEEQLKELVGLLRQSELRRKEVEKELKVREQTVAIALASSASLKYTAGIANGLVRESASFLDQKRKMVPVGQLSLKKSPLVGHSPGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIKHSDETMMRAKPRPQPLPEVMYHHRH >KVH98307 pep supercontig:CcrdV1:scaffold_405:221823:229183:-1 gene:Ccrd_023460 transcript:KVH98307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLASSDKIFGLTKQSETISTILNSLSCLLVIIRGSSSNSSESQTSDNQFSSRPTRLREQIGTGRSRQASPELTAASDENQKSARRLERFSTLKWRFSNDSRKSDDSRTILICYMAIW >KVI08071 pep supercontig:CcrdV1:scaffold_4050:6389:36680:-1 gene:Ccrd_013561 transcript:KVI08071 gene_biotype:protein_coding transcript_biotype:protein_coding description:MoeA, C-terminal, domain IV MISVEEALGIVVNVSKRLPPVTVPIHDALGKVLAQDIRAPDPLPPYPASIKDGYAVIAADGPGEYPIITESRAGNDGLGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTQLVEGASSESKIVRILVKTNKGVDIRPVGCDITKDAVVLKAEDLLGVAEIGLLATVGVLTVKVYPTPTIAVLSTGDELVEPTGEPLSRGQIRDSNRAMIVASAIQQKCKVIDLGIARDDEDEIRTVLDKALSADIDILLTSGGVSMGDRDFVKPFLQNRGSVYFDKISMKPGKPLTFAEITTQSAEKVKRILAFGLPGNPVSCLVCFNLFVIPSIRNLSGWTKPHLPRYPVRREFHRASIRWENNAGFGFPGFVAESTGHQMSSRLLSMKSANALLELPPSGREIPSGTPVSAILISDTGGFALWKDLSSSELETVTQATMAMKVNADAPVRLSAASAMEIREHYGLSGAEIINMPGNPNAVGECMEALLPALKHALKQIKGDKREKHPRHVPHAEADPKDVWERSYQVARNDGKEPPHGCDCK >KVI10843 pep supercontig:CcrdV1:scaffold_4052:36843:38112:-1 gene:Ccrd_010753 transcript:KVI10843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MSINEEFNGAHSHEVSFIDQNGELKTTKIPVVQELVRQGLINHFPKRFIALPPTPPGNHATSIVTPPVIDITKLKRDVTRDMELQRLAEAAKEWGVFLIQNHGVEDVVLDDAKNAVRGFFELSFEEKKASVGSYKSVDNMGYGKNFVNSEDQPLDWIDRLAMKAAPGDPDEATNGLLIWPTKPANFRQTIETYVEKSREVLDGLLQDLAASLSLDENAFLQYFEPKQSEVKVRVNYYPPCPRPDLAIGILPHSDASALTLLLEFGATSGLQVLKDNLWTTLQWPEDNSLLVNIGDLVEIISNGVFKSPWHRVRTQLDVERFSLAYFYNPPAKSEIGPVVRGGSTEETYKKVVVEDYVSNHYRISPTPTKETITYAKVT >KVI10844 pep supercontig:CcrdV1:scaffold_4052:23356:25543:1 gene:Ccrd_010754 transcript:KVI10844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGDDGSTLTFLKRELEASLVKIDSLEKENHELKQEMASLKAQVNTLKAHDLERKSVLWRKLQNSMDCGKVANESPQKPKLHVEVPEAVLPSKKSSSNHTTEDNAMMNLPKPPPSPPQWLQKRVMAPPPPPPPLPSTPVGSRAVRRVPAVMEFYRSLMKRDTQKENKNGATGFLPVMNCRDMIGEIENRSTYLTSIKSDVEKYGQRLNFLIREVQGAAFTEISDVEAFVKWVDGELSCLVDERAVLKHFPQWPERKADALREAAFSYRDLKSLESEVLAFKNSPKQPLVQLLRKLQALQDRQKPIIYMYILWLFRLESSISSLERTREGTSTRYKELQIPWKWLMDTGVVGQIKLSSLTLARECMRRISTELECNASSRDGDLLLQGVRFAFRVHQFAGGFDTDTMHAFEELKEIGTSIYNKQQMS >KVH97032 pep supercontig:CcrdV1:scaffold_4053:8580:12350:-1 gene:Ccrd_000874 transcript:KVH97032 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MGGAAIIIGLQQLRGFLGIKHFTKNTDVVSVLKSWNWQTIVIGASFLALLLSAKYLAKKNKKLLTLKFLTPLFEYTPNAILSSIIISAVVSISFAKILLQVTRPRTAILGRISMMSVYRNIQQYPEATKVPGVLVVRVDSAIYFSNSNYIKERKQNREALSKFKHSSV >KVH97033 pep supercontig:CcrdV1:scaffold_4053:12426:12835:-1 gene:Ccrd_000873 transcript:KVH97033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLIVSTIDSSFQQSFHRFSTYFIQDSSFVPPLIYAFMDIAIGPVAVVSLLLGTLLQNEFDPVNNAVEYRRLAFTATFCLIV >KVH77823 pep supercontig:CcrdV1:scaffold_4054:10514:30751:-1 gene:Ccrd_025523 transcript:KVH77823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage/polyadenylation specificity factor, A subunit, C-terminal MSTYNYVVTAHKPTNVTHSCVGNFTGPQELNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGETQDLLFIATERYKFCVLQWDADANEVITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCPKPTIVVLYQDNKDARHVKTYEVSLKDKDFVEGPWSQNNLDNGADLLIPVPPPFCGVLIIGEETIVYCSASAFKAIPIRPVSQWSFFDFIQCIHKHLVFDPYDSITRAYGRVDADGSRYLLGDHSGLLHLLVIAHEKEKVTGLKIELLGETSIASTISYLDNAFVYVGSSYGDSQLIKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSATDDLYDTFLVVSFISETRILAMNLEDELEETEIEGFCSQVQTLFCHDAVHNQLVQVTSSSVRLVSSTSRELRHEWHAPADYSINVATANATQVLLATRGGHLVYLEIGDGILIEKKHAQLKYDISCLDINPIGENPNFSNLAAVGMWTDISVRIFSLPDLNLITEEHLGGEIIPRSVLLCAFEGIPYLLCALGDGHLLNFLLNTTTGELTDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAICSLKYNQSSTEDSEMHFIRLLDDQTFEFISTYSLDQFEYGCSILSCSFSDDSNAYYCVGTAYVMPEENEPTKGRILVFMVEDGKLQLVAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTIIFGTVNGVIGVIASLPQDQYVFMEKLQTNLRKVIKGVGGLSHEQWRSFYSEKKTVDSRNFLDGDLIESFLDLGRSRMEEISKTMNVSVEELMKRVEELTRLH >KVH77824 pep supercontig:CcrdV1:scaffold_4054:33102:35314:-1 gene:Ccrd_025524 transcript:KVH77824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaG/PsaK domain-containing protein MATAVMASLPRFTGLRPSSSSTSPIQSTVSFQPMKPKGKGKGKGNGALGVRCDFIGSSTNLIMVTSTTLMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGAI >KVH77820 pep supercontig:CcrdV1:scaffold_4055:14124:42753:1 gene:Ccrd_025525 transcript:KVH77820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF616 MTMTNVPLDLRPSSYGSLQPYQQQNQNGEVFLQQKVDQSILPVTCRKASNMFINKEKDDMFMWMFKFTPRKELGMLLLCFVSVAVMLWILYVGKGEVTQEFSPIWTLGFINNSAVGFSGYSPASVDKIKTHEFNRSLANDETKNIINDDKRITAQPPLAPNYFTGYSLLPGNPCENFTLPPPPADKKRTGPRPCPVCYLLVEEAIALMPKSPSYSPIVKNLTYIHEENSTRSEFGGSVFGGYPSLKQRLESYDIKESMIMHCGFVTGDKPGRKTKFDIDDSDLFEMDQCGGVLVASAIFGAYDLIHQPKNISDFSKKNVCFFMFVDEQTERFLKNSSDLDDNKRIGLWRIVVVHNLPYTDPRRNGKVPKLLLHRLFPNVQYSLWVDGKLELVVDPYQILERFLWRKNASFAISRHYRRFDVFVEADANKAAAKYDNASIDFQIDFYRREGLTPYSEAKLPITSDVPEGCVVIREHIPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIMSKTNWTVYMFWDCERRNFVVQGYHRDILEHWSPPPPPPLLLSASSPPTLPIPIDRTPKITASAAEIFVEPYVDSGQRG >KVI11231 pep supercontig:CcrdV1:scaffold_4056:12235:13293:-1 gene:Ccrd_010361 transcript:KVI11231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3411 MASMAQVHYPHVAGNYHRVHPACHISSLQLRNFADKKMPFRSSVNITLFPPKSQPIKPNLLGELQPLLCGGNGSDVTGGGGGGGDGGGGGWSGDGRSDDASASDSFGPLGAFLSGWRSRVAADPQFVFKVLMEEIVGVSACVIGDMASRPNFGLTELDFVFSTLVVGSILNFTLMYLLAPTMSSASASLPSIFANSPTSHMFEPGPYSLMNRLGTFVYKGTVFAAVGLAAGLVGTALSNGLIKMRKKIDPNFESPNKPPPMFLNAITWAAHMGVSSNLRYQTLNGIEFLLAKGLPPVVFKTSVIGLRLANNVLGGMTFVILARMTGSQNSGAEKKPKEVSSDLDKEDQIQLK >KVH77700 pep supercontig:CcrdV1:scaffold_4057:38518:39435:1 gene:Ccrd_025527 transcript:KVH77700 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4408 MEDSATSVTSIWASLNSWFTSTVLFILLNLMIATIVFTSNLPNNNQQQQEEEEENPKQNSPTDNHRNQPKISRSPSILHRLKSFNFYPQRPELEFSPDTHYHLQPLEVAATQYVFNQPIQYEHFDFDPTASDQVADVPQLSARNRTHEVGLSGLETHVVWEQQQSLETVMNHFDSDPTHQEILKDTDSENAHEEEEQTDKFQSLDEVYSRLKGGNVDRSNSDGAIPRKPPAKMKKSASLKVGFSHFEEEEIVEARRPATMRERKSAVRATEDDDVEVDAKADDFINKFKNDLMLQRIESIAGRSK >KVH77699 pep supercontig:CcrdV1:scaffold_4057:34222:37174:-1 gene:Ccrd_025526 transcript:KVH77699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MTRSEGEEEIHPFNEESNFDELMDGPADLNVNMSMKTSDSNDNLLASMQAKGDLERRLSSPKRLDRASLAMTVLFHTRLEYLLWALGRPYWVHKLFREVCVYKKRKANVEGSSMFRIIRLGDLNYRLNSLYDGARELISKNDWPKLLECDQLMRELRKGRL >KVH93049 pep supercontig:CcrdV1:scaffold_406:16860:19666:-1 gene:Ccrd_004891 transcript:KVH93049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MITRLREMYRGRNGNKVDKVTLGLLVTIHLLSVFAPFTFTWGALWLTIGLYTGSPIDWVSTHRYHHQYCDSERDPHTPIKGFWHSYIKWMLDTENVAKMTELPNNVRDLEKQPFYRFLRTTYFLHPLGLGFVLYAIGGFPFVGVRTVCSYHMTWLVNSVCHGRGVRVWDTDDISVNIWWLGILAFGAGWHNNHHAFEYSARHGLEWWQIDFTWYVIRLLEITGLATDIKLPTPMDMQRKALPSKIY >KVH93061 pep supercontig:CcrdV1:scaffold_406:196316:200029:1 gene:Ccrd_004912 transcript:KVH93061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MSIACILRDLLHAIEYLHNEGKIHRDIKAANILLTANGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKNPRLLERIRERPRFQIKEDGDSPTNGTQAFGDGSNTVKVVRESRVEETVKISSQGKTLRNAGWDFSIGGSGSTGTVRSAVRPPQIRDRKTDVLSNQATQKRTGETGTKRSFAPGSTSYNSSEVSIQRDARESDQDKKQDYYRGEEDTSMDGTGTVVVRSPSSTFTSMEDASISGTFVYRGQHDDLDSPRTPKSRLGIQEKTSTASPEDSALNLAEQNLQI >KVH93058 pep supercontig:CcrdV1:scaffold_406:239816:242002:-1 gene:Ccrd_004917 transcript:KVH93058 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEFLTQLAFDSNSNADSHLPPGFRFHPTDEELITCYLLRKVLDGSFTCRAIAEVDLNKSEPWELPQRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTSTLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHFLSKTSKDEWVISRVFQKTGVVAGAGGNGSSVSGGGSGGGMKGFNGRMNSYHQEVNSSGSVSVSLPPLLDSSPYPSAASPFAGDHDSFSYDSNAASKEHVPCFSTASACSNFDNRSIFDFPPAPAATFNHPTSMDYQKNVGVSAFPILRAPQENLQLPFFYSSVAPPQPPIHSDGAMCSFGSSSGGNWLPAVTSATENQKPGPTELDCIWSF >KVH93041 pep supercontig:CcrdV1:scaffold_406:135763:138543:-1 gene:Ccrd_004905 transcript:KVH93041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MRAKMMRFKEMKHFHFEKRWAFPVAIASIISVFLFAICFNLGTVSSLYRFNSFFPMFNSHSGINRTITHEEKIQSPPPPALPTVPRFAYLVSGSKGDLNKLWRTLRALYHPWNYYVVHLDLESPPEERMELALRIEKDPIFAMVGNVYMISKANIVTYRGPTMVSNTLHACAILLKRNKDWDWFINLSASDYPLVTQDDLLFTNKRAMPLMVDPGLYQNKKSDIFWVQPRRALPTSFKLFTGSAWMILSRSFVEYCIWGWDNLPRTLLMYYTNFVSSPEGYFQTVICNSPEFVPTVVNHDMHYIAWDNPPKQHPHVLNLYDTKNMVTSGAAFARKFRQDARVLDQIDHELLHRQNGSFTPGGWCKGDPSCSKIGKPARLKPGPGAQRLRRLIGKLLQPPKFNDSQCR >KVH93047 pep supercontig:CcrdV1:scaffold_406:33248:37130:1 gene:Ccrd_004893 transcript:KVH93047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAGSEPSPPSFDYMLYEGDPEHLRTVVATPSEPSPRIDPSLLKLKHRIGHGPFGDVWLATHHQSAHDYDEYHEVAMKMLHPVKEEDMPKFLVKFEELFLRFRKLPSPTNLLLDEHDQVVLGDFGIPFLLLGLQLPDPDMSLRLGSPNYMAPEQWQPEVRGPISIETDSWGFGCCILEMLTGVQPWFGKSVGEIYNSVVLKQEKPQLPSGLPPAVENVLNGCFEYDLRNRPLIGDILRAFESSLDAVFNEGEWIGLGSRISPDRTLGSGYSTWFLTKDHLQVGDVVRSRKTHNNCKPQNMAVAEGTVVGLEKDTDRDGFVLVRVQGIHNPLRVNITTLERVTSGLAAGVWVHLVEEKRNHSSIGILHSIERDGSVSVGFIGLETLWEGQSSQLQMAKPFCVGQFVRLKRNVITPRFPWLHKREGTWDTGKISQILPNGCLIVRFPGRFVFKGERSSFLADPAEVEHVSFDTCPTLVDKFQHVEDYHWAVRPLTIAFGVLTAMKFGFFVGHSVGARIKKGRTSRVHSGGGGGGNQDGQNSGGKSKWLPSPVANMLSREGASPAVTAR >KVH93040 pep supercontig:CcrdV1:scaffold_406:107858:114819:-1 gene:Ccrd_004902 transcript:KVH93040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MESSAITRYIPQKSKKRVFPGSSSACMEPEVIEIAPPTHNRTSKSKAKAIESKEIVYHEIIDVDMDEDRNDVVFVEGKVESNKKMKAISLGSSSATQSDATDMVHSMKKAKSNKGKGHSSKGFALGSDNFFDLDGVGSDVYADDYVFEDDYLTLQSHFDNMDLPSGIEVPIPWLPDTVKMNKMAFANPLVTSHTPFDQFGSSARLSRSKKKNSAGRSGFSKNVPPIEIPHKIQHLNFPNYTYDQLGDMNAASTVGSTTSSSFSLLPPLGVETPISEWHKSMMKTHMELNDALSGGVILPESTDSIYHMENMLVGPDAVNSPRSSSLVGSSSSMAKRDNEDVLKRYESFKKFDTVLDHSDHFFSKQRSAVKQPPKNWAKKIQDEWRILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDIFFPSSYPSVPPVGCLVKGGVQDVDEGGKSCSTEFKNNVASYVKVLISAFKEIGAKESQEFIGLSEKISRPAPVPAASAPTLPAVHPPAYSTFPFAPISAAAYNHYW >KVH93042 pep supercontig:CcrdV1:scaffold_406:126740:133101:1 gene:Ccrd_004904 transcript:KVH93042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSSENGWQRPSNRNPRSIPATTTIFSGEFDRNHATKLEKDFDPLEVKDVSLRQWLDSENRTVDPLECLHIFMQIVKIVSLAHSEGIIVHTVRPSCFVMSSFNDVSFIESVSGSDSDSGSDCFVDVTSCSQKSNSKPENALETCNSQLENTWSGLDSDQVKETEEQKHRFPMKPILEMETKCSSPEKNATMSNLRHRVFPPQLHLKWPKEAFFSMRLLHPDPASRPKIDEVLESELLKGPRQNLEEREAVIELEEKIVEQDMLLEFLLVLQKRRQEAADFLKRDVSFLTSDLKEVTKLQTSIRKNRLHATDLSQNNDSASSGSRKRIRTLAQVHNADESDDQENQENVPVSSRLMKNFKKLESAYFLTRHKTSKSIGKPLARNRAVNGDGKGSVSLETNSPSEGQIGWINPFLEGLSKYMSFSKLKVKADLKQGDLLNSSNLICSLGFDRDGEFFATAGVNKKIKVFEYDSILNENQDFHFPIVEIPTRSKLSKEFQVWDVTQNQVSAEMREHERRVWSVDFSADPTLLASGSDDGSGASIGTIRTKANVCCVQFPSDPGNFLAFGSADHRVYYYDLRNLSIPLCTLVGHNKTVSYIKFLDSTTLVSSSTDNTMKLWDLSDCTSRVLDCPIQTLTGHVNVKNFVGLSVSEGYIATGSETNEVVIYHKAFPMPALSYRFSTTDPISGNEVDDTEQFISSVCWRNQSNTLIAANSMGNIKILEMV >KVH93063 pep supercontig:CcrdV1:scaffold_406:177059:179431:-1 gene:Ccrd_004910 transcript:KVH93063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYC/MYB N-terminal MAGSGTTDRNKEAVGMMALHEALRSVCMNSDWTYSVFWTIRPRPRVRGGNGCKVGDDIGSLGRMVDCLEDMDASEDLVRRAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEQSISNYWQSSFDALPTEWNEQFDSGIQTIAVIQAGHGLLQLGSCKIVPEDLHFVLRMRHTFESLGYQSGFYLSQLFASTSSPSSAGVPLKQPPAVPIHPPAAFNWAAAAPKPMQSPNFQNPNRLGFAPKDETHMFLLPHSSEPQMGNMMGSDHHVENDIKWPNGLTFFNALTGRSDDAKLLFNAEGIDGKADGGNLNQDKHTPEAGMYTDVMENFLE >KVH93052 pep supercontig:CcrdV1:scaffold_406:54747:56136:-1 gene:Ccrd_004896 transcript:KVH93052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAAIRPHRIQANVVDNAIHMRRKSFAFELPVMTALSPSSGGRRFIIAASKSSSEEANSGPDGPDLPFTSQVDLKFLLKLGAGSFMAAAAIKYGSILVPEITQPNITQALIMISTPVLVSLLILIKESRVEQ >KVH93039 pep supercontig:CcrdV1:scaffold_406:120381:120785:-1 gene:Ccrd_004903 transcript:KVH93039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLVALTSGTFMMFYSPQVFAFSHGSETASKLLGSTPRDQLLIQTSDSFSGLLLFAVGFLLFMVAFVKDRDFQSFFAKGCVVLHIAMGIWRIYFERKLEDLGRDWLRLVVGDFVLAISWVLFLVYSWREKYD >KVH93043 pep supercontig:CcrdV1:scaffold_406:152393:153558:1 gene:Ccrd_004907 transcript:KVH93043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGKLLKAGEASMTVPETASPDQPCDDYVKKEHGDFIEGGSRKRHPRLQANNKVLGNLVSQNGGSVVFAAGNTGSRSGSTYTEICSSAAQHFARIREVLVERNVTSALNAGSLTPCRERLTVAVRLDLFAVNDEKFMDMFVAPGAIDILQN >KVH93059 pep supercontig:CcrdV1:scaffold_406:210656:211894:-1 gene:Ccrd_004914 transcript:KVH93059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPERDAVCWNVMIGGYVKYGKPTEALTLFRKMLTKMNPNEVTIVAVLSACGQLGVLESGKWIHSYVKNNSIHMNVHVGTALIDMYSKCGGLDDARMVFDQLKNKDVIAYNSMIAAYAMHGCCREALILFSDMGRRRTRPTDISFIGILNACAHSGLVSVGKGVFLSMKTKHGIEPSIEHYGCMVNLLGRAGFLETAYGFVKNMKVDPDPVIWGTLLDSCSLHGNVHLAEEIVKFLVDRNLANSGTYILLSNLYGATSNWVGVARMRTMMKTHGVQKEPGCSSIEVRNTVHEFVAGDVKHPNSKEIYMMLEEINGWLKGHGYRPRTDIVLHDVGKTERERSLAVHSERLAIAFGLISTEPGSSIKIVKNLRVCSDCHEVTKLISKITRRKIVVRDRNRFHHFTDGSCSCGDYW >KVH93064 pep supercontig:CcrdV1:scaffold_406:187802:196314:1 gene:Ccrd_004911 transcript:KVH93064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MADAAAIMEAAGSRFSDLELIGRGSFGDFLMAGIGVKREERQEDKRHKGTKMKYLTCQQVENKRPPDINHHRCALRVNEQPQSVTIRYVGLLFMTCQQDDIGRHEEGKETKKVNENQWWTGADTGPVLAPSMVLGNLAQYWNHLRFDKENNKEVAIKVIDLEESEDEIEDIQKEIAVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLVGYFYQLLDDCKSGKNGHSNFVFGADDKFHSADSTKSTIG >KVH93044 pep supercontig:CcrdV1:scaffold_406:145159:152355:1 gene:Ccrd_004906 transcript:KVH93044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MELMIPDCIGEKLPIPEIVALGGQSDGKSSLLEALRFRFNVREVEIGTRRPLILQMVHDPTALDPRCRVQAMKGEPESTPEEILSMVKSLASPLHRILVSLQQSSGEWCSSLWLDAILDIDPTFGRTLIVVSKFDNRLKVDANVLCHLRENINGGYEEESEVTAQLSTMDSKIQEEKSESGMGNWPGVIADIQPANATLRLYGGAAFERVMHEFC >KVH93057 pep supercontig:CcrdV1:scaffold_406:223380:226532:-1 gene:Ccrd_004916 transcript:KVH93057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S20 MAASAAAQCLYLSCRFQSLSLSSPSSSSSSSSRPYATAFKPLTSSANTSFNAFSTGLASVNPIYGKRVGRSISIVCEAGATKKPDSAAKRARQAEKRRLYNKARKSEVRTRMKKVLEELDVLRKKSEAQQEEVIGIEKLIAEAYSVIDKAVQVGTLHRNTGARRKSRLARRKKAVEIHHGWYTPAASPAATA >KVH93066 pep supercontig:CcrdV1:scaffold_406:260842:262089:-1 gene:Ccrd_004919 transcript:KVH93066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold GQWRGSRNGICVKLHQVCRAQTPGGNRNDQILKTHSIFQSIRTSIPISMASNNSQPQGSSSPAKPGLRKPVFTKVDNLKPGTTGLTLVVKVLSSEIVLQKGRAASPNLRNVRISECLVGDETGTILFTARNDQVDLMKPGTCVIIRNGKIDMFKGSMRLAVDRWGRIEVTEPVTFEVKDDNNLSLVEYELVKVAE >KVH93048 pep supercontig:CcrdV1:scaffold_406:25067:28915:1 gene:Ccrd_004892 transcript:KVH93048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDFHSYFCCLRGFDRKQNKKKQSTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAECLLDWNRRMTIAIGSAEGIVEIADPKLNGNYSADEMKRVSLVGLICARNQPDKRPTILEVVELLKGESKEKVDALENDDMFCGKHDYNDGVSVADDSCDSISVEKAQKEEIEKVVGI >KVH93062 pep supercontig:CcrdV1:scaffold_406:200442:209935:1 gene:Ccrd_004913 transcript:KVH93062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAHAKDKSALSKFNKGVQESRRAEKSINTSDSSRHSSDYYDAIKAFPKPRQASSDEEESARNSASSASAPLSILIVPSLKEAVSDDLEASVVRSVINSLMDMEYTKPGSCKFLVTRLLHRLASSKEPALKDLQEVATRGFSKGKTVTESEIANAEADAKKKQQNKEAQSHANLSPLARFLLSSQNIVGYLGLGYELDGPDNTTDSKNPLLTAAATETEGGSAVAESESAAVVAAAVDEIRWRSE >KVH93056 pep supercontig:CcrdV1:scaffold_406:73081:79082:1 gene:Ccrd_004898 transcript:KVH93056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MSSEDAKEESRSLALTPTWSVATVLTIFVVVSLLVERSIHRLSNWLKKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSIISNICIPSKYYNSAFAPCTGSEVDEEMENSKRKLLMIVNPHSVRRVLNELNENTCQKVSHVNDHEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAVVKIHSWRKWEDEAQVDRHDVLTEISRNETMRRQSTMVKFHASNPLVRNGCFTWMNHHLTSKYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNIKGSNLYFWIAIIPITLVLLVGTKLQHVIATLALESAGITGYFAETRLKPRDELFWFKKPELLLSLIHFILFQNAFELASFFWFWWQFGYNSCFIKNHMLVYIRLILGFVGQFLCSYSTLPLYALVTQEARRRRRRLGMYGDDSTVHTDTSTVISVEEFDHHDLDSPRAGMMPGEGLEIELQPRSIIINGDSPFVANENSSRAGTPLLRPCASVSSSASPSFVPEIITRSSSLPSPRE >KVH93050 pep supercontig:CcrdV1:scaffold_406:3039:4410:-1 gene:Ccrd_004890 transcript:KVH93050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1, core MWSRGTKDFGQERICFSNVLVIRKRNLFWGRKWRSLDIKLVLGMLGFHILTLFAPFTFTWNVFWLTVLSYFLTGALGITLGYHRLLAHRSFKLPKWLEYTCVYLGVHASQRDPIYWVSIHRYHHQYVDLDKDPHTPIYGFWFSHMGWIFDSGYIKEKYQERKNVEDLKSQAFYRFIKRTYVWHLFGLWALVYAWGGFPYFVWIVVSLPKCTRYHSSIYTQGVRNTCLLNATFLVNSACHIWGKRAWSTDDLSKNNWYIIYIYICVCVCVCVFLYFFLFNWEMLNDRWVALITFGEGWHNNHHAFEYSARHGLEWWQIDLSWYVIRFLESIGLATNVKLPSHAHKLKKSLAISDDLLMKQTSNSINY >KVH93045 pep supercontig:CcrdV1:scaffold_406:167690:170832:-1 gene:Ccrd_004909 transcript:KVH93045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C PVLDTSSGCYIWTSFWVVSTVGKSSSLFISPPSDQEHNKQSAMAGREILNKMKEKVGLSSSSGETGKGKSKMSKHVTHGYHLVKGKSYHDMEDYVFAQFKNVDDNELGLFAIFDGHLSHEPDFWTKTESAIRRAYRVTDKTILDKAVDLGRGGSTAVTAILINCKKLVVANVGDSRAVICNKGVAKQLSIDHEPSTERKLIENRGGFVSTFPGDVPRVDGRLAVARAFGDKSLKEHLSSEPDVFVEMIDDATEFIILASDGVWKDARLAAKQLTEEALARKSTDDISCIVV >KVH93060 pep supercontig:CcrdV1:scaffold_406:217273:217959:-1 gene:Ccrd_004915 transcript:KVH93060 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAPRDKLDATTAAGNGGKETRYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAAQAYDSAARQFRGAKAKTNFPFPTDLLLPTAVSGGTKLVTRSPSESSSIVESTNSVAPIAAAAPLPLPPEALDLSLSHYPVGFPMARSLFLFHSQMNVNINNNNIHNRFNIFRSDRSIVRDPHLSIGAGCLAQSDSDSSPVNNFSYSSERPKQEKSVNLDLDLNFPPPEVA >KVH93038 pep supercontig:CcrdV1:scaffold_406:90402:94933:-1 gene:Ccrd_004900 transcript:KVH93038 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-D-manno-octulosonate cytidylyltransferase MDLKSICTSSSSSSSAKLLLLHGLGVAVAVAVGAHAYSYYRQSTAKFRSRVVGIIPARFASSRFEGKPLVMILGKPMIQRTWERAKLATTLDHVVVATDDEKIADCCRGFGADVIMTAESCRNGAERCSEALEKLEKQYDIVVNIQGDEPLIEPEVIDGIVKALQTTPDAVFSTAVTSLKPEDAFDPNRVKCVVDNRGYAIYFSRGLIPFNKSGKFNGKFPYNLHLGIQIYLNLAPTSLQLEEDLEQLKVLENGYKMKVHSCSSLYKTNFKHNQVFDILLDAVQVIHVDHDAHGVDTPKDVTKIESFMRERNLS >KVH93046 pep supercontig:CcrdV1:scaffold_406:159959:165134:-1 gene:Ccrd_004908 transcript:KVH93046 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase MTDYMAESKVIGVVGAGQMGAGIAQLAAVSGADVWLLDKDAGALLTAKSSISDSVQRFVSKGQLSKEGGINALSHLQYTSNLEDLRFADIVIEAIVESEDVKKKLFVELDKMVIGMHFMNPPPIMKLVEDYAGFIVNRILMPMINEAFHALYTGVATKEDIDAGMKLGTNQPMGPLQLADFIGLDVCLSILKVLQNGLGDIKYAPCPLLVQYVDAGRLGRKRGIGVYNYRKLPKELKPSPRL >KVH93053 pep supercontig:CcrdV1:scaffold_406:45660:55319:1 gene:Ccrd_004895 transcript:KVH93053 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MATSFALIPSSSLNFIRDSSASPSSPSSSSSSFFGGGTLLFTRSTNNKSCVSFSSSSQFNKKLYGKRRGGGVLVFAAADYYSTLGVPKSATVKDIKAAYRKLARQYHPDVNKQPGATEKFKEISAAYEVLSDDKKRAIYDMRLEFSAAIFGTEKEFELSHLETCDVCAGTGAKIGSKMRICSTCGGRGQVMRTEQTPFGEGRIRVKKDIKVKIPPGVSKGSILRVAGEGDAGPKGGPAGDLYVYLDVKTVEGMSELQIPAGTQPGDTLVLARKAKITETQADPVTKESEGQMRSGDLPEWPFDEFALELGCY >KVH93065 pep supercontig:CcrdV1:scaffold_406:256915:260659:1 gene:Ccrd_004918 transcript:KVH93065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPTNMTIKGILGILMASIDEDKKRRLISLGMGDPTAFSCFTTTDIAQDAVVQTLQSRKFNGYSPTVGLPQTRKAISEYLSTSLPYKLSSDDVYITAGCTQAIEVAISILARPNANILVPKPGFPIYELCAAFRNVEIRHFNLLPDRGWEVDLDSVDALIDHNTVGIVIINPGNPCGNVYSYQHLKKIAETAKRHKILIVADEVYGHLAFGDNPFVPMGVFGSMVPVLTLGSLSKRWIVPGWRLGWFVTSDPKGIFKNTKNIERLKKYFDICGGPATFIQAAVPCILENTEEVFFTRTLGILKQSSDLCFKKIKEIPCLTCPQKPQGAMSVMVKLNVSLLKDISDDVEFCFKLAKEESVILLPGLTVGLKNWVRITFAAEPSSLEEALDRVKSFSERHSHQQKVHIELS >KVH93055 pep supercontig:CcrdV1:scaffold_406:80986:89515:1 gene:Ccrd_004899 transcript:KVH93055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMDGPSSSSTCSNDDDKNLEWLFEAFGSKISINDMASAYCQAEHDLRKASEIICNMLGSSSSNDIPISTDDLTSESAVGSDNNPMGKSYETRILSQPKPTNSGISVGTVSGADTTMSATSINEPPKVLSRSKPKNSGISVGTISGIIGAGYGRSRPSTKEYREITKPVKLMSTEFPVSQIWVEKPQSVNATTGTMNKEIEQFLLKMLGDGFKLDMGVIKEVVGGCGYDVRESMEKLMDMSSPTLDQQDVTPSMVNQTKSIDARQDLDIKCEEKLKFTASGSGREDLEKEVLCNLFTVPERSEVKPRKILPKREPRTRQFGLVTGPLEEGVTEFRTPIVKKEGNEQNEDNYEILREAAMEFWITMKKYYRAAADAYAKGSYELADKLIKERRWGINFY >KVH93054 pep supercontig:CcrdV1:scaffold_406:38614:43724:1 gene:Ccrd_004894 transcript:KVH93054 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MSAIVCGKRSFFEDLPTSPNSASPPAAKKLRCFTSTSPVRFSPFTNSPPSPSLIDKLRSFFPSMDKKLLEKALEKSGNDLGSAIKSLNELCLGYADGASGSPVQSNAITDKGSATASEDIVSLENPSANDNIPKSGAEWVELFVTEMTSATSIDDARLRAMRVLESLERSISEHAGGEAAENLRKAILLRENAILKRAVAIQHERQKEYDERTQEVQQLKQLLSQYQEQLRTLELNGK >KVH93051 pep supercontig:CcrdV1:scaffold_406:57156:58781:1 gene:Ccrd_004897 transcript:KVH93051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLRRNVKPDNYTFPFLLKGFTRSVGLEIGKGIHCHVCKSGFGFGSNAAVDTSLIHMYSLCGNVDDARQVFDTSSKSDVIAWNIMIAGYNRSKLFDESRRVFREMEARRVVPTSVTLVSMLSACSKLKDSDGCKHVHRYVKDGRVETNVVLNNALIDTYAACGEMDAALVVFDKMKTRDVISWTVVLSGFLNAGKLDVAREFFDQMPERDSVSWTAMIDGYVRQNRFKEGLMLFKNMQDAKVEPDEFTIVSVLTACANTNALDLGEWINVSIDKNEDKIKNDVFVRNALIDMYFKCGEVRKAVDVFEKMPVRDKFSWTCVINGYAINGFGNEAIDMFNKMMNASIKPDEICYLGVLCACTHTGMVEEGRKFFASMTIDHGLEPEVEHYGCMVDLLGRAGLLAEAYNTIITMPMAPNSVVWRALLGGCGIHKDVFLAEIAAKKLIELDPENGGGYALLCNIYAGSNRWEDLREVRTMMMNRGVTKTLGCSSIEIYGTIHEFVAQDRSHFLWMEIYSMVEMTMEVLRVSGYTPQTNETFLDMVE >KVH93037 pep supercontig:CcrdV1:scaffold_406:96471:104977:-1 gene:Ccrd_004901 transcript:KVH93037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase domain-containing protein MEIDGFLYETLSPLSTTGVSIPATPPPPDPVSSGDSEPNLVLRNYIPISSNDTPLPETTAPDFFSLDVDADDDDWRTPTPPLKRSRLATPLADEEPKRSLEAGWFRGNCRFKSPMLQLHKEILDFCDFLSPTSEEQVSRNTAVEGVSDVIKYIWPKCKVEVFGSFKTGLFLPSSDVDMVILESNIRTPQMGLHALSRALSQKGVAKKIQVIAKARVPIIKFVEKRSGISFDDAISKWPPLRPLCLILKVFLQQRELNEVYSGGIGSYALLAMLIAMLRNSLDSQVYPEHNLGVLLAPENDIGKNSFNYFQIKSAFGLACSTLTNTKLIMSLDPNRSILGTIIRPDKVLLERKGGLNGDVTFTNLLPGAGEPLQKDFGEHEGLFCNWNLDDEEEPLPRGKEIVGADDGGGAMESGKKKKKKALKVKKTLKLKKMKKVTIKKRKRTASEGES >KVI01629 pep supercontig:CcrdV1:scaffold_4063:37068:38240:-1 gene:Ccrd_020095 transcript:KVI01629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MAFHLQPLLCLFLLTIKSLAAQTTLFHGALLLLPVTKDVETLQYTTQLLMGTPQFPTKLVVDLSGQSIWVNCAPSSSSRQFISYGSLPCLMAKSGAQRTIPAATICDIHQENPITGAANLGDLAEDIVTFDGIGLLTTVDNFLFSCSPDYLLKGLVNGAKGMLGLGRSKIAFQSQLVNNLDIPRKFTICLSSSDGFIISGTGISNSLSYTPLVSTHGGGLDQYYVNVNSIKISGRRLVLQPIGGVKISSIVPYTTMKSTIYGIFTKAYIKSATLLNMTMVAPVAPFGVCFSSQRVVPDIELVLQSELVKWLIQGRNSMVQVSDSVMCLGFVDGGLDLNDSVVLGGYQLEDHILEFNLGTGMMGFSSSSLLIEGNSCSKIRASVSRPTELL >KVH77599 pep supercontig:CcrdV1:scaffold_4064:27497:28426:1 gene:Ccrd_025528 transcript:KVH77599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MAVVTIEVEVTSSLPAPKLFKVFSHFDTIAPKVEPETFKTVSVIQGDGGVGSIKNITYGDGVPYTTSKHTVDAIDSSNLSLSYTVFEGDALLGIIDSATHHIKFIPSSDGGSIYKHAVVCKCKGDAKLTEDTPKLFKEALKKTFKAIESYAIAHPDEAY >KVH99739 pep supercontig:CcrdV1:scaffold_4065:5278:10272:1 gene:Ccrd_022022 transcript:KVH99739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRLDLVREWSVVAGEVDARYSYMGSSNGKLHKEKKVNWQVIFLVESKQHIDFRVIKVVDDDEDGRGEEPTQSNLDWEDEFLGEIHPMSKRKQKEMSELLQETDSTDWCVRARKSALRSIQTRGLTSAMEDLFTVNLKKEKNKKKSHNVKKKPVVNKEKPTKESLNFDSDGEDDELNIENLLDDKDQLKTSVSIMAGGMFKERKKKTMETFVERLSHFSGPHDRRKQINLNKEIVEAQTADQVLEGTLR >KVH99740 pep supercontig:CcrdV1:scaffold_4065:10356:11885:1 gene:Ccrd_022023 transcript:KVH99740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVSMTRSHRLAFAXRREMSMLVGMAMMALPECSAQGVSNIAWALSKIGGELLYLSEMDRVAEVGLNKVGEFNSQNVANIAGAFASMQHAAPELFSEISKRASDIIHTFQSQELAQLLCSLDKRTLKFTEPRGAESIKVEGFPPVLDFNMDQLGNISWSCTVLGQMDRTFFSHVWKTLGYFEEQQISEKYREDIMFATQIQLVNQCLKLEYPHSLLSLKSDIEDKIIRAGKTARFNQKITSSFQKEVGRLLVSTGLDWTREYVVDGYTLDAALIDLKVALEIDGPTHFSRNSGNPLGHTILKRRYLEAAGWKLVSVSHQKWEELEGSHEQLDYLREILQDHTADEAGR >KVH99736 pep supercontig:CcrdV1:scaffold_4065:30118:30451:-1 gene:Ccrd_022027 transcript:KVH99736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta YGESFPISGEALDSSFCLPIGKAKIEREGKBVTITAFSKMVGYALKAIEILEKEEGINA >KVH99738 pep supercontig:CcrdV1:scaffold_4065:19728:21833:-1 gene:Ccrd_022025 transcript:KVH99738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRISWFPLIFLSLQKSRKKRSRAFQYRNSKSWMHEKGGCYWNGCVASEFYDNKDXTCCLNFKEENDDVSQKISDBSLKNVYLLSFYYHSLDPFDQDDWEHYAKMTTEIGEQLQDASYGYGYDLFVTNPKCVDKAIKEKTCNALLMRVVQLLETPYAKFELKRFASFMRF >KVH99734 pep supercontig:CcrdV1:scaffold_4065:31539:34795:-1 gene:Ccrd_022028 transcript:KVH99734 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MQEEGEMEKQQLLEMGFSDELXSQALSATAGSSISGATEWILSQESINDNKNSPITTQSSCQPKINHFFRFNXSKKPSQSSDNHPEAASPPAESEPLPQXLLKKRKQPPVRNEXPLAERMRPYIIGQVVGQDHLLAGKSSLLRSAIDCDRLXSLILWGPPGTGKTSIGRAIVNSCLSSSXYRFVSLSXVTXGVKDVRDVVDEARKRKKNNINGTIMRTVLFVDEVHRFNKSQLDSFLPVIEDGSIIFIGATTENPSFHLITPLLSRCRVVTLNPLEPHHVATILMXAIDDPNXGLAXSVGRAIDVSDQVIEYLSQHCDGDARVALNALEISAIGAAARIAGEQHYNLISALHKSMRGSDANASIYWLARMLEGGEEPLYIARRLIQFSSEDVGLADPSALTQAVSCYQACHFLGMPECSINLAQCVAYLAHAPKSXAVYRALEDARKAVRDSVGXNEGVPLHLRNAPTKLMKEVGYAKGYIYPPDNPSSSLSYLPVPLQ >KVH99737 pep supercontig:CcrdV1:scaffold_4065:12221:12879:-1 gene:Ccrd_022024 transcript:KVH99737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEELVQAGLKSMDETDHAIEHSKQTEQMGRIVNDLDAIHFSIKKVSKLVKEIERQVATDKCIMLFIFLIVSGVIAIIIMKIAHPENKYIRDIPGLAPPVPSARRLLYVRSGELFS >KVH99735 pep supercontig:CcrdV1:scaffold_4065:23323:26452:-1 gene:Ccrd_022026 transcript:KVH99735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MKEXLEGCRIGKKPKQIEYDEQAYLNFPSNDDVEEEEHNAYRNKGKQLVGDKGLVINMAPLRRPEAKQTSAFYMHSENEDEEDEQEEDDVHLMSSEKLLLGDKXFSSDSRRVVKGISPGIGTEPLSKKPKFETVVLQSPKGQISASSKQGTIVSTKISRKEVXSAICKFFYHAGVPTHAAQSPYFHKMLEMVGQYGQDLPAPPSRMLSGRVALKRLFQSTKWLSSRVXKXEEGEEVEKIVMNATFWKKVQYIRRSVDPILEVLQKINSDESLSMPSIYNDMYRAKLAIKTNHGDDARKYGNFWSVIDNHWNSSLNHPLYLAAYFLNPSYRYRPDFVPHPEVVRGLNSCIVRFEPNNARRVSASKQISDFGSAKADFGTDLAISTRTELNPENVLHDWIVKPEKQAYQEDEEVCSEMEQGDAYENGLLEYEEGNGESRKGSLQLMTLTDIEPLDAHPLNNIGGDDEDEDDEGDDDDLNFLGDGFSDS >KVH99733 pep supercontig:CcrdV1:scaffold_4065:45441:46756:-1 gene:Ccrd_022030 transcript:KVH99733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MVSILTRPQSLFTNFQPGYVNRCESDSANRIMALGLLPLPTIHPYPHQQPAYRWRLVLSYDGTRFSGFYLHLHLDYYQPSTPTIQCILEQALTRVTKLERKDLCLVGASRTDTGVHALGQVAHFVTPFNYQGLQDMHAVLNGLLPPDIRVTEICPAMPQFHAHFSVTGKIYHYKIYNDPVMDPFHRLYAYHNRSKLNTCVMMEATKHFLGKHDFSAFANAQRNDRTVNPVKNIFRLDITEEIEVEGSGFLYRQVRNMVALLLQIGREAIPPEIVPKILATCDRKXLAKVAXVAPPHGLCLVEVKYNEEHLQLPEGGPATSFGRHHSISKCKLQHY >KVH95904 pep supercontig:CcrdV1:scaffold_4066:813:2449:-1 gene:Ccrd_002013 transcript:KVH95904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQGDASMAVEVDIQEIVHHESSTIVDHRPPLPKIQIDIGKLEHLVVFSNRQSSSESRDTSIEIASYGGVPSLLGVLHLEWDWLYTLRELGAATNGLSVENVIEEGGYGIVYSGVLGDEWVVGESGR >KVH95905 pep supercontig:CcrdV1:scaffold_4066:32393:43818:1 gene:Ccrd_002014 transcript:KVH95905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVRAPRFDKYGIKKGKWSEEEDNKWAIMAAKLPGRSDNDIKNYWHTRLKNRVPKDRTHDQVFKNEQIGSSKPNPTTGFRSNLEQLQQQEVAVLVAVLSSKSPSSSSTTEPSPCWSTGSNHDDADSTKIMPWFSEPSESFWNEPFLLDDDAIISSYDNILSPISDQNQSKMVRAPRFDKYGMKKGAWSEEEDNKLRAFIYRYGHPNWSELPKWSMIAARLPGRSDNEIKNHWHTHLKNRSIPKDHQIVSKKEQFQVMLLHNLPNT >KVH88803 pep supercontig:CcrdV1:scaffold_407:246971:267707:-1 gene:Ccrd_025532 transcript:KVH88803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D/Transphosphatidylase MEEAALEMEIDMDTIEVSKTNKRLDYKECDGDRYSNQCKPNNRETGEQYTPQFLTSQFVWRGGGRIPIRVFRWLDGNSSHILELMAQYLQLIAHPNDPRSGDYGYSKENMQSFGSTESSSVYKSIEDVVDRDHSRVYPDYTKEPDDLASGRANLQKVTLLSSNWFGSGVVHAKVWISDSRDVYIRSANNDWKYLTQFE >KVH88802 pep supercontig:CcrdV1:scaffold_407:239614:242696:1 gene:Ccrd_025531 transcript:KVH88802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPNKQENPSLLTNRDHDSPPKKPPPATVAGGGGDRLKRDEWSEGAVSSLLEAYEAKWVLRNRAKLKGHDWEDVAKHVSSRANSSKSPKTQTQCKNKIESMKKRYRSESATTDVSSWPLYPRLDLLLRGSAGPPLVTVAASAGDLPCSSIPIASSNLPLMVFEHTPPPPPPPLPSTLIHSSSTPVTAAAPAPGSNPEDVEGTKIPDHQLSEKMEIETDCSTPALYSNKEKIQSKNHKTRMTDGRKRRRKSENWDVAESIRWLAKVMVRSEQAKAETMRELEKMRADAEVKRGEIDLKRTEIIAHTQLEIARLLAASLGKGVDPSLRIGRS >KVH88804 pep supercontig:CcrdV1:scaffold_407:120763:121800:1 gene:Ccrd_025530 transcript:KVH88804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEVKVRTAKALVGKLSSVSEQTRTESLCELRLISKNDPESRTLIAEAGAIPYLSEILYSPSPIAQENATATLLNISISSREPLMSTRGLLDALSHALRNPASPFTAQSAAATIFSLLTVDNYRPIIGSKRDILYALIDIIRNPISHPRSIKDALKALFGISLYPLNRATVIELGAVPALFSLVVKDGRVGVVEDATAVIAQIAGCEEAGDAFRKVSGVGVLVDLLDLSTGSSARTKENAVSGLLNMVQCGKTDVGEYVKEMASIVCNGISDVAENGSPKGKNKANELLKAIDLAAGMNRLQDLQLETVISHSY >KVH88805 pep supercontig:CcrdV1:scaffold_407:34804:54642:-1 gene:Ccrd_025529 transcript:KVH88805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MKIPCCTVCQTRYNEEDRCPLLLECGHGFCKECLSRMFSSASSDTTLSCPRCRHVSVVGNSVHALRKNYAILGLISSASNGDFTDEDDDDDDDGLVVVVDRDRRSCGSNASSSNGLIELGSHQDLRMVKRIGDGTGRNAGGVEMWSAVLSGKSGRCRHRVAVKKLVVIGEDTDLVWVQNQLEDLRLKSMWCRNVCKFHGATKVDGCLALIMDKCNGSVETEMQRNEGRLTLEQILRYGADIARGVAELHAAGVVCMNLKPSNLLLDEDGRAVVSDYGLPAILKKPACRKARLECDSSRSHSCMDCTMLSPNYTAPEAWEPVKKSLNIFWDDALGISPESDAWSFGCTLVEMCTGSVPWAGLNAEDIYRAVVKAKRQPPQYASVVGVGIPRDLWKMIGDCLQFKAPKRPTFSAMLATFLRHLQEIPRGPPASPDNDVTIFPRANGIPPSPSTDLEVVHDYRSLLHQMVSEGNVSGVGELLAKASSKNDGNSFQSLLEAQNADGQTALHLACRRGSAELVEAILNYGEANVDVLDKDGDPPLVFALAAGSPECVRALLSRYANVRSRLRDGFGPSVAHVCAYHGQPDCMRELLMAGADPNAVDDEGESVLHRAVTKKYTECALVILENGGCKSMGISNSKNLTPLHLCVTTWNVAVVKRWIEVASSEEIAEAIDVPSPVGTALSMAAALKKDHEANGRELVQILLAVGADATAQDTQHGRTALHTAAMTNDVELVKIILDAGVDVNIRNVQNTIPLHVALARGAKSCVGLLLSAGANCNLQDDEGNNAFHIAADTAKMIRENLEWIIVMLKYPGAAVEVRNHSGMTLRDFLESLPREWISEDLMEALANKGVHLFPTIYQVGDWVKFKRTVATPTYGWQGATHESVGFVQSVPDKDTLFVSFCSGEARVLANEVIKVIQLDRGQHVQLKADIREPRFGWRGQSRESIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRVRPALTTAKHGLGSVTPGSIGIVYCIRPDNSLLLELSYLPNPWHCEPEEVEPVEPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRIIEIESDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGLIHSLEEDGDMGIAFCFRSKPFSCSVTDVEKVPPFELGQEIHMLSSVTQPRLGWSNESPATVGKLVRIDMDGALNAKVAGRHGLWKVSPGDAEVLSGFEVGDWVRSKPSVGTRPSYDWYSIGKESLAVVHSVQDTGYLELACCFRKGKWMTHHTDIEKVLGFKIGQHVTFRAGLVEPRWGWRGAQPNSRGVIVNVNADGEVRVAFLGLAGLWRGDPADLEIERTFEVGEWVRMTENAATWKSIGPGSIGVVQGFVYESDEWDGNVSVGFCGEQDQWVGPSTHLERVDKLTSGQRVRVKMSVKQPRFGWSGHNHSSIGVISAIDADAKLRIYTPAGSKSWMLDPFEVEVVEQRELYVGDWVRVRPSVSSPTHHWGDVTHSSIGVVHRIEDGDLWVAFCFLERLWLCKTFEMERVRPFVVGDKVRIKKGLVSPRWGWGMETHASRGQVVGVDANGKLRIKFQWREGKPWIGDPADIDLDEIPSPES >KVH99335 pep supercontig:CcrdV1:scaffold_4070:39089:43048:-1 gene:Ccrd_022434 transcript:KVH99335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSDFAQKLLNDLRVRKERMGASRTNATARDAYRNPGNMQRGSRQTKSLESIGGPKMANQRFTSSGRPPAIKESSQQIVSYAGGRNQRSEPIGDLSMAFTYAVKNGGKLMNMDFSGSANTNTMIDFFHQIGRRSLDAGNGRNDRSIVQHRRHSNAGMPPALTAFQIKEISKGVQNLYEIIRSSSNRMNVSMEVGKELLKGAKGLEESLRMLVNLQEASEMMVSPQRKSQITLLEVDDDDDGADGSKIVNQNQVALPRFSFDKPSKRSHVPLTDASSSTLXTYRTSASAESIRTVSNAKNTSNXAEKGRISNVIAKLMGLEEVPGKLDEPKNVEPRIATTEKQVNKIPKPVKDAIVTLTDRKSQQIQDSNTNGVSRPLRTSAGTKQNTTTRSDMIPEKPERRSNSERKEKKPQKRRTPEIASNLQVEKKKMTRIEKSNEIRLVPRNNQNQEPQKRTQKSKQDSQQIKHSHNQVKPKDSPSKYPKIQPFEENLKAKDATPMAQESKPERTEAKVQPPVAKEKVVARKKVDLMAVNRSEALRRKDEVLNRRNGXRNNLERQSKHKLSVLKETKRKDVQQLGPTRSKPEETSSKRSNSKKSESITRFDDNITNTISCVPIRENDIKIKPQEPSEIVVAVRKHASSDRIMETSNPPETKPKKVFSQVVLTDQEKQLKEILIKDQLFRSTAEALFKLNIPVGFLHADDHNHHGDETKVKLECGHEILRRKARSQELSAHPYMKPSVGCTTIRFLDELVKQLYKDLEGLRLYGRNAQNEVDEADYLHHMLERDIYNTNPDTNSFWDFGWHTMTFAFVEKDAFVKEVEQDLLCGLVDEIVDELLSVRLRF >KVH99339 pep supercontig:CcrdV1:scaffold_4070:9836:12853:-1 gene:Ccrd_022432 transcript:KVH99339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase interacting MWVFDVATRQARLLIKSKIFSSSMFIAFQEVRNSHEGSQSSFQKKYQEKLSEFEDKRYEIDMALECVKLTIRWLKSMALVTGGLKALSWNLCSLI >KVH99338 pep supercontig:CcrdV1:scaffold_4070:28408:29504:1 gene:Ccrd_022433 transcript:KVH99338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1195 MNDVEAAKKQGLPPVVLSATTAAANGTNGGGGLFSKGRYKLWAIAAILLLALWSMFTGSVTLKWSGAGNVNNPLSDSFDSPVRDLDILEVEEREKLVRRFWNVYTHSKTTRLPRFWQEAFEAAYEHLTSDVAGSRDAAISEIAKMSLVSVDVEPLPLNTGWGGRRKSMRQGVGRKNAA >KVH99337 pep supercontig:CcrdV1:scaffold_4070:1776:4823:-1 gene:Ccrd_022430 transcript:KVH99337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRGKHVGFFDVATRQTRLLIKSKIFSSSMFIAFQEVRNSHEDSLAEINGIGDRRSEGSKLEFMFSNMNIHKLRLLSTLKTILF >KVH99336 pep supercontig:CcrdV1:scaffold_4070:6452:7165:-1 gene:Ccrd_022431 transcript:KVH99336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFKLSLSSFRLCRPKRSSSAATHCFSPFNPKVLEIAYPTTPSPPPTTPNYQPQLSKSKTKLLVTTPDMNNSPVSFEPTDNVNNSGHRKMIKKKGKKEKNXVGSSDESGCFSNSDGETESVSGSFDSSKKLETVGYKSLGGAAVDEXVVYVKNGGGRMKKMEERGGLITESLTVVKWSEKPYEDFKKSMLEMILEKEMMEAKDLEKLLQCFLTLNSRHHHADIVAAFTEIWELLFV >KVH89356 pep supercontig:CcrdV1:scaffold_4073:36164:43914:-1 gene:Ccrd_008657 transcript:KVH89356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADERGSIHQKKRELQVAWAHDCREPGCWSSSSRGRKETLSGGAHSRRRRQRQGDRWWRWPMNGGGTVEMVNKDGVFLTCKNDEQRSGEGDRGVPICMWMTRLRQHNGELKGGAKASQAGRPWICACLIRGFASKSEACKFEFKWKNVSSKMPRKRKSKEEEGGIHLLQHRNAALNKVKDSSDCSHLEFEWKLEPI >KVH89357 pep supercontig:CcrdV1:scaffold_4073:9089:20246:-1 gene:Ccrd_008656 transcript:KVH89357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch synthase, ADP-glucose type MVATIPDLLRDISSGVGFFDNKVGRSTPGEGLNQWNAGDDWNTGDDEGWKLDLEEACSWEERRWEAWKERQWGRNVNGEGAVLPPRRPQAKKIVPAQLPQNDNLMPDVSGLSDSENADDISAFSQKSPSDDIVAIQRFDNKNSSSTLNPSIAADKVDDLSTINLQDVIGMIRNAEKNIHILNRARIHALDDLEKILSEKEALQREINRLQVRLAETDAWIRVAAQDKIHVELLQHHLEELQTEMSNRNGTRNEMPDIVLRSPDRQTRALSEELNALRNENLSLKNDLETLKEQLSEVKRTDERVEVLEEERTILESSLKELEYKLTLSREDGAQLSSLRSEYETLWDKVEHLQGLLDKSTSQADQAILVLQQNQELRKKVERLEESLEDANVYKLSSEKLQQYNDLMQQKIKLLDERLERSDAEIHSYVQLYQDSVKEFQDTLDNLKEESKKKATGKNVDMPWEFWSRLLLMIDGWFLEKKIHVDEAKLLRELAWKKDGRIHDVYMEYKEKNEPEIIAAFRRLKSSSSGPGLHVIHIAAEMAPVAKVGGLGDVLSALSKALQKKGHLVEIILPKYDCMQYECIQDLRVLDLVVESYFDGRLYNNKIWVGTVEGLPVYFIEPHHPAKFFWRGKTYGEPDDLKRFSFFSRAALELIHQASKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTTTASEIASCGLDVHHLNRPDRMQDNSSPDRINPVKGAIVFSNIVTTVSPTYAQEVRTSQGGQGLHATLNDHAQKFVGILNGIDTDAWNPATDSHLRFQYNADDLEGKAENKKALRRHLGLSFADSRRPLVGCITRLVPQKGVHLIRHAIYRALELGGQFVLLGSSPVPSIHREFEEIANKFQNHEHIRLILKYDEALSHSIYAASDMFIIPSIFEPCGLTQMIAMRYGAIPIVRKTGGLNDSVFDVDDDTIPVQLRNGFTFITPDEQGFNGAFDRAFNHYNSDSEGWQELMHKVMNIDFSWDTSASQYEELYLQSVSRAKAARCAPG >KVH89355 pep supercontig:CcrdV1:scaffold_4073:8001:8801:1 gene:Ccrd_008655 transcript:KVH89355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAAASSMALSSPFAGQAMKTAPSSSEIFGNGRVSMRKTAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGK >KVI11475 pep supercontig:CcrdV1:scaffold_408:274165:276513:-1 gene:Ccrd_010113 transcript:KVI11475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNNVIFSKIGIIGGGISGLAAAKQLSQYNPMVFEATDSIGGVWKHCSFRTTKLQTPRCDYEFSDYPWPVRDNSTFPSYAEILDYLNSYAKHFDLFKFINFNSRVIEIRYLATGHLPTTADKLSTHHVLSGKPVWEVAVQNNGSSIQVIYMYALQWYRFEFIVVCMGKYGDVPIIPKYGKNKGPEVFKGKVMHSQEYSKLNAQESSQLLKGKKVVVVGYKKSGIDLAVECAEANQGEEGKACTMVVRTSHWTVPHYSIWGLPFYLFYSTRFSQFLHERPNQGTLRTILCLLLSPARKAASKMIESYLLWKLPLVKYGLKPDHPFEEDYASCQMAILPDKFFPEADKGKINFKKASNWWFWEGGVEFEDNTKLEADVVLLATGYDGKKKLTAVLPEPFRSFLEFPSGIMPLYRGTIHPFIPSMAFMGYVESVSNLHTSEIRCKWLVRLIDGKFKLPSMDKMLEQITTEMEIMKKTTRFYKRTCISTFSINHTDEICQEMGWSSWRKKSWLAEAFTPYKSQDYDDDDQDRI >KVI11478 pep supercontig:CcrdV1:scaffold_408:254241:262508:1 gene:Ccrd_010110 transcript:KVI11478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFSSFPVSTIPSHLPRFGWCYNYLLRAPQSFASNPLPILRKKPKAFLCSASISAEHGNTEPDFTMLTAINSLYNDIVIVDTAESRMLLLDSTHNIHSIFMKGQTWTGCYWDEFAALPAIIPKGPIAIFGLTWVTEVEISEFQAGGTAAHLMLTLWPSLQLHGWEIDEILIDKAREHLGLSDLEKHTEGGGILHVHIGDALSTSSSVSGGYAGIVIDLFSGGEVLPQLQEVQTWLELNDKLMPNGRLMVNCGRRNDTIVNGSIGNENDNIWEKNSTLDVLCKAFPGQVNWKKMAKSEGDNYLALTGPLPDFTMWAAALPHRFSSTIKQWTSCFPS >KVI11476 pep supercontig:CcrdV1:scaffold_408:272708:273458:-1 gene:Ccrd_010112 transcript:KVI11476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFPKAIKSGLITKEPSPTATNLSFSPGGTGSYSASSSDPVSLLLTRPPRRAVSLLTCSKLCGFCFVAGIIVGYTLKQRVRRWAARLLRRIKDD >KVI11480 pep supercontig:CcrdV1:scaffold_408:38943:85228:1 gene:Ccrd_010106 transcript:KVI11480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTNSKLTSCRERDGRWKGGGRGSISKGLSKEDKGFKKKIEGLKKGIDGFEGSLKTLFFLHGMIYRSSPKRTFYNFTKNLNQEHAHLKENSRNFQPKYQIGRISRLKQKPNRR >KVI11477 pep supercontig:CcrdV1:scaffold_408:264321:270796:-1 gene:Ccrd_010111 transcript:KVI11477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MHKRPVHKMSVSAPVTPATKIPRTSSSSTPGGPRIHQDKILVTVRIRPLTPRELAAYDLIAWDCTDENTVVSRNLNHDRHNGTYTFGTSSFINLIFYCNLFLTLFLYVSDKVFDPSCPTTRIYEQGARDVALSAINGINESKFALRLSALEIYNETVVDLLNRDSGALRLLDDPEKGTVVEKLTEEVVKDGQHLRFLIATCEAQRQVGETSLNDRSSRSHQIIRLTIESSSLCEESRCGRSLLASLSLVDLAGSERVAQTNADGTRLKEGSHINRSLLTLTTVIRKLSNHNNIHLNTVSDKRRVFLLCSGGKKTGHIPYRDSKLTRILQSSLGGNARTAIICTLSPALSHVEQSRNTLCFATSAKEVTNSAQVNMVVADKQLVKHLQKEVTRLKAELLSPEPSSSKCLRSLLLEKEQKIQQMEREMNELKRERDLAQSELEVARKLHKETKELNHGRQVAKCLSFNSENVSVSGRLISERKTHRRRRPLRQSAASINPCMLVHEIRKLEMRQRQLGEEANRALELLHKEVSSHRLGNRDAAGTIAKLLSDIKDMHAISSFIPEDIEVKDKASLKEEIVRLKCEENTIASLEEKLENVQKSLDKLVMYSGEETPDSRTPLKKKKILPFTLRNNGSMPNFIRSPCSPSSSTLLHGTENRDPVSHDLLSAVDTFQMQKATTEEKITPVSKQPSSVNVKKIQMMFKTAAEDNIRSIKAYVTELKERVAKLQYQKQLLVCQVLELEEANDGVSDETDMGERSPPMAWHLVFEDQRKQIIMLWHLCHVSIVHRTQFFMLFRGDPADEIYIEVELKRLTWLEQQLSEVGNASPALLGDEPAGPVMSSIKALKQEREYLAKRVSTKLSAEEREMLYRKWDIPMEGKQRRRLQLVSRLWTDPLNMVHVKESAEIVAKLVGFCELGEHASKEMFALNFVTPCDKKAWMGWNLISNLLHL >KVI11482 pep supercontig:CcrdV1:scaffold_408:201833:219277:-1 gene:Ccrd_010108 transcript:KVI11482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISSNYLSPSSQPDELSVITIFQQSVEFITSASKSIFDMTGPTFLCILVFSERRGQWKAATQSQEFFRTLQRYYNNAYMDAEKQNAINVFLGHFQPQDGKPEVWELDSDQHFSAYRNGCSAVDKDGRSLHKKSLSDGNIIRESKLPTSSSNIGKSFACSALSDRSPGESKMIFGSKPEISTCDSGLTCSREPFGDLHNDGSLDEEKSHSVDCSNFVDLDWLSSSLNSCEEQLLGRSLFARSSMAGLSPENAANEIAAETTPSTSESSIKGSKHTAMESLCDDYDLPEEFSDSFLRWINNGETLCH >KVI11483 pep supercontig:CcrdV1:scaffold_408:226308:235751:-1 gene:Ccrd_010109 transcript:KVI11483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptojanin, N-terminal MATDNEHLFPPLNEPIPYSSHMAASENEADEPSFSPVATPLAYLQKFRLYETRSISNRKYNELKKGKHYEGGIHQQQKVVVGFRFPSDLERWWWKFKKKGRKALENGILIHRQFLEIEEKHGEQMMKQLKNKFRNEMKSYTLIQEVSDSLKDDPSTIYVIGERELQLWKQQVITQLQGSGNYTKYYMVGRDKSRRHWRVLKIDRLEASELNIREDFTTYTENECSDLLRTIHEGNRSTGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRPIGSIGGHTVYAISKTEMISLSDTVTQSNLTMLRHENRYKKLLCMVDLTKDFFFSYSYHVMCSLQKNSCNDETRQVLYETMFVWNEFLTRGIRNFLQNTMWTVALDKLSISGRDFRLTLISRRSRHYAGTRYLKRGVNEKGRVANDVETEQILFEDVPDGFPMQISSVVQNRGSIPLFWSQETSRLNIKPDIMRDQNYEATRLHFQNLVKRYGNPIIILNLIKTNEKRPRESILRTEFANAIKCINKDLSEENHLKFLHWDLHKHSRSKGTNALLFLGRLTAYALTLTGFFYCQVVPVYRSEGCLRWPCFENVAASNLSASRDFNIDDAKSCDSGYKNTSARQENYCGGKYVEKDNSSVRLPMFQKGILRTNCIDCLDRTNVAQYAYGLAALGRQLHALGAIGSSEIDLDNPLAEELMGFYERMGDTLAHQYGGSAAHNK >KVI11481 pep supercontig:CcrdV1:scaffold_408:149180:177797:-1 gene:Ccrd_010107 transcript:KVI11481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase, catalytic domain-containing protein MDLNASPEPEEDEGTFEPNFSEDSAPEEKHNHHVDHVETAVDIMRREREERKQRLKRERPDDRPTHQAYRAMNDHLFQAKNQRTYDKSRLPPGWLDCPPYGQEIGCMVPSKVPLGEAFNDCIVPGKRYSFRQVIHQQRVVGRKLGLVIDLTNTTRYYSVNDWKKEGIKYVKVSQFLARQKNSKKYILVHCTHGHNRTGYMIIHYLMRTVLMSVTQAIQVFADARPPGIYKPDYIDALYAFYHERKPDLVTCPSTPEWKRSSEFDLNGEALPDEDDDGVPVDPVDENHDTEGVMTNDDVLGDSIPQDQQDAFRQFCYQALKLSAGVRGNHQFPGSHPVSLDSDSGPPHWSGLNANQGSQNGAIIGIGRAEPTTIAAP >KVI11479 pep supercontig:CcrdV1:scaffold_408:16874:39910:-1 gene:Ccrd_010105 transcript:KVI11479 gene_biotype:protein_coding transcript_biotype:protein_coding description:FG-GAP repeat-containing protein MMSDKLEVPRLKAKRDWYVGLLPDPVDHSHPDVHDDLLIKEAVMHSMPPPGEANHTSDVEENKGKENEMQLKSEPPKNTRNTSFEEHVRNPQNESDASTNALEKGSSDAQNKTSVHIELPKDVLNISSNAAPNNVEGTPSETKSGRRLLEEKSEDALHTNINNPKDVPVATVENDEGLEADADSSFELFRDNEELADEYNYDYDDYVDETMWGDEEWTEAQHETSQNYVHVDSHILCTPVIADIDQDGISEMIVAVSYFFDHEFTMGLFNLSGICLKGGTLSCLYGSLACVRYYDNPERVKELGGIDIGKYVGGGIVVFNLETKQVKWTAALDLSTDTGKFRAYIYSSPTVVDLDGDGYLDILVGTSYGLFYVLDHKGKVREKFPLEMAEIQGAVVAADINDDGKIELVTTDTHGNVAAWTPNGVEIWEAHLKSLIPQGVSVGDVDGDGHTDVVVPTISGNIYVLSGKDGSFVRPYPYRTHGRVMNQVLLVDLAKRREKKKGLTIVTTSFDGYLYLIDGPTSCADLKPMNYLIYSYSMVLADNVDGGDDLDLVVTTMNGNVLCFSTPAPHHPLKAWRSHNQGRNNVAARVNREGVYVTPSSRAFRDEEGKHFWVEIDIVDKHRVPSGSQGPYNVTTSLLVPGNYQGERTIKRNQVFDKPGKYRIKLPTVGVRTTGTVVVEMTDKNKLQFSDEFSLTFHMHYYKLLKWLLVLPMMGMFAVLVILRPQESVPLPSFSRNTEL >KVI06685 pep supercontig:CcrdV1:scaffold_4085:40874:42483:-1 gene:Ccrd_014960 transcript:KVI06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype RRLRRGWASHIHLPPELLISAETTSSITVADPWRPKIPRNFVSVSIGDDGLILISLRCQNLTRLKLRSCRQLTDLGMASFAKNCKGLKRLSCGSCTFGAKGMNALVDNCSSLEELSVKRLRGISTGAAAEPIGPGLAALSLKFICLKDLYNAQCFGPLIMGSKNLTTVKLFRCSGDWDRLLELVTDHVEGLTEVHLERLQVSDAGLAALSRCQNLEILHILKTPDCSNSGLISVAENCKLLRKLHIDGWKTNRINDEGLIGVSKYCPNLQELVLIGVNSTRVSLELLATNCQKLERLALCGSETVGDAEISCIAEKCTALKKLCIKSCPVSDHGMEALAGGCPNLVKVKVKKCRGVTGDGAGWLRASRGSLAVNLDTTEVESQDAASDGVVENPSVGSQVGGDSNVASSSTSRSTSFKARLGLIAGRNLVSCTFRKCSSFTRNR >KVI06686 pep supercontig:CcrdV1:scaffold_4085:11750:16618:-1 gene:Ccrd_014959 transcript:KVI06686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 MNGSGGGGDGGGAGGGGDDVHTSSALTGWTYTVSQRYQYLLDKSTPYVLHRWVGFCVIFFIYLLRVFFVQGYYVITYGLGIYILQLFISFLSPQVDPEYQELFDDPALPTHGSEEFRPFVRRLPEFKFWYSITKAVCIAFVLTFFSMFDVPVFWPILLFYWIVLFVATMRRQIMHMIKHRYVPFSFGKRRYTGRKEEVVDDSAPVRP >KVI06687 pep supercontig:CcrdV1:scaffold_4085:8758:11284:1 gene:Ccrd_014958 transcript:KVI06687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MKLLSMAALLLFALFSVSSAMDMSIIGYDATHMTTNWRTDDEVNAMYESWLLKHGKTYNALGEKDRRFQIFKDNLRFIDDHNSGDHTYKLGLNKFADLTNEEYRSTYTGIKTIDAKRKQPKVKSDRYALRSGEALPESVDWREKGAITGVKDQGSCGSCWAFSTTGSVEGINQIVTGDLISVSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDTEEDYPYTGRDGKCDTYRKNAKVVSIDGYEDVPVNDESALQKAVANQPIAVAIEAGGRDFQFYTSGIFTGQCGTALDHGVLAVGYGSENGKDYWIVKNSWGDAWGESGFLKMERNIKDSVGKCGIAMEASYAVKTGQNPPNPGPSPPSPVTPETVCDEYSTCPEGTTCCCVYEYYGYCFAWGCCPLEGASCCEDHYSCCPHDYPICNVRRGTCSKMKEDYVFLCD >KVI08127 pep supercontig:CcrdV1:scaffold_4086:96:5004:-1 gene:Ccrd_013504 transcript:KVI08127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFYLKKGIARPRCSYQYDTSYQASFVRPPAAMPTVEIVLCVCRHVQTSMIPRMLQLVLLLVTICFTPFFSCLSRVSCFITALVINHYIVSQSTSCYYFI >KVI08126 pep supercontig:CcrdV1:scaffold_4086:10917:46674:1 gene:Ccrd_013505 transcript:KVI08126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNTYVALTILDWINHHFGLIQGKLAKDYMKSDLRFDIYVSVTLRKFLMLIISLSPFMDEVPQDLRAKDSIAVNTGFQKVFAILQRFSNVQ >KVH76724 pep supercontig:CcrdV1:scaffold_4087:626:841:1 gene:Ccrd_025533 transcript:KVH76724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSDLAGERSQFATENKTASERTFVRFFSTKHGSLRITVGXWLPKETPIRSAPWLGGIYLIPITRRGSL >KVH76722 pep supercontig:CcrdV1:scaffold_4087:5463:10195:1 gene:Ccrd_025535 transcript:KVH76722 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, alpha/beta subunit, N-terminal MENQQIVVYGNPTPSDLLFMDSALFSFPASKASSTLSSTISDAESAPPGLDLLFHEIREKCAELVLLSNKQLKPEWSIPELIQALMGNEAVQIPGIMEFSXRAAELTTLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQXGEMVEFASGVKGIALNLENENVGIVVXGSDTAIKEGDLVKRTGSIVDVLVGKVMLGRVVDALGVPIDGRGALSDHERRRVEVKAPRIIERKSVHEPMQTGLKXVDSLVPIGRGQRKLIIGDRQTGKTVIAIDTILNQKEMNSRSTSESETLYCVYVAXGQKRSTVAQLVQILSEANAMEYSILVAATASDPAPLQFLAPYSSCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAXQLKTMKQVSGSSKLELAQYREVAALAQFGSDLDAATQALLNRVVQLLSSFDFFSHFRLPHLRICRIRESLLRGGAFNNASSLGESSYTAGRPALDEAEGVTTTSSPDRLEALHSQACTGEALIEDPSVPFRLGPWVPSPHLAVSISSR >KVH76723 pep supercontig:CcrdV1:scaffold_4087:1672:2675:1 gene:Ccrd_025534 transcript:KVH76723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10e/L16 MNESPDEKVMAKDKVMELIEKFIDLGGIGELIKGIEMMIEIILRNRRIPYGYNFYLNEVKKMRSLLSNRTKTNTLIESVKIKXVYQSASPITQDISVQPRNKTRSFRSIFSQIVKDIRLVMKKGVEGIRICCSGRSEGAEIARTECGKYGKTSSNVFNQKIDYAPAEVSTRYGISDVVGVANRTVHDLVLEDMALKVVELVVFHIEPLKQRVEISLLPGNLQKSEWEEEKGNXTGWIARVSTGQIPFEMDGVSLSNARQAATLAAHKLCSSTKFVQWS >KVI06724 pep supercontig:CcrdV1:scaffold_4088:28919:34018:-1 gene:Ccrd_014921 transcript:KVI06724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGKQRSSQRKNAAILDSDDTDSVSSSSTVRSDYVMGSAVEEVQLDKESVLDQSLDALYEKRGSTREKALADIIETFNSSLPHEFVEKKFATLLHQCLNSIKRGSAKEIALAAHAIGLLALTAGSGEKAKEILEESIFPISEALKSCSEVSKMSSLLEGLAVIAFVGGNEPEESEKCMQIMWQVVHPKLGANVCVLSFSFCIVVATKPSPGIITAVVSAWSFLLTTVDGRTLDAKSWQESFSYFSTLLEKDDRSVRIAAGEALALVYEIGNLEKFCHSSVNGGIISLDGTHIQGLRSKVLNQVRGLSAEAGGKGSAKKGLNHQRNTFRDILEFLEDGDTPETTVKIGGESLTTTNWSQLIQLNFLKRFLGGGFVTHMQENEFLHEVFDFTPKKKLLSGGVRGSATDKGRNAGHFALGEE >KVH95510 pep supercontig:CcrdV1:scaffold_409:204033:207444:1 gene:Ccrd_002418 transcript:KVH95510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MPPQNASQETIVCYAPLMITTHGIWQGDNPLDYSLPLFILQLILVVLTTRILVFILKPFRQPRVISEILFYALTNVLKMIYISIFGYFGVNCVNEHVQGGVILGPSVMGRSSIFANAVFPLRSVMVLETMANIGLLYFLFLVGVEMDIAVIRRTGRKAIIIAFAGMILPFLIGISFSFLLHQRTQVVKQGTFILFLGVALSVTAFPVLARLLAELKLLNTEIGRIAMSSALVNDMCAWILLALAIALGENESVNLATLWVVLSSAGFIVFCIFVVRPLIFWVIQKTPEGESVSEFYICLILTGVMISGFITDAIGTHSVFGAFIFGLVIPNGPLGVTLIERLEDFVSGLLLPLFFAISGLKTSIGAIDGASTWGILALVIILACAGKIAGTLLVALLYQMPFYDGVALGLLMNSKGLVEMIILNVGKDQKVLDDKSFAIMVVVALVMTAIITPAVTIIYKPARRFAPYKRRTILKTKPDNDLRTLVCIHTPRNVPTIINLLEAANPTKKSPLCVYALHLVELTGRASAMLIVHNSRKSGRPAANRTQAQSDHIINAFESFEQNAEYVSVQPLTAISPYSTMHEDICSVAEDKRVAFLILPFHKQQTVDGGMEATNPAYRVINQNVLANSPCSVGILVDRGFGGNTRVAGNQISHNIAVVFIGGPDDREALAFAWRMASHPGSTLTVMRFVSGNDVQEPTSSTGRIENDPRVLTVVTDSDRQKQEDDEFINQFRTMIAHDDSIAYTEQVVNNGEETVAAIRSVDNVHDLFIVGRGQGLVSPLTAGLTDWSECPELGAIGDLLASSDFAATVSVLVVQQYVGSDLADFALSPDSPAENHHENFMNHTQR >KVH95516 pep supercontig:CcrdV1:scaffold_409:20290:31000:-1 gene:Ccrd_002425 transcript:KVH95516 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III MDLYFILIMYFPKGFVVAALLKGLGLLLDIHVHDLQILSLCMLETRFISKGCKLVGCGSALPNLQVSNDDLAKIIDTSDEWIFSRTGIHNRRILSGKESMTSLAVEASKKALQMAEVEPDDVDLILFCSSTAEDLLGGAPMVQEKLRCKGNPPAFDIRAACSGFVLGLVSASCYIQGGGFKNVLVIGADCMSRYVDWTDKRTCCLFGDGAGAVLVQVCDSEENGLFSFDLHTDGNCNRHLNSGINHNETNLGNNGSVMGFPTTHPSFSHIQMNGQEVFRFAVKAVPQTVEASLAKAGLKLSDMDWLLMHQANQRIIESIAAKLEFPKDKVISNLENYGNTGGASIPLALDEAVRSGRVKRGQTIMTVGYGAGLTSASTIMRWDANRPRFYSLNIFSKRITCSNTSRTSRLISKGCKLVGCGSGLPNLQVSNEDLSKVMDTSDEWISSRTGIRNRRILSGNESLTDLAVEAARKALQMAEVEPDDVDLILFCSSTPEDLFGGAPMVQKTLGCKRNPPAFDIRAACSGFLLGLISASCYIRGGGFKNVLVIGADSVSRYVDWTDKGTCVLFGDGAGAILVQACDSEEDGVFGFDLHTDGSGNRHLEAGIKQNETDLGNGSVLGFPTTQPSISYIQMNGPEVFRFAGKVVPQTIKDSLAKAGLKLLDIDWLLIHQANQRIIDRVSAALDFPKDKVISNLENYGNTSAASIPLALDEAVRSGRVKQGQTIMTAGFGAGLTWASAINVLVIGADYISRYVDWTDKGTRVLFGSGNWLHRTATITTTTTTTLNRY >KVH95512 pep supercontig:CcrdV1:scaffold_409:240373:241821:-1 gene:Ccrd_002414 transcript:KVH95512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate dehydrogenase/glycoside hydrolase, family 4, C-terminal MQKSASSTNLGPGGLDIAQSFFRPIHGASPPPPTSRNTKISVIGIGNVGMAIAQTILTQDLADELALVDVNADKLRGEMLDLQHAAAFLPRTTISASVDYSSTVGSDLLIVTAGARQIPGESRLNLVQRNLALFSKIIPPLSAGSPEAILLIVSNPVDVLTYVAWKLSGFPTNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIMGEHGDTSVALWSSISVGGVPILSFLERQEIAYEKQTLENIHKEVVQGAYEVIGLKGYTSWAIGYSVTNLARTILRDQHRVHPVSVLAKGLYGIDGEVFLSLPTQLGRRGVLGVTNLHLTEEESQQLKESALAIMEVQSQLGI >KVH95511 pep supercontig:CcrdV1:scaffold_409:214497:218096:1 gene:Ccrd_002415 transcript:KVH95511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MGSRALATTAFLITLNLLFFTLVSSTQCPPPPKSPKHHHHHKATTCPKDTLKLGVCANLLNDLVHLVVGTPPKTPCCSLLGDLVDLEAAVCLCTAIKANVLGINLNVPVSLSLLLNYCGKKPLEMGSRALATTAFLITLNLLFFTLVSSTQCPPPPKSPKHHHHKASTCPKDTLKLGVCANLLNDLVHLVVGTPPKTPCCSLLGDLVDLEAAVCLCTAIKANVLGINLNVPVSLSLLLNYCGKKVPKGFQCA >KVH95504 pep supercontig:CcrdV1:scaffold_409:207749:208201:-1 gene:Ccrd_002416 transcript:KVH95504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MASRAFATTALLLTLNLLFFTLVTSTHSPKACPPPPPSPCPPPPPSPCPPSPKPPTPSGTPPKKATCPKDTLKLGVCADLLNDLVHLVVGTPPKTPCCTLIGGLADLEAAVCLCTAIKANILGINLNVPVSLSLLLNYCGKKVPSGFQCS >KVH95505 pep supercontig:CcrdV1:scaffold_409:262047:264293:-1 gene:Ccrd_002411 transcript:KVH95505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGCKPDGSLNQSKFDEPMPWIGIYVATASLTCATAIAIDTLHAFKYKRFWFPCKFFSLNATTLTLITIAIKFSMDLNSTMPHRQDQLAKVSSSAFICTVMADLLPSLGTMKNTELFISIIALVILVITVISNICIQMGTGVIFVFWIEHAIILFIMLILLAIVCSLSLAVPTTKSYLDIKYTQKLKLTNIECFRKKSSSITEGIREDLRKYWILAYSSSPQFVIGRSAPCTASGAFCLLNSLILVEAVLRSYLMPWSLKFCNGESDYKWSTTTVLISQSIAVGIGTIAPAVRWFMAINFSSSNKAKQACNRELGVEIYWIKKLLEWQLQPLDFKISGHHGRKLVLRAKYRTLRFFIGLQRGIVFSCQIIRLVSIFFVGRFLDLKRFIRCKNSISSNDTELGLNLSRYVIHLGGEEHLVDLIMKNNPDATDHWIKLGETQKPQNLIKLLEKVDSSSAFTGVGEFDNDSVPSLGFAEPPNCWGLPVVTLTSIALALPSIDQELIKQLVSSVHEGLKYVREIENNLDEKINLKNVRMAEEIVWSGVELDRKWLDVDLCKIRLKGNGIKETIKSLSDISKKRFMEYGEIHLMHSNEWFQNEEPSRWCIRLLAANSMYRICETILLSPELESHESSQRLFEKLSLMICKIYSASFTNLQHVISKECRESGIEERELNIRHALLLFGETQEILRILDMKKIQDLNPGQLVHIDEWHLLCNRKQTDSLSYVSSSTNKESTIFSPSSLHISIE >KVH95507 pep supercontig:CcrdV1:scaffold_409:150676:153565:-1 gene:Ccrd_002420 transcript:KVH95507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPESQSQMDKTLPDAWDYKGSPAPRSTTGGWASAAMILGPPPPPPPSLAAQTSITSTLLRVEACERLTTLGIAVNLVTYLTGTMHLGNANAANDVTNFMGTSFMLCLLGGFVADTFLGRYLTIAIFTAVQATGVTILAISTAIPSLQPPKCTKTGDSCVPATDLQLAILYIALYLTALGTGGLKSSVSGFGSDQFDESNKEEKAQMTAFFNWFFFFISIGSLAAVTFLVYIQDNLGRRWGYGIVACSIGLGLVIFLSGTRRYRFKKLVGSPLTQIASVFVGAWRKRHLELPSDPSLLFNVDDLQETDDTKILKQKLPHSKQFRFLDKAAIKKNEKSGELVKMNKWHLSTTTDVEEVKMVITMLPIWATTIMFWTIYAQMTTFSVSQATTMDRHIGKSFLIPPASLTVFFVGSILLTVPIYDRIIVPIAKKFLKHPQGLSPLQRIGIGLVLSTLAMVSAALTEIKRLNMAKSRGLVDDPSKVVPLSVFWLVPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFLSSLLVTIVHKVTGDKDPWLADNLNKGKLYNFYWLLTVLSVLNMGLYLIGAKWYVYREHRLAGEGIELEEEESVA >KVH95508 pep supercontig:CcrdV1:scaffold_409:144466:150518:1 gene:Ccrd_002421 transcript:KVH95508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MRVKEMHPLCCISLDNPSMADHDSPQPTYLSRSSSTVDLISHANALPWMSHSHSCSATRTFAGVLYKWTNYGKGWKSRWFLLRNGVLSYSKILQPETLAAGEDVRFIGDVSSGRLKRLDSCGSSRRHMHHKSVGIVHLKVSSFRESRSDDRRFYIFTATKTLHLRTSSKKERVAWIEALASTRNLFTPRRLNDKPSIIPTDISVSTERLKKRLLEEGISEVVIKDCEQIMLLEFTEIQGQVKLLCEERSNLLDTLRQLEEASEDEETYFFDTEDCFPGERMSRGSIDTELKNQPHVMAEVIDKKAIVESGDLQIERRKKLPDPAEKEKGVSLWSLIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYQHGKEGNSLERILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPEKGVRFFSEKVSHHPTLIACHSEGKGWKFWGDSNIRTKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKVYCDHHGMMHIRGNREYSCKLKFKEQSILERNPRQVHGFVEDGSGKKHATVFGKWDESMYYINGMANVKPKDMADANLLWQRTLPPTNLTRYNLTYFAITLNELTPGLQEKLPPTDSRLRPDQRHLEKGEYDMANAEKLRLETRQRMSRKLQENGWKPRWFQKDGEDSTFRYVGGYWEKREERNWDDCPNIFGEFSKEINDSISKS >KVH95509 pep supercontig:CcrdV1:scaffold_409:52229:63242:-1 gene:Ccrd_002424 transcript:KVH95509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQADDVGDACCDVIYEECCPKLPPKLQVFLTCMVTPLLVVLVVMLVLYVNPKNPEFSVEKFYVSAFNKTSSNATTNTISFDIKLRNQNKAIGLYYDDPVNLTFSFIPRETNNQIIWKYSVPKFYQGNAQSRRLRDVILPLGAPEISVFNQTLVAEHASVLPSGVMTTGLEPLLYFKVDFVTKVRFRLIGKHHAKELVVSADVPIGANTGEKYAKRGIQASAVLRIGRGGAHVAVMVVLTAINFIIMEITIFVGSWNLEGIDAKSKFSLVDGFLPPPTEPNELSCWKCCDDLVLELAGNDARDNKAHKFNSRQYELDKKNKNHQVTALLFEVFVVLADLKCIVKRQLSGDESRSVLEMLSRLYQRLLLSILHINGNLGSNIYGGNRMNILRQMVLFCKAAIESNATPCYYQLSLG >KVH95514 pep supercontig:CcrdV1:scaffold_409:116509:117838:-1 gene:Ccrd_002422 transcript:KVH95514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTWKSLKYGWVKSLQCKARAVDVVESHHLHLLPASSSCRKSFQSLKDVVDTTKQKPRKPKPSQPSPPPELPPSRKTKSSVSRKPENVTRVRSSNSLSSNSRRHSRPSDSYFPALTDLPEGHPSRNVVEIIFHTSWSPKVFSGRIEMVFKVQNLARTVSRFEEYREVVKSGSGSSDNGGSGLGEDHARCVADGNEVMRFQCLGPTGGGAYETAGGGAWSFQWGKGAALCTFSGSGVAHERAGGGRGRKAMLVCRVIAGRVHKQLEFDSVYNGRVGYDSVSGGNGELLVFDSRAVLPCFLIIYKL >KVH95515 pep supercontig:CcrdV1:scaffold_409:96548:103088:1 gene:Ccrd_002423 transcript:KVH95515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase MSAGENDGCADRIDAIKSTLRVVPDFPKPGILFLDITTLLLDPKAFKDTIDLFVERYKQKNISVVAEPEQRINLFAYKEKLCASASTNGGSIEARGFMFGPAIALAIGAKFVPLRKPKKLPGEVISEKYVLEYGTDCLEMHVGAVEPGERALVVDDLVATGGTLCAAMNLLERAGAVVVECACVIEVPDLKGRERLRLQGKPLYVLVESQFEAGS >KVH95506 pep supercontig:CcrdV1:scaffold_409:258847:260832:1 gene:Ccrd_002412 transcript:KVH95506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MRGMAHEVAVFPPSIDSKEGSFGSNNSNEPISVLDTRRSPSPSTSISNLSSSFGGGAANNNAATVGVSDNNIQQNWPEYSVPLEMGVSGSLANLATIAGGCRKDEWSELQPISAEFEPQQKRFGVGLDDWERLLSESGGSQVQDQDQSLLRWISGDVDDTSFSLKQLLQGNQIDENAPPTTVFKSSSPPQLPSFFPFLYPGQNPQNFNSQMIVNPPSQQPQPPPPPLEIQFHQSSLQKPPAFDQSHEFLLKKQNQLLSLHQQRSMMAVVAKQEPLSPPGAAPPPPHYHQKQLICDPLYAAAELMLSGNFSHAQGILARLNHQLPSAMAPYKPIERSGFYFKEALQMQMAFLISKCNPTSLPRRVVPSPSNGMFKMCAYKVLSEVSPIIQFMNFTSNQALLEALDDADNIHIIDFDIGFGAQWASFIQELPRRTNTNGGGCSLKITAFASPSTHHPVELGLMHENLSQFAQEIGISFELEVVNFDSFDPNSFLVSGKAAVGVNFPIWSTSTHLAAIPSLLHFIKQLSPKIVVSLDRGCERTDLTFPQYLMEGLQYYEALLESIGAANIPSYTANKIEKFLLQPQIESMVMGKVEFPEPMAHWKTLFTAGGYLAVGLSNFAEAQADCVVKRSEVRGFDVEKRHGSLVLCWKKRELMAVSAWKC >KVH95513 pep supercontig:CcrdV1:scaffold_409:244726:248507:-1 gene:Ccrd_002413 transcript:KVH95513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MAKSSFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDVPNIDKKKYLVPADLTVGAIMSAIYEEKKDEDGFLYVTYSGENTFGNQ >KVH92770 pep supercontig:CcrdV1:scaffold_4091:6332:15597:-1 gene:Ccrd_005186 transcript:KVH92770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin thioredoxin reductase beta subunit, domain-containing protein MATTMTSLPATSSYSVGFGIPSVITPQTRFHRRRIVIAKAEPSDKSVEIMRKFSEQYARKSDTFFCVDKGVTSVVIKGLADHRDSLGAPLCPCRQVKECHCMLFLTPDNDFAGNEQAISLEEIKELTANM >KVH92768 pep supercontig:CcrdV1:scaffold_4091:20675:21577:1 gene:Ccrd_005188 transcript:KVH92768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein MTTLPHLSIVFFLLFFSQTKGHFGNHAKLSSIESIKQLETCCEVGSEAQGLHQLKLYLARFGYLDYQITLDHVSAKDDKFDDKLEAALKTYQKYNHLNSTGTLDGPTVSQMLIPRCGLPDKSTYIHGSKSPHIVPHFKLSPTLLRWPPGKSHFTYAFPSNFPNKHAPPVDRAFKQWATATHYFTFSKIADFKRADLKVSFERRKHGDTDFDGPGIVLAHAFPPTDGRLHYDIEENWSDGPGPTAGTIDLESVTVHEIGHLFGLDHSDNPNASMFPSINYGVIRRLHADDINGIKTLYKLK >KVH92769 pep supercontig:CcrdV1:scaffold_4091:34543:44747:1 gene:Ccrd_005189 transcript:KVH92769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein MAFKVTCLPSLSLLFFLFFFSRTMGNIGNPTKLSSIESIKQLKGCCDKGSKAQGLHQLKLYLARFGYLNYQHTPEHTNAEDDKFDDELEAALKSYQKYYRLNATGTLDEATVSQMIVPRCGVPDKEIHHHGSKSLPTVSFYRFFPNNPRWPPSKTQLTYAFASDYPNNHVPPVVRAFSQWSTAISYFTFSRVNDFRNADLKVSFKRGNHGDGYDFDGAGGVLAHAFAPTDGRVDYDADEKWSDGPGAVPNAMDFETVAVHEIGHLLGLGHSDDPNASMFPTVSFGVQKGLNSDDIQGIKVLYGLN >KVH92771 pep supercontig:CcrdV1:scaffold_4091:6558:7220:1 gene:Ccrd_005187 transcript:KVH92771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MEGGTEAFPDLGKHCQFFDCLQLDFLPFKCDGCQKVFCLEHRSYKSHDCSNSDHNSRKVLVCETCSTSIEIPRNSKGGEDEMNLILKKHQKSGDCDPKKKXKPTCGVRRCKEILTFSNTSSCKSCQIKFCLKHRFQSDHACKNNHLPKAAAAGRVGGGARPFLVALGSRNGQDCTKKNNGATSASKRSTSVKAY >KVH96963 pep supercontig:CcrdV1:scaffold_4094:45189:48831:-1 gene:Ccrd_000943 transcript:KVH96963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNWLSFPLSPTHLSLPPHLHTAQKSHNFSLGLVHDNMDTPFPNQEWNLIGEQGNNDQVPKVADFLGVSKSENSSNLVAYNDIQGNDTDYLFTSSSLLPQVQNTLSATPTSYELPENASTLQSFTLSMGSGKRSTCETSTGENSSNDNNIGDSILADSGTEQLEFITLSQRIEDPKTVCNLSWVKFNPYVTQVNEAALNKDLDKSLETDSGGATMAGGATTMDGGAAVTGGVVVRNGVKQHN >KVH93614 pep supercontig:CcrdV1:scaffold_4096:34177:40900:1 gene:Ccrd_004334 transcript:KVH93614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MRHNLLSTSFIPMAPSSFSSTTTTHHHHHHHLNKKPSSSSSNPNPNTCTSCCSHHSPSATLDILILILVLFSGAFLVSSYFSYIFHSLSLILPPISLSILIDISSLYLIGFFLFFVLSIIGLEICCGNRSRKCGKKGCKGLKKAMEFDLQLQTEEILRSGAKGVKEMEELPWKGGSETNPDYECLRTELRKMAPMNGRAVLLFRSKCGCPVAKLEGWGPKRGRRNKKSLSLNHG >KVH76580 pep supercontig:CcrdV1:scaffold_4097:13410:20114:-1 gene:Ccrd_025536 transcript:KVH76580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSLSTLFFTSFFKFSQNSIRSAKRLGCKIFSVAELLLIYLNPSNDFAITMHSIKNTPPFAFLLLLLALFFIFANGEPYFSTFRTPTSGKTHEGKYDLLDSWGTKRLLAEEQNDVNSSLVLAQKRTTRKDPLDDFKKYRGGWNISERHYWASVAFTAAPFFVMAAAWFVIFGLCLSLICLCYCCCSRTPIGCVFLYTGQAKFYHSTTKTLDYVVNQANTTAEKLRNLSDDFASAKKVGVAQVFLPFFRCLFLLAVLSIFGMQCMVYTLVIFGWILVTGTFILCGVFLVLHKPDFTDRACTPNEVSLNNATQVYSQFVCEVSPSGICTTTGRLTPDFYSQMSAGIKLSYGLYLYGPFLVDLQDCTFVRQTFTDITQDYCPGLRRYSNWIYIGLLMVSLAVLLSLVFWVIYGRERRHRVYTKTLVARTDGEKGM >KVH89290 pep supercontig:CcrdV1:scaffold_4099:21642:21836:-1 gene:Ccrd_008723 transcript:KVH89290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVKLTTALTITMMVLLAFTTSGIMAQEFGAAPAPSPSMESAGMVLPVPALLAAIVSLAACLF >KVI03724 pep supercontig:CcrdV1:scaffold_41:92625:96992:1 gene:Ccrd_017997 transcript:KVI03724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEAAKFKKSDLIPIPQPPDYHPEITVSPAHDGLHFWQFMIAGSIAGMVEHMAMFPIDTIKTRMQVLGSCPIKSVGLRQALQSILKSDGPAGLYRGIGAMGLGAGPAHAVYFSVYEMCKEAFSRQHPNNPVAHAAAGVFATVASDAVFTPMDMVKQRLQLGSGSPYKGVFDCVRTVLKEEGFGAFYASYRTTILMNAPFTAVHFATYEAAKRGLLGVSPDIAGDERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRYVNGSIRDVLRTIVKKDGYRGLLRGWMPRMMFHAPAAAICWSTYEAGKSFFQELNSDDRSSRMT >KVI03696 pep supercontig:CcrdV1:scaffold_41:318394:319809:-1 gene:Ccrd_017981 transcript:KVI03696 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MGRRQILMPAAAAGSLNSITPCAACKLLRRRCAEECPFSPYFSPHEPHKFAAVHKIFGASNVSKLLMVPESQRADAANSLVYEANVRLRDPIYGCMGAISALQQQIQSLQADLNAVRSEILRYKYREVAAAAANSTHHDINNIIIASTLASSCHIGITAAQLSTIQAPPTPPPPPQPSIVISSSSSSSSSSSSSSSVHLYGIPSSTSGYSTSTIPNNNVSSYFA >KVI03701 pep supercontig:CcrdV1:scaffold_41:208452:210794:-1 gene:Ccrd_017988 transcript:KVI03701 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MSSSAITFESSSNSPPAAAAEPPATRGTPKFKSTPPPSLPISSPAFSPFSNFSISGGLTTADFLDSPVLLSSSHILASPTTGSFPLQAFNWNTNNQNQEHKNFADFFFQSQSNHSTVILQEIATNSIETFQEIYHQPKIEDDTEFVTSEYPFQMQSFSPEISTVKTNSKPKQSFQSNYNHQSSQKKLDDGYNWRKYGQKQVKGSENPRSYYKCTYRNCSMRKKVETSADGDITEIVYKGNHDHPKPQSTKRLSSSSASSNSFVVHQFNEYPDQSNGSRQSDLVGTPENSSNSIGDDEFSRSRKMNNENEMISMEGSRTIREPRVVVQTLSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTLGCSVRKHVERASHDVRSVITTYEGKHTHDVPLARGASHRPLPPTNPGNSVATMTIRPSEWSHHQPNNSMINTVYESWLPSSQETPFTLEMLNNPGGHELSGFDNRIRTGYMNRQPNLDNVFSIAKDEPKDDNFLESLLY >KVI03721 pep supercontig:CcrdV1:scaffold_41:177385:179207:-1 gene:Ccrd_017990 transcript:KVI03721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDFTAFIFVLLFKVATAFSTPNITNLYPSILIFGDSTADPGNNNYIDTTFKANHHPYGVNFPGQVATGRFSNGKLVADFWASLLGIKDTVPPFLQPNLSDFDIRTGVNFASAGSGFDDVTTQVSQVIPMTKQLHYFREYKWRLKKLVGPKEARRIIGGALVSISAGTNDFTISYYDLRTRRDDFSIGDYQDYILKKLQNFVNELYELGCRTMMITGLPPMGCLPIQMAGRFSRTCIKYQNADARNYNRKLISLLPQIQVSLPGSKIMYADIYTPTAELLRHPQKHGFTETKVGCCGTGFLEAGPICTPLTPLCDDPSSHLFFDSIHPTEAAYRYVTKSLLNHLFPQHSLPNN >KVI03697 pep supercontig:CcrdV1:scaffold_41:330854:331344:-1 gene:Ccrd_017980 transcript:KVI03697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLSSSSDLPTKSVKTREDRRFSTSSRRLSNEMMAMHHSSVEVYYGGAPVAVPFKWESQPGTPRVGFHETPLPPLTPPPSFLFNSPKTPNKKTSKSKGGILLAVLPRFSRKTHNSPASPASSESSSLFSTWSYSDSASSPYTPNNHRQSHKKSFEDQDRHKS >KVI03731 pep supercontig:CcrdV1:scaffold_41:425100:431550:-1 gene:Ccrd_017970 transcript:KVI03731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase domain-containing protein MGDLAMDEDRPFTPSFALCNPDPNSIRTETWVLAEDPVRDVLNCIHPTLDSDEKRKDVIEHVQMLIRCNLRLEVFPYGSVPLKTYLPDGDIDLTVLSTPNVDELLPREVLRVLQEEEQNGNSEYEVKDTQFIDAEVILVDRLVGKDHLFKRSIILIKAWCYYESRVLGAHHGLISTYALETLVLYIFHVFHASLNGPLQVLYRFLDYYSKFDWDNYIITEIPENQGTDVLLSGEFRRNCMDMFIVPSKGLETDLRAFAQKHLNIIDPLKENNNLGRSEIIFGYGVHLD >KVI03736 pep supercontig:CcrdV1:scaffold_41:343765:350461:1 gene:Ccrd_017979 transcript:KVI03736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MDIKGNILMERYELGRLLGQGTFAKVYFARHLRTGQSVAIKVIDKDKVSRVGLINQIKREISIMRLVRHPNILLLYEVMATKTKIYFALEYAKGGELFDKVAKGKLKEHTARKYFQQLINAIDFCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGMLHTTCGTPAYVAPEVINRGGYDGDKADVWSCGVILYVLLAGYLPFHDSNLVEMYRKIGKSEFRIPNWFPPEVRRLLLKILDPNPISRISIAKIKENPWFQKGKIAREPRNETENKVLVPPPSSDGASGSSITDPEENQEVMNPPNMNAFDIISLSPGFDLTALFVEPRQKKEARFTIWQPASIIISKLEDVAKLLRMKVSKREAGLLKIEALDEGRKGILSIDAEIFELTPSFHLVEVKKSNGDTLEYQKVVDEGLRPGLEDIMWDWQSDEQAPPQQEQQVQPQQDQFTGDGVKRSITSTSPLEAKILVPGPSTSVATLILGLVSMLPRHIKFVSCEEFGCRIS >KVI03738 pep supercontig:CcrdV1:scaffold_41:31229:33661:-1 gene:Ccrd_018004 transcript:KVI03738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon inducible lysosomal thiol reductase GILT MEDHHNRRSDLLLLFLIFLFTATAAAASPVTVVGEEKVKLDVYYEALCPSCENFIVNYLYKIFDNGVISIVDLKLSPYGNAKISSNGTIVCQVTSL >KVI03708 pep supercontig:CcrdV1:scaffold_41:479876:492652:1 gene:Ccrd_017966 transcript:KVI03708 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MSYVPPHLRKSIAATATTTTVSTTATSTTLDNNTSNHKLNSKSINNAFSNAAPRRSSANNHIQPLRGLAVPNVVFPQWKPSERVLRLKPEQIEEVRLRLNVDVTVTPDSPPAPAPIESFTDMCLASSIMKDIAIHEYTTPTSIQAQAMPVALSGRDLLGCAETGSGKTAAFTIPMIQHCIAQPSVRRGDGPLALVLAPTRELAQQIEKEVKAFSQSLESFRTAIVVGGTNIADQRSELRAGVDIVVATPGRFIDHLQQGNTSLTRISYVVLDEADRMLDMGFEPQIREVMHNLPEKHQTLLFSATMPVEIEALAQEYLTNPVQVKVGKVSSPTENVSQTLEKISESEKIDRLLSLLVEEAALAEKLGHPFPLTIVFVERKVLQIPTRCDEVAEALVAQGLNAVALHGGRTQSEREGALRDFRHGPTNILVCQGAVKHIFDTMEDYVHRIGRTGRAGSTGRATSFYTDRDMFLVSQIRKAIADVQSGNTVAFATGKVARRKEREAAAAQKEARIAQSKLPPTSINVEDKYKYMIATEMIKKEGAADDAWDD >KVI03741 pep supercontig:CcrdV1:scaffold_41:67896:73463:1 gene:Ccrd_018000 transcript:KVI03741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYGHEGDGSAPPPVGGGYGGAGGGYGGSGGGYGGSGGGGGGYGGSGGGGGYGASGGGGGSYGGNRGGSGGYGGGGRGGGGGGGYGSRGGTGGREGGGGGGYGGNSQNRGGGGYQGGDRGRGGGRGGGRGSGRDGDWPCPNPGCGNSNFSWRTECNKCGTPSPAGAGAGGDRGRNDGGSNNRGGGGRSDGGSYNRGGGGGGYGGDRGGRGSYDGGSGGGSRGGGGSYGGNQGREGGGYGQGAAAGYGGSDSSYPQPPTSYAANPAYGTDAVPPPASYNGGPTSYPPSYGGGAGYGGDSMPDNRGGGRGGPTGGYTSAPQNQGGYGGSPAEAPAKVKQCDETCDESCDNARIYISNLPPDVTVDELRELFGGIGQVGRIKQKRGYKDQWPYNIKIYTDDQGNNKGDAALVYEDPSAAHSAGGFYNNYDMRGHKISVGMAEKSAPRAPPAYGQGGGGGRGGGGGYGGGGGDRRRDNYRDGGPDRNYHGGNRSRPY >KVI03735 pep supercontig:CcrdV1:scaffold_41:348184:351231:-1 gene:Ccrd_017978 transcript:KVI03735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKGRRSEVTETLTNSNGGGGEDEDRNGRSQDSEDGEKSGFFACYLLTSLCPRFKGHTYIGFTVNPRRRIRQHNGELCSGASRTKKKRPWEMVFCIYGFPTNVAALQFEWAWQHPVESLNLTVNFFSTKYTKHYAECPSLPSHMRVHVRSMDELPCYTEGYTEGEDDMLRFENYSWDDDEEPDIAVGPEATITDATIPVLISEEATCVLDIKDHEQPSCSDNSPGCSFKNTQERSTNDLPRVFVLDIEDHEQSSYGNDSPGWLFRYTPKRSTNDLPPVFVLDIEDHEQPSCSNDSPGWLFRNTQERSTNDLPPVTMEDENTKMDGGTGSYSRVATDVDGPGTKILASSGEVEVIDLFTPSPVLRPKSKSRICPEIIDLTQSPIYV >KVI03730 pep supercontig:CcrdV1:scaffold_41:351730:352134:1 gene:Ccrd_017977 transcript:KVI03730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKEIRGVLYTLLSSFCRQIFLNSSFCGTSNLNPNLLTPTKILSPPNHHRILPPPMLGCHPPGVYGAFVNGLWTCVDMTSGHYLWRNLVSASKQSEQSSGS >KVI03737 pep supercontig:CcrdV1:scaffold_41:18706:24551:-1 gene:Ccrd_018005 transcript:KVI03737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon inducible lysosomal thiol reductase GILT MEVHHNRRSDLRPLVLIVFLTANLFTASTAASSSSVAVASEEKVKLTLYYEALCPYCENLIVNYLYKLFDNGLISIVDLKFSPYGNAKIRSNGTIVCQSDHFPFVYCVEKLTYEGKYAEWETCFEKLNLDPKPVIDCYSSGFGHELELQYADEINALQPPHTYVPWVVVDGQPLYDDYPDFISFICKAYKGSNVPQACLGLSHLIKDTANHPSDHVCYKEQETAKSTLSKIISATADFWIDRAGMAESI >KVI03718 pep supercontig:CcrdV1:scaffold_41:79232:80885:-1 gene:Ccrd_017999 transcript:KVI03718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRNYIPPSLLLPRQGLQPLTVSSAPARYVIGDRYYVQHREMQSLLIDNHRLMALHVSLQQELSAARKELRHLSSDVDKVKAERDAQLREVYERLVNLEAQVKSIDESKAELVEVQRDLQKLRSENEELDDKLNKLRRDVAKESLEWHKIPMLKAELEAMQEENQRGSQAMENYRMFMAGEIEKLKVEAVDAEKRARAAAAAEAVSIPGTGYGAGYGNRQMGYAAVTL >KVI03725 pep supercontig:CcrdV1:scaffold_41:113518:120295:-1 gene:Ccrd_017994 transcript:KVI03725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLSLNSLPMQPPSQHSRKLIDVPSSASLSYGSGAGTLKPIVISGNPPTFVSAPGRRIVAIGDLHGDLAKARCALELAGVLSSDGRDTWTGQETVLVQLGDILDRGEDEIAILSLLRSLQIQAKEHGGAVFQVNGNHETMNVEGDFRYVDSGAFDECSDFLEYLDDCGHNWEDAFSSWAEVSGSWKGERKMSESSWGPWNLVKRQKGVIARSVLLRPGGPLASELARHPVVLKVDDWVFCHGGLLPHHEVSKWMTNVDEADNESQIPFIATRGYDSVVWNRLYSRDTSDLEDYHIEQIQSILDSTLQVVGAKAMVVGHTPQTTGVNCKYNCSIWRVDVGMSSGVHDSRPEVLEIRGDKTRVIRSESDTFSELQAADFL >KVI03711 pep supercontig:CcrdV1:scaffold_41:542426:545906:-1 gene:Ccrd_017963 transcript:KVI03711 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNMEDGLNLTLGLPGRGDEESTISCFKNNNKRXQPETNDDCDESNQAKEGDMETSPAAKSQVVGWPPVRKYRRNNNISEAMLVGRRKRMESDGEIDKSGIEPEAILETESSEGFFFSDMGLKSAVTVQSVKFSEHVFRTNKFVEEESPPPSPPGDPPTTSFKRRIVRISVTDPYATDSSGDDDEKVVKRVKKHVSEIKFNPPSSSSFKQDQRKRRDVRSTGSVEKKFRGVRRRPWGRWAAEIRDPLQRKRVWLGTFDTPEEAATVYDEAAVKLKGPDAVTNFGKVSSPESPIVKAETPTVTITGSSSGGEGSANDVVFSPTSVLPSNSELTPTDYFSYGDVDAFGFDIDLPFDLPEFVVSANFRREEFGEFDIDDFLVDVR >KVI03716 pep supercontig:CcrdV1:scaffold_41:444126:448531:1 gene:Ccrd_017968 transcript:KVI03716 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MGAPTVVIIGQSGNKFILGSDETVLIAKQPKTLSTIAGKHNIFELTGSRYQLIKGAMSSFMKPSSLQTNIKQMDDLITSLFLKSTKETDVIPTVGFMKRLTFNIASAVLFGIQDDLLIEEFSHDFSLAFKAVWSIPVNFPGTAYWRGLRARSRIIARLMPIIRKKSADLREGRLHPASDILSSLIALKTQNEDVITYEMIVDNFVTLMIASHDTSAILMSLILSKDPEIHLKVYEEQVEIQRDQEGRDDRNLTWDDIQKMKYTWRVAQELMRIIPPVFGSFRKAVNDTSFGGFDIPKGWQVFWSAYSTHMDNDIFVNPTEFDPSRFETNLPTPIPPYAYIPFGGGQHSCLGNEFARVETLITIHKLVTMYEWSLIHPNEVITRQPMPYPSMGLPIKVKPISIAS >KVI03695 pep supercontig:CcrdV1:scaffold_41:219111:222863:-1 gene:Ccrd_017987 transcript:KVI03695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPHLYDNGMPVAFVNEMFVLIREGVEFEVSNIHGARGATVKAKGRIFLSNVRVVFVADKPTQSFTAFDMPLLYVHDEKFSQPVFHCNNISGLVEPVVPNDQNREMYPTHSFKILFKHGGCGTFVPLFFNLIKLVRQYNQQFETSPLLDPLRAAQTPVDEMMNCAYVDPSDPTKIYLQQPVSEAHRRDTYHH >KVI03714 pep supercontig:CcrdV1:scaffold_41:588577:593506:1 gene:Ccrd_017960 transcript:KVI03714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10e MQRWWRQHPTTETTGDEDGDGDGGGDRGSCGRRLVFCVTFAQRQSTRFSQWEEIKNKPYPKSRYCRGVPDSKIRIYDVGMKRKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVSIGQVLLSVRCKDGNSQNAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYLQWKSENRIMNDGVNAKLLGCHGPLANRQPGRAFLDAVA >KVI03710 pep supercontig:CcrdV1:scaffold_41:507016:515269:-1 gene:Ccrd_017964 transcript:KVI03710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 MMLAPFDPSKKKKKKKVVIHDAADDSVETEPLDEEKENAGEDIDYPIGEDEEGEGIVLQEQKLPWEGTDRDYKYEELLGRVFHILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKSMHRQPEHVMTFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEKCGSGRSVAQIKAGFVARVGRRKAGT >KVI03699 pep supercontig:CcrdV1:scaffold_41:303018:309902:1 gene:Ccrd_017982 transcript:KVI03699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASSSMIFSFALLACTLCLMTGTSSAQLSHDFYSKSCPKVFQTVGSVIRSAVSKEKRMGASLLRLHFHDCFVNGCDGSILLDDTSSFTGEKTARPNANSVRGFNVIDDVKRSVEKVCPGVVSCADILAISALESTLALGGPSWKVKVGRRDSKSASLSAANSGVIPPPNSTLNNLINRFQAVGLSAKDMVALSGSHTIGQARCTSFRARIYNDRNIDASFARSRQSNCPLPAGSGDNNLAPLDVKTPTYFDNNYYKNLINQQGLLRSDQVLHNGGSTDSLVEQYSRNAGSFNADFAAAMIKMGDIRPLTGSNGEIRKNCRKVNSTSSAQLTTGFYSKTCPKVFHTVGSVVRSAVSKEKRMGASLLRLHFHDCFVNGCDGSILLDDTSSFTGEKTSFANNNSVRGYNVIDDIKSKVEKVCPGVVSRDSKSASLSDANSGVIPPPSSTLSNLRNRFRAVGLSTKDLVALSGAHTIGQARCTTFRARVYNDTNIDASFAKLRRSNCPLPAATSDNNRVPFD >KVI03717 pep supercontig:CcrdV1:scaffold_41:87913:90009:1 gene:Ccrd_017998 transcript:KVI03717 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MADSISCHVVAIPYPGRGHINPMINLCKLIALARPSDFLITVVVTEEWLGFIASDPKPTSIRFATIPNVIPSEVNRGSDFAGFYKSTLTKLEDPVEQLLRRMEVPATVLIYDTYLMWVLNLGKRMNIPVASFFTMSATVFSMSYHYDLLLQNGHVGDNFSEKVEEEIDYLPGIPPIRVLDLLTCFNGKGKEVFPIALQAILMAAKARFLLFVSVYELEAKVLDALKSELLLPVYAIGPSIPYFDVEKDENTSVWKKDENTPDYLEWLNRQPEASVLYISQGSFLSVSNAQLEEIITGVHESGVRYMWIARGETSRFKHKNDEKGIVIPWCEQLRVLCHSSVGAFWSHCGWNSTKEGAYSGVPMLTFPLFWDQVPNSKMIVQDWKMGKRVSDYEGSLVTREEIAKLVKSFMDHESEEGKEMRKRAREVQKICRQATNEGGSAKKDIDSFISDMLNSRNN >KVI03742 pep supercontig:CcrdV1:scaffold_41:38723:40820:-1 gene:Ccrd_018003 transcript:KVI03742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon inducible lysosomal thiol reductase GILT MEARLFFIFIICLFSLNTCSSSSESVEIVPLKEVEKVQVTFYYESLCPYCENFIVNYLIDVFTEGIDAIADVKLFPYGNAKVNSNGNITCQHGEQECLLNTVEACAINTWPDVHDHFPFIFCVERYLYYDKFDKWESCFEELSLDPKPVKDCYTSGYGNQLELQYAAETNALQPPKKYVPWVVVDGKPLYDSIQGADFAESLPEVFGIICKHHWHWDP >KVI03726 pep supercontig:CcrdV1:scaffold_41:103821:110907:-1 gene:Ccrd_017995 transcript:KVI03726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISHQSLLRFNPPRFLRSFSSFNLLPTMASSSLLLPSVSLNHQVSFDALDSLSSKSSSSVCFGGFKHGFRRLNLEKSRVSMSVSVGSTTAVADALFADYKPTNAFLFPGQGAQAVGMGQEAQKVPAAAQLYNKANEILGFDILDVCINGPKEKLDSTVLIEILRARDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLEAADAAKGAMVSIIGLDSDKVQQLCDAANEEVEEAEKVQIANFLCTVRLAVGGAFHTRFMNPAVSKLESALASTQIRTPRIPVISNVDAQPHADPETIKKILACQVIAGIVKRMDKTSPIENISV >KVI03712 pep supercontig:CcrdV1:scaffold_41:557806:561585:-1 gene:Ccrd_017962 transcript:KVI03712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYSGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYEATARSWTQKYAMG >KVI03729 pep supercontig:CcrdV1:scaffold_41:354573:356498:-1 gene:Ccrd_017976 transcript:KVI03729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRIILIFLSATLAGFFVLRNFKSQSNFSDTDEDSTASKSSPDSSSSCVGWSSSKVYGAFVNGFWTCVDMASGRYLWRNLGGTRVDTNIRFDHPLLYFQRNIRSTYPGYNNQSLVFFL >KVI03698 pep supercontig:CcrdV1:scaffold_41:282068:284872:-1 gene:Ccrd_017983 transcript:KVI03698 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCN5-like 1 MSSPRRPQARARVKSSPEMNQSDLQSGSLEASLLRLFNDHQNADIRLREHTEKSKKEAIKSASRVSDLLVEAVNGDVEECFVNEKRIEVEIRALKATILRFGKQTNQWLASTHAINNAIKEIGDFENWMKTMELDCKSITAAISNIHQS >KVI03728 pep supercontig:CcrdV1:scaffold_41:360849:365575:-1 gene:Ccrd_017975 transcript:KVI03728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQETSSKYSNLKKRAKFALGKSVNSSRDKVKTLDFKKKGFGGNDRRGQSTRVKTDNIVSNVEDEFFKRSGRSDNMKSKNDRFRGDRSKRVVTDNGSSVDGNLHKKDFRGRGLKSNYRGRNVGEVQGNESNGFAGRDFDRKRKRIYANNDSNGESKFHNKAKVPVGKGLSRARWNAGKEDLVKGNETARRGSRASASLSQNRHSNGRETPDGGDRRKNTEHRENRSVLLRNNGEGKHETSKQLRLKQTGPAEDSEFGKKKFQNKKNLVDDSVAMFDRPRRKRRIMRIEYPNDTANKRFDDSIPAIDNTTEQKEDSDNEENTELSKNAQFRAIQPSPSIISFVEENILGRRREIEFRKAGYNIELSSPLDNIPFSTSSERERIEESTINFFNLGSKLCLVDLPGYGFAYAKEEVKESWEELVKEYVSTREGLKRVCLLIDTKWGMKPRDHELVDLMEKIDLTDTIHLIVQSLKTKKSAVQPLMMVSSKTGAGIRSLRTVLSNISRFARL >KVI03732 pep supercontig:CcrdV1:scaffold_41:422563:425070:-1 gene:Ccrd_017971 transcript:KVI03732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSNIGDEIKKFFMNTLQRHKPKYGPDTALIYGSKGFGTLSISSLSEAYYEDDMYSRFSNGDFDDYLNDVSTVGSIEANNVPTFQSSSLRNGSDKFDSSPKSGQLGRSHSGRYDQNAPPSDSVDEKTGLELWMERGGSQLVDGDTICVCLNKNENENASDSLSSDVKERDATDSIGETEILNPFSDLTGDYDSHIRNLLYSQGCHGYALSAAMVCTSPPTSPYGNNNLWDAAALSWSVPFSSSQVNANGVPMGRPTYLNGGTNRTPTNAALRGTGPIGENSFHLKNEEEFPPLSA >KVI03705 pep supercontig:CcrdV1:scaffold_41:695543:703062:1 gene:Ccrd_017954 transcript:KVI03705 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, ATP-dependent, RecQ type MEMESTLKEYYGFSTFRPYQKEIIEKILGGRDSLVVMATGSGKSLCYQVPPLIAKKTAIVISPLISLMQDQVMALKQRGIKAEHLSTAQTNLSAQRNAENGEYDILYMTPEKACFVPNSFWSRLLTTGICLVAVDEAHCISEWGHDFRVEYKQLYKLRDVLLDVPFVGLTATATEKVRNDIVDSLRMKDPHVAIGSFDRKNLYYGVKSINRGSSFVDELVAQISKHVANAGSTIVYCTTIKDVQEITKSLCEVGIKAGMYHGQMSNKAREESHRSFIRDELQVMVATIAFGMGIDKPDIRHVIHYGCPKSLESYYQESGRCGRDGITSDCWLYFSRSDFGKAEFYCGEASSVNQKKAIMDSFMAAQRYCMKATCRRKLLLEYFGETFASNKCGNCDNCTDSKEESDISREAFLLMGCIQSCGGYWGLNLPIDVLRGSRHRNAQSVEVLMIVLVDRRPRRLLKTSLTISHTMDLEKIFQQIGGRCWVFN >KVI03743 pep supercontig:CcrdV1:scaffold_41:49287:55041:-1 gene:Ccrd_018002 transcript:KVI03743 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAASTGELVCVTGGSGYIGSWLVRLLLDRGYTVNATVKDLSYASHHPTPCTKQVYANVDDEKETKHLEALEGAESRLRLFQIDLLDYDSIVAAVTGASGVFHLASPCIVDQVHDPEKELLAPALKGTNNVLTAAKNLGIKRVVVTSSVSSIVPSPNWPADVPKNEDCWTDVEYCKQKELWYPLSKTLAEKAAWDFAKEKGLDVVVVNPGTVMGCTEIYEDFFMGSVHVKDVALAHILVYENTSATGRHLCVEAISHYGDLAAKVAELYPEYNIPRLAKDTQPGLLRSKAASKKLMELGLEFIPVDQIIRDSVESLKSKGFIS >KVI03713 pep supercontig:CcrdV1:scaffold_41:570914:576974:-1 gene:Ccrd_017961 transcript:KVI03713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGMEVCCEKAVKKTMEMEVRCEENDGDGEQDSPFSEQNAYINLKITDVPHYQVITCEYFPMKLCSHQVLQSIIVILSLVSPVFADLNSDKLALLAFADAIPHGSKLNWSNATSICSSWLGIVCASDGTGVSGVRLPAVGLTGPIPSNTLGKLEKLEVLSLRLNHLNGKLPSDLLSLPSLHHLFLQQNNFTSNIPASFPPNMSVLDLSFNSFSGNIPETIQNLTQLTRLNLQNNSLSGSIPNITFSRLKYLNISYNDLNGRIPSSFQSFPNSSFMGNSFLCGLPLNPCSPILPPPPPPPNPTPRPSSPAPSVGPQQKNSKKLPLWAIIAIAVGGVALILLVIIIFFCCLKKKRRNTSSVRKGKSSSIGGRGEEPREEFGGEVQEPENNKMVFFEGCSYNFDLEDLLRASAEVLAKGSFGTAYKATLEESVTVVVKRLKDVVVGKKEFEQQMEMIGRVEPHPNVVPLRAYYYSKDEKLLVYDYISRGSLLTLLHGNRGADRALVDWETRVKIALGAARGIAHIHAFGGPKFIHGNIKSSNVLINQDGEGCISDIGLAPLVNFPPTTSRYTVGYRAPEVLDNRKHTHKSDVYSFGVLLLEMLTGKKPLQSPGREDMVDLPKWVQSVVREEWTAEVFDIELMKFQNIEEEMVQMLQIGMACVVLAADMRPTIDEVVKMIEEIRLSDSENRRSSDEIKPKGANGRTP >KVI03719 pep supercontig:CcrdV1:scaffold_41:155194:158435:-1 gene:Ccrd_017992 transcript:KVI03719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MSSQNLPISDPPSSTTGNAAWSSTISATPALRAFLARFTESLRRAFARRRPWYELVDRTAFSKPDSLTDATSRIRKNFNYFRVNYLTLLSAVLAFSLLSHPFSLLTIVSLLAAWLFLYLFRAADQPVVIGGRTFSDREILGILVLFTVFVVFLTSVGSLLMSATLIGVGIICVHGAFRDPEDLFLDDQETSGAGLFSSFSGAATSSAVADVMAPSFPPSSSGQSPGSPPSASGQSPSYSGKSPGSPPPSSLLPLLRCFSLLRSIICICICIIWWVFETFLPSSGGFSNPPSTSVASGPRISIWILGFKNFDRGLHQHYQNPRSRLSI >KVI03700 pep supercontig:CcrdV1:scaffold_41:196004:196489:1 gene:Ccrd_017989 transcript:KVI03700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNTLRCCLSCLLPCGALDLIRIVHLNGYVEEITHPITAGEVLTNYPNHVLSKPSSQGDVVRRILVLSTHSELKRGGIYFLIPASSIPENKRKPRRKNSDKPVKIKAVMVDANVQMSVSGDGDLKVSGGVVAEKNVGRQRVRRSVDGGDWRPHLESIFEEQ >KVI03694 pep supercontig:CcrdV1:scaffold_41:264075:266220:1 gene:Ccrd_017984 transcript:KVI03694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MALQWLSLVGIIWLQSISATNSNFPAYSSQLKLLLSLSQLQLNNLAFASDAGKLFGFFSGMAAVYLPLWLVLLIGSALGFIGYGVQYLFLLTNNISALPYSLVFLLTVLAGNSICWINTVCYVIAIENFPLDRQLAVGLSTSYQGLSAKIYSDVVDVVFKSPSSSSSRADQRARSYLLLNSMVPLVVCLIASPLVRVINTARSKRLSGGFLVIFAITIFTGTYAVITSLGSRNKRLSPPLMILIGMCVFLMAPLVVPLMEKIRENMEHKCLIRSYGKKICDESSMDDQEDGEKNGGVETEQVVKDEGVLVNDDEEMGVKVMVKRLNFWLYFFVYMFGATVGMVYLNNLGQIAESRGGSNTSSLVSLASSFGFFGRLFPCLLDYHLFRAKYKVSRPALIALMMVPMTLAFFLLLNSSNICLHISTATIGICTGAITSISVSTTAELFGTKNFGVNHNIVVTNIPIGSFLFGDMAALLYREQGLANGDGKCMGIKCYQT >KVI03733 pep supercontig:CcrdV1:scaffold_41:402640:409190:-1 gene:Ccrd_017972 transcript:KVI03733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MTKSCRAMFNPKSRSSSSAADDEDGRNRYVETDPTGRYGRFKEILGKGSMKIVYKAIDEVLGMEVAWGQVKLNDLLRSPEDLQRLYSEVHLLGTLNHDSIIKFYTSWIDVDRRTFNFITEMFTSGTLKEYRKKYNKVDIRAIKIWARQILSGLIYLHGHDPPVIHRDLKCDNIFVNGHLGKVKIGTPEFMAPELYEENYNELVDVYSFGMCILEMLTHEYPYSECTNPAQIYKKVTSGKLPRAFYKVKDLEAQRFIGKCLVNASNRLSAKDLMLDPFLATDEDEQAPFSKYVHQKPFINDDIEIEKLHLVNDPPRTDMSIAGKLNPDDDAIILRVQIADREGSVRNVYFPFDILHDTPDEVASEMVKELEITDWEPSDIADHIFDDTSSQESVVSGTYSNLSYCSAEDRHEYRSLSPINRTHNSTRFSHGDKASQNHEKPSNVTVDLHRASTSKGAAPRLTRNQSLVDMRSQLLHRSLVEEVSKRRLSKTVGTVADVGFQLPVDGSRSRLGSGQRLRHKGRRV >KVI03727 pep supercontig:CcrdV1:scaffold_41:370230:371519:-1 gene:Ccrd_017974 transcript:KVI03727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MPEVDYITPGMAPSPANSLFGKYEVGRLLGCGAFAKVYYARDINTGHSVAIKVINKHKIAHNANLVSNVKREIDIMRRLRHPNIVKLYEVMATKTKIYFVMEFVKGGELFAKVAKGRLSEAHSRKYFQQLISAIGYCHSRGVYHRDLKPENLLIDENGDLKVSDFGLSAVTGQIRADGLLHTLCGTPSYVAPEILTKRGYDGAKADIWSCGIILFVLNAAYLPFNDSNLMMMYKKIYKGEYRCPKWMSPDLRRFLSRLLDTKPETRITIDEIKSDPWFKKGYKEVKVDDQLEMVVKPEDDDKSLSLNAFDIISFSSGLNLSPLFDGTLNSPGQAERLVMSESPEKVIEKVEEIVKEANVRLKKRKEWGVDLVAQNGKHVIGLEVFRLTESLVVVQVESTGGGTDFYDELWKNKIRSELLERRDVQVVEN >KVI03734 pep supercontig:CcrdV1:scaffold_41:388718:389225:-1 gene:Ccrd_017973 transcript:KVI03734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGGRRILATDPITPIIGLFERDPVPYMSGSCSLIRSAPVNHALSTLVGGACKFKTTGEQRTSSYSRTTTTSSRRRKLSGPGSSPPRCAWKCGRCTPCKPVHIPVPPGTPVTAEYYPEAWRCKCGNKLYMP >KVI03703 pep supercontig:CcrdV1:scaffold_41:594905:597768:-1 gene:Ccrd_017959 transcript:KVI03703 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b-c1 complex subunit 8 MGKIPVKIKSVVYALSPFQQKVMPGLWKDFTSKVTHKFTDNWLGAVLLVGPVAGVYTYVQHYQEREKLEHRY >KVI03740 pep supercontig:CcrdV1:scaffold_41:59627:62614:1 gene:Ccrd_018001 transcript:KVI03740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein VVELLKINKITKVKLFDSNPQVLESLSGSNIGVTVGIPNSMLHTLNSSVKAAESWVHDNLTRYLPDRTRIEYIAVGDEPFLQTYGSQFYPFVVGAAINIEAALIRAKLANKVKVVIPCSFDAFQSESGVPSKGHFRSDINKTMISVLKFLSKTQSPFFVSISPFLSYYQNKNISLDFALYKPTAHSHNDSHRSYKNSFELSYDTLITALTVAGFSQIDIVISQIGWPTDGAPNATVSNAEVFTKGLLDHFRSKKGTPLRPRTMPIEIYLHTLLDEDQRNITAGNFERHWGLFTFDGQAKYQVDFDQGTKRLVNAQNVEYLASRWCVVNNNLNISNATAHATEACINADCTALSPGSSCFNLSWPGNVSYAFNSYYQQHDQRADSCDFGGLGMITTVDPSVGNCRFNVELKVSFSDSFHGSNFHHYLVSFVTTILVLQRL >KVI03704 pep supercontig:CcrdV1:scaffold_41:672923:683458:-1 gene:Ccrd_017956 transcript:KVI03704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cohesin loading factor MDGVAEGLWELADLHEKNGEIAKSVKCLEAICQSTVSFLPVIEVKTRLRIATMLLKHSHNVNHAKSHLERSQLLLKSIPSCFELKCRAYSLLSQCYHVVGAVSSQKQLLNKGLELTATFGEGFSGKLWSCNFNSQLANALIIEGDYQGSISALEHGYVCASEMYYPELQMFFATSVLHVHLMQWEDGSLVEGAVNRCNGVWESIDHDKRQQCLGLFFYNELLHIFYLLRICDYKNAGQHVDKLDAAMKTDSQKMQHVRELSKELNIVNEGLSQPDLPHRDRATLSSRRIILEEEINNFIGPSSIGGQEALEPAYFGNVKREFGEKLELAPPPIDGEWLPKSAVYSLVDLMVVVFGRPKGLFKECGKRIQSGLNTIQAELVKYGIADGATEVDLQHSAIWMAGVYLMILMQFLENKVAMELTRSEFVEAQEALLEMRDWFNRFPTILQACESLIEMLRGQYAHCVACYSEAAFHFVEAAKLTESKSAQAICEVYAAVSYICIGDPDSYAKALELIGPVYRTIDSFVGVREKTTALFAYGFLLMRQENLQEARVRLASGLQTTHTTLGNLQLVSQYLTVLGNLALALHDTVQAREILRSSLTLAKKLYDIPTQIWVLSNLTALYEQVGEKGSEMENREYEKKKVDDLQKRLANAYASTHHLELIEKSKFQVRRLNEVDIKRAIAGPSTRVDLDIPESIGLSSSTPQPSSRLMDVDIGRRVTRKG >KVI03722 pep supercontig:CcrdV1:scaffold_41:167729:169591:1 gene:Ccrd_017991 transcript:KVI03722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MAENGEEKLIAVARHIAKTLGHTDNAMTDDILQIFSNFDGRLREKLNENISGNDGGAALDQTLKTLDRRISRFITVDQPIWSDSADASSFLDAVDELVSVIREWNAMADNKAVTSCLDRAEDLLQQCMFRLEEEFKLLIERGGAESSDNVAGGGVGGYSDSDDEEDDGFDDVDIPVAHLVSDYNITIDALPSGTINDLHEISKRMVAAGYGKECSLAYSTCRRDFLEESLSRLGFLGLQNSSKALEDDDNDVEIEKWIKVINMAVRVFYPSERRLCDRVFGYSSATAAAADLSFMDICMVSTMELLNFANGIAMGSRAPERLFKILDVYEAVKDLSPEFEVLFSDQYCLFLRNEAIGVWKRLAESIRGIFMELENLIRRDPAKSAVPGGGLHPITRYVMNYLRAACSRPTLEQVFDDNLDPSLSSSSSPLSLQISWIMEVLETNLESKSKIYRDPALSSVFMMNNGRYIVKKAKNDELGSLLGDDWIRKQAAKVRQHHLNYQRTSWHKILNTLKLDNSNLSSNLASRSLKEKLKQLNSQFEEIFRTQSTWVIVDEQLRDELKGSVAGNVVPAYRNFLGRFHNLQDIGKYADKHVKFAIEDVEARIDGLFQGTAVAGGGRK >KVI03707 pep supercontig:CcrdV1:scaffold_41:457932:467185:1 gene:Ccrd_017967 transcript:KVI03707 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MSSPVKICMNGLCGATTTPQWKRGWPMKAGGFATLCYPCGYDFPYSHFTRNFDSEIYIISNRSTYDNLNYCERFHLNEPGWRECKFCDKPVHCGCVVSKYLHECLDLGGISCIKCARSKAIQPLKQSVANEIPNGFARFSGNWHPSVFSNQMNNGTSFDKGKLAQLNEGLEKHQKASSTSSIGRQFKQEEPSSGEFGIGTSSNKDAGSSFRNHPLPLSSIFQNQLPLVTVPDTNKPKLGFQQSFGLNLGTPSSSIVPTSNGIAMQEKVPTFKQEQRPHQMLSKPSKSGVSVRSQTIKGMGSDNRVARAPAEGRGRSQLLPRYWPKITDQELLNANCTITPLFEKVLSASDAGRIGRLVLPKACAEVCLLSFSSSSPYFYLQILDKIKLLMQAYFPPINQSEGLPIKIRDIKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVIFSRLDPEDKLVIGCRKAIIPAGASALPNAVNGANTEREGMTNWDPVTRPAIQEKKKARTIGLKSKRLHMHSEDAMELKFTWEEAQDFLRPPPAKPTVVVIENFELEEYDNNGFSVIVAPNGGSYLRMCSCSAPEEKEVGDLDRILRLSKESKKRKITENWETEDQQLEPSGLDALATAAVLGTNGGELGESLVGPTTRHPRHRPGCTCIVCIQPPSGKGKHKPTCICNVCSTVRRRFKTLMLRKRKRLSEPDGETGQKSLVPLIKGSESVDGLGTGVGIDAMSEGSNKGQELDLNCDPKEEEMLLAEAANASSIPSQWHNMLEGPVPNAYGMIADGGFMVVDDRFYAHLDRVVRKAWSDLAKLGLT >KVI03720 pep supercontig:CcrdV1:scaffold_41:147810:149749:-1 gene:Ccrd_017993 transcript:KVI03720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MQNPWADGPEYVTRCPIMSGGSYTYKFFVQDQEGTLWWHARSRWLRATVYGALMIRPKLGSSYPFAKSKLELPIVLDRDIIRVQQQALFSGTAPNISNALTINGQPRDVYLCSQQVINAALNQQLLFSIANHRLTMVVTDAVYTKPFATNVIMVGPSQTTDVLLTTDQRPGSYYMAARAYASARNAPFDNTTTTAILVYKSTTSRPILPSLPTYNDTNTVTNQIKSPGKVDVPIILDENLFFVVGFGFFNCSPGPRCQGPNNTRFAASMNNVSFVLPSQASLLQAYTNKIPNIYTPDFPAAPPVKFNYTGNGRRGLWQPVKGTKLYKLKFGSSVQIVLQDTSIFSTEDHPVHLHGYHFYVVGQGFGNFNPSQDTPRFNLVDPPQRNTIDIPVGGWAAIRFIADNPGKISVSENNYKPIGL >KVI03744 pep supercontig:CcrdV1:scaffold_41:657671:668986:-1 gene:Ccrd_017957 transcript:KVI03744 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLSKIGSRCSRARYLLLRTASGKKMMTISSYSRTGGSISSSFLLSDASHSSGGSIFTRQFNVRRRDPWDVPRKSTLFLKQLTAFVSDFSPTPLQNSGSMPNGYASLATSNSDDSKGTNQDKKGEGKDLIMKGEQINNAKILSTLAKYLWMKDNMEFRLRVLTAMGFLVGAKVSKGILLTHVGYIFAQEVLNVQVPFLFKLAVDWLTTATGNPSSLSEFAAANSTALAVFVSPAAVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFAHLHQLDLQYHLSRETGALNRIIDRGSRAINFILSAMVFNVVPTILEISLVAGILAYNFGAPFAWITSLSVAAYVAFTLAVTQWRTKFRKDMNKADNDASTRAIDSLINYETVKYFNNEQFEAEEYDKYLKRYEDAALKTQCSLAFLNFGQTLVFSTALSAAMVLSSNDIINGVATVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKSMFQLLEERAEIRDEDDAKPLKLDGGSIEFENVHFSYLTERKILDGISFVVPAGKSVAIVGTSGSGKSTILRMIFRFFDAYSGTVLFNNTIFHNIQYGCLSSTPEEVYDAARKASIHETIMNFPEQYSTLVGERGLKLSGGEKQRVSLARAFLKAPPILLCDEATSALDSTTEAEILSALRSLANNRTAIFIAHRLTTAMQVVEQGPHEVLLSNAGRYAQLWSQQNKTID >KVI03702 pep supercontig:CcrdV1:scaffold_41:600188:609644:-1 gene:Ccrd_017958 transcript:KVI03702 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MMIKAGVCGLFPFHLKAMSDFWNEVMDTSPISSPRIGLMPSSLMAPSSASKPPYSGKQLSYVEPIWPEFEILTCSIPFFSMHLDQPRCRKILRVSEMIANQGLNDFDRLQLGIPNPSNPLNMMPDVAAISVGRWDGDSGQSPLQYEGFGGQQGLSIDHQNAAPASPSSLLTKRLLRLDVPVDIFPNFNFIGRLLGPRGNSLKRVEALTGCRVFIRGRGSIRDPNKAESPASIVDAQLRQAQEVIEELLKPVLRELAILNALFREDSSQPSSSSGSRRSNTMKRATTP >KVI03709 pep supercontig:CcrdV1:scaffold_41:496318:501901:-1 gene:Ccrd_017965 transcript:KVI03709 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MASSLANKSHKGYRRTESRNKHSWWWDSHISPKNSKWLAENLEEMDQSVKRMLKLIEEEGDSFAKKAEMYYQKRPELVSHVEEFYRMYRSLAERYDNVTGELRKNIPSDLQSQGSGISDVGSEPPSTIPSSNQRVRSGSRAAGFDVFLKSGGSSSDLGTKEGDETSTLDSESESDDSSVNNYSSASANSDRHGLRKRITELETELRKFQMQQEENLGGSHKNTIKNESTEEELRIANEKIRVSEDVIGKLRIELQKYESMSPRPPMINDGLEAEFSEQEHTIQALKVELKATREKLNNSEEEVKRLRHEIENNGSSNNHLQEKLGSAQKDISGWKSKLDKEKREVSKLQDRITRYKANLAERDREIRALRETLSNANKSLSEENLQLQEQVTKMLKERALLDDTIKEWDLRCQCSEEELRRMKIAKMEMELDIELLNSEKDDLVVKVSEMDRELSSKDAEMDRLSRHLHQLHLEHVQLVDGIEKSKKSIEELTCRSEELERETERQREVIKEGAEEKREVIRQLCFSLEHYRDGYQMLRQAFVGHNKRHPVMAS >KVI03723 pep supercontig:CcrdV1:scaffold_41:98523:102215:-1 gene:Ccrd_017996 transcript:KVI03723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLVSCCRHHHQFLSSFEIANQIPRNRMMSSIRCSSPPFNPVVRPELCIRVGTQLIPHPKKAAKGGEDAFFVSSYNGGVIAVADGVSGWAEKDVDPAQFSRELMRKASSLVEDEEVNYDPRILVRKAHAATSSTGSATVIISMLDNDGILKVANVGDCGLRVIRKGHTRFQHALSSEAVSQTYLDATVTSIDTMKGDIIVMGSDGLFDNVFDHEILSTIAKHNDVMETALVSMLAKVLADLAYKHSVDFYFDSPYSIEARTRVSVSSRYYQVHVESVGRGNK >KVI03739 pep supercontig:CcrdV1:scaffold_41:6000:6629:1 gene:Ccrd_018006 transcript:KVI03739 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF640 MDQTPPPPLDSSPAGRSSTSPSPATATQPLSRYESQKRRDWNTFLQYLKNHKPPLALARCSGAHVIEFLKYLDQFGKTKVHVTGCPYFGYPNPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGQPESNPFGARAVRIYLREVKDSQAKARGIPYEKKKRKRPGGSTSNAAATAANMSVVDDGDGGGGGGGGTSGISSNSDPAPVTSTL >KVI03706 pep supercontig:CcrdV1:scaffold_41:685256:688125:-1 gene:Ccrd_017955 transcript:KVI03706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf54 MSLMKSLGASAVVNLPTAAVTATQLHHHTHSFPFLSLPQHLPMLNSTTTSISWRTTTSVRKAVAAVDSSDTVEKEKESTKTYHFVVANAKFMLDEEEHFQEQLFERVRLFQERNMEQDFWLVIEPKFLDKFPNITKRLKRPAVALVSTNGTWIKFMKLRLDRVLLESFEAESVEEALASNPVDIKFEKPDKWVAPYPKYESGWWESFLLPTTQNQET >KVI03693 pep supercontig:CcrdV1:scaffold_41:242926:251342:-1 gene:Ccrd_017985 transcript:KVI03693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFPRGLIDEIPVERPWADFEKKSVVTMTILSNGSDNELNTNTIPVHNFIRFRTKVDFIPKTDEGTDDEDMIYLYNTCLQKLMKSFISPHLL >KVI03715 pep supercontig:CcrdV1:scaffold_41:437719:440670:-1 gene:Ccrd_017969 transcript:KVI03715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S26e MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFDQYTLPKLYVKMQYCVSCAIHSKVVRDDLPKPGQAPRAGGPGGPPPART >KVH98693 pep supercontig:CcrdV1:scaffold_410:92538:92993:1 gene:Ccrd_023080 transcript:KVH98693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein UCP031279 MIRVITTPYKALCKAKDLYIRSITSCANRTTYGGSAITFGTQLPRSSSSSSSSCDEDLRELIRANSTTRMGDLSINSRADLDLYIKQHIMMASRRVPRSASVGMGRIDEEAPVSNFGDEDKPEMGSRKAKSDHLTFSRSKSTTAKIIHRYS >KVH98691 pep supercontig:CcrdV1:scaffold_410:187540:188855:1 gene:Ccrd_023083 transcript:KVH98691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MAFAGTTQKCMACDKTVYLVDKLTADTRIYHKACFRCHHCNGTLKLSNYNSFEGVLYCRPHFDQLFKNTGSLDKSFEGTPKILKPHKTNDGTEKPMANKVSSMFVGTREKCLGCKNTVYPTEKVSVNGTAYHKSCFKCSHGGCVISPSNYIAHEGRLYCRHHHTQLIREKGNLSQLEGDHHKVSTSS >KVH98688 pep supercontig:CcrdV1:scaffold_410:208594:234583:-1 gene:Ccrd_023086 transcript:KVH98688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSLNMKTLTQALAKTAAVIEKTVQDVTGPKALQDYELLDQIGSAGPGLVWKLYSAKARGGSTLLSHQYPTVCVWVLDKRALSEARVRAGLSKAAEDSFFDIIRADASRLVRLRHPGVVHVIQALDENKNAMALVTEPLFASVANALGILDNISKVPKELQGMEMGLLEVKHGLLQVAESLDFLHNNARLIHRAISPEDYPKGLWVFFYQLGPSTSCSLTSRKYSAIVRAVKLTLNGLTLARSDPFSEINPEPDRSFLFPAVLINSSGAWKLGGFSFAISADQASADLSAVQPFHYAEYDVEDSLLPLQPSLNYTAPELVRNKASSFGCSSDIFSFGCLAYHLIAKRPLFDCHNNVKMYMNNLTYLSSEAFSSVPHELVADLKRMLSANEALRPSALDFTGSPFFRDDTRLRALRFLDHMLERDNMQKSEFLKALLDMWKDFDARVLRYKVLPPLCAELRNMVMQPMILPMVLMIAESQDKIDFETSTLPALVPVLSTAAGETLLLLVKHAELIVNKASQDHLISHVLPMLVRAYDDNDARMQEEVLKRTVSLARKLDPQVKFP >KVH98690 pep supercontig:CcrdV1:scaffold_410:189265:190950:-1 gene:Ccrd_023084 transcript:KVH98690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLDASEATIPMSEFPEDVQLCILSFLTPSEISSFACTSKRFLSLCRSDSKLWFSMCDRRWGSKTQIKKWGSGKITFKHLYKTLHDYENLIGFWRLSSIQSQVRVISNQPPTLVFFEWGPSFITGSRVSPSNTGTYNVVKTPFLWMGISPKGEIVNFLEPTSHSNELVSVDVNHMGEFHIVIEQRGLSFGNANVINEEMLGLESGSPPDRLTSEMYRYFANKTSPGGERERAWRRQRRREREKHKKWEAEHFVKIINYSPTTLRPLQGLWKGICEEANLDFYLVVYDDIGGIACRRIGDSCKPFSSYAPVFWTSNNTFFESPFSSEEEHIYDTREHLLPAGHLLCSEKEDVARIMYINSSYDLVLPGLASPTTVNPHQVEGRIWQYGDGTFGFGFLRDNHIIDLKHIAVDGCLLDAIKPL >KVH98692 pep supercontig:CcrdV1:scaffold_410:103846:109809:1 gene:Ccrd_023081 transcript:KVH98692 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit QLCWINSFVNGQFIGIILDEFVVNNFQSILLSIEGKLDMQRDNLMSKKEGFLPNMEFEQDHVQILDALMPLYLNNQILRTLQESLASELAARMNAMSNTTDNAIDLKKTLLNAYNRQRQCKITSEILEIVAGVEAFLNDAVGCVAAPWNSIFEATAFLDQVRQVELEMDDEEESSLSP >KVH98689 pep supercontig:CcrdV1:scaffold_410:195232:200262:-1 gene:Ccrd_023085 transcript:KVH98689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVDRFQMDTSGPRDAERCSFCPNRRLHPKRDEADSFAIRLDDSFDLESTLLGLTLSHIESFNFGLVKQSILPRVHGLALKTTVAAVRVNALLCLGDMVVIVDKPAILEILQTVQRCTAVDRSAPTLMCTLGVANSILKQHGVDFVVEHVLPLVIPLLLAQQLNVQQFAKYMSFVKSVLRKVEEKKGVTMNDSGVDAKSFPIPDGSFTQPLKKSVPTASSSLKGNVTWDEDWVPARGSSMEAKPVTSTPPSQPKRDHSKDLEFPIPSMQQTSTSCPAVDFEWPPQSSSDISQNIKNSASFDDLDPFANWAMPGAASSNGLSVNRKASTGTENQSITNWANNPIEYPSNGVTSQNSIGSLRNNQGMGTKPTDLGSIFASSSKSPQAPRLAPPPQTAVGRGRGSRNQGPSGRQSRPKPSSEQPPLLDLL >KVI08068 pep supercontig:CcrdV1:scaffold_4101:19985:23584:-1 gene:Ccrd_013564 transcript:KVI08068 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLSDNNPCGSKLLLALPDDTFAVVTQSLMPKDVFNLSVCCRSLYALASSDKVWLTQCELLGVIPHRDLIEWRKGVNSYKSLCRFLFNVQPIIGIWVHQNPELGNVVYVMPGFISVVGCRIIPQELGPLGFEEGPILWSPVFEIIGDVDGSSAFLLHGRERENNYMYPGSIKGVDRNCNVLLLEVEPRQQINGGKLVHSKSFVGSPLEKEFSRKICPSDRGVSKSLRIHGQKSSVPFSRLAFGDRRKLIEIVTSQIRVKVPQLADGLSFPSSETEDLSKLGERRSQVLQMYKHDSDPDDWKADHGSSTDRDPTQSDLGEIREFLDKTTGSSRSQLHRDVYRMHCSKKKSLVGYFRDSFKHIIGKSSSINGSHEHSKSSSSSENNKHAQFQEFLRSGDTIGLTLHASTARLSSYRAWPNMHDSRFALYKLPNQEPTAGQEHAGLWGGTFGWPPGKPSADKPGKALFFLLLSYEESQGQRHLIGTKILEGTHYVLHPNGSAMFIVNTDEPSAEPFPWDCDGDSNVVHLKEVFSGEGIANGYGFRYPGSKPGSLFVFEDGMLVFMWKESRAVLTLQRVNLQHLLRKGERVPPLPPIANFSYLTKSYSNVYAGFSNGSNHASSPRGFFEEGAWEDRVEETSRVVATSNSVSGIRNVLHYDDDPLYPFLAGSIAPKTCVASQASFTWSDLRIALMVG >KVI08069 pep supercontig:CcrdV1:scaffold_4101:9578:19015:1 gene:Ccrd_013563 transcript:KVI08069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a/b binding protein domain-containing protein MKVKCWDFNGRYHEWSLHNYQLTLSPCIQFFPEKSKIHKIPYPNPQPNKRSLLLRLLPITTSQNILFCFLYTEMNHQSMNIRIQAPCPPSPSPSSAFSPRLHHKTPLILRRVICSSEVGSHVMLQTEGSSDVSNSSVTISKHKRTFGWSKKQSLLKQPLNKDSVPLRALLTSSTQDTSPSIVNDGKIGVLLLNLGGPETLDDVQPFLFNLFADPATELRKALYEKNVAAEVYVGMRYWHPFTEEAIKQIKKDGVNKLVVLPLYPQFSISTSGSSLRLLESIFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELERRKIDNPYTLAYQSRVGPVEWLKPYTDETIIELGEKGVKSLLATLEEIDVEYKELALKSGIEKWGRVPALGCEPTFILDLADAVIESLPYSLVPLGSVEELLATYDSQRRELPAPVTVWEWGWTKSAETWNGRAAMLAVLVLLFLEVSTGEGFLHQWGVLPLQLPMFP >KVI11253 pep supercontig:CcrdV1:scaffold_4103:29659:45491:-1 gene:Ccrd_010339 transcript:KVI11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSQFLNLAASLLPSGATAVGFGGYVGTSRLDTSVPTTQDAASPFWDIDGELAQHLKRLSRKDPTTKLKALTILSTLLKQKSAKEVSPIIPQWAFEYKKLLLDYNREVRRASHDTMANLVSAVGRDLAPHLKSLMGPWWFSQFDAVNEVSQAAKRSFQILRKRNKGKILCGKAAFPAQDKRLDALMLCTDEVFMYLEENLKLTPQSLSDKAVALDELQEMHQQVISSSLLALATLLDVLTSEKHGPKNSSSELKHALKARSTAISHAEKLLSVHKCFLDFLKSQSSVTRSAAYSLVTSCVKNIPHALNEANIKTLTPAILGAFQETDPTCHSSMWEAILLFSRRFPESWTTLNVHKTLFNRFWNFLRNGCFGSQQVSYPALVLFLDCVPPKAITMDKFFLEFFQNLWAGKVHSQSSNADQLVFFQSYRECFLWALQNAKRYCDGVESINHFRRTIVDEVLLKLLWHDYLMVPSFVSNDRTVTGQLKSISASEVSIQPSEENMKKLDSKYPIGHVREFGKCITEILSGIFSLEPNLLSAFCLTFVENCLDAFQQTDNVESCENIEKIIGFLLLVDLHAVRKGDSWPLSYLVGPMLSKSFQLIQTIDSSNAVKFMVVVVFTFGPRKVVQEIVREQNEPICQSEEKNTDLSLKHFLQYFKEIIVPWCLQIGSCSTLARLDLLLALLDDECFSEQWDSVILHATVTYDSNNALILARLMEKTREEIIKRTVAGNLNHVQGAIPKHWHHELLDSTALLIARSLPPFGSSNAQFICAALGGIMEEDKICLVSEETSILIFEEIFQKLQAFMGNSNFIWVRDANALLNAEEHVAVQGCGSSTSVLEMATFALEVLNGSLFRLKTLTKSSGLLPGVLAALFVIDWEHRTSAVFYDGLDNEAYAKVMDRFSFCKYVHAFRCKMDDNFFRTLSLDCRRTLGSTLVQAVRCALFNEDKLDVDQVTSLGCFYVLDVLDSLCQGEVEEQTLLDELLKNGDSWPLWIMPDINEKVETEVEREEVETEVEREEVETEVEREEEEDASVSHRMAAFVDKLISKLGIARIIAGSVLCKPSSTEEPAEEVMTSDSHYSRAWLAAEMLCTWKWQGGSALSSFLPSLIQYTRNQDSFPSDNLLDSVVEILLDGALVQGASSQMSLSIIYPPPYDELENVEQVFVRALVLVLDTLFKDSIWGRDKALGLFNLLVDRLFVGETINSNCLKILPLVMSVLIGPLSSQAGELANAKPESSEGNQIHDIIEGWLQRTLSFPPLNTWYSGEDMEDWFQLVLSCYPLRATKEMQQFRPQRCISHVEQGLLLELLRKQRLGSATSTTVNKLPLVQMLLSKLAVVVVGYCWIEFSQEDWEFILYKSRWYIESVVVLMEEVAESVNGSTSGIVENLQHTVSALDSSCLKLARNALIAFSMFCGFIGQQIVEKENDLNPLRPDKWDLIKDRILEGILRLFFSTGAAEAIAGSYSSMASSVIASSRFDDCYFWELVALSVIESSSHARERAIKSFEIWGLSKDAVSSLYAILFSSKPVPYLQYAAYVILSSEPVADSAFFTEDTSSSLDEDDKDPLDLSLGANKTQLREEISIFLEKSPFEILELDLVSPERVHVFLAWSLLISRVLSSPSSSPTREKLIQLVQHTSSSSILDCIFQHVPLELCSTSVKKKGCELPAGMLEVAAAATRAITDNSVVYAVESLWPLGPDSVACFAGAIYGLMLRTLPAYVRGWFNDIRDRATSSAIESFTRTWCSPPLITNELSQIKKANLSDENFSVSVSKSANEVVATYTKDETGMDLVIRLPASYSLRPVDVDCTRSLGISEVKQRKWLLSMMSFVRDQNGALAEAIRIWKSNFDKEFDGVEECPICYSVIHTANHSLPRLACRTCKHKFHSACLYKWFSTSHKSNCPLCQSPF >KVI11254 pep supercontig:CcrdV1:scaffold_4103:27972:29401:1 gene:Ccrd_010338 transcript:KVI11254 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MASCEGCYQPDSDGIPIDLFVSKKNPRHRIITSSGFSLRFTDSSANLVFSVDPPSIRGSPAPPTFIKRLLTDASGNLLISIAHKQHHKGCVQKAMWQGFREDSSEEKDVIFRVERTIKTLTRLEFQVFLPNNKSDLKMRGSPFYRSCTIYNGDSVLAQTSLMYKLGLQKKLLVPRNRFRLTIFPDGYAHRALIVALTAIFFHGRKLWI >KVH76412 pep supercontig:CcrdV1:scaffold_4104:23498:30292:1 gene:Ccrd_025537 transcript:KVH76412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGTCFSSEYDEHHSFQTKPGEIQPSPSKEALKIPPKQSSLESPNIKSPSSVVVITKNVKDLRQNPGYSNLDIFTYDEMRMATKLFRPDQVLGEGGFGVVYKGVIDENVRPGYAKTQVAVKELDPEGIQGDREWLAEVNYLGQLQHPNLVKLIGYCCEEDHRLLVYEYMASGSLEKHLFRRVCATLTWSRRMKIALDAAKGLAFLHDAERPIIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDIYGFGVVLLEMLIGRRAMDKSRPSREHNLVEWARPLLVHNKKLVRILDPRMEGQYSSKTAIKVANLAYQCLSQNPKGRPIMSQVVDTLESIQTCENGQEEALFQSGCGSVTLFEAPKKNENQVKEGDRQIKSPKETKM >KVH76411 pep supercontig:CcrdV1:scaffold_4104:30549:36429:-1 gene:Ccrd_025538 transcript:KVH76411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MHAPLKSKGMASRVNNQHVIFELKQRVVLALNKIADRDTYEIGVEELGKTIESLTPDGVSPFLSCILDTDSEQKIAVRKECIRLMGMLATFHQDLIGPYLGKMVASIVKRLKDPDSVVREACIETMGVLASKASTADNEPEGSFVLLVRPLFEALGEQNKHVQCGSALCLSRVIDHTHAPPLSILQRMLTRTIKMLKNPHFMAKPAMIELNRSFIQAGGASTQSMLTAAITGIQEALKNSDWRTRKAASEALAEIASSNGLYSGSLKSSCIRSLEMCRFDKVKPVRDTVLHALQLWRSLVGTEASELSEAGSSIKGLVVTGRLAGDFSDIPNTTESTLKTKIHHESVRKRVPPSVRKTGRSYVESPQHSKANDWHIEVSVPKTCNIYTHDEESEGSSVTKTFERTRSDITSTQDIGYEYVPMDDKQEFSSASNIDTGKFGTNLPPVTGDSHAKSELVKSKGVNQHQAEEEISTEEQRYFSKSQDRRSLDSTITESSSETMHGQRACCMQTAKEMAFIREKLLEIETKQSSLLDLLQVFATRTMDSLSMIQLKVLTLEDVVDQISEDMSHGGRRSESTAKFLKKSSTTASPRLSTCTPRSSVDIRSRQSPLQPMRKSDTWEDSTSSRSKAGSGVVDSWMDPMVKVSRNPAGKDMQKNSSGLGNHRGLNRNGGAGFAPTSFSSSRHNNSEVESKLIKGYLSKGDLDSAYVEALNSGDELVLVDLLDKTGPVLESLSNRTANDILTTLASFLTEQQFMTSTIPWLQQAVELSSGHGPNQFVLTAKARRQLLGAIQEAVNMEFPNAMERRSVAQLVSRLHQVWGKQSTHATYILQKLLLRWLLVVTWSAYEVEDLLIRS >KVI04393 pep supercontig:CcrdV1:scaffold_4107:3271:15699:1 gene:Ccrd_017291 transcript:KVI04393 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKMKAAFSSLDLDHLLVHVGLLVVTILALYFLNILWLKPQRVRWILQRQGIIGPKPSFLYGNVPEMQKIQSATIAMKASSSSSSHGDFVGDDYTCTLFPYFEQWRKQYGSTYTYSTGDKQHLYINDPELVKEMNQSMTLGLGKPSYITKRLSPLLGNGILRSNGQFWVHQRKIIAPEFFMDKVKGMVGLMLESVEPLLRKWDACIEPQGDPRAEIRVDDDLRAVSADVISRACFGSSYTKGKEIFSKLRTLQKTISSKGMLFGLPAFGQRKDVKSLEKEIDSLIWETVCERKCQETPSLKKDLLQMILEEAMDHFASKEASRHFIVDNCKNIYFAGHESTSVAASWCLMLLALHPQWQTNIRNEMSEACPNGLLDVDSLPKLKSVKMVIQETMRLFPPAAFVSREALERTKIGNLDVPKGVCIWSLIPTLHRDPDIWGLDAHEFRPERFVNGVTKACKAPQAYVPFGVGARSCLGRNFAMAQLKVVISLITSRFTFSLSPNYRHSPTYRMVVQPGHGVNILIQKLQ >KVI04394 pep supercontig:CcrdV1:scaffold_4107:20449:23340:1 gene:Ccrd_017293 transcript:KVI04394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVGSVHGGYLPSKLQTLPPVKIFNNQQRSIHQRRPPTPPIFISTNLSHVNPIHLRDLYSSCNHSCHRFPNIFPDGRVEPVDVAKLRIALIHSSVVVSVFARPETVTSLPENLNTGDDWYRKMIPLTPFSGQLVGFGRAVSDNGLTASIYDVMVQTLTMVISFFSIPKAKFTVFH >KVI04395 pep supercontig:CcrdV1:scaffold_4107:16243:22079:-1 gene:Ccrd_017292 transcript:KVI04395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLQGAMGGAGCESAVDEVCNHGFTTILAQEGIHQLLSGEQKVPLPSGEDKMICLLFSANWSRPCKAFIPQLVQAYNVLKDTSRELEVIFVSFDRDENGFKEHSKTMPWLVVPFDVKLQKILGNLYKVNQIPSFIPLGVDPKVLAKDAVGLIKDYGADAFPFTKKSHEELKAIDEAKRLYFGAHWCPPCRDFTTQLVEAYNDIIKNANQEFEVIFISTDRDHKEFDLSLTKMPWLAIPFDDKSRQDLCRIFEIKWIPSLILLGPDGRTISTNGRAMISLYGARGFPFTEPKILEIEASLTMEGDGLRQEVKDFEGSSATFEDSSATAEANQR >KVI04273 pep supercontig:CcrdV1:scaffold_4109:39003:41242:-1 gene:Ccrd_017416 transcript:KVI04273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGRILCNTSTITPYTRLPLHRPFSFTHPSSRTPFHLVSAAKKLSSRTGKFDSRNKRGSTSTTEDESSGEFNDGAIGTVDGTEVENFDGYVLPDLPGLEPDFWEGPQWDAFGFFVEYLWAFGIVFALVSSGIAVSTYNEGATDFKETPAYKESIQSRDLLEEPEASSPDVFESNPTEEAPTLE >KVH98565 pep supercontig:CcrdV1:scaffold_411:183706:192270:1 gene:Ccrd_023208 transcript:KVH98565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MGKSGNDATIGANCKKYGVPFYGASFVPRNAIKSSDTEPQGEDEVVGGGSHHVIFAGGGGEGRSGIPNALIISHFDPASNYLSDQPVNKLETGDDLPYRMAVHPGGEGVICSLPKSCRWFEWEATKKEDVSTLGLKQSEKVLYQLELIEQQLAVTFSRDGSLLAVGGEDGKLRAFKWPSMESLLDVPGAHASVKNLDFSPDGKFLVSVGSGGPGRVWDILTSTNKASLAKEKDEVFGFCRFSQNSMNDQVLYVTAMRDRGGSIVKWNTTTWKRMSSKYIVRDPISAFNISDDGKFLAIKDAQLDNSRNIIGSGTIQGDIYILNASNIKVHTVVKKAHLGLVTALAFSHDSRALASASLDSSARVTQIKEIKKNGFNIWIILLMILVAATLYYAKTEGYFV >KVH98573 pep supercontig:CcrdV1:scaffold_411:230978:232970:-1 gene:Ccrd_023211 transcript:KVH98573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MAATTFHQVIGTLQSHGYICNSTLHQEFGNTSMRSMTRGFRVEIRPLKRERYCSRNKSCGSVQASSSSYQISAQDPISTTSNGTFKDPSKNSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAVEAGKRICNIPIDMIYTSALIRAQMTAMLAMTQHRRKKVPIVMHDENEQAKAWSQIFSEETKKQCIPVVTAWQLNERMYGELQGLNKKETADKYGKEQVHKWRRSYDIPPPNGESLEMCAERAVAYFKEQVISLELSTGIPMLYIASEEKYIRRGSPAAPTEAGVYAYTKVNIFSSITSFIPNISHSTNNHILNENLAQYRQKLDEMWNDA >KVH98571 pep supercontig:CcrdV1:scaffold_411:258330:261095:-1 gene:Ccrd_023213 transcript:KVH98571 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MAHQDKRTLSSSDSQRQNAPYIHKVGIPPKQDLLKEFKTTMKETFFSDDPLRPFKDQPKSRQVMLGLQAIFPILEWGRNYNLKKFRGDLIAGLTIASLCIPQDIGYSKLANLPPQIGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEFDPVNNAAEYRRLAFTATFFAGITQATLGVLRLGFLIDFLSHAAVVGFMGGAAVTIGLQQLKGFLGIKHFTKNADIVSVMKSVFGSMHHGWNWETIVIGASFLALLLSAKYLAKRNKKLFWVSAVAPLISVILSTFFVYITHAEKEGVAIVKHIEQGINPPSVNEIYFSGENLLKGFRIGIVAGMIALTEAVAIGRTFASMKDYQVDGNKEMIALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSCVVFLTLKFLTPLFEYTPNAILSSIIISAVIGLIDYEAAILIWKIDKFDFVACMGAFLGVVFASVEIGLLIAVSISFAKILLQVTRPRTAILGRIPMTSVYRNIQQYPEATKVPGVLIVRVDSAIYFSNSNYIKERILRWLTVEEEHLRATYQPRVQFLVIEMSPVTDIDTSGIHAFEELNSSLVKRDVQ >KVH98569 pep supercontig:CcrdV1:scaffold_411:161977:163383:-1 gene:Ccrd_023206 transcript:KVH98569 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit MSQLNLSSWASSNQPFRQTDHIFIQHHSRLFQSNASSPSRLSRFPSINCNLRELRQRITTVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSEALIDVLYSINQQLQLEDVDIPLTAIRPVKKVALVVITGDRGLCGGFNNIILRKAQTRIAELKNLGLDYTVISVGKKGNSYFLRRPNVLVDKFIEGQGFPTAKDSQVIADDVFSLFVSEEVDKVELLYTKFVSLIKSNPVIHTLLPLSAKGDICDENGNCLYTKEDEFFRLTSKEGKLDVQRDKLMSKKEGFLPNMEFEQDPVQILDALMPLYLNNQILRALQESLASELAARMNAMSNATDNAIDLTKTLSNAYNRERQSKITGEILEIVAGAEAFL >KVH98567 pep supercontig:CcrdV1:scaffold_411:5201:11761:1 gene:Ccrd_023204 transcript:KVH98567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSMVSRFLITLVFSLLLIIPNTYLASADGEDLAYAAPPSPPCSSKRRGLAMGDPIPSPGIDPPIGEIETTSANLAYSAPPSPPCSSKRRGLATADPIPSPGENPSNGLIGTNSASP >KVH98566 pep supercontig:CcrdV1:scaffold_411:134242:135231:-1 gene:Ccrd_023205 transcript:KVH98566 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MTSATMEFWDTVGFQHSSSSTVAGGELMEALEPFIKSASPDYRSTLSSTSPSTSYSYPSSSFSPSFSPPSSSTQQPFGSYPDCYSNGFSIQDPFGYEQPGSSVGLNQLTPSQIYQIQAQINLPLPTNGTSLNYLSPKPVPMKKVGSPPKPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDVAEEAALAYDNAAYKLRGENARLNFPHLRHHWSQIGGECSDYKPLHSSVDAKLQAICQILAEGKSIDGCKKSRRSSAAKPKTVVEQAEVVKVEGSESEGISGSGDSSPSSELTFPELTVEESAWCDSENFSLEKCPSYEIDWGAI >KVH98568 pep supercontig:CcrdV1:scaffold_411:172196:180419:1 gene:Ccrd_023207 transcript:KVH98568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin type III-like domain-containing protein MRLNSSIQLLLCLTVSILLLTESTQPPYACDSSNAATKSFPFCKTTLPISARVRDLVSRLTLDEKISQLVNTAPAIPRLGIPAYEWWSEALHGLSSPPPLSMPDYGTESARDPRWGRGQETPGEDPLVSGKYAISYVRGVQGDNFEGGGIKDGHLQASACCKHFTAYDLDHWKGVDRFGYDAKVTKQDLVDTYQPPFHNCIQHAQASGIMCAYNRVNGVPNCADYNLLTNIARKQWDCDAVSIIYDVHKYAKTPEDAVAEVLKAGMDVDCGSYMQNHTKSAVQKKKVLESDIDRALSNLFTMRMRLGLFNGNPASLPYGSIGPNQVCSKAHQDLALEAARNGIVLLKNSAKLLPFKKTISSLAVIGPNANSAYTLVGNYAGPPCKSIEPLKALQNYVKNTQYIKGCNFVNCTSASIDEAVKVAKSVDYVVLFMGLDQGQERESFDRVDLVLPGQQQALITSVAKAAKRPVVLVLVCGGPVDISFAKHDPKIGGILWAGYPGESGGIALAEIIFGDHNPGGKLPITWYPKEFVKIPMTDMRMRPEPSSGYPGRTYRFYTGRKVYQFGYGLSYSKYTYDFVSVTQNKLSLSQISGTGSTLQNSESVHYTSVVDMETESCEKAKFSATVGVQNHGEMDGKHAVLLFVKHDKAGNGKPMKQLAAFESVKTGSGERVEVEFVVSPCEHFGTANEDGLMVIEEGSRYLVVGDQQYAITVLP >KVH98572 pep supercontig:CcrdV1:scaffold_411:226221:230233:1 gene:Ccrd_023210 transcript:KVH98572 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MVGENGFRKQTPVVESVYVTSYKAMNPQLRKTNSNVSVTLQDQPKLISEVRKAIEKHKSIEKIKQRLGDEYTRFCSDESISRYLRARNWNIKKAEKMLEASLVWRMNYKPEEIRWNSKSTRAQIKYLVYCMENAILNLPPEQEQMVWLIDFHGFNDANTKNVMESLFYMDKLESAFGGKNEAKFDLKIYADRMKEDDAKRLALYKGASSSGTTSGRASTVSLDFSIANTDSDSDGEKKTPTEINEEAILAEAQKIAAADGGGRGARIEA >KVH98570 pep supercontig:CcrdV1:scaffold_411:252660:254858:-1 gene:Ccrd_023212 transcript:KVH98570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen Ole e 1, conserved site-containing protein MAKLGLILALCVLLPALISASRPMSQPFLLQGRVYCDTCRAGFETSATTYIASAKVRVECKDREQKLLYSMEGTTDSTGTYSIRVNEDHGDETCDVVLVSSPLGNCKTADPGRDRARVVLTRYNGIVSDTRFANAMGFMKDEVMSGCTMLLQSLMEEED >KVH98564 pep supercontig:CcrdV1:scaffold_411:212294:221390:1 gene:Ccrd_023209 transcript:KVH98564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helicase MAGATAMA 3 MSSKGKPLFDLNEPAAEEDEESDGVFCFQPQRAVPSTTHTSDLFTTSNSPRRIINNNAFSHASSVSGFQPFVRSKGSQAPEVSEDQKREIDIGSVIEKEEGEWSDAEGSADANKSSSVPDKSTSAHDDKSQVKAMAALMDQRDSDVAAVVNTSSNVYDVKDENSNHASLGVDADSIDRKSSGSRNSEGDIAMDSQEDSTMAPKQRDIRGAEAIHALKLANNPGKRPKFDQQKEAMLGKKRSRQTMFLNLEDVKQVGTIKTSTPRRQNFPPPVTTRIVKESRPLSASTERSGDKQIQPPMMRDAKQVDQSCNESSSYLESGDPRSECNNGDINSGPVARPKRSISGIDLAAEDKPLPNHRQSILKQTTDSRQTKNAQLPGRKTALVNQNSSDPKAGGKKLPSKKPLTITTPQYQDTSVERLLREVTNEKFWQHPEEAELQCVPGSFESVEEYIRVFEPLLFEECRAQLYSTWEELTETASRDLHAMVRIRSVERRERGWYDVILLPANECRWNFKEGDVAVLSTPRPGTVISKRNNSSITGEEAEISGRVAGTVRRHIPIDTRDPTGAILHFYVGDSYDSSSKVDDDHILRKLHPKGIWFLTVLGSLATTQREYIALHAFRRLNSQMQTAILQPSPELFPKYEEQAPAMPDCFTPNFVDYLHKTFNGPQLSAIHWAATHTAAGTTNGLTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQATESLSSESAPIGSIDEVLQNMDQNLFRTLHKLCPKPRMLVCAPSNAATDELLTRVLDRGFIDGEMKVYRPDVARVGVDTQTRAAQAVSVERRTEQLLMKSRDEVYGWMHQLRGREAQLSQQIASLQRELNVAAFTGRSQGSVGVDPEVLVARDQSRDSLLQNLAAVVENRDKVLVEMSRLFILEGRFRSGGNFNLEEARASLEASFANEAEVVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIREFPSRYFYQGRLTDSESVMNLADEVYYKDPLLRPYIFYDITHGRESHRGGSVSYQNIHEAQFCLRLYQHLQKSVKSLGIAKVSVGIITPYKLQLKCIQREFEEVLKSEEGKDLYVNTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRAKRALWVMGNASTLVQSDDWAALIADAKTRECYMDMDSLPKDFLAPKVSPPVYGPPQARFSNMRGLKRPGLRHRSYDHMESRSGTPSEDDEKTNSSFAPRNGNYRPFKPPTENNSLDDFDQSGDRSRDAWQHGIQKRPNSTPVTGKRDP >KVH93638 pep supercontig:CcrdV1:scaffold_4112:31249:36116:1 gene:Ccrd_004310 transcript:KVH93638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRRLVEAISPTPSSLDEESSSKKLLPSALIPQMHFFPQIYYVLCICLLIKASFCLVVLKVHFGGNLWTISYFRFKALKKATKNFHECNLLGKGGFGPVYLGKLQDGRRVAVKKLSLDKSQGEAEFLAEVRIITSIQHKNLVRLLGCCSEGPQRLLVYEYMKNRSKNDQYLNWSTRFQIILGIARGLQYLHEDSHTRIVHSDIKASNILLTGNFQPRIGDFGLARFFPEDEAYLSTAFAGTLGYTAPEYAIKGELSEKADIYSFGVLVLEIISCRKNTDLTLPSEMQYLPEYNDGFVEKEVMQTILVALLCLQPQAKVRPPMSEIVAMLTWKVEMVKSPIKPTFLDGRKRMKDDEKVSWEAISDYFSSFISESIILTQPPNSGDFNVNQSSSAKVGENLSLRNGE >KVH75741 pep supercontig:CcrdV1:scaffold_4114:17895:20109:1 gene:Ccrd_025542 transcript:KVH75741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGWIPKFQLKVLVLSRCIINRLKGSVVPGFLLHQCMLRAIDLSHNSLRGQFPNWLIENSTMLETLILRNNSFGGTISMPLHTHANLTWLDMSENMILRTIPGDIEKFFPNLWYLNLSRNSVDGSIPSSIGDLIWLTVTDASCKEGLDKWYEIDMVSFYGSSGATWVVFLLGFVGVLYINPYWRRRWLDLVEELMAIEPSRVWFVGTYFPCLKAKVVNTSALTSIKANVP >KVH75738 pep supercontig:CcrdV1:scaffold_4114:42161:43640:1 gene:Ccrd_025544 transcript:KVH75738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MECWCVSKLKSWLLILITILILMVCRIDGGCIEEERKVLLEIKTSLIDSNFLDLNGFLLSWVDDGSIGGECCDWERVTCNTTTGHVTNLTLRNMVGLPEQFYEDCKSIWPLNVSVFLNFKELTSLNLSWNCLDNNIVNTGLGRLSSLRKLETLDLSHNSIGNETFHLLGALTSLRVLNLGYNKLEGYLPTLGMSLVLLN >KVH75740 pep supercontig:CcrdV1:scaffold_4114:4597:17838:1 gene:Ccrd_025541 transcript:KVH75740 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MKRLNAPKHWMLDKLGGPFAPKPSSGPHKSRECLPLILILRNRLKYALTYHEVIFILMQRHVLVDNKVRTDKPYLASLLGRFRLHSIRDEEVKVVLCYHMILSKTDSFVEMSFHIPNSNIQFVGDENRSYGSYYRCTGVKCNVRKHVERASDDPSVFITTYGAYKKGIRILCNLAGLRTSDFFLMSILKLLAIHTMAKFMKTPVRRGSSKTLRARKELAHLTNLEELDLSENYLIDTPSIQGCTRLSRLKNLKSISLQDNNFNKSMISCLSALLSLKTLDLSYGVSLGECTRLSRLKKLKSISLQHNNFNNSIISCLSALLSLKTLALSSGFLSGEILLLSENHFNGTLPMEAFTSFHHLEVLDLSRNNFVGSIPLTINASLPSIRAVSFAYNNLNGSLLGLCELKNLQELDLSHNVLEENLPHCFNSLSSLKLLDISSNQFTGTLPPSLIANLTSRVHSHLAHSPVIQS >KVH75743 pep supercontig:CcrdV1:scaffold_4114:366:1736:-1 gene:Ccrd_025539 transcript:KVH75743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEQYQWKISIISSSFHNIIVIAFKNLCELKNLLELDLSHNMFEGNLPHCFNSLSSLKLLDISSNQFTGTLPPSLIANLTSLEYVDFSDNKFEGAFSFSSFSGHTKLEQRMLQMINLSHNSLRGQFPNWLIENNTMLEALILRNNSFGGTISMPPHTHANLTNSVDGSIPSSIGDLSWLRVLDLSDNELSGEVPNGLFTNSPSLGILKLSKNLLHGM >KVH75739 pep supercontig:CcrdV1:scaffold_4114:2912:3738:-1 gene:Ccrd_025540 transcript:KVH75739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAFDYFIYVLVHVTELAQLTNLEELDLSHTYLSGTPSIQECTRLSRLKKLKSISLPYNNFNKSIFSCLSALPSLKTLDLSSGFLSRGSFPIKGIDIVIQKKGT >KVH75742 pep supercontig:CcrdV1:scaffold_4114:27478:35827:1 gene:Ccrd_025543 transcript:KVH75742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MECWCVSKIKSWLLIWMTILVLMVCRIEGGCIEEERKALLEIKTSLIDSYFLDLDQFLPSWVDDGSIGGKCCDWERVTCNTTTGHVTNLSLRNMVGIPEKFYGGCKSIWPLNVSVFLNFKELTSLNLSWNCLDNNIVNTGLRRLSSLKKLKTLDLSLNSIGNEIFHSLGALTSLRVLNLGFEQVSLLKKLKILNLQWNNFNESLITSLSALPILKSLDFSYNWLGLSFPVEELAQLTNLEELDLSHTYLSGTPSIQECTRLSRLKKLKSISLQWNDFNKSIISCLSTLPSLKTLDLSHGTLSGELLHLSDLEVLLLKNNDFNGTLPMEAFTSFHHLKVLDLSANEFVGSIASTINALSPSIRVVSFAYNKLNGSILGLCELKNLWELDLSHNMFEGNLPHCFNSLSSLKLLDISSNQFTGTLPPSLIANLTSLEYVDFSDNKFEGAFSFSSFSSHKKLEVVIFKCNNDKFEMETEEPMGWIPMFQLKALVLSGCNLNRPKASVVPGFLLQQRMLRMIDLSHNSLRGQFPNRLIENNTMLEALILRNNSFGGTISMPLHTHANLIWLDMSENQILRTIPGDIHKFFPYLAYLNLSRNILDGSISSIADLGWLNALDLSDNEFSGEVPNRLFTNISDLSVLKLSKNLLHGMVLSGNLSFGHFSSLALDNNCFTGKIGNWTSKDSYLETMDISNNFFTGRIPHWISNMSQEFELVARNNSLEGPFPCGKTPFSFLDISQNSFSGPIPSCLNFQEMKHLHLGSNKFTGSIPNVFRSLPRVLTLDIGNNSLSGRIPKFLVNLSDLRILILRENNFSGSIPKQLCQLSDVSLIDLAGNSISGSIPSCLQNVISPIKPVFRELTISIYETSSRYHQSVLFKEYNSLLWLEILGMQDEVQFTIKTHYRSYKGHILDLMVGLDLSCNKLVGEIPKELGLLTQIHSLNLSHNQLTGTIPMQFSNLENIESLDLSYNGLSGKVPSELIKLNSLAYFNVSYNNLSGKLPDMKAQFSTFTSESYEGNPLLCGPPLEKKCTSTSQVTDASGEEGIDKWYEIDMVSFYGSSGATWVVFLLGFVGVLYINPYWRRRWLDLIEECMYTFYYFLDDLVRKLSTLFRK >KVH75733 pep supercontig:CcrdV1:scaffold_4115:19557:23931:-1 gene:Ccrd_025545 transcript:KVH75733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHLYPPLMAVFDGVFVDFDSVGGYHKSLKGLGFGHCCLLFDNEVNRSKRGLIGFAILLKGWTSSFITQSD >KVH75734 pep supercontig:CcrdV1:scaffold_4115:28874:29128:1 gene:Ccrd_025546 transcript:KVH75734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAMKLTKDPMGSCIRSLCNSNLAGGFKIGKDTTLSATYIRSAEDPVKDLGGNPPSERPILALFFCGRNARKPPTNPNSTLAR >KVH75735 pep supercontig:CcrdV1:scaffold_4115:35778:37990:1 gene:Ccrd_025547 transcript:KVH75735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MSFSPPSPFNITTPFAFPPNLLPTDSSPKWMNKADNAWQLMAATLVGLQSVPGLIILYGGAVKKKWAVNSAFMALYAFAMVLVCWVCWGYQLSFGDQLVPIWGKIDVALEQKFLLEPAFLGLFPNATMVFFQFVFAAITLILIAGAVLGRMNFYAWMLFVPLWLTFSYTVGAFTIWSTDGWLTKMGIIDYSGGYVGPRLTKDRERFPPNNIILMLAGAGLLWMGWTGFNGGDPYAASVDASLAVLNTHVCAATSLLTWLILDVVFFKKASVIGAVQGMITGLVCITPAAGVVQGYSAILMGLFSGSIPWFTMMVVHKKSKLLQKVDDTMAVLHTHAIAGTLGGLLTGLFSEPHLCELFYGSPGKYMGLFVGLHRGTSQSVSHGFRQMGVQITGILFVIVLNIVMTSLVCLFVQLIVPLRMSDEDMEVGDEAAHGEEAYAIWGQGERLENSRFSNYNDIEVPSKGPGSIELA >KVI10954 pep supercontig:CcrdV1:scaffold_4119:5601:11405:1 gene:Ccrd_010642 transcript:KVI10954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMDFLSTSIFIPLFLLLLVNIYHQSQKYGRKTKTYHPCGGTKFNQLINYRTLHDYNTNLATIHKTYRVFNPFCGEIYTSDPSIVEYILKTNFKNYGKGAHINNILKDLFGDGIFTVDGDEWREQRKNLVMVSKASKA >KVI10953 pep supercontig:CcrdV1:scaffold_4119:21982:34440:1 gene:Ccrd_010643 transcript:KVI10953 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MATSMDFLSNSIFIPLFLLLLVYIYHQSQKYGKKTKTYHPFGGTKFNQLINYRTLHDYNTNLATIYKTYRVFNPFRGEIYTSDPSIVEYILKTNFKNYGKGAHINNILKDLLGDGILTVDGNEWREQRKVSSHEFSTKVLRDFSSVVFRKNTIKVGNILSEAANSNEKIDITDLFMKATTDSIFKVGFGIDVDNISGSSEEGIRFSRAFDDASALILRRLFDMSWKMKKALNIGTEAELQKNIKVIDDYFKKEDILSRFLQIKNTDPKYIRDIVVAFVLAGKDPIATTLYWFIYMLCKHPHIQDKVAKEIKEATNINMKELEITNVAEFAACVSEEALDKMQYLHANLTETLRLYPALSMDVKICFSDDVLPDGCNVEKGDMVFYLPYAMGRMKSIWGEDAHEFRPERWLDRDGCFHPESPFKFTAFQAGPRICLGRDFAYRQMKIFSSILLGCFVFKLSDENKIPKYKTTINLQIDGPMHICVSKRYGNIYGFSLKSNLDSNNHTSISTILLVYICNQPQKYGNTKKYHPIGRTKYNQLNIKHIEYSTLFMVRFIHDPAIVEYILKTYVKNYGKGVHMHNILKDLFSDGIFTVDGDEW >KVH88795 pep supercontig:CcrdV1:scaffold_412:66229:68938:-1 gene:Ccrd_025549 transcript:KVH88795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 domain 2 MAPKQRTPHANRNPDLIRGVGKFSRSKMYHKRGLWAIKAKHGGTFPHHEKKPAEAPVAVKPPKFYPADDVKKPLVNKRKAKPTKLRTGPFKINGVPLRRVNQSYVIATSTKVDIAGVNLEKFDDKYFSKQVEKKKKKGEGEFFEAEKEEKNQLPQEKKDDQKTLDAALVKLIECVPDLKSYLGARFSLKAGMKPHELVF >KVH88796 pep supercontig:CcrdV1:scaffold_412:200864:225833:1 gene:Ccrd_025555 transcript:KVH88796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light regulated Lir1 MIVPPSLAVLLMMAAVDGGAMAGTNKMNGGERERERLVGGWKGRELFKRHLGLAFSQQKHVKSGAVACDVEMFSETKLREEAEPKAKVTTSQAVDREYVEYNSPKMVFIVEACDDLGG >KVH88794 pep supercontig:CcrdV1:scaffold_412:34101:36552:1 gene:Ccrd_025548 transcript:KVH88794 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator MSFKRGKGKDEEDYFSKHAPPKKTSKKDNSPDDSGDEDDIFICDVSKNRRVSVRNWQGKVVVDIREFYMKDGKQMPGKKGISLSMDQWKELRAHVDEIDKALA >KVH88801 pep supercontig:CcrdV1:scaffold_412:71500:73429:-1 gene:Ccrd_025550 transcript:KVH88801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MTFRYMNVFSLLVALLATTHFLSSNLEFFRFWIEELHVISLSPVSIIVNPYTDWLEGHRHHRDKKAKKFDICDDFPRDSLPPDTNTTTTFCIDRNGCCNFTSIQSAVDAVMTSNPKRTIMWINNGIYFEKVIIPKTKPNITFQGQGYTTTAIVWNDTANSSHGTFYSASVQVFSTNFVAKNISFMNVAPIPKPGDIGAQAVAIRVGGDQAAFWGCGFFGAQDTLHDDRGRHYFKNCYIQGSIDFIFGNAKSLYEDCELISMAPMVAVGQKSINGAVTAHGRASADEDSGFAFVRCNIGGTGRIWLGRAWRPFSKVIFAYTFMSDVIAPEGWNDFNDPSRDQSIFYGEYMCTGGGANTSMRVAYAQKLNDTQASLFLNASFIDGDQWLPPQL >KVH88800 pep supercontig:CcrdV1:scaffold_412:154322:159308:1 gene:Ccrd_025551 transcript:KVH88800 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain-containing protein ASEDQSESGERCSCSILPFHFHQTLDLHFLHPIFSSSHYLIILVTTVLLDHRMDVSRVSPVKEVAVGPDDFIEEEYASQSKLYKEFTSISTIDKAWTFKSSSDWFSNLCLTYTAGLGSHVMFSTSQPNLLANKKKTLMLSSVISGDSNGALNVQWAPFPVEVTGASLMVPSPSGTKLLVVRNPENDSATQFEIWGPFQLEKEIRVPQSMHGSVYADGCSDESLIAYVAEESALRKPTFNDTGYKKDAASTDKDCNSWKGQGDWEEDWGEAYAGKRQPALFVININSGDVHAVDGIGRSLSVGQVVWAPTTKGLHQYLVFVGWPSDTRKFGMKYCFNRPCALYAVRAPSFGSENSASKTRENAMVNLSQATSSAFLPRFTPDGKFLVFLSAKNCVDSGAHSATNSLHKIEWNGEGEPSPAKIIDVVAQKDCLGYVVAEMACHVNN >KVH88797 pep supercontig:CcrdV1:scaffold_412:199633:200925:-1 gene:Ccrd_025554 transcript:KVH88797 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAF domain-containing protein MAPPSTAAIINRTASDGGTIILNKYQLTRLLGRGSFAKVYHGRSLIDDSSVAVKVIEKPTIADPTMEPRLVREVAAMRRLNHPNILKLHEVLATKTKIYLVMELAAGGELFTQLSRRGRMKEATARRYFQQIVSTLNFCHQNGVAHRDLKPQNLLLDEQGNLKISDFGLSALPESQKDGLLHTACGTPAYTAPEIVRRKGYDGSKADAWSCGIILFIFLAGYLPFDDSNLANMYRKIHQREFVFPDWIPKQPRVIIQKLLDPNPKTRMSIETLMGLSWFKKSLRPEPLELSSGESETNEDNLSSIKYKTQMNAFDIISMSSGLNLSGIFEEKIVRKERRFTSTATAEEIEKRVAEVGERLGYRSKKMKDKESWNRDMVGLVKGRVVVLAKVLEVAVELLLVEMTVVGGGDGFEWEELMVGFQDLVVSWHC >KVH88799 pep supercontig:CcrdV1:scaffold_412:173099:177518:1 gene:Ccrd_025552 transcript:KVH88799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S9, prolyl oligopeptidase, catalytic domain-containing protein MCPEDGCFPGLYCSDLLSKPWLLDESTMILSSIWGSTQAILSVNVLSGKVSKITPSNSNHSWSLLALDGDKILAVCSSPIDVPQIKYGSLAKDESKDAIWRWLDISSPTSGCQEKVRSLLSSLQFDILKIPVKSVSENLTKGAGKPFEAIFVSSKSKRDACDPLIVILHGGPHGVSSSSFSKSSGFLASIGYSLLIVNYRRQCSCRGSLGFGEEALQSLPGKVGSQDVNDVLTAVDHAIDLGLADPSKITVVGGSHGGFLTSHLIGQAPDKFAAAAVRNPVCNLALMVGTSDIPDWCFVETFGSKGLSTYTEAPSPELLSFFHEKSPISHLSKVKTPTLFLLGAKDLRVPVTNGLQFARALKEKGVAVKVIVFPEDMHPINRPQSDFESFVNIGVWFKKYCK >KVH88798 pep supercontig:CcrdV1:scaffold_412:195972:198611:1 gene:Ccrd_025553 transcript:KVH88798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFLKLQHLDTNCLPILHSVKSSKTTNINGGVLLPLPRHRAETEFEQPPINKHNIPPQKPSHLEKISVLHKPKLNPEGSVENPDTENAIVEKNKAVKGRVWSRKGMLEKDKLHTKSWMEPARANDVVKKVHTNCSMKWTRYGGCLPAILEALETVGDLDEAFKPWELTLSNKERTIILKEQKDWQRAMEIFEWFKRKGCYELNVIHYNIMIRILGKARRWVELEILRDEMEKNRINAINSTYGTLIDVYSKGNIREKAMYWLDMMNKRKMEPDEVTMGIVVQMYKTAGEFEKAEEFFKKWSMRKGLAEAEPVAVSNSTPTKVAALSGDPQSHVCLSSYTYNTLIDTYGKAGRLKDASETFEHMLKEGIIPNTVTLNTMIHMFGNHGQLDEVASLMHKMEHLGCPPDTRTYNILISLHAKHDNIVMATGYFKKMQSASLEPDAVSFRTLLYALSIRHMVTEAEELVSEMDKRDLEIDEFTQSSLTRMYIEAGMLEKSWFWFKRFHIQGKMSCECYSASIDAFGERGHILEAEKVFNCCQERRNPSVLEFNVMIKAYGINKKYDEACRLIDSMDAYGVIPDKCSYNSLIQMLASADLPEKATIYLRKMQGSGLVSDCIPYCAVISSFVKLGQLKMAVGLFKEMVGFKLTPDVVVYGVLINAYADVGNVEEALHYVNAMKEMGLPMNAVICNSLIKLYTKVGCLKDAEEAYYILQRLEVGPDVYSSNCMIDLYTERSMVKPAEEIFEKLRRNGNANEFSYAMMLCMYKKIGSFEEAFEIAQKMREFGLLTDLLSYNLVLGLYASDGRFKEAVTIFKQMIESGVQPNDSTFKSLGVVLMKRGVSKKAVANLEVMWKRDHQSGLEAWLATLDSIVGLVFHDLNDE >KVH75435 pep supercontig:CcrdV1:scaffold_4120:23720:24897:1 gene:Ccrd_025556 transcript:KVH75435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein MQRSISSTNNVYRNLLHYHKPPTSATLTRQQTPCDVFINHRGIDTKKNVAGLLYGHLMRLNLNPFLDSKNMKPGDKLFDKINTAIGGCKVGVAVFSPRYCQSYFCLHELARIMEAKKRVIPVFCDVKPSELFVKNHWRSPKHDIDRDWPEFLMTATEAIIENLIEVEEEEEEEEEQKLIV >KVH75434 pep supercontig:CcrdV1:scaffold_4120:38830:44050:-1 gene:Ccrd_025557 transcript:KVH75434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MNFILKMTSLLNITLVLLLSERTIVVASHGQLSSEKTSNIQNKDLVTNLPHQPNVDFRHYAGYVTVNEKNGRALFYWFYEAWTLPDEKPLVLWLNGGPGCSSVGYGATQEIGPFIVGTDGNSLQLNPYSWNIEANMLFLESPVGVGFSYSNTTSDYENLGDDFTGKYVPELAGLIYEKNNDPSLFINLKGILLGNPETSDAEDWKGLVDYAWSHAVVSDETHKTIRESCDFNSNNTWSNDNCSQAVDEVLRQYKEIDIYSLYTSVCNANSAYSENKQVVFNKSTSKMMPRIIGGYDPCLDDYAKGYYNKPEVQKALHVSNGLQLKNWSICNMDIFNGWSQSIDSVLPIYKKLIDAKLRIWVYSGDTDGRVPVLSTRYSLSSLGLSITRSWRPWYNQKQVGGWIQEYEGLTFATFRGAGHAVPIFKPSESLAFFTSFLLGESPPSQR >KVH96414 pep supercontig:CcrdV1:scaffold_4121:28698:33075:-1 gene:Ccrd_001501 transcript:KVH96414 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand 1, calcium-binding site-containing protein MGLKKEMTEDIPALNLQVWHLIAIFVAVSIVVVLLLLWCYTFSRKKSKRVNGRLPVSQKPTVVSAEIKDIRVDQNSANTFIGQDANSHTLWDKFSDKDKDSDKLLTRPSVDKNKLGDNSSQSGSFNHLDKEDVGPDSGEKGTSDIYRSSSHPMTGTSPLSGLPEFSHLGWGHWFTLRDLEVATNRFSKDNVVGEGGYGVVYRGHLVNGSPVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLSYLHEAIEPKVVHRDIKSSNILLDDEFNAKLSDFGLAKLLGAGKSHITTRVMGTFGFGVLLLEAITGRDPVDYGRPADEVNLVDWLKMMVGNRRSEEVVDPNIGSRPSRTALKRALLTALRCVDPDSEKRLTMGEVVRMLESEEYPLTREGRRRRRSQANATEPDSQTENSDAEKGTK >KVH96413 pep supercontig:CcrdV1:scaffold_4121:19379:28112:1 gene:Ccrd_001500 transcript:KVH96413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFNCCGEDDMHKSYDNGPFMANNAAGYRATEAAPKDTQAVAIQPIAVPALQVDELKEITDNFGTKSLIGEGSYGRVYHGVLRSGQAAAIKKLDSRRKGVKGAQPGPVLSWSQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRATPKLSEDKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARAGPQTEAPHL >KVI08226 pep supercontig:CcrdV1:scaffold_4124:17962:28594:1 gene:Ccrd_013404 transcript:KVI08226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MHDLNSRAETSLPVARLTDSFLKSISLGNPYFLSYSSTTTSSLSLEMDFQVVVLAGGSSKKLLPLVSKVFITPSGVYIIDVYHYDVPKSLLPVANRPVLSYVLELLEQSNLKDLIVVVEGEDTDGLIENWISEAYVDRLHVQVAAVPEEVGTAGALRAIALHLTASDILVVSGDLVCDISPGAVAAAHRRHDAVVTAMFCSVPVTGPPESGSSGGKDKTKKPGCYNIVGLDLTQQFLLYIAAGMEVEKDIRVQKSILSAVGKMEIRADLMDAHLYAFKRSVLQEVLNQKQTFRSLKQDVLPYLVKTQLRSEILLNGAQAEENGNEKDAFNNNKVMLSQLLANASTPSFHELYALGPNGFAPAERKTHKCCVYIASKSKYCARLNSIQAFSDINRDVIGDASHISGCSFSAHNNVIHPSAVLGSKTTVGPQCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVIMNHVTIGDGCYIQGSVISSNVQLQERAVLKDCQIGAGFVVTAGSEYKGEALARK >KVI01879 pep supercontig:CcrdV1:scaffold_4125:2367:6555:1 gene:Ccrd_019840 transcript:KVI01879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPASLSRRLGDGAGIPFMGSLNPKSRPSPFLSIGLVLVGAFLIIGYVYSGSGGSNADKVALSRLEAGVSCSSEIYQALPYLKKAYRDSMHKVLHVGPDSCAVVSKLLKEEDTEAWGLEPYDLDDSDANCKSLVRKGIVRVADIKFPLPYRSKSFSLVIVSDALDYLSPRYLNKTLPEFSRVSADGFVILSGYPGQRRVKVAEMSKFGRPAKLRSSSWWIRFFVQTKLEENEAATKKFELAAAKKAYQSSCQIFHLKSLH >KVI01880 pep supercontig:CcrdV1:scaffold_4125:8464:13790:1 gene:Ccrd_019841 transcript:KVI01880 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MATNHHFKRPYQPLSSSSTSYFYIFVSIIGLTVLLLVFSHYITSYSTSPIRLELIKPIKQYVPVNNDNNGAESAACDYSEGKWFYDPTARPPRYDETCKEIYKGWNCIAGNRSGAAEIVKWRWQPNHCALTPFDPLRFLESHRDISIGFVGDSLNRNMFVSLFCSLRRVAGEIKKWRPAGADRGFTFLKYNFTIAYHRTNLLARYGRWSANAKGGVLESLGYKEGYRVDVDVPEGTWAEAPSFHNILIYNTGHWWWAPSKFDPVKSPMLFHEKGQPLVPPLSPETGLDVVLRRMISYVEEKARPGTVLFFRTQSPRHFEGGDWDQGGSCAHLQPLSLEEVEQFFSVENNGTNVEVRLVNEHLYEAIKGSAFRLLDVTRMSEFRADAHPSAAGGKKHVDCMHWCLPGITDTWNDLFIAHLSSIKVRY >KVI01876 pep supercontig:CcrdV1:scaffold_4125:42367:49435:-1 gene:Ccrd_019844 transcript:KVI01876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MSVILRVLPDIAIRSRHLSRQSLPLFRVNRLILKPLLRKSFSSVSASTTEPVVSNFPATGKEQPQXSLPGSVQETATLEWVNRNGLCGELSEDDVGRRVRLCGWVALHRGHGGLTFINLRDHSGIVQVTTLPNEFPDAHSMVNDLRIEYVVSVEGVVRLRPSDXINKNMKTGIIEVTAELVQVLNSVRAKLPFLVTSAEDAKDSIKEEIRLRYRCLDLRRPQMNSNIMLRHQVVKLMRRYLEDVHGFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEDMLRLNEDLIRKVFLDIKGVELPXPFPRLTYAEAISRYGSDRPDTRFDLQLKDVSDIFSDSSFKVFADALSSGGXIKVICVPSGAKAFSNTALKKGQLEGISALTSSLDPTKKELFLKRCEAGSGDLILFAVGFPASVNKTLDRLRLYIANMMGMVDHALHHPFTAPNPEDMNDLASARALAYDMVYNGVEIGGGSLRIYRRDVQQKVLEIIGIPLEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLVMLLSGSSSIRDVIAFPKTTTAQCALTRSPSEVDPQQLRDLSYHLIQ >KVI01878 pep supercontig:CcrdV1:scaffold_4125:29817:31261:-1 gene:Ccrd_019843 transcript:KVI01878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPYVRIVVGSLGLKFVGGSAAATPPSTCYCKIKLKNFPTQFAEITSYADGDVHQVNDRIQGCFNLKKSEFDKLVEKSSGMKIEVYSGRKGNPICGFGSGKLLGVVTVGLDSETVDGGGGGGSRGCMVVRNGWVGIGDRSSKNLVQLHLSIRVERDPRFVFEFDGEPECSPQVFQVNGNVRQAVFTCKFSFRNSRDQNLRSDSSLSDTNDSRGWLRSIRCDKEKPVKERKGWSITIHDLSGSPVAMASMVTPFVPSHGTDSVSQSNPGAWLILRPGHSTWKPWGRLEAWRESKGKDHLGYRFELLPDAAVSGLDPVTLSNSTINCKIGGKFTIDMSNGASPMSTPNGSFDSGSGSGSDFEFGSWAHLMYQGFVMSSSVAGGRKPEVEVGVQHVTCTEDAAAFVALAAALDLSVDACQPFSRKLRQELRVDGE >KVI01877 pep supercontig:CcrdV1:scaffold_4125:14902:16693:-1 gene:Ccrd_019842 transcript:KVI01877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MESSPNCMVDSIPIRTYRNHADKGVAYPRWQPMSLKMSLWDGSSWATNGGKDKIDWSKGPFLASFTNYTIDACVWKGNARFCRADSSSNWWNKEELSSLTWKQRRWFKWVRRYHLIYDYCQDNKRFQNNLPKECYLSKY >KVH74998 pep supercontig:CcrdV1:scaffold_4126:1973:11996:1 gene:Ccrd_025558 transcript:KVH74998 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, ATP-dependent, DEAH-box type, conserved site-containing protein MRVHLRSLSPRFITNNHCCFPTTFRLFTTSMSYRPNSQGGRRGGGRGGGRGGGRRGGGGGGGGGGGGGRGEQRWWDPVWRAERLRQMQGEVKFGLGSHAYNKGKALVVSKVPLPDYRADLDERHGSAQKEIRMSTETERRVENLLDSSTGTGKIDKISSGSMQRAKQFPNESDKTAGISVLERDDAKKALSVELKERQEKQKERDSVKEMCLFREKLPAHKVKAEFLKAVAANQVLVVSGETGCGKTTQLPQFILEEEISSLRGSDCNIICTQPRRISAISVAARISSERGESLGETVGYQIRLESKCSEQTRLLFCTTGVLLRKLVQDPALTGISHLLVDEIHERGMNEDFLLIILHDLLPKRPDLRLILMSATINADLFSKYFGNAPTIHIPGLTFPVQELFLEDVLEKTRYAVKSESDNVQGYSRRRRRQQESKSDPITEKFEDADISSVYKSYSANTRQSLEAWSGSETDLGLVEATIEYICRHEGPGAILVFLTGWDEISKLLDNVKANNFLCNPAKFLLLPLHGSMPTVNQREIFDRPPSSMRKVVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLA >KVH74996 pep supercontig:CcrdV1:scaffold_4126:31832:36900:-1 gene:Ccrd_025560 transcript:KVH74996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MINFRPSETRTSSSASSVVTAEKAFSFISKGWREVKDSTEADLQLMKDRANSFKNLASSFDREFENFLNSASRSTFPVPAISNSSSAYPAEIDFVKKLQPKFSEFRRAYSSPDFSRRVLEKWNPRAKIRIDLSAIKNAIVSEVEELDDRERYRRGARTRVRDFSWEWKGEGVGDAEEGQLSRYWEPIRALKTRLRELEQKSSSSEIIEGLKNSELVEKVKSSLKAICKEPNYSKEVPPLDFQELLACLVRQSGPFLDHLGVKRDLSDKIVESLCSKKKNQFLLRSLPAGESAIVEGDNINDELDLRIASVLQSTGHHYEGGLWDDLGKRDISDGERHVAIVTTASLPWMTGTAVNPLFRAAYLARSQKQKVTLLVPWLTLTDQEFVYPNNITFSSPEEQEVYIRNWLEERVGFNADFKISFYPGKFQKERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFFVKHINNWVARAYCDKFLEIGERMAAEKEGGEQRFSKGAYFLGKMVWAKGYRELIDLLAKQKNDLNGFKLDVYGNGEDAHEVQNTAKSLDLNVNFMKGRDHADDSLHGYKIFINPSVSDVLCTATAEALAMGKFVVCANHPSNDFFRSFPNCLTYETPEEFVHKVKEAMSSEPQPLTPEQRYKLSWEAATQRFMEYSELEKILNSESNGIVRRSKSVPNLRSMVDGGLAFAHYCLTGNEFLRQCTGAVPGTRDYNKQHCKDLDLLPPQVENPIYGW >KVH74997 pep supercontig:CcrdV1:scaffold_4126:43552:49315:1 gene:Ccrd_025561 transcript:KVH74997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVRKGWRNERVIDMHPLERGHVILVLNGDPPAHTNKVQEVIGMMEMELEDNAWLLRLKRTLGILKMPKMATTQTLEDNAWLLHLKRTLGILKMPKMATTQTLE >KVH74994 pep supercontig:CcrdV1:scaffold_4126:21719:22978:-1 gene:Ccrd_025559 transcript:KVH74994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGDLENDHIVEVPTFFLCPISLEIMKDPVTLSTGITYDRDSIEKWLFSHKNDVCPVTKQVVVDIELTPNHTLRRFIQSWCTLNASSGVERFPTPRIPISKTQILKLLKDSKSPKLQISSLKRLKTIVMESEKNRRLMESVGAADYLASMISRNVNNINVTSSSPAGEVSGADGIDEALSILYHLKLSPSGLKSLLTGDFVETLTSVMQRSTSFESRTYAVMLLKSMFEVAEEPMKVTXLXXRXFEELVRILVDQISQKATKATLKLLINVCPWGRNRIKGAEAGAVPVLIDTLLDCTEKRVPEMAIIVLDHLCQCAEGRADLLKHGGGLAVVSKKIFRMSAVGSERAVRILYSVAMFSGNSHVLQEMVQLGAVRKLLLVLQVDCGMKMKEKAKEILKMHSRVWKKSSCVPNHLISSYPS >KVH74995 pep supercontig:CcrdV1:scaffold_4126:46367:49298:-1 gene:Ccrd_025562 transcript:KVH74995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein SVKHQIDELNEKTFTYKYTLIEGEGISDKIEKVSYDIKFEGSDDGGTISKMTTTIYTLGEFEIKEEELKAGKEKVLGLYKVVEAYLLKNPDAYVSYDIKFEGSANGGTISKMTTTIYTLGDFEIKEEELKAGKEKVLGLYKVVEAYLLKNPDAYA >KVH99471 pep supercontig:CcrdV1:scaffold_4127:32946:38318:1 gene:Ccrd_022295 transcript:KVH99471 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MLLSFVLPAQGVIESPCCDGVCIPSVPSEFQPVVGSIFTSLEDGIEMYRRYADIVGFDIRLSTQKTRKGGIVKLKYVVCHKHGKPKKRSVDSVEVDGHRRQVRNTNFKVTNCKASVRFKYIEVSQSSYRLYYFCLNHNHDLIDDADRILSSKSRQLSYDDKVMVHRSAMSNIGLVRAHKLQMRSSLKDSKIEPEKTSTSRGSSRRERKMALQQDVDKLRKRLTHEENVHKALEQALARPFGALHRLPPDLPPVTLELLAEVAVLEEEVIRLEDQERVNELCTNPTTNKQQSPNPKTQRIKTLKRSPIDYMSSEKCLDQGDDSPNKISERILKCLMFIFAQMSSTSALRMTEMLPSLASCENPSTTDFKDPYDVFYKFENTDIGPYKYLYEVEATAINKNRTTISVFLSQRLKILLGKLGSVNLTSLTHQEKLAFWINIYNSCMMNAFLEHGIPETPERVIQLTQEGVKNDEITTRSIFGLELSEPLVTFALSCGSWSSPAVRVYTGSEVVNELEVAKRDYLLAAVGISSTKKSLTIPKRLDWYMLDFAKDMESFLDWICLQFPSEVGKVAMECLHREKTKPLSDCVRVGAYEFHFRYLLYK >KVH99472 pep supercontig:CcrdV1:scaffold_4127:25827:26929:-1 gene:Ccrd_022294 transcript:KVH99472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKDDDDFEIQDQNIKKKVKRVKEDTKGREDNGKGTIKTHLPLRTRTSPKPLYTMIQNLSPSQIECVKEMGFEGMLNMKTDGIPAKLGYYVVDSFNSKNMMIKLENGVIPVTVKKIHEMIGAPIGGAQLDSLVNDNCGVSAVEQWKSRYSKPSLRVTDIVSEIIISDNGDWNFKLDFIMVFCNVMGQCKSNGICDLSVLSYISQQTFFEKINWCDYIFKCLR >KVI00510 pep supercontig:CcrdV1:scaffold_413:231116:239811:-1 gene:Ccrd_021240 transcript:KVI00510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MHHSSVFLRKRPSFYTTRRALASQCHDNDHARLISAKSDNQSSFSSLHLSSPSRLNIGWKSNYKKVESLLESLTSTVVVVGLSLGLCYLNSVSQPNSHMVFEYFASQRSPDGEPFMTPSDLMRAVVPVFPPSEASHIREGSLKGEWAPSELHCPPSKFFMLFDTNNDGLISFAEYIFFVTLLSIPETSFSIAFKMFDLDNNGSYSKTTNREIDKEEFKKVMALMRAQHRQGSRHRDGLRIGLKVKTHVENGGLLEYFFGKDGKSCLAHGKFTQFLKDLQNEILLLEFAHYDYKSRGTISAKDFALSMVASADMRHINKFLDRVDQLNDEPNLKNIRITFEDFKNFAKLRTQLRPLSLAIFSYGKVNGLLTKQDFHRAIEQTIYYVFDVNQDGSLSWEEFLRVLQRREEDKIQPREAGVVGLISCWLQLDDDDDDDDDDSLSTQNNWRVTCMSREDLLGNDIVIEQTDE >KVI00508 pep supercontig:CcrdV1:scaffold_413:248298:251377:-1 gene:Ccrd_021242 transcript:KVI00508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MNFSVTDPLPFNGKLRTSKGWDETTMGGSLSCCLAAANAYNFPLYSHSLKSVLGFNQRQLTMLGVANDIGENVGILPGIFYNKFPTWVVLFIGALCCFSGYGVIWLALTHTIDSLPYWLLWIALCVATNSNAWFTTAVLATNMRNFPLSRGIITGILKGYGGLGAVVYTEIYAILLHKSSSMLLLFLALVVPALSLVLMYFVKPCVPSSADNSSDHAYFLFIQAASIMLGIYLLTTTILSDMFSLSSLISWTFLVFMVILLMAPLAIPIKMTFYPSTPPDINKVQEIRTDPLLEPTSSRTDPGSYDENDGLSDVDSLLAERRRLRPRRGDDFKFSEALIKADFWLLFLVYFVGVGSGVTVLNNLAQIGIAQGVHNTAILLSRSIPRTVWMTCTQVLMIVTYLMFASAIRGTLYATTSLLGICYGVQFSIMIPTTSELFGLKNFSIFYNVISLGNPLGAFLFSGLLAGNIYDYEVSKQNSVSCLGPNCFRLTFFVLAGLCSVGTILSIILTRRLKPVYELLYGSGSFRLRSPNH >KVI00514 pep supercontig:CcrdV1:scaffold_413:146572:160408:1 gene:Ccrd_021233 transcript:KVI00514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVHYNFNLNRLSLNSSSLVSRLSPLASRLAHGRTHERKPIHDSQIKIAVGLDSIGSGSRSLPLSGLDYRSALGLSLSHLVACEVRYIPSILSRNLLAVVLVVEPMYIEFYDAMSVPMAIALSSHLLLGQPVMVKPSEAEKNLVQSSASTGGAGGIAGPYGAVDRKLYEGNLHFNMTELQLKQAIQEVFVSHEFINKEDLVEFNAASYELNKSESHQEKNDSIHVLKLMMRICWPHQ >KVI00522 pep supercontig:CcrdV1:scaffold_413:51652:57569:-1 gene:Ccrd_021227 transcript:KVI00522 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MAHLNAAASALSASSVLAPLNRRVVNLGKLFHGSSLVKIHSNSLFLASTPRSRQLRLVSSANGGTAGSMEVSQEEAVKPYSWPDKKVGFSAGFTNSYFLVQQYYGTTYACHLYSSNYYAKRPRVCVLGGGFGGLYTALRLNSLTWPDDKKPQVILVDQSERFVFKPMLYELLTGEVDAWEIAPRFSDLLVSTPVQFLRDRVKVLSPCDHLGMNGSTVSGCGGSVQLESGLVIEYDWLVLSLGAEPKLDIIPGAKVNDKLTKLERENFGKENPIRVVVVGLGYSGVELAATVSERLQGKGVVQAISVDSSILPTAPPGNKEAALKVLNSRNVQLLLGYFVRRIGKAGDFRSFLEESDITANGNDPEKLVLEVQSTEKGSKTQLLEADLVLWTVGNKPLLPQLEPNARPFDLPVTARGQAETDETLQVKGHPRIFAVGDSSALRDPKGKLLPATAQVAFQQADFAGRFDAAITPSFIDGLTLEGPVGHAARKLAYLIRLPTDEHRVKVGISWLAKSAVESIASVQSNITKVLSGS >KVI00515 pep supercontig:CcrdV1:scaffold_413:90575:91723:-1 gene:Ccrd_021230 transcript:KVI00515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate/prephenate dehydrogenase MLSDSPTISRFSIHSDHHHLHRCSYNHHSQSHSPPSPPPPSHLRKIQILRLRRNFQISAIDAAQPFYYESKISDCIAKSKTLKIAIVGFGIFGQFLAKTLVRQGHNVLAHSRTDYSAVATELGVSFYSNADDLCEEHPEVILLCTSILSTDKVLRSLPLQRLKRSTLFVDVLSVKEFAKDLFLQILPSDFDILCTHPMFGPESGKNIWKNLPFVYDKVRIGHDEARVSRCQKFIDAFAKEGCIMKEMTCAEHDQHAAESQFITHTVGRILEKLDLCSTPINTKGYEKLLDLVDNTSSDSFELYYGLFMYNKNAMEQLERLDLAFESLKKELFGHLHVVLRKQLVGSGGRHLGFSHEAPVLSKLPRNGNGNKLSLNGNENGNG >KVI00523 pep supercontig:CcrdV1:scaffold_413:38914:47975:-1 gene:Ccrd_021226 transcript:KVI00523 gene_biotype:protein_coding transcript_biotype:protein_coding description:START-like domain-containing protein MIVSKQALYSSHHHHLSIYIGGGGASSVKLHHSSSCKTRRKVSRSNNVGCTAATTITTLPIYSFKFSNEYLNSSGGRNCSRCVCKNAMNGTINGISAEEVVLYEEGGKKRKVKCEVEVISWRERRVKSEVEVDADVDSVWNALTDYERLADFIPNLIFSTRIPCSQPGRIWLEQRGLQRALYWHIEARVVLDLQEFPNSANGHELHFSMVDGDFKKFEGKWCVKSGRRSSSAILSYEVNVIPNFNLPAIFMERIIRSDLPVNLQALARRSERRFEGNENTSTEAHSATLSVASTTIDIDGSREKNMERQPNSSFSPLSKSTAEVNNWGVFGKTCDLDSPCKADEIHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTSYENLPEIVPNLAICKILARENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEITFEQVEGDFDSFKGRWLLEQLGNHHTLLKYSVESKMHQGSLLSEAIMEEVIYEDLPSNLCAIRDYIEKKEAESSVEECNDVLDMGDEDIRSNVRYTSDLVTIEYPSKYSSKGNAKIPSRQRHRVIGLHKDIEVLKSELLKFISEHGQEGFMPMRSQLRKHGRFDMEKAISRRGGFRKFASLMNLSLAYKDPKPKGYWDQLENLKEEISRFQKSWGMDPAFMPSRKSFERGGRYDIARALEKWGGLHEVSRLLSLKVRHPNRMRNNVNDADKAPSKHDVSQDREKWLVKLKDLDINWVE >KVI00521 pep supercontig:CcrdV1:scaffold_413:27361:35126:-1 gene:Ccrd_021225 transcript:KVI00521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MAIATRFVMRISRSASANQKLGIGFCQRRFMRVLTCEEQELITDIENVKNRRFVALWGNGDYGRLGLGSLESKWRPALCSAFGDGNLREIACGGAHTLFLTDTGDVYAAGLNDYGQLGVSDGRSYTIDPLEVSGLPKDIVKISAGYYHSSAITESGELYMWGKNSSGQLGLGRKAAKVIPVPSKVDFLSEVPVKMAALGSDHSIAVTEKGEVLSWGGGEYGRLGHGHKSSLLGFLSSTSEYTPRLIKGLEGVKRMVPYLSLGKKQYRSCDTHSVYEPERVQGPFVRHSVCKVSCGWKHTAAISDGNVYTWGWGGAMGTFLEDEHSSGGQLGLGDDVDYVEPTIVDFGESVKALQISCGFNHTGAVLEFN >KVI00519 pep supercontig:CcrdV1:scaffold_413:157428:160418:-1 gene:Ccrd_021234 transcript:KVI00519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSSTTGEASKFSSLTSIHVCYHFSLDEIHSATNNFDDEVVIGRGGSGKVYKGSICIGETSHVVAFKRLDSMSHQGALEFRNEIEMLSKLRHCHLVSLIGFCDDNKEMILVYEYMPNGTLDHHLHKADTPLNWVQRLKIALGAARGLDYLHTGVGTQHGVIHRDIKSSNILLDKNWAAMISDFGLSKVGPTSQSFSDFDISVSVKGTFGYLDPEYFYTRRVTRKTDVFAFGVVLFELLSGRYAVDTRYEEEKHSLVRWAQKCVKDRKLYQLVDYNIRGTVFAKCLTRYAQIAYRCVHSVLKERPSMTEIVASLQLLLELQVKHDNSAESSGKVRFTWKIHKYLVPTTKQKSDQSGSTSSQKSLENHMNLPSSINKDESNQGETMKVATYLKKFTYVELECATRSFGIDAVLVYDDVSDVFEGSDEAGLPIALDLDVLIELNHPNLVKLIGCCVKFDQVFLVYELMAYENFLDCLRVGSLRRLPLVTRVKIAVGIARGMVFLKKKQREILDNMYPGRLVSEGFKDYDGASTSERPSKPETDDIRIKMINEYGLDRCKIMLDEKVYLSFFIIYPLCWFFGY >KVI00507 pep supercontig:CcrdV1:scaffold_413:265305:265910:-1 gene:Ccrd_021243 transcript:KVI00507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTRRASGPVLRSNSPSGRFYSSPSSNSFASSTSAFSSRSSSFFQNRSASPTRVNLYGSSPSPSLSSVRFSLDNRSISPSRSISVIPRGNNQAAKKQLQAPRRMCMCSPTSHPGSFRCSLHKNSNNSHSATASYPSNRLNARRSAMTNSLVRIGTVEGGDLVRRALAALIRPSSHQQRRRTSFQPTPSRLSVMTKADDP >KVI00513 pep supercontig:CcrdV1:scaffold_413:133066:143227:-1 gene:Ccrd_021232 transcript:KVI00513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MTEVVASLQLLLELQEKHDNSAESSGKMRFAWKIHKYLVPTTKQNSDQSGSTSSQKNLEDHMNLPSSINKDESNHGETMEVATDLKKFTYADLEFVTRNFGIDTLLVYKDVEEVFKGWVDKTTYSPSKINTGLPIAVRRFRNNEHGSLKKVDLDVLKELNHPNLVKLIGCCIKFDEVFLVYEFMPNRNFLDCLRNGTLYGLPLVTKVKIAVGIARGMVFLKKKQREILDNMYPGRVVNEGFKDYVGARDSKRPSKPQIDDVRIETISEYQLDRCKIMLDEKDFTAKLSNFKSTYDFERYMHKHNNLVEGDYYPGY >KVI00525 pep supercontig:CcrdV1:scaffold_413:66515:71279:-1 gene:Ccrd_021228 transcript:KVI00525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MGILRDDGVVYKAVNKVDLGPNSGEVYLHADVKAPRMAGLFAKVFVWFLEMKLIGNILLYFLKKNNRIQSLVSYGVLEESPLYVPLHTYEDVEEEEVKCLDRGMSPAEQVQHAMDCLQSSDTVLHDSSFSFCRWTILDYSRAYAKRQITPLVVAERLISAVVQSSSPGMDMSFFIRYDAQDILRQAAESTLRHERGESISVLDGVPIAVKDEIDCMPHPTTVTYLTIVIGGSKWLHKVRPCKEDACCVKRLRSCGAILVGKTNMHELGAGTSGINPHYGSARNPYDPRRISGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVIGLKPSFGRIPHSGVLPLNWTVGMVGILAGTVEDSLIVYAAISGQAESHQPTMWFNDCSDDIRACCLHALASLHKSYGWETYEVTLPDIEVMRLAHYVTIGSECSASIAHHLEKMGKSVSGWDVRVALSIYGSFDSRDYLNAQRIRNRQMKFYETIFRLADVIVSPMVGVTAYEIKEDAQETGELDYVNGAALVRYSIAGNFLGFPAVTVPVGYDRLGLPIGIQFIGKPWSEAKIDLLICHKQ >KVI00518 pep supercontig:CcrdV1:scaffold_413:206228:220494:1 gene:Ccrd_021237 transcript:KVI00518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSSEIEVEENTDHVAAGNANGPIGGGGELQEESLKNDVYTAAAYGDLEKLKNLVETEGCSVNTPDDLGYRALQWAALNNRAAAAQYIIERGADVNAVDLTGQTALHWSAVRGATQVADLLLEEGARVNAGDIYGYQTTHVAAQYGQTAFLYHIVTKWNADPDVPDKEGRSPLHWAAYKGFADSIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLEKRYEGMFGRLSKLGLAPALLFIIFVLLLTYINSVITAPNLPKLTAASAFFAWIAKIQEPLLKIEIRDPALLAGNWTQLCATCKIVRPLRAKHCPTCERCVEQFDHHCPWVSNCIGKRNKWDFLCFLILEVLAMGITGAVALTRIVTDPLAPASLGAWLQHVGNQHIDFSLFIGVAALTCMQISQVSRNITTNEMANMMRYNYLRGPGGRFRNPYDHGCKKNCTDFLINGYNDDIEVTEEAAATLSDGIDLMEMSRSLTLNIQNGNGNGNGHVDLSKNPKPNTHVHSSSCSHGNQSKPKTESVPLGLGLGLGRGSRPRAAS >KVI00511 pep supercontig:CcrdV1:scaffold_413:221210:224675:-1 gene:Ccrd_021238 transcript:KVI00511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MGKKSGKNKTHGGSKPADSSSQKAYDNDTIIFISMAQEHKDEGNKLFQKKDYEGSILKYQKALLLLPGNHIDVSYLHSSIAACYMQMGISDFPKAIQECNLALEVTPKYSKALLKRARCYEALNMLDLALRDVSLVLDMEPKNLMAMEIADRVKALMEKKLTEEGPKSFMVTENGGRLSEEESKAIRFPNYVEDTHKKSMKEKTRKKKSKKLEQIDEKKDEGDNKGKFEKISDGNCPKKFEEKAEHKKEECKKIHDVEPVDEDKLVVEEKISSFSKEDEQKRSIKLVYGDDIRWAKIPFNCDVLELREVIFDRFPVSRAVLMKYQDQEGDMVTVTTNEELRWAESTSGQNGSVKLYIVEVNPEEDPFFAHIRRQEQKRKLLNSSTCIDDWILEFAQLFKNHVGFDSDAYLDLHEAGMKIYTEAMEDTVTSEEAQELFSNASDKFQEMAALALFNCGKVHMSRARKRVFFTEDSSQESILSHIKDLYKWAQIEYSKAGERYEEALKVKPDFYEGFLALGHQQFEQAKLSWYYAIGTNVDLEKWDSTDVIQLYNKAEDNMERGIQMWEETEKECTDENSTPNKVKILQKTGTRKDEAEEQAAYMRSLINVLWGTMLYERSSMEYKLGLPFWHECLEIAVEKFELAGVSHTDMAVMIKNHCSNNAAPEGLGFDIDEIVQAWHEMYEVKRRQSGIPSFRLEPLLRRRVSKLFYALEHAR >KVI00509 pep supercontig:CcrdV1:scaffold_413:245438:246142:1 gene:Ccrd_021241 transcript:KVI00509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif/pointed domain-containing protein MVAMDWYSWLSKTNLDPYLVYEYGRMFTHNELQRGDTTYFNHEFLQSMGISVAKHRLEILKLARKSIGGRPQNGFSKLVSAIIKTKMLLTKKLGRCVYHKPAHTPRPDNNPFRPQWPSSNLRKNMGFEEDKEERVKKMMKSGPLDRMQESIYMSPKRVLSVSGPLDRKVHENLMLMYSSPMESKAAGPNISGSVDVMGYSSPRIGIPCGMVKTGEEDDGIPSLWSLMFQDMKPT >KVI00512 pep supercontig:CcrdV1:scaffold_413:231008:236274:1 gene:Ccrd_021239 transcript:KVI00512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate receptor binding domain-containing protein MGSISLWVLLLFVSLFNYDVVAEQIFPTHTGGLSRSSREPKYDIEFHTEDSPFHPDDDQESVIMPKKNGDKFQCFLPKVEKSKSGKPTIHQNTTSLILETEKRFKLKTPDELLEALKDRCFIRFVLGEYDAEATAAYNQNLSDVSTLKDPRSKDASQRYHAHQYTNGTTCDLTNEPRETEVRFVCSEPRAMISSITELSTCKYALTIQCPTLCKHPLFLEERPVWHTINCNPLPKDYKQPKMEDDIIQGPKIVMVTDKESSSSSSSSSSSLQSEEHAT >KVI00524 pep supercontig:CcrdV1:scaffold_413:75815:86692:1 gene:Ccrd_021229 transcript:KVI00524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSSTTGEADKFSSLTSTHVCYHFSLDEIQFATNDFDDEVVIGRGGFGKVYKGSICIGETSHVVAIKRLDSMSDQGALEFRNEIEMLSKLRHCHLVSLIGFCDDNKEMILVYEGTIFAKCLKRYAQIAYRCVDSVLKERPSMTEVVASLQLLLELQEKHDNSTESSGKVRFAWKIHKYLVPTAKQNYDQSGSSSSQKSLENHMNLPSSINKGESNHGETMEVATDIKKFTYVELECATRNFGTVALLVNEDEDVSEVFEGSDETGLPIAVDLDVLKELNHPNLVKLIGCCINIDEVFLVYEFMPKGNFLDCLQNRTILRLPLVTKVKIAVGIARGMVFLKKKQREILDNMYPRGLVSGDFTAKLSNFKSTYDFERYMQEHNNLVEGDYYPGHEPSPIEILEDDVSGFTVVFMEVLIGTKISDHKDLRKIDDYLKNQGKKSLRLIAELCFKICDEVDSESRILTILEEYEEHIKEDMALDYLHTGVGTRHGVIHHDVKSSNILLDENWAAKISDFGELRLAVDICYGDEKCSLVRWAQKCVKDRKLNQMVDSNIRGTIFPKCLRRFAQIANRCLHSVPKERPSMTEVVASLQVLLVVQKKHDDSEYQAK >KVI00516 pep supercontig:CcrdV1:scaffold_413:117452:122721:-1 gene:Ccrd_021231 transcript:KVI00516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MXSTTGEAXKFSSLTSXHVCYHFSLDEIHSATNNFDDEVVIGRGGXGKVYKGSICIGETSHVVAFKRLDSMSDQGALEFRTEIEMLSKLRHCHLVSLIGFCDDNKEMILVYEYMPNGTLDHHLHKADTPLNWVQRLKIALGAARGLDYLHTGVGTQHGVIHRDIKSSNILLDENWAAMISDFGLSKVGPTNQSFSDFDISVSVKGTFGYLDPEYFYTRRVTRKTDVFAFGVVLFELLSGRCAVDARYGEEQCSLVRWAQKCVKDRKLYQLVDYNIRGTIFAKCLTRYAQIAYRCVHSVLKERPSMTEVVASLQLLLELQVKHDNSAKSSGKVRFTWKIHKYLVPTAKQNSDQSGSTSSQKSLENHMNLPSSINKDESNQGETMKVATYLKKFTYVELECATRSFGIDAVLVYDDVSDLDLDVLIELNHPNLVKLIGCCVKFDQVFLVYELMAYENFLDCLRVGSLRRLPLVTRVKIAVGIARGMVFLKKKQREILDNMYPGRLVSEGFKDYAGASDSKRSSKPQTDDLRIETISEYQLHRSKIMLDAKDFTAKLSNFKTTYDFAPYMHKHIKLVQGEYYPGLT >KVI00520 pep supercontig:CcrdV1:scaffold_413:171272:179423:-1 gene:Ccrd_021235 transcript:KVI00520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGYLLIEMGVCLSMLLMMICVDSQSNERSLWIDGEHKIAETDSDFICATMDWWPPEKCDYGVCSWDHSSLLNVDLNNKIFKNAIKAFSPLKIRLGGTLQDDVIYQTQNHQEPCNPFIKNTSELFGFTKGCLPSSRWDELNTFFQETGAVVTFGLNVLMGKTVLANGSTVGAWDSTNAQTLMRYTVQKNYTIYGWELGNELSGNGIGASVSASQYAIDTMNLQTVVHRVYKDIEAKPLIIAPGGFFNPSWFKEFLAKTPETLNVISHHIYNLGAGVDQNLTEKILDPSYLDGVADTFKKLELTINTSASYASAWKGITVLLMNLDNSTSFDVKLSVNSTWRLHKHRSHTHRHHHHHRHHTTKHAHETKTGGKRIRIRTRQEYHLTAKDGNLHSQVMMLNGKELTINESGDIPSLEPLYTNSSEPITVAPYSIVFAHIPHFTLRACKR >KVI00517 pep supercontig:CcrdV1:scaffold_413:196439:197068:1 gene:Ccrd_021236 transcript:KVI00517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triphosphate tunel metalloenzyme 3 [Source:Projected from Arabidopsis thaliana (AT2G11890) UniProtKB/Swiss-Prot;Acc:Q9SIY3] MEVEVKLRLPDSETYKTIISLLSPFHIKTHNQHNNFFDGVDGQLSVHRAILRLRFYNDQPTTKCIICLKAKAVLVDGVSRVEEDEEEIDPSIAQECLTDPSRLGSLVESSRIMKRVKDEFFQETNDNDGLGFVGLGGFRNLRNVYEWKGLMIEVDETSFDFGTLYEIECESNEPEKAKELIEEFLKENGVSYSYSVASKFAIFRSGKLP >KVH74768 pep supercontig:CcrdV1:scaffold_4131:11682:12892:1 gene:Ccrd_025564 transcript:KVH74768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLITLVACCGIDQYQSSVALNSKRNDDDKSLVVGLVRENKLLGGGKMGRNSWRQPVQWRPSLCTISEEDVIKAERIQLYVKPGNKGMKNVKLSTSSYTPYGYRNEFV >KVH74767 pep supercontig:CcrdV1:scaffold_4131:37139:38412:1 gene:Ccrd_025567 transcript:KVH74767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 MSMNGQNMGQYVVPFVCLLIVIMDLTAGILGIQAEVQNLRVWIFECRDPSYQAFKLGFAAAVLLAFAHAIANLFGGCHCVWSKPELDRATSNKQLAMASLVLSWITLVIGFGMLIAGVMANSSSRKSCGVSNHRYLSIGGIACIIHGMFAVSYYISATAVEKEEKKLNPPGPMMNPPGPVHQTVPTAPA >KVH74769 pep supercontig:CcrdV1:scaffold_4131:13966:19558:-1 gene:Ccrd_025565 transcript:KVH74769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKATMTLKDFHGGSIPSDLPLPSAPGVTVRPLNHAGLDRHTSWMNPMGRQDHRLRPGSAGAVRTYDDKTPFLTHNVQIGRNFDEDERKPLDGGSAPRRTVSDENIRGGVPVRQEPKSDYPLAVNVPSRPATTPLSQFPGGGGGSTGSYAARFSETANVGVNSQNVSSGRRTLGSSNSNVIEVVNGPSPNAWGPRKAVVGPSEPVVASWSAPDAATKLAHASALEKVSSGRWHSNQHKQIDVEVIRHPEPEPKVQTKGNSYTTFSYGSTEREFHDASLARHAGRSLTINDGSTVAAREPSSYERSRTFVHPDMKDKGPPNGIQVQVQSPHSGGTFGGSELHPSSPSELSERPKLKLLPRSKPVDNLEPVVACKQTIPPSSEPGLVDIGNDTYGNVNSTIHSLAGPEDGHQAFERPRVNLKPRSQPPEQMERNVERERHAAVHPTITPRQNGKPEPLDHHRAGKTIDRRDPEKSEAQRRSNWRNESRKSSRDLEKQPPPPPLPQERPPSPETWRKPVEPAPPVGPRYGKAASAVELAQAFSKSVSEPKVAATDRFSGPRGVSGQGQIPFSRLTSQPTTRPQINGH >KVH74766 pep supercontig:CcrdV1:scaffold_4131:25898:32008:-1 gene:Ccrd_025566 transcript:KVH74766 gene_biotype:protein_coding transcript_biotype:protein_coding description:(2R)-phospho-3-sulfolactate synthase, ComA MADYRWKSFVEDEDRPEKPRRFGVTEIRGPNHTSLFSHTLLQDMLESMGQFVDGLKFTGGSESLMPKSFIREATKLAHEHNIYVSTGDWAEYLLQKGSSSFKEHIEECKQLGFDTVELNMGSLELPEETLLRYIRLIKSKGLKAKPQFAVKINKADIPPTHARAYGAHVVPTPRTSERVEDVDFLIRRAERCLEAGADMISIDAEDVCKYADSVRADIIAKVIGRLGLQKTMFEASNAKTSEWFIKQYGPNVNLFVDHSQVLDLECLRGRNLGKNHNSVLDSSYFLV >KVH74770 pep supercontig:CcrdV1:scaffold_4131:2571:5890:1 gene:Ccrd_025563 transcript:KVH74770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MAAVGEMEDGFPATRLFNQGYSYTYDDVIFLPHYIDFPTDSVQLNTKLSRNINLSVPCVASPMDTVTEASMAVSMAALGGIGIIHSNNTPSEQSSLIRSAKSHRIPFACTDIPFVSPDDSISSASVFETSPCVFVGSKSDNKLLGVVDKLTWEGLADKEARVSSYMEKNMITLPNTYKFEDVAGYLAAKELDFVPLVSGNGEVVDVVSKADVERIRGFPKGGLPSVGEDGEFLVGASIGTRESDKKRLEYLVEAGVNLIVIDSSQGNSIYQIEMIKYAKKTYPDLDVVGGNVVTMYQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSVAAQSGIPIIADGGISNSGHIVKALTLGASTVMMGSFLAGSTEAPGAYQYQGGHRIKKYRGMGSLEAMTKGSDARYLGDTAKMKIAQGVVGAVADKGSVLKFIPYAMHAVKQGFQDLGASSLQSAHDMLRSGILRLEVRTGAAQVEGGVHGLLSYEKKSF >KVI08083 pep supercontig:CcrdV1:scaffold_4133:7101:8039:1 gene:Ccrd_013549 transcript:KVI08083 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1/V1/A1 complex, alpha/beta subunit, C-terminal MKQVAGKIKLELAQFAELEAFAQFASNLDKATHNQLARGQCLCELLKQSQSAPLVVEELILTIYTGTNAYLDSLEIGQAPKDEEGRSKR >KVI08084 pep supercontig:CcrdV1:scaffold_4133:6984:10480:-1 gene:Ccrd_013548 transcript:KVI08084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MVAGWAGSMALYDLAVFDPSDPVLDTMWRHDPTLETEISTLIAGRIPTLNRSADKEYWFSSME >KVI11169 pep supercontig:CcrdV1:scaffold_4135:14524:42385:1 gene:Ccrd_010423 transcript:KVI11169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFEYNPSQSISSIRLPPTFNPSSSVIYNKGIRSNRDILLPLFDEVTNEAFKGGCIRADVNTSSQHDILRGDPYDFVYNGISRKHRVLKEQNACEFCGAKWFKFEFPSFCCMKGKTKVVMQIIASNLSIVLYLIQITKTTFCYWDNNLVSPSKSMDSNGRAWIVSRNHISQEYTLGLNNFLAAARCHLGVDGRTLCPCNRSEDTWLQRLLMIRAHILRYGMLAIYQRWIHHAKSLSDEEEHDHFEDSSYNDEDAHTLRDVIMDEEGCMFFNVDRSTKNDVEDKSDVNRGRFD >KVI01067 pep supercontig:CcrdV1:scaffold_4138:16764:25839:1 gene:Ccrd_020668 transcript:KVI01067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLLSLLLLWIPVLILTATAVEYRTQDCGLNTTSHANNTTYFSNLIQVLQSLASNDAVNNCKFFNKSAGNGHQDVAYGLYLCRTDILPNDCRNCVLKARDDINNLCPFSKEAVVWKDNCMLRYANYSLDLMNSSNFKYACSDYNISQDTSEQTQFWETVRSLMDPLASLAPTHPKEKIAYNELSYNNNAKVYGYVECIPYLSASDCNRCVGESIHRLLQLCYPTRGARVLTRSCNVRFETYKFLQVSAASSDDLSGKKKISTTIIAAIVGAVGALVVIAGIYHMLVKKKPRRRAMSKELNDESDKSEIITEQSLQFELDAIEAATNNFSVDNKIGEGGFGAVYKGVLPDGREIAVKRLSKVSGQGALEFKNEVVLLAKLQHRNLVRLLGFCLEAEEKILIYEYVPNKSLDYFLFVIQKLIYRSRQNKHNWIMDSFSTDLYIDPTKQAQLDWSARYKIIGGIARGMLYLHEDSRLRIIHRDLKASNILLDEDMNPRISDFGTARIFCGNETQAKTNRIVGTFGYMSPEYAMHGNFSVRSDVFSFGVLVLEIISGKRNSGLFQSDYIDLLCHTWNRWKNGSPMDILDSNLVNSSLKNEVLRCINIALLCVQEDAELRPSMASIVLMLNSYSVALPLPQNPPFVSGSRVRHDLPSQMLESDNSICKTNVWPTDVSPITENDEDNNEEEDEDDEYYSDDEEEDENDVLGINDDTDSDNDVNEFHNIGDEYRHDPNY >KVI01068 pep supercontig:CcrdV1:scaffold_4138:40034:41762:1 gene:Ccrd_020667 transcript:KVI01068 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAGSKNLLGIFMLQIMLVGLFSSHADGQGLKVGFYEKSCPQAEAIVSKVISDVMSVAPSLSGPLLRMHFHDCFIRGCDGSVLLDSPNGQSEKFSPPNLSLRGFNIIDRVKLALEKACPDVVSCADVVALVARDVTVATKGPHWEVETGRRDGNVSLIGDPISPGTGLPSFSANITVLKQSFALRGLSAKDLVVLSGGHTIGMSHCSSFESRLYNFTGKGDTDPTMDPNYIARLKLKCKPRDQTTLAELDPGSFKTFDDSYYRLVTKRRGLLESDAALLNDPETRAYLVQAISHGSTFFKDFGVSMVNMGRIGVLTGSQGEVRKVCTKTN >KVI02112 pep supercontig:CcrdV1:scaffold_414:93658:103173:1 gene:Ccrd_019597 transcript:KVI02112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQLQMTWQHNLLIRKRKNGPPVGFKNLHNTCYLNSVLQCLTYTPPLANFCLQLKHTAFCESAGQQEKNSGCAFCLLEKRIVRSLSIDLTLDTPGKIIGGLKIFAEHFRFGRQEDAHEFLRYVIDACHTKCLGLKKLQQQGQRLLTNGRGNSYGGSTVVKEIFGGALQSQVECLACGNESNKIDEIMDISIDVFNSSSLKDALQKFFQPEILDGNNKYKCDNCRKLVVARKQMSMLQAPNILVIQLKRFEGIFGGKIDKPIALEEVLMLSSFMCKSSQDPHPEYKLFATIVHSGLSPDSGHYYAYIKDAIGRWYCCNDSHVSLSTLQEVLSEKVYILFFSRTKQKPVIPNKTLATNGKRAYDCNGGDMPKIQKSGHIVKSTNLQQSVKVDSVAPPRVDKLLSSPKRKLGPSGNSCTRKFYATLNMKIVDNKENNVNNGDVKSSNFKKTGEKKMPLLEDKNGFSQNRPVSAVSREESRSSLVVKGNGETLKSNTDFVANGCKSNGARKELATGKMPGYQEMHNGSANSHPDYQESQSSLVVETQKRSTDFVANGCKSNGARNEIATGKGSDYQEMHNGSAISHPDKASYKRKLHEEQQSCSLFAEDFQSQAKDGKLKKLYVTSNPLCFIYSDSGMQIIVSDFSGKEVRLQREASSFLRTCGWSDEVHSFMLAKKKCARVASSGASPGNELKRMLIADAKSTFISRIPESIKSELIKHLKVFSQDTTHAP >KVI02122 pep supercontig:CcrdV1:scaffold_414:140403:141713:1 gene:Ccrd_019602 transcript:KVI02122 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSWRWAGAIGAAKKKLDDDDAPPKYQSVALIVGVTGIVGNSLAEILPLSDTPGGPWKVYGLARRPRPQWNADHPIEYIQCDISDPDETLTKLSNLQDVTHLFYVTWAHGSTESENCEINGKMFKNVLDAVIPNSPNLQHVSLQTGRKHYLGPFELYGKVAHDPPFHEDLPRLDAPNFYYTLEDILFKSVEQKEGLTWSIHRPGTIFGFSPYSVMNIIGALCVYATICKHEGVPFKFPGTKEAWDHYSDVSDADLIAEQHIWAAVEPYAKNEAFNISNGDVFKWKHFWTVLAEQFEVENGGFDGGGEKMRMVEMMKDKGEVWDEIVREKGLLGTKLEEVAQWWFVDMILGGECMLDTMNKSKEHGFLGFRNSRSSLISWIDKMKGYKIVA >KVI02111 pep supercontig:CcrdV1:scaffold_414:81213:87291:-1 gene:Ccrd_019596 transcript:KVI02111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MEVTNVSEYQAIAKEKLPKMAYDYYASGAEDEFRPRILIDVSKIDLTTTILGFKLSMPIMIAPTAFQKMAHPEGEYATARAASSAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLNLGKMDEANDSGLASYVAGQIDRTLSWKGVITAEDTRLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDIGRPVVFSLAAEGEAGVRKVLQMLREEFELTMALSGCTSLREITRDHIVTEWDAPRARPAPRL >KVI02108 pep supercontig:CcrdV1:scaffold_414:193571:202774:-1 gene:Ccrd_019610 transcript:KVI02108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MIKLQICRSNYQWSTLVSLTLFAVVFVGSISYASNRFSLLSLPSSPWPWRWTTSSSSSLNFSIPSINLHHPPPSPPPPLINPKTALYSMFHCDSDACKKQNGKMNKVVSKLMKELKDETLFRMKKKNKMKRDKKLEKVEAELGRARVLISDAGESNHSRSSDPDYVPRGSIYRNPSMFHSVAMILDNLFDPIIRDKAVLGRVIGDYVHTVSSRYPYWNRSLGSDHFMLSCHDWGPRATWYVHSLYFTSIRLLCNANTSEFFNPRKDASIPEISLHIGETTTITGGLPASNRTTLAFFAGGQFHGKIRPLLFQHWKDKDQDIQLYDRVPKNVSYHEMMKTSRFCLCPSGFEVASPRITEAIYAECVPVLISQHYVLPFSDVLNWDAFSVRVLVSEIPNLKKILLGISDDKYTRLQENVKMVQRHFLVNNPAKRYDVYHMILHSLWLRRLNLQIYG >KVI02125 pep supercontig:CcrdV1:scaffold_414:186462:190403:-1 gene:Ccrd_019609 transcript:KVI02125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLAHRRAVSTEEGEQFAKENGLIFMEASAKTAQNVEEAFINTAGTIYKKIQDGVFDVSNEARVTLLFHT >KVI02104 pep supercontig:CcrdV1:scaffold_414:226899:239583:1 gene:Ccrd_019614 transcript:KVI02104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAAEEQSNTKTEEGTTAFRKKRFRRVSFAENTSVHIFDRDEEYNTPPDVEPPSSPYEELGFSERDGARKQFFRNEEEDDEDDDMDELGPRSPFLRVVRSPSSGGSTIEDNFFGPVSTSFIRRDFSDSAASDENHDHTMDSTAFSMHFHSIARSDSEGDLKTSTGVHLSFDEKTPTLSSIPSNTRNSMLLTLAKKPNSQPDVSTSKLSTGSQSNDMSLVGEYHAKYDYGRLSPALDALLAEGHNDSNVISHISMLKSPIKSGERILANKENGPDLMDFSYGQDVKMQDSTSHEALNEVVNVNPNEMGVASGSKLFPSKQIAFGVSSNASETQASKPLSPNQSIGGTLTAKTKEPVKDALGINSGLEVLATSQGTPSNPKNMAYQLEDVLEKENKSPLVGSITRLTDRPSYMVSNGVSLSKSPGTVTPFHNQASLFPKTESLKHGGSVSSLQRSISKLRILETSPFSAVLNAKLEDSNSRSVVGLFKMTPLGTLLEKNNKPLQANCMNIAGIKTLDTYLEEPLPSSAQKKREGESTNMNDSKTEIPNNFVPRTRKESSVEVASILVLPSENTYMQPKLLQSAGYKDDENKIGSPQTFTCSPKKFKEATTAEFRGSPYRDEKQSIQLNESVSFGLGNVGGLGESVTNTQLSTTASDGMDSLYIERRQNSTPLNVAISNVKEMLHDRKDFPYDVLCNSDLRRSLTTLSNDIEHENFQTISRESDIPMGLMKTSLLLNGRKDEQSYRQNLADQFGGSPSNRELYSVLHDHSTDSLCMEKVQPSGANQLSTGDVSSAERKRKTEDVMAEKIARIRRSSNSDVELPSDGGISSIGPNLKHLAEIHSRFFKETKLFSHSVDRMNFHTIDRLIDIVGQLQRSETYQLLSNEIQSQIFLDQGGNIQDKRVAETKYLLCKTVHEQAKLQLMHLKRERLLKSVQSLASGIQESEGLKLNYPPPNSLDVRVIHQQPFSDNIEDIQEAIEDIHRRISNLTNSFHKSCKMKGEPNPADTIAFVTDHLMKRARCQTIRKDLQLWVLDNLKSSKGRHEIVLNFLDLMTQRLTVTAGVIPSISISNILNEMNINKHFKDMDASTVFGFVFDAGITQRQVGAASLAQETQTTSSLLGNLVDVMEEIQLARIELRNLVHARFHTSLDESLYLELYFFDPNGRKKATITLNASCLKRGIYPSEIVPCQIFTPVDESQNSSSQSLSTEIAAAIRDLRVGFLRILRLCRSISQLVSPSRSQCSQLDFGFKFFKKGVNSNWCRLEMDMCVIMSDANRIQYLETSCRPNWS >KVI02115 pep supercontig:CcrdV1:scaffold_414:113772:119643:1 gene:Ccrd_019599 transcript:KVI02115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum oxidoreductin 1 MVEVEIKGKKLANGGDEKSRDSHKYTGIVEDCCCDYETVDSVNGAVLYPLLQELVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKRPSLHGLAKDDLICQEGKPEAAVDRTLDAKAFRGWAVVDNPWTNDDETDNGEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKYSSGESCQEKKVLYKLISGLHSSISIHIAADYLLDETTNQWGANLQLMHDRVLKHPERVQNLYFTFLFVLRAVTKAAPYLEQAEYDSGNHLEDMKAHSLIRQLIHNPKLQAACPLPFDEAKLWQGQSGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQKHPDQHLQLQRNEVIALMNLLNRLSESLKSVTDMGSSAAMDGLVLEPSSKAINVIQRLRELWMANWWKNVPVSPLS >KVI02116 pep supercontig:CcrdV1:scaffold_414:145394:151216:-1 gene:Ccrd_019604 transcript:KVI02116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MFRLLKKLPSNGVIRRRWMSNTTTVMSFGDGTHGALGLPASITGIGSDAYEPTAISSLPPDVCSIAAGHYHSLAVTSQGHLWSWGRNVEGQLGRGVGSPRETWSRPQRVEGLSSVRSAFASGVVSAAIGLDGSLWVWGKSKRGQLGLGNGITEAVFPSRIEALAKEEIIKDGKLFGWGYSADGRLGTRGGTTRASSLDSNGNMSTIADQTSSSKVEAAAKVVLEAMDKENDMPIVWEPCLIEELEGDGILLSGGSNTYGQLGRSKQDLGLLPVDTKERAVCVASGLGHSLAICKIPKAGGMTEGILSWGWNQNSQLGRVGPENLPNLVVGLLGEKLLSVSGGRVHSLALTSAREVFVWGCGRNGRLGLGSSIDEPEPMLVELPEGVEVLQAVSGSYDT >KVI02123 pep supercontig:CcrdV1:scaffold_414:144022:146784:1 gene:Ccrd_019603 transcript:KVI02123 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase archaeal subunit P/eukaryotic subunit RPC10 MNRKILGFVRPPFSTSQSPCCLVFLLSNQWTPSQNRLLTYVEENTLKVGDVIQCRECGYRILYKKRTRRIVQYEAR >KVI02114 pep supercontig:CcrdV1:scaffold_414:107201:108257:1 gene:Ccrd_019598 transcript:KVI02114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MVKEEVVLVSFWSSPFGSRVKIALEEKGIHYEYKEEDLFNKSSYLSTINPVHNKVPVLIHNHRSICESLNIIEYIDEAWSDRSPQFLPSDPHQRSQARFWADFIDKQLYEASKKIYREKGEEQVNNGKKEVLRILKLVESELGEKAFFGGDNLGFVDISLIPFYSRFYTWETFGKFSIEEECPNLVAWAKRCMQKQSVANSLPDPLKIYDFIVSLNKYYHK >KVI02121 pep supercontig:CcrdV1:scaffold_414:126094:137433:1 gene:Ccrd_019601 transcript:KVI02121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SAGASSPAVATNAGSTDWLGHGQGSKVGSLSRIGSQPMWISLSASAGGSALGSSQPSCRPWERGDLLRRLSTFRPANWFGKPKVASSLACARRGWVNVDVDKIVCESCGATLKYVAPDSWTPTEGIVCCNLDSNNCLEYAGFLFSSLRHSLLKLKEFVDVCKRDGDNVGEEFANQLDEGHKVICPWKGNSCAESLVQFPPTPPSALIGGYKDRCDGLFQFLSLPIVAASAIEQMRVSRGPEIDRFLVQSYTFTVEESGCKADIASGSESNREEALCIYSRAQKLISLCGWEPIWLPNVQDCEEHSAQSARNGCSFSPIKGRAPPEDRVPNKKALSASTKKGPVKNEQLGPSTKFEPRSPLLDCSLCGATVRILDFLTVSRPARFAPSISDVPETSKKMALTRGVSAASGINGWVATDGKEQTEDLDEAATTEGKSMSNIGVDLDLTMGGGFSAGHAHKTMISEQYQDVNIGKDLVIGQPAGSEVGDRAASYESRGPSTRKRNLDEGGSTVDRPQVMMQQADSVEGVVIDRDGDEVNDSKQSSGPSKRVCESHVFESSHQSYRRDSSGAGPSQTLYFDIERDAPFHHGNEQAAGYPSTRDSTRASSVIAMDTVYHGDDNDSMESVENHPGDVDDVNYPSVSAFKSPDLNDTSDVNFSNQAQQSTCAATVRAAGEIGVSSTNDEEVLNTDTTTAHRRDGPSFAISGGSVGMGASHEAEIHGADASVHRTESVVGDMEPVAEVTENQGQNGEFAADPGLMGDFVPEEMEQEYPPGGSQDLMSRSVGREDSGSKVLGSAKAESVESGDKTSNMNILFHEQSVHPSLSCNAVLCSGFEASKDEVTQAGKSSPIDECGYTNYPVANGIGTVNGESNFEEPVEFDPIKHHNFFCPWVNGNVAAAGVSNGNGSGAGALALCGWQLTLDALDGFQTLDPNQTVQSESAASLYKDEGQISGRKLVTRRSLSRSHGQN >KVI02119 pep supercontig:CcrdV1:scaffold_414:162154:171179:-1 gene:Ccrd_019607 transcript:KVI02119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSLRGGRDRQRRDLPLRSEEKSHHGRSTAPPSRHLWVGNLSHTLTERALSNHFRQFGELESVAFQPGRSYAFINFIDDRAAFAALGALQGFILAGNALRIEFTKAEKSSTSSHDEDYLQRRDETRSTVRGSPFPQRDSRSRHISSDPIYRNESPKENSKNAEPSEVLWVGFPQSLKVNEDSFWNAFSPFGEIEKISAFSGRTYAFVRYKHLSSACRAKEALQGKLFGDPRVHICFARSESGTSNSGRNLMNDPPSPRNRLYGRQGSSENFRHDRYHETSSMERSRRSPRFGSNLESEGFNDASMGFDRKGNRLTNRNDPFEHQTADIGLPGNMYGRRSPSRDRGGNFHDFPSKNFSHQGPLYDDEWDLPEDALVYHGAKKLKSSIPPEPELPEYPFSDSEQVKHVHPRLPEFSQRDMLDNNVGHFGYHKQIPDPPVNIPQHYGERVNSHNPSYDTFQTGSVSLPQKPVEWKRSTPEPQHPPASAEWKWEGIIAKGGTSICRARCFPVGKVLDMILPEFLDCTARTSLDMLAKHYYQAASSWVVFFVPESDADMGFYNEFMNYLGEKQRAAVAKLDDKTTLFLVPPSDFSEKILKVPGKLSISGVILRLEQPSSTVEALPPPPQSERPDPYLMTSHAEASRTSFSSPSGPYPPAQPFQHLGKPRANTSLPGLPPGPIPFHVNRNMADPIIENPQEHMLHQQGTHPQNLQNLISGGRNLAAPPRAGGDYMIPANDSVMPRTTQETSSSNYRPGSPTMPQYGHQEVKSAASSFMPAGAIQHDQLAQLASFLGNSRQSGSGISTADDFRQSSNTMIPDNASYRMPRTLPSPSTQIASEHSSSQYRQVQQFQQQQMSNMPQMPQGDFSTSSQGNAQVEADADPQKRLQATLELAATLLKQIQQGKT >KVI02124 pep supercontig:CcrdV1:scaffold_414:174670:180095:-1 gene:Ccrd_019608 transcript:KVI02124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELHDDSRSVPVSTTRNLATLKGGSESFGTNCVWRIVLASIKTAFFSDKINLLLPCGPLAMLLIGHHPFGRASGLGHRGGMIRLVQQSLLGSILSNMLLVLGCALFIGGVANRNREQIFNRDGSPSGSPVEEELADVSNVILLPIVGNAAEHASAIMFAVKDKLDISLGVAVGSSTQISMFVTPLCVVVGWIIGRPMDLDFQLFETATLFMTVLVVAFILQ >KVI02118 pep supercontig:CcrdV1:scaffold_414:154455:159083:-1 gene:Ccrd_019606 transcript:KVI02118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFTs/EF1B MFSGGAKQSIEILCRRLSTPIHSRYGYSTQAYRETIISEPKTTGTGLFVRKYSNDASPSDHMNLIKKLRERTSAPIKEVKSALVDCNWDLEAAQKELRKRGIVLASKKSSRTAAEGLLALAQNETKAAVIELNCETDFVARNEIFQYLASSLAKLALSAESSEQLSGTFPVGPHSLQDLKINFDHPKLNGERTVENAITEVAAMMGENIKLRRGFAMSMSKHGVMSTYLHTCPQPGLGRIAGILSLEVEDENASLDAVQRVGSELAMHVVAAKPLVLTKELVSSDAVQNEREILKSQAESSGRPQAAIEKMVEGRLRKYFEEVVLMEQKFIVNDTVNVKTLLNDLSKEVGSPVKIGNFLRVEVGEGLQRADAPEALAQAA >KVI02113 pep supercontig:CcrdV1:scaffold_414:9372:11162:-1 gene:Ccrd_019593 transcript:KVI02113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase MASLPSPVAAAAAGITTPYSSSFATVSSWPLLSKKCSIKSAKQTHRYGVRCNAADSDDQKPIIESLNSLDRRNVLLGLGGIAGAVNLTSIPSVGAAPLVAPDISKCGTNPLSGFKAGADTPEGSDCCPPDSSQIMDFQFPKEEVFRVRPAAHLLSPSYIAKFNLAIKRMKELPADDPRNFLQQAHIHCAYCNGAYTQSSSGFPDVEIQIHNSWLFFPFHRWYLYFYERILGSLIDDPTFGLPFWNWDTPAGMKIPSYFNESSSALFDSKRNQDNLTAVVDLGYNGQPSTLSDLDKVTNNLAIMYKQVVTNATDPLLFFGGEYRAGTEPIRGGGSVEQSPHTPVHRWVGDPRELNGEDLGNFYSAGRDTLFYCHHSNVDRMWSLWKTLGGKHKDLTDSDWLNTSFVFYDENKNLVRVYVKDCLLTSRLGYDYQRVDVPWLNSRPVPRSRKSGIARRSMGRVKRTTDINFPVKLNKTVRVLVPRPKTSRSQTEKENEQEVLVVQGIEYDSQQYVKFDVYVNDEDDDNTSPAQTEYAGSFAQLPHTHKGKTKSKTNFRAGLTELLEDLEADDDDTILVTLIPRSGCGDITIDGINIVYV >KVI02105 pep supercontig:CcrdV1:scaffold_414:221533:224793:1 gene:Ccrd_019613 transcript:KVI02105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAAKVIFILDDVDGGLATAITGGLQANSSSALERSLALDFLLKESFDLSLDQYGIMDTRASGDVTHFVDTNGVPQVSILVLQRYESPVLACAISEVLSSLTGEGTSSMPSLIFPFILDSSKIKLERKTSVDGNIYGIQIGPESGMMQILASRHEKAPPSMQIHYEPLSCVLQLVRVLKTSSFILFGQSGQKQTLQNDLEVICKIGETLASASSLQFVKEKVTWNPTKASKELEKEPWRALYG >KVI02110 pep supercontig:CcrdV1:scaffold_414:54676:56457:1 gene:Ccrd_019595 transcript:KVI02110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MAATSTSNSKWVSPKTRFPSSPKPQLASSSISYTKKLKSETIQCAIQTHSVLHFPNQSPCKQTTNESPSSSSSPAAPQNWNLLQKLAAMALDAVENGLTAREKQQTLPKTVNPDVQIAGNFFPVPEQPVRHSLPVIGKIPDHINGVYLRNGANPLFEPTSGHHLFDGDGMIYAVKFENGSASYACRFTETQRLIQERAAGKPVFPKAIGELHGHSGIAKLLLFYARGLCGLVDNSQGIGVANAGLVYFNNRLLAMSEDDLPYEVRVTPTGDLKTVGRYNFDEQLKSTMIAHPKLDPVSGELFALSYDVVQKPYLKYFRFSPDGIKSEDVAIDLEKPTMVHDFAITENFVVVPDHQVVFKLSEMITGGSPVVYDKNKVSRFGVLSKYSSSGSDIKWVEVSDCFCFHLWNAWEEPETGEVVVIGSCMTPADSIFNECDEELKSVLSEIRLNLTTGESSRREIISPENDVNLEAGMVNKNLLGRKSKYAYLAVAEPWPKVSGFAKVDLSTGETRKFIYGESKYGGEPLFLPIDSNSEEEDDGHILAFVHDEQRWESELQIVNSKTLELEATVKLPSRVPYGFHGTFISSGDLVTQA >KVI02117 pep supercontig:CcrdV1:scaffold_414:153682:154110:1 gene:Ccrd_019605 transcript:KVI02117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MLGAAGAIAPHPSRNRPPMVPDGCHPPSRHLQLLGRPLHPIRDGNSTHGFCRAPKIPRLVLTQAPWKAILLGTSETVFGIRDLAYPGGPFFNPLGFGKDEKSMEELKLKEIKKGRLAICWVLLHSGSGHRGWTIPEPFGPSG >KVI02107 pep supercontig:CcrdV1:scaffold_414:205897:206133:-1 gene:Ccrd_019611 transcript:KVI02107 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2431 MLNEGGEVHMRHRDDNPYNRWNVVLLAGEAGLKLKEKVDFQKSDFPGYHNKRGGDIRTNKTFPIVHAFNFKFALDLPE >KVI02106 pep supercontig:CcrdV1:scaffold_414:215619:220674:1 gene:Ccrd_019612 transcript:KVI02106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CHNRTNCGGDNGGRKLGGGNWTLGGTFLLDLLLCLQDGCRSTLEGSCTVVRDFTDFCFHSYFSFMDDLSEEMPAGQKPIDIRLSKLPKCLFVLPIAVAVDVPLITVVALWKSPYMLIIGWKRLLEDLIGREGPFLETVCVPFAGLAIVLWPLAVVGAMIASFFSSFGLALYSAMIVHQEDSIKFGLAYIMAVVSMFDEYTNDLLYLREGSCFPRPRYRENMKTSDRLERSLTGNDNKNEKESSRGSRLVSQRSKTLKQALQQYTPVQVWDWLFKSCEVNGRILLRDGLIDVKDIEECIVKGRCKKLDIKLPAWSILQCLLASAKSESSGLVIYEEICLRKLVMRYKNEKPEDWDDSGFPSNDHVRRAQLQAIVRRLQGIVGSMSRMPTFRRKFKNLVKLLYLEALQAGILASQDVADSKSGHRCQGSVSTVGSTPMTQDENPRNAQVSEDVV >KVI02109 pep supercontig:CcrdV1:scaffold_414:31826:36285:-1 gene:Ccrd_019594 transcript:KVI02109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAGAREVSGEVAATNQPTVAASLAVVNSDRRSVVTNFVSGNSTDRSRSQLNMQHIVHMFPSLRLNPMAEEFVPSQTLIDQNQMALDYSGRAPPVHQNSPGHPHRIDANTYDRGNYRNVYSQDRRRLTEALRSFSDLREDRYRTQRTVFISEVDHKVSEERLADLFSILGQVLDCRVCGDPFSNPRFAFVEFSDESSARAALSLSGIKVGSSKIRVMPSKTAIHPVDPALLPQSEEEREKCARTICCTNIDKQVTQAGLKSFFEAKCGEVSRMRLLGDKVHSTRIAFVEFVMAESAVMALDCCGMRLGRQQIRVNPSKTPVRARVGRAFRTN >KVI02120 pep supercontig:CcrdV1:scaffold_414:121735:122687:-1 gene:Ccrd_019600 transcript:KVI02120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMGVSIQLLMDLTVAGISLMIGFGIFAFIASILCSAAFFHNAKPYSS >KVI02103 pep supercontig:CcrdV1:scaffold_414:249089:249878:1 gene:Ccrd_019615 transcript:KVI02103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGFYDDFNMDEVDLSIEKYEVLFGVGHNDPEHLFAKDGIDSLFGTNDASIAESNCQAQPAYSNAASADSLRSCKTEPNPCYGKPHSNISFSSLTGESSGGDYQDCGASSMLLMGEPPWCTQAHETTTPSGIRNDAVLRYKEKKKTR >KVH96895 pep supercontig:CcrdV1:scaffold_4140:2776:4121:-1 gene:Ccrd_001013 transcript:KVH96895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPSLFGFDFLHLCLGFGFDFLLSLSKRTLKLVRKAAEHKCLKRGVKEVVKSIRRGATKRPTCCVLVLTKPTKGELGEEE >KVH96894 pep supercontig:CcrdV1:scaffold_4140:27623:29938:1 gene:Ccrd_001014 transcript:KVH96894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain X MLLLFRRTPGSLRIFRPTVLSPATARLLSALPATVSSREKSNTVVTEPLTKPQLKTLVLSQYHQGKFSNLLQNVVSSPSVLLTACHNLIHDGPNSTPPPTIDSLSTNFFSIMDISDELRENRFDFESCFLKMANEGEPVSLLVPNLKLKVLIESIRMVLQVIYDDRFATFSYGGRVGMGRHTAIRYLKNTVENPSWWFTISFNNKNQFSTENLNKLCLIMKDKIGDEIFIDIIKRLIATQVARIELGGCYLGRGFPQECGLNSILINIYLNVFDQRFQELRLLINKENSKSISNDVKDDGDHVFCKPLKIYAVRYLDEILVITSGSKGLTVNLKNKSVQFVENNLGLEVDRAKTVIHSAVSEEITFLGMDLRAVTPSVLHPPMSEKAIRAKKKYLRQKEVRLQELKNRRESNRKKLAMKIFSHVYKKLKTTNGFKFEFQIENEVREIFETWAGETVDEFLESIEERAAWYRGLSGGDFLSLKRIRDQLPSDLVDSYDNFQEQVNKYLNPMKAKNELNDKKRKAEEDEERKYAERTVKDLTELCIKVDAPEQLVRKSVRLAGFTNNMGRPRPITSLTALEDADIIKWYASVGKRWLDYFCSSRNFKIVKTVVTYHLRFSCLLTLAEKHESTKREAIKHYTKDLRVFDVDGGEEFHFPTEREIKMMGDKNLADPKPVDGALTMVLIRLASDEPFYRCSAHFCQRTDTVLYRIRLLHKELKLNPSDEKSWVSGMGVIHEVFDRKCVPLCRDHISQLYIGELTLQDVDYSSILHI >KVH74490 pep supercontig:CcrdV1:scaffold_4143:11941:16828:1 gene:Ccrd_025568 transcript:KVH74490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMTIKKVFNVEGLEHKIFSINHFCDNVFDVNFFVKGCVIIDSDEIENFNDINKFVSTNFVIGLPELRFDNDSSCSACEMGTMTKNDKEDGMNQGQDPTCQHPNQTDPNAPDIDISIRCNHRQRVIISNHHEHHREQCSNCKHPHPELVPQRKSADQHKNYR >KVH74491 pep supercontig:CcrdV1:scaffold_4143:16182:18402:-1 gene:Ccrd_025569 transcript:KVH74491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein METKLYEASLKGDVEALKTLLHQDQLILDRISLTAFNESPLHIAAMRRHLTFATFLLTQNPKLAMALDTQKRTPLHLAAADGNLEMVRELLRFGGQGLCGSRDHDGLTPLHLAAMNEHLEVVKVLVQVNPQAAKEIPATGETILHMCVRYNRFESFKVLTELWDDDELAKITDLGGNTLLHAAAINRQIQILNYLLQKPCIKANGNAVNRHGLTPLDVLDHCSQDVKALETRANLMEAGVLRVNDLRPLSKPLQSSIKSSDSKQKGFISRTWARYLNDDHHWLEKQRGILIVAALVVAVMSVHSGLNPPGGTISNTQDGRFELGNAVQTEVDMDQYNQFVAYNTITMIISLAIVLVLISGFPLRNKFWMWVLTIGTLFAMVFMVVTYYHSLTMIAPDGYVDVGSVWICLIWMLACGILALIHTIFFVVWVVMKLSKSKSMETQTKSNRSAIEV >KVI06736 pep supercontig:CcrdV1:scaffold_4144:6930:11001:1 gene:Ccrd_014909 transcript:KVI06736 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MKSMTDGASDDNASISNWLGVSLSPPLKMEASASSTMAPNTSSFYLSPYSCYGVAENGTTFFSPLTVMPLKSDGSLCILEALASSHPQGVMPNSSSPKLEDFLGVSHDYGSHERETMALSLDSLYPESRWLYPEGIPSNIYQIHEEESTVAKHNWVVSPPPQYPSQSPYTSLGSHHNQISSTTTTHMINNGGGGDVQSLSLSMSPGSQSSCVTGRTDQCLTVETKKRDSSRVTQKQPVHRKSLDTFGQRTSQFRGVTSSFVTSEKLVDFAMVRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGSSTHINFPLEIYHQELDEMKSMSRQEFVAHLRRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVNAVTNFDVSRYDVERIIASTTLLAGELARRNKSTVVTTTTTTSLMEAGYAQLENIDHQQRTTLEELNEKLKNPNNQQQLISNELSGEKIGGQYSNPSSLITSLSCSREESPDGISASMAATGNVNSWVSSGHFPVFAAWSDA >KVH99310 pep supercontig:CcrdV1:scaffold_4146:27101:39812:-1 gene:Ccrd_022459 transcript:KVH99310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0220 MDERQMVLHGEVDPRVEKPKDSEARESELKKNVRPSGLTSSTYATGTNASHVLVKLQRSTVDSDIDRSIDDCRQLIHKPFPQFLVLFSIVESCDSTHLRNPTRRSGINPWIYLNCGEYSGQALPAPFLEPAGGFGSTLSFAVLLKSRFSTIFLFDLFWGYGLLLVGIFASLAALMFNCVRREDIDYSPYEEGEWRLKLWLFLAYVVSFVSLAASVGLLIQDALVPEGPSAWAGTAGVLQCVLVLIR >KVH99311 pep supercontig:CcrdV1:scaffold_4146:11781:26509:1 gene:Ccrd_022458 transcript:KVH99311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MHNLSQFLVINGDRRKKKKTPRKPKLERMNAKRNIDYEFSTTSTSSSSEEPASVRLRSAAIRGTRSLELPPLSDGTSLRIDGSDVGQLEIIYRRLGLESPEDFGIPLSSWEARKIRSTSDLIRRSPLNFETDHGTNAVEHEQPAENVESRRDDIIRVTSAAVEQTSDAVRVSGSNRAGNGIKGVRPPLLSPPSPSISKPLMHHDAGCEWDIVRTFPSLEGSSAHVNQQGFSLHEEEEADVENVRTRLEGATLSGSCSFTTSNEDDSSSSTTEPASSISPNGYGSNISTNGRFRHIAITHWQRGELLGRGSFGSVYEGICDGGYFIAVKEVSLLDQGEQGRQSVLQLEQEIVLLSQFEHENIDASKLYIFLELVTKGSLLSLYRRYHLQDCQVSSYTRQILHGLKYLHDQSVVHRDVKCANILVDANGSVKLADFGLAKAAKLNDVKSCKGTAFWMAPEVVNNKNNGYGLAADIWSLGCTVLEMLTRQIPYSPYLTAWYSCDEQMPALFRIGRGLPPPIPNSLSREARDFISQCLHVNPRDRPTATQLLDHPFVSRPLPSFSDYVSGHSRLGVKTE >KVI11211 pep supercontig:CcrdV1:scaffold_4147:6318:8750:1 gene:Ccrd_010381 transcript:KVI11211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MDAKICSEQQRGCWLPWLIIISVFAISIFPTDSTSIRSQKQESNLQKIEAGLAHARAAIKKATNQSSEDPDYVPSGSAYWNPNSFHRSYLEMEKRFKIYVYEEGDPPIFHTAPCYGILGLEGIFINDMEISRFRTKDPQKAHVYFLPFSIITLINYVYIVGSHDWSPMYDTVRDYITVINHKYPHWNRSQGADHFMLACHDWGPSISKSVPYLFKNSIRALCNANTSEGFKLSRDVSIPEIYLPHGTTNGLLGGPSPSKRTILVFFSGGVHGYIREVLLKHWENKTEDGVKIQKYLPKGDDYYQQDNYAKPFSDVLNWDTFSVDIPTSQIPQLKDILMAIPQRKYLRLQKNGAQVRKHFVVNLPPKRYDVFHMILHSIWLRRLDTHIRDINDQS >KVI11210 pep supercontig:CcrdV1:scaffold_4147:32021:40068:-1 gene:Ccrd_010382 transcript:KVI11210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenase family MSSYLRYSPQDSPDMASTLVDSGKWMASSSTPPNNIVDAQNSTTPKTCNPYTRPLVSNDIDVTSQASMFWRKRIIAISAEYPDVELSHMYVDNGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEKKAADRVELAVLNALDMGLRTHDIYSLGNKLVGCKEMGEQVLKCLDAKTPT >KVH95364 pep supercontig:CcrdV1:scaffold_4148:29171:42402:-1 gene:Ccrd_002564 transcript:KVH95364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MRGGGGRIGRHERRWASDTVPDNILSGGSSPGYTDTSSVNPGEEFVEVTLDLQDDDTIVLRSVEPATVMNVDNDIGGGIETPLSTTSSRSPTMRRSSSNRFRQFSQELKAEAVAKARHFSQELRRFPWSYGHRSRASSSSPMQNVPSGSGGLDSALAARAIRRQRAQLDRTRSGAHKALRGLRFISNSKINRVDAWNEVQNNFNKLAKDGFLYRADFAQCIGMRDSKEFALEVYDALSRRRRLKVDKISVDELYEYWTQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLQEQAEEYAALIMEELDPERLGYIEVAIMHIICSRHETSRVVPPSITPMAARNTSLAEGHLPKLQSSLELHKPGVKPEPTRLEKSDLNKENELKIGLLFARKLEETLDYWLVDFDNDWRSRDSQIQYGYHTIACVQEHYNLAETIAAAIVIGIILHAGNHLACDFPRLINEKDIDYDLKMSHYFGHNKPSYIDLVRGVEGVTGVIMLICMAIAFTLATKWFRRSLVKLPKPFDRLTGFNAFWYSHHLLVIVYILLLVHGWFLYLVKKWYKKTTWMYLAFPVLLYAGERTLRFFRSGSYTVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCPIVSPFEWHPFSITSAPEDDYLAIHIRQLGDWTQELKRVFSEVCEPPLAGKSGLLRADETTKTTLPRLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEELADSTSGHSRRSDQSSGSADSSSHGRASPIKKKTLRTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSKTCTKHAGARIGVFYCGAPVLAKELNNLCHEYNQKGSTKFEFHKEHF >KVH95365 pep supercontig:CcrdV1:scaffold_4148:8164:15704:1 gene:Ccrd_002566 transcript:KVH95365 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MENKHLFMSALSVGIGVGVGIGMGKTMSRWTGGDDSSGNGLTPQTMEREMLSMIVDGKDSKITFDEFPYYLSKQTRVLLTSAAFVYLKNIDFSKHTRNLSPASRTILLSGPAELYQQMLAKALAHYFEAKLLLLDVNDFSLKVQSKYGASSKDTTFKRSTSETTLGRMSDLFGSTLSMLQSKQEIEGVAGTLRRQSSVSDFGSRGNEAFSNPPNLRRNASSSTNMEELASKCTPPNPAPLRRTSSLSFDEKLFVQTLYKVLTFISKNNPIVLYLRDVEKLLCRSQKLYFLFQKMLQKISGPILILGSQVVDSDKDYREVDEKITSVFPYIIEIKPPQEENHLVSWKSQLEEDMKMIQFQDNRNHISEVLAANDLDCDDLASICVADTIDLSHYIEEIVVSAISYHLTHTKNPEYRNGKLVISTASLSHGLSLFQEAKSVKKDTSKDTMGKDAAAPKSETKAESTSPNEAEVLAPKNSDNVNPAPKAPDVPPDNEFEKRIRPEVIPASEIGVTFSDIGALDDIKDSLQELVMLPLRRPDLFIGSLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMTHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENRGMILRTLLAKENIEEGLNFSELATMTEGYSGSDLKEKKRRAESGEEPPSPEETRKEKVITIRPLKMDDFREAKNQVAASFSAAGSIMSELKQWNEQYGEGGSRKKEQLSYFLNNALVLAIGNW >KVH95363 pep supercontig:CcrdV1:scaffold_4148:16943:19659:-1 gene:Ccrd_002565 transcript:KVH95363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MPDHEDSKPESFMEKITEKIHGHKDDSSSSSDSDDDSKISAIKSKVFRLFGREKPVHNVFGGGKPADVLLWKDKKVSGGLLGGVTLIWFLFEVLEYHFLTLVCHSIILTLAIFFLWSNASTFINKSPPKIPHVVIPEKPLMQIVSALRIEINRGFAVIRDIASGKDLKKFLSVIAGLWFVSIIGSCYNFLTLIYIIFVVLFSVPVIYDKYEDKIDPLAEKAWIEIKKQYAVLDKKVLSKIPRSMKEIKDKKKA >KVH74163 pep supercontig:CcrdV1:scaffold_4149:24483:26179:-1 gene:Ccrd_025571 transcript:KVH74163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MLTPVSSLCFELHEPHLALEYTIGKMLWISVRNARKPPTDPNSTLDDKDPDMKIFRPMARDIVSKASYQTYMKSSKYCIFTRGYEVFSPRIVESIYFECVPVIMN >KVH74162 pep supercontig:CcrdV1:scaffold_4149:16382:25282:1 gene:Ccrd_025570 transcript:KVH74162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MLYSIASGIGRIPVTEKSCMWSRRLLATRGTLRISSNRVVMNIGSLVERRRCSAFPTLKVKAIGKEEHSEPRFPPQHQETQPGKEYLMKPLPQFSIGRAVCYAFAKEGATIAFTYVKGDEDIDATHTLKIIKEAKTSESNDPMAIPTDLRYNKNCQNVVDEVIAKYGRIDVLINNPAVQYETYSLEDITEDRLERVFRTNVFSYFFMTRHAIKHMKQGSSIINTTSVLAYTGSPKFLDYGSTKGAVVSFTRGLSQKLVNKGIRVNGVAPGPVWTPLQVAALDDEDIVIFGSEVPMDRAAQPYEIAPSYCSLRGSSQLIENGFLIHDHRYTFEVFVGVALLMSKVDNDVAAIASFA >KVH74164 pep supercontig:CcrdV1:scaffold_4149:47248:48610:1 gene:Ccrd_025572 transcript:KVH74164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MSSRRLLATRSTLRISGYGVVMNIGSLVERRRCSGFPTLKVKAIGKEDQSEPRFPHNIKKRNQEKRKVALVTGEDSGIGRAVCYAFAKEGATIAFTYVKGDEDVDATDTLKIIKEAKTSESSDPTAIPTDLGYNKNCQNVVDEVIAKYGRIDVLINNPAV >KVH97665 pep supercontig:CcrdV1:scaffold_415:34143:34827:-1 gene:Ccrd_000227 transcript:KVH97665 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEGVMGILRLRVKRGTNLAIRDRTRGTSDPYVVATLDHQKTKTKIVRDNCNPVWEDDLTLTIKDPKVPIKLTVYDKDTFSEDDNMGVTSVDVNPYFECLQMGSNLHHLPVGTKLETVQPNEHNRLVEESHIIWNNKAITQDMVLRLTDVESGEIEVQIEITPIENHRLSIFKT >KVH97684 pep supercontig:CcrdV1:scaffold_415:193971:195261:1 gene:Ccrd_000214 transcript:KVH97684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSHRRHCRYTALVSANGPPAFVVASPISPIVVARCGARALLLDEMRRFSTRECLDGMEIYENMLKQS >KVH97669 pep supercontig:CcrdV1:scaffold_415:94993:101359:1 gene:Ccrd_000223 transcript:KVH97669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESNNISEDNNHGWQKVTYAKKRKNQAKQQALPNGSPLAANDNVFTAIEKKSEERRKVIEAHRLAIYDPAPPVRSSRKKDYSDYEDSDEELETNGVSGNDVVEETKKKPKKVKKPKVTIAEAAAKIDVDDLASFLLDVTTSFEAQQEIQLMRFADYFGRAFSSVTASQFPWVKLLRESPVAKVADNPVSHIPEAVYKTSVDWINKQSAEALGSFFLWSLDSILADFASQQGGGKGSKKGVQKTSSKSQVGLFVVLAMVLRRKPDVLITVLPTLNVSPKYHGQDKLPVIVWMVAQASQGDLAVGLYLWSHLMLPIVGSKSGSNPQTRDLILQLVERILSAPKAQTILVNGAVRKGERLMPPLALDLLLRATFPSSSARVKATERFEAVYPTLKKVALAGSPGSKAMKQVSQQLMVISLKASGEGIPELSHEASSIFIWCLTQNPDCCKQWDKVYLDNLEASIVVLRRLTELWRELSLKQPFLKALTETLRSFKSKNKNAMEEGVKSADQAVYREADKYCKALLGRLSRGWQCFKAMAFLIVALGVGAAFFPPTTLESLDWNKLTETLNTQWSV >KVH97666 pep supercontig:CcrdV1:scaffold_415:122709:126407:1 gene:Ccrd_000220 transcript:KVH97666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MYCKKLAGSRMTKSNSFFHKLVKPFKFNPTKDFIQDSILLYGFLCVLNWKLEIQQVELEKIAAQEQKIFSFQTLVSATKNFHPDNKLGQGGYGPVFKGKLEDGREIAVKKLSQSSSQGKKEFINEAKLLARVQHRNVVSLLGFCGNPEKLLVDVYSFGVVVLELVSGEKNSAFNLDPECQNLLDWAYKQYKKGKSLEILESSLASSADLDQVSACIQIGLLCTQSDPQQRPTMRRVVVMLTRKTGALDEPSRPGYPGTRYRRSRRLATSSSMAGTSGASSSESSTIATSSTTMGTSSTSAFVVSNSRSSLTTTTAATGTAALGDSSSVPDWKLERPFFVGSTSDPH >KVH97681 pep supercontig:CcrdV1:scaffold_415:199427:200550:1 gene:Ccrd_000213 transcript:KVH97681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MPSSNPPSQCATTGQWSTGLCDCTSDASNCCRTIFCPCVTFGRIAEIVDKGTT >KVH97674 pep supercontig:CcrdV1:scaffold_415:256323:257610:-1 gene:Ccrd_000206 transcript:KVH97674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWIVIGYAAGAEAVMVLLLTLPGLGPLRKGLVAVIRNLLKPFLSVVPFGVFLIMDIYWKYENRPTCDSSEACTPTDQLRDQKYLMKTQRNILLIVSALIITVDCVEIVEIFISVQLPKPGIQPADGRC >KVH97670 pep supercontig:CcrdV1:scaffold_415:33127:33771:1 gene:Ccrd_000228 transcript:KVH97670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGKLMMQIEISSHGDIFHDLLRHRPNDLASITPAKVHGCDILDGQLGAVGSVISWNYTHGDLVEDLYKSFTNIFHVEPHADGRQLATWTFEFEKLNASVPYPTVFMDYIMELIMEIDAHHT >KVH97673 pep supercontig:CcrdV1:scaffold_415:214722:216377:-1 gene:Ccrd_000209 transcript:KVH97673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MPNPTQVVALLKAQQIHHVRLYDADQAMLLALAGSGIRVTVSVPNQQLLAIGQSNATAANWVARNILTHVPATNITAISVGSEVLTTIPNAAPVLVSALQYIHAALVAARLDSHIKVSTAHSSSIILDSFPPSQAFFNRTWDPVMVPLLKFLQSTGSYLMLNVYPYYDYMQSHDAIPLDYALFRPLPPNKEAVDSNTLLHYTNVFDAVVDAAYFAMSYLNFTNIPIVVTESGWPSKGDSSEPDATIDNANTYNSNLIKHVLNNTGTPKHPGVAISTYIYELYNEDSRSGAVSEKNWGLFDPNGRPIYVLHLTGSGTVLANDTTNQTYCVAREGVDRKMLQAALDWACGPGKVDCSAMLQGAPCYQPDTVAAHATYAFDAYYHRMAMAEGTCDFNGVATVTTTDPSHGSCIFPGSNGSNGTFINGTSLAPSTNSTSSGSSLAFNSNDYVSFRRLVAVLLWAAVFL >KVH97678 pep supercontig:CcrdV1:scaffold_415:146347:146937:-1 gene:Ccrd_000218 transcript:KVH97678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MSTTILALITAAAVAVANPSPPTSGDTDFIRTSCRTTLYPQLCYTSLSSYSNAVQQDPGRLARVAIGVTLSKATHMAKYVSNISRNADYDGSPRVAAAVHDCFSVFGDAVDEIRGSLRQMRRLGGSGESLRFQLSNVQTWMSAALTNEETCTDGFEDVADDGGVKAEVCDRAVKVKEVTSNALALVNSFANAIQTP >KVH97675 pep supercontig:CcrdV1:scaffold_415:249005:251101:-1 gene:Ccrd_000207 transcript:KVH97675 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO/COL/TOC1, conserved site-containing protein MERDFMGLNVKEEAAMEAEGFQSFNNHHSPEIKKWIGGSTGTHSVQLTIFYGGMVNVYDDISPEKAQAIMLLAGNGSRVQAHMATPRTPAGDGANKTLSSQGSMRGASLARFLEKRKERVMASAPYNLGKSSFGKPESSNGPEKDDKQ >KVH97682 pep supercontig:CcrdV1:scaffold_415:203813:204822:1 gene:Ccrd_000212 transcript:KVH97682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MSSSSNPPQQYATDGQWSTGLCDCTSDVSNCCCTLFFPCVAFGRIVEIVYKGTV >KVH97683 pep supercontig:CcrdV1:scaffold_415:189356:190734:1 gene:Ccrd_000215 transcript:KVH97683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MKSSTNPIEPPQYATGIPAQPNITAGEWSTGLCECSSDLSICCLTCCCPCITFGRIAEVVDKGTT >KVH97677 pep supercontig:CcrdV1:scaffold_415:127309:138028:1 gene:Ccrd_000219 transcript:KVH97677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase, alpha/beta/alpha domain I MNGGPFCCVGEKKRAAVGSISMWGHESKTSTDGRLKRSAVPNIRISPATLYLSLNSLTHYLFLSRQPSLISLHLRQSEQTQVMATFKVNRVESSPIEGQKPGTSGLRKKVKVFTQPHYLHNFVQSTFNALSAEKVKGSTLVVSGDGRYFSKDAIQIIIKMAAANGVRRVWVGLNGLMATPAVSAIVRERVGADGSKANGAFILTASHNPGGPNEDFGIKYNMGNGGPAPEGITDKIFENTKTIKEYFIAEGLPDVDISAIGISNFSGPDGQFDIDVFDSASDYVKLLNYDALSGVAGAYAKRIFVEELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKNPDSNPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQAIPYFSSGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDSLNGGKLVTVEDIVKQHWATFGRHYYTRYDYENVDAGAAKELMAHLVKLQSSLGEGIRSDVANVANADEFEYKDPVDGSVSKNQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALGPLVEVALKLSKMQEFTGRSAPTVIT >KVH97685 pep supercontig:CcrdV1:scaffold_415:162135:168089:1 gene:Ccrd_000217 transcript:KVH97685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MAPKPVTSPTANSPLILAVSTLILLFSASASASAHDYSDALRKCILFFEGQRSGKLPPDQRVRWRSHSALHDGASAGVDLTGGYYDAGDNIKFGFPMAFTTTLLAWSVIDFGRIMGPELGHAVRAVKWGTDYLLKATATDGVVYVQVGDAISDHNCWERPEDMDTLRTVFKIDRNHPGSDVAGETAAALAAASIVFRSRDPTYSRILLNRAVKVFRFADTYRGAYSNALHSAVCPFYCDNNGYQDELLWAAAWLHKASRRRQYREYIIRNEVILRAGDTINEFGWDNKHAGINVLISKEVLMGKSPDLRSFQNNADAFICSLLPGTAHLQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANHVVPCAGKSASPALLKSLAKRQVNYILGDNPMRMSYMVGYGNRYPQRIHHRGSSLPSVRVHPARIGCKAGSRYFFSPNPNPNVLVGAVVGGPNTTDAFPDSRPFFQESEPTTYINAPLVGLLAYFAAHP >KVH97672 pep supercontig:CcrdV1:scaffold_415:229759:247796:1 gene:Ccrd_000208 transcript:KVH97672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med23 MLRTPTTSSCGGSGGIPLTITTSAQSPNPSDMGDQSHHRSSASRAFQFHPARPAIVDLFNLYLGRNGRHKSDDLIREPPNKTQKRVTALNRDIPPCNGQFLSDFQQLQNQFPDHDQLRTVVESVLVSLVIQCSGHAPRAEFILFALNGLYSVGYICWDSFLPSLLSSVTSAEMSVGISSAASPQPAVSSTSLSNAGMLPSSAVALNFSNFQSPNPESPLISVHGIGSPSQSANEPSCTALSPVKSSDINSTGQQAAARGNMSRRDSAISTLRKLSCEIIFSALEANLKPTTHADIFYHMLNWLVNWDQWQQGSDEVDGVKSLKREKALFEWLHKCLDVVWMLIEDNKCRIPFYELLRSGLQFIENLPDDEALFTLILEVHRRRDMMAMHMQMLDQHLHCPTFGNQRLLCQAAINMLGESTANLRYSPITYPSVLGEPLHGEDIAFSIERGSLDWDRAMRCIRHALRNTPSPDWWRRVLLVAPCHRVHSQGPTPGAVFSSDMICEATIDRVIELLMLTNTDANCWQEWLIYSDVFFFLMKSGCIDFVDFVDRLVLRISEEDHQILRTNHVTWLLAQIIRAELVMSALNTDSRKMETTRKVLSFHKEAISSVSSNPQSILLDFITSCQTLRIWSLNSSTREYLNSEQLHKGKQIDEWWRQVSKGDHMMDYMNLDDRSVGMFWVVSYTMAQPACEMVMTWLTSAGHAELPGPNLQANEKISVMREVNPVPVSLLSGFSINLCLKLAFQMEDAMFSGQYVPNIAMVETYTRLLLIQPHSLFRSHLSHLHQRNSETFNKPAATLLMLEILNYRLFPLYRYQGKSKTLMYDVTKILSTLKGKRGDHRVFRLAENLCMNLIMSLREFFFVRREGKGPTEFTETLNRVTVVTLAIIIKTRGIADAEHLLYLQTMLEQILATSHHTWSDKTLCYFPAVLRDALIGRTDKRDLAIQAWQQAEATVIHQCTQLLSPPDDPTYVMTYISHSFPQHRQYLCAGAWVLMRGHPENINSANLGRVLREFSPEEVTANVYTMVDVLLHNIQLDLQHGHSLQDLILNACANLAHFIWNHELLPPDILLLALIDRDDDPHALRIVVNLLERQELQQRIKFYVANHDNPEHWLQNGIFKRIELQKSLGHHLSWKERYPTYFDDIAARLLPVIPLIIYRLIENDATDVADRVLQLFSSFLRYYPLNFTFVRDILAYFYGHLPGKLIFQILNVLDIKKMPFSESFLQRVNSSNAICPPLEYFASLLSGLVANVIPPLCNLKGEGSNQSGSTNAFECQKPFYQIQDPGTYTQLILETAVIEILSLPVTPAQIVSAFVQIIVHIQPTLIQSNHSFHESSNSSESCSALATSPSGGITDFLDGRRSNPSTTELDLPDFVSQSGYTYQKLSCVLIQACGLLLAQLPAEFHIQLYAEASRVIKESWWHADGGRSTIELDSAVGYALLDPTWAAQDNTSTALGNMVALLHAFFSNLPQEWLDKTHLLINNLRPVSSIAVLRIAFRIIGPLLPRLANAHSLFTKTLELLLSMMVDVFGRNSQPSPPVEASDITDLIDFLHHIVHYEGQGGPVQPNSKPKPDVLGLCGRAIENLRKDVQHLLSHLKTDGNCSIYAAAATNPKLSQNLS >KVH97671 pep supercontig:CcrdV1:scaffold_415:4265:31320:1 gene:Ccrd_000229 transcript:KVH97671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MIFLGTEQTTSLPLSQICFMVVKSLRVNQELLAPSSASTIPKIVLSVIDGDLLGDLYKSFKNIFHVEPKGDGQLAIWTLEFEKLNASVPYPTTFMDHLLDVTRAIDAHNKAGTLTNKDIYMALIGKLIMYVDITSHGDIFHEMFRHRPHDLALISPDILHGCEFDGQAGAVGSSIFFEYTRDGKKLTAKAMLEEVDEKNHKVVYKVTEGDLVEELYKSFKIIFHVEPKGDGQLAIWTLEFEKMNTNMPYPTSFMDYAKVSYVFAHWIESAISFHQKSYPDFVERSIEMVLTGKMIMYLDISSRGDILHDLVRHRPHDIPSISPDKLHXCDLLEGERGAVGSVICWDYTQDGEKKRTTEVIEEIDETNHKIVLTAIEGDLLGDLHKSFKIIFHVEPKGDGQLFVVTLEFEKLNASVPYPTSFMNYLLHLITDMDAHSSKFSGSENMGLIGKLIMSQEISSRGDIFHDLYRHKPNDIASISPDKIHGCDVLHGKRGDVGSIVCWSYTHDGKKNTLKEIVEEIDETNHKIVFKVIEGDLVKDLYKSFKCIFHVEPHGDGRQLATWTFEFEKLNMNVPYPTAFMDYVMELLREIDAHNTNINSRGDLFHDMLRHKPHDLASISPDKAHGCDVDGEAGAVGSVLCWNYTHEISMGRIGKLIMYQDISSCGDMFHDLLRYRPHDIASISPDKVHGCDIVDGQPGVVGSVFCWNYTIGTKDGKKQTTKTKIEEIDETNHKMVFNTIEGDLLGDLYKSFKIMFHVEPHGDGRQLATWTFEFEKLNTSVPYPTNFMDYLLAVTRDMDAHNTNQ >KVH97663 pep supercontig:CcrdV1:scaffold_415:43658:44710:-1 gene:Ccrd_000225 transcript:KVH97663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMKHKKNQAGKASGNRLLISVTVIGSAGPIRFVVNEEEVVAAVIDTSLKSYAREGRLPILGSNSKDFVLYCPTTGTEALSPWETIGSVGTRNFMLCKKPEKVVEGGDGVGITRKGSGSWKAWFNKSLNLKVSSH >KVH97686 pep supercontig:CcrdV1:scaffold_415:171212:180284:1 gene:Ccrd_000216 transcript:KVH97686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MYPSNEYAPPPSFQQPPPPPPLYTTGIPAQPMNSVGQWSTGLCDCGSDVYNCCLTCWCPCIPFGQIAEIADKGNTSCAVHGTLYTVLLLLTGCQWIYSCMYRSKMRQQYMLSEEPCNDCLVHCCCEPCAMCQEYRELEHRGFDMSLGWHGNMERQNQGIVMPPFAHTEMKQPSEQPPPSDGQPSLASLQSQYAVGISASAMMSTHKWSSGLCDCCSDVSICCLICWCPCIPFGQIAEIVDKGNT >KVH97680 pep supercontig:CcrdV1:scaffold_415:212924:214316:1 gene:Ccrd_000210 transcript:KVH97680 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S ferredoxin, iron-sulfur binding, conserved site-containing protein MEMEISHETNQDSSNTGQPHESFYLVLPYLPLFELLAMAEVCRSFTDALNDDILSWLNIVVDEKHRKRRISDGILMKITSKATGRLNTLVLINCTKITDHGLQDLHVQHCIGLTPEGIIGAVTMLNLHDATLTSLKINGIFNLTKEHLQRLHSLLKPDQIDVGICPKCDEVTMVYDCPLETCERKRTMRGCRGCKSCVSRCEECGKCVGFNEDEDSEAACEDKLCEDCWIQLPKCGFCNKPYCTNHAYKQCLLPNSSGFVCEACYYGIHQYQI >KVH97667 pep supercontig:CcrdV1:scaffold_415:112355:114789:1 gene:Ccrd_000221 transcript:KVH97667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MAANKFATMLHNNTNKITLILIYALLEWTLILLLLLNSLFSYFIIKFAQFFALNPPCFWCARIDRLFEPRNENSHRNHLCELHSKEVSHLGFCSIHQKLAESQDLCEDCSSSNLGFRRESKKFVFSKVERIDVIQSDGEDEVRLKCSCCDVDFETKFVDDSSCFVIHPLSDILDDAKKENLIDDHEAKIISEEQIESNSIEEKQEIASEKGNDGNEELETEEHEIEIKRDEHSITSANLHSNIENADMKLENFDEIEEEKAPETPSSIYSLNPLHKKWLMPEMKESGTEESFDGSAISETDSGDPVNTSEKLKSALKAERKTLHHLYTELEEERNAAAVAANETMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDHDALQLLNELMMKKQTELELYRKKVLDYESKEKTRFLQRSTKTGTSSVSWSHSEDDDGLSADLNRESQHQNTPIHSVLDLESFEEERVSILEQLKVLEEKLFALSDEEDRHFAKVSVIEDHYEEVANHGVKGPVVEFMQNGRQPQPIGKSLLPLFDAISSGSEDGMTVRNAKFEDTAVTRFELEKKRIDMEEEVDQLYARLQGLEADKEFLKHCIGSMNKGDKGMELLQEILQHLRDLRTRNYNDNAFI >KVH97662 pep supercontig:CcrdV1:scaffold_415:67311:68978:-1 gene:Ccrd_000224 transcript:KVH97662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor DELLA, N-terminal MKRNHIITSDVTGKAKKTMWDEEDAGVDELLAVLGYKVKSSDMVDVAQKIEHLEGVLGNDDGLSLLASDSVHYNPSDLSSWLESMISELNPTTQPPIIDDSFMNIANTAIAAGNPPPSEVDSTSAFVDDLQAIPGNAIYPPTKKVKSSSSSAGASASSSYNPNPNPNPVVIVDSQENGIRLVHTLMACAEAVQQENLALAENLVKQAGMLAVSQAGAMRKVATYFVEALARRIYRLSPKPPQDSPAFQDLLQMHFYETCPYLKFAHFTANQAILEAFAGKKKVHVIDFSMKQGMQWPALMQALALRPGGPPTFRLTGIGPPSGDNTDHLQEVGWKLAQLADTIHVEFEYRGFVAESLADLEPAMLDLREDEVVAVNSVFELHQLLARPGAVEKVLSAVKEMKPEILTVVEQEANHNGLVFLERFTESLHYYSTLFDSLESSGNGGGGVDGGAISPASNQDKIMSEVYLGKQICNVVACEGPDRVERHETLTQWKSRLDSAGFEAVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRSLITTSAWKIR >KVH97668 pep supercontig:CcrdV1:scaffold_415:103991:108892:1 gene:Ccrd_000222 transcript:KVH97668 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MATLQTFRKAYGALKDQTKVGLAHVNSDFKDVDVAIVKATNHVECPPKDRHIRKILAATSAIRPRADVQYCLHALARRLAKTRNWTVALKTLIVIHKTLREGDPTFREELLNFQQRGRVLQLANFKDDSSPIAWDCSAWVRTYGLFLEERLECFKILKYDIEAERIPRPAQGEDNKGYSRTRDLDSEQLLEHLPSLQQLLYRLMGCRPEGAAVGNYVIQYALALVLKESFKIYCAINDGIINLIDKFFEMPRHEAIKALNIYKRAGQQAGSLSDFYEVCKGLELARNFQFPVLREEYISEAPRMVSVPTETLQRVGSCDNGRSNGSGGAQAKDFDPTGWELALVTTPSTDISSFQERQLGGGLDSLTLNSLYDEGAYRAAQQPVYGSPAPNPFEVSDPFHAAPQTAVVNPFGPYQPAQPQQNLMMAAPNPFIDSGFGPLPVNGGHPHTTNPFGAALL >KVH97676 pep supercontig:CcrdV1:scaffold_415:259171:263191:-1 gene:Ccrd_000205 transcript:KVH97676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSHQFEAVDSNQNALQKHCAFFDRNKDGVVYPWETFQGFRAIGSGILLSSVAAIFINMGLSGKTRPGKKFPNLLFPIEIQNINMAKHGSDSGVYDTHGRFVASKFEEIFHKYARTNSDSLTSSELDQLIKGNREPKDYGGWIGGLTEWKILYYLGKDKNGLLSKETVRAVYDGSLFEKMAQDKLAKSTKKPISEK >KVH97679 pep supercontig:CcrdV1:scaffold_415:209636:211955:1 gene:Ccrd_000211 transcript:KVH97679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MEEAEKELERRSKFLSNLILQKKKATHQQQNQQQQQQQQQQHQQQQQQQQQQQQQNQQQQQQLQLIIRLKASDMPTALQNKAFKCAKDHLHSINSPLPNNNGGSIKIDSKRLALALKKEFDTSYGPAWHCIVGTSFGSYVTHSLGGFLYFSINKVYVLLFKTVVEPMDR >KVH97664 pep supercontig:CcrdV1:scaffold_415:44147:44688:1 gene:Ccrd_000226 transcript:KVH97664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMELNRKTGKEIPISRIDADAAVIPAFLARSKGESGGKRRDPPRGKGEDHPPKNQDFHHSQLEFLRDELRETCQGRKGNGGG >KVI04406 pep supercontig:CcrdV1:scaffold_4151:26753:28241:1 gene:Ccrd_017280 transcript:KVI04406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KISEEVDLCDVHSLSVPKLEIGLYGGFAAYSGKSTMDAISDVKDKQPEASACHVSAADESLIISSDGLESAKIITGEGGSLASFDLSKPPPESSSLVDCNLTLESSSNVQPDNNASETHRDSTDSTSRSHSVVLHEFPSCGRVLELLDERIGETTYSQVHCVPLKLSSSSHIGGVDLPSVSISQSQTSKKNFLQVHGI >KVI04407 pep supercontig:CcrdV1:scaffold_4151:6990:15427:1 gene:Ccrd_017279 transcript:KVI04407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSKVVLTYKRKRLSSRPDLGFANECPSHAPGYQTLEVLKTPVKEEEDLKHESDRKESEVVLLAPSEVESWNTMVVSALPGSAVCWGFGMDFKCAVFAGGSSIVQCEYCHCFYDVRGQLPPNEHLEGKQLCSNCVERQDHLLSQQAQESSSRNEKAFIVEFDERQVDPHTMTLQSSHKSSLQSSIQKPLSTGVSAKDRAGHLHTSSCSYKKSGCEFDSRKDKGKLSSEMVKTNVDSNPKIVSHSSSCCGCSSHYVSVQANNEHALEAVAALIKGKSSNACEDRIKDNKPCFPLITFSRRSRHKKTVDGTGMQDRSTGLEKCDLVAAKGSNPTTDNGSLMDFSTDLTGNDPNPICCAASQEKVIHVVRSISDVEV >KVH96989 pep supercontig:CcrdV1:scaffold_4154:47328:49206:1 gene:Ccrd_000917 transcript:KVH96989 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDITSFLRDNNLSTPLFVLSTILFLLLIVKITRPSSSKNLPPGPPRLPIIGNLHQVGDRPHVSTAKFAKQYGPLISLRLGKQFLVVASSPEAAMEILKTQDRFLSSRVVPTAFQQTSLIPHSLIWSECNQTWKNLRTLCRTEMFSSKALESQSRLRHEKLGQLLDFLHRKQGQVINVEDVVFTTLFNTLSSVIFATDFLDLKDEQGTRDGLKESLHKIIEYGGLIKDFGSFFPMFERFDLQGIRKGTMTQYKKTFAYWEDIIEERRARINSSTWSSDQAESFVDRMLENGFSNDQINQLVTELFVAGTNTTTTSVVWAMTELVRHKEVMSKIMEEIKREITSDTITDSQLSKLPYLQASIKEAMRLHPPVPLLLPHMAAETCEVMNYTIPKNSKIFVNLWAMGRDPKLWDDPLSFNPERFIGSKVDFKGQDFELLPFGSGRRMCPGMPSGVKSVQLILASLIREF >KVI01708 pep supercontig:CcrdV1:scaffold_4158:6095:9582:1 gene:Ccrd_020013 transcript:KVI01708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Limkain-b1 MMKHDSRSCHAIQIPHDSADFVERFKLFRTDLKRFRAILWRFSKRTVRYQISVWWDIENCQVPKGCEPHSIAQNISSALVVMNYCGPVSISAYGDTNRIPASVQQGLNSTGITLNHVPAGNGRGVGKES >KVI07954 pep supercontig:CcrdV1:scaffold_416:216394:224776:1 gene:Ccrd_013684 transcript:KVI07954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MNNTKESQERFLFFLPNPIHNLSPPMELSLYLHKPSLLPPYLSSITTSRKRSPHKVRFTFSCNCTSSSPEASVSIDGSVSQIEGRRAFMTCFLAAAAGFSVSDVAVAASTSRRALKGAKVPESEYTTLPNGLKYYDLKVGNGAEAVKGSRVAVHYVAKWRNITFMTSRQGMGVGGGTPYGFDVGESERGNVLKGIDFGVRGMRIGGQRLLIVPPELAYGSKGVQEIPPNATIEIDVELLSIKQSPFGSPVKIVEG >KVI07955 pep supercontig:CcrdV1:scaffold_416:225763:227688:1 gene:Ccrd_013685 transcript:KVI07955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MSRQNKKQICLADTLLLFLLLCFFCYSSSSQKICPNCGLLKVPYPLSTNPNCGDTDYTLHCDTHSQKLYFDALNGSSYPVLKINSETQKLVIQTQPWLPNSCTTQDFPVSEGLWLNQTLPFNISSSNTIFIFNCSPRLFVSPLNCTTSSLCHLYLENSSRVDPTRALQCASSINPCCTFIAGGLPSAYKIRLHASGCRAFRSIIHLDKEKPASQWEEGVEIQWDPPPEPVCKTQLECSFTSKCLPTSKKGISRCLCKTHYYWDHVLGVCLQKRKNLKSGLTIKITIGVAVFFAVAVVMTTVTVRKSRKIRQKAKRADAVMEVVDRQLVGLEPSGQVMASVKLFCKLALACLREKRGERPTMKDVVQELLSIIEIMNQEEVSYEASVELEII >KVI07952 pep supercontig:CcrdV1:scaffold_416:52506:58662:-1 gene:Ccrd_013678 transcript:KVI07952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin head, motor domain-containing protein MSTISPFFLDNTLGHIHFFLGWRRILDQFFFQLFCFINQSFDLKHLSNSFTITGGDDWSMHVDSTTNAIKPISLIDEDDPDIKLAHNEENCDVKALEDCICKRVIVTRDETITKWLDPESAAVSRDALAKIAHSRLFDWLVDKINSSIGQDSSSKYIIGGWAKASNVYPKDAKAPPCRVDDMTKLACLHEPGVLSNQGLCRTRKRTDDGTRQLKIKSRTEAPLQDAGCWIDVATLATTHLKGTNYARPDIVCSSWFIARCIGSIARSSTTRYDNHVYHRMPR >KVI07956 pep supercontig:CcrdV1:scaffold_416:193052:196376:-1 gene:Ccrd_013682 transcript:KVI07956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MEKEKMEYFSGILTSVLLLVLCVVVTADDPLVPALCFFGDSVMDAGNNNYLTTLLRADFLPYGRDFVTHKPTGRFCNGKLAADYTAEYLGFSTYPPPYLSRADVTTLLTGANFASAGSAISLPRQLSYYKDWQSRVVTMVGSDKANTIFSGGIHILSAGSSDFLQNYYVNPFLNRLYTPAQFSNLLMKSYYSFVQNLYRLGVRRIGVTSLPPAGCLPAAITIFGFGKNKCMRELNDDAVLFNNKLNATSHKLVKKYPDLKLVVFDIYQPLLEMIIKPTDKGT >KVI07953 pep supercontig:CcrdV1:scaffold_416:254837:260328:1 gene:Ccrd_013686 transcript:KVI07953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTYEEVNQDAVQPVELFTTVQEEVEATYATDDVHEDLLGDNQRLNDDICLLVTPRSKRAIIDMDTGSGVAMKASISEHLFVMKQKLGRKMNPKKNKERVHESDSIDGSKCGLENLRTRTSPRTLYRTIVGLNDDQKKVVREMGLGSLLEVTINGVPSKLGFYVVDIMDVKKMELKVTNGVIPITVESIHKLLXLQMXGIDLLEMDEVDASKNMATTWRKQFEKXKMRPKDIMKLIQSSGDSGFEFKLNFLVLFVNLMVECNRMGCCNFNFLNRIESEDVIPQIDWCKYIYAKIKTSKSRWKRESTMCFYAGPLTYLTDHVQRILIKIAVVLSARVEAEMEIKEAMSKFPDDEEFKQYKKQSDDMFKEGACNTTHDTHSSGLKNHSIAKNDGQSSMDIVVSQPSGFNEKPLPKIWLSLGFIEAVEKVVENTISTSKTKRPYAAITPPRFDLGISPIKQSEPLSMVLHEEAECIERYPSVERCNVSEDAKKYQVFLPLLDKGHYYLVVFNLKNPLVAIIDNRYQEVSDDDQLLQILMIRHLNAVGHPAGRELDEIGQERLRMDWQTQNNFDDCGVFAMRHMETYMGDVRTWKTGLAQEGKTQEIQIASLRMKYVAKLLVSNYNKKKEYVVKKVEKFQSMDEAIRKKLRKHADDTKTERLRI >KVI07951 pep supercontig:CcrdV1:scaffold_416:108928:126236:-1 gene:Ccrd_013679 transcript:KVI07951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MDQDDNFTATLNGSDIFLRTNETYDPPSSTGIQRNFSGIDKLEASLMQARAAIRETISQNQINDPDYTPFEWLFTALLHSLPIGFELKRHGGDCRSYLEMEKRFKVYVYEEGEPPIFHNGPCKSIYAMEGNFIFQMETTKLFRTRDPDKAHIFFLPMSSTMMVRFILERGSRDHWRPMKRTVKDYVDLVASKYPFWNRSLGADHFIVACHDWAVPNLFTNSIRAFCNANTSEGFKPSKDVSIPEILLRDGTMQGLVGGPSPRQRHILAFFAGGVHGPIRPILLEHWENKDPDVQVHKYLPKGVSYIDMLRQSKYCISPSGYEVASPRMVEALYTGCVPVLIKDHYVAPFSDVLNWKSFAVIIPVEDIPNLKKILMGISTRQYLRMQRRGKQVKRHFEVNYPPKRYDVFHMILHSVWLRRLNAPYWGTYYQ >KVI07950 pep supercontig:CcrdV1:scaffold_416:9702:36633:1 gene:Ccrd_013675 transcript:KVI07950 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGASLSNLTEWGPIAGDGPGLGDIPESCVACVFLYLTPPEICNLARLNRAFRDAASSDAVWESKLPHNYHDLLDLLPPEMYQNLCKKDVFALLSRPVPFDDGNKASIMATGKYFQKRSLKLDAKCWVFVNMHHFEIWNIGMWQEVWLDKVTGRVCLSISTKSMSITGIEDRRYWNWVSTEESRLDYHMLLFNIVAYLQQIWWFEVDGCVKFPLPADIYTLSFRIHLGRFSKRLGRRVCYFEHTHGWDIKPVRFDLSTSDGQEASTVCFLDDCAEDEANGCQKRGCWIDYKVGEFIVNESNPATEVRFSMKQIDCTHSKGGICVDAVSIIPSDLKAGKRRMVLKY >KVI07949 pep supercontig:CcrdV1:scaffold_416:37011:39666:-1 gene:Ccrd_013676 transcript:KVI07949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIEAIIAPLEAELNELTVLKGVGPATAFAVLAAYAPDVAPFMSTLAMVAALGNSKQYTLKQYLVFVEKLEAKAKVDALKDKLEDYEQQMEE >KVI07948 pep supercontig:CcrdV1:scaffold_416:47282:48866:1 gene:Ccrd_013677 transcript:KVI07948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MDWSSSNPMPFVPRPAQSSFAFLYNYNSDPYLYPPGGTEMKQMMQQGSLPMMDMSQLDYDNNNQDKKKRLTSEQLEALENSFQEEIKLDPDRKMKLAQELGLQPRQIAVWFQNRRARWKAKQLERLYDALKQEFDAVFREKQKLQDEVLALRTILKEQGKRQAAVSTTGYTDMSGEETVESTSVAAIRSSNNHPHHTVVAANTAAAVECSYMLNYDGSAMPTPSYSNWAVLPSYP >KVI07958 pep supercontig:CcrdV1:scaffold_416:158073:171553:-1 gene:Ccrd_013680 transcript:KVI07958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit, C-terminal MVRIVVLAASFTSKSGKVICNPSTALISTQFVDMSRVRIEGLLAAFPKLIGSGKQHTYVETENVRYVYQPMESIYLLLVTNKQSNILEDLDTLRILSKVLEFSASLDEEAICKNAFELIFAFDEVISLGHEENVRQYCEMVLPLETSSVVGITSEVDSSSSKPKGEMIVDDVRPSANISKAAAPPTNPVTLTVEEKLNVTLKRDGGLSNFDAFPAGQSGDGLGLLKWRMQSKDESAVPLTINCWPSVSGNETYVSIEYEASSVFDLQNVVISVPLPALREAPSVRQIDGEWSGSMEFVVPPADTSVFFPISVRFLTTSTFSNMK >KVI07959 pep supercontig:CcrdV1:scaffold_416:180393:182999:-1 gene:Ccrd_013681 transcript:KVI07959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAAVNLPSITTLISHSSSLLYPPKPPNSTPSVSFHKTPLPIFSNHTKTPQLHPSKNTKDYCNLLHLSVEHGYIELAMAVHASILKIQANSPYLSNALIVAYFKLGLTSHAYKVFNCLENPDVVTFTAMISWFARSNREIEAIKLFFEMRRLGIEPNEYSFVAILTACAWVLNLELGSQVHCLAIKMGFLGETYVSNALMGFYSKCGYLDCVLEVFDEMFQRDISSWNTVITALVKSSMYEKALQLFRGFLQTDEHRIDHFTLSTLLTACTEYAAFMEGRELHGHALKLGLENNLSVGNALIGFYTKFRSLKYVVGLFDRMAVKDVITWTQMISVYMESGLLEMAEKVFDKMPEKNCVSYNALLAGFCQNGVGSRALGMFCRMLEQGVELDDFSLTSIINACGLLRDKKTSEQIHGFILKFGFGSNDRIESALLDMCTKCGRMADAEKMFHSQSISHDNSIIWTSMICGYGRIGQPYEALSLFFKSQSENTITIDEIVSTTVLGICSTVGFDRVGEQIHCTALKSGLIDDIGVGNALIGMYSKCGNMMASIKIFNLMKKHDIVSWNSLMAGYVFHRQGDKALDLWAAMKEAKIQPDSITTLLIISAYTHTTLNMVNECHRFFHSMKTTYNIEPISDHYASLVGVFGRWGLLEQAEEIINKMPFEPDPFVWRALLDSCKTHMNTIIGERAAKEIIAKKPNDPSTYILISNLYSASGRWQCSETMREEMREKGFQKRPGKSWIIHENKVHSFYARDKSHPRSKDIYSGQDILVLECLKAGYMPDTSFVLHEVEEHHKRDFLYYHSAKLAVTYGLLTSRRGTPVRVMKNILLCGDCHTFFKYVSIVTKREIHIRDASGFHCFVNGECTCKGK >KVI07957 pep supercontig:CcrdV1:scaffold_416:213520:215167:1 gene:Ccrd_013683 transcript:KVI07957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTRSSRESIKSILIVAGIPLAFSVACSLIARIKDRKISSLQDRVEETEFDQDNGDYINNTHDVSYLKDQIFALRSKTEELQELELEIEDRFFRFIELKDQEHALMEVQNSLLMEKERTEFLEREVSSTEVENKKFDEMVIEYLKALEELEDLRFENGFLRRKVDKMKGSMRKRNSKIEAQEAELKGKENVVGEFERRAEAELILVENYNQVVNELQRLQKDRTAEVKELIYLRWCHACLKHELARRNQIEQEQKLEDKPITEPQNDGGVIMHDSHNDGMMHHNMRVFGHDESHSKKRWLVKKFKKWVDGNGKDHEVKCFGSHSVIDDAEERHSAGRKSFSSV >KVI04499 pep supercontig:CcrdV1:scaffold_4160:11233:13522:-1 gene:Ccrd_017184 transcript:KVI04499 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC-kinase, C-terminal MVASQCLHMTGTRKVFQNQLLPMGPPDALLVDHIENELDFSDVFGVAPGMSSAPNANELVYDEPEVICSRCHSLVGPTPFASQLVKLGKLTLHETEYDLVEGTKYRLFLVLDFVNGGHLFFQLYRQGLFRYPPFRGGNRQKIQQKIVKDKLKLPTFLSSEAHSLLKGLLQKESSRRLGSGGGGSDEIKSHKWFKVIDWKKLEGREVKPSFVPAVGGKQCIANFEECWTKLPAVVDSPAASPKCKENHFKGFTYVRPAAAPFLQRDDSP >KVI04500 pep supercontig:CcrdV1:scaffold_4160:9052:13608:1 gene:Ccrd_017183 transcript:KVI04500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold EFNTSWDIKATPTFIFLRDGQQADKIVWANEEELINKIEVDVLIRKTFQVPHQRHQKNPTHSQYDLQEEHQEVPLEAIGSGKPSSFQSCANIEKQPFSNVGDDFVYRRWNFNSSPLLMNFPSDL >KVI11039 pep supercontig:CcrdV1:scaffold_4162:19271:20821:-1 gene:Ccrd_010555 transcript:KVI11039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase-like domain-containing protein MANQVVNGGHNEQHVSSSLPFLSLNHVSFICRSVPTSVKFYNDVLGFVLIRRPSSFDFEGAWLFNHGIGIHLLQVETTPTKRGVINPKDNHISFQCTDMDRIIKKLEELDIKYVTAVVKEGGVEVNQLFFHDPDGYMIEICNCHVLPMLPITSCPLKKLPPIPQTNQVESSSYDKMVSKNYYCGEDEAIMMENFLIDMMGISF >KVH74156 pep supercontig:CcrdV1:scaffold_4163:388:5193:1 gene:Ccrd_025573 transcript:KVH74156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSSSSKMESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLIVSYPKLLLLIAETMISILPPSAVHDVICGVPYTALPIATAISTSFNTPMLMRRKEIKDYGTSKSIEGAFEPNQICLIIEDLVTSGTSVLETAAPLRSAGLRVKDVVVVIDREQGGRDNLAANGITLHSIVKLTDMVRVLKAKGKVSEETEAMVFKFLEENKKVAPVTASPSPVAAKDASVKVRIPYGERAKMTKNPTGKRLFEIMVKKESNLCLSADVTTASELLAIADKIGPEICMLKTHVDILPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGLLLLAEMSSVGNLAKGDYTAAAVKIAEDHSDFVIGFISVNPASWPGGPSNPAFIHATPGVQLVKGGDDLGQQYNTPNSVISDRGSDIIIVGRGIIKATNPADAAREYRVQGWNAYSVNCK >KVH74158 pep supercontig:CcrdV1:scaffold_4163:580:19094:-1 gene:Ccrd_025574 transcript:KVH74158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MNPMLVEARAPESRASWPSNPVGYYFFGSSTHVLFTCLCIYSTRLYYSSCVIYSSPIHRRIHRDRSFAHGAIFFIRDYNPEQNEDNVLARMLEHEEAIISHLSWVSLFLGLVICSCVISLGKVTNGTHFCKQQQSPSTSPFTVRDPDLNGSIFSSHRRRRGSHRSNLLVLLQKLKHHSLRLLRHLTFGLQNPNHVCKLHNRVKRNPIRRKIIPSTLLSVNHHHDIFNPKSGGTQWRRRLKNRCPTCNQILDNQTNLVGGNGECGVRDAADDVVDGGRREDRDHGFGNEKEEFRVRDDEAEVD >KVH74157 pep supercontig:CcrdV1:scaffold_4163:22605:27301:-1 gene:Ccrd_025575 transcript:KVH74157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MSAMSTGLSYFDELRDNPEVIDPPQMEDAMDVAEHVHDPAQNALKSNVLATSSVRDLLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSYGCVGIFPYYSKLKHESQCVFRPYNCPYAGSECTVIGDIPYLVAHLKDGHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDDEAKNYSYSLEVGGNGRKMTWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KVI01413 pep supercontig:CcrdV1:scaffold_4164:33334:37358:-1 gene:Ccrd_020317 transcript:KVI01413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAGLSMNATFRIVTENTLFAMPEASIGCIPDVGASNFLSRLPGYFGEYVALTQVRLNGIEMVECGLATHLIREGRLQKLDECLAREHLVVSHLLRRTVNDDFYEGPRAVLIDKDKKPKWSPSKLALVDQEMLSKCFSMIDDEDWQPLKLLARTNPNHVILSRI >KVI01412 pep supercontig:CcrdV1:scaffold_4164:20331:21514:1 gene:Ccrd_020316 transcript:KVI01412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MARLTGKVALITGGARGIGESIARLFTKHGAKVIIADVLDDLGQSVCESIGLEMASFIHCDVCNELEVEKVINFTIDKHGKLDIMINNAAIAGELKLSILENDVSDFERVLDINVKGVFLGTKHAARAMIPARGGSIITIGSICSAVGGVGSHAYTSSKHAVVGLTRNVAAELGEFGIRVNCISPHFILTEATKPILEKHPDLYSSIHFDLKGVVLLEQDVAEASLFLASDEAKYINGHNLALDGGFTAINSSFGLFSRGRAARTTTSEP >KVH96987 pep supercontig:CcrdV1:scaffold_4166:1078:28828:1 gene:Ccrd_000918 transcript:KVH96987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFHSPRKRFRRLTVFINDQARFTLLSRDSQIPHDSADFVEFVWSDSSDSGLTCEAIQAIQAILWRFSKATTFGGSNIDPTAWEEKNCKEGRLPYNIWETVVDLLELEMALLAAFKLAGNPETSFSINICAEVELNPACISDQLHSLKPGREKHNKIPYIHEVAQGISKHMLEPTYIVVTKEEEPYQQGRKFNFLGCHEALDLLTTSIGDDLTFSGITRREATDLCINEALAFRGNMLGEVLGDCTTNTGDKLASSDFIPGQESDCAQGTISGTLTGIGDVLASDIAQETTLIDFSTVMLEPKGYIIFLARSQIFTTPEEAPAATKTSVESKATDSIGLVWPLRLCTYHKGNHHLRSDARFDYYR >KVH96988 pep supercontig:CcrdV1:scaffold_4166:16461:35432:-1 gene:Ccrd_000919 transcript:KVH96988 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MEKRGYKLQEFVAHSANVNCIKIGKKTRRHFITGGDDEIVNLWSIGKSTCITSLSGHTSPIESVAFDSTEVLVAAGASSGVVKIWDLEETKVIRTLNGHRSYCTAVEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHKRAISTIRFTPDGRWVVSGGLDNVVKIWDLTAGKLLHEFKFHEGHIRSMDFHPLEFLLATDLDTKIVLTQILIPGSSDRTVKFWDLETFELIGSTRPEVSLASGVRSITFHPDARTLFCGLDSSLKVLIFFINFCGLLSKNIKSHLCTPFEFKVCSWEPVICHDAVDIGWSTLGDLCIDDGKLLGCSYHQNSIGIWAADVSHIEPYGPSMSAKENTRVEPKFDLQESLVERVETPRRSFISPDDDSKDIKNIYVDMAVVNGRTRSLVEKFEKREMLNTDECQTSDLAAQILANTDNVSTITVEKSINVVSCAISEARTSPMPVRVPDMVPCAQSVAKISQIPSRMPDLVPCQISEARASPMTLKKFDVVSSAVTEAKTSQMLVKTPDSCPGIKSEEANLSPVLVVQSPKTSPNMLPLKAKASLMQRSVASRRVIPEKVRSSPMLVVRRSRASSHMIPERTKTSPMSVVPRHDISTHMTPEKVKFSPLLEDDPETTGRGMASKNDDDVAEDLMVDHDLFLSTLRSRLTKLQVVQHFWKQNNVRGAVSALQKLPDHAVQADVISVMLENIESLTLDLFSCLLPMLLGLFDSETERHINVSLEMLLKLVAVFGPVVSSTISAPPAVGVDLHAEKRLECCKQCHLQLQKIHHSLPNVIRRGGLPARRAQELNLVLQQS >KVI00742 pep supercontig:CcrdV1:scaffold_4168:31613:31846:1 gene:Ccrd_021005 transcript:KVI00742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRQEQEHCLCLVLYMALVRMRMVEPSMHHHLEVYLMFPSLLQHGFSSKSKYMFKKVNIQIKLVEGDSAGTVTAFYVS >KVI11641 pep supercontig:CcrdV1:scaffold_417:247084:249859:1 gene:Ccrd_009940 transcript:KVI11641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MAPNEKQKKGVMYVYKLDTGSHLPPPSTSKKVVSQGFGLLRRSKTYRENQFSEDHEDKQMVQESARKSVSVMEGGRNSVSLTGRRSVGNTAAEMNVVRVATVLQVRVLVTDMPTFMQIHAFRCARNTFDSLEKFSPKQIALNLKKEFDKIYGPAWHCIVGLNFGSFVTHATGCFLYFSMEKLYVLVFKTKVKQLI >KVI11643 pep supercontig:CcrdV1:scaffold_417:147037:156107:1 gene:Ccrd_009946 transcript:KVI11643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin 93 ENOD93 protein MASFDQKLAMAKRCSHEGAVAGAKAAVVATIATAIPTVASARMVPWARAHLNHTAQALIISTAAGAAYFIVADKTVLKTARRNSFNNTNGP >KVI11646 pep supercontig:CcrdV1:scaffold_417:89336:97451:1 gene:Ccrd_009948 transcript:KVI11646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MSLNLEVCKAAINIKQNTQTRTVKGVKTCVPEVPLVSPFYHLQSFFIAGFFLVAAVAGCISVITNMSFSGPSIGSGGRTARRAFEFGRTYVVKPKGKHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTSPAQPLTLFGGFPTTAWFDVSDLSEDAHQDVEGMDASAAHVLGLLSTEPPNIKLGVGGFSMGAATALYSASCFSHGKFGNGNTYSTHLDAVVGLSGWLPCAKDLSKKVEGEEAANRASSLPVLLCHGKADDVVRFRYGEKSAEKLSTTGFKSLTFKSYESLGHYTIPEEMDEVCSWLTSKLELEGGKS >KVI11639 pep supercontig:CcrdV1:scaffold_417:206439:211756:1 gene:Ccrd_009942 transcript:KVI11639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin reductase-type FAD-binding domain-containing protein MSIFFKRLLSNAAPITFSHAFRRQSQSTSTNFRIPFGVVAAISGGISYFYYFSEPNLVHLDHQICEETGPKAALHPKKWEEFKLQEKATVSHNTHIYRFSFDPNLKLGLDVASAPLGKDAEGKTKYVIRPYTPVSDPDSKGYFDLMIKVYPEGKMSQHFAKLKPGDIVEIAGGSGITPMLQVIETILKNPDDNTKVSLVYANISPDDILLKKRLDMLAASHPNLKVFYTVDNPSKYWVGGTGYISKDMASKGLPAPSNHTLILVCGPPGMMKHISGDKAEDRSQGKLTGILKELEYTEEMVYKF >KVI11644 pep supercontig:CcrdV1:scaffold_417:192364:193801:1 gene:Ccrd_009944 transcript:KVI11644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MIQNPFLGGNNGGGENLPQQNDSNSQFENSNNRPRNPEVNINLPRSTPLLSAVQRSNPPTLPVNRGTTHIFYKTRMCQKFLEGNCRNGDSCTFAHGSNDLREPPPNWQELVKDNRGGGNWNEDQKIIHRMRICRKFYNTGECPYGEKCNFLHESPSKFKAEAAVDMGRTRQSSVINIQTVVDHPQTEAVHLLHGNVDAVQVGTMNSDHPDALRPSMKATYWKTRICSKWEATGQCVFGDKCHFAHGLAELNTPVGRVEGDGQPYAGFSVAPKPFTGPIVEAVATNSATTVPVPQGEVREIAKWKLAHKKLNRIYADWIDDDEDEQVSSSKAD >KVI11642 pep supercontig:CcrdV1:scaffold_417:218165:218587:1 gene:Ccrd_009941 transcript:KVI11642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLSSPIPPSPSLLIPSSNLSPPPPPPPPPPPFTEMEALPRSEVSFRRSGSSGLVWDDKLLSGELKPSNPKDDEEREKKEQQRSEPRPYKSVEVEPTIDPPSPKISGCGSICGIFGKPVKNTATATAGAGHKQKTRATH >KVI11638 pep supercontig:CcrdV1:scaffold_417:110747:112427:-1 gene:Ccrd_009947 transcript:KVI11638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1644 MPKERRDRSVSIDRSWTTPYVCSTSHSKRSLAKNPLESENVKEWEEARCPVCMEHPHNAILLLCSSHDKGCRPFMCDTSYRHSNCFDQFQKASSEMQAMVDPQVPATQSTVVPGSFEGAPTPPMACETQEKLKLICPLCRGQVNGWIVVEAARLFMNAKSRSCASETCEFSGTYTDLRKHARMVHPLVRPSEADPDRQRDWRRLERQRDIGDLLSTLQSSMGDERVEDSNSTSGLSLDEGGWLTVFFLIRVFRPRSSSRSSSWSGSSRARAHVTIRRRPTTRLWGETHNEESRDDENVASDGGSGPRQRTRRQPAPDSDES >KVI11640 pep supercontig:CcrdV1:scaffold_417:201338:205430:1 gene:Ccrd_009943 transcript:KVI11640 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH pyrophosphatase-like, N-terminal MLFSCPLSSIHYISKSIFSVISRKPYFSTMSIHLKSPVFAGNPIRSKTPKSIDPFSPASAFQTLKVLLSGQSHLQEPSSPKFQVLPFRKGRPLAGSTGDLVKKWHLGWLTFADFKDVLEGTEVKLSDDLLVYLGCKTEKGEEEEEEDNVVYWAIDVSQGISLVEKLGSRQLTFVELRTLMVATDWADDAAMGELAIAGHARALLEWHNTSRFCGSCGEMLVPTEAGTRKQCIKDSCKKRIYPRVDPVVIMLVIDKENDCALLSKNTRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIQVGEVVYHSSQPWPVGPSSMPCQLMIGFLAYATSVEINVDKRELEDAKWYSREEVKKALTFAEYEKAQRTSAYKVDQMCKGVEKGQSLAADFNVESGELATMFVPGPFAIAHHLISSWAHQVSENGISTQTKGLILKSFHGDDADKQFLILKSSQVLKKKILVFGI >KVI11647 pep supercontig:CcrdV1:scaffold_417:73520:80315:1 gene:Ccrd_009949 transcript:KVI11647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEDRKANIFALSSLVFLISVIVVARVVLHLSKSFFLIIGAAVAAIFAVITFYFIRRHLRHRRRVMETRLASEGKELRIEYSFLRKIAGLPTKFRYKELEEVTDNWKSVIGKGASACVFKGVMKDGTAIAVKRIQHEEERGEKEFRSEIAAIASVQHVNLVRLFGYCIHNSSRFLVYEFIPNGSLANWIFSRPATARNNGSRGGCLSWGLRSDVALDVAKALAYLHHDCRSRVLHLDVKPENILLDENHRAIVSDFGLSKLMTRDESRVLTTLRGTKGYLAPEWLLELGVTEKSDVFSYGMVLLELIGGRRNVTVIDGGSELSKRKFQYFPKIVTEKLKAGRVMEVVDPRLLDLGGIDEKEVKKLVHVALWCIQEKVRRRPSMVEVVKWLEGRVAVEEPPETQMIVVDLLSIDDEDGEGEGQGQNGNKRKKPKVVPHDFPCARLQHGYYSLRHHFACFALLWFCSSSRKLFFQDVFHSICLLLLTILH >KVI11645 pep supercontig:CcrdV1:scaffold_417:169931:170266:-1 gene:Ccrd_009945 transcript:KVI11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSGGDWMCGACQHLNFKKRDSCQKCQCPKFATPSEMSCYGVNRTEVLAGDWYCSTFNCGAHNYASRTGCYRCGTPKDYTTAAMITTSTGGCYTQDLNILPGWKSGDWICNR >KVI03179 pep supercontig:CcrdV1:scaffold_4170:30463:34196:-1 gene:Ccrd_018526 transcript:KVI03179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MANYSEPSSSISFTSPSHISNGSNGYNISSSSITEARSNLEIISLNKLSSNLGKLLIESDSNYSDAVVMVEGSHVCIHRCILAARSKFFCDLFEGSKDCGEKDGKIKYKMSEILPFGNVGYDAFLVFLNYLYTGKMKSAPLEVSNCVHEGCPHDACRPAIVFAVELMYASVIFQVPELVSLFQRRLLNFVDKALVEDMIPILLVAFHCELTHILTQCVHRVARSDLDDVSLEKELPVEVSRDVKSLRYKSQDENKPVSVKSEEQALREKRIKQIHKALDSDDVELVKLLLTESNITLDEANALHYAVSYCDQKIVKEVLGLDLADVNRRNSRGYTVLHIAAMRKEPSIIVSLLSKQASVLEMTRDGQSAVGICKRLTRPKDYNMKTEHGQEANKDRLCIDLLEREIMRNSMGGDVPVSSSAIADDLQMKLLHLENRVAFARLLFPTEAKLAMEIANANTTSGTKGPSGNLIEMDLNDTPSMQNKRLVSKIEALSKTVEMGRRFFPHCSEVLDKFMLDDFPDLVYLENGTPEEQIIKRTRFIELKEDVQRAFTKDKATKDKAKLPSILTHGIKNRTRKYS >KVH73896 pep supercontig:CcrdV1:scaffold_4171:37942:38387:1 gene:Ccrd_025577 transcript:KVH73896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MDGRKTKRKPVLNRPKVYGFLILIDTVFSAKVHELCVYEINKRDRGSPAYLRLGEKPVNSLGDLVPFTNKVYSADLQTRLGITTRIYILIKNMPEKKCDRTQSTMYNYFIHKIQKTYYGSA >KVH73895 pep supercontig:CcrdV1:scaffold_4171:3424:10748:-1 gene:Ccrd_025576 transcript:KVH73895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MGQGYREDEGLNLESDADEQLLIYIPFTQVIKLHSIVIKGPEEEGPQTVKLYTNKEHMGFSLTIFIEDNQSGSDITKVQKMILQGTTVETTDMKGLKKIEDH >KVI04424 pep supercontig:CcrdV1:scaffold_4177:22314:23545:-1 gene:Ccrd_017262 transcript:KVI04424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRGTIILLSLLRRVKLFYTLSSGPAAANRFCCDVFKQQLQTEQQQQRFIERVEKEYQEQVTAETVGFATVGLEAVGVEKKQELQQQLQSKQSAIVAQNSSQCADCLSLILKSETASSSTDLCCVCYLS >KVH98547 pep supercontig:CcrdV1:scaffold_418:115898:122172:1 gene:Ccrd_023233 transcript:KVH98547 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MGFVSFLSTLPTLLPIAPLPSYNSSKCPIHSGTISCKIDDGGSNEKSVRRRDILNCFGAAISMELVASSTQTPSPFVEVANAADLIQRRQRSEFQSSIKTTLYTAIKANQELIPSILTSEISRPENKGLSAALSLVEDAKKEIDSYSKAQSALKATFLASAIRKCGGNEEKGNLLYSAYGSSGQWGLFDRQFGRSDAQEPDPEGRVLDWAKASVQEMKEKFIAIGFGPRQLAVMSAFLGPDQLATEAMLAMDPDVTKWVEKYQRSRETVSETDYEVDLITTLTKMSCLGQTINYEAYSYPVQKLDFSKLKL >KVH98545 pep supercontig:CcrdV1:scaffold_418:138867:141215:-1 gene:Ccrd_023231 transcript:KVH98545 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MALSAREQNVYMAKLAEQAERYDEMVEFMEKVSETDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIKEYRSKIEAELSKICDGILKLLDSRLIPSASSGDSKVFYLKMKGDYHRYLAEFKTGGDRKEAAESTLTAYKSAQDIANTELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEDGADEIKEAPAPKQGEEQQQQ >KVH98542 pep supercontig:CcrdV1:scaffold_418:20281:34810:-1 gene:Ccrd_023238 transcript:KVH98542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRDSTSNSRSPSFQSHKTLSYLPLIHHKSSMLNVSTAIEEQLISRAIKEECSWESLPKRLQTSLNSKDEWHRRIIAHSIKKRLLWRTSFVRKVCREGEYYEDMMRYLRKNLALFPYHLAEYVCRVMRISPFRYYCDIIFEVMKNEQPYDRIPNFSAADALRLTGIGRNEFIDIMNKCKSKKIMWKLNKSIARESLPLQPVDITIEPWWGVCLVNFTLEEFKVRCLKLSEEEIATIDRICKEEANAFMLFDPVVIKGLYRRGLVYLDRIDLKFVFMFDMDVKVDMFNVTVCRLEGFVSNREQSYEDPIEEQVKILMLRTSLYRLLYAVFVVSSENSTVAELATTLQVELSQLQAASSFACRLGWAVKLIDPGSILQESSTTSSYKNALSDEEESSRASVGSFNMSVDGSVFQQDGADSSGPSSGYARVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIKDLCQDLSTLEGAKFEGVLQEFANHAFSLRCVLECLTSGGIAPNEGDKPDMDSSSNEEATSMTADKLQREESGHAVINESGVLDDSVSSAMPKEDVDSHSPVTEDTNDVNSLPTISADDSEGSNLDHGSQDDVKLVSAEGSDVGDIVTRKIRKYQVDVLRCESLATLSAATLDRLFRRDYEIVVSMLPLPHNSVLPGPRGPVHFGPPSYTSMTPWMKLVLYSAAGGPLSVVFMKGQCLRLLPAPLSGCEKALVWSWNESSVEDKFQGGLVKGNILLHFLNSLLKHTAILVQPLSRYDLDGSGKMNTMDVPLPLKNSNGSFADIGKELGLGTDESSKLNFLLNTLANKIELWTVGYIRLLKLFKESDPNSFAPDHDNYEWVPLSVEFGIPLFSPNLCKNICKRVVSSQLLQTNLFTEHHNAMQELRKRLQNVCSQYQATGSTARILYQRDQPKTQNKLLMTYASQRWNPLTDPSSPISGMSDHQRLKIANRQRCGTEVLSFDGNILRSYALSPVYESPLSLFDETPDLTGDKSDEMDTREAILPGVNLLFDGSELRPFDIGACLQARQPVSLIVEASVASAASSGVK >KVH98551 pep supercontig:CcrdV1:scaffold_418:43889:56217:1 gene:Ccrd_023237 transcript:KVH98551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine/other tRNA-ribosyltransferase MMALRFEVLGRFNRARAAQLTLPHFVCETPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPKEQNLFGIVQGGLDPGYMCPRFGGKEFAWGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQSMADDTRPIDPTCECMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLYYMMQKSSFVNYRRKIPEFPNGDVPEWVYNAMEVAGIDISSCSTPLDSKNNIHT >KVH98550 pep supercontig:CcrdV1:scaffold_418:68887:69384:1 gene:Ccrd_023236 transcript:KVH98550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0503 MEARKRSSSATTTCKKHPKHRQSPGICSLCLRERLSKISSSSSRAVTNVSSSSSSSSISSISSVYSSNNSSNASSYTASPMQNYRNLRKLDNLEGKGYLYFLKKNSAGVLKKSRSVAVVSDRKNVVQDQAMKEKVGFWSKLMGSKRSSSRMMHSRTMRETLVSRV >KVH98549 pep supercontig:CcrdV1:scaffold_418:99249:106295:1 gene:Ccrd_023235 transcript:KVH98549 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MQKKRWRKLGLMRRVLTCAIALISFVALFSAHLHLFFPPSQVSMLPDPYKLPTQHEIRYQKLSRERSWIRPHLSKAPLPALKLDGANGSSDFNKLWKRPPNRDFAPCVDPSLSYIAPLETRGYLLVHTNGGLNQMRAGICDMVAVARIINATLVVPELDKRSFWQDTSNFSDVFDEDYFIKSLVNDVKIIKKLPRELMTATRAVKHFRSWSGIDYYEQEIVSLWDDYQVIRAAKSDSRLANNNLPPDIQKLRCRACYKALRFSPRIEAMGKLLVDRMRSYGPYIALHLRYEKDMLAFKQRAKGYCPLTPKEVGFFLRALGFPPTTPIYIAAGEIYGGDSRMAALQSRFPTLMKKITLCRWRATFSFPLILGTWQGQKLLVRIFDKIANGTMKEGKKLSGRIIEIHKRRQGSPRKRKGPISGTKGTDRFRSEEAFYVNPLPDCLCQKESTENENSTM >KVH98544 pep supercontig:CcrdV1:scaffold_418:159477:162184:1 gene:Ccrd_023230 transcript:KVH98544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLVRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDVEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRKFTNKAGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQREKRSESLAKKRSRLSAASKPSIAA >KVH98540 pep supercontig:CcrdV1:scaffold_418:217201:218115:-1 gene:Ccrd_023227 transcript:KVH98540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MSTTFMIFASSLLIIATVIHPCSATIPRKLALVQTPPTVLKYHKGSVLHGNITINLLWYGRFSPIQKTIIIDFLESLNTHLPPPPSAASWWQTTAKYKGGSRSIHVGKQIFDEKCSLGKSLKDSQLVSLASKAKGFNVISLVLTAADVGVAGFCMNRCGTHGTTRVNKGHNFAYAWVGNSATQCPDQCAWPFVQPTFGPKTPPLLAPNGDAGVDGMVINIATVLAGTVTNPFDGGYFQGPATAPLEAVTACTGIFGSGAFPGYPGTTLVDKKTRVSYNAQGVKGRKYLLPAMWDPNTSACKTLV >KVH98539 pep supercontig:CcrdV1:scaffold_418:178419:179623:-1 gene:Ccrd_023228 transcript:KVH98539 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEGGVDPRNWADLFPDALGLIFSNLSLQETLTIVPRVCKSWNHAVLGPYCWQNINILDWSYRTNPDHIDHMLRLLVTRSGGALREITVSGILNDLTFSFLADHARSLQILQIPRCNISDSIVEQVSTKLSAVTFMDLSYCCKIGARALSAIGKSCKSLVRFRRNMHPIDLKERRPQAEEAVAIAATMPQLKHLEMAYNCIDTKSVVEIIESCNKLEFLDVRGCWDVKLDQDWLEKRALTVKVLGPHVVDQFEGHSCSDYSDSDSFYDYESLDDGLWDDDDDDGEDRVGGRLELRFYEEFGESNGNGWL >KVH98538 pep supercontig:CcrdV1:scaffold_418:162961:167961:-1 gene:Ccrd_023229 transcript:KVH98538 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II KKPTSFLVPKFALLLITQPPNPNLFWYPPRIRLPPPKMALCNTNSLLPTKSFQIQALPPPLISFPTTISAVHSPDPSNKSSSSSSKPSSPATAATVSTSTPKLSTTSTPKWTIDSWKSKKALQLPEYPDQNDLESVLQTLEAFPPIVFAGEARRLEERLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRVILQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDSFEEKDGVKLPSYRGDNVNGDAFDLKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYRELASQVDEALGFMSAAGLTVDHPIMTITDFWTSHECLHLPYEQSLTRKDSTSGLYYDCSAHFLWVGERTRQLDGAHLVKLIDILNPDNKSGRVTIITRMGAENMRVKLPHLIRAVRRAGQIVTWVTDPMHGNTIKAPNGLKTRPFDAIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQALELAFIIAERLRKRRIAARSIN >KVH98546 pep supercontig:CcrdV1:scaffold_418:131225:132145:1 gene:Ccrd_023232 transcript:KVH98546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, C-terminal MINSGFWSDEEAIMLANDSKHRLGCAVFPSSQHRAKAIASQIHCGVVAINDFESTYICQVYAQIGMAKEAADAASQTKDGELMGCLRNTLQQSSASSSIFDTLRDRPSFPSVF >KVH98548 pep supercontig:CcrdV1:scaffold_418:106876:108971:-1 gene:Ccrd_023234 transcript:KVH98548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KVH98541 pep supercontig:CcrdV1:scaffold_418:247982:248608:-1 gene:Ccrd_023226 transcript:KVH98541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTTKKELVVAKASNVYPKDAEAPPCRVDDMTKLAYLHEPGVLSNLRSRYDINEILRSRYDINEIYLSYLVPYLLHYTRMSLYFSF >KVH98543 pep supercontig:CcrdV1:scaffold_418:1026:4363:1 gene:Ccrd_023239 transcript:KVH98543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPQEIDDYLRESIEYSLGLPVSTRTLELKLRSSEEAQRHSRDQCFYLKSKLMEKDKTIDRVRAEASMNAQAVKRFVEENQKLAMECDNLLSQCTKWEKECSLYDRDREALMDFGNEADERAKEAELRVHYLEEELSKLSEELQYFKQQSNVQKVGEPTDNESTEHLLIDALLSTLINKEEIASTAHSFLEANIGVDVCQKMLKRWDRLKPSARAVLSLASEVKKLQEDKDHLRINLTKAEEEVTVLFDENNVLDKENRRLMKLLQKERHLLDSGGKRSSASIKNHKRKSSPCPKESSPIEKKIDFSDATSSPRQPLSPLLHNK >KVI01585 pep supercontig:CcrdV1:scaffold_4180:35034:36995:-1 gene:Ccrd_020140 transcript:KVI01585 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM-like protein MNNDGGQPYQRDDDYNAEDGQRLGMRNCGASPPVTLLLSPSFQHASHEPSSFSTTSTEDEDLEKSRKMKKHQGRKTNSFAYRVRDHVRMGPKLSEIVKGKLKLGAKIIQKGGRENIFKEVFGEMDGEKLLKASQCYLSTTAGPIAGVLFISTQKVAFCSDRSITLPSPNGHLIRKPYKVVIPTNKIKEAKESENVEKPTQKYIQMVTDDNFEFWFMGFVRYEKALYNLRKALSFVQN >KVI01584 pep supercontig:CcrdV1:scaffold_4180:44878:48553:-1 gene:Ccrd_020141 transcript:KVI01584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEAYAKRLVDYDRNSAARTTVIDDQSDHYEIEGNSWLSMEENELLRKKRVAYAVALDTETQKQAAAEAYAKRLVDYDRNSAARTTVIDDQSDHYEIEGNSWLSMEENELLRKKRVAYAVALDTETQKQVEENAVKHFFAISD >KVH99470 pep supercontig:CcrdV1:scaffold_4181:14568:16347:-1 gene:Ccrd_022296 transcript:KVH99470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKAMLLVLEGVAPTSIWKVIVGRLKTQFKVIH >KVH99469 pep supercontig:CcrdV1:scaffold_4181:20864:36900:1 gene:Ccrd_022297 transcript:KVH99469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLDSDDTDSVSSSSTIRGSTREKALVAIIEAFNSSLPHEFVEKKFATMLHQYLNSIKKGSAKEVALASHAVGLLALTAGSGKKAQEILEEAVSPISEALKSRSDSSKIASLLDCLAVITFVGGNEPEETDKCMQIMWQVVHPKLGPNVVVTKPSPPIITAMVVSAWSFLLTTMDGWTLDPKSWQGSVRIAAGEALALIFEIGNLEKFYSISKGSNDSSINEGNNSQDVTHIQGLRAKILNQDGYSPETSVKIGGESLTTTTWCQLIQLNFLKRFLRGGFIKHMQENEFLHEVFDFAPKKKLLSGADRVSGTEKRMYKSPNSALNKARTRYLNKQRMLAQDKNAGHYALGFDEE >KVH73494 pep supercontig:CcrdV1:scaffold_4183:15400:32254:-1 gene:Ccrd_025578 transcript:KVH73494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MFGVGDVVLPEELCCPAHIGNADTSVTADILQRLSGDFVTLAMNKYASNVVEKDTARGLAYLHEGMDFQIIFRDFKSSNILLDDQWNAKLSDFGLAQLGPKEGLTHVTICR >KVI04426 pep supercontig:CcrdV1:scaffold_4184:8382:25377:1 gene:Ccrd_017260 transcript:KVI04426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MCPHDDNSRCCHSQTGAFKKGAERGGEMSAYRTEDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLETKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENIERWLKELRDHTDPNIVVMLIGNKSDLRHLLAVSTDDGKTLAEAESLYFMETSALEATNVESAFSEVITQIYRIVSKKAVEAGDDGAPASVPSKGATIDVKDDSSGPKGFGCCSN >KVI03091 pep supercontig:CcrdV1:scaffold_4185:23498:46908:-1 gene:Ccrd_018615 transcript:KVI03091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKELEQVQNVMLMMQSVGAIDSSNNTNGDSNRFLANFILFMMQPCGELDMNQKCKLISESFPKISSAFLEEALCQLYDKGNFHIEDPLSHHCEDETDLGFLHTNCADVAMITLDSMQRANSTLEDFCRSYFMFHEMDANSADSIFKFLPLLSFTESYIYQLDTINEKLLQYPFGAIPDLDRRPDRVANSSWVTFKPLAVHLEHYGMFTDRIRDELKCGEEYWALERNLCWALTNKQEIQVEDVMKAIHLKSFDYRVLNLLLYHLNGKEINEVHMEFLSVSEFLVEVSDDLLDDVLENNFNILRMFVRIYGASSAPALLAKSITEAEEKYNCLLSTLDPQLSLKYQKRCEEATKEGGKLLGPPLGTWNIPPIIEDEDLYRYEVSNAMPPANFLSLQLVFYKESRNKYKAK >KVI00770 pep supercontig:CcrdV1:scaffold_4186:30514:31300:1 gene:Ccrd_020977 transcript:KVI00770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFNLSSLTPSTLALALASLTEITNKADSRIQIAIGLDSIGSHSYSYSHSLPLLSLDYRPPTADRLSASRSRSRILTLPRYSKRGRGK >KVI00769 pep supercontig:CcrdV1:scaffold_4186:34455:35210:1 gene:Ccrd_020978 transcript:KVI00769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MVQLTCTRINLLLALVLLPLCIVQAEGNKNNQTWLIGLHPNATNGTTVPWVRRVRLQQAPGCGDRPWICNDGGFPSQVRRRCCGNRCVEVTSDVNNCGVCGIRCPFTWQCCRGVCIDTNVNPFNCGRCFNRCPIFTFCTYGMCGYAGPSPPFPFPPKPPKPPIPFPPKPPKPPIPFPPKPPKPPFPFPPKPPKPPKGPEAPEPPQSRPFPELGQPPINDGPPPPEPPVSGGQATEGHGGQPPPQGGQRVGH >KVI07002 pep supercontig:CcrdV1:scaffold_4187:35277:36719:1 gene:Ccrd_014640 transcript:KVI07002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MPSSQPLVHIISKSTLFPSTKSTLSHLKLSVSDLPMLSCHYIQKGNLFNRPPISISNSDLLFLLKCGLSRALTHFPPLAGRLTTDSDGYVYITCNDAGVEFVHANAVHLSITDITSPLHVPDSVKGFFAFDRTVSYDGHFKPILAVQVTELKDGVFIGFSVNHAVVDGTSLWNFINTYAEVCRGAKLVSKQPSFVRDSVLISSACLRLPAGGPKVTFDENAPLSERIFSFSRESILKLKDRTNNRKRMIFYGNSEINTDELLGKQRNDRITHSDDIGNWIRSDVALKPEPVPEISSFQSLCALLWRAVTRARKFPNSKTTTFRMAVNCRHRLKPELETFYFGNAIQSIPTYATAGDVLSHDLKWCAEQLNKNVLSHDHTMVCGYVKNWESDPRCFPLGNFDGAMLTMGSSPRFPMFDNDFGWGKPVAVRSGRANKFDGKISAFPGREGGGSVDLEVVLSPETMAGIESDPEFMQYVWDKC >KVI07001 pep supercontig:CcrdV1:scaffold_4187:45935:47401:-1 gene:Ccrd_014641 transcript:KVI07001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MEGREDGVRLGTHRFGERRPLGTEAQIHDKDYQEPPAAPLFEVAELFCWSFYRAVIAEFIATFLFLYITLLTAIQVSNSPSKCSSVGALGVSWTFGGMIFVLVYCTAGISGGHINPAVTFGLFVGRKLSLTRTLFYMVMQCLGAICGAIVVKGFEGNSVFELHSGGANVVSDGYTQLAGLGAEIIGTFLLVYTVFSATDAKFSARDSRVPILAPLPIGFAVFLVHLATIPITGTGINPARMDILGGTIRRGSISGVLPPSCDKGISIQV >KVH73360 pep supercontig:CcrdV1:scaffold_4189:18933:31010:-1 gene:Ccrd_025579 transcript:KVH73360 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATH-like protein MKHHHHQTSSEAVSSSSSAEAAVSSSLSSSSQQPPPPSPTVQLMNATSPATEDHPASSSSRDCSSSSSSSSSLESVTIERRGEFAAVCKWKIFNFPRVKTRALWSKYFEVGGYDCRLLVYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLTVVNPTDDSKSIHRDSWHRFSTKKKSHGWCDFTPSASILDVKSGFMFNDDHCVLITADILILNEAISFVRDNNELQSNSVSNSVVMTGPVGDVLSGKFTWKVHNFTLFKEMIKTQKIMSPVFPAGECNLRISIYQSSVNGVDYLSMCLESKDTEKASISDRSCWCLFRMSVLNQRPGMNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMTDFAGAESGFLVDDTAVFSTSFHVIKEHSSFSKNGGLIGGRIVSGARKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTTSDWSCFVSHRLSVVNQKMEDKSVTKESQNRYSKSAKDWGWREFVTLTSLFDQDSGFLVHDVVVFSAEVLILKETSIMQEFTDQESESGNAGLHTDKGGKMSSFTWKVENFLSFKDIMETRKIFSRFFQAGGCELRLGVYESFDTICIYLESDQSVGTDPDKNFWVKYRMAVLNQKNPSKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFADLEVYASEDDQDALTTDPDELIDSEDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGTNDGKKIAKTDERSGVMESSSQLYVHGRLDSGTDEISTASAVQSSDMNGNDTSEKTYTGQPFCPPETCAASSSESSSLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVALVPKLVEHSEHPLAACALLDRLKKSEGEPDLLLPALGALSQLKCNSEVWERVLFQSFELLEDSNDAPLAATIDFIFKAALHCQHLPEAVRCVRARLKSLGGEVSACALDYLSRTVASCTDVAEAILKDIDGDDDYRDTCSSVPCGIFLFGENGASDRLHTDQQTFCAPTSHFSDIYILLEMLSIPCLAVEASQTFEMAVARGAIMAQSVAMVLERRLARRLNLTSQFVAENFQHEEVVVEGETIEQLRVQRDDFTSVLGLAETLGLSRDPCVRGFVKMLYTILFKWYADGPYRLRILKRLVDRATSTTETSREVDLDLEILVFLVSEEQEFVRPVLSMMQEVADLANVDRAALWHQLCASEDEILRIREESKAEVSNMAKEKAVLMQRLSDAETTNSLFMKWQTEMKAEMDRFALERKEMSEQMQEIESQLEWLRSERDDGIAKLTAEKKIFQERLHDAEAQLSQLKSRKRDELKRMNVLQRITKEKNALAERLRNAEAARKRFDDELKRYATENVSREEIRQSLEDEIRRLTQTVGQTEGEKREKEEQIARCEAYIDGMESKLQTCEQYIHSLEGSLQEEMSRHAPLYGAGLEALSMKELETICRIHEEGLRQIHALQQRKGAAGASPAASPLVSPHSSLPHGHGLYPGGPPPMAVGLPPTLVPNGMGNGHVNGAIGPWFNHS >KVH89659 pep supercontig:CcrdV1:scaffold_419:47456:52200:1 gene:Ccrd_008348 transcript:KVH89659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MSSKRKVREPKEENVTLGPATREGELVFGVAHIFASFNDTFIHVTDISGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGINALHIKLRATGGNKTKTPGPEDVTPIPTDSTRRKGGRRGRRL >KVH89656 pep supercontig:CcrdV1:scaffold_419:65880:137925:1 gene:Ccrd_008351 transcript:KVH89656 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MSYRTVLMEDEGRDTIHGDWGNQGLIVKIFRRFIEEDDKPWISILGSDLKLGMEMEIGRIDAKKTDGDPSNRKRVDLGGRSSKERDRKKLLEEAKLERSRRSWMRQQNSAALIIQKCFRGRKVVKAERGNVREQFYTTYGKHCERVDRQCFGPDSDFLRDLLYFLSPQNVSDFSTLVEACRLLSQFAKDSGDVLNLFGGPDYSSKHALVNYRVKKLVYACIQAVHHNRYQLKDQLLSTSLDSGTSTIILLKAAALLIDPKLPWSCKIVSYLMQQNIYRIFREIVITGKESLNCEGCVGKVSLMERVLALVIAHTGQGHCVCQDIDLQWSFASQILTIPFFWQIFPYLKEIYVSRGLCQHYLNKMATCTKSYEKVLPIDISAEFPAYACLLGNLLEAVGVALTRPACSYDMAIDFATVATLLLEVLPPIQTSNTGSREENSVLGDDDMITDDEPAVAPLSKELEKQISNAIDPRFLLQLTNVLFGGSSLDADSCKDKCNDNEAAAVAAACSFLHVTFTILPLERIMTVLAYRTELVSVLWNFMKQCHEKQNWSSLSAVSQYLPSDAFGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLNDIRLLIVILRQALWQILWLNPVAPMKFTTNTLFLKKHRVEFVQYRVSVVASELLSQLQDWNNRRQFAHPSDFHADGVNDHFISQSQLAAIKERPGSHSLFNRSSFKIRRDHILEDAFNQLSTLPEEDLRGVIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDMQYGLFKETIDHLLYPNPGSGMIHEQHLQFFHFLGIILGKAMFEGILVDIPFATFFLSKLKQKHNYLNDLPSLDPELYRHLIFLKRYEGDLSELELYFVIVNNEFGEQTAEELIPGGKNIRVVNDNVITFIHLVANHRLNTQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSVDGIDVDDLRSNTNYAGGYHHTIYEEQGVFVGHREITNSETTNFS >KVH89655 pep supercontig:CcrdV1:scaffold_419:65549:65815:-1 gene:Ccrd_008350 transcript:KVH89655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGFFVICLLHSLIVISCGGLIMFYLNEISIFGHGIETARKLSGSTPHDQLLIQTSHSFTRMISAIVTRVGSDEGGRGEEENREET >KVH89658 pep supercontig:CcrdV1:scaffold_419:58667:62932:1 gene:Ccrd_008349 transcript:KVH89658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MASLAQQIGGLRCPSLSTHLSRRSSYRNDSINHTVKRIQTTKAAAVVSNAQTRERMKLKEMFEDAYERCRTAPYEGVAFTVDDFHNAIERFDYNSELGSKVRGTVFNVDANGAYVDITAKSSAFLPVREACIHGIKHVQEAGIVPGMRDEYIIIGENEHDDSLILSLRQIQYDLAWERCRQLQAEDVVLKGKVVGANKGGVVAIVEGLRGFIPFSQISSNSSAEELLEQEIPLKFVEVDEEQSRLILSNRKARADSQAQLGIGSVVTGIVQSLKPYGAFIDIGGVNGLLHVSQISHDRVSDIATVLQPGDTLKVMVLSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKSELSLNSDGILGPLGSDLPPDGLDLNESPLPEGSS >KVH89657 pep supercontig:CcrdV1:scaffold_419:137977:140808:1 gene:Ccrd_008352 transcript:KVH89657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGSRKKLEKGDRFTMKCQGITKHLLRFVTGCSRGPLLGFKYLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYRSKEHMEQKLLYSINAEAGFDLS >KVI04402 pep supercontig:CcrdV1:scaffold_4191:16362:21444:1 gene:Ccrd_017285 transcript:KVI04402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAKALAQQQLQLQQQLLLQQQQQQHPQQQFLLLQQIQQQQAISRFPSNIDAHLRPPLRTIRTHLQPPQSPNPNHNHPQIPNSSNLQPNRLQHTSPANQAELQMAYQDAWRVCHPDFKRPFSSLEDACERVMLSFGGLSNGLLPYHVVADYEAEEDDRLLDSDTTGQALSRSQQWDHNIANKVAEFTATFEKQVLAFNIISQKRAIGEFRSEERLLVEQLLLQEERRTLLEARAEMEIRQKAGREAQAASLRIAAMAQAEQTRAEMMARAPIRASALGAHEVGEQEQEVVPDEMMNGWGARDEKEPSEDFLNDEERENGDTGTQCGWREGGEFDLNTR >KVI04401 pep supercontig:CcrdV1:scaffold_4191:3613:4084:1 gene:Ccrd_017284 transcript:KVI04401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINILLHSS >KVH72802 pep supercontig:CcrdV1:scaffold_4192:46274:48323:-1 gene:Ccrd_025580 transcript:KVH72802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIFDTVWDLVKLADYLTGRDDIDHSRIGITGESLGGMHAWFAAFVDTRYSVVVPIIGVQVWNRIAPGLTSEFDSVHTVPLIAPRPLLILNGEEDPRCPIAGLDVTISRTCKAFQDANCPDSFKVIAEAGIGHEMTGSMVKEAMNWFDKFFQP >KVH72751 pep supercontig:CcrdV1:scaffold_4193:32125:33683:-1 gene:Ccrd_025581 transcript:KVH72751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYLKGTPGTSSPPSLYSFLQPSIFAVRNPQVKETPNPDDQTPKPTAVDNLQKPNLESTLQLSLQENNIDEAWKCFKSLSSSLPKISRKTGRFCEFLEGFNENCRIAKEEKLDSLRPDLAACNAALEGCCHELESVTDAQKVLDTMFVLGVHPDETSNFESISAAILRRLRELDGKVLNFLQGTYGEIVKGYLTHGSIKGLASLILEAQKLESTTVIVEKCVGYGIISACVNLGLLEKANNILDEMNAHGGSVGLGVYVSILKAYGKEQRTAEAASWYRKCVVLG >KVH72626 pep supercontig:CcrdV1:scaffold_4197:5173:6101:-1 gene:Ccrd_025582 transcript:KVH72626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1517 MSIASSSQSFFTNALLSTPHKSYPNHIPKNSIIISSKHETPEDSSRIKENNNQLAKLAFMTMAAGVLTLGSVDPAFAAKSGGRVGGQAFRSAPQPRSSSPRINNSRTNIYINPRVAPPLVGGYGYGWGWSPFSYFAPGPSVAIGVGGGFDTLVLFMLLGAAAAVVRSFFRSRNDYDDED >KVH72627 pep supercontig:CcrdV1:scaffold_4197:9485:17314:-1 gene:Ccrd_025583 transcript:KVH72627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGGDTALSLEGIKNETVDLEKVPIEEVFEQLKCNREGLTTDEGATRLEIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVFPPLFIKFRLEDLKKKVHAMIDKFAERGLRSLAVARQEVPQKSKDSPGSPWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGGHKDESIAALPVDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGE >KVH72628 pep supercontig:CcrdV1:scaffold_4197:11516:14342:1 gene:Ccrd_025584 transcript:KVH72628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVSTALMITLSPGSVSTMSEAPLAASVASATAIPISAFFKAGASFTPSPVIPQINTDQGKLRQIHQLSQSTHQQEVLQLIHPCVHRAKKKKGNTLVLGARPAISAAAAFPALFSSMKLIVELITSRQTIPTKSCQSGGFPPPLASAIAIIAAASMTHDKGFHINPRNLRNLLSCVKQNKRKYRWPSGSEATSKVRLQIKAVCSNRVYLLLFQFVWSENFKPGGSFICGQTFTVTFQLLKHLFDSGTI >KVH72623 pep supercontig:CcrdV1:scaffold_4198:27745:30062:1 gene:Ccrd_025586 transcript:KVH72623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MEGNSSCPESTMAVAGKETTGTPAPCRWNPTKEQINMLENLYRQGVRTPTAEQIQEITTRLQTYGHIEGKNVFYWFQNHKARQRQKQKQDHLSYVHQYLHHHHHHHHQPAIFPMTHNPNVVYGQCYLPLSDLGFYTQYPKVFIPNSNVIKRRSSRTVKSKLSVGPPIFAEGNTMIKSKMVNAKNYNQQETLDLFPLHPTGILQQKESMVSSVNPHALTTCTSSSSDCSQDQLLYDFFSS >KVH72622 pep supercontig:CcrdV1:scaffold_4198:5958:6764:-1 gene:Ccrd_025585 transcript:KVH72622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSGLEIGLSFVCGCVLLGFAAELYYLLWWKKRRVDIENQSLSLSSSSSCSSNYTPTHLSSYISCWKNPNSSKHTKTHESKQDPETGLEEDVILKGLDEESVDLELMRLHNLQGPPRFLTTINEETKEELESQRSRKGSRTRSLSDVLLHLDTPQASPPLKLGLQLQNLEGFHHHGLNPLFETEIKKMRSSPPPTFKFLRDAEEKLLRRLMQLEAEKGVNSSAKMEDKDGFSVKLAKNGGIQYHHQVSAPSKVIPLASSPSNSQSN >KVH72624 pep supercontig:CcrdV1:scaffold_4198:35731:45163:1 gene:Ccrd_025587 transcript:KVH72624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertase OXA1/ALB3/YidC MAKSLISSPSSFIGTPFPSLNRHGRFSPRTKLISTTVKFSFNGLPPISSFDGVSVDFAAIASRAESLMYTLADAAVAVDSAASASASGDSATSTVQKSGGWFGFISDAMEVVLKVLKDGLSTVHVPYSYGFAIILLTVLVKVATLPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQGLLTEGFFWIPSLGGPTTIAARQSGAGVSWLIPFVDGHPPLGWYDTAAYLVLPVLLVLSQYVSMEIMKPPQTDDPTQKNTLLVFKFLPLMIGYFSLSVPSGFTNNVLSTAQQIWLRKLGGAKPVVSENASGIISAGRAKRSSSQPSESGARFKQLKEEEKKRSSKALPDPDVQVLASTSDSEDETDDNTKSTEVLEEAYASSTAKPVPELSGPRRSKRSKKKRSTQGNTMAP >KVI01359 pep supercontig:CcrdV1:scaffold_4199:26916:27823:1 gene:Ccrd_020370 transcript:KVI01359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MADHRRWTMDEEDLLITILQDIVINGGKDDNGSFRSGTYETVVSNMQKKIPGISITVKHEQNKIKRLKDKYSVAYDMVNTSGFGWNDANQCVTVEAPKILEEYLKKHPNKKYMANKPFPTYEWLKLVFSKDCATGNMAESATDALESMNMENDDDFSTEVNVPPIPSPSNTTSFPPLKMKEKEQVKREKGQMRYPN >KVI01361 pep supercontig:CcrdV1:scaffold_4199:12941:19722:1 gene:Ccrd_020369 transcript:KVI01361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular solute-binding protein, family 3 MEHQNHIVSLVFLVAMLCVCVMVDFVQASILVTSEKGLTEEKYTKLRSTKTMTSTAIKDASSRKRRSIQEQDDTGQVDVCADSHNFYFYFTNNTDFGQFWCVICCYIYKDIIGPKWKIKKQGKGPCPTLVVWVPKKTGFTEFVKVNEQLKVEGGFSVAVFCHALXLLPFNIKPIFKTFINDKGESGTYDQLLHHIEGKTCXAVAGDVTVRGARAQYVDFTIPYLSSEVYMLVHATHEWNQTLWTFVRPFTKRLWITLLGACIFIGLAXAILEYRVXNPKFTSPFYQKLIMVIWFPISTFFFHEGKILNRCSKIVLVIWLSMIFIVVQIFTATLSSWLTLDQLRPRLPSSFENVGYQDGSFLKDLIAQKSNYSGRNIVPLXGVEEFKNALSNGSVNAIYDELPYIQLFLAKYGSDYMKFGPINQESGIAFAFPRGSPLLQNFSRAVINVTESELMMEMKTKYLGFSTPDKSQQNQALPQSLDVQSFIGLFIFMGSVTIVAVISSEISLRRRNNKILPLTSTDN >KVI01360 pep supercontig:CcrdV1:scaffold_4199:37835:49598:1 gene:Ccrd_020371 transcript:KVI01360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular solute-binding protein, family 3 MEHQNHIVSLVFLVAMLYVCFMVEFVQASILVTAEKGLTEEKYTKLRSTKTMTSTAIKDASSRKRRSIQEQGDTGQVDVCAGPGWKIKKQGKGPCPTLVVWVPKKXGFTEFVKVNEQLKVEGGFSXAVFCHALQLLPFNIKPIFKTFTDDKGESNGTYDQLLHHIEGKTCHAVAADVTVRGDRAQYVDFTIPYLSSEVYMLVLATHEWNQTLWTFVRPFTKRLWITLLGACIFIGLAVAILEYRVSNPKFTSPFYQKLIMVIWFPISTFFFHEGKILNRCSKIVLVIWLSMIFIVVQIFTATLSSWLTLDQLRPRLPSSFENVGYQDGSFLKDLIAQKSNYSGRNIVPLKGVEEFKNALSNGSVNAIYDELPYIQLFLAKYGSDYMKFGPINQESGIAFAFPRGSPLLQNFSRAVINVTESELMMEMKTKYLGFSTLDKSQQNQALPQSLDVQSFIGLFIFMGSVTIVAVIWSEISLRRRNNKILPLTSTDN >KVI03871 pep supercontig:CcrdV1:scaffold_42:547810:557150:1 gene:Ccrd_017846 transcript:KVI03871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MANSYSSSVTASQVGSYFVQQYYQVLQQQPEFVHQFYADSSTMVRVDGESTETASAIFTINSLESWSQGIVVVVSGSVKSKFFTGWRKFVQTFFLAPQEKGYFVMNDIFHFVSEEVIHHHPPPGALESKVDSQPISSTPSELLVSEDALEVEAQENLNSIHLEGNDEDDYYNSQENQHLQQEEYDSEAHEEEPPVEEPASLVQNTVEYVQEPIHRNTVDVQEPLQQNAVEYVQEPVSAVEEPVTEPVKFTYASILRAKGKSSPSVPAQPPLSKSVTPAPEWHHTPEPVAQLPPTYVPESTAQSVYVRNLPTTVTSLEIFQEFKNFGKIKQDGVFLKNRKDVGVCFAFVEFEDVEGGGRGSGGRGRSDASRGRYGGSSYARGNGIRNI >KVI03866 pep supercontig:CcrdV1:scaffold_42:534469:546332:1 gene:Ccrd_017845 transcript:KVI03866 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MGDSETVVAQTSAVQEHPSVPYSASEYGDPSGNASLGTGVATSSDTIDVAASTGNDPMVVDNAISHADDPGTVGRSEVVDVNFSHEAPSSTTQDVSENPANVSVDSSNATAYHSSVNGNDVSDAKTLPSVGVSENGVSSYDAHNSASASQPEDGSVVAALSPEEERLWSIVNANSLDFSAWTALIEETEKTAEENVLKIRKVYDGFLAEFPLCYGYWKKYADHEARLGFLDKVVEVYERAVHGVTYSVDMWLHYGVFAINTYGDPDTVRRLFERGLAYVGTDYLSFPLWDKYIEYEYQQQQWSNLAMIYTRILEHPNQQLDRYFNRELVASRPLSELRTAEEVAAAANAKGEVNNQESEGEVHPNTVEQSSKPVSAGLTEAEELESYIAIREELYKKAKEFDSKIIDFETAIRRPYFHVRPLNVAELENWHNYLDFIEGGDDFNKVVKLYERCLIACANYPEYWIRYILSMEASGSMDLAENALARATQVFVKRQPEIHLFAARFKEHGGDIAGARASYQLVHTEISPGLLEAIVNHANMEHRLSIKKADNRHAKLFLHHKSASESKKRHMEAYLVSDRAKLAKIGAVSPAPSVQAAPPQQVYAQPAVAAAAAAAATLTPAQQPTAVAPQSYYGTYY >KVI03850 pep supercontig:CcrdV1:scaffold_42:351919:368199:-1 gene:Ccrd_017827 transcript:KVI03850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLGFAFLLLAAASICEAAVIFNPISEAHRSAALELFSPAAGEFSSLEDAFEALRTFEVLGIETEPDLKDSTCKSVVDTLSSGSSNSTDLYHALRVSSLLKCKIXKGDLTGVASRLKDSVKDAKLLLDFYYSIGGLILIKDQTSEVDVLLADADGIFRSVKTESTLHVLVRLSAKVMEGVALETLSGIITLTSSEIDGNLIDTLKNDVMKLLHNIDKYDDSYFFYNFADDGAYYFVDQLLGASGHQGPLSATSSVVRGLTTFASTSGSLNVRHSLIPGDKILGLARFFLGIGIPGXGKDLYYQIDALACLDNNRQTGRILWCRVSVPLILSLPARVLSVTSQEKLKVKVTTVLGSTAPPSSVKLMQVFGSGSKDASIIKQELRFDPKEAVHILDALPVGVDVGEYVFAFEIVLSDPEHKKXYATGGRAKVPIYVTGVVKVDNAKVEVLESDSIETQKKLDLPGKNDVGLSANHLQKLRLSFQLTTPLQNEFKPHQALLTLRHESGVEHIFVIGNSGKQFEITLNFLGLVEKFFYLSGKYDMEVTVGDAAMENSFLQPLGXIELDLPYVPEKATRPPPQAVDPYSRYGPNPEISHIFRPQEKRPXQELSHAFLGLVFVPLLAFFFGLLRLGVNMKNFPTSTVPATFAILFHGGIAAVLILYALFWLKLDLFTTLKTLGFVGMFLLFVGHRTLSHLASTSAKVKSS >KVI03840 pep supercontig:CcrdV1:scaffold_42:689915:696583:-1 gene:Ccrd_017855 transcript:KVI03840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinoprotein amine dehydrogenase, beta chain-like protein MAPATSLRYLHYPPPAVYMGNMHSIDRLSLAAELPLMPVPLFCSPSYATADSRPQLQIGRRPVDSDNGQLESSDRLQVLANATELYEQMMASSVPSSSTHDTVVDSVSNPTPGEMQSSFYRNAMDVTGTTAVQPPVEYQQNGASDMDTSGAVGVPEPVPSQMGGATVEIRQDLFRDRVCWELPFMQGWLVGQSQAGTQSTFPLTGSTQEHSAGNRGPGPTTLPPESASSNGELLEASLVMPHGTNRVTLERPGLRDLSSNAASTSASEYRQNALINEPNDGGDSFPIISRIQSQLSASLTATAAAELPCTVKLRIWPHDFKNPCASLKAERCRLIIPHAVLCSEMGAHFSPCGRYLAACVACVLPQFEADPGIPNQLHQERAATSPTRHPISARQVMYELRIYSLEEATFGTVLISRPIRAAHCLTSIQVYRVSDMELVSVLPSAEDEVNVACFHPLAGGGLVYGTKEGKLRILQYDGAYVTKITRPDHLFEAQTVEVEP >KVI03847 pep supercontig:CcrdV1:scaffold_42:370328:372363:-1 gene:Ccrd_017828 transcript:KVI03847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSHGNEFC >KVI03861 pep supercontig:CcrdV1:scaffold_42:165325:169586:-1 gene:Ccrd_017819 transcript:KVI03861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYC/MYB N-terminal MGVSALHQALETLCVNADWNYAVYWKLDPQARMMLTCEDAYYDKNDPSGSKRFDNLPDEHYAQDLLDLAVAKMSYQRYSLGEGIVGQVAVTDKHLWLSGDQIVNDPCLSFEDYDGWKTQFAAGIRISEDLKLVNHIREIFLELQSSLTGCIPSSTSSYNDADTSVNFRINRISDLDRNRELGMPVSLNPHMLISSDENLESQSDTDAMNALKTSFKFPAGCELYEALGPAFCEQTENPVWRTADEMLGMGGSNLLTPNSGPEHLLEAVVANVCQTDSEFSNPVKWVEPDPPLNDMQTSGSGCYSFESPFLVKDDMLTSTETYGSSLGFSSASHSRCSEPRSQEPANVGKKRARPDDVRGRRSFSRDSRRHQELGPHHFERRNGT >KVI03849 pep supercontig:CcrdV1:scaffold_42:341377:349562:-1 gene:Ccrd_017826 transcript:KVI03849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase 19 MESSTNSSKSLKRRRDEEEDIPESHDSDQSLSLEDNLIFNDTMVALRMMRAQFPHIDKVTXEPFILRSQLYSSLKDRTQVDRELEIIYVLQLLWFLDCAQSLRREKVLRIFKLNTGQDDHAVMFMEDYLNQMKRAVKSMETKKQSVLAVFKWFQVHCSLLSIGGKVKDEDISLLINAGLLTRQLIDPDMYWFAIPNIGSVLKGLTQGRKELLSLLNRKKYKEMMLAVLEKKRLRLSPLDMRFHLRDLLGSDHLKTVHTPSGLVIRVVKD >KVI03879 pep supercontig:CcrdV1:scaffold_42:438602:442006:1 gene:Ccrd_017835 transcript:KVI03879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGRRSSDSKYTHGFSSSQIQSLAAISEAFIPPIPVPSNPTPSPNHNQSAVNFFYSASGSQHPVPQEVAEKMVKRYPSDGMFLVKLVLMLLSTKLGTLLLCGFLSFDTKWPFLHSFSDLHLEKREKVLQKWS >KVI03852 pep supercontig:CcrdV1:scaffold_42:302652:305517:-1 gene:Ccrd_017825 transcript:KVI03852 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MMRSDHISSEESNKYEEDSLGCDPVDSFGKRVLIKMGLNDIGAKLPPGFRFYPSDEELVCHYLYKKIANEDVLKGTLVEIDLHICEPWQLPEVAKLNSSEWYFFSFRDRKYATGYRTNRATKSGYWKATGKDRTVIDPKTGALVGMRKTLVFYKNRAPNGVKTGWIMHEFRLENPNIPPKEDWVLCRVFYKAKGENSNEFNPQNNIINSYIASPNTDTTYPPTTSDDNHPPSCGCYHQITTNSSHQNPPFHHHNHHHQYDYNHPSFPQFSSLKESTNQTTVVCSNIKCDQNDQESGLFSDFGFEFGIPSPIGFADGDNEDNGIVFF >KVI03848 pep supercontig:CcrdV1:scaffold_42:387026:394133:1 gene:Ccrd_017829 transcript:KVI03848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDHIVGGKFKLGRKIGSGSFGELYLGVNIQSGEEVAVKLVLTSIPDGGFGESVKTRHPQLHYESKIYRLLQGGTGIPNLKWFGVEGEYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLLNRVEYMHARGFLHRDLKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFIRGSLPWQGLKAGNKKQKYDKISEKKMLTPIEVLCKSFPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGASSRGRHHSGNAGLNAGTSAEKHRGAPVGQDGRAGDAYLRRNPTPVRTSRNGSASKYGVVSGTRPSSSAEATEGQSSSRIVSSIGGRMSTTQRGLETKPSRTSKGGREDPLRSFEFLQIRK >KVI03880 pep supercontig:CcrdV1:scaffold_42:428069:434749:1 gene:Ccrd_017834 transcript:KVI03880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochelatin synthase, C-terminal MAMAGLYRRVLPSPPAIDFSSSEGKQLFIEAIQGGTMEGFMLISRLHRSPALLYTLSCKHESWIKIAKYLVEDVPTLLSCKDVKDVKDVLSIVFNSLPSKFLEFIKWVAEVRQREDGGQSLSSEEKERLAVKEEILKQVQEIRLYKHVTDFLFTGNSGSCKESCLTNIADSICCQGAGFLEGNNGSAKGFCCRETCVNCIKANGDTSVTVVSGMVTNGTGIQQVDVLVPSSSSKTSGASNSYGLSGCIGMHPASNDVLTVLLLALPPQTWFGIKDEKLLQEMSSLVSIDNLPTLLQEEIMHLRGQLYILKRCKDDEAEEDFGAPLF >KVI03860 pep supercontig:CcrdV1:scaffold_42:48621:52908:-1 gene:Ccrd_017814 transcript:KVI03860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase-like domain-containing protein MVAAASASFRWILQLHKDVPKAARFYKEGLDFSVNVCTLRWAELESGPLKLSLMHSSSEIVVQKGYSSLLSFTVTDINNTVTKLMALGAEMDGPIKYEVHGKVAAMRCIDGHMLGLYEPA >KVI03882 pep supercontig:CcrdV1:scaffold_42:444930:462417:-1 gene:Ccrd_017836 transcript:KVI03882 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Ha. [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MALNSNLNLHSFTPLSTHTNSIRFPASISKIGYIFRPPILRAISKNSDVNLEDDRKPQKNSRSRRRLNPEISENSKNDEEKPFPSTIPRKPRRGRRSEAAAVEDFMRDSLEETFAAISEQNSEVMGGREDVIKDRLEEIDDGGGGDDEEDGGGGGEKNKKGMVVEEEDPDWPVDAEVGWGIRASEYFEKHPIKNVMGEDGVEIDWEGELDDNLVNEINSLEWESFAFHPSPLIVLVFERYNRYVCLRKFRPYVYSFEC >KVI03853 pep supercontig:CcrdV1:scaffold_42:237715:248917:1 gene:Ccrd_017822 transcript:KVI03853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF647 MVVGPFLSAVHVYCTYEEMRAAPVNTLNPQRTAMIIEDFLETGKISSPADLRYREDLLFPKRVIEGAGNVKVGRDLHKVIKPSRLEQFKEIFPDEKFVLEFGNRWTDMVLEQNASGEDALRGWLVAALASPVVENREVEMVEVAYEKMNTMMPRLLSELRAKGWHTDRFLDGTGSRYGF >KVI03857 pep supercontig:CcrdV1:scaffold_42:137784:138950:-1 gene:Ccrd_017817 transcript:KVI03857 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MTGSGSPCGACKFLRRKCIRGCVFAPYFCHEQGATHFAAIHRVFGASNVSKLLAHLPVGDRSEAAVTIAYEAQARLQDPIYGCVSHIFALQQQEQAAQRHQGVSSMSNSDNPTNGRYTSSYPQTQDFKNWFQQSDQNSCMNMPQFDPNSMNHDHVMNFKSMAENYENSXPKEEDGSFSSFEEGSSYSIDSLDMQVSSSNQQQWGFRDHGEDLQS >KVI03873 pep supercontig:CcrdV1:scaffold_42:483400:484139:1 gene:Ccrd_017839 transcript:KVI03873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical METWHDLAMVYMNLSQWRDAEACLLKSETINYYSASRLHITGLLYEAKGLDKEAQKAHELALDVDPVHVPSLVSVAVIIRRAGGKSAAAAKSFLTEALRLDRLNPSAWYNLGLFYKDDGPMFLREAANCFEAAGILEETEPIEPFR >KVI03863 pep supercontig:CcrdV1:scaffold_42:572048:573885:1 gene:Ccrd_017848 transcript:KVI03863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATICTHPQLQYHKTISGSLVSATMATLQTMAFSSPVSHCCQKPRFLPGVTSGVVCHSSRSAFNGQALSVPISRRIPGTFKNSRTSTLTIQMVKPSIQFIPGRDEQTIPDVKLTKSRDGTNGMAIFKFDEPSVFDSSGEVGDITGFFMIDDEGTLQSVDVSAKFVNGRPAGIEAKYVMRTPRDWDRFMRFMERYANVNGLQFVKS >KVI03864 pep supercontig:CcrdV1:scaffold_42:588435:594809:-1 gene:Ccrd_017849 transcript:KVI03864 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MQTISRRLARESVYCRPAIYSLYPTSDQYYGAYDRRYASTLATKGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQELAKMGSQVLVPFRGSEDSPRHLKLMGDLGQIVPMKYNPRDENSIKAVMAKANVVINLIGREYETRNYSFEEVNHHMAAQLAKISKEHGGIMRFIQVSCLGASQSSPSKMLQAKAAAEKSILSELPEATILRPAVMVGTEDRILNPWAHFTKKYNFLPLVGGGATKIQPVYVADVASAVVAALKDDGSSMGKLYELGGPDVYTLHQLAELMYEMIREWPHYVNVPFPIAKHAEGYDDGYLPEAISSPRELLLNKVPFPLPTPSIFNLDVINALSSDTIVSEDVKNWCKIQLVARIEI >KVI03878 pep supercontig:CcrdV1:scaffold_42:415676:419970:1 gene:Ccrd_017832 transcript:KVI03878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein domain-containing protein MEGTVFTPALEGMKHVKSEEGEMLSKPFLDVCKQILPVIDKFGAAMALVKTDVGGNITRLENKYLSNPAEFQNLYNMVRVEVEAKKAEGSSSCTNGLLWLTRAMDFIVELFRNLMEHADWTMSQVCTEAYTKTLKKYHGWLASSTFTVAMKLAPDRKKFMDVVGEKGDINSDIEKFCATFTPLLQENHKFLASVGVDHMKA >KVI03870 pep supercontig:CcrdV1:scaffold_42:519601:521897:-1 gene:Ccrd_017843 transcript:KVI03870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KVI03865 pep supercontig:CcrdV1:scaffold_42:524320:532839:1 gene:Ccrd_017844 transcript:KVI03865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MSTATSLLTAPPIPFHHRRTTAXIYAAPPLKFKPKKFRQSTPKMSTNNSSPPNPTQLITSITKLLWGKSLPPQLLISTVRATWSTAWQLMMSQLAPSDPSGSYTRPVSQFRNPNPEQLRHRKPNTLHLYVGLPCPWAHRTLIVRALKGLQDAVAVSVASPGLDGSWVFTDNSIPIGDKLNPTRDNVNGAKTLREVYKSRSGGYDGRCTVPLLWDVEKKEVVCNESYDIIELLNSGLNGCGFAQSQEAYDTAVNELFSALNTVDDHLKGSRYLCGDKLTLADVCLFTTLIRFDLVYNVMFKCTKKKVVEYPSLHGYMRDIYQIPQVAETCNLEAMMDGYYKFLFPLNPGNIRPIMPSASDHHFLSQKHHRESLSHSQKGVQVLVS >KVI03875 pep supercontig:CcrdV1:scaffold_42:401647:411776:1 gene:Ccrd_017831 transcript:KVI03875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MKLPQCLMSNSNNANGDMESYFPIRPECKEDVPKTRFKPKVGKTLSERRWNAAFDPDGRLDIAGVLRRIQRGVQEQYASWKGQCKKIAPVVGSGKFVTTPIVNEDGTPVEDSGVVMPESDADSDKKVSQWLLSLHQIGLDVVRTDRPLVYYENEANQAKLWDRENFRSDATSMGVQAQLGILSQVMRVIDPKLHQHLDALYLWEVMWAMEYNPNMFADYASNGSHEVVATKLSKKVLQQYGKYERKNVKTGRTDQKSLLAVFLVAGIMGDITGSLDAKKALTEALKIHKKRGKMKAKLHLHAKKSPASYVKNSFIVM >KVI03881 pep supercontig:CcrdV1:scaffold_42:454674:459960:1 gene:Ccrd_017837 transcript:KVI03881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, long-chain fatty MAEEGRNGRQHHHHNHHHHPSLSGGRRSKYTHGFSSSQIQSLAAISEAFIPPIPLPSNPNPNPNHNQSAVNFFYSASGSQHPVPQEVAEKMAKRYPSSGMFLVKLVLMLLSTKLGTLLLCGFLSFDTKWPFLHSFSDLHLEKREKVLQKWSRLEVAEDAINGVYSIKCDVVIVGSGCGGGVAAAVLANSGRKVVVLEKGHYYVPEDYSLLEGPSMNELYENGGVLSTVDGKFTILAGCRAVIATAESSTITRKLRFEARVTVSAGGSLLTPPLMVSSGLTNRHIGRNLHLHPVLLAWGLFPESSVPGADDGKSYDGPILTSLHKVTSTGETSNETRAIIEVAAMGPASFASLFPWISGADIKDKMVKYSRIVKLFALVKDQGSGEVTEEGKIRYRFNESDKENLRVGLRQALRILVSAGAEEVGTFRNDGQRIKSQGIKTADLEEFLDTIGTVGGPRSKGENWTIYSSAHQMGSCKMGCNENEGSVDINGESWEAEGLFVCDGSLLPTAIGINPMITIQATAYCISKKIAQAMDNGKFSD >KVI03872 pep supercontig:CcrdV1:scaffold_42:560722:563290:1 gene:Ccrd_017847 transcript:KVI03872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSLGLVGIPPPGDGGGAPSDGSTTAGSVLTTTNNQDTVMSAEVSSPYPGXVDDENLELGLGLSVGGLKSKGRILTAKDLP >KVI03843 pep supercontig:CcrdV1:scaffold_42:641770:651033:1 gene:Ccrd_017852 transcript:KVI03843 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MEDDPESFDGIRAHFPLSFGKKTKSQISTESIHNATRRPSTTTVVDDSPSSSSLPTDNKNNDTVFPSLSTSSKSWLNSLQKPPKKLSTVSDDDVAIGPPRPPPSSDSVDGEDDVMVGPPRPMPADDDDDEEEDEEPIIGPPRPPVGSDSDNELSEDEEENQHRIPLSNEIVLKGHTKVVSALAIDHSGSRVLSGSYDYSIRMYDFQGMNARLESFRQLEPSEGHQVRSLSWSPSSDRFLCVTGSAQAKNTKGHITGLTCGEWHPKTKETILTSSEDGSLRIWDVIKPKLARPGRVPVTTCAWDREGKSIAGGIGDGYGVLSLDGEVGQTFMLPMVTRMKSQVWDLRQMKTALKSFEDLPNHYAQTNVAFSSDEQLLLTGTSVERDSTTGGLLCLFDRVKLELVSKVGISPTCSVVQCAWHPKLNQILATAGDKHQGGTHVLYDPTLSTRGALVCVARAPRKKSIDDFQAEPVIHNPHALPLFRDQPSRKRQREKMLKDPLKSHKPEAPMNGPGFGGRVGVTKGSLLTQSFDRSRVNTFYTKLEEI >KVI03855 pep supercontig:CcrdV1:scaffold_42:177817:184605:-1 gene:Ccrd_017820 transcript:KVI03855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hrf1 MYDNLGNQPGSARTPSSAQPVPFGNAFYGASSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPVYDINAPDLYIPLMAFGTYVVLAGFSLGLQGKFSPEAVNWLFMKGLVGWFLQVSLLKMSLFSLGGAEAPLLDIVAYAGYGFTGLCLAVLGKIIMSYSYYFLIPWTCLCMGIFLVKTMKRVLFSEVRSFDSSRHHYLLLFIALAQFPLFIWLGNITAGDMFK >KVI03845 pep supercontig:CcrdV1:scaffold_42:597474:610529:-1 gene:Ccrd_017850 transcript:KVI03845 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MIPMIMGMKLMGSDKALRVLELTATGFNLTLMVLSVLIVFGRKIAVYVRRNRVRKEDADEVGSRVSLISDNGRVGAAVEAEFLNVEIGKAYKASVYCCFYVLLLQTVVLCYDSVCLITKSAQWEKGVNWEPLLLPGAQFLAWFLLTFSTLNCKAKTLERFPLLMRVWWVTSFIISLCTLYLDGKEFAIEGSKHLNSHLLANLAATPALGFLCFVALRGVTGIRVIGSDLQEPLLVEEDAGCLKVTPYSEAGLFSLATLSWLNSLLSIGVKRPLELRDIPLLAPEDRSKFNYKILNSNWERLKAESVENQPSLAWALLKSFWKEAAKNAIFAGLYTLVSYVGPYMITDFVDFLSGIERFPHEGYVLAGVFFVAKLIETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSSARQSHTSGEIVNYMAVDVQRVGDYAWYLHDIWMLPMQIILALAILYKSVGIACIATLIATILSIVITIPLARVQELYQDKLMAAKDDRMRKTSECLRNMRILKSQAWEDRYKVRLEEMRSVEFKWLKKALYSQAFITFFFWSSPIFVAAVTFGTSILIGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRITGFLQEEELQEDATIVLQRGMSNMAIEIKDGEFQWDASAPRPTLSGIQMKVVRGMRVRICGSAAYVSQSAWIQSGNIEENVLFGNAMDKAKYKRVLHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALAAKTVVFVTHQVEFLPAADLILVLKEGQIIQAGKYDELLQAGTDFNTLVSAHQEAIEAMDIYNHSDDESDGHHPLDGSITNCESVGTSMDAMAKVPNENASISDHKAIKEKKKAKRSRKKQLVQEEERERGKISMKIYLSYMAAAYKGLLIPLIIIAQILFQGLQIASNWWMAWANPQTEGDTARVSNLVLIAVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLINVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTQKYYMASSRELVRIVSIQKSPIINLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELISTFVFAFCLVRYKENLPVVLRGVTCEFPGGKKIGIVGRTGSGKSTLIQALFRLIEPESGRIIIDNIDISSIGLHDLRGRLSIIPQDPTLFEGTIRGNLDPLEEHSDQEIWEALDKSQLGDTVREKEQKLDAPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDSATDNLIQKIIRQEFKDWQVAEFDSPIRLLEDKSSMFYKLVTEYSSRSSGIPDF >KVI03856 pep supercontig:CcrdV1:scaffold_42:187245:188857:1 gene:Ccrd_017821 transcript:KVI03856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MEVLISNWSDVRSLPESYVFPPEQRPGTNEVPASNEIPIIDLEGIEGPERSQIVQQIITASQDFGLFQVINHGVSRDLMDRTMDVFREFFAAPADFKARFYSNDLNSSCRLYTSTLNYENEEVHYWRDNLTHRCHPVEDHIDHWPENPLNYRKVVAEYSIESRKFLLTXLELICDGIGLETGYFKGEMSKNQLISVNHHIPCPDPSLTLGMPQHCDPNLISMLQQGDVCGLQARKDGQWIGVEPLPHAFVVIPGLQLRVISNGKLSSAVHRVVTDLKRSRTTIGTFLTPSNDILIQPEDGPTDTPAPVYRGYTYKEFFNAFIXNNCEAESALQCFKL >KVI03844 pep supercontig:CcrdV1:scaffold_42:627197:638061:-1 gene:Ccrd_017851 transcript:KVI03844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-L-amino-acid decarboxylase MDDGLNLKAMDAEQLREYGHKMVDFIADYYKNIETFPVLSQVEPGYLHKLLPDSAPMQPESLETVLQDVQTKILPGVTHWQSPDYFAYFPSNSSVAGFLGEMLSAGINIVGFSWITSPAATELEMIVLDWLANLLKLPNDFLSKGPGGGAIQGTASEALLVVLLAARDKVLREVXKDALGRLVVYGSDQTHSSLLKACQIAGIHSKNCRLLKTETCNEYALSPESLTDAISHDIASGLIPLFLCATRNNMWFHVDAAYAGSACICPEYRHHLNGIEEADSFNMNCHKWFLTNFDCSALWIKDRNALIQSLSTNPEYLKNKAYIRNHINLAKHFGDLVAQDPRFEIVATRTFSLVCFRLLPANKNEDXVNELNRDLLDAINSSGKIFISHTVLSGKYVLRFVVGAPLTEERHVVAAWKLFQEAASTLLESS >KVI03868 pep supercontig:CcrdV1:scaffold_42:495856:499783:1 gene:Ccrd_017841 transcript:KVI03868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAGFESILHLLFYVSLLSLLPLKTTSDSITQATALIRWKNTLSSSSLGSWSSRNVQSLCNWNGVICNEDGMVVKIHLQDSSISGTLTEFNFSAFSNLTHLVLSHNNFTGLIPSTIANLSSIKFLDLSSNFFEDEIPLEIGRLTQLRYLNLNDNSLNGPIPFQISYLQKDLSLMPSLEVIELYGNSFDGKIPSSIGQLRNLWYLDLHSNQLNSSIPSELGFCSNLSFLALAGNSLSGVLPLSLSNLTQISDLGLSENNLSGEISSGLITGPIPPEIGNLENLESVDLSDNRIXGTIPSTIGNLTNLHTIQLFSNNLTGKIPPEIGNLTSLTALDLNTNQLSGELPDTLSNLSNLMVLSLFSNHLSGGIPEDLGKNNLGFQFDQFTVNGNNFSGLLPDCIRNCTNLRRIRLDGNKFTGDISRTFGVHPNLIYINLGGNQFTGEINPQFGKLQNLTNLQMERNRISGKIPPEIGDLPRLSVLKLEWNQLTGEIPSELGKLNNLFNLNLSNNQLSGQIPQNLDNLTRLQALDFSANNLXGSIPTTIGNCVSLSSLNLSNNDLSGEVPSQLGNLFRLQYLLDLSSNSFSGRIPPNLAKLNILQTLNLSHNNFSSGIPQAIATGMISLQSIDLSYNNLSGPIPNVGIFKQAPAESFAGNPDLCGSLKGLSPCNSNATQEKSPHNKKLLIAVLVPAIIFFLMAITIAVFCIVIRRRSNPIDEEIRSTTTIQNSEFVIWERDSRFTFGDIVKATGNFNETYCIGKGGFGSVYKAKLPTGQIVAVKKLNTDPDDVPATNKQSFENEIRALTEIRHRNIIKLYGYCRRDGGMHLVYEYMEKGSLGKVLYGAGAIVELDWNTRVKIVQDLAHALAYLHHDCIPPVVHRDVSLNNILLESDMVPRLSDFGTAKLLNPDSKLALTMRVTPKCDVFSFGVVTFEIMMGRHPGELLSSLQSSNTTSTTANDLILLKDILDRRLPPPTDTVGEQVVYVLNVALACTQLAPESRPSMRTVAQELSATATTQPHLTEPPEEIRLNKLPSFVK >KVI03858 pep supercontig:CcrdV1:scaffold_42:115709:120087:-1 gene:Ccrd_017816 transcript:KVI03858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MVVLFQFSPTGVVLRRLSEGGWGVLEWDFRGNRSALFDEIEDGGIRASSSYSSHEIDEHENERAMDGLQDRVLMLKRLTGDIHEEVEGHNRMLDRMGNBMDSSRGILSGTMDKFKMKS >KVI03877 pep supercontig:CcrdV1:scaffold_42:421133:422816:1 gene:Ccrd_017833 transcript:KVI03877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFGSPAQCQSASRDEAPSDGPDWASEDSSHRISRREKLKHRHRRHRSVENALDPDSRNKDSPDRSRQPRRRHTKTSYSERRHSDDLGEGDESPTRKLPEIPRRTRKKKPKEESDGGLSTSSRSKADEIGSFDAD >KVI03867 pep supercontig:CcrdV1:scaffold_42:488463:489959:1 gene:Ccrd_017840 transcript:KVI03867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFVGCFGSSKKTKCRKERXKVIRRDQKPAIQNLVKADVSLEHSIKEKPWNPVSESREKTEVPLSLKRRKKVTFDTNVTTYEHIQVYDSTESLLEKNEKGETFLSQSDANSKYDLVGLSTPNYRYGNCVESDDEVEDFDHEDYDLDQSSDDDDDDGYDLDNDDYEDDDGVWVTSMESRGEPLSLNTIGPNRNARDRNGYVLPVLNPVENLTQWKALKSKGRTRKPLNFDQQKENSTPDFKLSEPQNQNQNQNQIRNRNRNQETAVDTSLSNWLISLEKNTRNNKRVACYKTQLQTTTTSELNVQDF >KVI03859 pep supercontig:CcrdV1:scaffold_42:57897:86957:1 gene:Ccrd_017815 transcript:KVI03859 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MDITEKITVGSQVWVENPSVAWIDGEVLKIDGKEAEIQTTDGKKVVMQLSKVYPKELDTPDGGVDDMTKLSYLHEPGVLHNISIRYQRDKIYTYTGNILIAVNPFQSLPHLYDAHMMEKYKGAQFGALSPHVFAIAEFAFREMVNGGKSNSILVSGESGAGKTETTKMLMRYLAYVGGHKGSEGRTVEQQVLEETKKYKLGDPKSFHYLNQSNCYELVGVSDAHDYLATRRAMDIVGISQTEQDAIFSVVASILHLGNLEFAKGEEHDSSILKDDKSKFHLQMTAELLIFEQFCINFTNEKLQQHFNQHVFKMQQEKYSKEEIDWSYIEFVDNKDILDLIEKKPGGIIALLDEACMFPKSTPETFSQKLYQTFKTHPRFIKPKLARSDFIIAHYAGEVHYQSDQFLDKNKDYLVPEHQDLLSASKCSFVSGLFPPLREDATKKSKFSSIGSRFKVGHLSNIDVTVDLIFTGDQSSNKGVLEAIRISCAGYPTNKSFIDFVNRFGLLVPEVLRANHDRKDACRKILDTLGIQGYQIGKSKVFLRAGHMAALDTRRAEKLSKAIIVIQRMTRSFLIRKRFHAMANLAVALQTLCRGTLASKVYEDMRKTAVSTKIQTNFRRYTLRTSYNRLRHAVVLIQSCLRAMAARRELSYRRRTKAATCIEAKWRGHRDYKRYKKLEKATVVTQCGWRQRVARRELRRLKMAARETGALQQVKEQLEQQVKELTRRLDIEVRKKNELEKSLLAKEHESGTNTSSGGKIDSLAAEVEDLKALLESERQRADESEKKYAEAQDRIEIMKLEEAERMALLESERQRADEFEKKYIEAQKTIEIMKLEEIERTIESKDALLSKERESTTETSDAALSIVNESRVSMDTDKSDSSTSDSSSDNTATEVKNHKCENFRQLLFLVTSVIVSLSMNDKKFPAINSFITWLGAVALATIPTAATGLSLAAAVLGRATGLATVARFPAGSGGLCRRLLGFWWISGNG >KVI03842 pep supercontig:CcrdV1:scaffold_42:655722:670967:-1 gene:Ccrd_017853 transcript:KVI03842 gene_biotype:protein_coding transcript_biotype:protein_coding description:dDENN domain-containing protein MAPKTQGEHIEVLEESVLAMRADVKALCDSKAGNTKRIDYLDKGIQAIMGKLDSLFQAKSDNSSVFQMHQYSYEDLNKVADNATLYGICLQTQDFVQRPPGMLGTASSLARASRGGSPKLNDQAVECTDDTSKELSWMDSAIPVDNELAISPPSAAAAVIIDNVISSPSRLETGSPSSAVFRDKSFNKEIQTETVGRKDLPDLDDSNHDALEKRWEYLGKMHGDYETVRREYVEACVFPPSQTLERLGSSGPLFSSVKGVVSEDEDVVLSCSDKDAINKAIMEWARIVCGYHALPVPSYGGKIVFRPLEHLQTIEYYRTAFSFGEDPKEQILDIPDKILILLAGVLLEKQVIITSPNLGVLSATVLSLIPMILPFEWQSLFLPVLPGKMFDFLDAPVPFVVGILHKPADNKIKTSNLVHVDLTHNEVEISSLPPFPKLRELITRLGPLHARLAADKSAIRKHPVYRLNKFQNEAAAQFLTVLRQHLESLCTNLSSHAITSVQSDDDRVSLLMKESYIDSFPARDRPFIRQFVDTQMFTVLSDTRLSRIQNLT >KVI03869 pep supercontig:CcrdV1:scaffold_42:500886:508399:-1 gene:Ccrd_017842 transcript:KVI03869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEAQPALSFQRSGARQLSNHGAPGARSSPLPVRPVTLEEKYPKFPESQQMSMGREFMPQNFTALPSLSSTSRAVGHMFSSASGMSXDLQFSSQETHSERTPFISQSSGILQSTASSQYMKENNNSSWCTDSLPDFLDYPQNTPIPSSNLEHSQSATCIMPSEDLGKQNDWQDWADQLITDDDAMTPDWNEILIDTGIADPEPKMAYHVGGSSTNSMQVQQLIVPPSSEEACNTMTPSPCGNGPQSKPRMRWTPELHEAFVEAVNKLGGSERPSERKTTVEDFSSLELKTGLEITEALRLQMEIQRNLQLRIEEQGRYLQMMFEKQCKSGIDKLKPSSSTMDLTNETPNSPTRTEPASSQADPNGPGPNPVVHRPSEDDSCGSQPSKRAKVKE >KVI03846 pep supercontig:CcrdV1:scaffold_42:697245:699301:-1 gene:Ccrd_017856 transcript:KVI03846 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MHLILAILQTIFISDRSVLHLLARREIAPRTAKCLPKRQWGEAFNRKHQSSVKPESERVRNSRRELLSWVEAESLRCLSAKYCPLVPSPRSTIAAAFSADGKILASTHGDHTVKIIDCQTGKCEKVLSGHRRTPWVVRFHPLHPEILASGSLDHEVRLWNANTSECIGSRDFCNY >KVI03874 pep supercontig:CcrdV1:scaffold_42:479050:481995:1 gene:Ccrd_017838 transcript:KVI03874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISVAVNKENEMIPSSELVAVEEDYYSSGAYSSGENDDKKPDTGNIEEAESSLRENGSLNYEETLNRAVELLPELWKLAGSPQEAVLSFRRALLHHQNLNAETTAKIQKEFVVFLLYNGGEEAVPPNLRSQMDSSFVPRNNIEEAILLLMILLRKVSLKMIEWDPMVLDHLSYATAISGGLGALCTQLEELPPGVIDEKE >KVI03851 pep supercontig:CcrdV1:scaffold_42:290990:299706:-1 gene:Ccrd_017824 transcript:KVI03851 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MFQFSLPIPSPDVNSIAVVDRSAIGSGITSEPSIMPSENKRTKRIDTDEQSNPPAFVSLTSFLLKRVEGNEERGSTQVKPSSQAFDIEKLQKSLKRRPWILHDQINRSEKLDREHLDKNSCVENSPPKGVIHGCSSCNDCQKLNFDWQEFEDTSKYIAKIRPEAEEYGICSIVPPDSWQPYCPIKENKIWENSRFHTHVQRIDELQNPYLKRERRKITEKMNGNRTSMVELNNGSDGFQLESGPDFTLQTFKTYAEDFEACYFQKEGILMDSGTPTWEDIEGEYWRIVTQLSPSILNSEGIPVYRCFQYPHQFVLVFPGAYSSGFNIGFNVSMSVNLAPFDWLPYGEYSVEIYKDLHRKTSISYDKLLIDISRNTVKASWLLKLRRDSEEWKTACGTDGKLNALYRWAEENREIFSNDRDDK >KVI03841 pep supercontig:CcrdV1:scaffold_42:683112:686616:1 gene:Ccrd_017854 transcript:KVI03841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNTVVLEISSDEDAGWNDHDGSGFADGGDDHNWLSELLDEVNKEKYGDDSDEVVLVSEVSVSPSEKTGKKSKLKSCLIDLDDDCVVLDDDPDKPVEVRNDDRSNINDDSDDILVVSEKGQVACRDYPHPRHLCIKFPFSATPNQSHCEQCYCYVCDSLAPCVYWGNGSASTDHCGATDKDETWKLERKNAKNGSKGVQSVPKVDFHRPVPTLAQPHNQILRPRSILPHHTLGNFQPPNIINQNRTPIFASRNKFRPDLASQLLLRTXGARGHQNHNNLGSQLHRQVFKRNGSVGVVTTANRSPSYGSYSGNFGNPNGNQNYNVNGMVSGPNKYLGSLQPNAVNPSVSYPQSQNHASTITPLLDQPLPPQPQFSNXPNPGFQSQVNSNPFLETPLYQQPHLVPSSSQTQLASGQPRYMVPAQANYPVPXQTQVHSPSIPVSDYTQNFQGNQAQSPTIDSSFQDYGLSWPPTEQSATFEGGAXATSEPSLVAVSGGSLADYRYDWIFDNQPVEPGFMDIPGPFGLNELPDSAFIDTGPIFDF >KVI03862 pep supercontig:CcrdV1:scaffold_42:153552:160761:-1 gene:Ccrd_017818 transcript:KVI03862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKFMKRLLLNIDLSFNLIRKRELYHRYVYNALSRYQSQWSKVENPNTKDAKDATVSRSPISQQDNQMESSSGLSKYSSDEDDAANNKWKLELDWLTKALEPALQLCRWPLPTVADSVFYFTGNGNGNGXKIPPSSRSLAEILASIQRSKLGVQDWSLSDLTIGLYLIYLQQASTNPFEDVKGVKXSSDAVVQDLIYHVELAKGAYRDSAASLAKNSMLRESNIVKFVKNSSMLRPGYYIGVDIRNKLVIFGIRGTHTVYDLITDIVSSSDKEVNVEGYSTHFGTDEAARWFLTHELGNIKKYLEKHETREELGFSPEIVTAVGYGTPPCVSRELADSCSDFVTTVCMQDDIIPRLSVATLMRLRNEILRTDWKTMFEKEDWRSVLDLVTNAKQVVSSVQDVARKLADYTKFGSQTKFIDIPDRKDSIVIPSNIPTISEXDETKADDVNEERHDLTVPPEELFVPGTVYFLKRKEANHNGNRVNYFTLWKRHKGEHFQRILLSNNLIADHKCDSHYYALRDVLKGIPRPQNETQPE >KVI03854 pep supercontig:CcrdV1:scaffold_42:270763:272838:1 gene:Ccrd_017823 transcript:KVI03854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRTPVFLTRLFRKIPQNSEFSEAKGWEDTADDTRFNGWDSSSASSISGXKTNGWSDEPPENDYNGWGSVSLPRSHTPEATKNGWGYEKPEDEYNGWGLGSGSGGKGWSSACRSGGWMDELPACDNNGWDVPESEATNNGWVGEKPKDEYNGWGSRSESGRKGKSSAGRSGGWMDELPEGDYNGWDAPESKPISQEAEIDVNSLPIAKSTPLKVESWAXPPLPSAPPIPDGGFNEHSATNEVGNGGALCVAHPSWTVARRGPIESVETKKI >KVI03876 pep supercontig:CcrdV1:scaffold_42:396513:399590:1 gene:Ccrd_017830 transcript:KVI03876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 MPFKRYVEIGRVGLVNYGKDYGKLVVIVDVIDQNRGAIADCYIGRFITSISPHVPEWISLLLKRLPKSDVKNKWESSSWGRKLIVQKKRASLNDFDRFKIMLAKIKEAGVVRQELAKLKKETTA >KVI02586 pep supercontig:CcrdV1:scaffold_420:238546:250682:-1 gene:Ccrd_019121 transcript:KVI02586 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MDFYSSTGIETEKAVVCGGEKMSSKVMYSQREEDKQEIQKQLGCMNGIFQLFDRRYLLGKRRQKHLPQGQGQGQIEDGNKEFNNASEKPKENQKTATKVVKEKHRVSVESSRNSFSSSCSSLDCSKRVQTEQSSFCPSLVSEPSSPTSRKQPDSSVQPADIRDVVKDSMTRKPRVVPVKTVKKNERKGPAMTHVDSPRPLQQQHLKPVSYDRKDQNLARLREISRGVKEVKEISRFSCDGRESPYKLISIKELPRLSLDSKQSSIRKRENESRRILMTREAHGHGGGGGEPKITQTVDQTHEPGSNKRPPSSVVARLMGLEVLHDFIDREDDEMISLLRSRKSEEFKHNISVSSPQKGIVIGKAVARIPLEPAPWKQEGGQKQPVKNKKAQRVDHGCHSVYGEIEKRLTEVEFNTSGKDLRALKQILEAIQRTKMKLEKKDQDFDDISSDQRPNRKNLQPVYPTINKIRTTTIKPANLASDSMVITSLQRVKKVNHTGDRTSRRSTRNTTPIETKATVRTRKSTSPSKAPEYPAAQNYWNSRNSGTVSPRLQRSKNGIHKQSICGQSSNGRLTVSESNTRNSKTRSIIRLQTDEQCGYRSDTRDLTQQGDAGSFPSESDTSVTSQNEIEVTSTDWTGENNSNKYAERLIEYKPMAELAMPTIEQPSPVSVLDAFYSEDAPSPIQKKSYAFKDDEDLRFDEPEWNQVGIDNFVSSTELDQSSSGFNHLKIENIKHLVHQIKLLNSNTEEEATIDHSEFFPHDIIINQDHEYIKQILLASGFLKNLDCATTIVHLHPTNGTLINPELFNILEKTKEITKLTEDGYNKKNASSEKIRRKMIFDTVNNILVEKLARSASSGLWTSKRKAGILNGDKLLKEVCSEINNLQTDTAGGLYDEILNIISSDVNKRSEDWDDNCNEVPALVLDIERLIFKDLISEIVNAKVTSLQDGPERHCRQLFLL >KVI02590 pep supercontig:CcrdV1:scaffold_420:260143:263794:-1 gene:Ccrd_019120 transcript:KVI02590 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MAHGETGGTEIIDVKTLSKGEDYTEIVNGKIGLSGSTSLDAKMVSKVEDYVNIVNSETITPATTTHDAKCTVLQNESNQVSEIRKPSVVENLNSQSITEDLETASIDVNDEASRKRKRQLARDKKAAIVILNPHDNIGDTEIANSGSGHLPSLEGLAAQSHIDGGKQIDKNMVRSLYAKMTIEDVEIPKDQNLGDSSLKDFVMRNHVAMSRKKLLVLDVNGLLADIIFPPPKDCKSDKNILRRAIFKRPFLDGFLGFCFENFEVAIWSSRTRKILDPVVDYLLGDLKKQLLFLWDLSYCTNSSARSLENKHKFIVFKELRKIWEQNGHNLASENGYYDESNTLLLDDSPYKALLNPKHTGIFPLSYSYMDINDNSLGPDGDLRGYLEGVAATEDVKMYLQQHPFGQPAIDETSSHWAFYSGVLERDP >KVI02591 pep supercontig:CcrdV1:scaffold_420:222669:223976:1 gene:Ccrd_019122 transcript:KVI02591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MCVSSRTITKSYGVLIRSPFLESETPEAACDLKHKRSSFCTSYESNTMNISNLRESDYETKQEGGNDGGNKINIVPKPNLTTSSWSRLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLDAAKHEIDELPPLQIPPGSFGPGLQAMLNASSQSERMEGVKTSNSSGLNWEDYWNPDKSKVIARETNEDHDQEDSRNKHDDHHHHHGTFVQSSNFFERNPNSSSLPGLLNNVVPNNSFLKWDPSNLSLSQFGSYGSVGNHHQDHDTVHNFNMNVSSINHPSSQLLLYPPPPAPPPRPQTQSYFPSHDHNSGEFDPKQQLNFQMLSSSSTSSHNPLSSSSNFTPSLYTINQEMRPFHLSMNPKFFSSDDNGGHDESNK >KVI02582 pep supercontig:CcrdV1:scaffold_420:3137:7154:-1 gene:Ccrd_019137 transcript:KVI02582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein, HCG-1 MSGVQDSLEIKFRLIDGSDIGPKSFPAAASVATLKESILSQWPKEKENAPKTVKDVKIISAGKILENNRTVGECRSPLCDVPGGVTTMHVVVSQPPQEKDKRMMLLSTEWFGIEVYKENRKRKKKKL >KVI02576 pep supercontig:CcrdV1:scaffold_420:85912:104821:-1 gene:Ccrd_019130 transcript:KVI02576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MTNSCAGGGEGIPRGISLSNTVHSEVAPCLPLPSLPVFCGALDQDLRLIDEPTGSARQLNRSDIADQASKISKLLQATDVSYLNLRAEVGQSPYGHGEHLDLYNEVLRCNSEAFVHIAPGPVKEQMYNNMVPNNKVPERKLFVQNISSISEALKDKGETQLQHEHEHEHEHDIVTSSSRKPKGKKKASDDTLLSTEPDGTERKDAALERFCEVLEDLCGKAEIPMDDREEGEAEWLLLPIGDIRTLVKEVMSFRANKILHLVPVAVLERMLKILDHQIHSAEGLSINQSENSYSDVVSAITVALESIHAAIGIMAYSGMPKQIYKEEIIERIVEFSRRQIADVMSACDPTYRSANRPTDNGNLQDEEEDDYDEDFGSASKKRRTARSVKVKKTGANKASAAAHNILQKLCTIIGFLKDLLMIERLSDSCILQLVKTCFSTLLVDNIQLLQLKAISLIGGIFYSYTQHRVYVMDELLHLLMKLPFSKRIPRTYHLADEEQRQIQMITALLIQLVHCSANLPDSLREAPDSNPLFEIGIDSSYPHKSQEAVTDACCLFWSRVLQRFANTKTQNQDASEFKIMLENLVIDLLATLNLPEYPASAHILEVLSVLLLHNAGLKSKDIAARSIAIDVLGTIAARLKREAVLCKNENFWIVQELLGGDEDDDSPPNDACSVCLDARIVKPLVLCEGCQRLFHVDCMGIREHEVSGSSWLCQLCLCRKQLLFLQSYCKAQGRGEGNHTRKKSKSLDTTDITKTEIVQQMLLNYLQDSGSAEDVHIFTRWFYICLWYKDDPSSQEKFLHYLARIKSKAIVRGSGMVSSLLTRNSVKKITLALGQNSSFSRGFDKILDMLLRSLRENSPVIRAKALRAVSIIVEADPEVLGDKLVQTAVEGRFCDSAISVREAALELVGRYIASHPDVALRYYGKVAERVKDTGVSVRKRAIRIIRDMCTSNANFPEFNSACIEIISRISDEESSIQDLVCKTFYEFWFEEPSGSQNQMFTDGSSVTLEIAKKTEQMVEMLRKMPSYQALVIVIKRNLALDFLPQSAKAVGISPMMLASVRKRCELMCKCLLEKILQVEEMNINEDVGALHYVLLLHAFCLVDPALCAPASNPSQFVVTLQPYLKKQADNRAVAQLVESIVFVIDSVMPLLRKLPQSVVEDLEQDLKQMIMFNTDSTISCLCSLSKVAGKGASVIGYLIQVFFKRLDALGFDNKQQVGRSLFCLGLLIRYGSSLLGMSLSSKQNVDVVSSLSVFKKYFYAEDFALKIRSLQALGYVLIARPEFMLEKEIENILEATLSSSSDARLKMQSLQNVYEYLLDAESQMENDKAENDTVTYNTDAGRSVPVAAGAGDTNICGGIIQLYWNSILGRCLDANEQVRQSAIKIVEIVLRQGLVHPITCVPYLIALETDPQEANSKLAHHLLMNMNEKYPAFFESRLGDGLQMSFIFMQSMGQSFSENSNPKLQTKSPKGKPDGSSFSYARLGVSRIYKVIRGNRVSRNKFMSSIVRKFDTPGWHNSVVPFLIYCTEILSLLPFGLPEEPLYLIYAINRVIQVRAGAIEANMKTFLHKLQGHNRKEPANGVIQKEQFDQPVSGENAFVDRNWTVGQEFPSEIASVPMELDQHSMNLVNPYVISLDDLPSIQADCLAACSLQLLLKLKRHLKIIYGLDDARCQAFSPTDPPKPGEFLSRQNIPFNVGEISISRPTTYHEIVQRYQEFKNALKEDTVDYSVYTANIKRKRPPGRRPKTARMNGGDDDDDDDDEYWGSGARSGRKGSISTRSRQRL >KVI02580 pep supercontig:CcrdV1:scaffold_420:28215:29690:1 gene:Ccrd_019135 transcript:KVI02580 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MATGHHQCHCHLPPPATTTHQTMCCYYSLQPPPPPPPSEPPFHSISSHLHHQHHYPPPQTHLHAPPQNHHNLHSNHHDYYPPPTITTTQNHQLFQKYRQQEEAQETPPPVNPTVSSLIHRIAALESSLRRRKGSPRSSSLRDAAARTIQTHFRAFLVRRSITLRNLKDLAHIKSSLNSLKSSISGSKIHFDSHLLSRESINLLIKLDSIQGSDPMIRDGKRLISRELIRFMELIDEMSTENHVISVKNVRFCKNGKKSVILQREQKIRASGSRVLGDDDDERKFPQNLNNQSKRKIRVSLVPKGEEEELESLELENPRKPQNRIGGLMKNQPKVKKTVSFSENGNVYRLIKSSHSHVSSDDSDSSNGGEEVVEEIGVSSKETEVGDQDSSEMSENEMDPRKNLGTRMNHSTKNRQSDQEHNKEDDDDDDDDDDFVFSAPLPEKMEYRVDSNNKLIGKQ >KVI02588 pep supercontig:CcrdV1:scaffold_420:109097:111151:-1 gene:Ccrd_019129 transcript:KVI02588 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MPTMELAQRLVGKGVKVTFVNTEVTHKLVIGNGLDQDGFGGLMKMVWIPDGLEPWEDRTDLCKLTISLLESMADKLEELIEKINEEDGSKVSCVIADDSMGWAIRVAKKMGIRRAAFWPASVATLASMFSFQKLIDDGVMDNDGMPLQDQTIRLSETMPPLRSTNLAWTCFEDSGTAKAFFRLVVEAVEAARLTEWFICNSATELEPAAFSLYPQLVPIGPLLASNRLANQTGHFWQEDSTCLAWLDQQPASSVIYIAFGSFTIINQTQFEELALGLELCNRPFLWVVRPGMTKETTYADGYIERVGSRGRIVSWAPQQKVLAHPSVACFVSHCGWNSTLEGVTHGR >KVI02578 pep supercontig:CcrdV1:scaffold_420:13704:21720:-1 gene:Ccrd_019136 transcript:KVI02578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MQLHFSPSMKSLTISSSNGFVDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPKLLGRGDDSRQRLVSELYKNLNQVNSIEVPDGIKLPDNFTQLVSEMKSSKYNAKEFAIILKGMMERSEKEIRESKFAELVNKHFAASSVPKGIHCLSLRLTDEYSSNAHARRQLPSPEFLPVLSDNSYYHFILSTDNILAASVVVTSAVQSSITPEKIVFHVITDKKTYAGMHSWFALNPSPPAIIEVKGVHQFDWLTRDNIPVLEAVENHNGVRNYYHGNHVVGTNLSDTTPRSFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSTLWELDLGGKVNGAVETCQGEDSWVMSKRFRTYFNFSHPLIARSLDPEECAWAYGMNIFDLRAWRKTNIRETYHTWLKENLKSNLTLWRLGTLPPALIAFRGHVQPIDPSWHLLGLGYQSKTSIESVKKAAVIHYNGQSKPWLEIGFEHLRPFWSKYVNYSNDFVKNCHILE >KVI02579 pep supercontig:CcrdV1:scaffold_420:33814:38140:1 gene:Ccrd_019134 transcript:KVI02579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCASSHGGGGGGGGALTLSHCSSISRAINFNFNFNSKTSNQLFFTTAADFRKRHFYVRSVSQPKLKDQVTVEQGSEDKSPNPLPAFPSSFFTPEAAMPPKPPCI >KVI02581 pep supercontig:CcrdV1:scaffold_420:64799:69088:1 gene:Ccrd_019132 transcript:KVI02581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yip1 domain-containing protein MMSGNYTTIDNQNVSGSVPAVSDPPGQVAVKFNDASLQTFPPAGTQGKISGDGGPPRDADDTFSKPVSGSSEPQPSGGWFKIFTIAAYQPYFDVDTSDVLERIKDSLLPFGGSFNEKTASNPDLYGPFWICTTLIFVAASIGTFVTYLAHKLQHKEWNYDINLITWSAGVFYGYVLVVPLCLYIILKYFSAPSGLVQLFCLYGYSLFIFIPAMCLSVVPVEIFRWVITGVAGIMSALFVALNLRNHIVSAGERWFLIVAGIFVLQLGLSFVLKMYLFNVSV >KVI02577 pep supercontig:CcrdV1:scaffold_420:73251:76041:-1 gene:Ccrd_019131 transcript:KVI02577 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MVKICCIGAGYVGGPTMAVIALKCPDIEVAVVDISVPRITAWNSDQLPIYEPGLEDVVKQCRGKNLFFSTDVERHVYEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSNGINFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGQKAIKTLKDVYAHWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAVGKDTRIGPKFLNSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWRQVIKINDYQKSRFVNRVVASMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVTEDQIQRDLSMNKFDWDHPLHLQPMSPTTVKQVSVVWDAYAAAKDSHAVCILTEWNEFKTLDFQRIYDNMQKPAFVFDGRNVVDIEKLREIGFIVYSIGKPLDGWLKDMPAVA >KVI02584 pep supercontig:CcrdV1:scaffold_420:174936:176149:-1 gene:Ccrd_019124 transcript:KVI02584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1675 MDLLKTFVYDGGAGGVGHSLKEEILVEENVELSLGLSMNGRFGVERRCTVVDNNYSNNDRLSRSSSVTDFSSFPSTNDDPTPLSLYSPLTRTCSLPIETEEWKKRKELQSLRRSEAKRKRVEKLKNGRVVKGSTAEEIYETKRRNLTNGNELWRSSLPSLGGSSGVSDLECQHFSGTNKEVESKSTTSNQSISHKISSSSLNRSNLVASEHVAGKLSATSSQILSGNRSSKDETLKVMLADMPCVSTKGDGINGKKIEGFLYRYRKGEEVRIVCVCHGSFLTPAEFVKHGGGGDVEHPLRHIVVNPPSL >KVI02589 pep supercontig:CcrdV1:scaffold_420:127184:133859:1 gene:Ccrd_019128 transcript:KVI02589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDDCARVSMPESKPATDSSNTKQRKKRKWDQPAESLVSAGVAVPGVLPLGNMGSFMGITHPGVVPVSSASLINHFTASYGHLQQVLAPSMQQHAISLAQKIQPKIQEELIAREIVINDAESSVRYKLTKRQTQEEIQKCTGAIVITRGKYRPPNAPSDGEKPLYLHVSAGTHLETTADRIKAVDQAAAMVEEILKQGAVTNGLKVIQPFSTCVYVGFEPDPSLNIIARIRGPNDQYVNHIMHETGSTVLLRGRGSGNLGSLNSEEQQPLHLFLSSNNPKSLEHAKLLAENLLDTICAECGASRVSSCKVYGAVPPPQHLLVGVPNSAVGLENTAKITANSTSSAADFIRPPSTPLITSPGPTTVLSHGTAPQSLGGLNPGINPQSSLSHGTSYNGYGGIYPQATPLQQVALALRQSTSPVTSTVSSTQKDGNITANAYSEKERRPPQKRKFQELPVAAEGPVKTHQGFRMRRRPETGAALTNPRMVSSMPAPVKLVQPSSNGMMPPPPRNMPPPPPKFNSPTTVTKVLEGNNANKSETKPVP >KVI02583 pep supercontig:CcrdV1:scaffold_420:168053:174559:1 gene:Ccrd_019125 transcript:KVI02583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEENNGSGSSKHQNEVLEVSNSSKQDNSDVEGTSTIDDQNEHLHAIIADEIPLNRAKMVGHAPLTSSSASSCSSNLLDVDYDEKDAVDVSSSSEENGSREDAESSADTSSSSPQWSMVSESPLHGPTIESSSSPEISPQSSSLNAVNTSPMQSPVVQVMGRTGSYDPNRIPWSTFTPKPANDTDWAANSSDSLFSLYNGKSGELNKADFSSGLPTVIETTLEIDQKSVSTIGEAGEKENLEIQSEAPNIGFIQNDEISHCGMTRVSESLFKVQMVHRHRSRNRIRYHDEKPRVPAMGRHQKPLKLLLIIWVFFTSHGYIAVSGNDIDKYALLEFKRSVSDPSGVLVSWNGSNSDVCSWVGVSCGSNYRVVALNITGGGNSGSFTCSRYDQFPLYGFGIRKKCVDRNAKLMGKLSSAISKISELRVLSLPFNDLIGEIPDEIWGLKKLEVIDLEGNLINGSLNSNFRGFDSIRVLNLGFNQISGDIPDSLVNLKNLQVLNLAGNHINGSIPKFFSEFIDLRGLYLSFNRLSGRIPSEIGYNCGNLERLELAGNFLLGGIPASLSNCTRLQSLLLYSNMLQGEIPIELGRLRMLQVLDVSRNSLDGAIPQELGNCTELSVLVLSNLFNPIYNIRDSDGDFVPTKLVRSHEEYNYFRGELPSEITVLPKLKLLWAPRATLEGKFPNNWAACRTLEMVNLAQNLFTGRIPNGLGVCKKLWFLDLSSNQLTGELSDELPVLCMTVFDVSSNHLSGSIPSFRHAICKPFDPDSAYLRYFAFEMANDGGNLAIMHNFGGNNFTGGLSSIPVLPRTAKNSVYMFLASENQLAGPFPRALFDKCSKFKAIVVNLSSNGFSGEIPSNIGRICRSLRVLDVSQNRISGILPSSFGELGSLVVLNLSRNLLHGEIPVDFSKIKDLRGLSLSRNNLSGPIPTSFGKLKSLEVLELFSNSLSGPIPEDLVNLRNLTVLLLNNNKLSGSIPSGFTNVTRSVKFNASFNDLLDPSPENLMAATPSSEQQSPEPESTGGSIDYSNSSTPVPKGRSRKLNSIEIASITSASAVFSVILAMGVLFLCARWRPNSVANGSTHKEVILFTNTGVLLTFENVIQATGNFNASNCIGNGGFGATYKAEISPGFLVAIKRLAVGRFQGVQQFDAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLERFIQERSIRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSSYGNGFNIVGWACMLLRQGQAKEFFTAGLWDSGPHDDLVQVLHLAVVCTMDSLSTRPTMRQVVRRLKQLQPPSC >KVI02585 pep supercontig:CcrdV1:scaffold_420:138613:146120:-1 gene:Ccrd_019127 transcript:KVI02585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MYGRKVKSRCPGWGWGSSGRTIVLPEEKEGRRTIVVVRFRELYGRKIKISFAKEKPQSFYGGVDGYNSGGYDRGLSYGTDEMGLREAFQQYGEVIDAKVITDRDSGRSRGFGFVSYTSADAANTALQDMDGKELHGRRIRVNFAQERPRPSFGGGGGYGGAGYGGGGAGYGGYGGAGVGGGGYGGAGGYSGGYSGGGYVGGGGYSGGGYGGGSINNAGEYGSGNHNAASGNDPFNGVVEQEPLAGGGGDGDGNLNHRDYEDDDYANTSNK >KVI02587 pep supercontig:CcrdV1:scaffold_420:194955:200173:-1 gene:Ccrd_019123 transcript:KVI02587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 TSKNKPRKLVTRPKSPAATHIITPQVAYFYCLIIPSRTSVDLHRHPKDSITKREERNSMCETEIAADDELSNYAALVFPHFFMVLHFIRMATQTEAPSTTPSVQVVGNAFVEQYYHILHHSPNMVHKFYQDASFISRPDEDGTMITVTTMKGINDKICSLDYTAYKAEIKTADAQESYKDGVIVLVTGSLTRKEDDQRRKFIQSFFLAPQDKGYFVLNDVFRYVDESKPMEDNYVVVEGIDDKQTPPLIADPPRTIDSPSPDLASVHKEETQNVEEEAQDVTENEGLLANENNSVEPEPYPSENNMSSVAESISSIAVEDAPKMSYASILSSQTKKGGPGPTKVYVPTLRTAPAKTENISVATVAQGPPPEVPAPIASSSISSPDSSNVHNEGDGHSIYIRNLPLNATVSQLEMEFKKFGPIKQGGIQVRSNKQLGFCFGFVEFQDFSSMQNAIQVRLI >KVI02575 pep supercontig:CcrdV1:scaffold_420:41144:57220:1 gene:Ccrd_019133 transcript:KVI02575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 MSTSDAVALRITHHAEFTPSFSPEGFDLPKAYFATAQSIRDSLIINWNATYDMYERSNVKQAYYLSMEFLQLSGAYGDALSKLGHKLEDVVSQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKEGQEEIAENWLEIVRNDISYPVKFYGKVVTGSDGKRRWIGGEDIVAVASDVPIPGYKTKTTINLRLWSTKVPSADFDLSAFNSGEHTKAWDDSLEGKTLRLKQQYTLCSASLQDIITRFEKRSGENVLWKEFPEKVAVQMNDTHPTLCIPELMRILMDLKGISWKEAWDITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHLNAMRILENVELPSSIIDLFMKPKEVSAIDTCEVLEVSNKDSVAAADEFVGKSKEKEVDQEPVQKGEQKEEADLQPAPVPQKMVRMANLCVVGGHLWPEKFQNKTNGVTPRRWIYYCNPELSKIITKWTRGDDWVLNTEKLSELRKFADNEDLQSEWRAAKRINKMKVVSFLQEKTGYRVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMNAFERKEKFTPRVCIFGGKAFATYAQAKRIVKLITDVVFVPDYNVSVAELLIPASELSQHISNMKFSMNGCILIGTLDGANVEIREEVGEDNFFLFGAQAHEISGLRKERAEGKFVPDPLFEEVKEYVRSGVFGPYDYDELMGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDEAYRDQKRWTRMSILNSAGSYKFSSDRTIHESLYAV >KVI11024 pep supercontig:CcrdV1:scaffold_4200:34977:40636:-1 gene:Ccrd_010570 transcript:KVI11024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MAGTGDIALKMASDDYPTRRKEAARWLRKTVGVVVAKDLPAEPSEEDFLQGLRSGMILCNLVNKLQPGAIPKVVEAPSAVFNEPDAEALSAYYENIRNFLVAVEDLGLPTFDFDDLEEGGDFSKVVDCLLALKSFSDWKKLGGSGSRKFSGSKSSPSAKSFNRRNSEPSMTPLSRTQSVGSDKSFDTLDQSGNASDANEESDSGPLFTIVCDLLEDKEEEDIPIIVENILNKLTEEFENRLVKRIQRVSLVTSHGLSTTETPRTLSEAIKEQERLAREEQERLEREEQERIAREEQERLRKQQEEEERAAREEQERIKRHKELLEERERLRKMKKEDRERAKREQQERIKREKELRAQEKAARKEEERMAKEQELRNTLSTAKIGVQSMQKDYLEEADNLGKQVRSVCQAAAGYKKVLEENRKLYNQVQDLKGNIRVYCRIRPFLPGQENCVTSVDYIDDDTVTVIVPSKSGREGRKASMFTKVFGPSATQEDVFADTQPLIRSVLDGFNVCIFAYGQTGSGKTYTLTGPSDLTPETMGVNYRALKDLFLISEERKDDMSYEISVNMLEIYNDEVRDLLVTDGIEIRNGAQKGMNVPDANLVPVTSTDEVVNLMNLSKKNRAVSSTDTHDSGSRSHSFLTVHVFGKDLTTGTKIRGCMHLVDLAGSEKIDDSEDEAAHVSKSLSAFGDVMVALALKSSKVNYKSCKLTQLLQDALGGQAKILVFVHVHPDLDEALETVNTLKFIERFSTVEGGAGKSGEVRELKEQIALLKAALAKKEAGEGQSQELRTSASLQELSTNSPRKGDLEDDSIDDSDDNAGKAKNPSAKQPAQSPAAKKAAAGAAAPKVAKQQTAVDSKKKKGK >KVI11084 pep supercontig:CcrdV1:scaffold_4204:38989:42207:1 gene:Ccrd_010510 transcript:KVI11084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MESRRQDQKGDSMSEEATIDWRGRPSDPNKHGGTRAAAFVLGVQSFEIMAIAAVGNNLITYVINEMHFSLSKSANTVTNFIGTIFILALFGGYLSDSYLGCFWTMLIFGFIELSVCPTLFSPPHSCPFLFGFIMLSVQAHLPQLKPPKCNMLTDGNQCIEAKGMKTLIFFVALYLVALGSGCVKPNMLAHGADQFNRSDSKQSKKLSTYFNAAYFAFSMGELIALTLLVYIQTHSGMDIGFGVSAIIMAMGLICLVSGTLFYRNKPPQGSILIPILQVFVAAVLNRDLVFPSNHSMLHRSQYELQNSHSSMTNDIASLRHTDRFRLLDKACIKKQGEDNYINSKESPWRLCTLDQVERVKILISVIPIFACTIVFNTILAQLQTFSVSQGSAMNNQITKSFHVPPASLQAIPYIFLIFVVPIYDYLFVPFARKITGHVSGIAPLQRIGFGLFVATFSMVSAGLIEKKRRESFTSSGQRLSIFWIAPQFIIFGLSEMFTAVGLIEFFYKQNLRGMQSFLTAMTYCSYSFGFYLSSVLVSLVNKITSRSSDGGWLGGIDLNKARLDLFYWLLAGLSLINFLNYLFWARWYNNSCNRLSSGTQHHDIKEGIHHNLNPAKYLGDDNIP >KVI11311 pep supercontig:CcrdV1:scaffold_4206:40909:42456:1 gene:Ccrd_010280 transcript:KVI11311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSKHQLAARLAVSLGTCDDVKVSDEQLAQLLAKVSKRSTYGAIALLNRSFCDLIRSGELYKLKRVNGIIEHWVYYSCNLVKWEAFDPISRKWMLLPKMAFPWEKIQILLVQWHALGLGLFASIIAPFGGFFAFDPIGWKRADNFVYNYAVMGC >KVI03547 pep supercontig:CcrdV1:scaffold_4207:25984:31759:1 gene:Ccrd_018152 transcript:KVI03547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical SSTSFTQPRGITINNLTKRQFSCSSYHQRLKSFHPFRVLTKMTPVCPFVKASRPDDVSSKKSSEIQNKQQGCPMSKEIQNKQQGCPMSKEIQNKQQGCPMSKEIQNKQEGCPVSKVKQDSGDSGVISPKCPFGYDSQTFKLGPFSCLICHALLFESSRCVPCTHVFCKACIGRFNDCPLCGADIEKTEADANLQSVVDRFIDGHARIKRSPVDTDEKQVVGEKKTVIYEDVSLERGAFLVQQAMRAFRANNVESAKSRLSLCAQDIREQLEVAGNTSELCSQLGAVLGMLGDCCRAMGDGSSAVAYFDESVNFLSKVQTEDLEVTHTLSVSLNKIGDLKYYEGDLQAARDHYFRALDVRRNAIKDSSKAPSQVLDVAVSLAKVADVDRNLDAEDTATEGFQEAINLLESLEIKSEENALEQRRLSVLDFLKNQLSKKQSD >KVI03546 pep supercontig:CcrdV1:scaffold_4207:16444:17481:-1 gene:Ccrd_018153 transcript:KVI03546 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MGQLEGNSLANHFSHGHPLKSHAYITRKVTCSACKQGVSGSFYACLSCNFYLHKKCFDLPQTLKHHSDRRHNLVLLSSPAYPEGFFKCNACGTHGNGFSYHCSDCQIDLHTTCALMPPSVNHTAHDHTLGLCFTPPYENQGFSCDICKKPGSNHWLYRCGLCDFDAHMKCAMARTTSREVLPMARTTSREVLPKSTSLPHYRTNHFSPPQPPPLQSATPSPSLVQIYYNSSSTEYPLADHHHMARTASSQAALNKSASLPHYETHHHFSPPPPPPPPRPPVQQPYYYQAEHHPQYHQSNHYQGATTMGVPVQTSKDNGFMKNMAGHAVEGLVGSVVEAVLEGMVS >KVI03548 pep supercontig:CcrdV1:scaffold_4207:34484:39451:-1 gene:Ccrd_018151 transcript:KVI03548 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase, conserved site-containing protein MKMVPKLDLGEICIKKDKQNHYKGFNASSISLSFFQDFVKLYVNSLQLSRIMLRSIQFLGSSSGFLFHRLKLHGSQSGLSFISISAPPSSRTPLIITSSTGRCFRQFRASSIRSESNLDGTSPSSSSTSSPTFRSTVVGCLIEHDNKILLCKRKIQPSYGLWTLPAGYMEIGESATEGAMRETWEEAGAKVQTYIIFLAKMTTPHFSPGPESSECRLFALDDIPFDSLSFSSMLVTLNLYIEDIKVGKPKFHYGIINKRPGTSPSEIRAYTLDYHLRT >KVI03549 pep supercontig:CcrdV1:scaffold_4207:40640:43858:-1 gene:Ccrd_018150 transcript:KVI03549 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MLESIIAASWYLQRPNFNSRRQRKFYAKQELLRNANAFTENLFQGWRDFDEFDPSSSHGSSSFKGFNMSGGFNGNRASKGPRATGRRKGYQFHEDVEEVETIFRSAFGGNGFYFWSFINDEPPRSSSGFHSNHRTSRSWRHRLEDEDEDEDEFSSESDRVETNLTKDRMTLGLSGSGPLSLDDVKNAYRVCALKWHPDRHEGSSKAIAEEKFKVCSAAYQSLCDKLALN >KVI03550 pep supercontig:CcrdV1:scaffold_4207:10880:12633:-1 gene:Ccrd_018154 transcript:KVI03550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESPQNTMNLDLNLAPVVHPSQDDEPHTMSFEDWLHGSVSFEDWLHRPRSWQRLRSIWRPVPIPMEARNMALGLTGSSGLVMDERNASDDERHPEMIKTDKNGDKNLENVDVGKKDVEKSNGDESSFFDCNICLDLASEPVVTCCGHLFCWPCLYRWLFVHSEAKECPICKGEVTMKNVTPIYSRGNHTHVTDVDSSVKIPSRPQAKRIESWRQSIQRNALNLPMFEMIRRLDNRSQEIPNNSLLNRIFTSRGIRRGQDMAAIGSPDAVTDSPEFSPANTEPGGSTLLARRLNRPATVTNLTSALSSAESFVDSYFRDHPDERNQEQLPLMDDRHSMSSIAAIIQSESQTADTATETDSRVSISTSRRRYETSTSRVSDVDTGDSRSRRRRLQ >KVH72233 pep supercontig:CcrdV1:scaffold_4209:4449:16484:1 gene:Ccrd_025588 transcript:KVH72233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MAVELYQFTSHEXDFDLEILVXGTQIXXXTLVVEGIEFRPSERVEEVDIQPISDLDTDWERKLPEDHEEIIKWPKDSIEWTTKKELYFLFCKGFLINNGEEWFFLAKNGKKCLFLPARALLSKDGWKFESLPNLRFEQVALGCYNSHFSISGQIKFQMLSRGTTYACNLVYKITGDVDKIEGPVVVRNSDLPFFASNGVSYRYIYLVSPQLPVIRPNVDENTHNPLISQMPKFDGLPRLRNDGWMDVEIWEFETSIRVDKFEINFFLQRKSGLGFQGISVQGIEWFFLAKNGKKCLFLPARALLDTSKRTFQSLPNLRFEEVALDCFSNHFIIRGEIKFQMLSLGTTYACYLVYKITNAVDEIEEPVEVRNWNLPFSFSDEISYRYIYLLSPQSPVIKIRPDVDENSHNPSISQMPKIKGLPRLRKDGWMEVQIWEFETSIRVDKFQMYFVLEKKFGRRFDGVRVDKFQMYSFFEIRAFIWEIFRGSTIFPEFSQLWFDFGHDQLNPTAFSTSVCQLVAIGGIGGNVAYQTTERDSHVYNQ >KVH72234 pep supercontig:CcrdV1:scaffold_4209:21764:25897:1 gene:Ccrd_025589 transcript:KVH72234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MDMDIEVEVVMVVVVVDVEFTSHERDFDLEILVDGTQIPFDTLVVEGIEFQPSERWFFLAKNGKKCLFLTARAHLVTDRWKFESLPNLRFKEVAFDCYSSHFIISGQIKFQMLSPETTYACNLVYKITGDVDKIEGPIEVRNWNLPFSDSNSNGISYRYIYLLSPQLPVIRPNVDENTHNPLISQMPKFEGLPRLRNDGWMEVEIWEFETSIRVDKFEINFLLQRKSGSGFKGISVQGIEVKPERSSSFMINCKQK >KVH72232 pep supercontig:CcrdV1:scaffold_4209:36755:39347:1 gene:Ccrd_025590 transcript:KVH72232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein RFPEFNLVALGGEFKAHVKPKFLSPGITYTVNLVFKLINRNGNSNGPMYLVLDYKLKGETKYSTAYLAHEREDRWMTVELYQFTSHERDFDLEILFDGTQIHLDALVVEGIEFRPSERVASEVEEVDIQPISDLDTDWERKLPEDHEEIIKWFGDSIEWTTKKELYFLFCKGFVINDDKERFFLAKNGKKCLFLTARAHLNRRERRFESILNLRFGEVALYRFGSYIHIHGEIDFQILSPGTTYACNLVYKITRDVDKIEEPVEVRNWNLPFPDSDGIYYRYIYLLSPQLPVIRPNVDENSHNPSISQMPKIKGLPRLRNDGWMEVEIWEFETSVGVD >KVI08168 pep supercontig:CcrdV1:scaffold_421:81807:193381:1 gene:Ccrd_013462 transcript:KVI08168 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1985 MKLEREEACTRFRPSLAKAVSGLVLIKKKNQTGLIPSIVQARLVGLAHFSVGYIFTYVAFLIASTSGKFESALISGLRCSNYPTGFAEQAESQDFWKKHFPQHSTIIIGDVERKLKEMCTNKKRKRSQSVSCDVNMEKIKLAMLLCIFVDLFQGDKSTSGIDLFFLDIGVRMSAIANVFARHREHDVQGVPRIFHWKLFGNQNNLHRAKEIEEFELLYQIFELCLRAMRQNIVDDDKQQFFVIIQLGWLEMNTVKINTM >KVI08169 pep supercontig:CcrdV1:scaffold_421:248019:250794:1 gene:Ccrd_013463 transcript:KVI08169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, long-chain fatty MYDENGRDCHPLLRGKRDSDGDNNNNNNGCFSSSDIETLSSICEVILPPIPFNSNSNSQYPVPNKIVDLVLKRGFLEAVLVVKLVLILLSTRLGTLFLSGSLCLSGKWPFVNSFSAIPLHKREKVVQKWLKHSFMTPIRLGFVFIKTLCLLAFFTQVGEEGDNPAWEAIDYHVDMNEDHILDRKERPLQKSMVEVMNESDQTLVQSLLQKGLDVKENLKENICKIKCDVVIVGSGCGGGVAAAILAKSGQKVVVLEKGNYFSQTDYSQLEGPSLDQLYESGGILPTLDAKDPQLEVAQRSIGQLASRHHPRCYENGVIAQVLNRKISKKLHIEAKVTISACGSLLTPPLMISSGLRNPNIGRNLHLHPVLMAWGYFPENDSDLNGKNYEGGILTSVHKSGSDSDYILEVPALGPGAFAALCPWENGQDFKERMLKYSRTAHVFSLVKDSGSGEVKTEGRISYRISELDKENLKKGLREALRILIAAGAVEVGTHRSDGQRMECKGINGKEIEEFLETVHAADGPMSMVKDWNIYCSAHQMGSCRMGKNEKEGGVDENGESWEAEGLYVCDASILPTAVGVNPMITIQSTAYCLSERIADILR >KVI08170 pep supercontig:CcrdV1:scaffold_421:37645:38274:1 gene:Ccrd_013461 transcript:KVI08170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNSMAACCITSEGSSVEVIFWEGNKRILTGKRWLAGEIMFEFPNCMVCDAGCFFIGHPIPALAIDDQLKPGQTYFVLPLDMFSSKTLAASSISALAAYTPNRSPVNFKECLFEYIKGSNGRVLIKVTPEFMARILTRTDGNDDQKNQSTNGFLCSTPELKKQYEQLVGSKDQNWSPKLETISEHNKMRSSPYRFIGLRLESRKREDGA >KVI01607 pep supercontig:CcrdV1:scaffold_4211:6243:6849:-1 gene:Ccrd_020116 transcript:KVI01607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MDYIYSYFPATKFQIHNTLKSQTNKVQISITMESGKTGKGAGGRKGAGERKKSVTKSIKAGLQFPVGRIARFLKKGRYAQRTGSGAPIYLAAVLEYLAAEVLELAGNAARDNKKTRINPRHVQLAVRNDEELGKLLAGVTIASGGVLPNINPVLLPKKSSSESEKTTKSPKKA >KVI01608 pep supercontig:CcrdV1:scaffold_4211:18519:21999:-1 gene:Ccrd_020117 transcript:KVI01608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MMNNGKKPIDSSMSINSDEGTLDDMEVQTWESNEVFYIGFSPFKKVHKICEEIGLIEDVESHGTDDTTGYTDIQDDKNPALDQIDHSLSISLDGTNWFTPVVQEVVKPIIGISNMGATWAHKLHTSLRGGYEYGGPTVVDYQNYKRDYDNFVGCGDAKVLVDVITKKKDDNHNFFFEYNCVGSELHTILWLDEVVHNLKESNIVCSCNHIGRHGYLCRHVFKVLLNVGVESIPEKYILRRWRRDLMPIELQNSRQRICDIGEDQRRIINDTYDVIDDVLDILRDNKEKLESFVPTIKELRDDLAKDRTYEPSMKRKECGIVQILGFPRPDNTEIHLATGIRNKGCGTSKILIGAVEKAAVKSSRAKRMCSGCKLLSNHDIRNCPAKKKLDYFVFDVFEPFVFI >KVI01609 pep supercontig:CcrdV1:scaffold_4211:31546:46170:1 gene:Ccrd_020118 transcript:KVI01609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARVSSGLAYPDRFYAASAYAGFGGSPNSSSKGVTSKFSNDVALLLYALYQQASVGPCNIPKPRGWSPVEQSKWTSWNGLGNMASTEAMRLFVKILEEEDPGWYSRASNFISEPVVDVEMNHTSKAELLNKNEVALPETQTIPTENGTSVETQDKAIVVEGLGIVDVFDQWVAPSVSGPCPKPRYEHAAAIVDNRMYIFGGNHNGRYLSDLQALDLQRWEWSKIEAKTNSEAPLKVAPCAGHSLVSTIAWEGNKLIAIAGHTKDPSELIQVKAFDLQTYTWSTMKTYGKPPVSRGGQSVTVVGTSLVIFGGQDASRTLLNDLHILDLETMTWDEIDTLGVSPSPRSDHAAAVHADRYLLIFGGGTHSTCFNDLHVLDMQTMEWSRPTQQGEIPSPRAGHAGVTIGGNWFIAGGGDNKSGVSETVVLNMSTLAWSVVTTVQGRVPLASEGLSLVLSSYNGEDILVSFGGYNGRYSNEVHLLKPSHKSSLPSTTMGTPALDSISGAQHAANGTRDVELDYGAGQDGKIREIRMDNNEPQTVKVDEVSEQSIMALKAEREELESSLSKEKSESLHLKQELLEAESRNADVYKELQSVRGQLAEEQSRCFKLEVDVAELRQKLQTMDSLQKELEILQRQKAASEEAYAKQKESSGGVWGWLAGNSPPKP >KVH72121 pep supercontig:CcrdV1:scaffold_4213:20728:23615:1 gene:Ccrd_025591 transcript:KVH72121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRGTTVAMTMRWSFGWQAVVVTFLYMGSLITPVTSQPQTNLLLRSCSLLNTTNTRSFFNNLNQTFTEVRRQLSTDDTFFATGEQARSSEPVYVMAQCRRYMTTADCLACYDYATLAIRACAAADGARAVLDGCFLRYETTDFYDEATQPGNVGLCGNRTATRPTRFQTAVDGLLSNLTIATPRIKDLFAASSSNISGSNRSVYAIAQCVPTLAHNGCKDCLRVAYSNIRNCLPSIVDARALDTGCFMRYSNTPFFTNNETTIITPFLREGSSKKGAIIGGVVGGVGLLSTIVLVAILMWYCRSKRKTTPRGNSHGPNDQLQDPVKYSYSDLRKATKNFCDDHKLGEGGFGGVYKGTINNGNVVAVKKLLVSSAKADFEREVRVISNVHHRNLIRLLGCCSEGPELLLVLEYMENGSLVKFLYGERKGTLTWKQRCNIIFGIAKGLAYLHEQYHVTIIHRDINPSNILLDDDFQPKIADFGLAKLLPEDQTHISTRFAGTLGYTAPEYAIHGQLSEKADTYSFGIVVLEIISGKRCTDVLDMSPPDQYLLEHALNVYENHMPLQLIDETLDPNEYTEQEVKKMIEIALTCTQSPAERPTMSEVLVLLNDRSREQKPPSRLQFDVPNINIQVDQDIASSTSNADVSLTELTGR >KVH72116 pep supercontig:CcrdV1:scaffold_4214:15789:32468:-1 gene:Ccrd_025592 transcript:KVH72116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLESPERRRRTAIVRLRRSSQAAIYSSATCLFSGEMLRVCYWEIRPSFVLHRLRIATLFVVVFVFSYLVFDRAFDSGRIRLPEYFVPSTRIFPSSLFDSLPDSADTNLETVLKDASMQDHTVILTTLNEAWADPENSILDLFLESFRIGYQTSKLLNHLVIIALDQKAFMSCKRVHTHCFALITEGADLSREAYFMTPDYLKMMWLRIDFLRSVLEMGYNFLFTDADVMWFRDPFPHFYPNADFQIACDHYSGNSTDVESNHPNGGFSFVRSNVRSIEFYKYWYSSREMYPGLHDQDVLNNIKFDSFLIDIDLQLRFLSTAYFGGFCEPSKDLNKVCTMHANCCVGLGNKVHDLRIMLQDWKNFMALPPSMKISQTLTWSPPDNCTLALRRHFGLSGNGTRVHTV >KVI04591 pep supercontig:CcrdV1:scaffold_4219:8720:12370:1 gene:Ccrd_017089 transcript:KVI04591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVEEKRYLEEGISIGEEAMMMGVFVGEETMRYICWRRDDERQFLTPKMTIHSNHQRGRASIVFFNRASIVFFNLPVSVGCFGYTHPWKSSSSADKYQLGFLLFAFAFNLTNILIFIPMTIEMMKQRHKVERESNIGDKSEKVNLKLATINKKVGMVHGLSSLVVFW >KVI04592 pep supercontig:CcrdV1:scaffold_4219:23760:27372:-1 gene:Ccrd_017090 transcript:KVI04592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAMEVTQILLNAQSVDGSVRKHAEESLKHFQEQNLAGFLLSLSGELASDEKPVDSRKLAGLILKNALDAKEQHRKFELVQRWLSLDVGVKTQIKTCLLQTLSSPVHEARSTASQVIAKVAGIELPQKQWPELIGSLLSNIHQVPVHVKQATLETLGYLCEEVSPDVVEQDHVNKILTAVVQGMNASEGSNDVRLAATRALYNALSFAQVNFSNDMERDYIMRVVCEATLSPEVKIRQAAFECLVSISSSYYEKLAPYIQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEDYGADFTTADSDVPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREGATYAFGSILEGPSPNKLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTMETSIITPANCQQIITVLLQSMKDAPNVAEKACGAFYFLAQGFEDIGQSSPLTPYFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHKTLEEQKLSSDERQKQNELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMSLFLRVFACRSATVHEEAMLAIGALAYATGSDFAKYMPEFYKYLEMGIQNFEEYQVCAVTVGVVGDICRAVEEKVLPWCDGIMTQLLKDLASNQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQSAAELSSHTSGADDEMIEYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHIIQFLDLIYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHLIKESAEWAKLAISRAISV >KVI11323 pep supercontig:CcrdV1:scaffold_422:204082:205208:1 gene:Ccrd_010267 transcript:KVI11323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRESLRRNITLMVKRLSSILSIFKVIVEMGVVSIF >KVI11324 pep supercontig:CcrdV1:scaffold_422:214297:221425:-1 gene:Ccrd_010268 transcript:KVI11324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MHKFATSLRRLNASREQFRRCFSQLAQRQASIEESAASVPIIPPFNYSPPPYSGPTAEEILRKRKEFLSPSMFFFYKKPVVFFTNSGTEANELAMMIARLYTGCQDIISLRNAYHGNAAGTMGATAQSNWKFNVVQSGVHHALNPDPYRGVFGSDGEKYAKDVEDLIQFGTSGHVAGFIFEAIQGVGGIVELAPNYLPSVYNSIKKAGGLVIADEVQSGFARTGTNFWGFQNQGIVPDIVTMAKGIGNGIPLGAVVTTPEIAKVLTRRSYFNTFGGNPVCTAAGHAVLKVIEKEKLQENAHVVGSYMKERLTALKDKHEIIGDVRGRGLILGVELVTDRKLKTPAKTEIVETMEMMKDLGVLVGKGGFYGNVFRITPPLCFTKEDADFLVDAMDHSMSKL >KVH71666 pep supercontig:CcrdV1:scaffold_4225:36418:40364:-1 gene:Ccrd_025596 transcript:KVH71666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MVSRSGICTREVVVDARHMLGRLXSILAKELLNGQRVTIVRYEEICLSGGLRRHFKTFDMFLESSSTIRF >KVH71664 pep supercontig:CcrdV1:scaffold_4225:28377:31064:1 gene:Ccrd_025595 transcript:KVH71664 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MGVAAGSQFHVLAVDDSIINRKLIERLLKTSSFQVTTLDSGNMNSNQPFVSPNINQEVEVNLIIIDYCMPGMTGYDLLKKVKILLVKSNVAGSVAFLNAGLFFLLICQLAANDMGQDNDIGPTEHVGEQDNDTVGA >KVH71665 pep supercontig:CcrdV1:scaffold_4225:3830:5353:-1 gene:Ccrd_025593 transcript:KVH71665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MESTATIFLAIVLLLSAVMVVSTSAGGGAIARITCLARNRKGLELAPFSIVSCPADNKGYFLAKLSPPSMKFLKNVDWELEECKAFLKSSPLKDCKIPLDINGGITGAHIISSSSHRLLKNANLYSLKPFFYMSGQPQKVSDNRGY >KVH71667 pep supercontig:CcrdV1:scaffold_4225:22533:22936:1 gene:Ccrd_025594 transcript:KVH71667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MYNFYYYLYQYKDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALTALARSGMKIGRIGISFFTSFLCKTSVTLFCWDTCKILESW >KVH89820 pep supercontig:CcrdV1:scaffold_423:99895:108446:1 gene:Ccrd_008186 transcript:KVH89820 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S binding domain-containing protein MADRLTRIAILKEDRCKPKKCRQECKKSCPVVKTGKLCIEVTSASKIAQISEELCIGCGICVKKCPFDAIEIINLPKDLDKDTTHRYGVNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDVKAELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLEGYVPTENLRFRDESLTFKVAETPQETAEEIETYARYRYPTMSKTQGGFKLKVLEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEDTDVEIPEFNVSYKPQKISPKFPHSVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGTPSVDCTANAPQSLLTGMNLFLSHLNITFRRDPTNFRPRINKLNSTKDREQKFAGSYYYLDD >KVH89823 pep supercontig:CcrdV1:scaffold_423:62770:77563:1 gene:Ccrd_008183 transcript:KVH89823 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-containing protein MGNTTEEEHFDVLTETGQKTGFSKARGLVHKDGDYHRAVHVWIFAESTQQLLLQQRAVCKDAWPGLWDISSAGHISAGDASLITASVTNNGNFINNEFDDVYLESEVSAVKYISIEEYKQAVVKEDPQYVPVNVDGQYGQLFDIINKRYQCDVEARSLDLQKKLNRYAPFSLSAELTGLTEGDKEALVLLIHAARMMDDIFHQQVWCSNPSLREWLKGRASMSQFDNLKWLYYSINKSPWSSLDENEAFLTTADSAIKLLPEATKPIGGWKGLEYKTAFPIQKPPGLSDDEKQDASGFFSVVRRHRDFLSNNIVSSASDLYSIPYSQEYSAFLAKTAELLHKAGDLTSSPSLKRLLHSKADAFLSNDYYDSDIAWIELDSKVDVTIGPYETYEDVLFGYKATFEAFVGVRDDKATDQVKLFGDHLQVLEQNLPLDAVYKSSEVISAPIRVVQLVYNSGDVKGPQTVAFNLPNDERIVNDRGTSMVMLKNEQRELVDFDSFFTHTICHECCHGIGPHTITLPNDQKSTVRLELQELHSALEEAKADIGLLPESLVKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLFEKGAFVLHPDETFSVDFDKIEDAVESLSRVILTTQAKGDKEAAQELLAKYCVMTNPLKVALEKLEMVQVPVDITPEFPIVDELLGKK >KVH89815 pep supercontig:CcrdV1:scaffold_423:214690:222295:1 gene:Ccrd_008191 transcript:KVH89815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin MDWAFVNRNWEKWACNSVGSDGQPLKAALLINYDPTGPSRLLSTIAEEEGIEADPIEVGQFVNFIKRGNYQSESFFIESNQYVVTSIHESWFCGRCMNTSKPAGEGAIVYKTPSFLFIALYDGSIGAASRAMAAVDRFVWQLERRNL >KVH89818 pep supercontig:CcrdV1:scaffold_423:79991:87804:1 gene:Ccrd_008184 transcript:KVH89818 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein RYGFQPDLNRYDRPEDPKAYDASRCSPNFLIWGFSHPESSADNQFCRRAFPACSGRIVFILIPQISVVYLDRRIRGVIMTVSEDEDEHLAHFLESEVLSEISDQEDARSNEEDVRQPKRLRVEQNVGVSNRGITPRRIDDGFFSRIPPELFPHILKFLSSEDLVACSLVCRFLNFASSDESLWRRLYCMRWGILPPTKKLRDRAWKKLYIQRDEEDMVEFVRNCPNEFKEYYIQMQVAKRSQAPLPSQLKDDWMILDKSVADQVSIWKSNKGLTDKVFSDHACSGDTCSYYQIGDVFVCENTGYVHVCDDTCKEVVLDPENELLVCTISGHCFDRLLSPSEIGGDMEEQQQGGATDEAEPFMGSGRFARAYQLGYNCEDEKELEACLRFC >KVH89822 pep supercontig:CcrdV1:scaffold_423:1332:12414:-1 gene:Ccrd_008180 transcript:KVH89822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MALGDGGGGGVVAVAGGQAWKAHAAMALVQLLYGGYHVITKVALNDGVNEIVFCVYRDIVALSILAPIAYFREKTTRPAMTRRLLMYFFFLGLTGIFGNQLLFLVGLGYTNPTYAAAVQPSIPVFTFILAAMMGIETVNVLKTEGLAKVGGTLVCVSGAILIVLFRGPALLGYADNLPVASGQREPAGWMFSSFVGFGIDNWHLGVLCLIGNCMCMAAYLAIQAPMLATYSANLSVTAYSYFFGTLFMVATAVSVSNESTNWNLTQSEVLAVLYSHFRRIFDHNGVIYRDLGDVSGKRTSSFRRWPFSDVGATDRSGWVRAFTMSNSDHGLTEMWNLNCSFNTLCKITN >KVH89819 pep supercontig:CcrdV1:scaffold_423:108835:110857:-1 gene:Ccrd_008187 transcript:KVH89819 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDFDFANYMLTGRKVEKESSSPYSPWRESYRKQLAEIFNVNRTRILAFRNKPPTSLDGVKEIPPPSTMSKCAKRMRHISQSSERTLDAPELVDDYYLNLLDWGVANTLAIGLGNSVYLWDASEGTSSELLSTDDDCGPITSVKWAPDGRHIAVGFNNSEVQLWDSSTNRLSLRIDLETFVGFLFDQLRTLRGHGSRVGSLDWNNHILTTGSMDGLIINNDVRIRSPNIGTYRGHHQEVCGLKWSTSGQQLASGGNDNLLFIWDLSCSQRLHRFSEHTSAVKALAWCPFQSNLVASGGGVGDQCLKFWNSNTGACLNSVNTGSQVSCLLWNRHEREILSSHGFNDNQLILWKYPSMVKLAELHGHTSRVLHMSQSPDGYKVASLGADETLRFWNVFGTPEVTKNTKAEEPFASFARIR >KVH89824 pep supercontig:CcrdV1:scaffold_423:48424:61081:1 gene:Ccrd_008182 transcript:KVH89824 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBF1-interacting co-repressor CIR, N-terminal domain-containing protein MDDDERGPRLSKRFSDKNADGEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHAQQERRAEEVSREYAQEQEFFRQAALVSKKEKEKMEMMKAVSFMYVRPPGYNPESAKAAEIAEEKKALEPSEGSHSVEAGEAHMLQNPMPPNEEKRKPRPKDVFGRVLPTEEQFEALKNAPRLETGVAGRAKPFGIEIRNVKCVRCGAYGHQSGDRECPLKDAIMPNEESRLKRDDPLTAIIAQTDSSEPLKWELKQKPGMSPPRGGFRPDDPNQQIVAEDIFDEYGGFLGEEVIPNLLANFSTSKQKKSSSKRKHKGQSPPTIKDNSCSDDAGRRSKKSKSQHKKKKKQIKSDSSSSEDSEVDRRKRSRGGGHRRHDGSEGSDSDRQRRHEHHRHRRHHHHHHRHRRLPLGDGKKGKEGPRVFLQECFCENRGILRSKRAKMAWSIRALGQGYRVLMAAANSSATVAATATAPKRGRPAGILKVVPVSQSLGEFLGVTEVSRTDAVKKVWEYIKHNNLQNPLNKKEILCDAKLKTIFNGKEQVGFLEIAKLLSQHFVKSS >KVH89826 pep supercontig:CcrdV1:scaffold_423:134018:139385:-1 gene:Ccrd_008188 transcript:KVH89826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, long-chain fatty MEEQRCVDEKSWIKNDDTEKEEYTILQIDTPLQEDNQRDSEHTHSFSSRQMEVIVALCDTLLPSINISNDRLLDDSLLNLYHTSASMIGIPLQVAMMMSTKTEHPKQFLVQLSLWLLSTAMGTLILCGRGSLSNQFPYVQKFSRVSSKEREAILVSWSVSYFFLLRMLFRALKFVNEKNENPSWKAIDYCGPDPDFVPQISHAFNTDDILGPLYTAVVCLNKPRQMVAEALQRSRLAISIPRHPKLTSMVIKCDVVVVGSGSGGGVVAGVLAKSGYKVLVLEKGNYRARSNLSLLEGPSMDEMYLGKGLLATSNMEAVILAGSTVGGGSAINWSASIRTPQHVLKEWSECYELELFQSGLYEEAMDVICERMGVQSEIEDEGFNNTILRKGCEELGYPVNNIPRNSPPDHYCGWCCLGCKDGRKKGTSETWLVDVVESGNGAILPGCEAVKVVHDRKKGRERSTARGVMFEFRHQDGSKEVCLVESKVTIVSCGAMCTPQLLKRSGLKNPSIGKNLHIHPVAMAWGHFPLERWPEVEKKSYKGGIMTAMSTVVADFKGSGYGAIIQTPALHPGMFSALMPWVSGSDFKNRMTKFSRTAHIFALARDKGSGELGQKSSISYQMDIIDEENLKRGLEKSLRILAAAGAEEIGTHNNKGRTINVKKVSYRELEKFVREESSRALRDLSTPICSAHQMGSCRMGVTGKGSAVNPMGETWEVEGLYVADASVFPTALGVNPMVTVQAIAYCTAQSVLESLKRMKDTGYGI >KVH89812 pep supercontig:CcrdV1:scaffold_423:230043:234281:1 gene:Ccrd_008192 transcript:KVH89812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MSGSKSVAVSGGGGGDGYRAPFTAVQWQELEHQALIYKYLVAGVPVPSDLVMPIRRSFEALSARLFHHPTLGYCSYYGKKFDPEPGRCRRTDGKKWRCSKDAYHESKYCERHMHRGRNRSRKPVESQSTSQSLSTAMSNIGTVNSTGSVKRSFQNVSNASSPSQSLYPITNSESFDFGSNASETQVDTSSYVINNKDLRYAQGLTVNLDDHNYTSGVPENARGLGMDINIDGSRRLMPSQLSTNSLLKPQNDSYLQSNSLQLNMTNTFDPLVDTTSMSKQRQQHPFFGSEIGSPGGQVKHEQSMRQFFDEWPKAKEPWSNLDATTQLS >KVH89825 pep supercontig:CcrdV1:scaffold_423:193966:197608:1 gene:Ccrd_008189 transcript:KVH89825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MTYNGLESCILNANSCEDESVASRGDECPTDSLDEDGSSCSSSNNASGSFSSQWTMMKRDEHEWEYSESSRQSIANEEPSCAVQISDMKIMKEKFAKLLLGEDSTGGRKEDRKNKWRREMEWLLAPTNYMVELVPAKQYGANGRTLEASSISLIPTRTRTKFRYFSLNELKGFLIMRPKAREDETLDAMTITEFWYEEGGCQAEGRIKSVKQSKRWWLPTPRVPVGGLSDGERKKLLNQAKLVHQIFKAAKSINEAILLEMPIPTIIGEALPKASLGDDLYRMLNTISSSAVGMLNLLNLKSEHSALDTVNRLEAAIYAWKERISERTCTKSPARTSWSLKDPSMELDKMEFLINRAEVLLQQIRVRYPNLPQTFLDVMKIQYGKDIAHAILEAYSRVLGNVAFGILSRIGDISQEDVSSDPNSPMAANSLPGVNLSGISGISVSNISTRHTLIDKMNNIEGKLGLLKAEKASYTAFLSDEPNSNSVTGTPSRSPRCCMGKEVCFTPPKMSP >KVH89814 pep supercontig:CcrdV1:scaffold_423:204618:211962:1 gene:Ccrd_008190 transcript:KVH89814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGLPKFTRPKGGHDELSSNLYVANCGPAVGLTLASIASAFSSYGIVKGVYPADESGCRVIVSYHDESSAQAALEALNRHPCPDLGGRSLHICYSVQRPLCQAVATDSVQVSLGASELNIPGIYLIHDFVSAQEEEQLLAAVDARPWHSLAKRRVQHYGYEFCYDIRNVNTQQQLGDLPSFVACILEKVPLFKKLDEAADVSLDQLTVIFIDEHVNEYPAGVGLSPHIDTHSAFEGSIYSLSLSGPCIMEFRGYSDISSASVSDRDTESSDNTSSFVRRAIYLPPRSMLLLSGEGRYAWQHYIPHHKVDMVKDTMIRRGSKRVSFTFRKVRKGPCQCSYPRFCNSRGKTRD >KVH89816 pep supercontig:CcrdV1:scaffold_423:250864:255463:-1 gene:Ccrd_008194 transcript:KVH89816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MILMASIWIQAFTGTNFDFSAYSSELKKVLQVSQVELNYLATASDLGKAFGWSSGLALVYFPLWIVMFIAAFMGFFGYGLQWLLIRRIITLPYFLVFLLCLLAGCSISWFNTVCFVLCIQNFPRSRPLAISLTVSFNGVSAALYNLAAKAINPSSYDLYLLLNASVPLFTSIAALIPILRQPPISPLHSDITRHDHFIFIVLNIFAVITGLYLLLAPSNHAKLFFSGAIVLLILPLGIPGMVYGRNWFKHAIYPKILVKGSSFVFADADDLDLCKELLDGNHDTTVVVHNDDNGIENVQDGTTRKGCWEMMIKSDRLVMLEEEHDTKRLLCRIDFWLYYFAYFCGGTIGLVYSNNLGQIAQSLGLSSSTSTLITLYSSFSFFGRLLSAAPDFLRMKLYLARTGWLAIALVPTPLALLVLSMTEAEVALQIGTSLIGLSSGFIFSAAVSITSELFGPRSVGVNHNILITNIPVGSLVYGLLSALVYDANAVSYRGSLVCMGRECYFVTFVWWGCVSVLGLFSSVLLFLRTRRAYDRFERNRACLVS >KVH89817 pep supercontig:CcrdV1:scaffold_423:90741:91074:1 gene:Ccrd_008185 transcript:KVH89817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPIVRINNRSSFKVRVKPRRLNYAGFFTAILERDDEMISIAFVWLVHGSKLAEMPVVGTREIYRRQEMCHHLLDSIEY >KVH89813 pep supercontig:CcrdV1:scaffold_423:238978:240528:1 gene:Ccrd_008193 transcript:KVH89813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MFTTTKARPNIIKKTIPPQTIATTATATAAATMALTTTKSKRPVCSIQSSLATTLFFVVLFTIPALLLLHTPPVTICNSTSTTTTTFSGDLRSAEFSWNHLSFSHQIPPPVSLKVAVFTRKWPVGTTPGGMERHAYTLYTALAARGHEIHIFTSPPNEDVSLINMKTTKSPIVHFHEGAEPGQWRYNKAWEQYEEENNRRPFDVIHTESVALPHYKARNIPNLAVSWHGIALESVSSSIYQDLLRRPDEPISPSFNKSLQVMIPKVLNEIRFFRNYQHHIAISDSCGEMLRDVYQIPSKRVHVIVNGVNEHDFREDPESGKKFRSKIGVPQNASLLLGVAGRLVKDKGHPLLYEAFAKLIENHTNVYLVVAGAGPWQQRYMELGPQVKVLGSMNPSELRGFYNGIDIFVNPTLRPQGLDLTLMEAMMAGKPVMASRFPSIKGTILVDDEFGFMFSPNVESLVETLESVVAEGPKRLLERGKACRRFATSMFTARKMALAYERLFLCIKNDTFCIYP >KVH89821 pep supercontig:CcrdV1:scaffold_423:20748:27104:-1 gene:Ccrd_008181 transcript:KVH89821 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEEEVPNMVKPDHDHSANVVYPLQTQGHSQSFLQLALYPITLKSYTSVHIHADFAIYLQFEEVVYKVKVEQKGTWCSETGSLTEKTILNGVTGVVCPGEILAMLGPSGSGKTTLLTALGGRLKGKLSGKVSYNSQPFSGSTKRRTGFVTQDDVLYPHLTVTETLLFTAMLRLPKSVSRDEKVLHVARVVAELGLTRCQNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLXLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRIYHMFDKVILLSEGSQIYYGPASTALEYFSSIGFSTSVTVNPADLLLDLANGIAPDSMHDYEQGENAEGERKSVKQQLITLGGRVQSEQWCTSWWDQFKVLLLRGLRERRYEAFNRLRIFQVISVAILAGLLWWHTPMSHIEDLFTFPQERRMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFTFILYWMGGLKPDPATFILSLLVVLYNVLVAQSLGLAIGAILMDVKQATTLASVTTLVFLIAGGYYIQQIPSFIAWLKYLSYSYYCYKLLLGVQYDKNDLYECSKGVYCHVADFPAVKSVGLDNLPMDLSILASMLIGYRLIAYFALHRVRVSSSS >KVI09576 pep supercontig:CcrdV1:scaffold_4231:18109:20593:1 gene:Ccrd_012038 transcript:KVI09576 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCREIGFISPDVGLDADHCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNNTCPWLRPDGKTQVTVEYHNDNGAMVPTRVHTVLISTQHDETVTNDQIAADLKEHVIKPVIPAQYLDDNTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVAEPLSVFVDTYKTGTIPDKDILVLIKENFDFRPGMMAINLDLKRGGNYRYQKTAAYGHFGREDPDFTWETVKILKPKA >KVI09577 pep supercontig:CcrdV1:scaffold_4231:21746:23671:-1 gene:Ccrd_012039 transcript:KVI09577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNFNYMWCKVIKKILVGALEEDHILAISILQVQIKLQLLKPQSRNY >KVI09573 pep supercontig:CcrdV1:scaffold_4231:38563:44933:-1 gene:Ccrd_012042 transcript:KVI09573 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4050 MLSSLSISGKCRELIRMSDTIDGYGTTRAYLFIVENQKKHLNWLDGLTMYLHVGVVELVDMLFNTDFRRNVVIIEASPCSLKGPLFLGMTKKADGQDKPQRPALLILRGGLVAKSAELAWHEMRRAWAGDRSQTSHSKFRQPIMSWTTSFEDLLSSGEPFPEPIPLADVVDLLVDIWIEEGFYN >KVI09572 pep supercontig:CcrdV1:scaffold_4231:45938:46309:1 gene:Ccrd_012043 transcript:KVI09572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTHNMLSSNIKGVINGFPLLIQAERVVEKQVDFNPDFLKNMFSKIDWKALADASKTMGYDELPDEVPDPSVLDSQEFLQKFHHALLELHLEEGALVCPETGRRFPVNKGIPNMLLHEDEV >KVI09575 pep supercontig:CcrdV1:scaffold_4231:24405:25924:-1 gene:Ccrd_012040 transcript:KVI09575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLREMEKSESCKPGIIHYNIIINGLCKDRRVNEAVDIYCEMIVKGILPDVVTFTCLIQGLCSLGLWEEAQGLLNEMLARGISPNVDCGLVPDVVTYSTLIHFLFKIGKFDKAITLLRTMEEVRVAPNIYLYNILIDGMCKAGKVDVGEELFRKLPASGLQPGFYTYNILINGYCKSMMIGEATSLIKEMSGNRVTPTIVTYNTLISGLCDAGRLKDALLLLDDIRNRDLVPNIVTYSTLIDSLFKNEKFDDALALLRTMEGVGVAPNIVLWNILIDGMCKVGKIDVGQELFRDLPSRGLRPNIRTYNILMNGLFKNGKLKEANHLLQEMMICGCMPDGFTFNIIIQGFLRWNETTMAVQFLRRMLDAGFPADACTSTSLVNLLATRKLDSESKEVLKKFFQLTLKAKIK >KVI09574 pep supercontig:CcrdV1:scaffold_4231:27820:36840:-1 gene:Ccrd_012041 transcript:KVI09574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAIRESDDRRLKAKYNNAIYVIQRALALYSIEEVAFSFNGGKDSTVLLHLLRAGHFLHQVEYGHSNGDLSDREFTFPIRTIYFESPSAFPEISSFTYETADSYGVQLDILRQDFKSGLEALLKAKPIRAIFLGVRIGDPTAVGQEQFSPSSSGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCGLYDQGYTSIGSIHDTVPNALLCIKDSAVGKQKFRPAYMLSDGRLERAGRARKSSLIAGRVPAVSNGLKCVEPNQSSLSFGIIEDQLGPSLCRKLHSIGWLVSQIAIVQNDVDSVAEEVERRKSMSDMVPDEEYKEYLSQLFGDKYTGDHNEMARLPEGITELLQHEKLPVPLIMCHNVIVLSATNVDELDVQWDALIDSRSTSLLTSLGPFVSKHLTTSLTDIEVAQPLSKISVEFPDIYIGCYRKSRTGPLAITFEGKDQEKVEAAMEAISLKLSSVVKQISL >KVI01512 pep supercontig:CcrdV1:scaffold_4232:36572:37753:1 gene:Ccrd_020216 transcript:KVI01512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNWDKSFREGKSHNPSFSSTLLDEIYRSIDGGDEKFGEFKLRRQKPSGGGGRSKSSSSAVEDEEIASFRRAVLVDKWMETKVNGKVSSGRRGPPTSSLPEFDRKLALDNDPLFFSSGSSSSDSSFGWYSEAEAAAKPKPSCFGPFNRPKHVKTRGTPHSGTKKQSEFYLFDDDQTNKTDHAGLIRSKTRALKIYTSLKKVKQPISPGGRLTTFLNALFTNGHAKKSKDSGLEDQTQTRTERKSKSTNVSTCSSASSFSRSCLSKNSPRSREQLNNGMRRTVRFYPVSVIVDQDSRPCGEKTICDKLPPTFQCSRIEQPKFSEFPAKYDDEKHDFNDEYNDDEDDDMASDSSSDLFELDHLTIFKKEEYFEELPVYETTHLGTNRAIASGLIC >KVI03310 pep supercontig:CcrdV1:scaffold_4234:30047:30819:1 gene:Ccrd_018395 transcript:KVI03310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKHISIDADAGRSKITNPINQKKXSKHHSCTSSFSKGLAYFCLFPRTDYQPQDXHYFQRSPFASCHLFLP >KVI03309 pep supercontig:CcrdV1:scaffold_4234:41093:42281:1 gene:Ccrd_018396 transcript:KVI03309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein SEVEEVDIQPISDLDTDWERKLPEDHEEIIKWPKDSIEWTTKKELYFLFCKGFLINNGEEWFFLAKNGKKCLFLPARALLDTSNWTFQSLPNLRFEEVALDCFNSHFTIRSEFKFQTLSPGSTYTCNFVYKITGDLDEFEEPVKVNLHFPDSDGIHYRYIYLVSPQLPVLRPYVDENTDNPPILQMPKFKGLPQLRND >KVI03307 pep supercontig:CcrdV1:scaffold_4234:1892:5658:-1 gene:Ccrd_018392 transcript:KVI03307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINGVPSKLGFYVVYNLDVKKMELKVVNGGIPITIESIHKLLGLRMGGVDILEMDEVEDSKNITANWRKQFDKKKMRPKDIMKIIQSSGDAGFNFKLNFLVLLMNLMVECNRMGCCNFGFLSRIESENVIPEIDWCKYIYGKIKTSKQRWRRDSRMCFYAGPLTYLAKRQSTEIKDRGFGLLPLRSKAESSEDVHHRYNIGEPSTLTHLSKEDHVQRILIKIAVVLSARVEAEMEIKEAMSKFPDDEEFQQYKNQLDDMFKERACNTTHDTHSSGLKDHSTAKNDGQPSMDIVVSQPSGFNENPLPKIWLSPGFIEAVDKVVENTISTSKTKRPYAAITPPKFDLGISPIKQSEPLSMVLHEEAECIERCPSVERCNVSEDAKKYQVDELLFSTPNDTTLRCHAIESLGRTTTIYVSVIDAWAILLNYEEWYRNRDSLRRYFFNTEVMVFFPLLDKGHYYLVVFNLKNPSVVVIDNIYQEVSDDDQLLQMYDFITDILKRLMIRHLNAVGHPAGRELDEIGQERLRMDWQTQNNFDDCGVFAMRHMETYMGDVRTCLSKEGKTQEIQIASLRMKYVAKLLVSNYNKKKEYALRKLRSFNQWMKQSEKS >KVI03306 pep supercontig:CcrdV1:scaffold_4234:15081:20872:1 gene:Ccrd_018393 transcript:KVI03306 gene_biotype:protein_coding transcript_biotype:protein_coding description:ParB-like nuclease MARFLLQVPNTLRNPSVFASSSSNGSFPTSSNQASQSGKGGPLIIELPLNQIRRPLMRTRTNDQQKVQDLMDSIAQIGLQVPIDVLEVDGAYYGITFVESGIVGSKVSFNRRNPSLLCDRCL >KVI03308 pep supercontig:CcrdV1:scaffold_4234:28279:29326:1 gene:Ccrd_018394 transcript:KVI03308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKRFEEVALDCFSNHFIIRGEIKFQMISLGTTYACYLVYKITNAVDEIEEPVEVRNWNLPFSFSDEISYRYIYLLSPQSPVIKIRLDDDENSHNPPISQMPKIKGLPRLRND >KVI08360 pep supercontig:CcrdV1:scaffold_4239:11864:14862:-1 gene:Ccrd_013267 transcript:KVI08360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MADKLALPLLIPSPPHTKPFFSQDFHNKKTHHQRPQPLTPLLNDLLQPNSATTQPPRHLSPIIPRKTRRIGKHNDPNKGKPWSSHLSSRGEQIFRTLINPDFQSSQIDENLLNLVGFHGNQSEFSSKSLSLDVLGLVQGLVHYKKFDLALNVFDWVKKHYKDSRKALRGSIVAVIISMLGKDGRASAASSLIRGLQKDGFVIDVYAYTSLISAYAGNGRFREAVAVFKEMEEEGCQPTKITYNVILNVYGKMGMPWNKIESVFESMKSSGVVPDSYTYNTLISCCKRGSLHEEAKRIFEEMKFTGFMPDYVTYNTLLDVYVKSRKPKEAMDVLKEMEGNGFSPSIVTYNSLISCYARDGLFDEAMELKAEMLAKGIKPDVFTYTTLFSGYEKVGKDEFAMRVFEEMISLGCKPNICTFNALIKMHGNRGRFAEMMKVVEEIRECGCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVAERDTFNTLIGAYSRCGSLDQAMTVYRSMLEANVSPDLSTYNAVLAALARGGLWEQSEKILDEMKDERCKPNECTYSSLLHAYANGKEIDRMCAFAEKIYSGEIEPHAVLLKTLVLVNSKSDLLKETNRAFLEMRERGFSHDINTLNAMVAIYGRRQMSMEASEIVYFMKESGFSPNLTTYNSLMYMHSRSSDFPKSEEILRDLMEKGIKPDVISYNTVIYAYCRNGKMKDASRVLSEMRKSGVNPDVITYNTFVASYAADELFEEAIDVVRYMIKQGCKPNESTYNSIVDWYCKFHRRDDAVLFINKLRELEPRISKDEISRLSARVAETA >KVI08361 pep supercontig:CcrdV1:scaffold_4239:29793:30534:1 gene:Ccrd_013268 transcript:KVI08361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNTMLISSVATVSADVWQSVACVHDRISSRELLDLVIVFPLQQLGRLAHCIWTCFCVPPSPTDSYHYSYAYHDVDSDTDSDSYMSFSGGEEVFEYGYSYSDSHSD >KVI11488 pep supercontig:CcrdV1:scaffold_424:225393:228266:1 gene:Ccrd_010104 transcript:KVI11488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MRKSFKDSLKALEADIQHANTLASDYPREYDGGCLQMRLSYSPCAQFFLFFAYEDGKTSMYIHERKATLREFYGVIFPSLLQLQRGITDIEDRKQKEFCASKYRRTDEMNKGKLSEIDMEREEECGICLELNTKVVLPDCNHSLCMKCYTNWCARSQSCPFCRESLKRVNSCDLWIYTCRYEVINLPAIAKENLRRLVMYIQKLPLIIVDPITISYDPRYR >KVI11485 pep supercontig:CcrdV1:scaffold_424:82657:88874:1 gene:Ccrd_010099 transcript:KVI11485 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MKNSYSISIFFICLFLLVYVSVESKSLDPYKVLGVEKDASQRQIQKAFHKLSLQYHPDKNKNKGAQEKFAEINNAYDILSDEEKRKNYDMYGDARGNPGFDGGDAQGGHSYFRSGGPGHSRFAFRPDEWQTMGGEGGSSKSYSFSFGGGSSDSSFGFDLGDVFSNFFGGGMSGGSQFGGFGNSGSGSSPKSLTSINSQLYKKEIIDKGVTWLLLSYKPTMSGIQHYESITEEVASSLKGALEVGSVNCEVDSALCKELGILPRSKPRLYVYSYKASETGSLLEYTGGGTVKDLKLFVQDHLPKFSKRVTLAQFEATFGTVETLPKAMLLSTKKDTPVIWRALSGLYRKRFIFYDTQVQDVSDPIAKKLGVDALPAVVGWLSNGEKQILKSGIPVKDTKSTIRDLSSLLDGFEKKNKKIASTQSNSDKEAVPLLTAANFDAICGEKTPVCIIGVFRSSKGREKLRTILSSVSQKSLVRRQNVASGPRDSVSYGLLDGSTQSWFLYAFDKSGFKTADRLLIAYKPRKSKFAAFAGDITSEEVERFIGSVLNGDVEFSKTRQKPTPK >KVI11492 pep supercontig:CcrdV1:scaffold_424:218556:219222:1 gene:Ccrd_010103 transcript:KVI11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVVLPPPAFYVNKSEPAADGGGTHVPSHEGGSNTAPTQSNNSGFHSTIIVLKRAFEIATTQTQVIEKRVFVDSLGC >KVI11487 pep supercontig:CcrdV1:scaffold_424:71305:75107:1 gene:Ccrd_010097 transcript:KVI11487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDSVSDLQRQQKQRDDDLGGAIDLNEDWFNDEFFDIDEDDVARLDHLLDEYDEIREVQSKMMNLNSKQKNKNVEDFGDGNENVPMGIDDGVEVLKNVHTHLINMMIFRPRHTRDMIEMHSWRK >KVI11484 pep supercontig:CcrdV1:scaffold_424:79326:82082:-1 gene:Ccrd_010098 transcript:KVI11484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYSRSPYIDSLSIHASNMARVPIQIRRSSYHDVIRVSEIQKFGHQFSFAQGCNIERVMADPLTALIHAVQVMNLLKTLVMKTLNEPANDMLEINWFYVLFTSDGKQVFSMEDSNVYMWNWNLRFINKRWFCNSSASRLTLQLCYL >KVI11491 pep supercontig:CcrdV1:scaffold_424:197638:202016:-1 gene:Ccrd_010102 transcript:KVI11491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, alpha-subunit, N-terminal domain-containing protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTLSYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAVEQDHSDDYVP >KVI11486 pep supercontig:CcrdV1:scaffold_424:8881:9526:-1 gene:Ccrd_010096 transcript:KVI11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MEVWALEGFGAAYILQEMLTYKSDHIRARQEENFSHRISCRKVIIYNFELSIPPKGRVGLFLSSILGLGTV >KVI11489 pep supercontig:CcrdV1:scaffold_424:107005:111956:-1 gene:Ccrd_010100 transcript:KVI11489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing MMEVDDEMIKIKGEEEEKRKPIVVILVGAPGSGKSTFCDHVMRVSTRPWVRVCQDTIGNGKAGTKAQCLALSNTSLKDGKSILIDRCNLDREQRADFVKLGESHQVDMHAVVLDLPAKLCISRSVKRTGHEGNLQGGKAAAIVNRMLQKKELPKLNEGFSRITFCQNENDVQAAMDTYGALSPSDSLPSGCYGEKNTDTKVQLGIMKFLKRVDAPNKVRSDKTVPGPLVEITKENHPVDASQDQQMGSESGTRPLDSPPPTLAFPSISTADFQFNIERASEIIVETVEEFINKLGNARVVLVDLSRGSKILSLVKTKAAIKNIDPKKFFTFVGDITKLRSGGGLHCNVIANAANWRLKPGGGGVNAAIYNAAGPELDAATKNRAGSLAPGKALTVPLPSTSSLFSKEGVTHVIHVLGPNMNPKRPNCLKDDYNKGTKVLREAYLSLFENFASIVKTQEKLSDSSSVKPDIHSHLDGISNCDQKVRREDGACITERNKKMKGFIGETEFKKSYSVNVKENATNSGKTGQSMNKDWGSWAQALYNIAMHPEKHRNDVIEVSDDIVAQKHVLVLSRAEGLDHLADLCEEHLPVIRRMHDVGLKWAQTFLSENKSLVFRLGYHSAPSMRQLHLHVISQDFNSKHLKNKKHWNSFNSPFFRDSVDIIQEVSEQGKATLHDDEKFMSMELRCNRCRSAHPNIPRLKSHISICRSPFPAPLLQNGRLLTKGGTC >KVI11490 pep supercontig:CcrdV1:scaffold_424:196454:200304:1 gene:Ccrd_010101 transcript:KVI11490 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MSILAKLRCITVDVTGTLMAYKGELGDYYCMAAKAIGLPCPDYKRVHDGFKLAYTDMVKKHPCFGHAEKMPNIVWWKSCVRNSFVKAGYDFDEETFEKIFRRIYSTFGSSAPYTVFPDSQPFLRWLRTQGVTVGIVSNAEYRYPDGSEWDFGVFSGLEGVEKPDPKIYKIALERAGNVAPEEVLHIGDSMRKDYVPAKSVGMHALLLDRFKTPDAENWKKSGATVLPDLVAAREWLTSEHLTC >KVI08120 pep supercontig:CcrdV1:scaffold_4241:31330:36608:1 gene:Ccrd_013510 transcript:KVI08120 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MEWVTEICAMNYLDVLKRKMLEFRGTRMVPSNATLRKELEFAMSPSRSKSKDKRAAGKELLKSSAKSAIIANTGGGIPASGYNPLLGTFHTLETAPVSGVPPVHVNGRFRDIDDADDPSGNSVGIGVEYDCVSNNGSWSGESEDPKDKAFQGPFRHEAIHGVDSDKREKIRQKNEKKHQRQKERRAQELHERCCGYLMSRKLEALAQQLVAMGFSSERATMALILNEGRVEESVAWLFEGGDDAGNQREHNNLDGGGNLKVDISQELAQIVDMEVKFKCSKQEVERAIVACEGDLDQAAENLIKLQKQEAHPGPLKTEGTGDPPTECGSGKPSVAVSQISLTSKVQSKRTSVLPIQQKLDHKDIQLNQGAKNIQLLKKVQPNSDWAKQQQQAAVAAPSIEKRWVVGGLNPSVSYSLASPLHAAPPPSKTESQYATSVGNEFKNLQLGSVREPIIMMQRPQSKHIPTTSMSSVSSSVGDWNSNGVVEPMMGMNPNGFTHLPTTTRSFSTPSYGSSMSNQLYDQFHYHQQPLLPQQQYAASSGGMLDHRSSQPVPTNHNGMWNRMVGPTPTLAAASSLGLFSGNGGGAGTSQVDWNGDWSSQFDYTNIDWSLNRLPSPVRGSWLRPNGMWLGGDVSATGRSAVRPTIVGNGNDASGGGSREWTSPFEEKDLFSLPRQFVSSPSL >KVI08121 pep supercontig:CcrdV1:scaffold_4241:38576:39752:1 gene:Ccrd_013511 transcript:KVI08121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquilin MVVRFKPDRSKVHSFESSVESFKSVFAQNCDIPAEQPRLIYKGRIHKDDQTVKSYGVEAEHTIHLVRGFVPAASINSAEDNDKDAYKDEDDSGFMILSSASGWGYLNDSAVVKATCDKERNPHLQEIIEFPSVI >KVH94902 pep supercontig:CcrdV1:scaffold_4242:8093:15812:-1 gene:Ccrd_003030 transcript:KVH94902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MRSKEKSVYLKVFIDKKKKKVMFAEAEDDLVEILFSFFMLPLGTIARLSSTHSDLQVGSVTCLYKSILNLDDKHFTSNVCKVGLVHPSNASVSVFQKLKVNLDDINPVGATVGDSDGIAVLLKNKPSFIVTDDLNVLPVLPNTSTILLNSLGLXNIDLLDERTMRFGIPEFLNLLKWSLVTNNPLTNLRHTIKLLVQKSKMIVLCAEVENFFVELLFSFLTIPLGTVKRLTMDNSSPLAINNLYGSVTRLGDGEYLKSEDVKTMLLSPEVAPNYHRVTELLPIYDPSSKPVSPSTSIISMLNTNGIPDVEAVEVSIGKQEALAILKASLTSTSVLTDCLIAFTRKRKAEPPMGSNAKSVRLKVFVDKKKKKVMFAEAGQDFVEILFSFLTLPLGKIARLLTNHEDGKNVNVGSLTSLYESVVNLDIERFSNDECKIALVNPRNSLADLCQKLKVNLNEMKPTIDDSNDDDYGDAVLIKKKGSFLITDDLNIIHVLLDKGIELFNTLGVDYIDLLDERTVFSNLLKWSLLTNNPLTNLVLGGTSDDETTRPEIKEEGLMCTSREVFYRTALQLSNDPVGCCKRLTMDNSSPMAIDNLYNSISSLGDCNYLKSEDVKTMLLSPTIAANYLRVTDFLPIYAANTRLGSFTQGQPTFIVSDDLEVSLPPSISTISKFYTLGIPV >KVI07985 pep supercontig:CcrdV1:scaffold_4243:43685:44926:1 gene:Ccrd_013649 transcript:KVI07985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEDHETLEVPRYFICPISLEIMKDPVTAITGITYDRQTIEYWLSTAEDVLCPVTKQPLPKDSDLTPNHTLRRLIQAWCVTNADHGIDRIPTPKPPLCKSLVLKLLRNLKSPELYMNSLDSLYLLVSENEKNRRCLIEAGTTGAMVSLITKLSKERLTTNIVGLRHALKILHLTCLTIPQKKLIIPKEDHEFIDSLLWVLGSDNMVEDDLVIEVQTLAILILGMVMKVVATNVLERLKFEFFKQAINVIRKRISPQAVKATLRMLIDVCPWGRNRMKIVEAGGVFELVELEIDGIERNASELLFALLADLCSCADGRAQLIKHAGGIAMVAKRMFRVSPGTDDRAVHILSLIGKFSATAEVVAEMMRVGAVSKLCIVLQVDYAPYLKKKSREILKLHSNVWNSSPCTPLYLLTR >KVH70174 pep supercontig:CcrdV1:scaffold_4246:9036:10906:1 gene:Ccrd_025597 transcript:KVH70174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MNNSVNFKRKKGIYLLQRWCDLIFLYLSVLQETHMILDRDKKKIKNLRLLKNNSFCHISSINDTSVAIFQFSILVLSERLGTKRMVGTTNIHLVCILDTRITINEALLNYYETMRLEIDPYDXSYILYNIGHIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAMICHYAIRQGDYEIAEVWFDHAVEYWKQAITLKPGNYIEAHNWLKIITHFE >KVI03234 pep supercontig:CcrdV1:scaffold_4247:17732:19463:-1 gene:Ccrd_018471 transcript:KVI03234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEDKYEKLEKVGEGTYGKVYKAKDIQTGQLVALKKTRLEMDEEGIPPTALREISLLQMLSNSIYIVRLITVEHIHHNGKPLLYLVFEYLDTDLKKFIDSHRKGPNPSPLPPSQIQSFLFQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDMWSVGCIFAEMARRQALFPGDSEFQQLLHIFRLLGTPTEEQWPGVSSLRDWHVYPRWEAQNLARAVPSLGPDGVDLLSKMLQYDPADRISAKAAMDHPYFDTLDKSQF >KVI03232 pep supercontig:CcrdV1:scaffold_4247:954:2521:1 gene:Ccrd_018469 transcript:KVI03232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEKWAETRQLLGIKRVKEIRPCTSGQTEPPAFLTHHFHLFHQRRRIWTMDTKSQLGVTEKISCESVDKLDKSPCDMETKDIPHLSSPPSMVANDGLSLSPITPDSNKENADLMSNFTSPLTLVASPPTTGFSSQPDQSESGDDSCMKVDDEIDSPCTPKEGVFDPFAPGPDKLMLAPIGMKHLEESRSFVARRLNFSSVMIKNDCEKVCESGFEDDMLLEAVYESLLEAIICKQAEDIVAAVDSSCCSSPETPPCAPRLTGTAETCPGAPLKAVKKSRNIEMSLCRKLEFEF >KVI03235 pep supercontig:CcrdV1:scaffold_4247:28895:33547:-1 gene:Ccrd_018472 transcript:KVI03235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKRGGVNVDRYRSGNSERPIDSYGDIEPPAFDLGISPSHKQVIASVNNSIVGVIQEIVRPTLAKRDPKLSFKLRSPYVTRVVMFDVTSDESEPVFMTPNEKTLTHQTMQSLATQSVVCKEVLDGWATVLNREERLRSNESPRRYFIPTDMDHIIRDQGLDVHQRYESFKKNVTSCTNNDKELISMRNIDLVFFPIVETSFFYIVVFNLKRPSIVIIDSENQDGIVDDIYGSSTVVLQDMMIMHLLREGHDAWKVYAEMNQDQIKTRWQSRENSVDCGVMLMRHMETYFIRRARNRSIWLRMWLTSVLLLAFLDFTTKQSGITPANGWKSCYEATRAFVMGPLSLNEERVKCIRASGDIFTG >KVI03233 pep supercontig:CcrdV1:scaffold_4247:16045:16683:1 gene:Ccrd_018470 transcript:KVI03233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MDVEKKDEQPLRSPHLPHDQSRKRKRRRCIIICSSVIAVILVIALILLILALTVFKAKKPVLTVNSVELQDFGVSVNPIPIQVSLNMSLALDISIENPNKVGVKYRSSSAILRYKGKDVGEVPIPAGEIGSDTTKQMNLTLTVFADRLLSDSNIYGDFLSGNLPFTTYTKIKGKVRVLFVHIHVSSTSTCDVNIDIQSRSIANQTCQYKNKI >KVH94865 pep supercontig:CcrdV1:scaffold_425:34607:35620:1 gene:Ccrd_003067 transcript:KVH94865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MAISRTFITLSFFIFLSKSLTADSNTSFSLKNFGKDSSFDSFLALYGDAKVVHGGSSLQLTAPSASSAGRVIYKRPIKIYGGIPKKLVSFSTHFSFSISNGNSLAFMVFPYGYPLDLYNYNASFGLLSVAFGMNEAQSGIDSSMFVSVKAMNLSSVNLVLNGSERMQTWIDYEAGSRRVEVRLNRFGRKRPIDPVLFTQIDLSKIWPKNEGVFVGLISSNGNSSQTCEIHYWSFKASRAPDWMHSQPLDPMVSNSIENQEHKLKVPKESDCVIKILAALIVGIGCGGLSTFFVMFMWTVFGKRRQPIVPEEFTVKSLQECEHKKLKIVLDEASANEK >KVH94856 pep supercontig:CcrdV1:scaffold_425:123327:125460:1 gene:Ccrd_003077 transcript:KVH94856 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEELEPCYWSQGFQDDLLCHLLNDDSPFLFVTPEISSDANSINNFISSIYSGPTITDIETALLASDNTTPDLSSLARISEMESRVENKYILKIKNSGNVMADDGYKWRKSYYKCTNPRCGAKKQVERSNEDPDTLIITYEGLHLHYMYPLFVFGQSENPDPPTKKFRRFNLESHKRPTEDTDKNEPSTMLRDHQKESSEVVFSPQGLLEDMVPLLIRNPIIYTTNSSNSSSSSSSHHPSPPTSPSFSWSPKY >KVH94860 pep supercontig:CcrdV1:scaffold_425:249474:251852:1 gene:Ccrd_003082 transcript:KVH94860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MTTTFLLLLLCSFIKSSASKRTNQDHLPRGSSLSIDENSQLLTSSDNTFTCGFYSFQTNAHWFAIWFTNSKDRTVVWTANRNTPVNGHGSKVTFQRDGAMVLTDVNGMVVWQTNTTSTDVNRAVLLNTGNLVLKNRKGQILWQSFDYPTDTLLPSQTLTKSKSLISASRKGSFQSGYFSLNYNSNNVLTLSYDGPEISSVYWPSPNPSFSVWDYGRTSYNSSRIAVFDGMGVFISSDRWRFSASDMGFGIKRRLTMDYDGNLRVYSLNESSGLWLITWQAIAQPCNVHGICGRNGICTHGEKLECSCPPGYEWSDPTDLTQGCMPPFNKTCGNSTSFGFLELPHTDYYGFDLNFSSPISFEACRDLCLEDCSCEAYNYRLTGEGFCFTKSALFNGYRYPYFPAVTIYLKVPKGMETQEPASILTTSLDACSDVTVMVGSPSMYESSARKVKWVYLYSFAIAIGVVEVLIIVLGWWLFYGRNALLVSLEDGYRMISSQFRGFSYQELTKATQNFKVEIGRGGSGAVYKGILEDERVVAVKRLGDVTGGGEFWAEVSTIGQINHMNLVRMWGFCLEKKHRLIVYEYVENLSLDKRLFSSSFLQWKERFKVAIGIAKGLAYLHHECLEWVIHCDVKPENILLDHAFEPKIADFGLAKLFERGGQNSEFTRVRGTKGYMAPEWAHNLPITAKVDVYSYGVVVLEMARGIRLSSMIVHDGEEEESELMRFIKVTKTMIQKENTLWIEDIIDPRLEGLFSRQQAAKLVEIGLSCVENDRNKRPTMDSIVQVLIDCEFE >KVH94863 pep supercontig:CcrdV1:scaffold_425:12551:13805:1 gene:Ccrd_003063 transcript:KVH94863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFFFSLVLFSVSAIFLQGTLGEIVCDDLPTEVCSFSIASSGKRCLLENFENNNGKTGYQCTTSEVVVERMAEYIETDECVKACGVDRNSVGISSDSLLEAQSVSKICSPACYQNCPNIVDLYFNLAAGEGVYLPELCEKQRSNPRRAMVELLSSGVATGPVSSENIHGAPAPASI >KVH94868 pep supercontig:CcrdV1:scaffold_425:15592:18062:-1 gene:Ccrd_003064 transcript:KVH94868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQTKSKRSENAVGKGKVTPVQVAFIVDRYLSDNNFVRTRSVFRSEASLLISKSPVNEAPKSLLSLGDMLDEYICLKEQKVILNQEKNRLEQEKFRVQTLLRGMQDAMNAYNVGGASASAAAPLPSPMVMPILSAGNTLASPAPSFPVYDTQVMMSTSRPSNSLSEVTNFSTPTTSQPNSKRRKSTKDVSGAPLALKRSRIQPSGNQLKPSGATKLVSQPTATSSNQETVLQITPAPSTTKSSLVQGSSVAKCLFNPSSHSPGPQTPSPSPIDKSISPVDNQTPPSSNKKTITPQQITSTNRTVITSETIQVSPMKQVSYYSIERNHCISSPLKSSAQRFTKRDHVKGRLDFDGSEMAAVSSEMLTSDENTGSPSEIEDNAFDFDLPNLDCLGVDFNLTDLLGDFDLDGDRLDYFCQPATGSSPESLSGSPDKLVDGCTGDHELLSGMSSTVTEIRSEQDMNMPGPNSRTSVTSITKCIKVFSPVKSRKTTGSDHESCGVEGRVA >KVH94855 pep supercontig:CcrdV1:scaffold_425:105496:107862:-1 gene:Ccrd_003076 transcript:KVH94855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MVTKTFLLLLFCSLIRCSTSNDTLRRGSSLSVDDDSHLITSPDNSFTCGFYSFQTNAYWFAIWFKNSKDRTVVWTANRNRPVNGRGSKVTFRKNGVMVLTDVDGMVVWETNTTSTDANYAVLLNTGNLVMKNQKGQIIWQSFDYPTDTLLPSQTLTKSKSLISASRKESVESGYFRLNYNNINVLTLNYDGPEVSSVYWPSPNPGFNVWDFGRTSSNSSKVAVFNDFGVFNSSDGWNFSASDAGFGIRRRLTMDYDGNLRIYSLNDSTALWSISWQAIAQPCDVHGICGRNGICIHGEKAQCSCPPGFEWNDPTDLTQGCNPPFKKTCGNSSRFRFLELPKTDYYGFDLNRSPPISFEACRDLCLRDCRCEAFNYRITGEGSCYAKSALFNGLRYPNFRGTTYLKVPTSMETPEFSSIFTCTDAPVVMIGSPSMYESPDKKVKWIYLYSIAIAIGVVEALLILLGWWVFFGNNALVASLEDGYRMISSQFRGFTYQELIKATNNFKVEIGRGGSGAVYKGVLEDQRMVAVKRLGDVSEGGEFWAEVSTIGKINHMNLVRMWGFCSQKQHKLVVYEYVQNLSLDKRLFSSSFLQWEQRFNVAIGIAKGLAYLHHECLEWVIHCDVKPENILLDAAFEPKIADFGLAKLSERGGQNSKFTKIRGTKGYMAPEWAHNLPITAKVDVYGYGVVVLEMVKGIRLPNVIVQGEEEESALMRFVRSTKRKILKGKELWIEEIMDPRLGGLYSRKQAAKLVEIGIACVEEDRNKRPTMGSIVQVLINCESELFYKE >KVH94850 pep supercontig:CcrdV1:scaffold_425:199184:201532:1 gene:Ccrd_003078 transcript:KVH94850 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MKMEFEGLFSQAQRQKYDCLLFDLDDTLYPVSAGLAAGVLKNIKDYMVEKLGIEVEKIPELCNLLYKNYGTTMAGIRAIGYDFDYDEYHSFVHGRLPYENLKPDPVLRTLLLSLPIRKVIFTNADETHAAKVLSKLGLEDCFEGIICFETLNPVHKNTISDDEDDIEFVGSTSSSSSSSNTNEIFDIIGHFSKSNSTAELPRTPVVCKPSEDAIEKALKIANINPQRTLFFEDSVRNIQSGKRVGLDTVLVGTSHRCKGADYALESIHNMKEAIPGVWKVENKVADGGYSSGKVVVETSVTA >KVH94866 pep supercontig:CcrdV1:scaffold_425:33429:40351:-1 gene:Ccrd_003066 transcript:KVH94866 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-tubulin MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDSDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRTMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYYEEEEEEEVRDLDKKMKKERVMKVLEMAITCDLDGLLARKGHDTGARTSSKA >KVH94853 pep supercontig:CcrdV1:scaffold_425:99614:102647:1 gene:Ccrd_003074 transcript:KVH94853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial brown fat uncoupling protein MVAGDSKSDISFAGTFASSAFAACFAEGMLGTVGTIAREEGLASLWKGIVPGLHRQCLFGGLRIGLYEPIKNLYVGDNFVGDVPLTTKILAGLTTGALAISVANPTDLVKVRLQAEGKLAAGVPRRYSGALNAYSTIVRQEGVRALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVLTHLLSGLGAGFVAVCVGSPVDVVKSRMMGDPSYKGTIDCFVKTLKNDAKKFVKSIESG >KVH94864 pep supercontig:CcrdV1:scaffold_425:3734:5033:-1 gene:Ccrd_003062 transcript:KVH94864 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAR1-like protein MASSFFFSPVLFFVSAIFLQGTLGEIVCDDLPTEVCSFSIASSGKRCLLENFENNNGKTGYQCTTSEVVVERMAEYIETDECVKACGVDRNSVGISSDSLLEAQSVSKICSPACYQNCPNIVDLYFNLAAGEGVYLPELCEKQRSNPRRAMVELLSSGVAAGPVSSENIRGAPAPASI >KVH94867 pep supercontig:CcrdV1:scaffold_425:22135:25641:1 gene:Ccrd_003065 transcript:KVH94867 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MNQDLLLPWRPCQTFLPLPFPSTLAPICTRKPTTLLIRATLQSEKTAVLTAKEKREMRNAKRDENRLYNWREEVEEKLLKKPKKEFKSWKEELNLDKLSLLGPRWWIVKVSRATGKDTVVKMMQALHRNFPDAEFKVYAAAVNEKKKLKNGSISVKPKPLYPGCVFLHCTLNRELHNFIRECDGVGGFVGQMVXNTKRQINKPRPITDADMEEIFQEVKEKQEASDKAFEEEHEVGHELEILDKRLLSLSDQKLVAAKTKGQSRKPSGILLGSTVRIVSGAFTDFTGTIKKLDKKRGMATVGFTLFGKETLADLDLCEIVEEQK >KVH94857 pep supercontig:CcrdV1:scaffold_425:237907:245337:-1 gene:Ccrd_003081 transcript:KVH94857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRSRCTPLYLLTKLRSALPGPTYEATIGNFERTHYLIDFPSPSLFAIDRLYPEIEPSAYRSEFLQKWIERMHFDLNFQLPEGSLDEPGTNDVFSKAMGKDKHGSARTYGLDVRGSDIWGVLPSRFTCYRENMLWKRAYKDVSNEVAKLKAMVLEMRGSNENISSTSSVPVTSINRPKATTGLQPLKSIINSMEIVARGRVKSLDPDELVGGEEIGPNWCE >KVH94851 pep supercontig:CcrdV1:scaffold_425:214808:217171:1 gene:Ccrd_003079 transcript:KVH94851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MTKAILLLCLVLLLIKSSSSKNTLPIGSSLSVHHHSDLITSQDNTFTCGFYGFESNAYWFAIWFTNSEDRTVVWTANRNTPVNGRGSKVTFRGDGAMVLTDVDGMVVWETNTTSTDVNRAVLLDSGNLVLMNAKGKILWQSFDYPTDTLLPSQTLTKSKILISALREGTFESGHFSLNYNSINVLTMIYDGPEISSVYWPSPDPGFNVWIYGRTSYNSSRIAVFNNLGVFNSSDRWQFSASDMGFGIKRRLTMDHDGNLRIYSLNESTRSWSISWQAIAKPCDVHGICGRNGICIQGEKQECSCPPGYEWSNTTDFTLGCNPTFKKTCGNSTSFGFLELPHTDYYGFDLNYSSPISFEACRDICLGDCSCEAFSYRLTGEGFCFAKSALFNGFTSLNFPGTIYLKVPRGMKTQSILAGSKPTCVDTTITIMVGSPSMYASLKKRVKWVYLYSFALAVGVVEALVILFGWWLFSGKNELLVNLEYGYRMISSQFRGFSYQELKKATQDFKVEIGRGGSGAVYKGTLEDERVVAVKRVGDVSGEFSAEVSTIGQINHMNLVRMWGFCSEKKHRLIVYEYVENLSLDKRLFSSSFLQWKERFKVAIGIAKGLAYLHHECLEWVIHCDVKPENILLDHAFEPKIADFGLAKLFERGGQNSEFTKVRGTKGYMAPEWAHNLPITAKVDVYSYGVVVLELAKGIRLSSIVAHEGEEEEESELTRFVKVTKRTIQQGNELCIEEIIDPRLGGLFSRHQAAKLVEIGLSCVEEDRNKRPTMDSVVQILMDCEHEM >KVH94869 pep supercontig:CcrdV1:scaffold_425:85269:86930:1 gene:Ccrd_003071 transcript:KVH94869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSIKHKGTIQVLATITVPPSSFPSQPSLALQRKPASHNPRLYSRSSCSMVTIQEINNARLYSRSSCSSRSSQRFHTPIHSLSTDSIQGDSFLYSINRSFVLKQCFRFGLILQESVFYLRLSICFFVAIRDVDVALQAVFCFSSDIINSTCCYCQKDHLTNIYTSF >KVH94854 pep supercontig:CcrdV1:scaffold_425:104282:104870:-1 gene:Ccrd_003075 transcript:KVH94854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIFYNHTNAYWFAVWFTNSKDRTVVWTANRHKPVNGRGSKMTLQRNGVMVLSNVDGTIVWETNTTSSTDANRAVLFNTGNLVLKNEKDVILWQSFDYPTDTQR >KVH94871 pep supercontig:CcrdV1:scaffold_425:92408:97008:1 gene:Ccrd_003073 transcript:KVH94871 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MKGGTGQWFTIGLVSSWYASNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIVWMKMVPLQTVRSRAQFIKISVLSLVFCASVVSGNVSLRYLPVSFTQAVGATTPFFTAVFAYIMTVKREAWLTYLTLLPVVTGVVIASGGEPSFHLFGFIMCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPVAVVILLPATLFMEENVVGITIALARKDVNIVWYLLFNSAMAYCVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYLLTVLGVILYSEAKKRTK >KVH94859 pep supercontig:CcrdV1:scaffold_425:249850:260400:-1 gene:Ccrd_003083 transcript:KVH94859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIAQVDGMDRVLISAAFLGNSVKLSVRSETNNIINMTGIDFSWFSQSINISHGGRDPSVITNPQAEVSYDNMNSPISSFCSLLGFEKAKEYQLDNQSFAKESDLFGSSIQDYQY >KVH94858 pep supercontig:CcrdV1:scaffold_425:218433:231847:-1 gene:Ccrd_003080 transcript:KVH94858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad9 MGVVKKAEWDFTGDKAIYKSRNCFKRQSERGLTFPATSAVAEKTRMELSLSGNALKTFARSITCLARIGNEVTLQASPSQEQIEQNGKTRNKPKSRFLLKTQIRFLSRVSQGHEKIEDEKQINRSICSTSAYQSITLKPSFFDVYTVAGSQVQCSVLLKAICSVLRTSLTSIDHMTVLLHDPDASKVQWTLDCNNGMKKSYWITCNVEPDVQHLSLDRRRLPSSFVVRPRDLNRLLANFQSTLQEITVIATETTALPSDAANEVGGKAVELRSYIDPSKENDSSLHTQLWIDPCEEFVQYTHSGDPVDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLEDASVSNFDATLVLATMLVSQLHDRRTSTELNAAPATRGTEDQPQERPKGNDSEHPDHTRIWSELSASATKSATGPPQERERDLNTNGYGDIQRISTMHISKAREDGRNLATNTYPAMQREHVEEAQEMDGPGTVSQRHLSNWVDADEDDEEGEDSEPYVQATPPYCEEQ >KVH94862 pep supercontig:CcrdV1:scaffold_425:39884:40315:1 gene:Ccrd_003068 transcript:KVH94862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRHTLKTVTVFGLLPDNIKNRIDQLGTFGIVTFSPVVSGTRLAKNKVVRSEDLTIGTRSETVHGTGLKIHEHSPWNEPATTCFIVIDIDPLKLEIGIALVTAGGINAVFRTNHLPELGTDLVAALASLDVKNLTHFFLKLN >KVH94861 pep supercontig:CcrdV1:scaffold_425:46458:54821:-1 gene:Ccrd_003069 transcript:KVH94861 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MSNPATTSTAGRHSTHRRRAPELMSDILVPTIDSSFENPNGCQCNGYLDYVSETTVNCLGCCTATCHHHRHHHNNYFFLRKGSAEKRIFKSLRVGKNVGRSLLVFLIVLVVLTVFLKLAYMTGSHVAKTQAEYGAGMFILRDYKRDSVEAQRVLSDIHSSMPMRVLDNYSDHPRQTQRPTDTFLFMPMICDMVAIAKIMNASLVLPSLDHQSFWTDPSMIRSFHFGSDFKDIFDWRHFMDVLRDDIEVVESLPPEYARKKPHLKAPISWSKASYYRGEMSLLLKKHKVIQFTHTDSRLVNNGLASSFQKLRCRANYQALRYSNQIQELGKKLVDRLRSKGGPYIALHLRYEKDMLAFTGCSHNLTVDEAEELRAMRYGVKHWKEKEINSRERRQQGGCPMSPREAALFLKAMGYPPNTKIYIVAGKIYGSRSMDGFRNEYSNVFSHSTLMTREELHAFQQYQNRLAAVDHIVALESDVFVYTYDGNMAKVVQGHRKFEGFRKTISPDRQQFVKLIDGLDKGVMSWDTFSSRVKTIHGERLGAPSERKAGESPRVEENFYSNPFPGCICNDKSSIDRRPPIPRLGTELGLSLGVFGAKQGKNNKTGSVEPVSPTCIFNNGVEPLSQRVYLTAVLSQLGQCIFDGNIELIVHNFKDEKSEGKLKVIKEKKHQGNVESEAENEEKKKIIESEEEKPCKGNQKDLVVAMLHGRESLLCRRKEKHLNSC >KVH94870 pep supercontig:CcrdV1:scaffold_425:87360:88537:1 gene:Ccrd_003072 transcript:KVH94870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDIYAHKDNEIGYAAKKVMMLINKLFHLCCISNALMSTSSVDLMPLSNNICAGKKNVHG >KVH94852 pep supercontig:CcrdV1:scaffold_425:72952:75258:-1 gene:Ccrd_003070 transcript:KVH94852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEFKVSSWLLLVSFCSFFFGLHPISGQCLNDQKSLLLALKNDLKFDSSLSTKLVGWNQSADCCHWGGVACDKAGHVTGIDISNESVSGGIDGSSALFGLRFLRSLNLAYNSFHSAQLPSGFGKLTLMSYLNLSNANFQGQIPGDFPLMKRLVTLDISSSPYYTLALENPDLKMLIQSLTGLRELYLDNINITTHGYHWAELISSSLPNLQVLSLKGCGLSGPLDSSLANLKYLSVIILDENTFSSDVPESFANLQNLTVLSLQACNLSGSLPKKIFQVPSLKTIDLSSNVVLNGPLPEFPENGSLQNLVLSYTVVGGTLPDSIGSLRMLSRIELRGCKFSGPLPKSMQNLTRLVYLDLSVNQFNGPIPSFQLSKNLVSVNFYQNNLTGGIPSHWEGLNRLQFLNLGYNSLSGNLPESLLTLTSLEDLELPNNRLSGQISKLTDVSSFRLRDLDLSGNKFEGPIPGFIFKLPRLSTLALATNNFAGSVDLDMFGKLEELYALDLSFNDLVVSVNANRSTFSSLSKLNSLMLASCKMQQLPDLQNQSRLMMLDLSDNQLSGEIPNSIWKVGNGYLRFLNLSHNKFSSLQKPYTFPFLLDVLDLHSNHLTGDIPIPPRRVYHLDYSSNNFGSSIPANFGNVLTSTLYFSISNSKVVGVIPESISNASSLHVLDLSQNALRGPIPSSIGNFKVLESLDLSVNMLNGSIPEQLANLTSLSFLNLSYNHLSGKIPQGSVFQALTELSFEGNRGLCGPPLKKSCDNKQMSPQVEY >KVI11514 pep supercontig:CcrdV1:scaffold_4255:40967:43285:-1 gene:Ccrd_010074 transcript:KVI11514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MGNVDVEHGGNHDFIQETVQTIVASIEEQNHTNRGRSPFIHKVHSSLRNLSARSFNPRVVSIGPLHRNKINVHAFQVEKATYLKALLNRLAELKFPQDQILGECVKKVKDSIGEIKACYQGLDTTTINDLDFVKMMVMDACFILEFVYSFSGKKLQLQAQSVSYDLVLLENQIPFFVLNDIFQCTIFKIDPCVTVIQLISELLVFVNLFKTKLRTSHISIDPMPHHILSILHRCYEPQDNIVSEFSNSAMHSVVELDRAGVNFKPNSQDTKWAMAMEVEFYRFPWYWDKPTLRMPVLNIHHFTESVLRNLIAYEQSSGDLNYITSYAMAMDMLVTEAHRRTAATCTAAHRREDGRRQAQRSGAQPHRATAHRRQPAAGRQSRGLRQARRGGSTDARHGAGGSTDARCRRGDSTVADGRFEESKSQSLDLDASRLDASRLDARYSEWKMMDSHYNHCFPKTVARFKRTYFSSPWNIVALFAGIVLFVLTVVQTFFAIKSAY >KVH69856 pep supercontig:CcrdV1:scaffold_4256:11589:18729:1 gene:Ccrd_025599 transcript:KVH69856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANILRRGFRVALSRCDHGSAVAAALGRRSCTSDALVEVKPGEVGMISGIPEEQLRRRMQASCRCYSVVNRGFVIDDNRDGYKSKSA >KVH69855 pep supercontig:CcrdV1:scaffold_4256:19302:20360:-1 gene:Ccrd_025600 transcript:KVH69855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTLNPYTSTAKTAEIMARYRPIAPRPQAPLTSNGGGVGDGDGSGSGSGSGSGSSPSSMSPTIRQSPYLRNVWPHLQSRPTRTRKRGRTSLGPPPPPTFKRPRTLLQGLSPPFHITTSPARNLSIQGFAPLPLQPTTTTTTTTTLVTLPLLPCSSPHHMQLQPNVIDLNKVADVPEERDLLAQLQKPATDVISPRPIRLVPSTVTIRAIKDDTCPVQISMKAAEEVEKELESQVLPAFVSDSNNQVRLVNSAYKEMVGQPECPWLESMITVGVACKSICGEVVLNFTDLEQERSGLGGFSCWARIEWGMNGKKKKLVNAFGEAMRLECESKDYKFAWRFHTREASEAASNV >KVH69802 pep supercontig:CcrdV1:scaffold_4257:909:19710:1 gene:Ccrd_025602 transcript:KVH69802 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MVFKILQDGAVLQYELPEILEMATLSRMDSEKGTVHEDRIFSPRVRITGDCLIRASRRGERMDEINHFMHQKHSLKFIENLEVIVRIGDDNNDKKGIVHCHGCQEPISDGSAYGCISCQYFLHKKCGELRRVINHHIHPLHPLMLVDHGDLEWTCDVCRKESLVGGFSYYCMQYFAHIKCAFNAEQSSIPSDPLGTSVAEEHVNDVLQFPMSFAFTDPLKLLHLEKLSPDDDGEAEINHWSHDHPLILNVEPRGNNITNIGCSDPIEVCHGCVRPLSLPYYSCKNGCLFTLHKYCAKLPLTLEHQLHPDHSLNLVDTYKDVNYYTCNGCFCNGNRFVYTCETCRFCLDVNCAFLPNTIKHISDKHPLIQVIDPITRCKACYKLFDGISFACKSCKFRLDVFCALWSPRFLTHRYCKGHEIPLTYPPVEDHPEDFYCDICEEEMHPKRPQYHCHKCKNSFHLKCINRIDCYANVKEEGTINVSYHKHPLTFVRRERTSIYVCFGCNLDINGHLILDDAISTSHVEEHENDCMQFPMSDAFIDPLKRLHFGKMARDEGDVIEINHWSHNHALILNIESEGNNMPNFNCSDSIEVCYGCVRPLTLPYYSCKNGCSFTLHKYCAELPLIFEHQLHLDHSLDLVNTYEDDDYYQCNGCGSYGNTFAYRCETCAFYLDVNCAFLSNTIKHESHEHPLIQVIDPNRLCKACDRWYTSISYACNACNFQLDMFCALQSPHFLSHRYCKGHEIPLTYPPVENHPEDFYCDICEKEMHPKYPLYHCLAYKNSFHLQCIRRFDRYANVKKEGKMIVSYHNHQLTLVRRKKTSQYVCYNCNFDVNGHLILECRTGTCPFRICISCHHNKME >KVH69803 pep supercontig:CcrdV1:scaffold_4257:227:5566:-1 gene:Ccrd_025601 transcript:KVH69803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEGISSIQQLKXIYEAIQREKKVNLFGSPGVGKTWMAKRSLSESIACQLSLLPIIEEWEVEDDSNGITISGGVEIAETLIEKLRKKLEGKKILLVLDDVTNDETKRKVNEEKFWLVWREMFPFHDNDEKLQIVFISRSSREDEGLFKVEVEALPRADSNSLLIEKLDARMRQSPVIRTLGENFIKKSNGLPGTVIMIAKALSYFGLEASGVSMLEKELKEASEEYHVNKLLCRMHSVLPIGVLKDLWWDGYHFFRDSGGVHYNELITYWILEGYLGFGSMTELYEKGHHIVMELTDCGLLKEQEGGRVFMDKSLLEVDDLYQCLDQIASLGLATVFTSDTEGFGRITHDDGMLKTPWTSVNGKNQEQKQSLKXGGQNLSTLLLDGTHFGEDVLMRFLQSEKELQVLALFNPNIRSLPNPLAMMDRLRVLVLRDCVFLEELNLSLKALCVLEISGARSLBELKQEFFNNMPKLQSLHLSELQITLLPESIYCLAELQWLVIKDCPYLTRVKNLAKLKHLMVLDLSGNVSLDYVDKNFLEFKNLLILNLSNTLVSTTPLLRNLEKLTHLLLRDCKNLGRLRSLTSLGSLQTLDLSGSTKFEEFHDPSLQCLTSLRTLNLSGTTLDRLPTNIANPRHLYLKNCLRLQQFSCIEPLVDLEVLDLSGSKNLNDIEDDLFDRMTCLQVLNLSETNIKVLPPLSKLSGLRELFLSRCPSLIELPSLESAIKLELDLSETKIKYLPTLSNPSYLRQLVLKNCTILQNLELNVSLLNLEVLNLAGITSLASNGAEPVKDMIKLQFLDLSGTPIEHLPSISNNLRKLLLKDCVMMEDVPDVEVNDLLAPTLRIPHKISLLCHLDYLEFPNIKKIQGVESNRVGCLLEEMNQDQWNICRISDGDKSPLFLSGTQFLQILKRSPAPQGTFHLCALPVMVEGEIGERDLRRRELVFADVYLRTGRFAQYNRSLQIRGFNHSPKGIENIISHVNLVFLIDNKFKSLLSGLSASVLNELKGCWIERCDNMISIFDEKERELGIAMENLGVSNNRRLVSIYNGKQLFGSFNNLKTLYLDSCPEVSTVFPSSWLPKTLKVKKQLNEKEEQAKEDQSFIKKNGENLVSGVVSLYQLFLNLIESRKPLVEYA >KVH69801 pep supercontig:CcrdV1:scaffold_4257:26618:36094:1 gene:Ccrd_025603 transcript:KVH69801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSSNPFGQSSNSPFGSPSVFGQASNANNNPFAPKPFGSTSPFGSQTGGSIFGGTSTGVFGTQGSSSIGSSPVXGASSAPAFGSSMPSFGASSSPAFGASSSSFGGSSVFGQKPAFGGFGSNTQSSPFGSSFQQSQPAFGSSLFGSSPFGASSQPAFGAPYSPIFGSSSTPAFGATSTPAFGATSTPAFGATSTPAFGCTSTPAFGNTGSAFGVSSSPVFGSSTPAFGASSTPAFGASSTPAFGASSTPAFGSSPTPAFGATSSPFSFGSSPAFGQSTSAFGSTPFGTATSPFGAQRGPNPAGQSSGGMGFXTNNTQSNPFSSSPAFSQLSANPFSSSTSSNPFAXKTPTFGTPGFGSTTPSLGSSPFGASASNPFGSSPSPTPSVFGSTPGFGATTXASLFGTPSSSTSIFGTTQAQGATXSFATGLNFGNTQSSTLFQSSTPSLGQTSSPFGQTASAFGQAAPAFGQSTPAFGQSNAFGGNLFSSTPSLLSTSSMGFNQTTPSLSMPFQSAQPTQNPAGGIGGTPSIFGQSNFGQMSANQSPTLALPQSITNPFGTLPAMPQMSIGRAGTAPSIQYGISSLPVVDKPAPIRISFVLTSRHLSQRRIRLPARKNHPKNYGPK >KVH69804 pep supercontig:CcrdV1:scaffold_4257:42929:44555:-1 gene:Ccrd_025605 transcript:KVH69804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDFDACTKCGLAVALKEEALIEFKHEGHPQHTLTLQSRSASFRCDACQAKDEDLFYQCDSCDFWLHKTCASLAPTIDLPHHPNHPLVLVYSLPDNFYKFWYYCEFCDKTIRKNGWLYHCANCRYFAHIKCALNAEQPSIPSNAPDTYTAEGRTNDFMQFPMSHAFTDPLKFLHSEKVSLDDDGAIEISHWSHGHPLNLSVEPRGNNMPDNGCDDPIEACNGCVRPLSLPYYTLQRWMFIYPSQILCRITAHIRTSSSPRSST >KVH69805 pep supercontig:CcrdV1:scaffold_4257:26637:35258:-1 gene:Ccrd_025604 transcript:KVH69805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLQSQNHLDSELVEPIEKALKEKATYITNKGVGEDPNAGVLEAPNAGVLEAPNAGVLEAPKAGVLDPKTGELETPNAEPVLPNAGVDVQPKAGVLVAPNAGVLVAPKAGVLVAPKAGVLEDPNIGLRSYLIGDEDRGSVSNRGIASRKEMDIERRLGEARSVNRSHCKG >KVH96397 pep supercontig:CcrdV1:scaffold_426:97445:110965:1 gene:Ccrd_001514 transcript:KVH96397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITLTYVPLRNPLLLSVSPNISSLSINVPRRRPPQQHYCQCIIDSNSSTNAITTGAGDDIFSVNTSSNECDFDYLGQSTKGDLNLKYGINGQADIAWRGPIEEVAKIEAKEAEGLLNDLGIQDPSSSRHSPRGIFCTRTLNLRSISAIGYDMDYTLMHYNVMAWEGRAYDYCMENLKKVGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTTLLSTQAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVAYMQMVDRFDEGIISPDLGVAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYIYTDKMMRHSFNRFLPNDMNWRDLFEMVIVSARKPEFFQMSHPLYEVVTNEGLMRPCFKARPGGLYSGGSAQMVENSLGIHGDEILYVGDHIYTDVSVSKVHLRWRTALICRELEEEYNALIHSREHRARLVELINQKEVVGDLFNQLRLALQRRNKSRPAQTLAATHMDDKELTESVQKLLIVMQRLDEKIAPMLEEDGEHFSKRWGYLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSYSFYQS >KVH96401 pep supercontig:CcrdV1:scaffold_426:210078:218265:1 gene:Ccrd_001510 transcript:KVH96401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MANPDLQMNPQMEQIHGEIRDNFRALANGFQKLDKIKDSTRQSKQLEDLTGKMRECKRLIKDFDREIKEEESKNPPEVSKKLNDEKQSMIKELNSYVALRKTYQSTLGNKRVELFDMGAGGSEPMADDNTQVASEMSNQELVQAGMKTMDETDQAIARSQQVVQQTVEVGTQTAANLKGQTDQMGRIVNELDTIQFSIKKASQLVKEIGRQIVNPHNKDIRDIPGLAPPAPAAARRLLAVAQGHF >KVH96405 pep supercontig:CcrdV1:scaffold_426:31703:32031:1 gene:Ccrd_001518 transcript:KVH96405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVWKCLVTRHHIGLFFQGCCAKQVRKSGTGLMLDGHEKSLEVSVKQPRSSPAKQKTLDSFVKRCNSLNSEQQSDPKHQRH >KVH96403 pep supercontig:CcrdV1:scaffold_426:187061:190878:1 gene:Ccrd_001512 transcript:KVH96403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 MAAVSRGLISYLRSLSLNPHRPLSTSITSQFLRSFSSDALVYEGENYTSNRIIEAKSRVMTPSSKRTGVIAVKCGMTALWDKWGARVPITVLWLDENIVSQVKTPEKEGITALQIGCGHKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPIGTQIGVRHFVPGQFVDVTGITKGKGFQGAMKRWGFKGGPASHGASLSHRSPGSTGQRDAPGKVFKGKKMPGRMGGKQRTVKNVWVYKIDPARNLMWVRGQVPGNEGNFVFIKDAWYKKPDISLLPFPTYFSTEDEDVTSLEPLVADLGEADPFMAAD >KVH96395 pep supercontig:CcrdV1:scaffold_426:219457:225440:-1 gene:Ccrd_001509 transcript:KVH96395 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MINKSLFLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMGFSGAVSFLLVRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATLIMAVLCGTDKLRWDVFLNMLLVSVGVVISSYGEIHFNIVGTLYQVTGIFAEALRLVLTQVLLQKKGLNLNPITSLYYIAPCSFAFLFVPWYFLEKTGMEVSQIQFNFWIFFSNAVCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESAITGLNIIGYAIALCGVVMYNYIKVKDVKASQTPADSIPERMTKDWRLEKKSSNNIYAAAGGDNNNDDFDTSSGGKVSDMNVDEEVPLMASSRLSHIGRSQLSSRDA >KVH96398 pep supercontig:CcrdV1:scaffold_426:36133:37360:-1 gene:Ccrd_001517 transcript:KVH96398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKITLQSNCERHKFDSIPQTLSISPSSLFVSVSRLSIKFESMEVAGVVFRQAPCFSSNSSVNFRSFSPKQQWSRKSVIALAGCDEFSDKSHLQYYDYNGGPRMSMKEKEMKKQLKLVKGLSKNLARFGDMGFGLNLNVNDGLDEQVRGKMISEATEVLVGQLQKLKAEKMELKRRKKEEKAKKKAAKMMECKSKKESSSSSSSSESECEKLALSQLLASPSAIETATTTVIESPSSMVEEVENNMNMKMQSKRVGDKIEVCMGGKCKKSGAAVLLENFQKAVGGGEAAALVVGCKCMGKCRDGPNVKVGNGNGNPLCIGVGLEDVDSIVNDFLFGQNTMGAPAPALAL >KVH96402 pep supercontig:CcrdV1:scaffold_426:171113:174011:1 gene:Ccrd_001513 transcript:KVH96402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated domain 1 (KA1) MVLVGRKNKSGGEEGMQLQLGKYEIGRTLGEGNFGKVKYAKDIQTGHPFAVKILEKTRIKNLNFSDQIKREIGTLKILKHPNVVRLHEVLASKTKIYMILEYVNGGELFEAIASKGKLKESDGRKLFQQLIDGVSYCHDKGVYHRDLKDDGLLHTTCGSPNYVAPEILSNRGYDGATSDTWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDVQMPKWLPPGAKTLIKRILDPNPKTRITIAEIKANEWFKQDYTPAIVEEEEEDVLIDDEVLSLHEAPTDSEKETESPTHINAFELIGMSSCLDLSGFFEKESLSESGCFQDVSERKVRFTSTRSPRNLLERIENTVSEMGFGVQKRNGKLKVLEDQKGQRKSCNLSVTAEVFEINPCLYVVELRKTSGESSVYRQLCDKVLKDLGVSTSQEHLAAKLLEASS >KVH96396 pep supercontig:CcrdV1:scaffold_426:75514:75735:-1 gene:Ccrd_001515 transcript:KVH96396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVSSINYPNLCCMLSDYGFEGHQLQKDLPLNGYVEVRYNDLEKRVVSEPNEMTQEFRYFDSASSWEHRSNG >KVH96404 pep supercontig:CcrdV1:scaffold_426:1597:31691:1 gene:Ccrd_001519 transcript:KVH96404 gene_biotype:protein_coding transcript_biotype:protein_coding description:HARP domain-containing protein METDDWEFSAEELEFLERDALNRIAQRNATVTTHYNRSPSPLVDKVNSLPTASGNLTAGTTRNLSGDDCSKTRQKVSVKFFLHASGYIAAKCVYDQVLVGALRKIPKATWNAKERLWMFPLLSLSSAEKVIGELNDRYDLMPDSIESKLLPFQREGVRFVLQHGGRVLLADEMGLGKTLQAIAVASCVRDSWPVLVLTPSSLRLQWASVKCEEYAHHALTSYSSRFTQMIQQWLGISSSDILVVLSNASGSNKGGFTIMRPNTKGSIRLDGVFNIVSYDAVDKLQGVLMNSDFKVVIADESHYLKNAQAKRTSASLPILQKAHYTMLLTGTPALSRPIELYKQLEALYPNVYKSVHEYGNRYCQGGVFGMYQGASNHEELHNLMKATVMIRRLKKDVLTELPVKRRQQVFLDLADKDMKQINALFRELELVKSKIKASNSKEEAESLKFTEKNIINKIYTESAAAKIPAVLDYLGTVIEAGCKFIVFAHHQPMIDSIHQFLLRKKVGCIRIDGGTPAGSRQSLVTDFQDKDAIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQASSVNVYYLLANDTVDDIIWYVEI >KVH96399 pep supercontig:CcrdV1:scaffold_426:58828:62042:-1 gene:Ccrd_001516 transcript:KVH96399 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase KSTSPRLFAPEKSRQNWGENHHHRKGFHFIPSRMEMETKTHQQLPSFCSSFPNFGIQTPSTNHMYVIIALPANNNKISATSPFPSQIIDHVDRKRKTQGHYEKKKKKKIHASTKQDEHLETEHKLVNIGQKEEMKGLVTCLNQHSYDNKTQLNFKKEQHLGTENPLLHSGTLQHKDEIPNSVMKTITPYLNHDCNDIARKKTLQTNATKDKGPLGTENTSEHLDIASVTDTKNGVPNNRGKDLKINDTVTPSIIQGSDANNNKTMTKTLIDARKEEVYLATGNNLQHFRKPYRKNEVPNKVEEKSKRIKGSEVKETIPPPNKKKKKTLQSSAKKEDVHIGTNNGLQYYQHKDEIPNGVDEMTTPLNQSCNANRKKNKKKRIQFSATKQEDDNLQYFREVKQEDKVSKKKNKKRKDLDDDNTTAFCLDKVAHNIPCIMSKHDLDDPFSQFVYKDDDYHSISNKTGRGGTLCHSNSHVNEKISPSTLKVPPYFQKAVNEKEGSIVDQKMSHFTVKVSPYFQKAVKLKEGAVGDPKMSPSTVKVLPSTVKVSPYFEKALKEKEDSVGSQNMPPSTVKLSPYFQEVVKGKEASVGYQKMSTVKVSPYFQKALKEKEGSVGCFKKGRAKSKLLRTKAMKEENEKTKKKSKKKDPSENLTAAQKRDEAYKKKTPDNTWKPPRSYHNLIQEDHFHDTWRVVTICVLLNQTQGVQVKRVISDFFSLCPDAKTATEVPAEIMQKVIQPLGLQRKRTKIIQTLSEQYIDDEWTHVTQLHGVGKYAADAYAIFCTGHWKRVRPKDHMLNRYWEFLHENI >KVH96400 pep supercontig:CcrdV1:scaffold_426:191256:193476:-1 gene:Ccrd_001511 transcript:KVH96400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain alpha-ketoacid dehydrogenase kinase/Pyruvate dehydrogenase kinase, N-terminal MATKKLYENFSKSLIDEVHKWGAMKQTGVSLRYMLDFGSVPSDRNLIIAAQFLHKELPIRIARRAIELQSLPYGLSEKPAVLKVRDWYLDSFRDLRSFPEIKDITDERDFTQMIKLIKVRHNNVVPTMALGVKQLKKGLDPKVEDEGLDEIHQFLDRFYMSRIGIRMLIDVKTCFCAFKFGSNSGQHVAVHDPNPPPNCIGYIHAKMSPMQVAKDASEDARSICLREYGSAPDINIYGDPSFAFPYVPTHLHMMVFELVKNSLRAVQERYMDSEKVPPPIRIIVADGAEDVTIKACVSDEGGGIARSGLPKIFTYLYSTANNPLYEQSNLGAVDTVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >KVI08105 pep supercontig:CcrdV1:scaffold_4260:30157:45933:-1 gene:Ccrd_013527 transcript:KVI08105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MISVTPSLKEDIKKPTTSNSRLPKRPVRAMFGVGDVVLPEKLYGDFVTLVMNKYASNVVEKETARGLAYLHEGMDFQIIFRDFKSSNILLDGQWNANLSDFGLAQLGPKEGLTHLNYEETKHYTKLG >KVI11163 pep supercontig:CcrdV1:scaffold_4262:12769:20210:-1 gene:Ccrd_010429 transcript:KVI11163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSQEDFIVAYNQTLEVLISPQLLQSPSNFSEGDGKLYIFLKLVMKGLFAKLYERDIRCANILVDVSGSVTLADFGLAKVSKEQGTQPTGIP >KVH69088 pep supercontig:CcrdV1:scaffold_4263:11440:18510:-1 gene:Ccrd_025607 transcript:KVH69088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MSVILRVLPDIAIRSRHLSRQSLPLFRVNRLILKPLLRKSFSSVSASTTEPVVSNFPATGKEQPQXSLPGSVQETATLEWVNRNGLCGELSEDDVGRRVRLCGWVALHRGHGGLTFINLRDHSGIVQVTTLPNEFPDAHSMVNDLRIEYVVSVEGVVRLRPSDXINKNMKTGIIEVTAELVQVLNSVRAKLPFLVTSAEDAKDSIKEEIRLRYRCLDLRRPQMNSNIMLRHQVVKLMRRYLEDVHGFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEDMLRLNEDLIRKVFLDIKGVELPXPFPRLTYAEAISRYGSDRPDTRFDLQLKDVSDIFSDSSFKVFADALSSGGXIKVICVPSGAKAFSNTALKKGQLEGISALTSSLDPTKKELFLKRCEAGSGDLILFAVGFPASVNKTLDRLRLYIANMMGMVDHALHHPFTAPNPEDMNDLASARALAYDMVYNGVEIGGGSLRIYRRDVQQKVLEIIGIPLEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLVMLLSGSSSIRDVIAFPKTTTAQCALTRSPSEVDPEQLRDLSYRLIR >KVH69086 pep supercontig:CcrdV1:scaffold_4263:22350:27324:1 gene:Ccrd_025608 transcript:KVH69086 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase assembly protein CtaG/Cox11 MSLARLYARSSHFSSLCKTLNHTPVRSRCLLEVGQRIYNSVGASSSRHGQCCSEFRNFTSGSELSXKSFNKISHLGVGYLKRPYVLGSHHQYTTNVVREQKSRKILYYLTGLVFAMVGCTYASVXLYRRFCQATGYGGTVQRREFNADVSDGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGMSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGIDNIILSYTFFKIASVNLSYGHAPVVEDSGLWRPRRPSIPPQSVVEYNPLFFLAQTSEGLLEMQHKRHDI >KVH69089 pep supercontig:CcrdV1:scaffold_4263:3182:5559:1 gene:Ccrd_025606 transcript:KVH69089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPSLTPIADLTIHKIPTFLRQFLQTNPAFCSSSTSSQFPRKLLGSKLVSRRKASVCSNSLKQETVQNHTATQTPLTSSNKLVLVIGGTGGVVTLRLKEYSPHVSEIDTALMFCCNTTSVINNTTIRGEIEFFLRNFGKLTRKSSHEELDS >KVH69085 pep supercontig:CcrdV1:scaffold_4263:28645:37411:1 gene:Ccrd_025609 transcript:KVH69085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHILKSGLYPFYASQSSSRRKERLICHDSRRIINFRSKVPINTRFMVSCKVQDADDNESKGTGEEPTESLFMKELKRRGMTPTSLLEESWSTSKDENRIYKEEDGGFSNRNAVSTDLEKSLSNQRERSMALNSEGLEGLIPRAKVLLTLGGTYFLAFWPLILVTVASFSAVYVYFGPKFVHDASTRQVYLPQYVDPYALLEDQRISETAPRLN >KVH69087 pep supercontig:CcrdV1:scaffold_4263:36981:40848:-1 gene:Ccrd_025610 transcript:KVH69087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MRNGLSSSLSVTERGYLPSEKFDDDGRIKRTGTYMSATAHIITAVIGSGVLSLAWCFAQLGWIAGSITLVLFSVITMFNSILLTDCYRSPDPVTGTRNYTYMDAVKSNLGTLQYKLCGVAQYGVLTGITIGYTTTTAISMATGNGIGKTSLTGVPVGKDFSGMEKMWKTFSALGDVAFAYSFCFDTLKSSPPENKQMKKATATGIMASTVFYMLCGVLGYAAFGNDAPGNFLTGFGFYDPFWLIDIANICIVIHLLGAYQVLAQPLFGFVESSARKKWPQNKLVTKELSLCGIDINMFRLVWRTTYVIVTTIIAMIFPFFNDFVGLLGAGTFWPLSVYFPIEMYISQAKIPKYSFRWIWMQILSLACLIVSLVAAVGSTRGLITSVQSFKPFESVS >KVH68933 pep supercontig:CcrdV1:scaffold_4264:11774:12950:1 gene:Ccrd_025611 transcript:KVH68933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFNSLYTMGKNITLINLSSLLDRRNPNSSSLSMRKTQLILRPSSSSKTLHALQRMPKKKLTKILEDRWWHIIFKIYIKIPSLFFVVVWIRIL >KVH92343 pep supercontig:CcrdV1:scaffold_427:43146:52227:1 gene:Ccrd_005618 transcript:KVH92343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MAKQSTGLSTLCFHKKRWLLLLLAMLSISTAVAFIIRAAHDSSCDRQHFDAPGLQSTEKPKETAPPLIGVTSPLSFMKSKRVLLVSHELSLSGGPLLLMELAFLLRGVGAEVCWITNQKPSGTDEVIYNLENKMLDRGVQVFSAKGQEAIDTALKADLVVLNTAVAGKWLDAVLNKDVPRVLPKVLWWIHEMRGHYFKLDYVKHLPFVAGSMIDSHVTAEYWENRTQERLKIKMPKTYVVHLGNSKELMDVAEDNVAKRVLREHVRESLGVRNDDILFAAINSVSRGKGQDLFLRSFYESLELIQEKKLQVPSIHAVIVGSDMSVQTKFETELRDFVAQKKIQHRVHFVNKTLTVAPYLAAIDVLVQNSQGRGECFGRISIEAMAFQLPVLGTSAGGTAEIVVNESTGFLHPAGKEGVGPLARNMVKLATHVEKRLTMGKRGYERVKQMFLERHMADRIAAVLKEILQKGHQ >KVH92344 pep supercontig:CcrdV1:scaffold_427:62634:67411:-1 gene:Ccrd_005619 transcript:KVH92344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2C-binding protein MTASELPATKNPRKWRFTWESNSHVPIIRLYLFNPNIKPSSQCTHLKVDALCDKSLIKVTWLQTNEAGSAAMESLWVPIPRVLIDVDSPINLRALDDHIELKFVLILPVDHPIVSYFAFEASEEKALEEDEFQPLQLDSDLKKLASCGEVHFYCRGCSTKLTGAVRFFKEMPSVNWREAADNWFGTCCCSFGGVSEKLVAKYADSYACSSGVCLLDATSVVICKDDFVGYKFPDSVEGQEHKSVKFSGNNSLTKAVPGNGGTDVLNVDHDSQNEGIHNFDIKPNCSYPEDGDLTARLGAEGSEELLDGNNSHVMFQKLRLIEDLERTFGSSSDARNNTKDHDIDCCHLHTNEEQTSSTSEFQSNNACLLNGLLGNSFMVTSPYLSKDIKWSETRSPMLQIVLLNPNSWCSFGDCMDVTVPIPKVNMYPMIKVLFSDCSNSNESQLRKLDQWVTKNQVGDVYMLTSKALTESLELANSMLPPSHAYLQGLSLSFLRR >KVH92346 pep supercontig:CcrdV1:scaffold_427:76967:78349:-1 gene:Ccrd_005622 transcript:KVH92346 gene_biotype:protein_coding transcript_biotype:protein_coding description:18S rRNA dimethylase DIM1 MLQCPKSSVNRLSVHHLLQLSAQFHQVRAKSGRPPFKNRYDNKDDNGDGYTRKRNENQEDRLQLYKSRGQHLLTNPRILDSIVRSSGVGPGETVLEIGPGTGNLTLKLLEVAKKVIAVEVDKRMVEVLNKRVSERGFGDKLTIICEDALKTDFPDFDLVVANIPYGISSPLIAKLVFGGYQFRNATLLLQKEFANRLLANPGDSEFNRLAVNVKLVAEIDHIMNVSKRDFVPIPKVDSSVVKIRLRSEVPNLDLNEWWAFTKTCFGKKNKTLGATFRQKKKVAELLNLSKLTSLDENIEKHDSCNRSDDEEDEWEDSGTGVKSFKEKVVGILRNAGLEDKRPSKLSNEELMHLLSLFNEAGICFHDESRPVDINASFAAS >KVH92339 pep supercontig:CcrdV1:scaffold_427:31868:33129:-1 gene:Ccrd_005616 transcript:KVH92339 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAQTATMTMVTVVLMALTPICFSKITNGGYLYPQFYDQSCPQANDIVHSIVARAVANEPRMAASLLRLHFHDCFVKGCDASILLDNGGSRSVISEKGSVPNSNSVRGFEVIDQIKAALETACPQTVSFPVGRRDSLGASLRGSNHNIPAPNNTFQTILTKFKLMGLDIIDLVALSGSHTIGNARCTSFRQRLYSQKGYEQTDQLFAARLRANCPQSGGDQNLFFLDSGSPMKFDNGYYKNLMDSKGVLSSDQILFAENKETMEVVKEYAANEEMFFQQFAKSMVKMGNISPLTGYRGQIRKDCRNVIG >KVH92338 pep supercontig:CcrdV1:scaffold_427:13561:19507:1 gene:Ccrd_005613 transcript:KVH92338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MGNHKDFLQVFCIGTADTKFEELLFLSQSVRSNLTSFSPTSYSKVQVIVVDVSVGLKEINSFGDFKFVSRKELFTCYSESENRLETQIPDARGEALAVMNKALTVYLKKAHQRGSVAGLVGLGGSGGTSVLSSAFRSLPLGIPKIIISTVASGQTEPYIGTSDLILFPSVVDICGINNVSRVILSNAGAAFAGMVSGRLVDSKESSSGNDQFTVGLTMFGVTTPCVNAVKERLNKEGYETLIFHATGVGGRAMEELVRGGFIQGVLDITTTEVADHVVGGVMACDESRFDAIIEKKIPFVLSVGALDMVNFGAKDTIPTNFQQRKIWEHNEQVSVMRTTVEENKKFAAFIARKLNKSSSKIHRKPKVSSQQQRVIEPEQGLQRNKDDPNMPTESYTTISYNLTNFPDAKPETLQRTQAVLEMLRDQISQGKPIIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAIVVDMANEVLPVVKEVAVLAGVCGTDPFRRMDHFLKQLESIGFCGVQNFPTVGLFDGNFRQNLEETGMGYGLEVEMINKAHKMGLLTTPYAFNEDEAIEMTKAGADIIVAHMGLTTSGSIGAKTAVSLEESVNRVQAIADAARPISGPTEAEFILKNTKGVHGFYGASSLERLPVEQAIKGTVEQYKSICLE >KVH92349 pep supercontig:CcrdV1:scaffold_427:124819:127952:-1 gene:Ccrd_005624 transcript:KVH92349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF778 MESDTEPKQLMMEHTAPNSMQIDPTRARFPCCIVWTPLPVISWLLPFVGHVGIGREDGVILDFAGPNFVSVDNFTFGSVARYIQISKEKCSTTRDPFTTYRSEEEFKLVESGKSQYTWDDALKKTTQEYQHQTYSILTCNCHSFVANHLNRLESQASGWNVVNVAALILFKGQWVNTPSMVRSYLSFMVVFFMGITFGGAHFLTFLAFVVFLLFGWYLCGTYYFKNIIQL >KVH92350 pep supercontig:CcrdV1:scaffold_427:206527:208203:-1 gene:Ccrd_005626 transcript:KVH92350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLGSATYHSQQDPNTNLPSNPTSNSNPTESSSWFLYSTTRNTHDRPTTTATTTTKDFELWQQPHADGVHNLLTGGAVAMGGGGGDGRLINFSDESTWGSAAFVMMGHGVSGGGSGGGGVSCQDCGNQAKKDCPHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQYISATQQDQSRRRPHPTTKRTRSSQDHDNPNMVHPNAIAYNSSSGLEVGNFPSEVTTPANFRCVRVSSIDETEDQFAYQAAVNIGGHMFKGILYDQGPEGQYMGGDTSSGGSAGIPQLNLTTASPGNSTAAATFIGHSLYPSLGGNDYMTSTQFFHQISRD >KVH92342 pep supercontig:CcrdV1:scaffold_427:30468:32721:1 gene:Ccrd_005615 transcript:KVH92342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTSRLNPIKNKTKETIPIGENTSEEMKRFKVHVYNLLQPFTISQSIHCRISSGFHCPEKTGSDHHRIVGSWLAVEQQTTGRSARSPFGCIVVVGSWCIERFQWCGFLKSTQCKNQDQAFKDYITRKCYEIDNVKTHEFKFGEDGLESVVWSWDIVETVWGQAVSSAALI >KVH92340 pep supercontig:CcrdV1:scaffold_427:32725:42650:1 gene:Ccrd_005617 transcript:KVH92340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNPRTELLLGTDPFSLITLRLPPLSNKIDASHPSIVKMESEQGSSHARLVGNCSCNDGVNDVVGLWARLIIELWVQRGNNTTRGGRGGRQQSNRNSRGGRGSRGGRSGNHQQRQQPQWGPPPPWASYPWAPPPWSNIGFHKQ >KVH92345 pep supercontig:CcrdV1:scaffold_427:111288:118056:1 gene:Ccrd_005623 transcript:KVH92345 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin domain-containing protein METNSVSKWVLLFLIVIQMGVQVIEGSVTYDSKAIVINGQRRILISGSIHYPRSTPEMWEDLIMKAKDGGLDVIETYVFWNVHEPSQGNYNFEGRYDLVRFLKTVQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKREMKGFTEKIVNMMKSEKLFESEGGPIILSQIENEYGSIGKSLGGAGHNYMTWAANLAVGLGTGVPWVMCKQDDAPDPIFGGPIHERPVQDLAFGVARFIQKGGSFFNYYMYHGGTNFGRSAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHKAIKQCEPALVSADPTITSLGNLQQAHVFSSKSGHCAAFLANYNTNNAAKVMFNNMHYTLPPWSISILPDCSNVVFNTAKVGVQSTQMEMLPTNAELFSWETYNEDTTTVDDSSTFTTSGLLEQVNVTRDNSDYLWYTTKWGASQTLGAINGPCSSRVRLLEHEKIGELRTKKTSISGPEITKYHYLALPWDCRTSAGIMKHGKRASWVQSHFMVGLKGEAMDVISTNRMSSVEWLQGSLIAQKQQPLTWHKASFNAPAGDEPLALDMTSMGKGQVWINGQSIGRYWTAYATELGGDPTRISLVKRSMTTVCADMFEYHPNIKDWHIESYGKTQELRRPKVHLHCGPGLTISAIKFASFGTPLGTCGTFQQGTCHSPASYDVKCIGKETCAVTIANSNFGQDPCPNKLKRLTVEAVCAPNSRG >KVH92347 pep supercontig:CcrdV1:scaffold_427:70791:74852:-1 gene:Ccrd_005621 transcript:KVH92347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein VLSKIASNRLQKELTEWQINPPSGFTHKVTDNLQRLIMNGAQGTIYANEKYQLQVDFPENYPMEAPQYVFVIDACGHAFLAYNLFDGTTQVIFLHPAPLHPHIYSNGHICLDLIGTFNFVDILYDSWSPAMTVGSICISILSMLSSSTAKERPEDNDRYVKNCRNGRSPKETRWWFHDDKV >KVH92341 pep supercontig:CcrdV1:scaffold_427:22346:27797:1 gene:Ccrd_005614 transcript:KVH92341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MAMDPDAVKSTMQNLAFGNVMAAAARNYQKEVLAQEKAQTSSSVNEEVDLDELMDDPELEKLHADRIAALKREAEKRQSLTKKGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKSLATSHLDAKFVRLDAENAPFFVTKLGIKTLPCVVLFRLVGFQDLGGKDDFSTRKLEALLIKKGIIEETKKDDDEEGEYDESRRRTVRSSANHDSDSE >KVH92348 pep supercontig:CcrdV1:scaffold_427:141887:163210:1 gene:Ccrd_005625 transcript:KVH92348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MARFVENPLNVVEIPDSDDEGGDRPSGPEVQNNATIESDDRKLENRSFWKAGAFEIGPTKWNPSQGELEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEIAKIMSLWHWMCLYVSQINNGATFVKVDRIYSKRDNSPALLFLDDGGGMDPEGIRKCMSLGYSTKKTNSTIGQYGNGFKTSTMRLGADVIVFSCASRNGRETQSVGLLSYTFLRRTGQDDVIVPMIDFDVSKHWAEPIVYSSLDDWSANLKTILEWSPFASKEDLLQQDIKLRDEASRLSKVSKKAAETQAHISYRILHSLRAYASILYLRKFKNFKIFLRGKLVEQYSIAEDLNYREVVTYRPQVSSMKEASVETTLGFIKEAPAIGINGFNVYHKNRLIRPFWKVTSDGHSKGYGIVGVLEANFIEPAHDKQDFERSRHHCHLIGHKPDPGLLRKMQKVSAMPLHAQGERVNQTGAGLAANPRLDFSGSQMGSHGRTIYNNDTPILQAPPGFETGFDQDVAYGDGSRDIDQVCDENIQLFMRCEEYMQKENELKTTVEDLEKQVMETKRKCAEISSRLELLRRQKAFTYVPSNIQEKVKR >KVI11168 pep supercontig:CcrdV1:scaffold_4272:38364:38657:-1 gene:Ccrd_010424 transcript:KVI11168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIFRSDGVHPYSTITGVEKRQLFLRSYQFSRKQTAGQKMKRSLFRVKRIIWLKLRSVKRIHRMIWNRLRHGFFIRFRRKRFIRLNHNNTSLCNFW >KVH99851 pep supercontig:CcrdV1:scaffold_4273:45589:47736:1 gene:Ccrd_021911 transcript:KVH99851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAERNAVIQQHAAIPTPPPPPPTSRVFDLPCQDLLADDRRRDFINICVPLCKASIKGDWKAANRILDQHKEDMALVRYSITENCETALHVAASAQSANFMVNLVKKMKREDLQLQTKTGDTALCLAAAAGNVKIADIMVTKNENLLMIPGSEGLMPLSVAAFYGNHDMVEYLNHKELLAQSESILGVLARNPSAFNKCDPHTLWRIIKSSMIICWKVEPAVESEAMQLLREIWTNIVERPKXEVDKILRGPGTLLNXKLTYSSPILFVAAEMGNTEFVVELIRKYPDLIWKKNDNNQSIFHIAVSHRRESIYNLLHEIGSMKDLITRLRDTEQNNMLHLVGKNAHNHQHHNVSGVAFQMQRELQWFKEVKAMIPPYYREEQNKAGLTPYELFTENHKLLVSQGEKWMKDTASQCMVVAALIAXVVFAVAFTIPGGXNQNNGLPVFLWKGPFIVFVVLDAISLILSSTSILVFLSILTSRYAQQDFLESLPKKLLIGLTTLFLS >KVH99852 pep supercontig:CcrdV1:scaffold_4273:41250:41627:1 gene:Ccrd_021910 transcript:KVH99852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MSSKGHLMVNDENKKMKRMISNRESARRSRMKKEKHMKDLNDQIFYFSKKKQEMVLNIERITTGHAATEMDNMVLRSQKQELEKRLEYAKSVCSRYGDVVQEPWVRPWEPSNSMRVMSSAGFSNF >KVH99848 pep supercontig:CcrdV1:scaffold_4273:4752:16773:1 gene:Ccrd_021907 transcript:KVH99848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MEPTLQAGLVGSEIHGFKTMKDLDIPNMMEEAKTRWLRPNEIHAVLYNHKYFNISVKPVNLPPSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNNERIHVYYAHGEDRTTLVRRCYWLLDKKLEHIVLVHYRDTQELQGSPVTPVDSNSSSDLPTSSNQSEEIDGVDQVYFTEPNETVINHKMRIHEINTLEWDELVIPNDSNKLLTSEGGNALFDHPNQYGLNGTIDDSNSSLGHKLAMENPLATFCHEGNSVGNNIDLLKVGMEDARVANVATGVLDMMGKEGLPSQDSFRRWMNYIITDSPGAIDDPLLTPEPLLIGQESLGILSGDRHESHSNEQIFSITEVSPAWALSSEETKYLHLLNSNLFCVCGDACVPAEIVQSGVFRCMITPQSPGIVNLFISSDGHKPISQLMAFEFQLPPTTSLMDSLDEKPDWQELQIKMRLSHLLFSSSKSLTILSSKVPQKTQKEAIMFVQKSRHIVDSWDYLVNSITEKRISFQRAKNSLMELTLQNRLLEWLLEKVLEGGKIPDRDDQGQGVIHLCAILDYNWAIYPYSWSGLSQRMVASLLSAGANPNLVTDPTSENTNGCTPADLASKSGYEGLAAYLAEKSLVAHFEAMTLAGNVSGSLQSTFPTNDDFALNTPSAQINEDEQYLKDTLAAYRTAADAAARIQAAFREQSFKLRTKEVESSSTEDEARSIIAAMRIQHAFRNHETKKQMAAAARIQHRFRTWKIRKDFLNKRRQVIKIQAIFRGHQVRRQYTKIVWSVGVLEKAILRWRLKRRGFRGLQVDPNNVEKDENQENNGMEGFFRASRKQAEERVERSVVRVQAMFRSKRAQEEYRKMKQEHLQASHEYDGSLDPATDMEK >KVH99850 pep supercontig:CcrdV1:scaffold_4273:22819:34963:1 gene:Ccrd_021909 transcript:KVH99850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPEKLATSNNNSMQRVKVYRLNDDGKWDDQGTGHVTVDYLERSSEELSLFVIDEEDNETLLVHRISSDDIYRKQEDTIISWRDSEYSSDLALSFQETTGCSYIWDHICNIQRNLHFDTLRNETSYHGANNELRELPAVELSTLPSILKVVVDSSVTDQLCVTELILHDQIFFRKLMDLFRACEDLENKDGLHMIYKIVKGIILLNSPQIFEKIFGDELIMDVIGCLEYDLETPHIHHRNFLKEHVVFKEAIPIKDPLALSKIHQTYRIGYLKDVVLPRVLDEATIASLNSMIHSNNGMVVTLLKDDSTFIKELFARLKSPNTSPDSKRNLVFFLQEFCSLSKSLQMVQQLRLFRDLVNEGIYGVITDILQSEDKKLVLTGTDILIFSVNQDQTMLRSYVNRQEGGALLGLLRDAIVEIFYERHLDQLINVIMSSCPPNDAAMAGHTSKNSNGNHGIQTNTKPEILLNICDLLCFCVLHHPYRIKCNFLLNGVVDKVLYLTRRKEKYLVVAAVRFVRTLISHNNNVFRPIIDAFIRNGSRYNLLNSAILELFEHIRKDNLKILLKYLVETFWDQLVKFDSLPSIQSLKVRYDQAQEHASNRNDPGPLDTRRRVDERALEKEEEDYFNGDSSDEEDSTSALRPRSTRIRPQPGLSNGSDASCPSTRAGGLVDYEDDEDDEDYKPPPRKQSEKPEDDEGTLELRLKRKLLGAKEEPDLVKKRRLGGKSPKSKEIVLATLCSTLSQAVLPAKSVAGTNDTNEIRLEEKGSASQSENSNVENGPEKGVTGQ >KVH99849 pep supercontig:CcrdV1:scaffold_4273:18383:20061:1 gene:Ccrd_021908 transcript:KVH99849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29e MAKSKNHTAHNQSHKAHRNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNNQSGESAAEE >KVI08855 pep supercontig:CcrdV1:scaffold_4274:6833:8398:1 gene:Ccrd_012766 transcript:KVI08855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MKLPFASSQNNLSSSTNVKQEVTAGGSNRYEPKSVLDVRRSPPSPITGKPSEFNSTDHTSVVFSSLEDPLPLDNIEHGMIHQFEEWDSLMRELGLHDDSTKSAYPLDLPELPPIHTEATAPPTAMFFHSDFENLNPNLNALDFMSQDDDDSNNNNGNRFDFVDELIRIAECFETRSIQLAQVIMARLNQRLRAPTGKALQRAAFYFKEALQSLLTGPTRMTQSSSSSEIVQAIKAYKTFSNVSPIPMFSDFTANQAMLEAVDGAMIVHVIDFDIGLGGRWASFMKEIAEKAESRKVHSPAVRITAVVPEEYETESKLIRDNLWQFARGLKLRFDIDFVSFRNFEYLSFKAIKFMDGEKTAVLLSSTVFHRIGAGFINDLRLISPHVIVVVDGEGLMGGEPSFFRQTVIDGLEFYSTLLESLEAANVGGGGGNDWIRKIEMFVLLPKITAAVEASGRQVPSWREAFSRTGMRAVGMSQFADFQAECLLRRVQVRGFHVVKRQAEMVLCWHDRPLVATSAWRF >KVI08858 pep supercontig:CcrdV1:scaffold_4274:38139:44711:1 gene:Ccrd_012769 transcript:KVI08858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone/stilbene synthase, N-terminal MASSIDIAEIRKAQRAQGPATILAIGTATPSNCIYQADYPDYYFRITNSEHMVDLKEKFKRMCDKSMIRKRYMHITEEFLKENPNMCEYMAPSLDARQDVVVVEVPKLGKEAATKAIKEWGNAKSKITHLIVCTTSGVDMPGADYQITKLLGLRPSVKRFMMYQQGCFAGGTVLRLAKDIAENNKGSRVLVVCSEITAVTFRGPNDTHLDSLRLVEVVVGLMMLTNVIGKYQGDISKKGELNKYGMMQVCINKFDVYEINYRSKGFAKDDDLVDQLQTCRET >KVI08857 pep supercontig:CcrdV1:scaffold_4274:31733:32873:1 gene:Ccrd_012768 transcript:KVI08857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone/stilbene synthase, N-terminal MGYGLNFMSFKAIDVKIEEPTRAKKPPGCFAGGTVLRLAKDIAENNKGSRVLVVCSEITAI >KVI08856 pep supercontig:CcrdV1:scaffold_4274:28152:29501:1 gene:Ccrd_012767 transcript:KVI08856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone/stilbene synthase, active site-containing protein MASSIDIAEIRKAQRAQGPATILAIGTATPSNCIYQADYPDYYFRITNSEHMVDLKEKFKRMCDKSMIRKRYMHITEEFLKDNPNMCEYMAPSLDARQDVVVVEVPKLGKEAATKAIKEWGNAKSKITHLIVCTTSGVDMPGADYQITKLLGLRPSVKRFMMYQQGCFAGGTVLRLAKDIAENNKGSRVLVVCSEITAVTFRGPNDTHLDSLVGQALFGDGAAAVIVGADPDLTTERPLFEMVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALTQAFSPLGITDWNSIFWIAHPGGPAILDQVEQKLGLKEEKMRATRHVLSEYGNMSSACVLFIIDEMRKKSAEEGATTTGEGLDWGVLFGFGPGLTVETVVLHSLPTTMPIVTQN >KVH68528 pep supercontig:CcrdV1:scaffold_4275:44892:47645:-1 gene:Ccrd_025613 transcript:KVH68528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase MSVDKKTISKSLSKLITRLNSEKELTDKEELVLKLEKQYPDDVGVLAAVLLNHVKLNPGEALYIAANEPHAYLNGECVECMAASDNVVRAGLTPKYIDVETLCSMLTYKQGLPEILKGVPLNPYTRRYTPPFEEFEVDRCMLDEGATIVFPPLPGPSIFVVISGEGSMHTLSSEDRVSEGSALFAPAETEVRITTESTLHLYRAGVNNKVLMNP >KVH68527 pep supercontig:CcrdV1:scaffold_4275:35050:38967:-1 gene:Ccrd_025612 transcript:KVH68527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e MYTSRKKIQKDKDVEPTEFEESVAQALFDLENANQDLKSDLKDLYINSATQIDISGNRKAVVIHVPYRLRKAFRKIHLKLVRELEKKFSGKDVVLIATRRMVPPPKKGSAAQRPRSRTLTAVHDAMLEDIVHPAEIVGKRIRYRIDGSKIIKIYLDPKARNDTEYKLETYAGVYRKLCGKDVVFEYPITEA >KVH93637 pep supercontig:CcrdV1:scaffold_4276:562:13392:-1 gene:Ccrd_004311 transcript:KVH93637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAEAPLEGVVKGTFNSSVSAPTSLSRLMCSNASAANSAINPVARSFPNIGFSRSYCGNEIATLKLFTSLNSKQLHSSPDLIIAYEELKAGFKTAAKQHNK >KVI01739 pep supercontig:CcrdV1:scaffold_4278:6492:9578:1 gene:Ccrd_019982 transcript:KVI01739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDSYIFFIPQGGNEIKGLVLDTKMLKEGESLMEKQDGKKRRAEDIILEKLFVMDQHYASKRRRLDFFGNASNACSRVQDDIDFKIDTFTRMQKLRLLKLSHIQINGCYDQFPKGLRWLYWRGFPLKYLPNEFPSLLSLSLAXCNISDEAIPSDLTGLCSLTYLNLSENPIHKLPDGIKDLYMLRDLWLDSCTSLQSLPELPMSLVDLKTVNCTSLERMTNLPNLLTSLFLDVSGCEKLVEVQGLFRLEPIRKFGADRVINELGILNLDIIENTPVELFNNLTDTRRKDVVQSMGNSISWVLPSNPKNSLQGLNICLTYGRSNARRFRFCINQDWCTYYITIHDKTKDQKWVYSPTFVGIPDDGEDITLISHWKFEKEVENGDDVTVSIIYMSHAFQIKSFGIDVMYVQEGKITHETTIGLRSAYQWKPHAYFLSNSGSPTGDDWYEKFFEGSSLGTGFTEGDDSGYDYPEDNSEYDDDDDDWLNAILNDPR >KVH68459 pep supercontig:CcrdV1:scaffold_4279:4469:8913:-1 gene:Ccrd_025614 transcript:KVH68459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAPHMMIQHRLGFSSILFLLSSPDSIPSLLSLGWGPFRRDSESSNPLLLSIPGEKRRGTDSNLNISQRQLKTRNNGNEFDGESSHLERNIFFKSFTSYSLIEINSGSFGCFLLLLIQVDEWCSFSGSSVTGVCRNTDEFAIDLDKGDFPCLNWKKGEGIQGPRTSCNMVIPVPIQVFLPIDFWVSTI >KVH68460 pep supercontig:CcrdV1:scaffold_4279:6475:7570:1 gene:Ccrd_025615 transcript:KVH68460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MPHTRAETDREAGGNNGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKLPSCLILAVTPENDDLANLDALQIAGNADPDGRH >KVI01371 pep supercontig:CcrdV1:scaffold_428:205002:216768:-1 gene:Ccrd_020363 transcript:KVI01371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVVIEPVPSTDIEPESSNSTTARVDSSNNNRANVDGVDSRNGDKATAAGYASDGYETASETKVGDDETVSDKNDTRNAVGNYQKHKLEEISVVSKDQTYEDALNEDELKQCNDCLAVSGFNLVKAYSPTYLGSSYAQNVEVVIICFLLNSLEDLLKNLSLEFTVFLLVDYCSPKILAQMNEAKVEGNKLFGDGLYQEALLKYDYAIQLAPEMSSSSEIRSICHNNRATCFCKLSPMEAEHGVRWRVYGGCDGEEEGGNYEDTIKECTKALEINPTYMKALLRRGEAHEKLKNYDEAIADMKKILELDPSNHQAKRTIIRLEPLAIEKREKMKEEMIGKLKDMGNTILGKFGMSVDNFKAVQDPNTGSYSISFQR >KVI01379 pep supercontig:CcrdV1:scaffold_428:18688:22064:-1 gene:Ccrd_020352 transcript:KVI01379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MFVSEVTSDPGNVLQPWTNNSQVHICNWTGITCNADRDSVLELDLSGASLHGIISPAISKLSRLTVLDLSRNFFEGGIPXEIGYLVELKQLSLSSNLLRGRIPFEIGFLLRLQYLDLGSNKLVEYGMGRRASTQGDVYSFGVLLLEMVTRKRPTDIFQDGSSLHEWVKSQYPHKLEPIVKEAVKNYTPVTIVTTPMLCNTELLHDMVLELIELGLICTQYNPSTRPTMLDVAHEMATWKKYLFDLSSRSIE >KVI01368 pep supercontig:CcrdV1:scaffold_428:136411:149465:-1 gene:Ccrd_020359 transcript:KVI01368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MEATAKPPAPTLSLPWRTRIALFILSTFSDAFFLKNGTINRRLFNLFDFRIPPTSKSINGVMSHDVVVDQARNLWFRVYIPTQHIGEDLPVIVFFHGGGFVFLSPDGXVYDAVCRRFARXVXAVVVSVNYRLAPEHRYPSQHDDCFDVLKFLDDEENISKSLPENANLLRCFLVGDSAGGNLAHHSEIRLDGTPIVSIKQTDWVWKAFLPQGEGFNRDHPIINVSGSKAMDLSKTDFPATMVVIGGFDALQDWQKRYHEWMKRCGKEVYLVEYPNMFHAFYIFPELPESTQLIGEVREFIHKATAKPPAPTLSLPWRTRIALFILSTFTDASCRKNGTINRRLFNLFDFRIPPTSKSINGVMSHDVVVDQARNLWFRVYIPTQHIGEDLPVIVFFHGGGFVFLSPDGXVYDAVCRRFARXVXAVVVSVNYRLAPEHRYPSQHDDCFDVLKFLDDEENRSKSLPQNANLLRCFLVGDSAGGNLAHHVTQRACEFNFPRLKVIGMVAIQPFFGGEERMESETRLDGTPIVSIKRTDWIWKAFLPQGEGFNRDHPIINVSGPKAVDLSKTDFPATMVVIGGFDALQDWQKRYHEWMKKCGKEVYLVEYPNMFHAFYIFPELPESTQLIGEVREFIHKVSSNVGSLLTN >KVI01372 pep supercontig:CcrdV1:scaffold_428:185807:193624:1 gene:Ccrd_020362 transcript:KVI01372 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AFG1-like protein MSRALVKSLGRFQTAFRHHGAKRMLLNANSGTSHDIRYNIDMCKCCHSSYMFSRALSVDAAAKVTYEEVKRVGPLVEYERRINAGELEDGDNCQSSISPVKGLYLYGGVGTGKTMLMDLFFDQLPCNWRKKRIHFHDFMLNVHTRLQRHKGVSDPLEVVAGEISHESILLCLDEFMVNDVADALILNRLFKHLFCNGAERCIVHEIGSSVDYRRRTSAEEGFYFIQNGTSGFLMHRFNELIGEHTAHPQEAEVLMGRTLQVPLGGNGCAYFPFEELCDKPLGAADYFGLCKNFHTVALDGVPIFGLHNRTAAYRFVTLVDVMYENKARLVCTAEGTPFDLFERIVTVADAHNIAPRTSSRSRKNDDFDLCVDN >KVI01380 pep supercontig:CcrdV1:scaffold_428:28594:31335:-1 gene:Ccrd_020353 transcript:KVI01380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cdk-activating kinase assembly factor MAT1/Tfb3 MASIKCCVHLDSCDSKKLNSVLQFCDRGLIFAFTSVTNNSFCKLKKVRVSRLDTEFSDVLQSCDVDRPVDLSDENVVLQSPKLYGELRKGKRSIWKRLDGMKNVASDLKVMHNSTNENESQQEIILDHEENDDAFYEKLSSSGHESSLGHCNFILEKLERSGRDDEALKFFKWMRINGKLMQNVNAYKLALRVLGRRQDWEGAERLIQEMQMDSRCELSFQVFNTLIFACKRRGLVEIGTKWFRMMLDKGVQPNVASFGMVMSLYQKGRVIDDAEFAFSQMRNLTIVCHSAYSAMITMYTRLGLHEKAEEVIGFLRKDRVILNLENWLVLLNAYSRNGKLDEAEKVLASMHAAGFSPHIVAYNTLITGYGKVSNMEAAERILQNLVSAGLKPDETSYRTMIEGWGRVQNFKEAERYYNEMNRLGFKPNSSNLYTMINLQAKNGDEAGAVRTINDMMTMGCQFSSILSIVLQAYEKVERFDKVPSVVKGVLYNHVLNNQTSCSILMMAYVKHGLVDDAIEVLGIKKWKDKVFEDSLYHLLICTCKELGYLDNSIKIYASMPKSGKPNLHITCTMIDIYSCLNQFKVAEALYSKLKSTGVPLDLIAFSIVVRMYVKSGSLNDACSVLDAMEKQKGIVPDIYLFRDMLRIYQRLGMVDKLADLYYKILKAGISWDQEMYNCVINCCARALPIDELSRLFNEMIKHGFSPNTTTFNVILDVYGKSGLFKKVRQVFWMAKRQGLVDIISYNTVVAAYGRSKDLRNMASVARRMQFKGFSVSLEAYNSMLDAYGKADEMEKFKNVLLRMKESNCGSNLHTYNIMINIYGEKGWIEEVGDVLMELKESGVAPDIYSYNSLIKAYGIAGMVEDAVDLVKEMRKNGIEPDRITYINLITALQKNDMVLEALKWSLWMKQMGI >KVI01377 pep supercontig:CcrdV1:scaffold_428:33022:39279:-1 gene:Ccrd_020354 transcript:KVI01377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cdk-activating kinase assembly factor MAT1, centre MVVASGANSFAKEMTIRKRIGNIFNKRVEDFSSLREYNDYLEEVEDMIVNLVEGMDVPAIEAKIAHYQKENAEQIMNAQARKAEEYAAALAASKGQAAQTDVDMTTGTSSQFGVTTSDGHYVPAVAGGTIPQPRPTQPLPVGSGDDLHAYHMDDEEMMRLKAERGGKAGGWSLELSRKRALEEAFGSIWI >KVI01366 pep supercontig:CcrdV1:scaffold_428:10331:11566:1 gene:Ccrd_020351 transcript:KVI01366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTQKCVVGISLSTTLFSSISMVNNNMNEGGRRRRRRRSKTSCISMAKKDDKYPLKIVSQAAVVVLGLGFIDAGYSGDWSRIGVISKENEDLLKAAAFIVVPLCIFLIFSISKPNHDDSS >KVI01375 pep supercontig:CcrdV1:scaffold_428:90714:97130:1 gene:Ccrd_020356 transcript:KVI01375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, SET2, plant MIIATMPDLGNLVSMSSLTLSRCTNNTSRPNCDLGDPGSAKEAETPFLMQDQSSRQKCVKIKKSVESKSTDDYLGDWVAKNVELGIPESKLFLPFLVGAPKLAECLVCESVIYPGEEVACVVRDCKGSYHLTCARDWLGLSPSSKSFKCPQHACFLCKKKIHLWRCSRCHLASHDKCAAYPEYVLRSNDLPSQTVCWRHATDWPPLKELFGRIPLPYMVEEFKIDPMLKDTMENKLEPPPYVHIRRILAHALKIVYAEICTNKPFRKDKKIKIVLTDSCGWGVEAAEAIKKGEFIIEYVGEVISDALCEQRLWDMKHQGIKNFYMCEIRKDFTIDATFKGNASRFLNHSCGPNCNLEKWDVDGETRVGVFAARSIKAGEPLTYDYRFVQFGAEVKCHCGASSCQGYLGTKKKMAKLELLDWGAKRRRTTTATIRT >KVI01369 pep supercontig:CcrdV1:scaffold_428:259649:260983:1 gene:Ccrd_020365 transcript:KVI01369 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MPPLEEELFPSTPGKIKIDRLHHNMMNRTFHRCFASTSTMFLWALFLIALTASYLSFQGFVASGSRYLQHTSSWSHGGSIGGLHWEKQIRSSAQIRRSNGLSVLVTGAAGFVGTHVSLALQKRGDGVVGIDNFNDYYDPSLKKARRAMLESHRIFIVEGDINDRRLLAKLFDIVAFTHVMHLAAQAGVRYAMENPNSYIHSNIAGLVTLLEQCKSADPQPAIVWASSSSVYGLNDKVPFSEADQTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCVASLDTAGKSTGSGGKKKGPAPYRIYNLGNTSPVTVPALVSILEKNLKMKAKKNVMEMPGNGDVPFTHANISLAQRELGYKPRTDLSTGLRKFVRWYLSYYGHNHDKGNSVNL >KVI01367 pep supercontig:CcrdV1:scaffold_428:117497:120620:1 gene:Ccrd_020358 transcript:KVI01367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAFASLFFSLLLFSIVFAQENKNARIFNDRASLLTFVFAVRNDPGNVLKNWTSSSQVHMCNWTGITCNADRDSVLELDLSGASLHGIISPAISKLSRLTVLDLSRNFFEGGIPXEIGYLVELKQXSLSSNLLRGKIPFEIGFLLRLQYLDLGSNKLVGEIPISLFCNGSSALEYVDISNNSISGQIPLKEECEVKELRFLLVWSNQLSGRVPRALSNSSKLKWLDLESNFFEGELPFETVKNLSNLQFVYLSYNXFSGPLEPFFSXLSNSQHLQELELAGNRLYGKIPDIIGDLSKSLVQLHLDBNXXSGXIPXNISNLXNLTLLNLSSNFLNXTIPHELFQMGKLERLYLSNNSLSGEIPSDFGNASRLGLLDLSKNQLSGSIPDAFSSLSQLRRLLLHNNRLVGXIPTSLAQCVNLEILDLSHNQISGXIPSDFARLNSLKLYLNISYNQLDGPLPSELSKMBMVLAMDLSSNNFSXXIPAQLGSCIALELLNLSXNAFEGPLPDSXGKLPFLEXFDVSRNRLSGKIPESFXXSSXXKQLNFSXNNFSGNTSFPFLNIDSFLGNPGLCSSSIAGLPLCKNRRKKNLIRLPLLITILAIATFLMSLIPVVFKSKPKRRFLKIFSRKLGCEEDEEQERKEQSYPKISRQELIEATGGFKGSNLIGSGRFGQVYKGVLKDNTRVAVKVICYAKTAEIVGSFKKECEVLRNTRHRNLVRIITICSRPDFKALVLPLMQNGSLEDHLYPSDGLSRVDLVQMVRICSDVAEALAYLHHYAPVKVAHCDLKPSNILLDDDMNALVSDFGIAKLVKDEVEKIPMAAGGSPSISSTDGLLCGSIGYIAPEYGMGRRMSTQGDVYSFGVLLLEMVTRKRPTDMVFQEGSTLHGWVKSQYPHKLELIVKEALKNYTPVTTLTTTSCSTKLLHDMVLELIELGLICTQYNPSTRPTMLDVAHEMATWKEYLFDPSNRSIE >KVI01365 pep supercontig:CcrdV1:scaffold_428:5054:9296:-1 gene:Ccrd_020350 transcript:KVI01365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISTMPDLGNTVSMSSLTLSHTSTLDAENPFLMQDESNGRENCVKIKKSVESKSTDDYLGDWVAKNVELGIPESKLVVSFLVGAPKLVEFLYSVM >KVI01373 pep supercontig:CcrdV1:scaffold_428:156209:168865:1 gene:Ccrd_020361 transcript:KVI01373 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MAASSSAANPILCASFNQDNSCFVIGTKDGFRIFDSSTGRLLYERVIGAFVIVEMLFSSSLLVIVGAGEQASLSPRRLCLFNTKTGIPLRELNFLTSILAVCVNRKRLIVVLQGKTFIYDINSLVILDTIDTVPNLKGLCAFSPCLDGCFLALPASTTNGSVLVYNVMDLHSHCEIDAHRSPLAAMVFSSNGMYIATASEQGTIIRVHSVSDATKSYSFRRGTYPSTVYSLSFGPSRRSNTFLGSIIPDSVSDALDAAHHHVLHNAVQPGIRSFYHSYVVIRKVDKVADTSASESPACRVKSSLSMLARVRSSLFSIRFHAHRSSLLLPRWSRLSLLPLGQASSIVAHDPPPFSLVAQVLPHAAARKVFLIESYTSLRLTLTLASG >KVI01376 pep supercontig:CcrdV1:scaffold_428:105101:107458:-1 gene:Ccrd_020357 transcript:KVI01376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MICRALAKRDRNFFLNLITKATNQSHLRQTHAQIILNGLNTDLATVTKLVQKLSDLAAISDATLICSNFPNPDLFLYNVIIRGFSRNNNHSNSLFIYRQLRENTALKPDNFTYAFVISAASKLLQLQELKVGMAIECLSIKLGFHWHAHVLTGLISLYAKCGDMSTGERLFEQIKHPDIIAYNAMISGFNCNQEMESAIALFRELLVSHQRVNSSTMLGALSLGKWVHDLASKENFKSNIYVSTALIDMYAKCGSIEEARQVFDTMPEKNAVTWNAMISGYGLHGHAHEALQIFDKMVDSKVPLSGVSFVSVLYACSHAGLVKEGEEIFYAMVQDHGFEPLSEHYACMVDLLGRAGELQKALDFIKKMPIEPGPAIWGALLSACKTHKNTELARLASDKLFELDPENVGYHVLLSNIHTADKNYDEAASVRQVVKNRNLAKTPGCTLIEIDKIPHVFTSGQRSHQKTEAIYGKLEKLMGKMREAGYQTDTMTALHDVEEEEKELMVKVHSEKLAIAYGLMNTKRGSEIRIIKNLRVCIDCHNFTKFISKITERVIVVRDANRFHHFKDGQCSCGDYW >KVI01378 pep supercontig:CcrdV1:scaffold_428:41565:46671:-1 gene:Ccrd_020355 transcript:KVI01378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFMSSSENNNVWQLPEPEAKLFAGEENSMCFQQIADDGPLPKRWKSSSSSSSFQTATQQLKLNNSGPLTPQYQYNPLSEPSPLGLRLRKSPSLLELAQKRLNQCNTDKGDLPAEDFEAEINRDLRARSRTRASASADKLKASHFPALLLRIGQWEYVSKNEGDLVAKCYFSKHKIVWEILDGGLKKKFEINWADIMALKANTAADGLGTLTIVVKLSFSLLLYPVYLISLLMCIHIVPFYCQLDKQPLFFKEINPQPRKHTQWRASSDFTDGEASTHSQQQDIFLEFPYFAPKPSITNQDISGHSILNLPDMYGIANMTLASTLQTCSLTPLESSSLGLIDQDLSKAAHPSSSVSDLVNGLSEQQPFRNQPFSDNHVSGQETPKDMLANISQILLSDNHLTAAASDEKTLMSRVNSLCCLIQDYQKDGNDCSTLDPNLISGSGTQEDGTIGQSSKLPQDHRNGQQDRNDSSTLDTNLMHAGNMTEDGTVGLSTMLPEDHPNGQQDGNDNSNPESYPQDENVSGSGMSRKDSFAELVHQLPRIASLPRFLFDISENGEILK >KVI01370 pep supercontig:CcrdV1:scaffold_428:229976:237351:1 gene:Ccrd_020364 transcript:KVI01370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CW-type MMLVRSSLPSSFDAGLSSVPDHGKQEILCAWIPGDGSWQEPTVSDEHPQQGQQNAAPVTRNKNKDDSLCSQNFLSSSQLSTEVNMSETSTPNFVYKRKMIRRNAVLPLSQSSTPTLVYKRRKVQTVFPAQVSTNTRESDNGFSTSSAAISITGKEQMVSVHIDNGIVIPSALLPVESNKPCIASKSGSVDGTSLGDELVTEEPKCEMRKCSDLRQLRAVNDSCSSSKLNLDLGSASLKHQVDDVGECSSSGVLIVEGSGKDISETNSCISFLQRHGVLQGLLSTKTSRSMKPVGANKSICCLRLCKVCGRSTTTLEMLICDFCEESFHVSCCNPPLKKVPLGDWFCHSCLRKKLKKMKETSSSKSAKDYSGPIASILRDIGPYKTNVRIGRDFQAEISDWSGPLTRDLDVYSKPVELSSLECASYQDLGSSKLSRLSPIGNWLQCREVVDGVDGTICGKWRRAPLFEVQTDDWECFCSVLWDPTHADCAVPQHSPFLQQVFQPPLLLA >KVI01374 pep supercontig:CcrdV1:scaffold_428:155185:155537:-1 gene:Ccrd_020360 transcript:KVI01374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKNAVTWNAMISGYGLHGRAHEPRWIGERRRKDFYAVVQDRGSNPYLSITPAWLTFLVELEKHKKLSTSSRKCPLSLVPLLGFYHGN >KVH68345 pep supercontig:CcrdV1:scaffold_4282:1427:5308:-1 gene:Ccrd_025617 transcript:KVH68345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase, FGGY, conserved site-containing protein MHKDAGEKEEIKNETGQLLLRVDGGAIVNKTLMQIQHAFDDGKKPPDNAIGMMVSRIKGKLEKELSKGKTHFLESCGLPISTYFSALKLLWLLENVDVVKDAVKKGDALFGTIDSWLIWNLTRGVDNGVHVTDVSNASRTMLMILKTLNWDGSTLETLKIPKEILPKIMSNAEVIGHEGKGWLIPRIPISGCLGDQHAAMLGQSCRKGEAKSTYGTGAFILLNTGEEAIQSTHGLLTTLAFKIGKDAPTNDALQGSIAIAGAVVPWLRDSLGSEIEDLASKVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIVRAVLESMCFQVKNVLDSMHKDAGEKGELKNEKGQFLLRVDRGATVNNTLMQIQRPANIVTTALGAAYAAGLAVGVWTEEEIFSNGERMKKDTTFNPVLNEKLRKKKVASWCKAVEKTFDLADFKRYVQSIFVYITSVGSFEDLASKVDSTGGVYFVPAFNGLFAPWWRDDAGGVCIGFTRLTKESHIARAVLEIKDVLDSIHKDVDEKGEIKNEKGQLLLKVDGGATVNDTLMQIQ >KVH68344 pep supercontig:CcrdV1:scaffold_4282:184:1260:1 gene:Ccrd_025616 transcript:KVH68344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKHLNLGSNRFTRSIPNVFRSLTRVLTLDIGNNSFSGRIPEFLGNLSDLRILILRENNFSGSILKQLCQLSDVSLIDLSGTIPMQFSNLENIESLDLYYNGLSGKVPSELIKLNYVAYFDVSYNNLSGKLPDMKAQFSTFTNESYKGNPLLCGPPLEKKCTSTSQVIDPSGKEGTGNWYDIDMVSFYGSCGATWVVFLLGFVGVLYINPYWRRRWLDLAEECMYTCYYFIDDSARKLSTLFRR >KVI06503 pep supercontig:CcrdV1:scaffold_4283:43839:46319:1 gene:Ccrd_015149 transcript:KVI06503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MATRGSRSEKVRRIFQQFDFNRDGGLNRDEMAALVVAVNPRVKFSDEQISAILDEVFRTYSEFIDGETGLTYDGLLRTYDDGAGDVDRDFEALGLELKPDDDNEVTSSLALEEASTSSVMERASSAGPPQNRRTAAWAASPNHGIIFDDTWKLVDDLEILIKRLKAKQTKDGKTKGENFDAYSDPGWSRELGPSSELDKRIVWEENSHDYALFVKELGSLRSRADGSRSREEAFDGHMALGRVLYDQQLFKESLVCFKRACELQPTDVRPHFRAGNCYYVIGRHSEAKSEFISALDAAEAGGNQWAYLLPQIHVNLGISLEGEGMVISACEHYREAAILCPTHFRALKLLGSALFGVGEYKAAVKALEEAIYMKNDYADAHCDLASALHAIGDDENAIKEFQKAIDLKPGHVDALYNLGGLYMDMGRYQRASEVYTRVLGVWPNHWRAQLNKAVSLLGAGETEEAKKALKEALKMTNRIELYDAIGHLKQLHKKKLKGNGSGKGNGDDSFIIVESLKFKTVGDKTTLRQELATALDIRTFQRTTRLIRCDVELLKKEMNENETPLTYSGNGFPEKSIRKASLEGVLRRLLGFLKPETFVGAVKAINLKILTVLDESESGRVDLGMFFAMIAPICGGTPEKRKRVAFDSLLWRPVNDHGVNGQIRKIDALRYIKLLRAIYIPSQGASELLEIHRDPDNSTISFTEFLALFDDPDWGFGIMSTLMKLETTDRNRHGKHSCAVCRYPIIGSRFKEMKSQFSLCGQCYSEGKVPPSFKQDEYQFKEYAKESEAVKDKCMWFSLHPAKSSSGTTTTTTTATTTTTTSGTNL >KVI06502 pep supercontig:CcrdV1:scaffold_4283:12114:15497:-1 gene:Ccrd_015147 transcript:KVI06502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MPTAIFSFVAALLVCATISATAEIQALISFKQNLRDPLGALDAWNVSTPAAPCDWRGVSCRDGRVRELRLPRLALSGRLTSRISELSQLRKLSLHSNNFNGPIPPALSQCSLLRVVYLQYNYFTGVLPPALGNLTNLLVLNVASNLLSGEISGNLPPRVQYVDVSSNAFSGNIPGNFSVAPEIQLINLSFNSFSGQIPPIIGSFQKLQYLFLDSNQLYGTLPSAIANCSSLVHLSADDNQLEGLVPASIGDLPNLQVISLSGNALSGPIPASLLSNPGNSIRILKLGFNAVTGLIKPPNSTTFSRFIQVLDLHENHIYETFPVWLTNLRTLRSLDLSGNSFSGILPAEIGNLSTLEELKVANNSIIGEVPIGIRKCSLLHVLDLEGNRFSGLIPDIFGDFVSLKVLSLGRNLFNGGIPSSIAGLSELESLNLSNNKLTGALPSKLTQLSNLTSLNLSNNNFSGDFPIIIGDLPGLTELNMSRCRFSGEFPTAIANLRSLSILDLSKQSFSGELPVELFGLPNLKVVALEENDFFGDVPQGFSSLSSLQHLNLSSNSFSGNIPAEYGFLSSLTVLSLLDNRITNSIPAALGNASNLEVLELGRNSLTGLIPVTLSQLSHLEKLDLSHNRLTGEIPEKISDCSSLNSLLLDSNHFSGHIPESISKLSNLAELDISSNNFTGKIPASLSMIPNLKHLNLSRNDLEGEIPKILGSRFTDPLVFEMNNRLCGKPLMKNCKKKISSTKKKLILLICLAAGGGLLLLLSCCGYVYLLLRWRRKLSRMGKSGEVKKPSPGRGSSGRDHSSGGENGTPKLVIFKNKITYSDALEATRQFDEENVLSRGTFGLLFKASFADGTVLSIRRLPDTSVPEGTFRREAESLGKVKHRNLTVLRGYFASRSDNVRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFVHSIPMVHGDIKPQNILFDADFEAHISDFGLNKLTVPTHAEPSTSTATSPIGTPGYVAPEATLTGETTKEADIYSYGVVLLEILTGKKPVMFNQDEDIVKWVKRQLQRGQVSELLEPGLLELDPESSEWEEFLLGLKVGLLCTTSDPTDRPSMSDVVFMLEGCRVGPDMQSSADPTSLPSPI >KVI06504 pep supercontig:CcrdV1:scaffold_4283:27433:37521:-1 gene:Ccrd_015148 transcript:KVI06504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISGDEFELSDGQESSVQEEKIFVAVRLRPLNEKEIAKGDASDWECINTTTIVFKNNISERSMYPNAYTFDRVYRCDSSTKQVYEEGVKGIALSVLNGINCKYTSSGKTYTMSGITEYAITDIYDYISKHNDREYVLKFSAIEIYNECVRDLLDPDGTPLRLLDDPEVRHKRCSIVLKDLWFQKGTVVEKLTEANLRDCSHLKELIYVCEAERQIGETALNEMSSRSHQILRLVVESTCREYRGDHSGRTLTATVFLDVNTRINKQLVTVQNFVDLAGSERASQTLAAGARLKEGCHINRSLLTLGTVIRKLSKGGNGHIPYRNSKLTRILQSSLGGNAKTAILCTVCPAHSHLEQSRNTLLFASCAKHVNTNAQVNVVMSDKALVKQLQQELARLESELRMSPASNGSTSIIKDMEFQIEKMEKEIEELTQQRDLAQSRLEHLLRVTGVDQNSLPWADSGDLHEKGSRDGYLASDMSEMVDPHRFDASLNKYHLSDMKGTKTLDENQHFLDENSPMRFLDQHYVPDPSRGPENISQEITRNPKDGFKEDQHMEKTSSIDNNIKINLGDSSKKDQRVEKTSSKDNNITINTEDMNKKDQRIERKSSKDNNITMNTEEICKEDQHTEKTSSKDNNITMNTEQICKEDQHIERTPSKDNNITMNTKESCKEDEHIERTPSKDNNITMNIKESYKEDQRIEKTSSNDKNITMNTEESYKEDQHIEKTRNKDNNITMNTEGKEGHGIHKTSNIHINTMINPEGKEDRRIEKASSINENITVNREGGYKEDRWIEKTSSVDNNNTIDPEDSCKEDQRIKITSKIDSDITTNPEDSYKEDEHIEKTSSIDNNIPAEEAQLDDSYGSPKEKIQVIHSTLGRIKIQHAESSPCSSDTDMLSDSESISIPRSKSCKEFTMTKLSPQYEKEMMMGSTNIDGTDIEFSEKQEGNKQILTKSKSEPDVTTKNRQESASSVTSVDEKEIKQNIKLLAEDDASDHFSTPKSPNELVAFNPKVVSSDETVKDAEPKTKELKEVNDETMAPDRNWSVIFENQRRNIIRLWDACNTPLLHRAYFFLLFKGDPSDSVYMEVELRRLSYLVNTPASVTSARALSRERLMLSKKLLKIFSAMQRDALFQKWGIPLDSKHRRIQLSRLVWTKTNDMDHIKNSAELVAKLVGIVELNRTPKELFGLSFLPKPDYYKTSLWKASMSLT >KVI09491 pep supercontig:CcrdV1:scaffold_4285:3283:9881:-1 gene:Ccrd_012124 transcript:KVI09491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 194 MTMATTTALTLTPTSTLVLLCIFYAFLWISLCFDHNRPSLQGIDVQNPVIDVVPAPINDPSEGSEDVLYCGRVPVSGISRLKLQHYASVYRLTLVPSALIPKQWQNRIQVCFHGNSSLGLCQCEKDEWRSLQNGLWSSTMAPYVQNYVDVKFDNVVTGSVTVSLDEVQQGWRYILLAGGLVLLFLAPIVSEWVPFYYTSSMAIGILAVVIILLYQARKLLPTGRRNAFYLGIMSSVLGAGSFVARNLSAFLNSFLQNFGISKEVQNPVYVFVVLGIIILGAALGYWLVRRYVVSEDGEVDVGVAQFIRWSIRVVAVTCIFLSTNDTPLAMVAVGSCLTLYHVITKMKRRSDQDRSYSGRGNLWAWSKQTTPKHGQAEFLGRSKKISPWGSPWNGTRNSFAWSNSPIKSKLSPSTNSESTGSQRDVYSTFHKTPNRKKFSKEEWEEFTEESTRQSVAELASSPEFTDWVIKNADRIKLLPEDCSEDIDASGSSDSTDECEQQSGTGQGFFNWQMRK >KVI09493 pep supercontig:CcrdV1:scaffold_4285:16574:23250:-1 gene:Ccrd_012126 transcript:KVI09493 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MDPSLIQEAQTQKSSAKAEALRHLSSTTKIPSFSSSISKLSGSVRGIPCNKDFHFYFNFEEFKIPIQGIAKNSHSILETIGSSAEQLWGKKQTGFPNGDLDDDDEAYDWLVNFNDELFERFDASVDEFKKIRNKEEETGVRVLNAMDEDGFQLVQGRKKKVFDKDLLANNSITSSSGDNNLGNSSSVKVVSRDSNAMGTPKAKVSFHIASIRRPQDEYKILVNNSNQPFEHVWLERSEDGSKFIHPLEKLSIMDFIDKTVSDVELVKPPPVESTSFKHVQEVKDLKELAAKLRDANEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHIGPYLREVFKDPTKRKVMHGADRDIIWLQRDFGIYVCNMFDTGQASKVLKLERNSLEYLLRYYCEVAANKEYQNADWRLRPLTDEMLRYAREDTHYLLYIYDVMRRKLLFSSTDPDCPEAPLVEVYQRSYDLCMQLYQKEMLTENSLPAADLNSQQVAIVAGLYEWRDVIARSEDESTGYILPNKVLIEVAKQMPVTPGKLRHVMKSRHPYIERNLGSVVSVIRHSMQNADEFEEAAKKLKEDHIRMVAARNAKIANAEETAEVAGSESISNATEGDSFEKEVGNFIAGESAKSQEISNVEEPPKVLPATPSSKPENDIILLESDSDAEDENPASAADSMAADDQSAETKKEDEDNVILLDSDSCSDEPNQGEEKDAGEETMSLSDLSSSFQKCVKTADEKRKSHQEDESSAGLVKVTPFDYAAARKEVRNFYELSPKKLD >KVI09490 pep supercontig:CcrdV1:scaffold_4285:38640:44541:1 gene:Ccrd_012128 transcript:KVI09490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MCLQSFSNPKLLFIIILGEKGKYNAIHHLRSLSVNCVITSSRYLSLSFHLKFQTLWLIGYHFRTQELDRLLCFVSKMQTRTVHVGHLSDLATEREIHEFFSFSGDIEHVEICRDSEQKKTAFVTFKDPRALEIALLLSVIPQFNFPPIIEVPRYGVYLHAKDNKPGHEQPGWSHDLPIRQGATIVDQIVSITPVENYVPKPEFREVRVVDNAVSMAPENHSPNAEGNGTSPRSGRVYMSKAQDVVSSVLAKGSAIRQDAVNKAKAFDEKHQLRANASARVNSFDKRVGLTEKLSVGVSVVNEKVKSVDQRLQVSDKTMAALLAAERKLNDTGSAVKSSRFVELPCPLLVFLYFLLFFSVTCSMFLYVTAGSAWLNGAFGKVAKAGQVAGTKTRQKWNLAVSNLTAKDSPIAA >KVI09492 pep supercontig:CcrdV1:scaffold_4285:12541:16015:-1 gene:Ccrd_012125 transcript:KVI09492 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOSR2/Membrin/Bos1 MALEGGGTLSELYQRSRRLLLKTRDDLERLERLEFSSSAGAVDSPELSVAVRRDVAQIQTLCSEMDGLWRSIASKPQRDLWKSIDNMVNSGRKVEQVAEEAESLRESLDRYMQRHQRRMQEAQERAELLGRANGESSHVLRIFDEEAQAMQSARNSSRMLEEASATGAAILAKYSEQRDRLKGAQRKALDVLNTLGLSNSVLRIIERRNRVDQWIKYAGMILTFIIVIAFLRWAR >KVI09494 pep supercontig:CcrdV1:scaffold_4285:31001:32602:1 gene:Ccrd_012127 transcript:KVI09494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDRLISLEPSNVVLIRIENGQKCCGELVLRNVMYTMPVAFRLQPVNKARYSIRPQSGIISPLTTVTIEITYDFHQNSSLPKSLPYCDDSFFLHSVVVPGAAVKNPSSTHDLVPSDWFTARKKQVFVDSGIRVMFVGSLVMASLVKNGCMDEIRDVLEKGDPSWRAVDSVDGEGQTLLHLAIAQNRADLVQLLLEFGPDIEARNRFGSTPLEAAACSGEGLIVELLLAHRASTDRLESSIWGPIHLAAGGGHVDVLRLLLLKGANVNMLTKDGNTGLHLAVEERRKDCARLLLASGARHDIRNSGEGDTPLHIAAALGDDYMVKLLLQKGAYKDIRNHSGKRAYDLAAEQGHTKLFDALGLGDRLCIAARKGEVRTINKILESGAVINGQDQHGWTVLHRAAFKGRDDVVRFLIKKGVDINARDEDGYTALHCAVESGHVDLLELLVKKGADVEVRTNKGATAMQIAESLNYSGIKRVLIQAGANKDEFTHISKIAPAFANKSDKEIGSTKKRGSRTKALRGSFDQSAAPLAAI >KVI02980 pep supercontig:CcrdV1:scaffold_4287:3155:7539:1 gene:Ccrd_018727 transcript:KVI02980 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MKTGAVTVALAATIGNLLQGWDNATIAGAVLYIKKEFHLETQPTIEGLIVAMSLIGATVITTFSGPVSDTIGRRPMLIISSMFYFISGLVMFWSPNVYVLLIARLLDGFGIGLAVTLVPLYISETAPSDIRGLLNTFPQFTGCIGMCLAYAMVFTLSLRSNASWRMMLGVLSIPSIAYFLLAVFFLPESPRWLVSETSVEEYIISADNELSEDHVEEKDQIKLYGAEEGQSWVAKPVRGQSSLVLASRQGSISHMMDPMVTLFGSIHEKQHEGGSKSLIFPNFGSMFGGDQQHKAENWDVESNHDKGNLSGDESDDHNLRSPLLSHYSTEVDKDVAAPASKGSMLNIRQPSETTNAMGIGGGWQLAYKKTDEGKKSGGLKRIYLHQESGAGSGADSRRKSVTSFAGAGDHGEVVRASALVSRSVLCLDDAAGPNALQSGVFKPPPSTKKGSSWAELSEPAGVGILLANMGIGSESASFLISGVTTFLMLPSVGVAMKLIDIAGRRMLLLATLPILLISLIVLVVSNTIPMRSDIHAVISTISVVVYFCTFVMGFGPIPNTLCSEIFPTRVRGLCIAICALTFWVGDIIVTYTLPVLLTTIGLAGAFAIYAVVCTISWFFVYFRVPETKGMPLEVITEFFAMGAKKTN >KVI02981 pep supercontig:CcrdV1:scaffold_4287:45202:46692:1 gene:Ccrd_018728 transcript:KVI02981 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit C MPLHLCLLRGFSSIRTATIALAGAAVGIGKIFSSLIHSVARSPELDTKLFGYAILGFALTGAIALFALMMAFLILFSGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNSQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAPAQLAG >KVI01552 pep supercontig:CcrdV1:scaffold_4289:45684:48228:-1 gene:Ccrd_020175 transcript:KVI01552 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3700 MLAVFKKTVAKSPEGLQTPQQDPSVNALKDGLLSHHFASLHPSAVAINLADSGLISYSIDKQNPLLPRLFAVVDDIFCLFQGHVENVAPLKQQYGLNKTANEVIIVIEAYRTLRDRGPYPADQVVRDLQGKFAFILYDSTSKSTFIAADADGSVPFFWGTDSEGHLVLSDDVDTVKKGCGKSFAPFPKGCFFTSSGGLRSFEHPFNELKPVPRVDSSGEVCGANFKVDSDSKKESGMPRVGSAANWSQHY >KVI01551 pep supercontig:CcrdV1:scaffold_4289:19888:46401:1 gene:Ccrd_020174 transcript:KVI01551 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSYYTPADALIPSAKDAREPNLEAINDDDAISDDDAMVTTSIVVVDHENFHPPTSILPNAGDKDDDDDDDDDEDSEPQLPDARTNLGGDDDDDDEDDDDLCIHKKRKYHFYKNDQFQNFQGKNGVSLFISLSLQFAFNSKMLAFKSEEQSQLQLVEREEIDDDEDLFEAIDKLTSHGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDALLRRKAVVRITTGSQALDELLGGGIETLQITEAFGEFRSGKTQLAHTLCVSTQLPTNMKGGNGKVAYIDTEGTFRPDRIVPIAERFGMDAGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATVRLMFRKGKGEQRVCKEELQMQKTEFSAIIRTYGTCLGMKHCWDQLAALPTLGIPDSFLESESTLKFAPHTSPELSTLGTGFSSLKGCSKLLNPPDDVKKHPA >KVI07037 pep supercontig:CcrdV1:scaffold_429:165708:170914:1 gene:Ccrd_014604 transcript:KVI07037 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF attachment protein MSDQIAKGEEFEKQAEKKLNGWKIFGSKHEDAADLYEKAANFYKIGKSWDQAGAVYMKLADCYLKLDSKHEAANAFADAGHCYKKTNTTECISCLEQALNLFMEIGRLGMSAKYCKEIAELYEQEQNLEQAMVYYDKASDLFQGEEVSSSANQCRLKIAQFAAELQQHSINNNLLKYGVKGHLLNAGICQLCKGDVVAITNALDKYQDLDPTFAGSREYRLLADLAASIDEEDVEKFTDAIKEFDSITKLDAWKTTLLLRVKDMLKAKEMEEDDLT >KVI07036 pep supercontig:CcrdV1:scaffold_429:172433:175256:1 gene:Ccrd_014605 transcript:KVI07036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2985 MISSDKDGVEDEKSNGYRAKTFVPLHIKPPQKGLLSDEDNQNQVDGNHNTLNEKMSSFFGFGKLDSPSIKFQRLAKERDVFSRSIPSCTDNTIRGSLCRVFSRKIDWGSLRNMAKEWIKNPMNMVLLVWIICVAVSGAILFLVMTGMLNNALPRKSQRNAWFEVNNQILNALFTLMCLYQHPHRLYHLVLLLRWRSEDISKLRKVYCKNGSYKPHEWAHMLVVILLLNINCFAQYALCGLNVGYKRSERPAIGVALTISVAIGAPAIAGVYTVVSPLGKDYDITSDEEAQLKKYSPESSSGPRQKRVESFERRFTFASKDEAKTRQSSPKWSGGIFDFWEDISLAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCLAPFWIFNLAAINIDNETVREALGITGIFLCVFGLLYGGFWRIQMRKRFNLPPSYFCCGNPAVTDCALWLCCCWCSLAQEVRTGNFYDIVEDKFYKKPEAIPPLPREGEHSSFRSSPSPNRFTKEYQSPIRQLPMVEEGSSSRGNDATLEPPVPSSICRDAVQTNLV >KVI07030 pep supercontig:CcrdV1:scaffold_429:236283:238812:1 gene:Ccrd_014610 transcript:KVI07030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MESKFNQNKTLFSIKTTPFDQSNFPFKKKEKWKKKYHTHYFTFFLHLLISIFTYPVPVSSQSWDGIIVTDSDFQALQSFKLALIDPNGFLKSWNDSGYGACSGSWQGIKCAQGQVIVIQLPWRGLGGRISPKIGQFQALRKLSLHDNAIGGSIPKELGFLANLRGLQLFNNKFTGSIPPSLGSCPLLQTIDVSNNSLVGSIPESLANCSKLYRVNLTLNSLSGSIPLRITKSNSLMFLALQFNNLSGILPDSWGNGKNGVKSMFQSLTFDHNFFSGALPVSLSKLTELEEISLSHNRISGSVPIEFGELSKLKSIDFSYNVINGSIPRSFSNLSRLNSLNLAHNNLSGEIPIFLGDRLNLTSFNVSYNNLSGSVPAQLSSKFDSSAFMGNLDLCGYSSSTPCPISPPPSTTPSPPSNHRDGGNKLSTKEIILIAAGALIAILLLICCILLCCLFKKREGAKQKDAEGGGRSATAAKAVGAAAPQVEVAGETGGKLVHFEGTMGFTADDLLCATAEIMGKSTYGTVYKATLEDGDQVAVKRLREKITKNQREFEIEVNLLGKIRHPNLLAMRAYYLGPKGEKLLVFDYMPRGSLATFLHARGPETPVDWPTRMRIVKGMARGLLSLHNHHNIIHGNLTSSNVLLDENVNPKIADFGLSRLMTAAANSNVIATAGALGYRAPELSKLKKANTKTDIYSVGVIMLELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMKDASAIGDELLNTLKLALHCVDPSPSARPEVQLVLQQLEEIRPETATSSGDDGGAGPSMSE >KVI07031 pep supercontig:CcrdV1:scaffold_429:248534:251093:1 gene:Ccrd_014611 transcript:KVI07031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MSYKIRRHGVRQVRTAWADGPEFITQCPIRPGGSYTYRFTISGQEGTLWWHAHSSWVRATVYGAIIIRPRKGESFPFAKPNRDSVILLGEWWDANPIDVIREATRTGAAPNVSDAYTINGQPGDLYNCSKKDTVIVPVSSGETNLIRVINAAMNQQLFFTIANHKFTVVGADASYVKPFSTDVLMLGPGQTTDVLIKGDQPPGRYYIAASAYASAQGAPFDNTTTTAILQYNGKNGIASKPIMPTLPAFNDTKTATAFTTSFRSQNKADVPTKIDENLFITASLGVNQCPPKAKPSNCQAPNGTRFTASMNNISFVLPTNFSLLQAHHQGIPGVFTADFPAKPPVTFDYTGNISTSLWQPISRTTVYKLKYGANVQIVLQGTGIFTAENHPIHLHGYDFYVIAEGFGNFNPKTDTAKFNLVDPAQRNTVSLPVNGWAVIRFVANNPGTWIMHCHLDIHIGWGLAMVFLVENGVGDLETLEQPPEDLPAC >KVI07033 pep supercontig:CcrdV1:scaffold_429:195414:206435:-1 gene:Ccrd_014608 transcript:KVI07033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MESAAVLRSFHYSASTVSPLRSSFEKPGVVSMHNAAWRISTRSPIQGSAFGWDLVSSQKKQAGAIVSCLKSSEVAVVDKSNGSVEKSTSTGSTFPSGFEGLSPFMKLHSNTFSGRSNKEEARVSKEWRNSRGVLVCWRARSCSRADPPLELVLGVCDETQIAELKMKIGNFEMHLKRNIKSAAAIIPVASPTEAPPIPSKPMNESAPATPPSPPKPSSQKTNPFTNVPVEKSKKLAALEASGASGYVLVSCPTVGTFRKCRTLKGKKQPVLCKEGDIIKEGQTICYLDQFGTELPVKSDAAGEVIKILFDDGEAVGYGDPIIAVLPSFHDIK >KVI07038 pep supercontig:CcrdV1:scaffold_429:132258:141933:-1 gene:Ccrd_014603 transcript:KVI07038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane VCCYYPIILSQIHHKYPITISIPITITFTELLLNRVMKPEMNRESVALALLPKSNQISATSSISAAVFNVSTCMIGAGIMSVPATLKVLGIVPGFLVILIMAFLMEVTVEFLLRYTNYSGDANTYGGVMAESFGKFGSFALQICVMISNLGALIIYLIIIGDVLTGNESEGVLHLGILQQCFGFHWWNSRAYSLLFVVIFVMLPLLLLPRVVHPVRAELEKQSDMSTAVRISLVLSVVIYLAVGFVGYLLFGDSIMADMLVNFDQTSNLPGGLMINAIVRLSYAIHLMLVFPVIFYTLRANMDEMIFPRKSLLANDTTRFMSLTCVLLAFIYVVAIALPNIWYFFQFMGSTTVACIAFVFPGAIVLRDVHGISTRKDRVMAIMVLRDLKMSPENSDEVVPLLPENGSPTASPEEKQPSVSGAVFNVSTSIIGAGIMSIPATFKVLGVVPAFILIVLIGWLVDVSVEFMLRFTYAGGSTTYAGLMKESFGRIGSVTVQICVMIQNLGCLIIYLIIIGDVLSGDQSGEGSVHLGVLQEWFGIHWWNTRDVAILIVVVFVMLPLVLFRRVESLSMSSAVAVLLAVVFVGICSAMAISAAIKGQSKSIKLLPQLNNQVAFYNLFTAIPVIVTAFTFHFNVHISLVVCALIYFCIGLFGYILFGDSIEADILVNFDHASGSMVGSVLNDIVRLSYAFHLMLVFPLLNFSLRSNIDEFLFPDKSLLAKDSKRFVSLTFVLLAAAYLMAIAIPNIWYFFQFMGSTSAVSLAFIFPGAIALRDVHGISSRKDKIIGATMIILAVITSTIAISSNLYSLGNNS >KVI07034 pep supercontig:CcrdV1:scaffold_429:194398:195440:1 gene:Ccrd_014607 transcript:KVI07034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGNVTNEEVIRALQKIGKQASQWEQDSATNY >KVI07039 pep supercontig:CcrdV1:scaffold_429:119100:124650:-1 gene:Ccrd_014602 transcript:KVI07039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVVGGGWWLFRWWLVAVPMVVGGGSDLILIRAKSSTSFCSLRTCRTRAVQSDIADINPSYYPQIHVQKLVDFLHECSRERSVKEAKAVHGSTENGLFHDAFRYFCKMQNCGIFPDVFAYSAFIQLCIGLDCFDLGKMVHAQIIIRGYASHVRVSTSLLNMYAKMGRVKDSWKVFTNMTEHNEVSWNALISGFTENGLHLKAFDYFLEMIETGFTPNKYTLVSVLKATGKLCDAGKGKHVHKCLLELDMESDVFVGTALIDMYSKCGALSDARSVFEMNFISCPLNMPWNAMISGYAQCNCSQEVLELYVKMCQRDIKSDIYTYCSVFAAIATMKCLLLGRQVHGMLLKSGCNTMALSVKNAIIDSYSKCGSLEDVKKVFDRLEERDVVSWTIMINAYSRCFEWEEALAIFSQMREDGFTPNQFTFSNALVACTSLCFLEYGQQLHGLLLKAGWDTDRCIESALIDMYAKCGSISEAKMVFEAIPNPDVVTWTAIISGYAQHGDVVNALQLFKKMQQLGVEANAVTMLCVLFACSHGGRVEEGLHYFKSMKEVYCLVPQMEHYACVVDMLGRVGRLNDAVEFIKQMPMEPNVMIWQNLLGACRVYGNTELGEIAARKIISINPHDSSPYVLLSNTYAQIGSSRQGPGLRNVMKERGVKKEPGYSWISVRGRVHKFHAQDQEHPEKDDLYFMLDDLREKIKAMGYVPDLRYALESGS >KVI07041 pep supercontig:CcrdV1:scaffold_429:55559:57528:-1 gene:Ccrd_014600 transcript:KVI07041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKKAALHDKLQLLRSVTNSHAKKDSSIIIDASNYIEELKQKIEILNQDIARSSSYQNSWPMVTVDTLEKGVQVNVYSERSCPGLLVFVLKVFEELSLNVLEARVSCTGSFQLEALGVENEENGESIDTHIVKQAVLQAIEDWSESNDQEY >KVI07040 pep supercontig:CcrdV1:scaffold_429:103067:118952:-1 gene:Ccrd_014601 transcript:KVI07040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRIQNCLHKAKSFPTNISKKNEQGMETVTVIISAVCGKICSSLKQAADRSPNFFEAGLRALICSGTTLGILSFSPWILWGWFSAKHIIMKQWKPGKKKKLGGLKGKRQRSEEEDMVIV >KVI07042 pep supercontig:CcrdV1:scaffold_429:43874:44910:1 gene:Ccrd_014599 transcript:KVI07042 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MFDELVLMYRERVDETGKKFKRDINASAQLGRRHVFGSLGTLNTISPCAACKLLRRRCAQECPFSPYFSPHEPHKFACVHKVFGASNVSKLLMVPECQRAEAANSLVYEASIRLRDPVYGCMGAISALQQQVQFLEAELNLVRSQILRYKFRDNNQQDDHHILPSSHDHLAVLSTGAVSIAAPPPPLPLPRSSSSSTYTLQTTTTTDYSTITSDNISYFA >KVI07032 pep supercontig:CcrdV1:scaffold_429:215204:215866:1 gene:Ccrd_014609 transcript:KVI07032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MATAKATATGPSRIRQSTTTATTQRPQRTQQIPLTDPDLRPLLSNISTSLQHSYAQRRPWFELIDRSTFSRPETISEATSRVRKNISYFRVNYMAVIALVLLFSLLSHPFPLFFLISLIASWLYFYLFRPADQPVFLFERTYSDREVLGILIVSTIVIVFLTGLVSLMISSLLFGLGIVCVHGAFRVPQDVFVEDQEPSSNAGFLSFLAVAAAAPNRPRV >KVI07035 pep supercontig:CcrdV1:scaffold_429:186820:189986:-1 gene:Ccrd_014606 transcript:KVI07035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MGNALRIAYGVCCSKPPTTTTTAGDQELGHHGVSTSTVGVSALAQHLYHFEITSQVPGGLTKHVTSSKRAQINWYKKLAKAWRESKPPPKTPEEASRLVIHTLHKHQTPDIEGLLSFYGLPLPHSLVELTAGDAPLLADGLNYELHTLPVDARAVADGDTVTVYVSTSDSRESSRVPQSVQVAAVERNEARAQRNYTKADALHKQITDAGYRVLHIHDEDILARKYRIRLRGIDAPESLMPYGKEAKEELVKIIGGKCLKILIFDEDQYGRSVGDIYCNGIFVQELMLKKGLAWHYTAYDKRPEFKKWEKDARAKRLGLWASSNPEMPWEWRKNRRENR >KVI05363 pep supercontig:CcrdV1:scaffold_4290:39031:41942:-1 gene:Ccrd_016306 transcript:KVI05363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MLIDVRDGFDAHPSAFLRSPLDLNNLPEDFIRDHSKKPFDDSSSAASGIYRKKKNGSKDERGKVYECRFCSLKFGKSQALGGHMNRHRQERETETLNQARQLVFSTDNLLPQPSHQLGGKPAAAHGTCQYPAGFNMGSSLYPPRLFSGNSTTISPPPPPPQHQHMYTSLPSRLNFAYSSQYPNSQSINNYFVDHYASSSSPQPNLQNLSCTVVPSLDSTYN >KVI05362 pep supercontig:CcrdV1:scaffold_4290:18659:22817:1 gene:Ccrd_016305 transcript:KVI05362 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic MSLLNQIFNRGLIGAKCKTCLTLAISRIKLLQNKRDMQLKLMRKEIAQFLQSGQESIARIRVEHIIREQNIWAAYEILEMFFASIIFAAPRCSDLPDLLSVRNLFATKYGKEFISAASELRPDTSVNRTIIEKLSVYTPSGDVKLKVLKEIAQEYHNGPKQIPAATAQFPENRKESPKAVHRPPAISSQRGSVQALQPPITAMSSSPTKPKQASAESQGRPSESPDVLEKARAAIAAADRASAAARAAAELVNINFKPLTLEGGIVNST >KVI05361 pep supercontig:CcrdV1:scaffold_4290:12365:15780:1 gene:Ccrd_016304 transcript:KVI05361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 QLIHSTIDDALFFLYPLPLPLPLPSQPFPQFSTLFLLSTTIMYHCLISTFQPPLNCTLHITHDSGLSTLRQKPFPKDSTSLILSTRTTRLPDFKIMAKKKAIEGVSDQMNAIASQNLDHAPARRRVRLAFTQVQEQLDHVLFKMPPTGIRTEEWFEMNSKGQEIFCKSWLPRPGVRIKAAVCFVHGYGDTCTFFFEGIAKRIAAAGYGVYAIDHPGFGLSEGLHGYVPSFNDIVDNVIEQYTKIKGRPEVRGMPRFLLGQSMGGAVALKVHLKEQREWDGVVLVAPIGNEAPGTTAKSSNLFVKSHAKSKADYNVISYSDQTRLKTAVSSPLLILHGAADKVTDPNISKFLYEKAASKDKTLKLYDGSYHCILEGESDERIFEVLDDITAWLDSHSALR >KVI05364 pep supercontig:CcrdV1:scaffold_4290:2571:3143:-1 gene:Ccrd_016303 transcript:KVI05364 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MSPRKFLDGDHKQQQLRSGVVINGPRPTPLKVKQESHTIHKQHHHQQQQIKRPIIIYTYSPEVIHTKPHDFMALVQKLTGHSGSKDQEKQEQKTLKQDRSCDRKDNDSNSNDSGVTHQKDKIIKDKSPMFNAPSSPFVADIPLFTPNSSDYFCSTRPFFQFSDMVSSSPNMTTSLSPGSLVELLKGLPEY >KVI01720 pep supercontig:CcrdV1:scaffold_4293:33197:34396:1 gene:Ccrd_020001 transcript:KVI01720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRRCLDRFKVFISQGLSEFF >KVH94912 pep supercontig:CcrdV1:scaffold_4296:11934:13056:-1 gene:Ccrd_003020 transcript:KVH94912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MNKAVWFCGVANVVEVGDKKIYSIMHKNRNSEVKATYKVVHDIWEESFDGSCNHFVRNGILCRHTFKVMLNYDVQSIPEKYILPRWRRELVLIALLSARAKYGEMDVEKQVMINQAVSMFDLITGRVCNDKNSLAKFVDQLGQLGDEISIDVPILTSTEQKRNDI >KVH94910 pep supercontig:CcrdV1:scaffold_4296:33943:38627:-1 gene:Ccrd_003022 transcript:KVH94910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MIGLVALLDPLVTVTLKIGGWLADPIKNQYGYLFNYTTNIKKLRDGVEDLENSRASVQQSVDAALRNLQVIKPDVLAWMNGVDELKKEADEVLQASSVRVHKWLWCFGGRFHDIKSRYSRSRKAVKTMEYVLELQEKYKFNKVSDPPMPVEITDYIYFSNSEGFESRVSVRTDIMESLQDDAICVIGVCGMGGVGKTTMAKEVGVRAKGEHLFDVVVMVDVTQTPNKKTIQSSIAEHLGLKLQEESLSVRAARISARLKALTRVLVILDDIWTRLDLEELGVPLGSDGQHHGCKILLTSRSISACNQMKADKIFKIREMPENEAWLLFERTAERSFHRDPSLHQVARDIVEKCGGLPLAIVTIARALESEKDKSMWDDALQRLRSYNLEGEYASVYSSLEVTYNFLESDEMKHVFLLCCLFPESHDISIEDLLRLGLGLSLFKKTDGVSEARIRTHAFVQKLKNLNLLLDGGDELSVKLHDLVRDSGLNIASTNKHVFVVKHGDGLQFWPSELTDECCTSISLRCDEMSELPDNLNCPKLELLHLVGGNQSLEFPTGFYDVMAELKVILLRGMLIRSTSLSLEVSIKLRNLSLEYCTFDKTSDLSMIGNLVKLEILSFVHSDVKELPIEIGNLSQLKVLDLTGCGDLFNIAPGLLQRLIHLEELYMTGTLVSWPDEQTTTCIRELNSLSLLTALEIELSVYDLLPHDFIFRRLKRFKVCIGFSTESKMAQNTLTLRLPASWEADGFEILFNRTEILHLHGWRLLANSILSNPESSNFLMLRNLKLESCDMCHLTEICHGQYHETAVGEITIDEPETSWKPLFRNLHDLEIVRCVNLRSIFSLNAPTDFLKLENLKITGCEMMEEIFLKKKRDQDERSVVEIELPNLKCLILEDLPRLTGFSKDVSSLVLPQLLEFRLRNLPKFQALNIVDENRSSANHSLFDQKILATTQLKVLSISKMKMKEIQKHLLPVSCFVSLRVMSFCKCDDLSSVVLSDLLRKPKGLKLLHLEDCHLVEIVFEIQKLLTEGLPVLNNLSDLELESLPKMTHIWKHGPETFVGFQNLTKLSVASCHQLTYVLLPSIATILAHLQELSVTECQRMTVILKEGSQHEAIQATNRSDQLVFPRLKSLELIDLPSLRCFCSELHDFIWPSLETVWIDCCKEMMIFTAGTSSTPKLREIWINGTNHTIERDLNTELQWLQQQLNDGDSSDSTFRSSVIE >KVH94911 pep supercontig:CcrdV1:scaffold_4296:18322:25509:1 gene:Ccrd_003021 transcript:KVH94911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKKKPGFQLCLSFIVFHSVNSYQREPTAREQGGVKMEERSSSTNSNQRPSLALSELKMSTTCLELQILLEMEMLLYIAIWAIPLSK >KVH96934 pep supercontig:CcrdV1:scaffold_4298:38728:40293:-1 gene:Ccrd_000973 transcript:KVH96934 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MESFDIVLAFLTCSFCCFWLIRRSIVSSGMKNLPPGPPGWPIVGNLVQVILQKRPFMYVVRDLRAKYGPIFTMQMGQRTLIIVTSSDLIHEALVQKGSTFASRPPDSPIRLLFSVGKCAINSAQYGPLWRTLRRNFVTELINPIRIRQCGWIRKWALEEHLKMLESENSQHGFVEVMSTCRLTICSILICLCFGARISKGKIKNIESILKDVMMITMPKLPDFMPVLLPLFRRQLVAAKELRRRQMECLVPLVRARRVFCESREDNIKNPSINRLELDSLEMVSPVGAAYIDSLFNLEPAGRGRLGEEELVTLVSEVINAGTDTSATTVEWALLHLVMNQEIQEKLYHEIIRKVGVNGVVQESDVEDMAYLNAVVKETFRRHPPSHFVLSHAATEPTELGGYVIPPDVNVEFYTAWLTEDPDVWEAPEEFWPERFLNGGEGANVDVTGMRGVKMLPFGAGRRICPAWSLGTLHVNMLLARMVHAFKWIPVPGHPPDPTETFAFTVVMKNPLKATILPRNKT >KVH95947 pep supercontig:CcrdV1:scaffold_4299:3435:5772:-1 gene:Ccrd_001971 transcript:KVH95947 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein METRSAKRRKLRNPEHPSENNSGEDRITDLPNAVLHHILFLLPIKSIVQTSILSKRWRTLWYTFPDLDFTTIIPSGTALTKFVYLKNLGNVITQILSLRTKLSDVRILRFCACMSFSGLHALIRTAVRLRVQELDIRVATNDTFNFPRSIIRSDCLRVLKVRSCPGFRLPPSRIMRSGFRTLQTLSLSFVHLDNQSYLLDMFTDSSFPQLRKLNLDSCFNLKHLRVGCRLLEELMLDNCSTLQVLEILSPRLETLKVSSCFNAINTDNTSFQINAPRLHTIVWSNTSITGKSCMQNLLCLHDVTIGFLARQENLDAAKLQNVSSFLSGFSHTEFLTLESPFVEIILKNNPLANIFFHPFVKLKSLELHISEIRGLASLLKVCPMIHTLIIKITNGLKSERRWNNRNVWDLSSTWEEQYWESQTNDLKPLLQFLRVVKIQGFSECENDIIILVKFLLNHGRVLQHLNLYPGDYNSRGYHRHEKFKSRIMGFSRASLDAKLAFC >KVH95948 pep supercontig:CcrdV1:scaffold_4299:14615:15364:1 gene:Ccrd_001970 transcript:KVH95948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSKVKLEYITDEYARKTSFMKRKTSVMKKLNELCTLCGVDACAIMYSEYDPEVEVWPSNVGAQFVLDQFMMMPEVEQSKKMVNQDSYIRKRISKAKEQLDKHMKENREKKIGIVISECLSGEASVVSLTLMDLKDMVFLIDHYVSEIDARLEFLKGGGPPPPPQLVQPQANVGGSSTDNHMVEGGVPADGYVPVVENAGALDGIIPNTEWFTDWVDGLGFGQGDHMTSNSPAADPNPPWSSPSSKTS >KVH95946 pep supercontig:CcrdV1:scaffold_4299:46708:47322:-1 gene:Ccrd_001969 transcript:KVH95946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MKGLNKKLKELTTLCGIDACAIVYSPYEPQPEVWPNCLGVQQMLAEFLRMPPKDQFRKMVNQDSYIRERIKKTDEELKKKIKENWELKMTAVMYQCLIGNVSPANLSMTNLNDLGLLIDHKLAEIDSMLESLKKAVPADQNHCHSYINHRRLSVCSNITNDHLMARGVPGHEHSLEKQPMGGILDSMDEMRSPKYFMNLLMGPD >KVH90535 pep supercontig:CcrdV1:scaffold_43:257765:261457:-1 gene:Ccrd_007444 transcript:KVH90535 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOSR2/Membrin/Bos1 MAVEGGGTLSELYQSSRRLLLKTRDGLERLERLEFSSSAGAVGSPELAVAVRRDIAHIQTLCSDMDGLWRSVASKPQRDLWKRKVEQVAEEADSFRESLDRYFQRQQKRMQEAQERAELLGRANGESSHVLRIFDEEAQAMQSARNSSRMLEEASATGAAILAKYSEQRDRLKVPVPCHSYEHTDNGAQRKALDVLNTLGLSNSVLRIIERRNRVDQWIKYAGMILTFVIVIAFLRWTR >KVH90528 pep supercontig:CcrdV1:scaffold_43:385900:388536:1 gene:Ccrd_007432 transcript:KVH90528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MESDSMQPSNHLTKGCECGCNCCDPRFCTGTWIRSVKRKLDELEVEKEKFMISGILIPQVAHVELENECGVLREMVNNQQQSIHDLSIELEEERKASSSAANEAMSMILRLQRVKAEIEMEARQFKRFSEEKTAHDQQEIAALEDLLYKREQTIQSLTCEVQAYKYRMMSYGFTESEVEGEKGVITSSNSIAASSDNQFDYFPFDYPPLKCHSNEQHQANYPESSDNETVDIDKYTFEETPRSLKDIEQRINELERSPKHSQPILDKLIVGHSPRKPKSSRFSMDGSTGSFFATIKEDSVSDSPRFGGNIRKMDSQDYSSFKKVDNGSEFGDEMSDRIYTVDSIHYEASYHNNNNNKNNNPKANMPISDDFMSTPKDSMCRTDMDQDPEVMKLYARLHALEADRESMRQAIINMRTDKAQLVLLKEIAQHLCKEVSPTSRMPVYVRYDFKESRDDGAFRQRTSGWAMEVSFKNTTLNLANRYVFPLNWFSGRLATKNVNTDVGLVDS >KVH90582 pep supercontig:CcrdV1:scaffold_43:103564:105260:1 gene:Ccrd_007459 transcript:KVH90582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTNTPNLDHLLLQTLMGRLQIRPPNPLQPQLSPSFNKTLEDLLMDTINNISDAEDDQDDDDDDDENNRRSKTRLAKEESKLEKEVIKIILSGNTEEALKPNSGQAVTIGEHHICVGYHMEAGSEYRVWEWHGHIMLFDDENGYDPEYIYGNYFERLRVVPEKMKEEEAVMKEEEKPMNSGLKDLIGSSEDGGSGRILHRNMNTGSSRV >KVH90540 pep supercontig:CcrdV1:scaffold_43:268935:272295:-1 gene:Ccrd_007443 transcript:KVH90540 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MGSERAKVSFHLASIPRPQDVYRIMVNNVNKPFEHVWLPISEDGSRFIHPLNFVDQNTRDIKPVMPPPVESTPFKLVEEVKDLKELAAKLQDASEFAVLYSAFNLLVMHGADKDILWLQRDFGIYVCNMFDTGQASRVLNLERNSLEFLLLHFCGVSANKEYQTADWRLRPLTDEMLRYNTLYHINPYAREDTHYLLHIYDVMRIALGFSYRDLEHPDALIDLYQKDVLTEYSYLNIYGLHAADFNGQQLAIVAGLCEWRDIVARAEDESTGYVLPNKSLIEIGSSNIILFPVFFRSRIRTLCYCLYRITMCFPALFSLIYIAKHMPLTTGDLRRLLRFKHPHVERNLGAVVSVIQHSMRNAAAFENMANKIKQERLQMVSIELAFFF >KVH90538 pep supercontig:CcrdV1:scaffold_43:302191:303813:1 gene:Ccrd_007441 transcript:KVH90538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLISLEPLNVVAIRVEQGQKCYGKITLKNVMYTMPVAFRLQPMNKFRYSVRPQSGIISPLTTLTVEIMYDLPPNSSLPESYPYCDDSFLLHSVVVPGVAVKNTYTNDSVPSDWFTTRKKQVFVDSGIKVMFVGSMVMAKLVANGSMDEIRDVLEKSEPSWKAVDSVDSEGQTLLHLAIGQGRADLVQVLLEFKPDVEARNRVGLTPLEAAAASGESLIVELLLAHQASAQRLEPSAWGPIHLAAGAGHIDVLRLLLLKGVNVDALTKDGNSALHLAVEERRRHCARLLLTSGANPNIRNSSRDETPLHIAVALGDDKMVNLLLQKGANKNIRNQSRQTAYDVATEHGHTRLFDALRLGDSLCVAARKGEIRTINRLLDGGVAINGQDQHGWTVLHRASFKGHTNVVQILMEKGVDIDIDARDEDGYTALHCAVESGHVDVLELLVKRGADIEARTNKGATAMQIAESLNYTGITRVLVHGGAEKDELALLSKTAPEFASKTGTRYGNEMGSMSMKKMTTRTRAVCGSFDQSSTPLIMI >KVH90553 pep supercontig:CcrdV1:scaffold_43:527393:531921:-1 gene:Ccrd_007413 transcript:KVH90553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVTSIILIAVVVTLSTKLYLVESSSDNNHVFSPCADASVERSDGFTFGIAFAARTAFFFNNSVQLSPCDRRLSLSSSNSQLSIFRPKVDEISLLTVNSSSFSPDSYGGYMVAFAGRKYAARSIPAFVANSTYIVTSFTLVLEFQKGRLQNLYWKRDGCSSCSGKSNFVCLNNQDCAIRTSSCKNRGGNVDCSLGIQLAFSGTDKHESVFNSWYEVKNLRQYSLFGLYKNLKDSLTSQYNSFF >KVH90561 pep supercontig:CcrdV1:scaffold_43:458715:462041:-1 gene:Ccrd_007422 transcript:KVH90561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNVCVGSSCYSKDGFFQSMSHPYWWSRSPDLIYYKNGEFSEQPPSIDKDPKAPAPIQKTPPRLVIIAKNETKPSQPMASNQEPNEGAKRQSEKVVTVKQQTKSSQPKVTSNVKKPNNMKRIKSAGLQVDKVLKTKTGHLKEFYNLGQKLGHGQFGTTFLCIEKTTGKEFACKSIAKRKLLTDEDLEDVRREIEIMHHLSGHPNVVSIQGAYEDSVAVHLVMELCAGGELFDRITKKGHYSERKAADLARTIVGVIEACHSLGVMHRDLKPENFLFVDEHEDSPLKTIDFGLSVFFKPGETFIDVVGSPYYVAPEVLLKHYGPEADIWSAGVILYILLCGVPPFWGESENDIFEEVLRGKLDFSSDPWPSISESAKDLVRKMLVRDPKRRITAHGVLYKPLDSAVLSRLTQFLAMNKLKKMALRLIASKLSEEEIAGLKQMFKIIDTDNSGYITFEELKAGVKCFGSNLKESEIYDLMRSADIDNNGTIDYEEFVAATLDMNKVNREDHLFAAFSHFDKDDSGYITLDELQQACKEFGVDDVHLEEIIKEADQNNDGRIDYNEFVAMMHGGSGAKKQPKNDFSIGFRERVPVPVC >KVH90587 pep supercontig:CcrdV1:scaffold_43:80695:86485:-1 gene:Ccrd_007461 transcript:KVH90587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MAAPPTRARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIGRDIKQRLAESDSKAEPQTIKINQPDQAAGGSQASQKSSCCGS >KVH90576 pep supercontig:CcrdV1:scaffold_43:171183:182446:-1 gene:Ccrd_007451 transcript:KVH90576 gene_biotype:protein_coding transcript_biotype:protein_coding description:AARP2CN-like protein MERPQKSHRSRQSGPSAKKKSDTDKKKRDLTEEKKQNPKAFAFNSTVKAKRLQSRASEKEQRRLHIPTIDRTTGEPAPYVIVVHGPPQVGKSLLIKSLVKHYTKHNIPDVRGPLTIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYVKREIHNLARFISVMKFHPLSWRTTHPYILVDRLEDVTAPDKVSTNKKCDRNVTLYGYLRGCNMKRGTKACIFTLALSSLLCVHIAGVGDYNVAGVTGLADPCPLPSAAKKKGLRDKEKLFYAPMSGVGDLLYDKDAVYININDHFVQFSKVGDEDDNARKGAERDVGEVLVKSLQNTQYSVDEKLNKSFINFFSQTSNNPGKVMNGDEQDDEDHVKHKNSIEPMEEPDTVGSDEDSDGEDVDDLEEAKNPHENSTTKTFGDSPELKDNQQERARNNIEEEVEFHKGRMRRKAVFGNESKLDDQEVTLYQFDTTASFSVVCGLVGTAFVSVGIESIASFLFCACATDDDEEVEDDNSDEESSSYSDSSGEEEEDDLEENVGNASKWKESLVERTISRQNMNLMQLVYGQSESKPNASLREDSDAEESDDEEFFKPKGEENKKLRQGLEGDQVNTEDSSKFTNYASVRDWKDGETVESIRDRFVTGDWSKAARRGKATEVDSENDDDGPVFGEFEDLETGEKHEGDQTGEANEEPDSAAEERRLKKLALPDDNSHKGKDNNGQANEGGFLDKLREEAELQRQRNIAELTDLDEATRVDIEGYRTGTYLRLEIHDVPYELIEHFDPCHPILVGGIGFTEENVGYMQARFKRHRWHKKVLKTKDPIIVSIGWRRYQTLPIYAIEDLNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNTQVSWLLFMIYNIYIILEFNHAAKIVKKIKLVGEPCKIYKKTALIKKMFTSDLEVARFEGASIRTVSGIRGQVKKAGKIELGNKPKKNGGQPEDGIARCTFEDKILMSDIVFLRAWTQVDVPCFYNPLTTALQARGETWRGPIERPLKKFNPVIVPKSLQSALPFRSKPKDTKSKKDGRAVVAEPHERDVHKLLQHLQLIRHNKMKQQKLKKQEKKKKHDTEKAKEEVVNKKRQREERRERYRTQDKLQKKMRRD >KVH90588 pep supercontig:CcrdV1:scaffold_43:94993:99838:1 gene:Ccrd_007460 transcript:KVH90588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPIETPNKPANSHHHSHPPLNERILSSMRRRSVAAHPWHDLEIGPGAPTIFNCVIEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFVPRTLCEDNDPLDVLVIMQEPILPGCFLRARAIGVMPMIDQGEKDDKIIAVCADDPEYRHYSDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPAEEAIEVVRHSMDLYADYIVESLRR >KVH90546 pep supercontig:CcrdV1:scaffold_43:480384:484993:-1 gene:Ccrd_007418 transcript:KVH90546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroneopterin aldolase MCSFILDLFSQSSSPSSPPGFLCCCFNLLLEYVELLIAVMELLLPFVGICFAAASLIHSLAMTSDVLKSGGDKLILRGLMFHGYHGVKQEEKKLGQKFLIDVDAWMDLRTPGQSDNMSDTISYTDIYRIVKHIVEGPSQNLLESVAQLIATTTLKNHEQISAVRVVVGKPHVAVPGPLDYLGVEIIRYRTTDMPV >KVH90559 pep supercontig:CcrdV1:scaffold_43:449814:453672:1 gene:Ccrd_007424 transcript:KVH90559 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MDSQIYGALGIFLFIFYLIPPAAINATQLPTKSSSAQINSNSVLVALLDSHYTELSELVEKALLLQTLEEAVSHHNITIFAPSNEALERHLDPEFKRFLLQPGNLKSLQNLLLFHIIPSRVGSKEWPGEDLESVAYYETLCIEEVGNHLPLTRESSGDKVVGGLARVTRPDDVIREDGLIHGIERLLVPRLVQEDFNRRRSLSSISAVLPEGAPVVDPRTNRLKKPATPVPAGAPPALPVYDAMAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIQTLLHYGGYNELADILVNLTSLATEMGKLVSEGYVLTVLAPNDEAMAKLTTDQLSDPGAPEQIIYYHLIPEYQTEESMYNSVRRFGKVQYDTLRLPHKVVAEEADGSVKFGQGEEPAYLFDPDIYTDGRISVQGIDGVLFPPEQTVEKPAGKVGPPSPAKVVAEPKRGGNYWKWHVQLLEHLDKIPNLQAVIDFYYKKYIFQRELSALKGEIKQPQICRLRGVQI >KVH90531 pep supercontig:CcrdV1:scaffold_43:327728:341529:1 gene:Ccrd_007439 transcript:KVH90531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin-independent methionine synthase MVKFNQIYPITISPTHYPLKTCINKPTSLHSNSCPPYFSPLYLRKNEPIRLSFETPFDSELPFFSPSYRTMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQNVSADLRSSIWKQMSDVGIKYIPSNTFSYYDQVLDTTTMLGAVPPRYNWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVNEYKEAKALGVDTVPVFVGPVSYLLLSKPAKGVEKTFDLLSLLDKILPVYKEVIAELKEAGATWIQFDEATLVKDLEPHQLQAFTKAYSELESTCSGLNVLVATYFADIPADAFKTLTTLPGVTGYTFDLVRGEKTLDLIKTSFPSGKYLFAGVVDGRNIWANDLAGSLSVLESLEAIVGKDKLVVSTSSSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGHKDEAFFAANAAAQASRKCSPRVNNEAVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAKKISEEEYVKAIKEEIFKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSTMAQEMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNVGVLDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSMEEIADRINKMLAVLETNILWVNPDCGLKTRKYGEVKPALENMVTAAKKLRSELASANPPPSTTIRVHHSSLLFYLRKNEPIRLSFETPFDSELPIFSPSYRSNFSSKFVLGLMILFGSARFCFTVNERNSNLLRIFKVKFSIRAMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQNVSADLRSSIWKQMSDVGIKYIPSNTFSYYDQVLDTTTMLGAVPPRYNWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVNEYKEAKALGVDTVPVFVGPVSYLLLSKPAKGVEKTFDLLSLLDKILPVYKEVIAELKEAGATWIQFDEATLVKDLEPHQLQAFTKAYSELESTCSVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNVGVLDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSMEEIADRVNKMLAVLETNILWVNPDCGLKTRKYGEVKPALENMVTAAKKLRSELASAK >KVH90549 pep supercontig:CcrdV1:scaffold_43:488012:496998:-1 gene:Ccrd_007417 transcript:KVH90549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MTHRFKDRLAQLFAFSLLANFLSLKILPTVRHILSKTLDFLKMDSLFFSADGGDDDSLFGNSSARSIQSLSDLDDERLYLVDHRWWNETRESLFREVNGILYPTSSGLSDDFDLEIILEMRTSESDSLDCRGEEQGVSGREYALVSEWMFYRALKCGGPPLQMRKNDFKAHVIFVSGHYDMKYVGNSVAIEDNKADFFSLQVRLSISATTNSLVVRISQKGNEIGAFNKSCQIFCVKSGLLNIWDFSGQITKFFLQGSMLSDKSEQVNEEVYGLSFDVMRKENGMAVEDLKTDGFSSGSSLKVNGHSDNVNSCVRLGHPPFPGSFSESYNLGLTGLYNLGNTCFMNSAIQCLVHTPQLVNYFLGDFRKDLNFENPLGMNGKLALAFGDLLRQLWTPGATSVAPRAFKSRVAGFAPQFSGYNQHDSQVGDPQEFLAFLLDGLHEDLNRVKIKPYNEIKDTDGVSDKEVADEHWQNHLARNDSIIVGMCQGQYRSTLICPLCKKHSVTFDPFIYLSLPLPSTTMRTMTLTVLSTDGTTLPISVIVTVPKCGKFTDLIQALSIACSLREDETLLVAEVYIQPPLARIPDCTDGSKIQKKFLKLLHPFLMPDEFSSDAFDDSVNTVNQDIEMEEKVDENAFNGVPNSEVNDRSFVDDNFQFYFVQQEHFSEGTKMLMDEPLLIPESRKITVLVSWPEEMLKRYDTCILSHLPETGGLSSKKPPESASLYKCLEAFLKEEPLGPEDMYCPKCLKHCQASKKLDLWRLPEILIIHLKRFSYNQFLKDKLETFVDFPIDNFDLSNYTVHKEGQSSFLYKLYAVSNHYGGMGGGHYTAFVQCGQQWYEFNDSQVFPISEDQIKTSAAY >KVH90584 pep supercontig:CcrdV1:scaffold_43:30492:31808:-1 gene:Ccrd_007468 transcript:KVH90584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MRILGKILPAVLLFFFLFTAPSMGEIKSLKIRSDNRPMILFEKFGFTHTGFVSIAISSVSVTSTLSQPDPSRLGFFLLSEESQIQVLLELQQNPNFCVVDSKFIARLFTFRDLSPPPQSSFNRTYPVTHPNEYSLFFANCNPQSLVTMDVHTELYNTDDGSTKDYLSAGLTQLPSLYFIFSLIYLSFLGFWISICFKNQRSVHRIHLLMGGLLVMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEKEKKVLMIVIPLQVLANVASIVIGETGPFIRDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVANAAEEIASLVFYMVMYYMFRPVEKNEYFVLDDEDEEAAEMALRDEEFEL >KVH90558 pep supercontig:CcrdV1:scaffold_43:440989:447254:1 gene:Ccrd_007425 transcript:KVH90558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEVPRMEEGKSKSHLTSPAAFVEGGIQEACEDSCSICLEAFCDSDPGTVTSCKHEFHLQCILECQELLEAVEQERRFRFNPTRNSTIFHHPTLGDFELQHLPVGVNDSELEDRIIQHLAAAAAMGRTHHVGRREGSRNRSAAHGRPQFLVFSTNPNAPSAATISASAGPVEEPAQPVAVASPVGGEAEPAAVESVRASAPSTARGGGTVQQMPASGTSHSPQGTSVIHRYSCYSNSFCGSSSSLSALPDQDIAGPSELQAVSDTWKYKESVSKSTRGWKERLFNKSPSMSNIGTEVRREVNAGIANMSRMIERLEVRENNRQDQDHDSASSNWTSGPGEDNRNHVEAPGAGAGAGAASN >KVH90567 pep supercontig:CcrdV1:scaffold_43:563157:564161:-1 gene:Ccrd_007409 transcript:KVH90567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MNDLFSGSFSRFRSEEPSPPSHHQNNIEMTGNMPSTGGVNLDKFFEDVEAIKDELRALETLHNQLQSSNEHSKTLHNAKSVKELRSKMDNDVAISLKKAKFIKVRLEALDRSNAANRSLPGCGPGSSSDRTRTSVVNGLRKKLSDSMNSFNDLRNKMATEYRETVQRRYYTVTGENPDESTVDTLISTGQSETFLQKAIQEQGRGQVMDTILEIQERHDAVKEIERNLKELHQVFMDMAVLVESQGEQLDDIENQVNRASSFINRGTTHLQVARKHQKNTRKWACFGIVLVLIVILIIILSIRPWENSGGGGGGGNNNSTPTLSPPPPPPPPST >KVH90570 pep supercontig:CcrdV1:scaffold_43:633737:635017:-1 gene:Ccrd_007404 transcript:KVH90570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGDLENDVEVPPFFVCPISLEIMKDPVTLPTGITYDRDSIEKWLFAKKNNTCPVTKNVVLDADLTPNHTLRRLIQSWCTLNPLSGVERVPTPRLPITKPQILKLLKDLKYPNLQMKTLKRLKLIVLENETNKRSMEAVGAVDYLVNVINDQNNLTSSSPAGEYSDDDVERFGLATPADEALAVLYHMHLSQNGLQGLFAKGGDFVDTLTRVMQCATANYESRTFAVLLLKSMFDAAQHMPVRTSSLKPEFFTELVNILVDQISQKATKATLKLLINVCLWGRNRIRAAEAAAVPALIDILLDSTDKRVSEMVLLALDLLCQCAEGRSELLKHGAGLAVVSKRIFRISPVASDRAVRILHSVAKFSGNTSVVVEMLQLGVVGKLCLVLQVDCGSKMKEKAMEILKMHARVWNNSPCIPHNLISSYPS >KVH90522 pep supercontig:CcrdV1:scaffold_43:365574:368645:-1 gene:Ccrd_007434 transcript:KVH90522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MARPFFLLYSLSDSLRSLFFIPTALALTTSLFILFYISSTSNLFTPHLHRHSRLHFLQNPSGLSPNLQSQPQSTLDFDSSTPKLGVTVDHGGDAKPLRSSNGRTVNVFHDHDIFIEDYIKMNESFKIYVYPHPRNHPFANVLLPVDFEPEGNYASESFFKKALNNSHFVTKDPTEADLFYLPFSIARLRHDPRVGIDGIQDFIKDYIFNISHKYPYWNRTGGADHFYVSCHSISRSAVRKAEEIRLNAIQVVCSSSYYVSTKKLAFFAGSMNSPVRERLIKAWQNDTEISVHSGHLNTPYEEALLDSKFCLHVKGFEVNTARIGDALHHGCIPTIIANHYDLPFADILNWKSFSIIIATSDILSLQKILTSISTDEYAQLHNNVLEVRKHFQWHVIPVGFDAFYMVMYELWLRRSSVRVPLS >KVH90544 pep supercontig:CcrdV1:scaffold_43:646317:649828:-1 gene:Ccrd_007402 transcript:KVH90544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MMMMMMEQHVDTHYFSITTTLSSEIQGGDGLQTLVLMNPGYVYYSDAQQSNQPQPGNFVFLDSNHPGNNPVNSHQQQANMSHAPPPPPPPSQTQQFVGIPLSASPPSSVHSQHDVSSLHAFIPRAQYMYNPVEMVAPPREVTPFQQGLSLSLSSQQSRYGSQAARVASISPTAGDERRATVGGGGTTSSASGISNSVNGMHNMLLNSKYLKATQEILEEVVNVGKGVLKNSDQSTKNLTTVGSGDGGSPPPITTEGLSRDETGSKSGGGAELTTAEGQEIQMKKAKLVNMLDEVEQRYRQYHHQMQIVISWFEQAAGIGSAKTYTALALQTISKQFRCLKDAIMGQIKAASRSLGEEDSLGGGRKPDGSGSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQEQNGLDDHKMSKSEQNEENSSSKLEKSLSPENSNRRFKCTKPENSFNHASQNIHPPSMSVSTSVSTSPTAAGINFQNPSGFSLIGSLEMEGITQFSPKKPRNSERQQQNQDGGFSLTGNPTDFMGGLGGYPIGEIGRFSAEQFQQQYSGNGVSLTLGLPHCENLSISGTHQSFLPNQNIQLGRGTELGEDNEFGAINPPSSSHSAAMYESMTIQNRKRFAAQPLPDFVA >KVH90539 pep supercontig:CcrdV1:scaffold_43:310832:315514:1 gene:Ccrd_007440 transcript:KVH90539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin-independent methionine synthase HHSSLLLYLRKNEPIRLSFETPFDSELPFFSPSYRTMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQNVSADLRSSIWKQMSDVGIKYIPSNTFSYYDQVLDTTTMLGAVPPRYNWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVNEYKEAKALGVDTVPVFVGPVSYLLLSKPAKGVEKTFDLLSLLDKILPVYKEVIAELKEAGATWIQFDEATLVKDLEPHQLQAFTKAYSELESTCSGLNVLVATYFADIPADAFKTLTTLPGVTGYTFDLVRGEKTLDLIKTSFPSGKYLFAGVVDGRNIWANDLAGSLSVLESLEAIVGKDKLVVSTSSSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGHKDEAFFAANAAAQASRKCSPRVNNEAVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAKKISEEEYVKAIKEEIFKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSTMAQEMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNVGVLDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSMEEIADRINKMLAVLETNILWVNPDCGLKTRKYGEVKPALENMVTAAKKLRSELASAK >KVH90574 pep supercontig:CcrdV1:scaffold_43:139426:146429:-1 gene:Ccrd_007453 transcript:KVH90574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MGIISLITGWPGPSGFGSATTAEQATAGIDAANLTVIITGGASGIGLETSRVLALRGAHVIIAARNMETANEAKQTICKSYENAKIDVLHLDLSSLKSVKTFADNFIALNLPLNKDVQIARNNAGIMFCPYQLTQDGIEMQFATNHLGHFYLTNLLLDKMKNTASATGIEGRIINMSSVAHLHTYEEGIRFDAINDKNSYSDKKAYGQSKLANILHANKLSRRLKVFFVLLIPEEGVNITVNSVHPGIIMTNLMRHSLNLMRIMKLLTYVLWKNVPQGAATTCYVALHPNLKGISGKYFLDCNEWPASDFARDPKLAKKLWDYSNHLLDSALQRS >KVH90556 pep supercontig:CcrdV1:scaffold_43:408203:414247:-1 gene:Ccrd_007427 transcript:KVH90556 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEKASPSSGLWRTQSDQLKKWVETMKSPVSNEATKEPESYTLSRKSSRNQVLASPGRSTHIKKGKSVQMKFDFDDVGSGAVLSRASSASLGISFSLAGFTIPPDEIADSRAFSDDDIPEDIEAGTRKKFQTEPTLKIHLKFTEVTYKIITKGVTSTEEKDILNGITGTVNPGEVLALMGPSGSGKTTLLSLLGGRLTDPNTGGSITYNDQPYSKLLKSRIGFVTQDDVLFPHLTVKETITYAALLRLPKTLMKQEKEKRAADVIGELGLERCQDSMIGNSFVRGVSGGERKRVSIGNEIIINPSLLFLDEPTSGLDSTTALRIIELLQDIAETEKTIITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMAYFSSIGCSPMISMNPAEFLLDLANGNMNDISVPFELEDKVHLGNSGRETRNAKPSPMIVHEYLVEACIANVAKETKKRLTIPNSVDQDDKSSLQSMKREWGTSWGEQYSILFRRGIKERQHDYFSWLRITQVIATAVILGLLWWQSDVHSPKDLQDQSVVFYLYLILNVRLQAGLLFFIAVFWAFFPVFTAIFTFPQERAMLKKERAADMYKLSAYFMARTTSDLPLDLLLPLLFLLIVYFMVGLRPTAESFFLTMLIVFLCIVAAQGLGLAIGAALMDLNKATTLASVTVMAFMLAGGYFVKNVPIFISWLRYLSFNYHTYRLLLKVQYESISSIIDGIELDSGLKEVSALAAMALGYRILAYLSLRHVA >KVH90524 pep supercontig:CcrdV1:scaffold_43:357063:360607:1 gene:Ccrd_007436 transcript:KVH90524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MAKRSPFGLVMLVICCWTAMSAAESMKYKDPKQPINVRIKDLMERMTLEEKIGQMTQIDRSVASPDVMQKYSIGSILSGGGSVPANRASPETWINMVNEFQKGSLASRLGIPMIYGIDAVHGNNNVYNATIFPHNVGLGVTRQVCRDPRWGRCFESYSEDPTIVRAMTELIPGLQGDIPTNSEKGVPFVGGQEKVAACAKHFVGDGGTTKGINENNTVISQHGLFSIHMPAYYDSVLKGVATIMISYSSLNGVKMHGDQELITYFLKNTVKFRGFVISDWQGIDRLTDPPHANYTWSILKSVEAGLDMNKFIPMSRIDDAVRRILRVKFVMGLFENPLADLSMAKYLGIQEHRDLAREAVRKSLVLLKNGKSGNTPLLPLPKKSTKILVSGTTILSAVKNTVDSTTEVVYQENPTPEFIKSNNFSYAIVVTGEYPYSETVGDSLNLTIPDPGPTTITNVCGAVRCVVVLISGRPVVLEPYVSSMDALVAAWLPGTEGQGVADVLYGDYGFTGKLARTWFKTVDQLPMNVGDPHYDPLYPFGYGLTTEAIKSSKT >KVH90557 pep supercontig:CcrdV1:scaffold_43:437208:437639:1 gene:Ccrd_007426 transcript:KVH90557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPRLVLRRSLEERRQFLQQHSSRKYKHMGEVVGGTTAEMAAVCCCVPCSMVDFVVLTMYKVPAGICRNAMRKRRQGSLVMKRNDSVSSLEDSELSMHPAVRAAAERFMKPEVDKDAMELENEMWEKFYESGFWRSPSGRLG >KVH90523 pep supercontig:CcrdV1:scaffold_43:361991:365481:1 gene:Ccrd_007435 transcript:KVH90523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MNDLLSASFGSPRRSGHGGGDIEMGIQHASQSGELELDDHFKMVQHIEKEFDVLNKLLKKLQDAHEESRSVTKAAAMKAIKQRMENDVDEVGRVARFIKAKIEELDKENLINRQKPGCGQGTGVDRSRTATTLALKKKFKDRVKEFQHVYQTLRESIHQEHREVIERRVFTVTGTRADEEVVDTLAEIHERHSAAIEVERKLLELQQIFSDLAILVDSQGEMLDNIETHVSSAVDHVQSGNRALQNAKKLKKNSRKWMCLAIIILEILPGKFRQMT >KVH90530 pep supercontig:CcrdV1:scaffold_43:343414:344121:1 gene:Ccrd_007438 transcript:KVH90530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MATFTHIVVVFVLAVAVLLPSTTIATDYVVGDDSGWTTNYDYQAWAKGKVFHVGDRLVFKYPQGVHNVFKVDGSAFASCTVPSPGTGLTSGNDVVTLMTPGKKWYICGVATHCADLNQKLVINVDGGWAAPAPAPNSATKYGYKSFMAIVVLAVFLMF >KVH90532 pep supercontig:CcrdV1:scaffold_43:205659:213446:-1 gene:Ccrd_007449 transcript:KVH90532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MQKLRGCVGECWGGFVGCLVRRKQVDSNVARAEGFQLAKRLSVFDLIAIGVGATIGAGVYILVGTVAKGQTGPAITISFLIAGIAAGLSALCYAELACRCPSAGSAYHYSYLCVGEGVAWLIGWSLILEYTLGGAAVARGISPNMALFFGGQDRLPAFLARHTIFGIVVDPCAAVLVFIITGLLCTGIKESSLAQGIITTVNVVALLFVIVAGGYVGFKTQWVGYQVAGGYFPFGANGVLAGSATVFFSYVGFDAVTSTAEEVKNPQRDLPIGIGVSLFTCCVLYMLVSAVVIGLVPCSALDPDTPIASAFASYGMNWAVYIITIGAVTALCAALIGGILPQPRILMAMARDGLLPSFFSDINKHTHVPVKSTIATGIFIACLAFSMNVDQLAGMVSVGTLLAFTAVAVSILILRYTPPDFNLLQSPPPESIDVVSSEFNNYITDINRENPIDVGSGYHTQKGFLCPFVPFLPVACILVNTYLLINLGSGTWIRVSVWLAIGVLVYVFYGRKHSLLIDAVYMPIVLENEHEIDDTIS >KVH90525 pep supercontig:CcrdV1:scaffold_43:344072:346359:-1 gene:Ccrd_007437 transcript:KVH90525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLSQSSIEDICKQIHGSLGNFSLLVRVFAGQLTQHECQKTRERYMEMYGEDLFDRLRNKIDGQASKTCMVLSSLMLSPHERDAIVANNAIFKQRGSVNYKALIEIYVGRKSSHFFLIQQAYQTKFRRHLDQDMLMALAASQKAHSAEVSVHIGKCDAQRLFQTGEARPGGFKIDEGVVLEILSKRSIPQLNLTFSIYKHIYGHSYTKHFKNKYDGEFEAALKFVVKFLNNPPKYYAKELEASIKGRKNDEGDLERIMMSRSEIDMNKIRKNYKNKYGMDLRDAIMENVPVGDFRDSIMALTSKTSIDS >KVH90564 pep supercontig:CcrdV1:scaffold_43:401242:401511:-1 gene:Ccrd_007429 transcript:KVH90564 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MNTSRHLHGPKPTPLMINKHNSSKIYKKDHNTSHQRHKHAVQGGRAYKSPVIVYLQSPKVVHVLPHDFMTTVQQLTGKPIASSPHQNHS >KVH90562 pep supercontig:CcrdV1:scaffold_43:463776:468349:-1 gene:Ccrd_007421 transcript:KVH90562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVWKDDDEDRNADETNEFEVLNPGSEARCSTRKIISSQCRTEEVEPGKFIKKCEKTEQLLKDCVGRPSEVVQSNKEYTEEDVTEQVKKGMPSSEVVPFDFPGLRSDIEAIERNLFGNMNRFFEAAEDMKNGFFGIFGSPHLYDGDADSKSAFGSHVYDGDSKFSSKRSVPIEPFGSKSVKAENRDGHVDISGLARDV >KVH90545 pep supercontig:CcrdV1:scaffold_43:609739:626779:1 gene:Ccrd_007405 transcript:KVH90545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein virilizer MGRPEPSVLFAQTFAHAHLDEYVDEVIFSEPVIVSACEFLEQSSSSLSPAVTLMGATSPPSFALEVFVHCEGETRFRRLCQPFLYSPSSSNILEVEALVTSHLVVRGSYRSLSLVIYGNTGEDLGQFNIEVDLDSSLTNTVSIVEGNLEDLPTALNPVKSAIEEPIYPLKSLSLLPIASDLSVEMKQVLQLSFKILEMPNAGDALNTVVNSLVTTATTYSTQSLQCASASHEQLTEGGLVDRGESYRDLSQVRKDLLDIFSSLQTTTENSLPESLTENIFLESEGDLVTSKQLVDTLRQHYHTLCNSESFVRAQLPQSKLLMAWLSAALLLCSCKESCFNFVNGGGMKQLCDIFCKNEHSSAATLMLLGVVEQATRNSIGCEGFLGWWPREDDKIPVGISEGYNQLLKLVMQKQRHDIASLATYILHRMRFYEVASRYEFAVLRVLGGFSSVGNVVSITMDMLASAKLQLKKLLKLITSRALVEDPSPVACTSRSLIFGETDGLLSYKATSRRLIIQINHATQERGFLPLSAALLSSSTLRSEVGHAMDLFMDITSYIASIILSLVFCRSGLMFLLLDPELSTTVILALRGSDLRMQESIPLRYASVLISKGFFCRPREIGMVMKTHLRVMNVIDRLITSQQHSEELLWGLWELCCLSRSDCGRQALLAIGHFPEAISVLIAALHSVKELEPVSVNSGNSPLNLAIFHSAVEIFEVIVLDSTASSLSSWIEHAKELHKALHSSSPGSNRKDAPTRLLELIDGGVVYHKNGAIGLLRYAAVLASGGDAHMAATNILACDEMDVDNVVGDVSGSYDGNVIDNLLGKPITESRFLGFTLRDSSVAQLMTTFRILNFISDNSVVAGALYDEGAVMVIHAVVINCKLMLEKSSNNYGEEAFNTSPTLEDLLVVSLSCILLAAWNFTYCIGRHIGFFRLYDVNFHCAPSHGYYLVDEGTECNTTSDILLERNREQSVVDLLVPCLSLLINLLHKLKEAKEQHRNKKLVKALLHLHRELSPKLAACAVDLSYPYPDLALRFEAVCHLLASALACWPVYGWTPTLFHFLLDSLHATSLLAMGPKETCSLLFLLNDLLPDEGASLWKNGMPMLSTFRQLAVGTLLGPEKEREINWYLQAGHKEKLIGQLTPMLHKIAEIVLHCAISALVVIQDMLRIFIIRMACVHADSAAILLRPMILWIDEWLAESSTLTDTDAYKVCRLLDFLASLLEHPCAKPILLSEGAIQMISKVLKSSADVFNSDAKQLLENRSSTKLPQLSWCIPASRSISLISESRTYFSPSGLDRHNAKILTKEDCSLLLFHLLRLIKVLPVGRELVACLLAFKDLGSSSEGRSGLLSVFLHVQSSYGEESEPESRQVIDGKFDLLELNNNPLLFCWRTLLNYIEMEDVPSVSAIEAVEALSSGALSFCMDKSLNLKMVDAVKYLFGIPCGVSGADNSSEDNIKYMLKLTSLLNNDEHATSRQVKEHANSLLLLLQRPSESDDVVSSIFQSLSSELQGPSKIQKISNFSIDRVEHYNLDSYADKFMWECPENLRDRFSQTGQSLRRKLTPLEAGASRRPRVDNSPAENMSQSAFSRGSGQFAAPSGPTRRDTFRLRKPNTSRPPSMHVDDYVARERNVDGTTSSNVIAVPRIGSSSGRPPSIHVDEFMARERERQNPAGMAGSMQVKNAPPENDPDAEKMNKSTQLRPDLDDDLQGLNIVFGGEESESDDGLPFPQPDDNLQQPASVIDEQNSPHSIVEETESDVNESSHLSHLGTPLASNFDESNQSDFSSRISVSRPEKSLTREPSISSEKKYFEPEDSRNGQMTSGALSSAKMEKSSGFSSSANIKNSKSSTQGLADSRMPPILCSNTSLGQAGNASLSAASKEFYEQKFPLTQPPLPPMPPPPTISPVHPKTLDSNQSTHFQNSMRDVQPPLPPGFHVHSEYARASLPSSGGSVRPQPPLPPTPPPYTAAASLSSLRTSTPPSSAYSQSNIGSSDLMQGFAPSNMTNLSGSGALLTSYPPPPLMPPMLFNRPGIPFNLYGTNPPSNQGENHSNLSQSFPIALSSIQPLTQLQPLQPPQLPRPPQPPQHLRPPIPASTQSEQGMPSSVQMQVQQLQMVQPALGDRDKLVQLLEQHPKLMQMLQDKLHG >KVH90583 pep supercontig:CcrdV1:scaffold_43:17606:19786:-1 gene:Ccrd_007469 transcript:KVH90583 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSFDTMSTGSDLPFLGPRSGPKSMELQQLSRQPKHHVSSTLGELLQLMGDANSPPNGGRHGRSESIHSCPFVLSFNSLTYSVKIPRKMSFSNSLGGTKVEVSNTKVLLDDISGEAREGEIMAVLGASGSGKSTLIDALANRIAKGSLKGTVTMNGEILESKLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRTLSKSKKRARVQALIDQLGLRNAAKTVIGDEGHRGISGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQTGSIVIMSIHQPSYRIMSLLDKLIFLSRGQMVFSDTPSKLPDFFSEFGSPIPENEDRTEFALDFIRELEISGAGTKTLIDFYKSWSRNKTHESRLVQEPKLSLEDAISASISRGKLVSGATNMDSSNLSSSVPTFANPFWVEVLVITKRSMLNSWRSPELYAIRLGAIIVTGTILATMFRKLDNSPRGIQERIGFFAFAMSTMFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVISHSLMSIPSLIFLSFVFACITFWAVGLAGGTTGFFTFLFFIFASFWVGSSFVTFLSGVVAHVMLGYTVVVAVLAYFLLFSGFFITRDRIPSYWLWFHYMSLVKYPYQGVLQNEFDDPTKCFVRGTQIFDNSPLGAIPDAMKVKLLKSMSQTLGVKLTSSTCLTNGADILKQQGVTDINKWGCFWITIALGFFFRILFYLALLVGSKNKRR >KVH90580 pep supercontig:CcrdV1:scaffold_43:124972:133590:1 gene:Ccrd_007455 transcript:KVH90580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 CCQRFQVFSFSCPKESKERNPRENSATPTTDLCGLTLPSPNMQSPVLVLKDSLTRESGSKVHHANIQASKVDINTTISSNITHVKLAFGRIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKKYHPTVICRGILFLILYYSVDLHNLYSMVVRSFAFAAYNKALEDALAVLDKISMSIDVNDRSMMLGLVKSCIGTKFTSQFGDLIADLALDATTTVGVDIGQGLREVDIKKYIKVEKIPGGQLEDSKVLKGVMFSKDVVVPGKMRRKIVNPRVILLDCPLEYKKGENQTNAELVREEDWAVLLKMEEEYIENLCVQILKFKPDLVITEKGLSDLACHYFSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAYIVDCKDPKACTDAMSVARNILKHPKLVPGGGATELTVSATLKQKSSSIEGIEKATVAFEAIPRTLAQNCGVNVIRTMTALQGKAKVRSMTVLLVISLQHANGENAWTGIDGNSGEIADMKELKIWDAYNVKAQTFKTSIEAACMLLRIDDIVSGIKKRQAPGASQPSKPTIEQEGEADNEQMIPE >KVH90572 pep supercontig:CcrdV1:scaffold_43:601113:603116:-1 gene:Ccrd_007406 transcript:KVH90572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPHKNVVSYNTMIGAYSRDGNQEEACNLFYVMRNLGFFPTQFTYASLFSCGSLGVEQGFCLQAAAMKSGLLFADAFVGTALLGLFGRQGCIDEALCVFEDMPFKNLVTWNAMISLVGCHGSADECMMMFCQLMRTQMTLSEPSFVGVLSGFQSEEDLESGEQLHGVVIKFGLVCKVAVANSLINMYGKCAGTCIAEKMFKMVPNRDVLSWNTIIGVLAKGEEPLKAIEFFCKMYIDGFLPNQITFLSAITSCTKSINLIYGELIHAKIIKNQFENDVLVGSSLVNLYAKCDRLDCAHRCFDEILEKNLISWNALLLGYSSRGCSTSFWLLREMIQLGISPNEVSFSSVIKSLLALELKEVHSLVVKMGYHLNEYVTSALMTSYAKNGLAADALSLFEDGKMPHSVVHSNVIAGLYNRSGQYLKTQELFCEVADPDIVSWNILIAACSRNGDYKEAFELFQQMRMKRILPDNYTYVSLLSICTKLCNLALGSSLHGLMTKADFNCCDIMVCNVMIDMYGKCGSLQSSVLMFNEMMEQNVISWTTLVSALGLHGHAKEALERFKQMEMIGIEPDKIAFIAVLSACRHAGLVKEGMELFEKMKEKYGIEPEMELYLLVVDLMARYGNLKEAEQLISGMPFPPNASIWRSFLDGCNRQRTAEHLSLQFQAC >KVH90565 pep supercontig:CcrdV1:scaffold_43:406914:407449:1 gene:Ccrd_007428 transcript:KVH90565 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter FLLVPNPKCSFTDRDVVLHCCVVGIFPSFHKKEAKLKNMRGADMYKLSAYFMARTTSDLPLDLLLPLLFLLIGYFMVGLIPATESLFQTMLIVFLFLCIVAAHGLGLAIGAALMDLNKATTLAAVTIMAFIMVGGYFMKVKH >KVH90548 pep supercontig:CcrdV1:scaffold_43:501794:505750:1 gene:Ccrd_007416 transcript:KVH90548 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MVVKMIKWRPWPPLLSKKFQVKLVVKKMEGGSGDPDPDPEKDFLRAVEVRWKGPKSTFRRTVKRNFTKEETVVEPNGVVQWDEEFHTICTLSGYKENVFYPWEIGFTVLNGLSPGPKNKVPVVGTASLNLADYASAGEEKDFELDIPLTVPCGATDSPPSLHISLGLVELRAAQESAESMQNQSQITENSSGEKDELSALKAGLRKVKIFKDYVSIRRAKKTLQEDDHEISESRSEEGDYNYPFDSDSLSESEEREVHESKEEDATFRKSFCYGTLANANYTGGSLYENSVYYSYRKSDVGSSQKEDPDASVLEGYLVQNSKRSILPWKKRKLNFKSPKVKGEPLLKKAYGEEGGDDIDFDRRQLSSDESLVRWHKAYEESSANQSSISEFGDDSFAVGNWEQREIISRDGYMKLQAQVFFASIDQRSERAAGESACTALVAVVADWFQNNHNLMPIKSQLDSLIREGSLEWRNLCDYETYRSQFPDKHFDLETIIQANVRPISVVQEKSFIGFFHPDQVEEGKFDFLHGAMSFDGMWDEISHESDGLTHGEPLVYIVSWNDHFFVLKVEPEAFYIIDTLGERLFEGCNQAYILKFDRNTTIYKIPVSDSVEQRPAADRFSISEDLGDEAVKNESEFEVICNGKECCKEYIKTFLAAIPIRELEADIKKGLTSSIPVHQRLQIEFHYTRLQPRAMDDLVQ >KVH90592 pep supercontig:CcrdV1:scaffold_43:62536:64104:-1 gene:Ccrd_007464 transcript:KVH90592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRTVVACKQILSLRNFKSIRLSHGLVYTGLIKTQKSDQRETISGVSLSSKSWLSDACKLFDELPQWDVVSITTLISGLARQNRHKETFYVFSRMLELEIKPSEYTLVALIHGSTSLKDLNLGKQLHSYAIKTNFESNVFVGSAVLDLYAKLNTIEEAQMAFEDTHDPNVVSYTALVCGYIKKERFDDALRIFTTMPEKNVITWNAMIGGYSQKGRSEEAVNLFMEMLKEGIAPTQNTFPCVFSSAGNIAALGMGKSFHASAVKTLGNIGIFVGNALVTFYAKCGSMEDSLLAFQKLPEKNIVSWNALINGYAQNGRCNEAIGFYHEMQETGVKPNAVTLLGLLSACNHGGLVDDGFAYFNEAKSKNPSLLEPAHYACIVDLLARSGRFLEAERFIQDLPFDPGIGFWKALLGGCQIHLNMELGEFAAAKILDLDPRDVSSYLMVSNAHSAAGRWQSALTIRQEMKAKSMKRVPGCSWIEVGHEIRVFVNGDRRSSCSDEIQTVLRFFFNHVAECASSSSLQL >KVH90527 pep supercontig:CcrdV1:scaffold_43:390976:392686:1 gene:Ccrd_007431 transcript:KVH90527 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLGCRSLLKQIKKRKRRKSHKCITKNPKRKIEQEQEDCAAEDGFSLKGSSPSQNYGVQPLGNFYFSSSPHNSRNTGLGNIQTLTDELVLDILGFLSGTDLGILSTVSKSFYVFCNHEPLWRNLVLENCGDGFLFKRSWKSTYVATYQPSFDVSKVGSLGLKVKDFYSDYLFQSWLCANLEMKHEWLDRDNVIRRKGISLDEFISNFEEPNKPVLLEGCLDNWPALEKWDRDYLVKVCGDARFSVGPVQMKLDDYFRYSDQAQEERPLYLFDPKFADKVPKLDQDYEVPLYFNEDLFSVLGEERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVVKGSKKWVLFPPDVVPPGVHPSSDGAEVACPVSITEWFMNFYESTKTWKKKPVECVCKAGEVIFVPNGWWHLVINLEDSIAITQNFVSRRNLLNVMEFLKRPNASSLVSGTRDRVNLHDKFRNAIEASYPGTIDKLVLEAEKKKAEQEKPSFWDSVKDSNSGAFKFSF >KVH90577 pep supercontig:CcrdV1:scaffold_43:120106:122386:-1 gene:Ccrd_007456 transcript:KVH90577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAFSSYCRRLVNGSSSLITSRISSYQMRSKHSISRAQTQSVSSDRPLRQFVLGKGKSAGRNSAGRITIFHRGGGAKRSQRTIDLKRNTSSVGVVERIEYDPNRTSRIAVVRWVEGAEVSRQRKANVVQDFAPSHKILPNMTIKGPFSFSSLPGMLEDRKVSHSGSKVDHVVVGLPKGVVPGSKIPFTSKITAIGSKMTSVNDIFLSAFSSSKVKGANAPYSFVSALGLPRMAVAGAKPDFFVPRMKDDVKENESLSLDEIKKWDKDSIVWGHKLKRKAAVSWQSLRHQEMLGLGGVAELNKSKPKLKAMNKEKSGEKFTADRAPVTYILATHQMKPGKMVMNCDSSKRSQNELLHRY >KVH90578 pep supercontig:CcrdV1:scaffold_43:116128:117562:1 gene:Ccrd_007457 transcript:KVH90578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core METGGKLKKGAAGRKAGGPKKKPVTRSVKAGLQFPVGRIGRYLKKGRYSKRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDDELGKLLAGVTIAHGGVLPNINPVLLPKKSHDKATKEPAKSPSKSTKSPKKA >KVH90579 pep supercontig:CcrdV1:scaffold_43:137470:137847:1 gene:Ccrd_007454 transcript:KVH90579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPLCFNSILERLSRRWPLLIYATTWTTILTVTVAVTSFAPELAYVWAVTPTSSFSRPCQVQKEGMVRVPFDVPSELFCLPAEMFKKSKMDLVVPPIFAAVIVAASACLVRALGLWEVDEVEAQ >KVH90537 pep supercontig:CcrdV1:scaffold_43:228037:231569:-1 gene:Ccrd_007446 transcript:KVH90537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAKISCSWQSVVVLLAVLFVPNLGSDSYNASQEQTLLRIQHLLYYPLVLSSWNNATDFCNTSQSSSVTVVCYENVVTQLHIIDSDESPRLPDDFSIDSFFTTLVRLSGLKVLTLVSLGLWGRLPAKISYLSSLEILNVTSNHFEGEIPPEIATLTDLQSLVLDDNKFTGWIPNPVGFLSRLSVLSMKNNSLNGLLPDSLGSLVDLRVLVLSHNNLSGQVPDLRSLTNLQVLELEDNSLGPQFPRVTDRIISIVVRKNRFASGLPEEVRSFYQLRRLDIALNRLVGPFPTSILSLPSMTHLDIEGNKFTGMLFEDLACNPELEFVDLSANLLTGRLPSCLVSSKARNVVYDQNCLTTNNGDDVKSQKPISFCSNEALAVGVIPRNHKTGKGSKTALAVGITGGIIGGIVLVGIAFLVFRRVHGKETGKTPAPRIIAENASGSYTSKLLSDARYVTRVMKLGTLGVPAYRAFSLEELEEATNSFDTSAFMGKGTQTFTHHIELISKLRHQHLVSALGHCFEFYLDDSSVSRLFLVFEYIPNGTLRDWISGQNSGKTLSWSQRIAAAIGIVKGIQFLHTSVVPWFFSSNLKITDVLLDQNFIAKISSYNLPLLVGNIEKDGDRGYFSRFKEPNRTSVGHPEKINVDMVDPRVQNACSDQSLKTTTEICSRCLLDDPAERPSVEDMLWNLQFAAQVQESWHSSEGSPVSSAQLAITQQ >KVH90534 pep supercontig:CcrdV1:scaffold_43:246279:247848:-1 gene:Ccrd_007445 transcript:KVH90534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteamine dioxygenase MGLYLVEDGLMPEDLGLPRSLQFLEPDSTVVVYTTVYESQNFSLYVFFLPENGVIPLHNHPGMTVYSKLLLGKVHIKAYDLVNPDIAYNNPSSFHPKLACLKTDTVFTAPCDTSVLYPTSGGNIHAFTAITPCAILDVVGPPYSKRDGRDCSYYKDIPYAVSPDEQMTMPEEDGKCYRWLEEIEMPKESKMDRIEYLGPQIIEVSP >KVH90585 pep supercontig:CcrdV1:scaffold_43:68759:70977:-1 gene:Ccrd_007463 transcript:KVH90585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MHLLFIAMLLPPSLEKLNLKSIRSQAKVIGTVTTLAGAMMMTLMKGPVLELFWTKGRTHHQSHVNSTGVDADLHNSLMGAFMIIVGCFSWSAFMVLQAITLKSYPAELSLTAWICLLGSVEGVIVALIMEGGKATVWAINWDMSLVATLYSGIVCSGLAYYIQGLIMRVKGPVFVTAFSPLCMIIVAVMGSIILAEQIYLGRYFFIILSVRFGPFLFS >KVH90563 pep supercontig:CcrdV1:scaffold_43:469023:471832:-1 gene:Ccrd_007420 transcript:KVH90563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone Hsc20 MWRSQLRTVISTLNRKTLPQSISPQLLEENTTQLQNHTNFSTLRGFNHNGRTRVSRNPQTLVWNSEFLGETSGFCSSGSSEETRYCWNCKSQGPFLNCESCGSVQPVDHSIDYFRIFGLDRKFDIEDGSLEGKYKDWQKKLHPDLVHTKSEEEREYAAEQSARVIDAYGTLRKPLSRAIYIMRLEGVEVDEEQTVSEPELLGEIMEIREAVEEATDSQALNQIQSQMEEKLRRWSDSFANAFRSKKYDEALRSIQRITYYHRVKEEIVKKL >KVH90573 pep supercontig:CcrdV1:scaffold_43:160738:169899:1 gene:Ccrd_007452 transcript:KVH90573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGDQRSNDDEMWSRGLPVALQFFFFCEREMSGKTKGSLGRCVVEAPDTTWGHDMFEGHATAHPARSNRVSSGIETGTKLLITNLDYGELFAEVGDIKRASIHYDRSGRSKGTAEVVFSRRRDAELAIKRYDNVQLDGKPMKIELVGTNMGNDGAAALPSAANVMYGSQNGAPRRGGVMGRLRGGGGGGGRTMRGGGGGGGGGGGGGGGGGGGGGGGGGRGRGRGRGGERGGKVSAEDLDADLEKYHAGAMQTD >KVH90550 pep supercontig:CcrdV1:scaffold_43:513413:520080:-1 gene:Ccrd_007414 transcript:KVH90550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MAGIQNKLFVVLLCSAYLIAPFADAIDVKYCKKNKDYAVKVSGVEITPYPVTRGPETTFTITASTDTPIVGGSLQIDVSYYFFGVYSETSDLCTKTECPVSCGDFAISHSQALPSVTPPGSYTLTMKMKDGDKNELTCITFDFSIGWYNSEEAVAAS >KVH90568 pep supercontig:CcrdV1:scaffold_43:650936:652779:-1 gene:Ccrd_007401 transcript:KVH90568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGWMRRSAMVVKGSIMGLGGAGRRQWWLRKKTIGRRVVVNPAVTIKVIGHQRYQSAPLHEGDLSATKCLKNMVPEASGLPVISYSRSNIRSGHYQLNNRPKKNKV >KVH90543 pep supercontig:CcrdV1:scaffold_43:680675:691729:-1 gene:Ccrd_007400 transcript:KVH90543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MHNNYINQKGSFQHIVLKIGRRDQLLFESFRSIDLDCIMLNGFSATLSKGMNRGFATLLAGALGLGAESFASLFGSTVKPVLLGCFVFLLVAAATFSRFFPNIKKRYDYGVLIFILTFSLVSVSGYRVEKIIELAHQRLSTIIFGGATCIIISICVCPVWAGEDLHKLIVLNLEKLATFLEGFGSEYYRISEGDKSFLAAYKSVLNSKATEESLANFAWWEVAHGKFRFRHPWKQYLKIGVLTRQCAYHIEALNGYLDEKIETPSEFQKTIQEPCMKMSSEVGKALRELGLSMKLMMCSSTSAIHMENCRKAIDELNTTLQASTVEKWDIVETIPVIATTSILVDIIKCVETISEAIEELSKQAHFQKPKDITSDINDKPHFIQHGGVVKPVDDGNKKEWVTINALEFIEKMTSSADTVQANVLAAILSRNDKTEYLKLYNLDGPTDRETFKSKILMIASSPSSPHQNPTTFFSQLKPSASNIKHAIHEFAMTIKKIGEDDPRRIVHSLKVASAITLVSMVYYLQPLYNGMGDAGMWAILTVVVVFEYTAGQTLSKCMNRGFATLAAGALGVGAESFASLFGQTVKPIVLAFLVFLSVLKLIPCTVGAATFSRFFPNIKRRYDYGVLIFILTFSLVSVSGYRVEKLIHLAHHRLSTIIFGAATCIIISICVYPVWAGEDLHKLIVLNLENLASFLEGFGREYYRISEGDKSFLAAYKSVLNSKATEESLVKPCMKMSLEAGKTLKELGLSMKLMVYPSTAAIHMENCKKAVDELNTTLEASRVEKWDIVETIPVITTTSILVNIIKCVETIHEAVEELSKQAHFKKMKDISNKNDKKRHFIQHDGAVKPVDDGTNKEWVAVNVQTTTIG >KVH90589 pep supercontig:CcrdV1:scaffold_43:38869:42446:-1 gene:Ccrd_007467 transcript:KVH90589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDSPVAGNNHQSLSRTRTMEKRDDTSLHIAVRAGDVDTAMEILSGKDEQELKELLSKTNQSGETPLYVAAEYSCVDLVKEMIKYYDSEAAGIKAKNGFDAFHIAAKQGDLEVLKVLMEAISELSMTFDQANTTALHTASEQGHIEVVKFLLDKNCTMATIARSNLKTALHSSARKGHLEVVKALLEKVPEIATRADKKGQTALHMAAKGQNVDIINELIAADNGLINMVDKKENTALHIATRKGRKEIVLALLSHKEIINKEAINKSGETALDTAEKFGQSEIATSLREHSVVNAKSLKKTPPLTSTARELKQTVSDIKHEVHDQLEHTLKTHKRVKGIGKRINKMHHESLNNAITSTTVVAVLIATVTFAAIYQLPGQYADDTKTKPPNTSLGEANIAPNPEFIVFLIFDSLALFISLAVVVVQTSIVVVERRAKKQVMAVINKLMWLACVFVSVAFLALSFIVVGNEKWLAIGVTVIGSVTLASTLGTMCYWVIMHRIEANNLRSIRRSARSSKSLSGSVSVHSSSENDDFKKLYAI >KVH90529 pep supercontig:CcrdV1:scaffold_43:379706:381927:1 gene:Ccrd_007433 transcript:KVH90529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MGSLPHVVEDCFGLVQIFSDGSIQRHERVDFPAFPVKDDGSVVWKDYCYDNLHDLHLRLYKPISTTAAAKLPVIFYLRGGGFCLGSFAWPHIHNCCLRLSSALHAIVVAPDYRLAPEHRLPAAMDDSLAALKWLQDLGRNPKGSGGDVWLDDVVNSFDFDRFFITGDSSGGNIAHHLAVQLGPGSPELAPVKIRGYVMLAPFFGGKERTKSEAEGSPERFLNVDILDMFWRMSLPVGDTTDHPYANPFGPQSPSLEPVKLDPILLIVGGDEIMKDRVKLYAERLKELRKKAQYFEFKGEQHGFFTYEPYSDVSDSVMNLIKDFMFEHSS >KVH90560 pep supercontig:CcrdV1:scaffold_43:454580:456548:-1 gene:Ccrd_007423 transcript:KVH90560 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2, C-terminal domain-containing protein MDSGNLEAENAVIEVVEDVDTNVTDPQNLNKVDEQNLDSSSTRGDESCENVKNDPKTPNSVKVTTKGSGTSKNTTKVAKDGSSTSALARKPRPSLSQSLSFPAKTRTPGSMRTSIDGQPIKPRFYLKIEEKVHAKEVEETNLQAKSKENQEEEIKKLRKSLKFKAAPMPKFYKDPPPKPELKKIPTTRPKSPRLGRIKSSVAASVEQTDTVHSPHVARDQTMSPRIKPTNRNKETAASKKPNIKPLAKTSQVKTGKSKEKALKTEAKEEKVEGCVDVNTTQESGFGSSSTNQDMTPADIAVGG >KVH90526 pep supercontig:CcrdV1:scaffold_43:393470:398499:-1 gene:Ccrd_007430 transcript:KVH90526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Donson MAKVATRGPMIPTSKSLQFPCSIDGGSSGGSSKGTNGIKRKTPSELRGEQLKRKNIVELMDESPAPEWNASAVTSEPMKPVLSKNPRYIDTRVDELFPSRKNSLRLKMLSGKENVKENISADHMDGGLMNSSVSLKFAAERQPQRSGPKDTHASTSTTNTVGFRSTQSHIMNQKCNISTFRSVMELSAGAEKSSGFSLDMDEAFKGLAAREPPIVSVSPTESFDGNTNSASAKFCSELHIPSQKTPLDFTLKTSMRVVASSSVNWFHRLMSCGTFNDLGQFNSQDGWPVEKRNCSTAQISNTKALYSWVHPQSSLPPSVISALTLSANGEGQMDFLSKRQLSWEASFRSLFVMLRKNICNLFYVCTGQFVVMFTSGNGSIGSRGECNAYVSQSTRSLRSLLKEQDISFTMPLCHSKVEQVTTEDLFELSEIEKYNLGKTRRTVSQSDVDNSPESLLVFNGNNNVQGLYDFLLNYRFLLPSLNTLDVPLLYSPVAFENAAISAPEVKCKEVRRIDRTSVPTTSEPNQGSASPSYYSIEIKDAYLPPWITSSVCDAIKSNGEPMSIGLNVGLDVVSHKPDPKATSDGGSHEQSCPFGVRNTVVSSRLSRGFLKSLKYSDDSYTASLSPV >KVH90575 pep supercontig:CcrdV1:scaffold_43:188950:190632:-1 gene:Ccrd_007450 transcript:KVH90575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MVISSSPFSVFKPTTYLTLFLIIISLHFTSSATSNAADSTITTTCTAVHQQNSSTAKCNYVKSNAGCDPKGYINYLQIFYCDFSQFTELGFILLLLWLVILFYILSNTASEYFCPAVEHLSKTLKLSPAIAGTTLLPLGNGSTDVFSSVIAFTTDGGDIGLNSILGGSIFISTVVVGVLSLLIAYRKKIVVVDKPNFIRDVVFLLFSLSNLLIIIIIGKISLWPSILFASTYIIYILVVSYMHFITMRKHSITLDAVDDDQGRRVPLLGSIDEENVVHPAEKYVHYEEQIVPNRRNRFIMTIFHVINFPIYLPRRLTIPVITRERWSKPFLVISMILAPIMVALIWNTQEGKLGLKASVMIYFVAGAIGIALGTCTFAFTSSTHPPQNCLVLWYAGGFLMSVVWTYLTADELVSLLESLGTIIGMSPSIIGLTILAWGNSIGDLTANVAMAMYGGPDGTQIAMSGCYAGPLFNLLIGLGFSFVFVSWSNYPGSYVVPEDPYIAETIGFLMAGLLWALVILPKRQMRLDHTLGGGLLVIYLCFLFIKLARALGLLSGSSSN >KVH90590 pep supercontig:CcrdV1:scaffold_43:57290:60407:-1 gene:Ccrd_007466 transcript:KVH90590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MNPIIEGDGRDLEVGLDSSPNPIHLRSPSPSHWTPLALDSYPSPSPRAPALVVSNSGSVKSLSCTNSGKTLVFSNSGKSLSGPGSATSLMSQGGRKKYVKQVTGKHNDTELHLAAQRGDVMAVKQIIDEINEQMLRTTKGEEFDVEVAEIRASVVNDVNDLGETALFTAAERGHIEVVKELLPYTTEEGVALKNRSGFDPLHIAAREGHQEIVQIFLDHDPKLSKTVAQSNATPLTTAAARGHTSVVNELLSRDPSLLDIPRSNGKNALHLAARQGYEDIVSALLAKDPQLARRTDKKGQTALHMAVKGVGCEVVKLLLQADPAIVMLPDKFGNTALHVATRKKRVEIVNELLLLRDTNVNALTRDHKTALDIVYGLPFSEETSDIIENLIRYGAVRANDLNQPRDELRQTVTEIRNNVYNQIEQARKTNRNMSGIAKELRKLHREGINNATNTVTVVAVLFATVAFAAVFTVPGGNEDNGKAVAVHSPSFKVFFIFNAIALFTSLAVVVVQITVVRGEIKTERRVVEVINKLMWLASVCTTVAFISSSYIVVGRHHRWSAILVTVFGAVTMAGVLSGMTYYVVKSKKLRRVRRKCKSNSGSWRQWEFSDAEEKTIYAI >KVH90554 pep supercontig:CcrdV1:scaffold_43:555511:561882:-1 gene:Ccrd_007410 transcript:KVH90554 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD family MATLRMIDIAVNFTDSMFKGVYNGKQCHLPDIAAVLSRAWGAGVDRIIVTGGSLEESREALAIAETDDTWEWYSTEGSMFVAARLFCTVGVHPTRCNEFDESGDPEKHFQALLSLAKEGVEKGKVVAVGECGLDYDRTHFCSPEIQRKYFERQFELAHLLKLPMFLHMRAAAGDFCDILEQNKQRFYGGVAHSFTGTPEDRDKLLAFSNLFIGINGCSLKTSENLDALKGIPVDRMMIETDSPYCEIKNTHAGKNFIKSSWASKKKEKYDQDCLVKGRNEPCLVRQVLEVVGGCKGVADLNQLSRTLYYNTCRVFFPHDLDSAADGLLSGVQSSK >KVH90551 pep supercontig:CcrdV1:scaffold_43:510398:511465:-1 gene:Ccrd_007415 transcript:KVH90551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MRGRFLGKPKTISAITTVKQGLVFQASTLSQYFPTPTVTSDIKDEDYCRAPVSLEDLEKSVLVLEKIGNHSSLLEFEEKCPPGGSDSVILYTTSLRGIRKTFEDCNAIRFLLESFRVLYDERDVSMHLEFREEFWRTLGGRVIPPRLFIRGRHIGGVDEVVWLHEQGKLKNLVNGIPRSPTRGPCKGCGGMRFVLCFSCNGSRKVMLEEVNNSDDSPFPVVDVS >KVH90571 pep supercontig:CcrdV1:scaffold_43:579134:597418:-1 gene:Ccrd_007407 transcript:KVH90571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPAYSALRKMLVDEITPVVMVLPTPLVEERCQKNGLSFVQMLSPFCVFNNIDVPVRTASDQPYRLQKFKLRMFYASDVRQPNVEVTKERLKQVITDAGNNEDSDFNLDLSPVETIPTSSQPEFVPTWFQFFNKELVCSVSFSDHEAFDHPVACLLVVSSNDEDPIKKFVDLFNTNQLPPLLNDGAMDPKIPKYFILVHDNQDGSSERATKLLAEMRNTFGSNECRLLCFNSSEDGLVDHQPNPWDPYLKDFVRDLSSKDIIPNMEQRIRVLNQQVSATRKGFRNQIKNLWWRKGKDDTPENQSVNMYTFSSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRYAGVQEMMGLTYFMLNQSKKDAEYCMENAFSTYLRIGTSGKGNATRCGLWWVEMLKASGQYKEAAGVYFRISGEEPLHSAVMLEQASYCYLLAKPPMLRKYGFHLILSGDLYQKCDQIKHAIRAYRNALSVFKGTTWSRIRDHVHFHIGKWYAFLGKFDVAMKHMLEIVACSHQSKQMQELFMKDFFQILQRTGKPYKISRLQLPIVIISSLNIVSEDHRTYGSSAAVSVKESVWQSLEEEMVPSFTNAKTTWLDVQSKLLPNKLKQSNVCVAGEALKVEIAFKNPLQLYIPISNVTLMCEHSPSETLPGGETTVVQLTVIPRKEGRLKVTGVSWKLSDLMVGFYTFESDMVKKRISKGRRKAKQHTDKLEFLVIKVLAFSLFELELHSFISPFFVGESLPRLEGFINNLPSTVYAGNLQHLSLELRNSSEIPVKNLKMKISHPRFLNIGNPEVLDVNFPACLEKGKTSAHKDADLDTTKQSETVFRFPEDTVIHKETPFFLPLWFRAATPGNVSLYLTVYYEIDDKSTAMRYRTLRTHHMLEVLPSLDLSFYINPCPTRLQEYIVRMDVINRTGSRSLKLHQLSSVGNEWQISLIESINDIFPLGNLVAGQALSCFLKLKVGASVILIHCRCSFLAKKNGLPWLFYMH >KVH90533 pep supercontig:CcrdV1:scaffold_43:218000:225180:1 gene:Ccrd_007448 transcript:KVH90533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 MGTLTSCSFTSTLNLGFRLRPNRIDLAGRFRLHDRRNDGSRKNSYILCSRELLSKKRRRIIGLDSDNKSFIGGFECSASGRDDNNDSSGNGGEDSDVSKDSILATISPEETTAGGEADSDKPVPPPASISSRPPSISPIGSAYSNFQVDSFKLMELLGPEKVDPADVKLIKDHLFGYSTFWVTKEEPFGDLGEGILFLGNLRGNREDVFAKLQSQLAEIMGDKYNLFMVEEPNSEGPDPRGGPRVSFGMLRKEVSEPGPTTLWQYVIAFLLFVLTIGSSVELGIASQINHLPPEVVKYFTDPNAIEPPDMQLLYPFVEAALPLAYGVLGVQLFHEVGHFLAALPKKVKLSIPFFIPNITLGSFGAITQFKSILPDRKTKVDISLAGPFAGAALSLSMFAVGLLLSSKPDAAADMVQVPSMLFQGSLLLGLISRATLVQCMEPQCPSIHLCGLTTSAFNMLPVGCLDGGRVVQGAFGKDALVGFGLTTYSLLGFGVLGGPLSLPWGLYVLICQIASAESAMADPLQICGWSSALALILLVVCL >KVH90547 pep supercontig:CcrdV1:scaffold_43:473201:478656:-1 gene:Ccrd_007419 transcript:KVH90547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTVLFQQLQGYNHLESTVNNHNPQGSAKLTYPRSNQTIINTTVMGSLRLIQGNHMLDLQMEGKENISQYRDKLDKTLMSPDLSNVESLKILVGNQMSKSLQCEDQEYSDKLVQKRTKEVANFLSMLRSASGSAVEGSKVNEIPQGGWKIKHDNQDCRVMYREGPAGTPFHTLLAEGYVDGPLDVCLCISWEAGLYQKWWPQFNIPAFKVLYSECVKKISESENIDKTTHGFTREGIPDVENVTRIDVVGGLALQKVSANRSYFRTIVNMDIKLDFVPPAIINFVSRQLVGSGFKLYKKEVASVSKGDADFSKALKEPFYGRIREALFTDDEVPNGFLKQDDVKIVHDAGLEQKDIKIVDGALEQETAKIVQPKEPCSLEGKEAKYQRSVGEIEEIEETRSHEDDNSNEHPTNKFINDCRVIVGKKQVIVSPEVKQALGTLEKVISVFRELEFNPRSLSLSRFTNNVFAELEDHKTNGSKRFVAASNEFTARTSQESRNSFSGHSSRQEGCATETQDDEDELKIASQEHETLSSRGSHRSSFSFAINEAITLTQNNTMNGEVKNSDESQKKMKKQRFCCLNFTS >KVH90552 pep supercontig:CcrdV1:scaffold_43:532487:534509:-1 gene:Ccrd_007412 transcript:KVH90552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSAASSSLISIATSSNSLCNSKTLNIVYDRVTDRSRGFAFITMASVQEAKEAIRMFSGSQIGGRTVKVNFPEVPRGGEREVMGPKIRSSNRNFIESPHKIYAGNLSWIITSEKLKDAFADHPGLLSAKVIYEKHSGRSRGFGFVTFSSVEAAESALNAMNGKEIEGRPLRLNLAADASRSGRSSEINVDGNEILSSVSAS >KVH90555 pep supercontig:CcrdV1:scaffold_43:537030:539128:-1 gene:Ccrd_007411 transcript:KVH90555 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor, conserved site-containing protein MNSVYYKEHYDGIASNPVGSLSSGSGPWWSGLVTRPTYEESMVRMKPSSMEDIGEQLMPIISPKPMAKGNMTHFNIFSGECKSSSNGQKPVQIQAAFSMQYAPQEYGGHLELGFGPPPVICGKNPYGGQYYGVLSGFGPQSTGRVMLPLNLSSDDGPIYVNAKQYHGIIRRRRSRAKAEMAKKVSKSRKVLKGIWSLFLGRYTQPFLHLSRHLHAKRRPRGCGGRFLNTKEMDKGKSGNPDSKTEHPTGSQRSEVLQCDLGNSTSPHETNAGRSHISGSEVTSMFSMGDLNHFPIGNLSVVSLSDMMMSGNGIHAFGMHNKWAAATTGGGNCCNLAV >KVH90542 pep supercontig:CcrdV1:scaffold_43:576270:577747:-1 gene:Ccrd_007408 transcript:KVH90542 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAPP III complex, Trs85 MNVSTKRPIWWLLDGPRIVHYNFSDSFCETKLKMTLHNSSGFVASVRVNTFDSPPGSAPSGSKLGWHDMSSPPIDIRVPAPDISGSSTGKAVSLESVPPFIWSGSSTTRVKLEPNSTTEISLLVTTFAPGTYDLSNYTLHWNLEESSNGREREGTCQGHPFYLTILQKE >KVH90586 pep supercontig:CcrdV1:scaffold_43:74225:76998:-1 gene:Ccrd_007462 transcript:KVH90586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDVGMSGFFNRGKPFFGVIFLQFGFAGMDILSKAALNEGMSNYVRNSASFRLEKLNLKNIRSQAKVIGTVTTLAGAMMMTLMKGPVLELFWTKGRTDHQSHVNSTGVDVDLHNSLMGAFMITVGCFSWSAFMVLQVITIQASDGVAPVINGVPRDRNQS >KVH90591 pep supercontig:CcrdV1:scaffold_43:61450:62109:1 gene:Ccrd_007465 transcript:KVH90591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVYDDGKQTRSFRYVSDLVCYLFVVKGAIDSSATIEFRENTADDPQKRKPDISKAKELLNWEPKVPLREGLPKMASDFQNRILNGDEGKGNK >KVH90536 pep supercontig:CcrdV1:scaffold_43:225823:226902:-1 gene:Ccrd_007447 transcript:KVH90536 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MEELNGGGSSSGGQRKGAGKRAIGPSEMAAPPESGSTPTTPCGACKFLRRKCVKGCIFAPHFSSDQGAALFAAVHKVFGASNVSKLLMHLPVHWRNHAVVTISYEAQARLSDPVYGCVSTVVAALQAELAMVQNQLINNRVMAANALQGSLQQPQAVHHPRWLQPEYSNASNNNMINMSSFQSSQNNLAGDHQTAVDLQPSFDPLQFSRPYGENGEGSGDLLAFAEQMFHPR >KVH90581 pep supercontig:CcrdV1:scaffold_43:105817:110204:-1 gene:Ccrd_007458 transcript:KVH90581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMDICSRIGFSPDDINRLHGIHLDTLGSTYGVMGDFSVDELAYGATKFLNIQDDDGVLGSIPPHKKGVFQMKNECGEAHQSEMITEDHKKLLSKCAIFPCSSKTLSSVAASVGGARKGKMKNDTDYTTSGVSTHDTAKSINPPCSSAISLPKPLKLVSAMKGSHEKGGVGPPLKKLSVKWAPDVYDPVPTSVVSNRGSSRKHNGKKKSKKKGSRESKGKEKKQSRKRGGRNSLNCYSEQKEEVIFVSAVDFHLDLDLFCGSSFPNPYGSSLHLSSIAEAT >KVH90541 pep supercontig:CcrdV1:scaffold_43:286530:292859:-1 gene:Ccrd_007442 transcript:KVH90541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF639 METTRNLSSIADDVLQRCALRLETPVNELIKEFEGGWKGEKAEYPRKLVEHVSSKALYEICKDVEQAIREGTFSKLTFDMMLAWETPTTADEESRTIKILLMRLWIKGMWRGSHTEEDAGINEGRVEECVAKEKEERKKPANEKPPNKHDEVPLFYSDIMPLLVNDKPSVGERAFVWLGLLVPLVADYINGRFTFETLTASTDNRLHFPAYNKFLQEIDKCVKHLQKQATPRNVEMADDEFILHVEGTASSQRVIRHIGKQSWPGRLTLTNYALYFEASGSMSYENAFKLDLSKDIDQSIKPAATGPFGAPLFDKAIIYESAELEEGFHIEFPELTSSTRRDHWLALVKEIMLLHKFLAKFKVESPLQAWEIHARTILGIIRLHAAREMLRISPPHPKSFLIFALFDELPTGNNVLDELAESLKSVKSGHPCSGSSILRNLNVSQGCIPCAESEVGTEQSENASNQPANLSSLETAVEQVREEAKEINTAKATADELKEDGIGDSALVLMELVEPLKSALPWFQERVGWPGHSSILNVYGFKTDLGKTKESRQANQHTDAVITAMILSAVVLAVIPLKYMIMGVVLSTFAMTLISGDSKDKKNKMGNRRLEGWWDSIPVIPVEVVQMPKDDSGQKNE >KVH90566 pep supercontig:CcrdV1:scaffold_43:4038:5841:-1 gene:Ccrd_007470 transcript:KVH90566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP00245 MEWEWLLEFLKGMVKPAAATAILLLAAALSYFQKLKLEGEMIYAIVRAFIQLSIIGFVLQFIFNRSNGWWIILAYLFMVSVAGYTAGQRAKHVPHGKYIAGASILAGTAITMFLLVLLNVFPFTPQYIIPVAGMMVGNAMTVTGVTMKRLRDDIRTQMSLVETALALGATPRQATLEQVKRSLVIALSPVIDNTKTVGLISLPGAMTGLIMGGASPVEAIQLQIVVMNMLIGASTMSSIMSTYFCWPNFFTKAYQLETNVFSIE >KVH90569 pep supercontig:CcrdV1:scaffold_43:642356:645223:1 gene:Ccrd_007403 transcript:KVH90569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNLNKENSPESHVHIPEDNCRRNCKYKGTAVNSLSRIGDRSTSDVLARLRTPDGRDEWIYCHSHILIEKSKYFADRLSESWPTCQILDSRNCVEIYCQEHDFDYHITVLRLFYVSIGCSVTDMWHGVKNALGILQVAVELGCPEIVATCVEYLEASPWEEAEEEEILKIIPGMGLLAEPILSRLQPVNPPAIVKIFLSATKFATSSLPPTMSDLKTSAQEQIEYMLTEDDDAPLLTADEEIKSKVRQCFKGLLARFNDIVKSACETGELHPFQCILVDMSWACQILTKLEIIKDFVENWIEVSENIVKAVQQVSQQDEMLETKLKVIEVASKVLEAIGYGNVIFPTAKRLHMVRIWLPFVRIMKPMIDSLATEDNDCSVIKMDGEIWQSLESAFVSIILALPSGEQASILSEWLENKHIRYPDLTEVFEVWCYRSKVANRRLAHIRGSNGTIKML >KVH88793 pep supercontig:CcrdV1:scaffold_430:151686:172716:1 gene:Ccrd_025619 transcript:KVH88793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEEEEPQKKMSNRGNNTGGGNNSNINSSNRPEWLQQYDLIGKIGEGTYGLVFLAKIKSNRSKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHMDMSLYLAFDYAEHDLYEIIRHHRDKVNQAINQYTVKSILWQLLNGLNYLHSNWIMHRDLKPSNILVMGDGEEQGVVKIADFGLARIYQAPLKALSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKATPNPFQLDQLDKIFKVLGHPTVDKWPTLAHLPHWQSDQQHIQGHKYDSPGLYSVVHLSPKNPAYDLLSKMLEYDPRKRITAAQALEHDALVPSQPGEKIVNYPTRPVDTNTDFEGTTSLQPAQHASGNVSGAMGGPHVMPNRSVPRPMHMVGMQRMQQQSMAAYNIANQAGMGGAMNPGNIPMQRGVAAQPHQQQQQEGRNGDARISTTEIKAFLSLQQCIA >KVH88791 pep supercontig:CcrdV1:scaffold_430:202313:202694:-1 gene:Ccrd_025620 transcript:KVH88791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDHSPATANTXRLMPQSNAILDCSPIITXGHVIPXSYVPISVSQFMDDLSADEDTP >KVH88792 pep supercontig:CcrdV1:scaffold_430:15897:19403:-1 gene:Ccrd_025618 transcript:KVH88792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHVHTKQGLPGWLLIVPEQGACRLVSCFSLHLPKLIDRGACRLLSCFSLHLPKLINRGGYHKKVLRFNSYRL >KVI00772 pep supercontig:CcrdV1:scaffold_4300:38419:40584:1 gene:Ccrd_020975 transcript:KVI00772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPPQAPPPTTVPRRFNFIYGHRKPTQNRPIVRGGIFSNRQILFPNQNHEQATTNFELEKWNPQQSVTAPPPPLSPSQHFFSVAQTLSPIARYIVDSFRKHKHWGPPVVADLNKLRRVTPKLVAEVLKVQSDPNISSKFFHWAGKQKGYKHDFASYNAFSYCLNRNNQFRAADQVPELMNMQGKPPTEKQFEILIRMHSDANRGLRVYYAYEKMKKFGVKPRVFLYNRIMDALVKTDHLDLSMSVYNDFKNDKLVEEGITFMILVKGLCKAGRIEEALELLGRMRKLCKPDVFAYTAMIKILVSEGNLDGCLRVWQEMESDKVQPDVLAYATLVMGLCKGNRVEKGYELFKEMKEKCYLIDRAIYGSLIEGFVNDRKVGVACDLLKDLIESGYRADLVIYNHLIKGLCNMKHVTKAHKLFQVTVEEDLRPDFETVNPMLFSYAELRKFDEFCKLLVQIQGLGFRVMNDLVKFFSLMVGQERDGAVIALEVFNNLKPKGYCTVPIYNILIGALHKIGEVKKALMFFHELKESKMEPNSCTYSNAILCFVETGEIHEACSCYNEIKEMSSIPSIDAYVSLVKGLCKIGEIDDAFMVVRDCLANVTSGPREFKYTLRIIHICRSNDANKVMGVINEMVEDGCSPDDVIFCAVIAGMCRYGTIEEGRKVFSSMRECKMLTESDLVVYDDLLIDHMKKKTADLVLSGLKFFRLESKLKAKGSNLLPS >KVH93901 pep supercontig:CcrdV1:scaffold_431:232240:238204:-1 gene:Ccrd_004047 transcript:KVH93901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MQRSGSSGGHQRQYSNSDNFIDTNFNNKWLQSAGLHHLYSSNPNLPPLQDFGFYGNNDVEGSRLNRNSQRITNAGGNADSLNPSACSRSSSFTKYGSGEEVSLNEFSPGLLDLHAIDTELLTEVESENNLNLLNQPLYGEGFDDLNAYALTSKFANISCGPTENNILKSSLADKEKASNVAKIKVVVRKRPLNKKEIAKREEDIISINPNTSSLTVHESKVKVDLTEYVEKHGFVFDAVMNEDVTNDEVGSGKTYTMQPLPLKASQDIFRLMHHSYRNQGFQLFVSFFEIYGGKVFDLLNDRNKLCMREDGKQQVCIVGLQEYKVSNVETVKELIERGNATRSTGTTGANEESSRSHAILQLAVKRLIDGNESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRVKSLSKGNNSRKDLSSSTSNLRNSVALPLSSVPSTMTRSEDVKLDRLPYETNRFGWTKQTDREFSPSLNMEPVGRSTTHLPSTVSPENYKSDYGGTDEEVMEDDFDNSMETHEPKNMPWMSGNKKAAGIQKLQTRLRNFQKRLEEYNVLSSASGGN >KVH93911 pep supercontig:CcrdV1:scaffold_431:19560:19757:-1 gene:Ccrd_004031 transcript:KVH93911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide, AGP MVNSISSVVVALIGFMFMAVFDVNQAQEFAPSPAPILPSNDGAAIDQGIAYLLLLFALAITYLVH >KVH93907 pep supercontig:CcrdV1:scaffold_431:96172:100959:-1 gene:Ccrd_004039 transcript:KVH93907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MASRRRMLLKVIILGDSGKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVHDVNVMKSFDSLNNWREEFLIQAGPADPENFPFVVLGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGLNVEAAFECIAKNALKNEPEEEIYVPDTIDVANARQQKSSGCEC >KVH93904 pep supercontig:CcrdV1:scaffold_431:143667:144524:1 gene:Ccrd_004043 transcript:KVH93904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MENRFKVRISRMFQSSFNSCRTKNISDVADQPFFFPENRHHRQLIDLFSPKPQPCKPKHQLENNPKPHTSRPKISDKYTLFPAPTDTGKPHSTSLPPPLFYEKTSKNAKKKKAHHRKSTKSQDFSSVTDNYYYDCCSSDEEDESDDETTLFSSRSLSSDSSVSFRKNKARRLPQKKTRKPAGGGGGGGGGGGGRGCKNRKATDVIPLKGKGKFVKDSVAVVKKSNDPHEDFRVSMLEMIVERQIFGSQDLENLLQCFLSLNCEEHHGVIFEVFTEIWDTLFSEWL >KVH93912 pep supercontig:CcrdV1:scaffold_431:884:10777:-1 gene:Ccrd_004030 transcript:KVH93912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein RQKTINNGVAINRQRLYPPKQFIFNHTHQKPIHLREEDMAEIHSNGSSKSSAKIPKIKFTKLFINGEFVDSVSGRTFETIDPRTEEVIANIAEGDKEDVDLAVKAALQENLEELVALDAIDAGKVFEQAKAGEMPFAASILRYYAGAADKIHGKTMKMANQLQGYTLHEPIGVVGHIIPWNFPAGMFFFKTSPAMAAGCTMVAGIPDGVINVITGFGTTAGAAISSHMDIDCLSFTGSTEVGRVVMQAAATSNLKAVSLELGGKSPLIIFNDADVDSTGEFCVCSSRIFVQEGIYEEFITKLARNAKGINVGDPFDPSTHQGPQVIETLYSNTNYFKKKICVVTTLINNVDKQQYEKVLSYIEHGKRQGATLLTGGKPWEKKGYYIEPTIFTNVTAITRANSTRYGLAAGIATKDLNIANTVSRSLHAGTVWINCYLAFDADVPYGGYKGSGFGREFGMEGLYKYLQVKSVVTPKKTWHKFITMATPNLQSKSPKSSSPSSSSMENLSIPFQVTFETIDPRTEEVIANVAEGDKEDVDLAVKSARFAFDHGPWPRFSGSERGRIMMKFVDLVLENLEELAALDAIDAGKTMKMANQLQGYTLHEPIGVVGHIIPWNFPISMFFFKASPSMAAGCTMVIKPAEHSHMDIDCVSFTGSAEVGRLVMQAAATSNLKSVSLELGGKSPLIIFDDVDVDSIVNLAILGSFANKGEFCVCSSRIFVQEGIYEEFITKFVKSAKEITVGDPFEPKTRQGPQVDKQQHEKILSYIEHGKRQGATLLTGGKPCGEKGYYIEPTIFTNVTDDMLIATDEIFGPVISVFKFKSLRAGIVWINCYLAFDADAPYGGYKGSGFGREFGMEGLYKYLQVKSVVTPIHNSPWL >KVH93897 pep supercontig:CcrdV1:scaffold_431:248185:255997:-1 gene:Ccrd_004048 transcript:KVH93897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysidine-tRNA(Ile) synthetase MARGLLLLPHYTAKSHLIRTGLSSSSTNFTKIPIFPTSRNPTSVRVLCSCSSNGGYEFIGLSKYKDTFSKRMAMAGLKPHHRIAVGVSGGPDSMALCLLVADWKSCGLNVASNGNNDMVDGLLAIIVDHGLRSESKDEAEMVQRRVLDIGIRCEIAHCKWYEKLQHICSRHQIGVLLIAHHADDQAELFILRLSRNSGVLGLAGMALATQLFATNPSLDDGSSSSILVVRPLLDFSKQDLYKVGYCFCAYDFLFLANSNCCSNDCFSDKNSYFIGRYVKGANRIGWKIPRIKIQYLHVIGSECRWETCHHVTIFKSELQAIISACRRTRFYVDQICHTLINQSVTVMPQGYVIIDLGILNPLKVPDICLSKFVTLLLQFISQRQRPVRGSAQKLLLDYFRTFPCKSSFTAAGCYLCAAPGSKGTKLLICCSVNSALPMKTESFYIGSSNKQKVNIITELEQIIEDGRSYSNKMVPKASDVPFLNAISSESILTEAKRLKILGEPTLISIQSLHKIELEKFKFKTETLTENVSQKVVESVDAKELGQGQIGHFMDRFVVSWKFIGENGGCYCGFGQYSVVEVRHMVDADWLDLSRLSKSDNLEDCQLEEVLKCSDYAKISARKALRLLKSIPVAARRSLPVLVDPRWQVLSIPSVCFSVCRCLKASVEFSPRVPLGGGYSSFL >KVH93902 pep supercontig:CcrdV1:scaffold_431:103088:106669:-1 gene:Ccrd_004040 transcript:KVH93902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSSPPPGGSPAPTTPVIPPPTNTTSPPPAASAPPPTTPASPPPLNSSPPAPVTPVSSPPPPPDTPAPSSSPPAPPTSTNPPPSTTSPAPPTSRNSPPSPAGRSTPSPPPPRSASNNPPASSSSDNSSGISTGVVVGIAVAGVLILAVLTILFLCCKKKKKRSQVPIGYYVPPPPPPKVGQQWQQNAPPATDHLVTMPPLKPTPPPAMAAGRQPPSPIGAPSPQPPPPPPFMSSSGGSGSNYSGGSNPLPPPSPGMSLGFSKSTFTYEELAMATDGFSEANLLGQGGFGYVHRGVLPNGKEVAVKQLKTGSGQGEREFQAEVEIISRVHHKHLVSLVGYLAPEYAASGKLSDKSDVFSFGVMLLELITGRRPARPLLTRAMDDGNFDAIADSRLQRDYNHSEMARMVSCAAACVRHSARRRPRMSQVVRALEGDVSLSDLTEGIRAGQGSAYGSHGSSDYDTAQYNEDMVKFRKMALGTQEYASSEYSRPTSEYGLYPSGSSSEGQNTREMEMRKYRRDGRDGF >KVH93899 pep supercontig:CcrdV1:scaffold_431:179697:182734:-1 gene:Ccrd_004045 transcript:KVH93899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like protein MEEYAKRVHDPYFQPQIAASTTGSGSSMASRVWVPGPVIVGAGPSGLAAAACLKNHGVPVLILERSCCIASLWKMKTYDRLCLHLPKQFCQLPLLPFPKDFPTYPTKQQFLAYLEGYARKFDLKPVFNTVVVRAEYDGGCEVWRVKTKMVEYVCRWVIVATGENAEEVVPKIEGMNEFIGPILHTSSYKSGDCFENKKLHVLPQEMLGRSTFGLSMWLLKWFPIRVVDRILLFASHFMIGDTDRLGLNRPKLGPLELKNISGKTPVLDVGTLAKIRSGDIKVPISFFLSFFTRVYPGIKKLACNTVEFVDGRMEKFDAIILATDGFPTKPFPEGWKGECGLYAIGFTKRGLLGTSIEAARIAEDIARQWTTDTKHFKSFRHQPL >KVH93910 pep supercontig:CcrdV1:scaffold_431:48057:51448:1 gene:Ccrd_004034 transcript:KVH93910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MAQAARLNLRMQKELKLLITDPPPGASFPTLSPTSDLSSFSLTAIDAQIEGPEGTVYEKGVFKIKVQIPERPSVCCFVCVKDVDGFGYPFQPPIVTFSTPIYHPNIDTGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMCEASREYKYNKQVFDQKARSMTEKHARSEASPNHAGLQTEDKPTELAKIDTHEYAVDLKKLSGTNRKLSLDASGSNTKEVDTRMNEAPSNTIHGQSQIQERKQDSKDTQFKYVMNPQSIPLISSTNHYDQFPDQPLDHHQDHKPTVVSSTKLCLSGKNTQAEILASIKRTDDPISSVLSAHNDLKIQKEATDRSCLSNPKRKKLGLTGKKPSFGFSSVAPNRENDKKWNMGSGGSKGKLGEKGMNTNPTKLPRKPLQVLEEKHTHDLNLTADAEILRKSGLKHDGERSDDGILEEKEGLCDSEGVIVLDSEDSEEETSGSLNSRRLIARKRLLGKR >KVH93900 pep supercontig:CcrdV1:scaffold_431:213640:214688:-1 gene:Ccrd_004046 transcript:KVH93900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MESNDIIAPFVLKTYQMVNDPSLDGFIRWGIADNSFIVVQPLDFSQRLLPAYFKHNNFSSFIRQLNTYGFRKVDPDRWEFASEWFLRGQTHLLKNLGRKKQIHNRNNFMRSEEDDEVEMAVEIARLNQEQKALERELIGMNKRLEATERRPEQMMALLHKVAEDPEILPRMMLEKDQRSKRLIHKKRQRILIPPSPLPSPSSRVKNKEDDECRILGGWTASSPEGYYGNEPFWQSSPSPDTISMAWPRTKAVVGGGGLNMESGPVNGISMYGNYTDGGGGGFNMDYSGGVSPEPDVRPPPAYPFSLLGGGF >KVH93905 pep supercontig:CcrdV1:scaffold_431:82555:85217:1 gene:Ccrd_004037 transcript:KVH93905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERYAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQHKLAPMPEDRKKRWRREGCLDNFRDQKQFWYVSKDADESEKGVQRSDKWWLPTVKVPPEGLSEESRKWMQYQKDCVNQVLKASMAINAEILAEIEIPENYIDNLPKDIGHAILESYSRVLESLANTVMNRIEDVLYADNMAQDPSLPANKRTTSSRVSLGAFIDPTTAQSPGHTPTGSPTSRTLSDFMGWSVDQEGTETTKSISPKSEDTENEKYHSKSAKIISRTKNSYMEKLEAYGLRSPTVRH >KVH93896 pep supercontig:CcrdV1:scaffold_431:110066:116863:-1 gene:Ccrd_004041 transcript:KVH93896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MAAVAQFHMFPANPHHKTTQSPVTQLGFCRSSVLWEPIPPFSGRKVCLQPCRSFRSEEGKNGGGKEKGNTRRNVLGAIKSLILSNDNSMEKLEEKLSRIYCDDDEHRSDFVNWIQFIGNIDVKSLNEGGDGQMNDLIWYSWVGGIIIGTMIGSNMVLDEVSRAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSEKSVDMTIKELEENLQEGMATAMSSSRKNLVNAKVVGTSCDVCDPEDVRNLADFAVNELGSIDIWINNAGTNKGFRPLLQFTDEDIKQNKQMFNIICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWLRRGRWFDDKGRALYAAEADRLRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSTTGNASGHIDNFHLL >KVH93913 pep supercontig:CcrdV1:scaffold_431:36249:41183:1 gene:Ccrd_004033 transcript:KVH93913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MVGGNNSKNIQAKLVLSINKSSVKFDIWDTAGQERYHSLAPMYYRGAAAAVVIYGITTMVSFQRAKKWIEELQRQGDPHLVKVLVANKADLDTKREVQIEEGKRYAIENGLHFFETSAKTGENINELFYEIAKRVAQAAPSNPSGMKLQSV >KVH93906 pep supercontig:CcrdV1:scaffold_431:67911:69803:1 gene:Ccrd_004036 transcript:KVH93906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAYVKDTHMPLKMKVHAMDSPLLPTSRRVQPSFQPVFHTPPIELQDRSLSNNPETVSRSGFSFEILL >KVH93903 pep supercontig:CcrdV1:scaffold_431:122940:124665:1 gene:Ccrd_004042 transcript:KVH93903 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MEASRTTLINKYALACALLASTNSILLGYDIGVMSGAVLFIKDNLKVSSTQIEILVGSLNVCSLIGSFASGRTSDWIGRRYTIVLAAATFFIGALLMGFATNFWFLMAGRVVAGIGVGYSLMIAPVYTAELSPAVTRGLLTSLPEVFITIGILLGYIINYALSGLPSHINWRLMVGFAAVPAVGIAGGVMFMPESPRWLVMKGRVGEAKNVLRKTSDSEEEAHVRLEEITKAALDVVACDPRADTFRGQGVWKEFLKPSPPLRRILIAAIGINFFMQASGNDAVVYYTPEVFKAAGIRHRKQLFGVTIIMGLAKTSCVLISAFFLDRFGRRPLLLLGSMGMAISLAGLGLGSRFLEHLNHKPTWAIGLCVVAVCADVSFFSIGLGPITWVYTSEIFPMRLRAQGSSLAVSVNRLVSGIVSMTFLTISNKITFGGMFFMLSAIMVVATVFFYFFLPETKGRSLEEMGTIFEKKDTEGSKVIEVSEK >KVH93909 pep supercontig:CcrdV1:scaffold_431:60971:64699:-1 gene:Ccrd_004035 transcript:KVH93909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF502 MGDERSPNIRDRDRELLLPIANTPDDEQLSPKPLSSAISFSQHAGRETFSKVLRSWASKNVILLPLAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFVTSVTVIFVVGIFMSSWLGASVLSLGEWFIKRMPFEVAIIKHPRVGEYAFGFITSSVVLQSYSGEEELYSVYVPTNHLYIGDIFLVNSKDIIRPNLSVREGIGLLMVKGDPGYKKSFRGF >KVH93914 pep supercontig:CcrdV1:scaffold_431:28208:28459:1 gene:Ccrd_004032 transcript:KVH93914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYQNSCYSGLENLMKTRWYIPDQAPRIVVAEEDGGRGGGASHAVTNQCLCSPTSHPGSFRCRYHHNEYIWGSRVIRVTMRSR >KVH93908 pep supercontig:CcrdV1:scaffold_431:85417:92326:-1 gene:Ccrd_004038 transcript:KVH93908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII KPFAPTVAKSSAQIHLHTKPSLLPCSQIHLQTFLQTKMSHDHSDSVFANITRAPEDPILGVTVAYNKDSDPSKLNLGVGAYRTEEGKPLVLNVVRQAEQKLVNDPPAIQENRVATVQCLSGTGSLRVGGEFLAKHYHERTVYIPQPTWGNHPKIFTLAGLSVKSYRYYDPATRGLDFQDLGNAPSGAIVLLHACAHNPTGVDPTIEQWEQIRHGSLDADAQPVRMFVADGGECFAAQSYAKNMGLYGERVGALSIVCKTADVASRVESQLKLVIRPMYSNPPLHGASIVATILKDRRISMAGLSSKTIPHLADAMHAAVTSMA >KVH93898 pep supercontig:CcrdV1:scaffold_431:159665:161427:1 gene:Ccrd_004044 transcript:KVH93898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNISVGGYQRLPKTPPCNPFSCTRTRGGRPTVNHFSHRYRPSETTANRRTKAAAATAKGTSHDHYLINPKEPNLIINDHYDEANHRRRKKQEKKKIDHGKDKECLVTRKLKELEMMDRNNVDLVLDIEEALHYYSRLTCPAYRDIVEKFFVELYSKSFNLSRGNNAVSKID >KVI01654 pep supercontig:CcrdV1:scaffold_4310:27274:28590:1 gene:Ccrd_020068 transcript:KVI01654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MAEPSSYSLLFFCSFLLVVSSSVAKTSPRPKVLLLSVSKDAETLQYVTQVSQRTPLLPVKLTLDLGGDYMWVNCERGYTSSTYLPAPCGSAPCRLLNTTSCTTECYSAPAPDCYNNTCGHGPTNTVANTGTSGQLGADVFKIQSTDGKNVLPVVTVPRLYFICGSNFIEAGLASGVTGMAGLGRTGASLPAQLSSYFRFKRKFAVCLSSSTRSRGVVFFGNGPYTFLPNVDASSSVTYTPLIINPVTENGFLGDASPKYFIRVNSIKINDNRVLLNETLLSIDSEGYGGTTISTVDPYTILESSIYSAVVDAFVKAMPKNVKRVPSVAPFGACFSSKNIRRTRVGPAVPSIELVLQSESVYWRIYGANSMVEAREDVLCLGFVEAQTDFRPRFAVVIGGHQIEDNLLQFDLSRSRLGFSSSLLGRSTTCANFNFTSTA >KVH99309 pep supercontig:CcrdV1:scaffold_4313:9829:22629:1 gene:Ccrd_022460 transcript:KVH99309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MGALAADFSWTREDEFKLKKAAEAGVSMESLARGVVQFSKRFTIQELQDRWHAILYDPVVAAEASARILEFEQSASARISKSAQLDNIEEDISVSGKRKAESVRQLFYAMRKRMRDHPSNSLDVSFPNTTVNSNGNGNEEHPPAADFVVGEIVQDNQAAGFSTYEAAGHADFSLDVNHHTLHCEHQNCIGDNSYIELHDRGTLVAHTNQDNISLLENDASIEESDEFKEIYDLLEDEGTELPGMIDQPHIDKLNEYPVIRGEGNLHSPNPDCQAAIQNPGPSSMLQKMAVWNTEDPCSSTSPSIHVKEDQRSVARTSDFPGDVYSGVAKLEKQMCGETTTAVTSASSYLMEISNTLFDLAMEDDDLPLMDADGNVIDKSYIDGLSSLLLDSPKADARRDIIVSTMPVVDEFIDNHYAACFGQFGNKNQPQGGHQEAKSLECLLLPSASGMNSKSQNSVIICTLNTEDPEIPSNDDVFLLPLVPFTSPYVMQMDIFQPPPHSTSKSIKDSAVGWKRDFRVPKQIIPKENRYEQSQFPSPIIGSQLRSEILGDNRLKQDLSSSDGQHVLHGKPSLPCEDRSQVRPAIICRSDLFPASEKASATKSEQEMHHCNPVSTLLENKTDGSDIFANSPKLNAVVGNHDMHANATVQKEEILHAEIASTEHTNPILVVRPSPTDEALPSLSDDDIPNFSDVEAMVIVILDEDLSPSEQNLYVNGIESSCHLQSMLLYLFAMPLTSIDVVLRPEDRELIIETLDYPDTYSGNGSCIFVARSYRQETYVFDPLQFILPHSCLKLNHKDLTTLFRKYLALKYENADTHKMIIRWEQAAEALLKRDMTSRGAFAILQGWHMTYYVKKPEVLVGRATEDVHVDIDLGRDGHNSRVSRRQAIIHMDQEGSFYLKNLGKYSVFVNSVELATNQSASLTSSCLIEIRGMPFLFETNEACIKQYVDSIRTKPIWRTRRDEV >KVH67595 pep supercontig:CcrdV1:scaffold_4318:16763:18113:1 gene:Ccrd_025621 transcript:KVH67595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYPRRKKQQALAQPAMLFSQRFETASCAVMGFDSNYVLTAKNNNNKSQIATQIVANSSCYTDSNQTAVMFRCASSAVYFDSSLTIPQVLTGLELKSKAEAILWVTVSLYIVTVSSQK >KVI10792 pep supercontig:CcrdV1:scaffold_432:178433:179179:-1 gene:Ccrd_010797 transcript:KVI10792 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase, WSD1, N-terminal MGTGNDEPLTPAGRLFTQPATHQIINCALGLERALGFDEVRTVVSDSLMIKHPRFCSLLVTDDQGHXYWRLTEXDIDRHIXLHTDPVGEVGNDEAAINDYLADLAVSSPLSTDKPLWEIHLLSAHKCVVLRLHHALGDGISLMSLMLTLCRKLDDSEQTPTIEPLISSARNPRGLGINEKIFKVWKIIWFTMIYVMEFMMRSLWVKDGRTVVRGGEGVELWPRRIATAKFNLEDMKRVKRAVTNAVSS >KVI10796 pep supercontig:CcrdV1:scaffold_432:45318:48256:1 gene:Ccrd_010805 transcript:KVI10796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MITTAALLHFFFSISLLISSISALNSDGTFLLSFKHSLLSQPPSLLQNWNHGDATPCAWTGVTCAPQNGSVISLLLPHSHLRGSIPNELGLLHHLRSLDLSNNFINGRLPTSLFNCSELQTLSLSSNLISGDLPDLISGLHSLRFLNLSDNEFSGKLPESLGKLKSLTGVSLKNNGFSGGVPGGFDSVEVLDLSSNLFNGALPLDFGGGRLKYLNLSNNRXSGSVFAQFAEKIPANAAVDLSFNNFTGQIPQTLSLSNQKTGYFAGNLDLCGKPLKKMCIVPSSLSTQPNVSSESSPTAAIAGIPKPTGKSNNHGGRKVKSRKIAAIVIGDVAAMVLLAVLFIYACQFRKKKRNQNPTAKPKELDESRDLFTTCSCLNGVTGEETSENESESDGDHDDSNPMDGKNDEEEKNCLVMVDGETELEMETLLKASAYILGSSGESIVYKAVVGGGGGGGGGVSFAVRRIGESGVKKMREFEKIVRVMDKFRHPNLVRVRGFYWGEEEKLVIHDYFSNGSLAGTAYSTPPFSSSLCDLMRMLAYLSYHAYIIILCSLCIFVSTSEKDGLFSCHLPFEVRLKIAKGIAKGLFYIHEKKHVHGNIKPSNVLLTSEMDPIISDFGLEWLISGKSNSVTYKSTGDLGRKRSASSRRFINTFVIPPTRLHGCMSPYQAPESMKTLKPNSKWDVYSFGIILLELLLGKTFSDNELGQWNSKSSIIDNESNILKIIDEFITINAHDKKESIITCFKLGFKCASLAPQKRPSMKEALQVLEKIPCSS >KVI10790 pep supercontig:CcrdV1:scaffold_432:240266:240703:-1 gene:Ccrd_010795 transcript:KVI10790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-type fold MAAAPPNSVQCFGRKKTAVAVTHCKAGRGLIKINGVPIELVQPEILRYKAFEPILLLGRHKFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKFVDEEQKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KVI10799 pep supercontig:CcrdV1:scaffold_432:28740:31156:1 gene:Ccrd_010806 transcript:KVI10799 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MLHLIHRPSVDSISIRLQSSSHTVNVFFNSLSIINIPDPRLQLLSNLSKSQRSVPTSIEFVGIVGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGQVDPKSDIDAINLELAFSYLDQLSSTRLWRYESGEKSAANEVVLWIKNQQLKGCFVAKDQQLWS >KVI10794 pep supercontig:CcrdV1:scaffold_432:158296:165487:1 gene:Ccrd_010799 transcript:KVI10794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAAQVQVQPQAVSAGVGAAAPGGAQQFVPTSLYVGDLEWNVTDSQLYDLFNQLGQVVSVRVCRDLSTRRSLGYGYVNYANPQDAARAMEVLNFTPLNGKAIRIMYSHRDPSVRKSGSGNIFIKNLDKAIDQKALHDTFSTFGNILSCKIATDSTGQSKGYGFVQYDSEDAAQKAIEKLNGMLLNDKQVYVGPFLRKQEREMAVDKTKFTNVYVKNLSESTTDEELNKVFSEYGTVTSAVVMRDADGNSKCFGFVNFENAEDAAKAVDALNGQKFDNKEWYVGKAQKKHEREQELKQRFEQAMKEAVDKSQGLNLYIKNLDDTIDDENLKELFAPYGTITSFKVMRDPNGTSKGSGFVAFSTSEEASRALFEMNGKMVASKPLYVALAQRKEDRRARLQAQFSQMRPIAMAPTVAPRMPMYPPGGPGLGQQIFYGQAQPTFIPPQPGFGYQQQLVPGMRPGGGPMPNFFMPMVQQGQQGQRPGGRRAAGPGQQNQQPVPLMQQQMLPRGRVYRYPPGRNVPDGSMTGLGGGGMVSVPYDMGGGMALREAGISQPIPIGALASALANASPTEQRTMLGENLYPLVEQVEPEAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNVSQQQQQQAAGGAANQLAALSLNDGIVS >KVI10791 pep supercontig:CcrdV1:scaffold_432:244141:251808:-1 gene:Ccrd_010794 transcript:KVI10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MDNRALVALLSSLISELLLLLFVISPPSDDSLSFPFIPFLSTSHTATTLSLLSTRRKRSRSPESEEPTSSKLGRRVGGADSAIPRNPDSFKLYFKMTSSTFEWLSSLLEPLLECRDPVNSPLNLPVETRLGIGLFRLATGSDYLEISQRFEVSEAEAKFCVKQFCRVLCTNFRFWVGFPTPNELEPVTDSFETLTGLPNCCGVIHCTRFKILKNESMEEPIAAQFVVDSSSKILSIVAGFNGRKGNHLVLKSSTLYKDIQSNNLLNSPPIDINGVSIPQYLIGDSGYPFLPWLMVPFDQPVVNSLEDDFNSAHNLMLVSGFRTVDSLKKWGVLSKPIREEIKTMVGYIGACSILHNALLMREDYSSLSGKSDEHLRHTDSQFHGDFSFEDNSVEEKTFLPIGRAVDVSSGVDVFVFEDDEYENNAYSGQISTFHACSFFTIRFSSLASPLPPPSSFPTLVTPHPPPLSALSLLPHRCSTFLPPQLLVAPPPSTSTKIHSSTVEPCCGFV >KVI10803 pep supercontig:CcrdV1:scaffold_432:81135:81740:-1 gene:Ccrd_010802 transcript:KVI10803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MGRDFTWIQSIGVLFDNHKLQISAQKASSWDDAIDHISLTLDGESIFLPEIEGTNWNSSTTSITRIQDTNSIVVEVANLFKITAKVVPITKEESRIHNYGITNDDCFAHLDLKFNFFSLSKEVDGVLGKTYXDDYVSKVKMGVLMPXMGGDIKFASANLFATDCSVAKFKGSNEEGSTLNLELPSLRCQSGFDGRXVVCKR >KVI10800 pep supercontig:CcrdV1:scaffold_432:114110:116188:-1 gene:Ccrd_010801 transcript:KVI10800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSPYSSSFLDALFCNEEQDHQWSLDEDEDGDENEIAQTTLTDSSDLHLTPLDHQQDLFWEDEELVSLFSKEEEQQTHSTLADPCVFDARKEAVDWILKVKAHYGFLPLTAILAINYLDRFLSSLHFQKDKPWMIQLVAVSCLSLAAKVEETQVPLLLDLQVEDSKFLFEAKNIQKMELLVMSTLKWRMNPVTPISFLDHIVRRLGLIHHLHWDFFKKCEALILRLVSDSRFISYKPSVLATATMLGVVDEVDPPNSIDYKSQLLDLLKTTKVCLFWFGYNSLCLWNYHLMHQQMLRKNVYFESVNECYAFVIDLSYNQKKREHETSTPTYPASPVGVIDFTCNESSNDSWGFSFHQPSFKKTRIDQQFGFGSLINCFEPFVSPLQP >KVI10798 pep supercontig:CcrdV1:scaffold_432:26390:27973:1 gene:Ccrd_010807 transcript:KVI10798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDDLIVSPSSSSSMVSFPNTTIPHPSATIHQKLQNLLQNQSQPWAYAIFWQTFNDSSNGCVSLSWGDGHFQTNSDVPPTTVLSDSDPDADCRKSLLSEFQALLGPENRHDPEWFYVMSLTTSFTLGDGSVPGSALGSNTLIWLSGVDQLRSLNCERAKEARIHGLETLVCIPTPNGVVEMGSHHVIEESWILPHQVQSLFGRGSSSSPDALPNFFLPTGRTSDHPMKSADNLNNVEHQKNVISFADMLFMAGGLQEEDEGLNVIDFESTTSDHQNIGKLSKNNDTAAMITNTHAETTSEHSDSDCQLVLATRERRIHEKKKGKKTIKPGGRDAPPVNHVEAERQRREKLNQRFYALRSVVPTVSRMDKASLLADAVDYINELKGKVEYLESQLHPPINHHRKTRKVKMEVADTVDNPVQSSSSRSLMYQTQPTIQINKKTTKSNTSGGFWEVEVKMVGEDAMIRVQSENRDLPVAKLMDALREMKAEIRHASMSLMNKIMLQDVVVRIPGAIDEDELKTDLLRRLDS >KVI10802 pep supercontig:CcrdV1:scaffold_432:71886:78712:-1 gene:Ccrd_010803 transcript:KVI10802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate transporter-like domain-containing protein MSTVPLPFRTHHVSQSLDPQFRRRSSVCLSPSPSLLTARGPKVLHRNGVLARAEDKARGVGGGNPSPIEQPQKPKPNLDNQFQDLTSSGACDPLCSVDETSSQEYEATYQPKTDLLKAVAIFGAXATGTVAINHSWVAANQDLAMALLFGIGYXGIIFEESLAFNKSGVGLXMAVSLWVIRSIGAPSTDIAVAELXHASAEVSEIVFFLLGAMTIVEIIDAHQGFKLVTDNITTRKPRSLLWVVGFVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANSGGAWTPIGDVTTTMLWIHGQISTLPTMQSLFLPSVVSLAVPLALMSFSSEVNAKGQNAEDVLASEQMAPRGQLVFAVGIGALVFVPVFKSLTGLPPYLGMLFGLGVLWILTDAIHYGESERQRLKVPQALSRIDTQGALFFLGILLSVSSLEAAGLLRELANYLDSHISNSQLVASAIGVVSAIIDNVPLVAAAMGMYDLSSYPQDSEFWQLVAFCAGTGGSMLVIGSAAGVALMGMEKINFFWYFRKVSGFAFAGYAAGIAVYLASQNLHFSPITVANLPFLSGS >KVI10793 pep supercontig:CcrdV1:scaffold_432:197703:208565:-1 gene:Ccrd_010796 transcript:KVI10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase, WSD1, C-terminal MRNDEPLTPAGRLFMQPATHQIINCAFGLERSVGIDEARIVLSDSLMIEHPRFCSLLVTDDYGRHYWRKTEINIDRHIILHSDPVSEAVNDEAATNDYVADLAVSSPLSTDKPLWEIHILSAHKSVILRFHHALGDGISLMSLMLTLSKKLDDPEKTPTIEPLISSTRRNHRKLGIDEKVLKLLKIIWFTMIYALEFLIRSLWVKDGKTVLRGGEGVELWPRKVATARFHLDDMKTVKNAVTNAPATHQIINCALGLERALGFDEVRTVVSDSLMIKHPRFCSLLVTDDQGHHYWRLTELDIDRHIILHTDPVGEVGNDEAAINDFLADLAVSSPLSTDKPLWEIHLLSAHKCVVLRLHHALGDGISLMSLMLTLCRKLDDSEQTPTIEPLISSARNPRGLGINEKIFKVLKIIWFTMIYVFEFMMRSLWVKDGRTVVRGGEGVELWPRRVATAKFNLEDMKTVKRAVTNATINDVLFGVISSGLSRYLDKRSPECNRFFFQQVVLRFSFYFYESRFIFKFMLHFYQVNYLSFLALQEGLRITGVALVNLRPSPGLQDIKELMKKNAGSGWGNKFGIMLLPIYYHRNGSNPLDYLKRAKMMIDRKKLSLEAFLSYQIGFFVMNHMGAKFASLLNYRIVCNTSFTVSNVVGPREEITVAGIPVTYIRTSSSSLSHAITMHMVSYAGRADMQILAAKDIIHDPENLAKCFEDALLEMKEAVLRT >KVI10801 pep supercontig:CcrdV1:scaffold_432:137799:141898:-1 gene:Ccrd_010800 transcript:KVI10801 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MYNHPSISPSADHHSLMTSHSIHGSLLEVTVVSCNNLKDTEWISRQDPYVCVEYGSIRSSTRTCKDGGKNPTFQEKFVYSLVEGLRDLNITVWNSNTLTHDDLIGNGRVPLTKALSQGFDDSSWPLQSKTGRHAGEVRLIMHYSNANKPAKDNAQKPGKGFGPSAPPPYVTGSAPPAYMYAAPPPAASYPPSPYPSYPQNSGVYPYPPPQTAAPYPPHPYPPNPAPYGSHYPPGN >KVI10795 pep supercontig:CcrdV1:scaffold_432:166394:171716:-1 gene:Ccrd_010798 transcript:KVI10795 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase, WSD1, C-terminal MKKIEPVSTVTHQSPGRGRAEKGQPKRESRRGSRVVAVGLSPSPWTWTWTWRRKSWTTDEGKSSAVETINDVLFGVISSGLSRYLDKRSPDCNLNYLSFLALQEGLRITGVAAVNLRPSPGLQDIKELMKKNAGSGWGNKFGIMLLPIYYHRNGSNPLDYLKRAKLMIDRKKLSLEAFLTHQIGLFVMNHVGAKFASLLNYRIFCNTSFTVSNVVGPREEIAVAGIPVTYLRTSSSSLSHAIIMHMVSYAGRADMQILVAKDIIHDPENLAKCFEDALLEMKEAVLRT >KVI10797 pep supercontig:CcrdV1:scaffold_432:62681:66492:1 gene:Ccrd_010804 transcript:KVI10797 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MAALASSIILSAPSSLKKSTLSSLSWRLPLNVSLPRHQRRRASPVFVVVSMDAKPTILVAEKLGEAGIDLLKGFANVDCSYNLSPEELCTKISLCDALIVRSGTKVSREVFESSAGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASIKAGKWQRNKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMHIIAHDPYAPADRAHAIGVDLVSFDEAISTADFISLHMPLTPSTSKILNDENFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFTVEPPPQDSKLVHHENVTVTPHLGASTMEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVLVELKPYVTLAEKLGRLAVQLVAGGSGVKLVKVTYASARAPDDLDTRLLRAMVTKGLIEPISSVFVNLVNADFTAKQRGIRISEERVILDGSPEKPLEIIQVQIANVESKFASAISESGEIKVEGRVKDGVPHLTKVGAFEVDVSLEGNLILCRQVDQPGMIGSVGSILGEENVNVSFMSVGRISPRKQAVMAIGVDEKPSKEGLRRIGEIPAVEEFVFLAL >KVI04543 pep supercontig:CcrdV1:scaffold_4321:16453:44670:1 gene:Ccrd_017140 transcript:KVI04543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage/polyadenylation specificity factor, A subunit, C-terminal MSYAAYKLMHPPTGIDNCASGFITHSPADFPSRILPVQTEDVESVWTSTLTPGVGPIPNLIVTAANVLEVYVVRVQEDGNNTNKDSSSVAQPQRGGVMAGLSGASLELVCSYRLHGNVESVGVLSSGADERTGGRRRDSIILTFQDAKISVLEFDDSVYGLRTSSMHCFEGPQWLHLKKGRECFARGPLIKVDPLGRCAGVLVYGLQMIILKAAEAGGLAGDDGASSTGGSVSARIQSSYMISLRDLDVKHVKDFIFVHGYIEPVLVILHEKELTWAGRLPYKHHTCLISALSISTTLKQHPLIWSASNLPHDAYKLLAVPSPIGGVLVVCANTIHYHSQSASCILALNNYALPVDSSQEMSRSSFSVELDAANATWLSNDVAMLSTKSGELLLLTLVHDGRTLNFKFYLCRIVQKLDLSKSKASVLTSGITTVGSSFFFLGSRLGDSLLVQFHCGSSSSILTPGAKEEVGDIEGDIPSTKRLRRSSSDALQDMANDEELSLYGSDLNNAQSSQKTFSFTVRDSLINVGPLKDFSYGLRMNADPNAKGVAKRSNYELVCCSGHGKNGALCVLQRSIRPEVITQEAIPGCKGLWTVYHKNSRNQAEHSKTTAEDDEYHAYLIISLESRTMVLQTVSNLEEVTENVDYFVQGSTIYAGNLFGRRRVIQVYSRGARILDGAFMTQDLNFRATNSEAAMNSQSSAVSSVSIADPYVLLRMTDGSIQLLVGDPSTCTMSINNLAVFQSSKKSITACTLYHDRGTEPWLRTTSTDAWLLTGVSEAIEGPDGTPHDQGDIYCVICYESGTLEIYDVPNFSCVFSVDSFVSGRIYLGDDLFQESSDDSQKLSKSNEGAGHGRKDNNQNLKVVELAMHRWPGENTRPFLFGILADGTILCYHAYLYEGIENASKCEVVSIQSSSNPSGASSSNPSGASSSRLKNLRFSRVPLDTYTREEISAETPFPRMTMFKNVGGYPGFFLSGSRPTWFMKFRERLRIHPQLCDGPIIAFTVLHNMYCNHGFIYVTSQIPLKATPHQVTYFAEKNLYPLIVSVPVLKPVNQVLSSMVDQEGGHQIEHDNLNLDGTYTVEEFEVRILGPENSGGQWQTKGTIRMQSSENALTVRVVTLSNTTTRENDTLLAIGTAYVQGEDVAARGRVLLFSVDSSSETSQAAVSEVYSKEMKGAISALASIQGYLLVASGPKVILHKWTGSELSGVAFFDAPPLYVVSLNIVKNFILLGDIHKSIYFLSWKEQGSQLTLLAKDFGSLDCFATEFLIDGSTLSLMVSDDQKNVQIFYYAPKVSESWKGQKLLSRAEFHVGAHVTKFLRLQMLPASDRTNATPGSDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVSHVAGLNPRSFRHYDMLPFEHQLEIANQIGTTRSQIISNLNDLSLGCCARSVSCNIGVMKVYNLSSVHLNHSQ >KVI04542 pep supercontig:CcrdV1:scaffold_4321:12698:16313:1 gene:Ccrd_017139 transcript:KVI04542 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MFDSGSQFNEFGNDGGSATKTLVPKFSVFSKHVTAHTGFQIKIFVAGAIALKGIRSLLFIFGSTIGAFLLILHQLIVTPILYDFYNYDVEKKEFSQLFIKFTQVRKIEVRRVSTPNPENTRPVSRRVKYPSVQGFYLLLAILFYSGKIE >KVI04373 pep supercontig:CcrdV1:scaffold_4322:33363:39397:1 gene:Ccrd_017314 transcript:KVI04373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation transporter MKIAIAPTSFQRKAFVSSFLRVDLLWLQLSYFATLSVLGFIVLANLEPRNPLDRPKNFDLLFTSVSATTVSSMATVDMEVFSNTQLFILSILMLLGGEVFTSMLELQIQKFRLLEIKKTRYFDSNGCTDLENNSNSLKNNGIDLKHDSMKFLGSIVLIYFLTVHISSFLLVSLYISLVPSAKEVLSSKDLNITVFSTVTIISTFTNCGYLPTNENMMVFKKDLGLQLILIPLGLLGNTLYPVFLRILLSILRKVSDKEELEYVLRNHWELGYSHLLSGFRCRFLGLTCIGFIGIQFVVFVSMGWKSELMEGLNPLEKVIGSLFQVVNTRHTGESVFDLSLVTPAILVLFIMLM >KVI04725 pep supercontig:CcrdV1:scaffold_4323:25210:25749:1 gene:Ccrd_016956 transcript:KVI04725 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSKIVDEIKREINSNKITDSQLSNLPYLQASIKEAMRLHPPVPLLLPHMAAETCEVMNYTIPKNSKIFVNLWAMGRDPKVWDDPLSFKPERFMDSKLDLKGQDFELLPFGSGRRMCPGMPSGIKSVQLVLASLIHEFDLILPNDVDPMKLNMNDKFGIALKMEEPLKLIFKQKREHQYA >KVI04724 pep supercontig:CcrdV1:scaffold_4323:308:3931:1 gene:Ccrd_016954 transcript:KVI04724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein GFYVYIGNSSWNGSSQRTWIPIFSVKDGIWKFTGTGAFQCYDKWVVQGAVDVNIGANPSAEGGDEDKGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVTYIKRYTKQLTPKLDAEKQEFFKENIEAATKYLLSKLSDLQFFVGESMHDDSTTVFAYYKDGAIDPTFLYFGVGLKEVKC >KVI04723 pep supercontig:CcrdV1:scaffold_4323:7754:9573:1 gene:Ccrd_016955 transcript:KVI04723 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSKIVDEIKREINSDKITDSQLSNLPYLQASIKEAMRLHPPVPLLLPYMAAETCEVMNYTIPKNSKIFVNLWAMGRDPKIWDDPLSFKPERFMDSKLDFKGXDFELLPFGSGRRMCPGMPSGIKSVQLVLASLIHEFDLILPNDVDPMKLNMNDKFGIALKMEKPLKLIFKQKREHQYA >KVH67547 pep supercontig:CcrdV1:scaffold_4324:28538:32848:1 gene:Ccrd_025624 transcript:KVH67547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 1 [Source:Projected from Arabidopsis thaliana (AT5G55490) UniProtKB/Swiss-Prot;Acc:Q681K7] MMACQTRYNYGILWVIVLLSNNIESFSWFFSSGKINSIEKPSELPDASHDIVADFSMESFNSKKGMTLVEKARRKAALTNSCWQNAYQNLFAGCSEILAEEDQRSRLAWHLSDCFQKETGRSPFPYCDVKTTMRNCLSRLDQDAHRIYLEFYLETNSICHQLQIELSCCRTDAFKRQTERLVNELKRSAESAEDKLENIEEQAERLLHSSDHIHDSLASIDVQTQQVAQTSKNVEERVSIVLEHSQTVYEQSLRIADSQLELRDGQIKMNERLDEGMSMLNESANKLGEEMNNLRNEAVEIEKEIGKVGDAMFMKMDTLQIKADDIENIAETSLDKQKQLLDSQTAALEALHTMTSFQSEALEESRGTLQRLIELGHNQQQELIQRQEQLKQAHDHLVENSKTILAAQELFESKQASMFLAIDKLFTLHNAILLESRVIKAFLVYSILIFTLYMFTSLCVTFLIEFIVLRYGNDIEQQAWIISIIRSIFMLLASFQLLYAIYTYRDYETLNHQMLRSLIEKVNGMQGNKQLLCDDDSDVDWSLWVDDDLPEDELEDLDYTLPEHFGEASITTSVSRDYNLRNRRL >KVH67550 pep supercontig:CcrdV1:scaffold_4324:9952:14807:-1 gene:Ccrd_025622 transcript:KVH67550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MTLTLLPILFLFFFQLSSPQSLPSNTTGYACNSNQTAPSCQTYVFFQAMGPNQLDLASIGDLFNVSRPTIAKPSNLTSLTSPLSPNQPLFIPVTCSCNSVNTTTNLSYAKLNYTIQAGDTFYIVSTALFHNLTTYQAVEIVNPTLVPTNLTIGEDVIFPIFCKCPTDTQLQNQVRYLISYVFQPSDTIAAIASRFGVTVNSIVEANGDGIRPSETVFVPVSQLPVLTQPAPTVNTSVGGRSDRRRGEVIGLGIGVGICGVLLILMGGLLAYRESWWKKRAGKNKYADAESKKLDKVGESLMADVSDCLDKYEVYKIQDLKEATDGFDERRLMGGSVYKGCLHGKQYAIKKMKWNAYPEDANCYLVYEYLENGSLYTQLHQSKKEKLSWKTRLRIAVDVANGLQYIHEHTRPRVVHKDVKSGNILLDANMRAKIANFGLAKSGCNAITMHIVGTQGYIAPEYLSDGVVSTKMDVFSFGVVLLELISGREAVDDNGKALWVEAYEIFNGIEDKYKYKLGDFMDDVLLKESCSIDSVMNVMSIAIACLHKDPSRRPSTVDIVYALCKSDDLFYDLSEDGLSPRQVLAR >KVH67546 pep supercontig:CcrdV1:scaffold_4324:33573:35168:-1 gene:Ccrd_025625 transcript:KVH67546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MKTQTPFSWLHLILHLFLLVLSVGQVLLANTDDGSFFRCLIDNSDGSNLISKVVYSPNNSSYTSVLQFSINNLRFTSLSTPKPLFVVTPVNESQIQTVVYCSKKNGLEIRTRSGGHDFEGLSYVSQVPFVILDLINLRSIMVDTKSATAWVQAGATLGEFYYAIAQKSRNLGFPAGVWYALGLGGHVSGGGYGGMMRKYGLAADNVIDARFINVHGKILDRKLMGEDLFWAIKGGGGSSFGIILSWKMKLVSVPETVTVFSIDRILEQNLTKIIQKWQYVAPKIDKDLDIRVLMFSVVNPETGNRTIRATFETLFIGGIERLLVLMQEKFPELGLAREDCTEMSWIESVVFGSSFRNGEPPEILLNRTAMPKNSFKAKSDFATHPIPEEGFEGIWEFYNEKIEAGMALLVLTPYGGKMDEIPESAIPFPHRLGSLYMIEYLVGWDGDETSPHISWIRSLYSYMASYVSNYPRMACLNYNDLDLGVGTSYSEASSSWGPKYFRNNFNRLVKVKTMVDPGNFFKHEQSIPTRF >KVH67549 pep supercontig:CcrdV1:scaffold_4324:21062:23600:-1 gene:Ccrd_025623 transcript:KVH67549 gene_biotype:protein_coding transcript_biotype:protein_coding description:PnsB5 [Source:Projected from Arabidopsis thaliana (AT5G43750) UniProtKB/TrEMBL;Acc:A0A178UJI2] MAGVSPKPLSALSIASNSIPKIVAKSQQSADGIRVSSNAICYTSFGVRYRSYNNGRNGIRRLNAAGLTDIEPDINEDPVDRWDNTGVSDDDFIYGVYDGHHTYNEGDDKSTFWGSIAEDYAAIDPPTGFQGLISWLFLPSVALGMYLNVPGEYLYIGAAIFIVVFCIIEMDKPSEAYNFEPQIYNMERGARDKLISDYNTMDIWDFNEKYGDLWDFTVTEDDIMKR >KVH67548 pep supercontig:CcrdV1:scaffold_4324:39912:41249:-1 gene:Ccrd_025626 transcript:KVH67548 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAF domain-containing protein MALPSPPPKTTATATINRSSSDGGTVILNKYQLTRLLGRGSFAKVYHGRSLIDNSSVAVKVIEKPATADPAMEPRLVREVAAMRLLNHPNILKLHEVLATKTKIYLVMELAAGGELFTQLTRRGRMKEATARLYFQQLVSTLHFCHQNGVAHRDLKPQNLLLDRKGNLKISDFGLSALPESQKDGLLHTACGTPAFTAPEIVRGKGYDGAKADAWSCGIILFNFLAGYLPFDDSNLPNMYRKIHQREIVFPDWIPKQPRIIIQKLLDPKPKTRMSVETLMGLSWFRKSLKPDPTLELYDEHETNKDDSLNSIKSKTTMNAFDIISMSSGLNLSGIFEEKMMKKELRFTSTAKAEEIEKTVVEVGERLGYRLKKRKDKENSNNKRGVIGLVKGRVIILAKVMEVVPELLLVEMTVVDGGDGFSEVQWDELKVGFQDIVLSWHSDVQ >KVH67237 pep supercontig:CcrdV1:scaffold_4327:32042:32416:-1 gene:Ccrd_025627 transcript:KVH67237 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MMPSTSKSYCRKPKKVEFIPEPKQPWQVEQPRRNWLDLPSDVMSNILSRLDMLDILENAQKVCTTWRKICNDSSMWRVICMDNRYKWYMHKDVAMYKNVVDRSQGQLIDITIPSFGDEILQYVAY >KVI04296 pep supercontig:CcrdV1:scaffold_4328:15608:15859:-1 gene:Ccrd_017393 transcript:KVI04296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFIPIFTPFDALCCEFIARKLLVSNTSIKTQEVSECMKINESHDHPSPSADIKKPSKLQMKPRFAPEFDGVYCFETILPYY >KVH89162 pep supercontig:CcrdV1:scaffold_433:46670:56625:1 gene:Ccrd_008850 transcript:KVH89162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAAATDLRSPLLPSSNGSHRLQPTAVDARPSQVILTVNDGYSQSGTPTNQDSIRTATMMDMEDEFIIRRQENPYGVIGSDGFEAPGSTTVDPFRNQTPKIEGVYEWVKMLVILPIALVRLVLFGFYHWINRKGKPAPRDVAPILVSNHVSYIDPIFFFYELSPTIVASESHDSMPFVGTIIRAMQVIYVNRFSHQSRKHAVNEIKRKASSNRFPRVLLFPEGTTTNGRQLISFQLGAFLPGYPIQPVVIRYPHVEYLPVMAPSQHHKESAARFAERTGRAMASALNVKSLSPFMVEMTKIQKIFGFIDVDKNGVITFKEFLVGSAHILKLPVFRRACEMAFTQSDENKDHYISIQEMHTLFNLFDTDGDGKISKDDFMTCLRRNPLLIALFLHHFIDKDLTAETGSDEIV >KVH89168 pep supercontig:CcrdV1:scaffold_433:149768:150708:-1 gene:Ccrd_008856 transcript:KVH89168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MAHSPDLLRFHHHPPHPNSLPIPNSLSPKTSHSTPPPPPASAYSARRQPPRGKKSQSSSTSTASPALVISVEYRLAPEHRLPAAYDDAVEAILWVRDQALGIGINGCDEWLTELADFSKVYLMGSSSGGNIVYNAGLRALDMDLDPIKIVGLIMNQPFFGGVKRTETELRLVNDRIVPLTANDLMWSLALPEGCERDHEYCDPLRDQDKSYNEKITRLPKCLIKGHGGDPLVDRQKEFAKMLEARGVHVTRKFGDDGYHGVEIFDTKKAQVLYDDIKRFIWCFDEKKSTL >KVH89166 pep supercontig:CcrdV1:scaffold_433:203807:205601:1 gene:Ccrd_008859 transcript:KVH89166 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MMVGKKTANAVGGKTARACDSCGRKRARWYCAADDAFLCQSCDGSVHSANQLAGRHERVLLENGSSKLFGSGIGSQEPTWHQGLTRRARTPRSRSKYSLKLEGKNDVRNSSVPLVPEMGMLDQVSSFDDDDEEEEEEEQLLYRVPVFDPFETELCNASNEMERSLNFIVENKQEETCNLDDLQGFDLPTDDLELLEFAADVESLLGKGFDDASCRIDDLGLTNDCKEEDNTNDLGICFEENRIKVEDKEVEAILDCDFDATTETLDWDFGYESMMMIKEEEKKDVEGVAEEDTMMVPSDDQCKEGTTVRKSSIILKLNYEDVIDAWADQGSPWTTGIRPEIGSDGSCWPDFMGLQWRGDSSSYRSFGGSDGGREARVLRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRETFEEPPAASSFPSYLIKN >KVH89167 pep supercontig:CcrdV1:scaffold_433:177215:184961:1 gene:Ccrd_008858 transcript:KVH89167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MGQQSLIYSFVSRGTVILAEYTEFTGNFTSIAFQCLQKLPATNNKFTYNCDGHTFNYLVEDGFTYCVVAAESAGRQVPMAFLERTKDDFTKKYGGGKAATAVANSLNKEFGFTVKFTITRPKLKEQMQYCVDHPEEISKLSKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTQMRRKMWLQNMKIKLIVLGIIIALILIIVLSVCGGFNCGK >KVH89161 pep supercontig:CcrdV1:scaffold_433:80740:86125:1 gene:Ccrd_008851 transcript:KVH89161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVSLIIFSPRGKLYEFASSSMQETIERYRSHAKDVQTDNSSSVEDVQYLKHETATMAKKVELLEVAKRKLLGEGLGTSSIEELVQIEQQLERSACIVRARKMQVYNEQIQQLQAKEKMLAAENAMLNEKCLVQTDQVTAEIRADSRIIDNGENSDVETELFIGPPERRIKQRWLK >KVH89159 pep supercontig:CcrdV1:scaffold_433:114533:116406:1 gene:Ccrd_008853 transcript:KVH89159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PNPLVFDASILQNESSIPSQFVWPDHEQPRREPPPPPLHVPPIDLKAFFSGEPLAVSNATRLVNSACQEHGFFQVFNHGVDSNLINETHKIMNLFFGMPLRVCQEYCEAMSKLSLEIVELLGTSLGIDRSYFRDFYKENDSIMRLNYYPPCQKPNLTLGTGPHCDPTSVTILHQDNVGGLEVFVDEKWHSIAPCSEAFVINIGDTFMVTNSETTFACFLLLALSNGLYKSCLHRAVVNSETSRKSLAFFLSPRMDKVVRPPKALVEDDTRRVYPDFTWSSFLEFTQKHYRADVETLDAFSNWLQNEGK >KVH89156 pep supercontig:CcrdV1:scaffold_433:210455:226251:1 gene:Ccrd_008860 transcript:KVH89156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEEGAISSSETYQKNHQMMDRCAYQQQNNNNNSNDCNNNGVWLSGGEMMNDVVCPRPRRVDRLNLYVNDSIISRSSPRWQQYYAIDGSDLCDSEAGTHLLDLIFTKECFVAADRASNPFFCGSPPSRASNPLIQDARFANENPWPLSTGFEVSPSSSARARVKFGQMPAPVTVEGFNRRGISTFA >KVH89165 pep supercontig:CcrdV1:scaffold_433:129956:133999:-1 gene:Ccrd_008854 transcript:KVH89165 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, catalytic domain-containing protein MGKHDPSQKEKIADDLPSVLLLRQPFVFKVYERQFSEKFRFLKPWESSLPLHQFLSTHAASVQAAFFSAGRPITTDILQNLPELRFIMTSSAGLDHIDLNECKRRGIKVANAGSIFSEDVADTAVGLLIDVLRRVSAANWFVKAGLWQQKGDYPLGHKLGGKRVGVVGMGSIGLNVAKKLNTFGCIISYNSRKKKPHVTFPFYPNIQELAANCEIIIICCALMDQTRHMIDKNVMMALGKEGIIVNVARGAIINEKELVQCLLKGEIAGAGLDVFENEPNVPEELFHLDNVVMTPHNAVMTEESMNNLYEIVIGNLEAFFSNKPLIFEVVQDSGEHFGSKFLEIESWFQRCSSAFRYRDLVYDKKQ >KVH89160 pep supercontig:CcrdV1:scaffold_433:103337:110590:1 gene:Ccrd_008852 transcript:KVH89160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sin3 associated polypeptide p18 MAARGGEGQRRGGGRPLPPSARGPPGPPLHGKPGPRFEPVDREKTCPLLLRVFTKIGGHHSQADFAVRGKEPKDEVQIYTWMDATLRELTDLVKEVAPEARRRDALLSFAFVGKTFSYPNARRPDDGSKALGSLSFEIGDYLDVAIL >KVH89163 pep supercontig:CcrdV1:scaffold_433:163973:166024:-1 gene:Ccrd_008857 transcript:KVH89163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSTLPPTLPDFPTTLPPPAPPYTHRHRHGFPVLHSVLAGVLVFSLFIVLAIAYRKLSRKRTAPSDLKSPLQQPQQQLQQFSYNVLRRATSAFAATNRLGQGGFGSVYKGALPSGKEIAVKLMNGSGSLQGEREFHNELSLSTRINGACGAHSRHVISVLGFSSDQNRRRRRKMLIVYEYMQNGSLQDALLYRKCPELMDWKIRFMILLDVAKGIEFLHFSCDPPIVHCDIKPSNVLLDCSFNAKVADFGLARVLGVDENEIIESFLECGEEEGDQETETADDNNTDVEKKRENIGDYREENRSVAEETESVATGEVVVNMDPLSPESCSVTIVDVEAPTSEYLERASVSDQVSVDSSNRRFLGRKKSAGGPGGGGSGRDWWWKQDSCGGDSGRVKDYVMEWIGSEIKKERPKKDWLTTTDPISTELEASTTNAPQKKQKRKSETEKKNRKPREWWKEEFCDELSKKKKKKKKRGSNNGEMWWQHDEEFVPQKKKSKSSSKGSIDWWLEGLSGEFRHRRRNSQECAGGEIPKSGGISSTPSMRGTVCYIAPEKCDGGQLSEKSDVYSYGVLLLVLVSGRRPLQVTASPMSEFERANLISWARQLARNGKLLDLVDPNIHSLDQEQAMLCITTALLCLQRSPVKRPTMREIVGMLSGEAEPPHLPFEFSPSPPTNFPFKSRRKPG >KVH89153 pep supercontig:CcrdV1:scaffold_433:229989:231951:-1 gene:Ccrd_008861 transcript:KVH89153 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFMDYAIYMLFSLFFFICIYVATIRSHRRVGINRRLPPGPNVFSFMKSIIKLGNKPHESCAVFSKRYGPLMTLKLGTKTTIVASSPDIVKEFIYTKDRSFSGRPVPDISRVADHYKSSLVLLPTGDKWAKLRRMTKEYVFSVQRLEVSEIVRMEKVQELIDHVNQCCTDRKLVQIGDIVFTTILNILSNIFFSMDLARYDSVSSQEFKDMMGAFMGICEKPSIVDYFPILRALDPQGLVRRGKVYFNKLLTFSDKIIDQRLQTRLNSSSYNVTASSNDVLDLLLNNLDQKDECEFNRSDIIHLFLDLFFAGTETTSSALEWAMAELIHNPEKMTRARVEVSEILKNDNRNLKESDISRLPYLSAIIKETLRLYPPLAFLGPRKAIHDVAVQGFIMPKNAEILCNVWAMGRDPDIWSDPKVFKPERFLNVNIDYKGQDFEFIPFGSGRRICPGLNIAHKMLHIILGSLIYMFDWKLAGNTSAQDMDMEGKIGITLQKKVPLMAIPIRL >KVH89158 pep supercontig:CcrdV1:scaffold_433:237176:248060:1 gene:Ccrd_008863 transcript:KVH89158 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDYPTFLLLLSFILSIIYVLTVSGRNDSRLPPGPYRFPVIGNLLDLGHEPHRSLATLSKRYGPLMSLKLGSRTTIVVSSPDIAKEFFHTHDRVFSSRSVPETARVIDHHKFSMVWLPAEDHWRRLRRITKEYMFSAQLRHIDRCCTSGKVVNVGAVAFTTTLNILSNYMFSMDFAQYDSVSSQEFKETVWALMEVSGKPNLVDFFPILKRLDPQGLVSRVTIYGKKLLTNFDRIIDQRLQRRSSSSSPVGVSSANDDVLELLLNLNLKDESKFSRNDMMHLFLALFIAGTDTISSTLEWAMTELIRNPEKMETARSEILKLTQNDDKNIQESCIAQFPFLQAIIKETLRLHPPAPFLIPHEAIHEAEVQGFTVPKNAQILCNVWAMGRNPTVWSNPETFMPERFLNVEIDYKGQDFKLIPFGAGRRICPGLNFAHRMLHIMLGSLIQNFDWELEGNASPQDIDMGEKFGITLQRNIPLYAAFFLFLSSLLTFIYALTIFGRRNSRLPPGPYPFPIIGNLLQVGNKPHHSLAILSKRYGPFMSLMLGSKTTIVISSPDMAKEFFHTNDQSFSGRFITEAIRSVDHHKFSLVWSPGGDQLRRLRRITKEYMFSVRCLDDSELRRREKARAVNIGSTTFTTILNILSTFIFSLDSSQYDSVSSQEFKDNVWALMEYAGMVNLADFFPILKPFDPQGIVRRGSVHLKKLLTIFDKLIDQRIQTRLSSSSNDGISSTKNDVLDLLLNLKQKDESEFSQIGLGHLFLDLFVAGTHSTSSTVEWAMTELIRNPDKMATARSELVKLMQHDNRNIEERDIIQLPYLRSIIKETFRLHPPAPFLVPHEAIHDVEVRGFVVPKNAQILCNVWAMGRDPNIWPAPEMFMPERFLEDEINYKGQYFDLIPFGTGRRICPGLNIAHRMLHMTLGSLIHKFDWKLEGDMRAQDMDMEEKFGITLQKKVPLMAIPIKGCLRL >KVH89164 pep supercontig:CcrdV1:scaffold_433:141782:149336:1 gene:Ccrd_008855 transcript:KVH89164 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, catalytic domain-containing protein MDPEDHHSTPSQSPDLRQVLVLRPPPVFSVHEQYFSKFQILKAYDSPLPTLDFLHAHAQSVKVVLCSAIHPITADVIRKLPELQLVVSAATGVNHIDMAECGRRGIRVTNVGDVFSDDVADGAVGLLVDVLRRISGGDRFVRGGSWPDAGAVATRLEAMGCIVSYTSRQRKHSTSFIFYPDVFQLASESDVLIICCALTDDTRHMIDSRVMSALGKTGVIVNVARGAIIDEATLVKRLVEGEIGGAGLDVFENEPNVPKELFGMDNVVLLPHRTAFTKEAFHDAAQILIANLEAFFTNKKHHNSPLPESSDLRQVLVLRPPPVFAIHEHYFSNKFQILKAYESTLPTHDFLKAYAQSVKVVLCSGMFPITAEVLRDLPALQLLVSSATGVNHIDMAECRRRGIRVSNIVDVFSDDVADAAVGLLIDVMRRISSGDRFVRGGRWPAAAEYPLGSKQKKHSTPFTFYPNVLQLASDSDALILCCVLTDATRHMIDNKVMRALGKTGVIVNVARGAVIDEVEFVKCLVEGEIAGAGLDVFENEPDVPKDLFGLDNVVLLPHITACTEESFHDAVDVLI >KVH89169 pep supercontig:CcrdV1:scaffold_433:11496:16500:1 gene:Ccrd_008847 transcript:KVH89169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MRSLSDAKTPPPAANCPPSTLISLISGVSIPESVLQPFIIVSNSSTLDKSLENLIESARTNDGRSDLASKNMVTTTLQLCQSLSYPSCGGILLLALKLVRNLCAGELRNQDSFIEQNGVDIVSNIICSEEILNDSNYGIIRMGLQVLANVSLAGKEHQVVIWDRMYSLKFVEIAKLRRKDTCDPLCMIIYTCVDGNNGLIDKTCVEESYFTPLFSKLQYAFAEISDGGLPQLHMFTQDQLFLLSILSEIINEQIEHITVSKAFAFDVFQILKTALRILDRVSRPKSTLPTGSIDIDALGYSLCILRDICAFDGHDNFKEGYVDIVELLLSLGLIETLLDLLRDLEPPAIIRRTHVQDEIRAKNGILLMLQQCVTDDENPFLREWGIWGVRNLLEGNGENQRLVGELEIQGSVDLPELSGLGLRMEVDQKTRRAKLQFYV >KVH89154 pep supercontig:CcrdV1:scaffold_433:20914:23634:1 gene:Ccrd_008848 transcript:KVH89154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMIRSTFILKQAPDQFIIKFKSVSSLLYSSVSASPSSPIEEEEDFASHLLSLLSLPNWQKNPRLKALIPSISPSHATSLFNNNPNLNPQTALTFFNLLSQRSNFKPTVESFASLLTILIKNEFLNVAEKVRISMLKACETEEDARFVLGLLRKMNVDGEFKFKLSIRCYNTLLMCLSRFLMVDDMKCVFLEMLDDEIMPNIYTYNSMVNGYCKLGKVDVARLYVSKIIQANLSPDTHTYTSLILGHCRNKDVDSAFKVFAVMPKKGCRRNEVSYTNLIHGFCEDRRIDEALELFHQMGDDGCFPTVRTFTVLISALSRIGRMAEALDLFKAMSERGCEPNAHTYTVLIDGMCKERNLDEARRLFDVMEKKGVVGTVVTYNALIDGYCKEGKVENAIEMFEKMGTEGLKANVRTYNELIGGYCKGNNIHKAMALLDKMTKKKLSPTIMTYNLLMNGVCKQDHVDSAYRLIGLMKENGVVPDEWTYSSLVEALCRRGSVEEAHNLLGCLKEKGIKVNEVIYTTLIDGYFQIGKPDSGMALFEEMLIEDCLPNSCTYNVLIHGLCKGDKMREAFMLMGKMIKIGLELEITTCTIFIEQLLKFFDFSDARKLFIQVVSSGLKPDVCTYTSFILAYCSHGMIKQAEGMMNEMMEQGVKPDTATYTVFIDSYGRAGQLDCAFGVLKRMVDAGCEPSHHTYAIIVKHLLIAQQRKNVEFHTGSDLDTNMTKVNIGNVWKLMDFDTAMELFSEMLKRGCKPNINTFEALTVGLCREGRIEEASRLVAHMLANELTPNEHIYISLVNCLCYLRMFDKALTLVNTMAEKGFLLHLKSYKLLICGLYDERKPEKAKEVFCSLLEGYNPDEIAWKILLDGLLKQGLVHRCSELIDVMEKKGCHLNPHTYKILVDHMGV >KVH89155 pep supercontig:CcrdV1:scaffold_433:27324:34936:-1 gene:Ccrd_008849 transcript:KVH89155 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSPPQPGETTQPNSQESGVSGTTREDDNATSNSNPSENEPPSTAPGASGPPYPGHHHSASYPSSFTGFTSPTGSLDSSQILAIHQTASLDLANSQNYQIVPYGTPKKALKVLLLHGNLDIWVHEAKNLPNMDVFHKTMGDVFNKLPGKQTSDPYVSIAITSAVVGRTYVRTNSENPVWNQHFSVPVAHHTAEIHFLVKDSDVVGSQLIGAVAIPVEHIYCGSKIEGIFPLINANGRPCKNGAGLALTMQYIPMARLSFYNNGVGAGPQYLGVPGTYFPLRKGGRVTLYQDAHVPHESLPDFELSDGMRYVHGTCWIDIFNAISNAKRLIYITGWSVWDKVVLVREVPDAPQYSLGDLLKSKAQEGVRVLLLVWDDPTSRSIMGYKTHSSVHVLLCPRMAGKKHSWVKKKEVGTIYTHHQKTVIVDVEAGDDKRKIVSFVGGLDLCNGRYDTPEHPIFRTLSTTHAKDYHNPTFTGHLSGCPREPWHDLHSRIDGPAAYDVMTNFEERWLKASKPRGIKKLKAYEDVLLKMEKFPEILGANDEPCDGEEDPEGWHVQIFRSIDSNSVKGFPKDPQEATAKNLVCGKNVLIDMSIHSAYVKAIRSAQHFIYIENQYFIGSSAHERFAVYIVIPMWPEGVPTGSATQRILFWQNKTMQMMYQTIYKALVEVGLEEAFSPTDYLNFFCLGNREAIGPDSSPNHSPENTPQGLARKNRRFMIYVHSKGMIVDDEYVLIGSANINQRSMEGTRDTEIAMGAYQPNHTWAKNLTDPRGQHLGTVRDCFTRPESIESVRQVRSMAEANWNQFAADEITELNGHLLKYPVEVVRSGKVRPLPGFETFPDVGGQIVGSFLGIQENLTI >KVH89157 pep supercontig:CcrdV1:scaffold_433:232135:232432:-1 gene:Ccrd_008862 transcript:KVH89157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YQLSLSEIGLTTDSSHSTWFHKTTRFSRSKSSKFYMKKIWLSVFYSIRIEEEPDPNQVKMRRINPFFTSKILPFPTELNFDKKISRPVAN >KVI08237 pep supercontig:CcrdV1:scaffold_4331:26328:32339:-1 gene:Ccrd_013393 transcript:KVI08237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type SKGTFKRYEERRQRGLGFLSTPSYSNRCTFFHRLLKPMGKWVKKKPGLPKAEELKFDPSPIVLSSDDEADDDLSLKIVEKAMSRARDNKINGNPSGSSLKPEVIITKVKKKIKKRKKEKMGVQDNAVDDVEIKEEGGAPKETDLTSETNPSEKSDNIVLRKLLRGPRYFDPPDSSWGNCYNCGEGGHTAVNCTSAKHKKPCFVCGSLEHNVKQCNKGKDCFICKKSGHRAKDCLEKYVVGSHTSKICLKCGDSGHEMFSCKGIYSPDDLKEIQCYVCKSFGHLCCVNYAGGGPREISCYRCGQLGHTGLKDKRKSEFSSPRKNHSRENYGSHIGVRSVPHDLGNARKRKTQYGQQSSSSQSKPRGRGGWITEDPGDWGSPKTPSRYKSNDRFNGGSGYNSGFRSNGRKLQYESTVGSNGYQHRFSASKFVNSSSYGKREYGWDY >KVI01566 pep supercontig:CcrdV1:scaffold_4337:23640:29702:1 gene:Ccrd_020160 transcript:KVI01566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MAVTMVNFRPLSTSTASIPPHFLSSAAHTALLTLPTRLTPFPTFSNSATCFSLSCNLQSTKAFAVPRRSAMALVLSSCIFSQIEGNVVFAQQSVVFREYIDTFDGYSFNYPKNWIQVRGANADIFFRDPFILDENISVEVSSPSSSKYQSVEDLGPPEVAGKAVLRQYLTEFMSTRLGVNIKSYANNNELAVMPQDRVARKEWDRRYLSVLGVENNQLYELRLQVPENVFIEEENDIHKIMDSFRVNKISV >KVI01565 pep supercontig:CcrdV1:scaffold_4337:30825:42231:-1 gene:Ccrd_020161 transcript:KVI01565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF846, eukaryotic MDLNPPSGENYANPRICFFHVLFKAGALAFYILSALFFDSFVIIFVVTVLLSALDFWVVKNVSGRILVGLRWWNEIDDNGESVWKFECLDQESLARMNKKDSWLFWWTLYLTVSEYLLPVNQAKINLSANATLMDLLALALMLEIPFMAVIWIFFGIFSLIRFQADYLLVVGVCLTLSVANIVGFTKCRKDAKKQIQAFASQTIANRFQSTIQSAFSVV >KVI07987 pep supercontig:CcrdV1:scaffold_434:148777:150816:-1 gene:Ccrd_013646 transcript:KVI07987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLVPPWLEKLLGTAFFSVCRTHGAAARSECNMYCLDCNGDAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVSEIEKVLNIGGVQTYVINSARVLFLNERPQPKSGKAVSHICEICGRSLLDALRFCSLGCKLVGIKRNGNTSFMLEGISSSSTRVISSSSTRRGEEELREGSQQDIYPPTPPPPPSTSSTRRRKGIPHRAPFGS >KVI07986 pep supercontig:CcrdV1:scaffold_434:227535:228525:1 gene:Ccrd_013648 transcript:KVI07986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MEGDFMRPRIAEVDELLSKDVNVTVYNGQIDLICATKGTEAWINKLKWDGLSAYLNLDRTPLYCGKDDTVPVDQPCVSLQMVGSVVNTPNA >KVI07988 pep supercontig:CcrdV1:scaffold_434:223555:226975:1 gene:Ccrd_013647 transcript:KVI07988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MMVTMKYPFLLFVCVLGFVSSITSTNNGLEGTDDGSETWGYVEVRPRAHMFWWLYWSPNRVDDPSKPWPTILWLQGGPGGSGVGFGNFGEIGPLDVNLKPRNSTWLQKADILFVDSPVGTGFSYVEDDNLFVTTDIEAATDLTTLLKTIYNISPSRQKSVALGDSWISPEDFVLSWGPLLKDVSRMDDVGLNKSNSLALNIQQQLADGQYEAATGTWSKLEDVISASSNDVDFYNFMLDDGNDPFVMKTKAWGRLGKQRHAKADDAVDLDSVMNGPIRQKLKIIPANVT >KVH67064 pep supercontig:CcrdV1:scaffold_4340:26895:28352:1 gene:Ccrd_025629 transcript:KVH67064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ic MAGAGALLRTTFLIAKPNYKIPITFSLFQSQSKRTPHHHNRRHYQFIRKFSSSQPSHRNRSNVVDILEERGLLESLTSENLRSVCSDSSLRVYCGFDPTAETLHLGNLIGIIVLSWFLRCGHSAVVLVGGATGRIGDPSGKSFERPELDIQTLNRNIAGISDTVLNILNVDNGSYSIRVLNNYDWWKDVKFLDFLKDVGRFARVNTMMAKESVKRRLEASDQGLSYTEFTYQLLQGYDFFHLFKSENVRVQIGGSDQWGNITAGTELIRKLHQSDAAYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSIPDDDVVRFLRILTFVSLEEIGEIEMEMKSDGYVQNSAQRRLAEEVTRFVHGEDGLAEAIKATEALRPGSDTRLDWKTIEGISEDVPWCCLGYDEVVNARVVDISVSTGLIESKSAARRLLKQGGLYLNNGRVDDEGKKIDSDDIVDGKILLLSAGKKNKMVVRIA >KVH67066 pep supercontig:CcrdV1:scaffold_4340:28789:29634:-1 gene:Ccrd_025630 transcript:KVH67066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MAEERTSGVIGRFLGSLNSRMRRFMFHVMSAGPIPQHIAFIMDGNRRFAKKWKLEEGAGHKAGFLALMSVLKYCYEAGVKYVTVYAFSLDNFNRRPDEVQYVMDLMHEKIEGFLKELNMVNRYGIRVLFIGDLGRLQEPVRAAAERAMEATSKNTSTYLLVCVAYTSSHEIPRAIHEACQARASNGHYHNDGEDEVEDDGMMIKVVDLEKHMYMGVVPDPDILVRSSGETRLSNFLLWQTSNSLLYSPKALWPEMGLRHVVWGIFKYQRNYYYLEKNKKQA >KVH67065 pep supercontig:CcrdV1:scaffold_4340:4975:24587:1 gene:Ccrd_025628 transcript:KVH67065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptojanin, N-terminal MLAKADPSQKLYTRMRLWETPDQYVVEPSDGSSGSCLAISRADGTMTLLDEIPSSGGRTPKIQTIFGVVGILKLLAGSYLFVITERDCAGSYLGHPIFKVSKLKVFPCDYSLKNSPEEQKKMESEFSAMLKVAEKTPGLYFSYDVNITLSAQRLNELGDDSRLLPLWRQASFLDQYMLPVIQGSFQNFQSAIGKDIIDVTLIARRCTRRTGTRMWRRGADSDGYVANFVESEQIVHLNGHTASFVQVYFHIPMFFILLYVRGSIPFLWEQIVDLTYKPRFEIVKPEEAPRVAERHFLDLRKKYGNVLAVDLVNKVYNFSVMMDLVLLMLEYQVNLALTFFKHGGEGRLSEKFASAVQNILSDDVRYVHFDFHRICGHIHFERLSILYDQIEDFLVKNRYYLLNDKGEKVEAQIGVVRTNCIDCLDRTNVTQSMIGRKMLELQLQRLGVFDANQTIRSYPNFDDCFKILWATQGDDISIQYSGTPALKGDFVRYGKRTSQGILKDGWNALMRYYLNNFVDGTKQDAIDLLQGHYIVSVSRDMAPKRPTGKVEAIASFRIALALIAMGFFLTMMSLRQVPNDVWHLAFSLLWAGLSLGIAAFVKANGRVFCNRPRLHKPPL >KVI11042 pep supercontig:CcrdV1:scaffold_4344:29549:38679:-1 gene:Ccrd_010553 transcript:KVI11042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MGKGNPFSFRRSSSSSSSSTTRRRRTTKKVGESSLLLSNQSANTPSPSSPANVGDVREPAGPGKPMVVAVAKPKKKAGGARLWMRFDRSGESELFECDKSTIIKRAGIPARDLRILGPIFSNSSNILAREKAMVVNLEYIKAIVTAEEVLLLDPLRQEVLPFVDQLRHQLSRKNHFNTSGTQGSHQLALRDTESNLPASGRWLPVTETADGLQAELPFEFQVLEIALEVVCTYLDSSVADLERDAYPVLDELAKNVSTKNLEQVRSLKSNLTRLLARVQKVRDELEHLLDDDEDMTQLYLTRKWLQNQQSETLLGGVGSNSHTNSAHHLRRLSSVKSVSMLTSINMSDNDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAVAFETLVAGWFGMNIPCRLYDIEGIFQQFVAGITAVSFLLFLLVLGYARWKKLLGS >KVI11041 pep supercontig:CcrdV1:scaffold_4344:3185:4324:-1 gene:Ccrd_010552 transcript:KVI11041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANLAHLTLAFGLLGNIVSFMDFTIEQNGITLANGLKAYYAATRAIVMGLLWLNAYYVKCVHASGDIFT >KVH93635 pep supercontig:CcrdV1:scaffold_435:145253:145864:1 gene:Ccrd_004312 transcript:KVH93635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQMNNESQKQKSNENQLANTSLSSAGGFRGMLFEQYRKARENAEAYPYVWGSYLIVYGGFGLWMAYRYRKLRNTEDRVRTLQEKLRKLRQEREPTNSAAKFKFNHKLGSLLVIDKLLVDVNFYEESSQELKYDFNVNCYNC >KVH93636 pep supercontig:CcrdV1:scaffold_435:146510:147445:-1 gene:Ccrd_004313 transcript:KVH93636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MAKSGKSRRFIISSFLFIAFLCTIASINEIRFDHLLRFSRCALSLNSSLEDSLLPINSSNDEIRVLLGILTLPDQYHRRHFLRLIYGTQSVVGAKVDVKFVFCNLTKEDQKVLVALEIMQYDDIIILNCKENMNNGKTYTYFSSLPNMLNDEGGSYPPYHYVMKGDDDAYFRLDQLAESLKPLPREDLYYGYTIPCNSMDPFGHYMSGMGYLISWDIVEWLRDSDIPKKHLEGPEDKVFGDWIQEGKRGKNRHNAKWSMYNYPDPPTLCTHELWPDTVAVHLLKTQEKWIRTLQYFNATQNLKPSKLYHIP >KVI03164 pep supercontig:CcrdV1:scaffold_4358:725:4956:-1 gene:Ccrd_018541 transcript:KVI03164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSMAANLAQMGGSQEVLIAAYNKNLEGLISPQLLQSPSNFSEVHYKKHTPEKCLCTYLLVWQHGPEKGNIIATSIKDICLIMLGVVDPKVTDFAKIVKEKLGSDFDSSGRCQRNWLSSLHNTREFGERSVSIINDGVLTRANFNYTTSISLSKLVSRNICKRSSCGG >KVH89008 pep supercontig:CcrdV1:scaffold_436:29004:34317:1 gene:Ccrd_008998 transcript:KVH89008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MFIDTLSPEPEPHRRAKKSLSNVAASPNYDLAILCLVAKPSADRCVSQFADINFKNHLKSLWRLSSNALPEFLSQCFLDTLSPQPEPRRRAEKNLSDAADSPNYGLAVLRLVAEPSVDEQIRQCAAVNFKNHLKTRWVPSSASPIPDAEKEQIKTLIVPLMLSATPKIQAQLSEALAVIGNHDFPKLWPALLPELRSSLETAINANDFASVNGILATVNSLFKKFRYQFKSDPILLDLKYCLDNFAAPLLATVESISVKINAAAGNAATLRQLIEAQRLCCRVFYSLNFLDLPEFFEDTADKWMNEFKNYLTVRYPVVEDSGADGLSLVDELRAAVCENISHYMEKEEELFQKYLSGFVEAVWSLLVVASASPSRERLTVTAIKFLTIVSTSVHHALFAGDDILQQITQSIVIPNVMLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIAGNYKEKITERVSSQIHNCLALFAENPAANWKYKDCAIYLVVSLATKKAAGASISTDLVDVESFFRSVIVPELQGQDVNAFPMLKAGALKFFTMFRVLIPKPVSMALLGDVVRFLSSDANVVHSYAASCIEKLLLVKDNGVQARYTSMDIGPILPMLMTNLFGALEKPESEENQYIMRCIMRVLQIADISPEVASPCVTGLTSVLNRVCENPKNPVFNHCLFEAVATLVRRACEKNPSLVPAFETCLLPSLQMILAKEVTEFFPYAFQLLAQLVDLNKPPVPAHYMQIFDILLRPDLWKKSANVPALVRLLQSFLQQAPNELNREGRLSHVLGIFNKLVSSPSTEEQGFYILNPVIENLGYDVLAEYMNHIWASLFTRLQNSKTPRLVRCLIIFMSLFLVKHGLQTLMDSINSVQANLFHVILGQFWIPTLKTITGYTEVKLSAVASTKLLCESPSLLDPAAEELWGKLLDSIVALLSQPEEERVEDEPEVPDFAEATGYQATFVRLHNAGKKEEDPLKEIKDPKHFSVALLANTSSQFRGRFPPIITRYLSPANQAALLQLCNSYNLSIDIYNPTCGGSPVESSRVESVEPAKGWRVQSWWFIRRLGFHRVLKLGAMEDFPNS >KVH89016 pep supercontig:CcrdV1:scaffold_436:162745:166585:1 gene:Ccrd_009015 transcript:KVH89016 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5, heme-binding site-containing protein MTSDAKILSFQEVSKHNLKTDCWLIISGKVYDVTAFLDDHPGGDDVLILATEKDATEDFEDVGHSQDAKDLMKDYYIGEIDSKSMLQKNKRKMPSNTASAYNQESGSSSSNILTFLLPILILVLAYALYYFAKKDAIISYQ >KVH89003 pep supercontig:CcrdV1:scaffold_436:66734:67015:1 gene:Ccrd_009002 transcript:KVH89003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANQTVMEHNACGMISCDKIDRMANWIGNSVASAFFTSLERCSCINLSTSHDEFDEGDDDEEAKDRPLILTKPVIFLDGSHHEPDADKLPPV >KVH89007 pep supercontig:CcrdV1:scaffold_436:15994:23761:-1 gene:Ccrd_008997 transcript:KVH89007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSHNGINNELYKELWMACAGPLVDIPVQGQRVFYFPQGHIEQLQLEDSVSHKLTGQQISELDLPHKMLCQVVDSSLKVDSDSDEVFAIIKLHPFQEMVFEGADDNHQLLQEAKPSFRAFSKILTISDTNMHTGLSVPKMLAQNLSTQRHVITGGWNAFVVSKRLVAGDALIFVRHSNNELGIGIRRYRQQIPSSSSILSRESMHQGVLASVSHALYTNKEFVIYYNPRYTGVIVEETDISEDWPGSTWRSIKVQWHGPSERTMIQLSNRMSRWQLEPIQDGRSDVSELDTGSLSSRHKRLRTCDHGLMNHRANANLGDSVNPLHFHAILSSSTDLIDKGKECENPQVLRLFGVNIELVFPNSSDRVILQMEGNVLVQTIDVRSLGGYEALKNELEKIFKIESELHHGGEKWEVVYEDGIKAVISAIDERLSLLDSDECECECECECECECALEAIGQIGS >KVH89017 pep supercontig:CcrdV1:scaffold_436:170460:172360:-1 gene:Ccrd_009016 transcript:KVH89017 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTSHIDTTLSLFFALATSNFTSINILFFFSLVFIIKCFFHCKSTIPGPTPLPIIGSMHLMSGLAHRKIAAAAQSCRANRLMAFSLGETRVIVTCSPDVAKDILNSSAFADRPVKESAYRLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKVSEGQRMVVSNQMVEMLTFLLHQQTSICVRDVLKRASLSNMMWSVFGRRYRLDSNDLESVELRKLVDEGYELLGILNWTDHLPWLADFDPQGIRSRCSKLVPKVNQFVKKIIDQHRAHPSPQLNADFTDVLLSLEGSDKLLEADMIAVLWEMIFRGTDTVAVLIEWILARLVLHVDVQSKVHEELDRVVGRSSQVMESDINNLEYLGAVVKEVLRLHPPGPLLSWARLAITDTTIDGHDVGAGTMAMVNMWAISRDPEIWKDPLEFQPERFLDGSLKDMSVMGSDLRLAPFGSGRRSCPGKNLGMTTVSFWVASLLQEFEFGTCEGVDLSEELKLSCEMANPLKVTLRPRRRPHCVCEA >KVH89000 pep supercontig:CcrdV1:scaffold_436:79669:82696:1 gene:Ccrd_009005 transcript:KVH89000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MPSNGPEWNVNVAAVSPQVELGVAKRIFRQLQGQIFECWMKIRTFFNKARNIAANEPKKVIHGLKVGMALSLVSLFYYMRPLYDGVGGNAMWAVMTVVVALEYSVAAAATFSRFIPSVRARFDYGVMIFILTFSFVSMSGYRVDKLLELARNRVSTIAIGTSICILTSMLFYPVWAGKELHNLIYHNLEKLADSLDGCVRDYFKDNEERFSRKQEGYKCVLNSKATEEAMKHLKEVCMTLSSCSSNVLKELAMTMQTMTKSTKTEFFVQEMNFAVQSFQNVFKELLKQPVLLPVAEADEQNSRTCKGEMSQKPQIVPIMGIFPLATMASLLTEIAEQVEGIVAEVDEMAAQAEFYVVNYKEKKGNKLPKFNQDHVILTSLQRV >KVH89011 pep supercontig:CcrdV1:scaffold_436:2468:4114:1 gene:Ccrd_008994 transcript:KVH89011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MDLQLLAYVIVFAVLVSRIISLWLTRFSQQTVGRLPPGPPRWPIVGNLLQLGPLPHRDLALFCEQYGPLVYLRLGKVDAITTNDPNIIRQILCQQDDVFASRPQTLAAIHLAYNCGDVALAPFGPRWKWMRRICMEQLLTTKRLESFAKQRASEAQHLVQDVWALAQAGDPVNLREVLGAFSMNNVTRMLLGKQYFGSGSAGPKEAMEFMHITHELFWLLGLIYLGDYLPFWRWIDPYGCEKKMREVEKRVDDFHMKIIEEHRKRKMNGDQKDDMNMDFVDVLLSLPGKDGKDHMDDNEIKALVQDMIAAATDTSAVTNEWAMAEVIKHPHVLYKIQEELDEVIGRDRVVTESDLSNLNYLRCVVRETFRMHPAGPFLIPHESIHDTEINGYHVPAKTRVFINTHGLGRNTLIWDDINVFRPERHLTTDGSRVEISHGDDFKILPFSAGKRKCPGAPLGVTFVLMALARLFHCFNWIPPDGLRCEDIDTEEKYGMTMPKAKPLMAIAKPRLAIHMYQS >KVH89002 pep supercontig:CcrdV1:scaffold_436:73701:75446:1 gene:Ccrd_009003 transcript:KVH89002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MDAAQWPKDVRNNNGSYNQVQERKIKPQHEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKGCKRYWTKGGSLRSVPVGGGSRKNKRSIASTTSTSSSMPKPIDLSQFSSQNFTTHEGQDLNLGFQATQEEYHHGFSQFLKLPKIENSNATFLSSSLASSTLGSVSAQDFLRARTPSDGLNSFSTTDTTMFNETRFSLQDFKPTMGFPFQGNGIKYGNFQGNQEDGERIMLPFGVLNQQHSSRENNQNMGQGNSTGYWNEALGGGSSTLYN >KVH89005 pep supercontig:CcrdV1:scaffold_436:52717:54796:1 gene:Ccrd_009000 transcript:KVH89005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MSQPQPKQELILKDLHGRTWHFTHVYRGNPRRQVITAGWTTFVASKRLVAGDALIFVRHSNNELGIGIKRFRQQIPSTSSILSRENMHTGVLACASHALYTNKEFVVYYNPRHTGVALEETDISEEWPGSTWRSIKVRWKKVVDQRSTIRLPERVSRWLLELAEDGRSNVRELEAGSSWSRHKRLRIYEHGPMSHGGNANWGESVHAIPWSSTGLVDEGKECENPQVLRLFGVDIQLVPYSSGHVMVQAQGNDLVQTVDLSLLEGYEELKKELEKIFKIKGELHGHQKWEVVYEDGDGDLLVLADKPW >KVH89019 pep supercontig:CcrdV1:scaffold_436:106812:110699:1 gene:Ccrd_009010 transcript:KVH89019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, subfamily IIA, SERCA-type MDEEVFPAWSWSVDRCLKAYNVKLEKGLSSYDVEKQRELYGWNELKKENRKPVWQLFIQQFDDMLVKILIVAAFISFVLARFEANETKESGFEAYVEPSVIILILILNALVGVWQESNAEKALKALKEMQCESCKTIRDGYLVPDLPARDLVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGESVPVLKATRPVLNDDCDLQAKENMVFAGTTIVNGSCVCIVVNTGMSSEIGQIQKQIHEASMEESDTPLKKKLDEFGNNLTIVIGFVCLAVWVINYKYFLKWEMADGWPTNVQFSFEKCTYYFKIAVALAVAAIPEGLPAVITTSLALGTRKMAQKNAIMRKLASVETLGCTTVICSDKTGTLTTNQMSVMSIFTFGGKTTAPRMFPVEGTTYDPKDGCIVDWKCFNMDANLQSVAEICAVCNDAGIYSDGWVLRVTGLPTEAALKVLVEKMGVPDAKAKNKIRCCEWWTKRSKRLGTLELDRVRKSMSVILADGSVVPMDEACRKLLLSRHAEMSSKGLRCLGLAYKDDLGELADYDGEHHPSHKKLVDPTCYDPPREEVHSAIEDCRGAGIKVLVITGDYKSTAEAICREIRLFHEGEDLGGKSFTGKEFMGLSPSKQRDILSKPGGKVFSRAEPRHKQEIVRMLKDMGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYNNMKAFIRYMISSNVGEVISIFLTAAIGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMKKPPRKSTDTLIDSWVLFRYLVIGSYVGIATVGVFVVWYTQPSFMGIDLTGDGHTLVTVSQLRNWGDCSSWPDFSATPFAVGGNRVISFSNPCDYFTTGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLLTMPPWKNPFLLVAMAVSFGLHCLILYVPLLAQVFDVVPLGLNEWVFVILVSAPVILLDELLKIVTRSRKRTTKIKLA >KVH89010 pep supercontig:CcrdV1:scaffold_436:231510:232901:1 gene:Ccrd_009020 transcript:KVH89010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDDFLILSPSSSSSSLDLQNTTHLPCNQKLQFLLQTQPHHPWAYAIFWKTCTFNHSLTWGDAHFLQNPSKPVIQSLLGPQNPDDPEWFYVVSLTRSFTIGDASAPAKAFASSSILWFTAADHFDCDRAKEAHIHGLQTLLYIPTSTGVVEMGSYHVIHHQPDCDLPNQAQLLFGGASSSSSSSSPPTTTTSTFFKHQVSTASPMNRISLEDMLNGESIHIIESGPPKKLGNIKTSSGTVADVVSDTASEHSDSDCQLVLATAKKQGQKNKGRCRNVRNPALNHVEAERQRREKLNQRFYTLRSVVPNVSKMDKASLLADAVCYIKKLKEKVEELEWQVHNDQRRKSLTKVKVEEVEVEVEVEVEVEVGEKMDKKLRSGGKKRMDVEVDVKMAGEDAMIRVQSGNKDWPVAKVMDALREMGAEVHHASMSCVNQVMLQDVVSRIPGATQDEVKSHLFTRLNHST >KVH89012 pep supercontig:CcrdV1:scaffold_436:5363:7751:1 gene:Ccrd_008995 transcript:KVH89012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor biosynthesis C MFLRGAALILPKSRRLFNSSSSHDLASTIAELNKEMEFVFGEAPPTSLAGSSESQPTDRDSQSISVEKIANESKLTHISTKGEAQMVDVSHKDISKRVAIASCKVILGKKVYGLVSANQLAKGDVFSVAKIAGITGAKQTSNLIPLCHNINLTHVRVDLRLNPHDYCVEIEGEAASTGETGVEMEAMTAVTLAGLTVYDMCKAASKDIQITDVRLEHKTGGKSGDWSREK >KVH89014 pep supercontig:CcrdV1:scaffold_436:216991:219510:1 gene:Ccrd_009019 transcript:KVH89014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFRSKSCGGAPQPTDEHKDEDEEEDDVDYLYHDDYSYFHNTPITTPFISPDHRSSPQSPNFTILAILLKSLVTCTLNEDVSSSSSSSSSSSTLDIGCPTDVHHVSHVTFDRFNGFLGLPLELQPDVPPKPPSASTSVFGVSAESMQCSYDDRGNSVPTILLMMQKRLYAGGGLQAEGIFRINAENSQEEDVRKQLNRGFVPHGIDVHCLAGLIKAWFRELPRGVLDSLTPEQVMHCNTEEECSRLVKSLPQTEAALLNWAINLMADVVKYESENKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLVVKTLNERENGYGERRLQHSRSFSSENSLNRVGSDEKEKFWSFPRKSESIVGSCNESSAKEEEEEESNSNSNSNRGILERLSLRKGVIRKLCRFHQVGKKKSSSSSGINVVVVDSRGSCA >KVH89009 pep supercontig:CcrdV1:scaffold_436:41338:46073:1 gene:Ccrd_008999 transcript:KVH89009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor MGSSHIGSNDEVQKELFMACAGPFVNVPVQGERVFYFPQGHIEQFQEEDSANQKLIRQQFSKLDLPNKMLCRVVDSSLKVDHETDEVYAIIKLCPSQEDYSQPQPTQELIAKDFHGRAWSFTHVYRGRPRRHVITHGWKKFVRQKGLVAGDALIFARGPNNELRIGIRRLVQRIPVILSNESQIQVLASTSQALYKNKEFVVYYNPRAFPFLVGLQKYLKADAYNFSSGMNVIMRLKGGKNAENEKRGVIVEQTTISAEWPTSEWRSIKVQWNIPSRRSLIQMPERVSAWQLEPVLEDVRSHVGEASSSRNTRLQICDDGPTYCANAIWNESLHSIPSSSVGLSDKGKECKKPKVLRLFGVDISVTTSCSTSETN >KVH89001 pep supercontig:CcrdV1:scaffold_436:73703:77944:-1 gene:Ccrd_009004 transcript:KVH89001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHERLVLPGPTANPPSQLLLSLQKLDDMIELVKFWEENCERSIGFGMDDEVEVVDAIDLLFFLEPPPTGTLLRDPPLVQYLLQPLQKPLSSIHEWVEKGKGLVLMSKLE >KVH89020 pep supercontig:CcrdV1:scaffold_436:111122:115619:-1 gene:Ccrd_009011 transcript:KVH89020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MKAAAKIHHRLSITRYYTWHHHLSTIQSKSQLLKSYTVTPPIKPWPQRLHPKRLVSIITSQQNLDLALQIFYHAGNYHPGFEHNYQTYHSIIHKLARARAFQPLETLLSQLHKSGIKCGENLFIDVLRNYGLASRPKDSLRTFLRIKDFGVTVSVRSLNTLLNVLIQNRKYRLVHALFRNSREKFNIIPNVFTCNILLKAFCNNGDIEAFCKEKKTGEALNLLDDMLEKKYVPTSALCCRLIDVLCENGKVEDACDLWKKLLRKNCTPDNSISTTLIHWLCKKAKIREARKLFDEFEKSSIPNVLTYNTLIAGMCEMGELCEAGRLWDDMVEKGCVPNAFTYNMLIKGFCRAGNAEEGMKILEEMLEKRCLPNKSTYSILLMGLCDSGDERNVMKVLDMAAGLSGQAMDADVWQVLHTFTSLFAASATLHSLPQGLMLHAHLIKLGYEFDLYASTALVDMYAKLGFLPSARQVFDKMEMRDVPTWNSLVAGYARNGDMEGASKLFDEMPVKNVVSWTAMISGYSQNAKYATSLKLFLEMEKVKGIQPNEVTIASALPACANLGALEVGQRIESYARARGYFKNLFVCNALLEMYARCGRIDKATAIFEEIGARRNLCSWNTMLGEGTAPDDVTFVGAILACTHGGMVKKGRELFKSMKNDFSINPKLEHYGCMIDLLGRAGELREAYNLVQSMPMKPDSVVWGTLLGACSLYNNVELAEIAAEHLYKLEPWNPGNYVILSNIYANTGRWDGVAKLRKMMKGSRIIKAAGYSFIEEGNQVYKFIVDDRSHPRSDEIYWILNE >KVH88999 pep supercontig:CcrdV1:scaffold_436:83066:85246:-1 gene:Ccrd_009006 transcript:KVH88999 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRAT-like domain-containing protein MWVSETPYFCLQIARGRSSEEKREIWGFFRTELKEVRSKQAIISTLIELYLLTLTMVMLFCNNFLYAGIFVGGNKVVHFTHFTPDRETSSSTETSSNSYDGMSKTPSCQTYPDCGFRQPKSGVVLSCLDCFLRNGTLYTFEYGVTPTFFLAKVRGGTCTTATSDGPGTVIHRAMYLLQNGFGNYDVFQNNCEDFALYCKTGILTIDKLGIGRSGQASSLVGAPLAALLSSPFKFLIPSPVGVATVTAGMYCMSRYATDIGVRTDIVKVAVEDLAVNLGWMDGLEEEFQENKASSENKNQVIHVD >KVH88997 pep supercontig:CcrdV1:scaffold_436:93743:95154:-1 gene:Ccrd_009008 transcript:KVH88997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MENLSACLPSFYSQMESFYISFIRSYHSLASLPAISQGLSWWDLYHAMPSITYPKGVMNSILPCLPFLNTCCQNGEPCLAVISDLRLGLNVILFHHHDLLDLARLLHPYVHQLFSCLTLFVLAGFAFVYYEDERDAEDAIHALDNTPFGYDRRRLSVEWARGERGRPRDGSKSMANQRPTKTLFIINFDPIRTRVRDIERHFEPYGNVLHVRIRRNFAFVQFETQEEATKALECTHMSKILDRVVSVEYALRDDGSRSMSPRGDYGRRIDSPYRRSPSPYRRGRPSPDYGRARSPVYDRYSGPSYDRPRSPAYGRYRRYIFLPVYCICKDLAAWDHAHIIIIICFTLQQ >KVH89015 pep supercontig:CcrdV1:scaffold_436:142679:144298:-1 gene:Ccrd_009014 transcript:KVH89015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQQPPPSRPWFRLATMIRPPPPVETPTSQSNQAPPPPRPAFIRPTFTPTAPQPTQTPIPPPQQPTPTPPPPQPTPTPPPPQPTPTPPPPQPTATPPPQPTPTPPPQQPNPTASPPQPQRSPTVLPPPSSVQATSGVAPPTPSVLPPPASSPTKAPQASSPASPISPSRKPSQSSTPSTPPSQQSAPPLPVLTSPPPPPQSRPILPSPTTTRSPPTTARSASTASSPPKIVKPLEYSPPPSSASNVLTPPVSPRVQDSSLKPPPPSPTKKTRFVLSPPRPSPLQQSKHPLAVSSSPPPSPRSRSIAPSMTTTASSPPKIIKLPEKTSPPPTTIKPLSRAVSPLSLPSLKHKSYNEIPPETEFKQKTMHVQETKEKHKGSANSDFRRHIGGGTHSPKHDMTKKPEIPKKHWDSENPGKSIITIAGENRGAIMKLIPSGDKKDEFGNSSNNIHIRRDNPTSSSDGKTLKTESERMAKAKNKNKNSNSLLTTAFLNSNVQGVNNSILYNCVTNHHDPGIHLSLSRKSDGDHGSHIKEQKKE >KVH89021 pep supercontig:CcrdV1:scaffold_436:122223:123671:-1 gene:Ccrd_009012 transcript:KVH89021 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGFFLFLFLIISFLISVRLFFYRTTLIYRLKKWGHWIEDRIHVHQSLKVPQLNENNQENLFYRKVSTYLNSLSTIEDSDFTNLIYGKKPNGVVLCLDDDQTILDTFLGARVSWTNRVERIEENGLCKKTFLLKLKKKDKRRILQSYIQHIYRASEDIDSRCKELKLYMNTATLSDDHGSIGRWRSVRLTHPATLETIAMDSDLKKKVKSDLEAFLKSRQYYHRLGRVWKRSYLLYGPSGTGKSSFIAAMAKFLSYDVYDIDLSKVVDDSDLKMLLLQTSSKSLVVVEDLDRFITSNSTTVSLSGILNFMDGILNSCCGDEKLMVFTMNSKEKMDPAVLRPGRIDVHIYFPLCNFNSFKTLANNCLGVKDHKLFPQVEEIFQTGATMSPAEMSELMICNRGSPGRALRSVMTALQINGDGRKSVLAAEESSSSVAETSSVLVMDSSCSVPVVKEIQKLYGLLKMKSSKKIGPSDQFSGSMDRR >KVH89006 pep supercontig:CcrdV1:scaffold_436:11328:15560:1 gene:Ccrd_008996 transcript:KVH89006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2043 MEWEADDRKAVVVGLIEKATNSIKPDLDPRILKSIKSVVRHSDSELRLAAENLMSLMKRDHSQVRYLTLAIIHELFMRSKLFRALIVENLDQLLTLSVGFRRNQPLPAPPAIASKLRSKAIEFLERWNSTFGIHYRQLRLGHDYLKNTLRFQFPNLQANAARVQQERRERELRTKEILLKKYELLKSNFSSIKDEVNSIVDEISECLDILITSKEDNLPLDPIDEEDVDEFRGVELRRIRDNSLKEADKVQENSENKVVFDALRELYKLLVTKHIVAVQESVSVLVRVELSDNRLRDSMLKEFIDIRNHLKSIKKKCEEAGCALTNPADEGEEDIWEEGASESFDISTSSTPNKQSEDHANKRNDSPECSNSKTINVIGKVEDPEVCGTDLNPLKSKLMVEAPVMKWGSFLDNWGSNRDFMANQRGLELDGHWGRVDHDATIPANKIAELNVQAVVYEEEHKEIQQCGAPLKKGGFCQRKDLKVCPFHGPIVARDDEGTPNDAVSMDDEKPDLKLFSMDELLKQAVNNVREMDKEAMKKREYDKKELKRAKLAKVRGHNEAVLRDAALASTSGSSSIGDLEGREKDSLAKMLKKRTTAKDRLARRLLSRKATAKELNLGEDHSKYREAFPNQW >KVH88996 pep supercontig:CcrdV1:scaffold_436:93776:105608:1 gene:Ccrd_009009 transcript:KVH88996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIPSSQIFTNTINREKDIPAISPICRAPGWSLVRHRLGAITRSTTLTLPQVILKLEFTNTHKSSVNPLPGFDGEENQWRIQQTPQLLRLNPTTHVKPYHHRDVDFCSCSSIVPARYASIAPATSFSTQRMFSSSIAPAHCASILQMGKSTKKFDFYTLLSLKPIIIIIIFLTLLLSETLLSLKPIIIIIIFLTLLLSEELVSISSDACIVVLDPKSEALFLT >KVH89022 pep supercontig:CcrdV1:scaffold_436:141728:154369:1 gene:Ccrd_009013 transcript:KVH89022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPDLTILETHEMENQRKLESFDWKPKISRIRSFRVLDIQATIEIFNWVHRRFHQKDEVGQDAAKTEVAGNGRDKLALLKNAALDSVFDGWKEGILSIGTLGLDPALLKDFKPEDDMYLCEIIPNELFVDDDGGDQEREMNFPLVLKACKHGFLHDQKDDHHPQYSCDATCKPSDHDEDGVEDLAGLDTDQVKKERKAGDRITLADLFWADSEKNLLKKNKLADNDEDHVKVLHYDHDTTESNTKHASNDDRVALISKKNPAHPIKKINRQLDMDSNENHPLDRRDGEE >KVH89013 pep supercontig:CcrdV1:scaffold_436:197274:203972:-1 gene:Ccrd_009018 transcript:KVH89013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGQCYGKTIPTTDNDTIVQPQSPLPSSANNAVKHTPARSSSPWSSPYPHGIASPLPAGVSPSPARSSTPGRRFFKRPFPPPSPAKHIKASLARRFGHAKQPREGPIPEDGAPIEPELHSLDKNFGYNKNFGAKYELGKEIGRGHFGHTCHARGKKGDLKDHALAVKIISKLKMTTAISIEDVRREVKILRALSGHKHLIHFYDACEDANNVYIVMELCEGGELLDRILSRGGRYTEADAKLIIVQILSVVAFCHLQGVVHRDLKPENFLFMSKSEDADMKLIDFGLSDFIRPEERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVISYILLCGSRPFWARTESGIFRAVLRADPNFDDIPWPSVSPEGKDFVKRLLNKDYRKRMSAAQALTHPWLSSENHPIPLDILIYKLVKSYLHASPFKRAALKALSKALTEDELVYLRAQFMLLEPNKDGRVSLDNFRMALMRNATDAMKESRVPDILNAMAPLSYRKMDFEEFCAAAISTYQLEALETWEQIGSTAFEYFEQEGNRTVSVEELARELNVGPTAHTILKEWIRSDGKLSLLGYTKFLHGVTLRSTSNTRH >KVH89004 pep supercontig:CcrdV1:scaffold_436:57407:59602:-1 gene:Ccrd_009001 transcript:KVH89004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRTANRCFVQEDAKRAPKLACVPPASSSLTKLVDTRPTTNGLDDFAPPSANTVYSNLSPESRWWLQSQSNFIYQKGLTNEKINTFEAGNSHQFVDIMDSQSTVKGDHVGEEESYEFVEMDSVDCCTVLKELDEFSLDSEFPHWMENEKNHELPWWRMTDKGDLASPLSTQSGDATAHEGSTTPGMDPAKWKLLEALRHSQTRAREAEKAAKQAYAEKEHVVKLIFKQASQLFAYKQWLYLLQLENLYNQIKTNKITPVFPVSPWQVARKKARRNLPPPSAKRKTRPKKGVPSCKHDIGTYAVAFAVGLGLVGAGLLLGWTVGWMFI >KVH88998 pep supercontig:CcrdV1:scaffold_436:86644:91973:-1 gene:Ccrd_009007 transcript:KVH88998 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase, C-terminal domain-containing protein MSKRSSTNKHHLDLEQLLRKSWYRLRLSVRCPSRVPTWDAIVLTAASPEQAALYEWQLKRAIRFGRISDSTVTLAVPDPDGQRIGSGAATLNAILALANHYKTSKVASNCSDGSYIPHESSENEASTSMINFLRKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLAADDLDGPVPLLFDHILAISSCARQAYNNEGGLFIMTGDVLPCFDASAMVLPEDTSCIITVPITLDIASNHGVIVASKTGNSDEICSVNPVANLLQKPSMEELNRHNAILDDGRTLLDTGIIAVRGKAWEDLVTLSCLSQPMISELMSLYEDLVAAWVPARHEWLRSRPLDDLSFLHFGTSSEVLDHLSGIGTRLVGRRHLCSIPATTMSDIAASAIIVSSKISPGVSIGEDSLVYDSSISGGIQIGSLSIVVGVIIPEKNDRTTKDPFTFLLPDRHCLWEVPLIGCTERVIVFCGLHDNPKNPLSKDGTFCGKPWEKVLDDLGIQENDLWISQEKCLWNAKLFPVLPYFEMLSLANWLMGLGNYGKNEGFLSLWQTSKRVSLEELHRSIDFPQMCSTSGNHQADLAAKIARACLTFGLLGRNLAQLCQEILQMKDSGDEICKNFLELCPNLLAQNSKILPKSRAYQVQVDLLRACNKHEETSEVEHQTSFRGQDNNNLDGLEDQTSRHRKVKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAITLDNSLPIGIVIETTQTPGLLITDDASNELYIKDLSSITTPFDQNDPFRLVKSALLVTGILYDRILVSMGLRVKTWAHIPRGSGLGTSSILAAAVVKGLLRITYGDESNENVARLVLVLEQIMGTGGGWQDQIGGLYPGIKFTTSFPGIPLRLQVRLAHQVLQKVVIRYLQRDNLLISSIKRLAELAKTGREALMNCNIDELGDTMMETWRLHQELDPHCSNEFVDRLFAFADRYCCGYKLVGAGGGGFALLLAKKTESARQLRCALEENREFDVKVYNWSVCLEN >KVH89018 pep supercontig:CcrdV1:scaffold_436:192874:195206:-1 gene:Ccrd_009017 transcript:KVH89018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MMLDSELKIIQQQKRFSQEQIKSLEAIFQSESKLQPRKKLQIAKDLGLQPRQVAIWFQNKRARWKSKQLQTDYNILRLSYDSLSSRFDILQTQNQSLTLQLKKLQQEMEKKATSSSNKSMGIVVSDADSIKPPVEQSIKLVS >KVH99557 pep supercontig:CcrdV1:scaffold_4360:17986:19068:1 gene:Ccrd_022209 transcript:KVH99557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF581 MILGKRPRPPIKRTTSMTEVTLDLNRTAVGGGHANSQPPYDPNNPFTMNPSPDANLDHRFFTPTISPRNHRRNSADHMESAHFLTVCHLCNRRLITGRDIFMYRGDSAFCSSECRQEQMNQDEKKDKHPLVPKKPAAIISESSS >KVH99556 pep supercontig:CcrdV1:scaffold_4360:26993:34124:-1 gene:Ccrd_022208 transcript:KVH99556 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MPPLNMDLILSKIHAMSHDVESAMETANYDKFLQSGLQIAIIGRPNVGKSSLLNSWSKSERAIVTDIAGTTRDVVEANVTVHGIPVTLLDTAGIRETDDIVEKIGVERSEAVAMGADVVIMAVSAVDGWTMEDAQLLDRIKRTKAASGSPVILAINKIDCAPSACSEFANTVDDQSFSKRIHTCAITGEGISDLETAILELVGLENIPAGGRKWAVNQRQCEQLLRTKESLTRLKSSIEDDLPFDFWTIDLRDAALALGQINGEDISEEVLTNIFAKFCIGK >KVH99555 pep supercontig:CcrdV1:scaffold_4360:5832:6302:1 gene:Ccrd_022210 transcript:KVH99555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein VGKAELVIFTNNNISGSIPIVLANAINLQQLQLDSNQISGLIPPELGKLTNPEVFFAWDNELEGSIPSSFENC >KVH65607 pep supercontig:CcrdV1:scaffold_4361:32041:33296:-1 gene:Ccrd_025635 transcript:KVH65607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSFKVRVDRAFGSLESTSSSLSSLWCLTDQEIERNEWIKDKVTPYKHKDEVKNPKPYSSFLQPSTSHQDLESDIQELDDDQQPLSSHSNEEWNIRSSLGMDCTLDNEEEEDGFDKVALGKEERFYMRDVNDYEVEIDSNNELPNAFTDVMRDPRANRMAAKLRLKEDDESAKKSIQREDEITRQDVVMDQVLPSVPDYERNPWKYTHYTFDSMDDVDEESNKKAYMELFNSLKGWSGTGRDDVSPTSIIFTPRKKSSDDGSMNKNKVDMQQQQKKKKEVVRVTSIDEDEVCVMEEDEPSNKSKGGRRYRTKGAVVGDDTCVS >KVH65604 pep supercontig:CcrdV1:scaffold_4361:40757:42665:1 gene:Ccrd_025636 transcript:KVH65604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDGSSMNMIDEIEYVSYGGEHHLPLIMRLVDEELSEPYSIFTYRYFVYLWPNLSFLVPSTFHHSFFLLTCNHLHALISSCVQAFHKGKCIGTVVSKMGEHRNTFRGYIAMLVVLKPYRHKGIATELVTRSITVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPHPELPPSHSMMSSVLESXGADEMVPPKKDWSPSEV >KVH65602 pep supercontig:CcrdV1:scaffold_4361:17777:31501:1 gene:Ccrd_025633 transcript:KVH65602 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K9 methyltransferase, plant MVVQSVLATKNDAPAEIGHTVQDCGGVDTYICSPARRISARIQKIESNKAAIRLRQREEDSLTKADKPVRKKQRLNHHKQKGIAGNVQTQLVQDEGILTGVTSNSDGGTEHTEKLKGEDITEEIETGSTSKGQVGERSAYAMVTDTIRAFNKHYLYFVQVEESRCKKDEDDRKKPKKPKSKKFVQNQDATEEKAKAKRPDLKALSKMMTSNTILCPQKRIGSIPGIDVGHQFFSRCEMVTVGFHNHWLNGIDYIGQSSQKEYPQYKLPITVAIVLSGQYEDDLDNCEDVVYTGQGGNNLLGNKRQISDQVMKRGNLGLKNCLDQDVPVRVIRGHQSSSSYVGKVYTYDGLYKVVKHWAEKGVSGFTVFKFQLKRIEGQPSLVTEQVPMLAHGSFKKSIPEHNYIIDVHFAHGRIPSSVSEIRGLVCEDISGGLENIPIPATNLVDDPAVAPTGYTYCKSIQVSKSVVLPTSASGCNCQGSCTDPRNCACARLNGSDFPYVHRDGGRLIEPKAVVFECGPNCGCGLTCVNRTSQRGLRYRLEVFRTPKKGWAVRSWDYIPSGAPVCEYIGILKKTDDVDSNPENNYIFDIDCLQTMKGIGGRERRVGEVAMPSLLDKDDEKDSGPEFCIDAGGTGNVARFINHSCQPNLFVQCVLSVHHDITQARVILFAADNIPPLKELTYDYGYELNSVLGPDGKVKQLACFCGAPDCRKRLF >KVH65603 pep supercontig:CcrdV1:scaffold_4361:364:2794:1 gene:Ccrd_025631 transcript:KVH65603 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MTRSQFFINISCLFWQYDAFDIGFITTDDLTNADILEATYPAVAKRLHGDWIKDPAIPIVTGFLGKGWRTCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYSGAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITKSRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWNRELIQQASELDHVVEELEKIAKVNLLQHRSIISLIGNVQRSSLVLEKAFRVLRMNSVNVQMISQGASKVNISLIVNDSEAERCVKALHSAFFEEDDISDMDRGH >KVH65606 pep supercontig:CcrdV1:scaffold_4361:31940:40001:1 gene:Ccrd_025634 transcript:KVH65606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 RING-type MSILPSPNLGSSSSPSQLHLQNPNFNHGSDLDPPSHTLQSLGISDPVTASVAPTPDASAGSSEKVKEDPKQNGKKIPTHQKSGAPFQRNLSGQSSIGFSQERGSSVSQKSRSTNQGGTPTSSGRKAQLVNGNYLLNFQYDPIARPQARVNAPRKLPKRKPYNKDLFLQANYKFVLLDSGNHAPESMDPDKMLQWEDIICLRYFTPHPTNCPICLEELLCPQMTSCGHIFCFPCILRYFLIGEDDHNRECWKKCPLCFMMISSKDLYTIYIENVKQHCVGDIIEFMLLTRDKHSLTPAVKQKERVNAQEEVYDSFSKFTFTADVELSVRKAMSELDSWLARAESGLVDDLEKLPFVCAAMAQLEERKKYWKGHRDFDGVNSCKNDSSHASSPPSLNFGIGVDGSVMSEELPAGVDDKSFLVGGSKLVNVNEPIVNQTADVDEPYDGRDETLSSSYDDRQDMQLPSDGLTDKKIKESYDFYQAADGQYLILHPLNMKCLLHHHQNYDKLPQRISGKILQLETVTQSEAARRRYRYLSHFSLTTTFQLCEIDLNGILPPSSLSPFKDELKNREKQRKRVARKEQEEKIKAEAAAATHHMPVRFDTESSYDYYPAFSLDDFEALGSSSVTSSASPPTVVDRPLFSNVARLGFAASHDSPALRREETHVSTGSSTALAGGSAAASFANVISRAKPVEVKASEMGKKGKKASRVLLSTSGGRRKLKDGDIDRWTDVVLETEIGAKKLADAEVLGEKPLTGDRDDNLFHSGCKLADAEVLEKIGLE >KVH65605 pep supercontig:CcrdV1:scaffold_4361:43301:45731:-1 gene:Ccrd_025637 transcript:KVH65605 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MTRSQFFINISCLFWQYDAFDIGFITTDDFTNADILEATYPAVAKRLHGDWIKDPAIPIVTGFLGKGWRTCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYSGAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITKSRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWNRELIQQASELDHVVEELEKIAKVNLLQHRSIISLIGNVQRSSLVLEKAFRVLRMNSVNVQMISQGASKVNISLIVNDSEAERCVKALHSAFFEEDDISDMDRGH >KVH65601 pep supercontig:CcrdV1:scaffold_4361:3430:5422:-1 gene:Ccrd_025632 transcript:KVH65601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase METDGSSMNMIDEIEYVSYGGEHHLPLIMRLVDEELSEPYSIFTYRYFVYLWPNLSFLAFHKGKCIGTVVSKMGEHRNTFRGYIAMLVVLKPYRHKGIATELVTRSITVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPHPELPPSHSMMSSVLESCGADEMVPPKKDWSPSEV >KVH65190 pep supercontig:CcrdV1:scaffold_4365:41932:48915:-1 gene:Ccrd_025639 transcript:KVH65190 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I specific transcription initiation factor RRN3 MGAVGDEHAEMEDISDSELSHHVREALKSVLLGDTDDYDHIVGNMHNNKRLGPDEVAMLVTILRGLSGAVSYIDVVHHRILLSSICNMSLWNYGPDVMDALIELVVALATSNGKYVDLCLDMLVCNFIPPINFLEILKQPRGLAKKDQILGRVHSALKGIADLVPLAPSRLEQIVRERVPSAHSKDAQIVVYVEAMLLLEGGDMGELVGNTMIFELMNRLIDLDVEIGWDEILLDDPNKGIFEMELEDMARSVNETEIDMEDEPVREYSGRKVLWGNVVAQKLDTLMVLAFDHLGSCFKSGRLVKVFETLLQSFQSTVLNAYKSKFAQFVMFYACSLDPEDCGTQFVSRLVEIFGSTVYPQDWRMSAVCYLASYLARAKFLSASYVTTVLESLVGWCYNYCENQIGYYVCTLLPFEIDSGHSSTQIPADEFLRQAKAAHLFRVSGNFAFNDLLESELSRAFGGFERLDLFFPFDPYLLRKSD >KVH65189 pep supercontig:CcrdV1:scaffold_4365:31766:40218:1 gene:Ccrd_025638 transcript:KVH65189 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MGENEIKDNDAYEEELLDYEEEDEKAPDSAGVKVNGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKLSSNHLKEFFYLVLSIHDHVLQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQICHEFERFSTYLTDIKVAVFYGGVNIKIHKELLKNECPHIVVGTPGRILALARDKDLALRSVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDVISHGQFYLNFEVNIALIEYQHAWSDLKASAKGTNQMDQNLDSEDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNQVFGAFVGVLKRGFSQAMNEYGNGMTIMLIINDLKERRWSAFLGYYTCF >KVI01062 pep supercontig:CcrdV1:scaffold_437:130170:132023:-1 gene:Ccrd_020673 transcript:KVI01062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MHEATKAPKISYYDYIVIGGGTTGIPIATSLSQNYSVLLLERGASPYGNPNVTKKANFGSYFSDNSPDSPSQRFVSVDGVTNARPRVLGGGTSINAGFYSHGDARFIKQAKLKDEWLINESYRWVEKVMVSKPVLTRWHSALESALVEADVTPENGFTYDHIIGTKVGGTIFDQNGTRHTAADLLQYANPKGLRLLLHATAHKILFKTKERSKPTAYGVVYEDASGKKHRAYLKGGQRDEIILSAGALGSPQLLMLSGVGPKDQLHTHNIKRVLHHPLIGQGMVDNPLNEFFVPSPIPVAQSLVDIVGITQSGNYIEAVEGFNLIGGTDSDYQGFSYEMGGFIFEKVNYPKSKGDLKLTNRNPADNPSVTFNYFKEPNDLRKCVLGLRTMLTAIESKSFAKFKYANMTIQDILDLNMKMPTTFPMNADTSSSLEQYCKDNVKTIWHYHGGCQMGKVVDADYKILGVDAVRVIDGSTLTSSPGTNPQASLLMLGRYMGVTILNKRLASDISK >KVI01061 pep supercontig:CcrdV1:scaffold_437:26305:30706:1 gene:Ccrd_020670 transcript:KVI01061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MSTWLLLTLLYLLISVNLQTSLSADTLKPHQNFTDGLTLISSNKRFEFGFFSPGNGSSGGRYLGIWFHNLPLTVVWVANRNNPVPDSFGKLTLNENGVLILYNRSLGTLWSTNNIPVAARSFTPVLQLLDSGNLVVRNGRSNGALFWESFDFPTDTLLPDFKLGWKLDVRLHRFVRSWKSSEDPSDGQYSFSLDPPEAPQLVLRHGSQKLYRWGPFDGSSFSGSIRLTANPLFQAIFVYNSVELYYKFKILDDAILSRFVISPMGLLECYTWRVNTSKDWNLLITFNSEPCDSYMKCGRYGSCYSLSSCKCLSGFTPESPQDWGLFSYSGGCRRRHELDCSHRDGFVKYDGLKLPDDPTVWANYTDDECKAKCLKNCSCMAYANLNVYGNGSRCVVWIGDLVDLKNLPGGGEQIYIRMAHAELQSIADAKRKRITVKIVSVATVIGVLLLSIGTIWYISWIMREKRKDQIGRSSKAQHSGSFQQMEETQDEGFQLPLFDLDTIFLACNNFSERNKIGQGGFGSVYKGELSNGQEIAVKRLLEKSIQGVEELKNEITLIAKLQHRNLVKLLGCCIEGDETMLAWMLWNRRVPLEILDPNLVQESSDGNQVIRCIQVALLCVQQYPEDRPKMSTVYSMLSYEKMELPEPKEPGFCRESYTKKFDTSASDSSTFNEVTMTTLGGR >KVI01060 pep supercontig:CcrdV1:scaffold_437:70696:72588:1 gene:Ccrd_020671 transcript:KVI01060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MHEATKARKISVYDYIVIGGGTTGIPIATTLSKNYSVLLLERGGSPYGNPNITKLANFGSYFSDNSPDSPSQQFVSVDGVVNARPRVLGGGTSINAGFYSHGEARFLKVAKLMNERLINESYRWVERVMVFKPILTRWHSALKGALVEAGVTPENGFTYEHILGTKVGGIIFDQNGQRHTAGDLLQYANPKGLHLLLHATAHKILFKNKGRTRPVAYGVVFEDASRRKHRAYLKGRQMDEIILSAGALGSPQLLMLSGIGPKDQLHTHNIKPVLHHPFIGQGMVDNPLNEIFVPSPVPVSQSLVDIVGITKSGNYIEAVEGFNLIGARLSDYQGYSYEMGGFIFEKVNYPQSKGYLELQNRNPADNPSVKFNYFKKPNDLRKCVLGVKVILKAIESKAFSKFKYPNMTIQDILDLNVKMPTTFPPHANTSSSLEQYCKDNVKTIWHYHGGCRMGKVVDGNYKIQGVDSIRVIDGSTLIISPGTNPQASLLMLGRYMGVTILSKRLASDKAK >KVI01056 pep supercontig:CcrdV1:scaffold_437:248283:250869:1 gene:Ccrd_020680 transcript:KVI01056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MMAYGSCRMVATILIIAIFFHEPCIAETPPNYTFMHEAINAPKISFYDYIVVGGGLTGIPLATTLSQNYSVLLLERGGSPYGNPDITNSANFGNYFLDPSPDSPAELFMSEDGVGNARPRVLGGGTSINAGLYTRDDKKFFKEARLTDEKLVNSSYEFLEKVMVFEPVVTEWQSAWKGAFMEAGVTPYNGFTYDYLIGTKVGGTTFDENGKRHTAADLLQYANPLALSVYLRATVRKVLFMTKGRTRPMAYGVVFEDASGNKHRAYLKEGKGEIILSAGPLGSPQLLMLSGVGPKDQLDAHQIELVLNQPLVGQGMADNPLNAIFIPSPIPVEQSIVQVIGTQVGSQIEQVSSINLVLGSPSDYQGFSYEMGGFVFSKLDGPFSTGELKLQSGNPTDIPSVKFNYFKDPRDLKKCVNGISTILTAIESRAFSKFKYANMTAQDILELTVKLPTYLPIHANTSLSLEQFCKDTVRSVWQFHGGCQIGKVVDDDYKVIGADTLRVIDGSTLLNAPANLLMLGRYMGLTILAQRLESDKSESGLWFTS >KVI01057 pep supercontig:CcrdV1:scaffold_437:226158:235850:1 gene:Ccrd_020679 transcript:KVI01057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKRTSPKGNFNETDFLSFAISFATAPAALANCPPFPSVISMLCMAVPKGISVEVDSSFLSIKTPSQIVQASSKAYDFLDDFHHPHKQGTKSKQVILVIIENVGKCEETCYGFNLIPNTHIRLNLSSSISHSKL >KVI01059 pep supercontig:CcrdV1:scaffold_437:147285:150293:-1 gene:Ccrd_020674 transcript:KVI01059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MHEATIAPKISFYDYIVIGGGAAGIPIAATISENYSVLLLERGGSPYGNPNITNLANFGTYFSDTSSDSPSQQFISGDGVVNARPRVLGGGTSINAGFYSHGEARFIKEAKLKDEKLINESYRWVEKVMVFEPVVTRWQSALGGALVEAGVAPENGFSYDHIKGTKVGGTIFDQNGHRHTAADLLQYANPKGILAFTHFQEILFTGRSRPMAYGVVYEDALGIKHRAYLKGGQNDEIILSAGALGSPQLLILSGIGPKDQLRAHNIKRVLHNPLIGQGMADNPLSAIFVPSPILVEPSLVQVVGVTRSGSYIEAAGGINLIGGSPSDYQGYSFKMGGFIFEKVNGPHSMGYLKLQNSNPADNPSVTFNYFKEPKDLRKCVDGIKTILTTIKSKAFSKFKYANMTVQDILDLNVKMPTNLPVHANTSSSLEQYCKDTVKTIWHYHGGCQMDKVVDDEYKIHGVDALRVIDGSTLTNSPGTNPQASILMLGRYMGVTILKKRLASDKSK >KVI01066 pep supercontig:CcrdV1:scaffold_437:184556:186960:1 gene:Ccrd_020676 transcript:KVI01066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MMAYGSWRMVMATLIASVFFYESYVAEIAPNYIFMHEATKAPKISFYDYIIVGGGAAGIPLATTLSENYSVLLLERGGSPYGNPNISKVENFGNYFFDPSPDSPSELFISEDGVGNARPRVLGGGTSINAGFYTRDDMKFLKEARLMDENLVNSSYEFVEKVMVFEPVVKEWQSAWKSAFTEAGVRPYNGFTYDYVVGAKVGGTTFDEYGQRHTAADLLQYAYPLRLSVYLHATVGKIXFKTKGRTRPMAYAVVFEDASGNRHRAYLKGDQNDEIILSAGPLGSPQLLMLSGIGPKDQLDAQQIKLVLNQPLVGQGMKDNPLNAIFIPSPIPVEQSVIQVIGSLAGSQIEQLKLQSRNPTDIPSVEFNYYKDPRDLKQCVDGINTILTAIGSSAFSKFKYPNMTVQDILDLTVKLPTYLPTHANTSVSLEQFCKDTVRTIWHFHGGCQIGKVVDDNYKVIGVDTLRVIDGSTLLSAPANLLMLGRYMGHTILAQRLASEK >KVI01058 pep supercontig:CcrdV1:scaffold_437:215026:216485:-1 gene:Ccrd_020678 transcript:KVI01058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRQGGGIRVRKGAFDDHEDIMKTIVFTEYRKQAIAFTPGRMKSISDEAHKRPQFLKRVDLVASAFYQHVDRNISKCMTNLPHRFGAMLAVKIA >KVI01063 pep supercontig:CcrdV1:scaffold_437:113643:115374:1 gene:Ccrd_020672 transcript:KVI01063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEATKAPKISFYDYIVIGGGTTGIPIATTLSKKYSVLLLERGGSPYGNPNITKLANFGSYFSDNSPDSPSQQFVSVDGVVNARPRVLGGGTSINGGFYSHGEARFLKVAKLMNERLINESYRWVERVMVFKPILTRWQSALEGALVEAGVTPKNGFTYDHIIGTKVGGTIFDQNGHRHTAADLLQYANPKGLHLLLHATAYKILFKNKGRTRPVAYGVVFEDASRRKHKAYLKGREMDEIILSAGALGSPQLLMLSGIGPKDQLHTHNIKPVLHHPLIGQGMVDNPLNEIFVPSPVPISQSLVNIVGITKSGSYIEAVEGFNLIEARPSDYQGYSYEKVNYPQSKGYLKLQNRNPADNPSVKFNYFKKPNDLQKCVLGVKVILKAIESKSFSKFKYPNMTIQDILDLNVKMPTTFPPHANTSSSLEQYCKDNVRTVWHYHGGCRMGKVVDDNYKIQGVDAIRVIDGSTLIISPGTNPQASLLMLGR >KVI01065 pep supercontig:CcrdV1:scaffold_437:201532:203513:-1 gene:Ccrd_020677 transcript:KVI01065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MISTLYSLTMVVFMLSTTAPDYTFMHEATKAPKISFYDYIVVGGGAAGIPLATTLSENYSVLLLERGGSPYGNPDISKVENFGNYFFDPSPDSPSELFMSEDGVGNARPRVLGGGSSINAGFYTRDDTNFLKEARLLDENLVNSSYEFVEKVMVFEPVVKEWQSAWKGAFTEAGVTPYNGFTYDYVNGTKVGGTTFDQNGQRHTAADLLQYANPLRLSVYLHATVGKILFKTKGRTRPMAYAVVFEDASGNRHRAYLKGDQNDEIILSAGPLGSPQLLMLSGIGPKDQLDAQQIKLVLNQPSVGQGMKDNPLNAIFIPSPIPVEQSVVQVIGSLAGSQIEQVSGINFVLGSPSDYQGFSYELQSRNPTDIPSVEFNYYKDPRDLKQCVDGINTILTAIGSSAFSKFKYPNMTVQDILDLTVKLPTYLPIHANTSLSMEQFCKDTVRTIWHFHGGCQIGKVVDDDYKVIGVDTLRVIDGSTLLSAPANLLMLGRYMGHTILAQRLATEKYESGSFQGYDVD >KVI01055 pep supercontig:CcrdV1:scaffold_437:3106:7599:1 gene:Ccrd_020669 transcript:KVI01055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MNFCKLFLIWEMGFTHKALTTLHLVSFILLLHFLPVFPQIISRIDEGQSIRDGETLVSAGRVFELGFFSPENSSLRYVGIWYYRIQNQSRTVVWVANRNAPISGDSGVFNIGINGSLIISDGSGDVYWSSSNSVVTSNLTVMLMDTGNLALSTVENAGDDSNAIWRSCDNPTDTYLPNLRVYLNISRGDSHRFVSWKNPNDPANGNYSMGVDPRGSPQVVVWNDQSRRRLWRSGHWNYQIFVGIPQMRSLLLFGFRLVPVDNDLMYFIFNNPNTTVYMRFLIQWNGLVQQLTWNDETSQWSVMLSQPSTGCEEYNKCGNFGICRTISVPNICTCMEGFDPNPDSRDQWNRGNWSGGCVRRTPLECNSNGTSNDGFWQRTGVKLPDFADRLVVGSSGDCEDGCLSNCSCNAYAYVSGVGCLIWGGDLVDVEQFEEGGETLFIRLADSDLGSTKKVSRTVAIAVPVTGVVVLGIIVWLLWRYRQRLKECRNPCGKATNPPPVFEASNGQETSVETSGPPDYEGKAYEGPSLPLYSSRQEIAVKRLSKSSGQGLGEFKNEMILIAKLQHRNLVRLLGRRKNANPKRKAELDWKTRFKIIEGIARGLLYLHRDSRLRIIHRDLKVSNILLDEEMNPKISDFGMARIFGGNQNEANTNRVYAMEGLFSVKSDVYSFGVLLLEIISGQRNNSFRSADSTNLIRHAWKLWKEGKGEELIDPLILDSCNKNEALQCIHVAMLCVQSSAIQRPTMSSVVFMLEGENTSLPQPNEMDMTSLSSVEMDLIMEGREINISSNDWAGGNDRKRKRVLCFLMYQYQRNRISDVFRFLVFLKAVSHGALGRVSNR >KVI01064 pep supercontig:CcrdV1:scaffold_437:153713:155721:1 gene:Ccrd_020675 transcript:KVI01064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MFLVISFNLSLCYYTAPSYTFIHEATKAPKISFYDYIVIGGGTAGIPIATTLSESYSVLLLERGGSPYGNPNITEIGNFGSTFRDSSPNSPSQRFVSEDGVVNVRGKVLGGGTAINAGIYSRGEGKFKNEARLMDDDLIGKSYGWVEKVMVFKPVMGRWQSAMRGALIEAGVTPDNGFSYDHIIGTKVTGTIFDQNGTRHTAADLLRYANPKGLSLLLHATVHKILFKTNGKYLEHHGQQNHYSWLVIYVSTTGKSKPTAYGVVFQDSLGNKHRAYLKGDQKDEIILSAGSLGSPQLLMLSGIGPKDQLDAHKIKVVHQHPFVGQGMADNPLSTIFVPSPIPVEPSGVQVVGITRFGSYIEGASSVNFIFASPSDYQGFSYEVGGFIFEKINGPISHGELKLQNLDPSANPSVTFNYYKEPKDLQKCVGGVKTILTAVESKAFSKFKYRNMTAQDILDLNAKLPTNLPIDAKTSSSFEQFCKDTVKTLWHYHGGCQIGKVVDHEYKVFGVDGLRVIDGSTILNSPGTNPQASILMLGRYMGVTILRQRLASDKSR >KVI11217 pep supercontig:CcrdV1:scaffold_4373:38443:43948:-1 gene:Ccrd_010375 transcript:KVI11217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MLLPNTTTEAENVDYGMKVTFLELYNDETIDLLAAKDYSTGSKLEADLARRAEHYFSENMRVIKGFKQV >KVI01750 pep supercontig:CcrdV1:scaffold_4375:8510:22000:1 gene:Ccrd_019971 transcript:KVI01750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKGLVWSFIAGLSPDYDTSTTIFSSGNNSGKYDLSGMAVLSMGLTNGCGSLVLTGMVVTKSGSESTTWIQFAYTYNKERLTAEIIELITAILDENLPNPQQMLNLSGFSVLGFLLQSVGYQQLNMDTLSAMFLIQQFDNDPRLLESLCCFPRDNMPECSLLLDTLELFACNPEVDTGLAKCLLHSLQLAPEKTVSSYRTLDAIPRVLKVSCIQAQEFKKSNSPGTMHKK >KVH98794 pep supercontig:CcrdV1:scaffold_4377:33660:33845:-1 gene:Ccrd_022978 transcript:KVH98794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDSIDLTEVIHLIESPEALKTKVEEAMETIVSHVEF >KVH96821 pep supercontig:CcrdV1:scaffold_4379:8247:9604:1 gene:Ccrd_001087 transcript:KVH96821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALFHFYLTTMTTSTAMIHSNGFQFRSVHKPLRFIIQKNYPRVVRPILSINIPRSLSSIAVPKISNDPIFDLDRDYLSCSMPNTNRPLKVVVLFSGGVDGIVSLRFLPQPNTPALLSTLKYGSKYLTLFMSISVAILLTLMFFAVRESSLVCSFGNLAT >KVH96450 pep supercontig:CcrdV1:scaffold_438:81920:82597:-1 gene:Ccrd_001466 transcript:KVH96450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVISNPVTYNTDGPRDSSKRRKKKKMQRQSSSGRDQVTQNLNNNSSNLNHITSWKSEGQQEAYMSKLLQAIRQVRLGAAGASPHSASFRGRAVREAADRALAMTVKGRSRWSRAILTNKLKHKFMKNNLRQRGVVATATGNSPLKKPRVGILRLKSKNLAAVQKKARDLGRLVPGCRKQPLPVVLEETTDYIAALEMQVKAMAALADLFSGGFNSSSVCPQSK >KVH96449 pep supercontig:CcrdV1:scaffold_438:68176:74725:-1 gene:Ccrd_001467 transcript:KVH96449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase, small subunit MATKAMYLSVSHQSLLSIPATTKPLQVRSFTVRCSTGAAPVGAAVPAPWKLADARLVLQDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNIDDEESIRCFLAGLVIRSLSISTSNWRCTESLSDYLSKRNIMGIYDVDTRAITRRLREDGSLIGVLSTEKSKTDEELLEMSRNWDIIGVDLISTVSCKKPYEWVGKTDMEWDFNSNGRDGKTFHVVAYDFGIKHNILRRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRNGSVEISAQNHNYAVDPDSLPDGVEVTHVNLNDGSCAGLAFPQRKLMSLQYHPEASPGPHDSDMVFDHFMELMRREKQST >KVH96447 pep supercontig:CcrdV1:scaffold_438:195418:207650:1 gene:Ccrd_001465 transcript:KVH96447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVEELIILLHSRNCSNKIDGRPEDTFQRRNSIMVVNYPPFAPRNTTSFLIRAKKAEAITPLVSPCPVTPVVLPTPNFSPSREVLVDIAKEEWGVDGYGFMKGSIRLRLPGNEADVAEDEEDEEGGSSESDVDKHLESLERQRRGAQVFIEEVVENDSEHESESRGYGRSMKENMEENNEGNQYAEAMKDSVADVDIEDDILRKEENINVDLGAKMEDDDGTIEVNPDSSKVEGFVPVKDETVAGRDRVRDDDEVGCMCSSSKSQITKQITLPISSTSPNSNGSSTPSTPNSSNNSSSSSAYDHTPKNSLKYSYKFARSPKKIIASMERFARSFSTWGSFSTREWVANKIGCCKEHHQLPSLLLNRPPPFKLSMHTTRVLFHNLMTDGLILTIWLAETTKKNVSIFINNNILHVTVVMLAKSEANILHYHVMLPSHIYKHSDVKAAYIHGALNVCFRNIKEMKKHNYSNKEDVIDEDTHILLCGFGQPNCENQPIGCNITKQDTRCMHRWFKWWSVEELAGNGIMLLATSSFWGKNSGKSFECIEHAT >KVH96446 pep supercontig:CcrdV1:scaffold_438:258318:258990:-1 gene:Ccrd_001463 transcript:KVH96446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MDLRSKFSFETSTLLISFILLGIYFDSISKGKTSDALANLTDLTPDTACLLTMGDDKNVISETEISTQLIQRNDILRIFPSSKFPVDGIVIDGHGYVNESMITGEAVPVAKNPGDKVIGGTVNDNGCLLIKAIHIGSETAHSQIVQIFEAAQLARAPVQKLADRISRFFVPAVSCLPLHYIHATSHGHKVDFGCLI >KVH96448 pep supercontig:CcrdV1:scaffold_438:202535:209275:-1 gene:Ccrd_001464 transcript:KVH96448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYAKETHAYRWKISTLAAARLLHSSSPSLRCSIFAEDEDELLLLLFGVEGVLLPLLFGDVELIDADVSRKATNGGYVQ >KVH96451 pep supercontig:CcrdV1:scaffold_438:52746:62016:-1 gene:Ccrd_001468 transcript:KVH96451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MLYENIDEMVATMAVDGGVLVAKGGRGGGGAGSEKTMATSSSPPQSDTEVVAERRGIPAASFVKDVQAYLDQLGLDVNSTLAFQQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDVVATLQAKKGTDEALLTDFEVSEGIYSRARIENADSVCLWLGANVMLEYSCEEATSLLQKNLENAKASLEVLVADLQLLLLGCTIGMSTNEELDKLLLPKIDEKKQRGVQTCLTFQIVVTLG >KVH96951 pep supercontig:CcrdV1:scaffold_4381:10320:32721:1 gene:Ccrd_000956 transcript:KVH96951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 METDEEEASRIVPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLQDGSISLHDVENGKLLRSMKSHTTAVVCLNWEDDGDRTSRFFPPPPRVPRVPGLVPGETGFMDESENLSQELSNSSHQRFNILCSADKDGIISFSIFGIFPIGRTDIHDLSVLCPLANKHVDCKLLNASICKVAFSKDLCHLTVLCSGELQVPGDDLHGFHCLTLDTSIFYRRRNELHQVAQQASNIEDLTEVIRASLFVMSKQWSDAMHTFHDKFDSLSNLIHVNGLDSTPQEEFLSLLGGARTSPAVHQFLENSLGEAGLKRITKLVCGAGKELQVIVLEHLQPAVEIIGFRLGELRGLSKWRSRYQGVGLDETLIDNATEKAGMLLIQVERFMRVLSASVQQFSNFFNWLHKCVKMLMSEPSDQHQLLAFNSELVILFLKYLYDQDPVKRLLEFSEVDQSIEVDVETMERVQELAHFGGFTDFDYLRRTLAKEFQQILCQNLLPFFPVDPLPKSASSTVPTSISYYKGGAIEKQERFVDYVSFRLPDEPSSDLANCIVVTKCSMNDLQAVLLCVPDGYQCVDLSLYKECQLVLLLNGPSSVSESSEKACMMIVHADDLPFVSLPSSGASSPWKLEELKDSIVYLQMDSLKVRGIPHNVIAPLAVSASRGVACVFATRKRALVYILDEDEDEILDAE >KVH97008 pep supercontig:CcrdV1:scaffold_4382:38536:39631:-1 gene:Ccrd_000898 transcript:KVH97008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MLSDLSMSEKHEMWMVRYGRVYKDDTEKEMRFKIFKDNMEFIESFNSGGNHPYKVGANAFADQTNEEFKAARNGYKFSTNKKLDQTTPFRYENVTVVPPSMDWRKKGAVTQVKDQGQCGSCWAFSTIAATEGITQLSTGKLISLSEQELVDCDRSGEDEGCEGGEMEDGFEFIIKNKGINTEAAYPYQAADGTCNTKEEAIYAAKISGYEKVPANSEKALLQAVANQPISVSIDASGYAFQLYSSGIFTGDCGTDLDHGVTAVGYGVTDDGMKYWLVKNSWGASWGDGGYIMMQRDVSAKQGLCGIAMDSSYPTA >KVH97010 pep supercontig:CcrdV1:scaffold_4382:21333:26668:-1 gene:Ccrd_000896 transcript:KVH97010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MAFNISGNLAFALLLIVGIWTLEATSRTLTETSMAERHXQXMALHGRVYKNAAEKEMRFEIFKANVENIEAFNSVGGKSYKRGVNAFTDLTNEEVQASQNGFKLRSDPRTSVFKYENLTAVPPTMDWRNKGAVTPVKDQGQCAVAAMEGINQLSTGKLISLSEQELVDCDTSGEDQGSFLVAGLWTLEAISHTLPKASMAERHEQWMTWHGRVYKDATEKDMRFEIFKGQYLTKEEFQATFNGYKLLTQSISTSFRYANLTTVPSSMDWRKKGAVTPIKDQGQCALLKAVAMQPVSGAIGANGSDFQFYSSGVFNGDCGTQLDHGVTAVGYGTSEDGTKYWLVKNSWGTSWGEEGYIRMQRDIHAEEGLCGIAMMASYPTA >KVH97011 pep supercontig:CcrdV1:scaffold_4382:19195:20065:-1 gene:Ccrd_000895 transcript:KVH97011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, histidine active site-containing protein MCFKTFKDNMGFIESFNSGGNHPHKVGANAFAYQTNEEFKAARNGYRGSCWAFSTIAATEGITQLSNGKLISLSEQELVDCDRSGEDEGCEGGEMEGVFEFIIKNKGINTNAAYPYQAADGTCNTKEEAVYAARITGYEKVPANSEKTLLQAVTNQPISVSIDASGYALQLYSSGICTGDCGTDLDHSVTAVGYG >KVH97013 pep supercontig:CcrdV1:scaffold_4382:1356:2963:1 gene:Ccrd_000893 transcript:KVH97013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSKLSLLKSSTPSARIISNPNRSLIPTNNLLGHPPTATSLSLLLQSYINSDTPFHGQKIHTHIIKTGFPSNTNISIKLLILHLKSSCLLYARQVFDDLRQPTLTAYNYMIAGYTKHGQVGESFNLVRRLVSCNEKPDEYTFSMILKASTSDSVLLNGCWIGKEVHGQIMKSYVVVDDVLSTALVDSYVKSGRVDYARRVFDFMMERDVVCSTSLISGYMRQGFVEDAEDIFKKTIEKDVVVFNAMIEGYSKSIETAKKAIEIYISMQRLDFKPNKSTFASIIGACSLLSACEVGQQVQGQLMKAEFATDIKMGSALVDMYSKCGRIEDARTVFDCMPVKNVFSWTSMIDGYGKNGDPSEALNLFGQMQNVFRIKPNHVTFLGALSACGHAGLVSKGQEIFEIMEKDYSMKPNMEHYACMVDLLGRAGRLNQALQFVMAMPEKPNTDVWAALLSSCRLHGDVEMASIAANELFKLSRDGRPGAYVALSNTLADAGRWDSVSDVRVLMKMRNISKETGSSWVGTDDGLECLHATQKV >KVH97012 pep supercontig:CcrdV1:scaffold_4382:9029:19188:-1 gene:Ccrd_000894 transcript:KVH97012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIGWLKTRGVPAGETDKSRHYRGLSSRRSSIMLTINLRLTAKNKIVATTMSVGTSGEANIV >KVH97009 pep supercontig:CcrdV1:scaffold_4382:30731:38475:-1 gene:Ccrd_000897 transcript:KVH97009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C1A, papain MFTFYSVHCNICDATLVYRNEGINQLSTVKLISLSEQELVDCDTSGEDQGCNGGLMDQAFKFIIQNKGLTTESNYPYEAIDGTCSTSKESSHATNFCSLFRVKLVACLWNAKYHLTTNLSIITNEQINVTQLDHGVAAIVEYGTTTKGTK >KVH64304 pep supercontig:CcrdV1:scaffold_4388:491:37096:-1 gene:Ccrd_025640 transcript:KVH64304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYISERQSGRYRHEELKAERRERETEGSTREDLQEAIPPVVGETCKSDCGLAVCECERRETRNRFPSPRHFITHLASLPFASFPFASLPFASCPNPSVLSPPRRSGVQEGNDDIDFEVEFGSGRTRGPHGARFEGALEFFVGGVRVTTLGSDSDEAGETNIVEVDDDSNLEMDAGHHRLEEKSICLEEFLLAEETIEGHAIFDELHGSLSTQQGEIALFARELRKVFTEYLTKCVVNRVRYAKILEDFKNDLESHGGPPDCIVSENFSSSIYLLLCRIRELVLREVGFKDIFKNVAEDNAKAITLFKDVFRLNDTTEEEPKRVENLVRGKFAENLFDLGSGILHKYANAPVKI >KVH64303 pep supercontig:CcrdV1:scaffold_4388:38353:40923:1 gene:Ccrd_025641 transcript:KVH64303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MsrA MDQSSIAQGPDDDLPAPGQQFAQFGAGCFWGVELAFQRVPGVSKTEVGYTQGFMHNPTYNDICSGTTNHSEVVRVQYDPKSCSFDSLLDCFWERHDPTTLNRQGNDVGTQYRSGIYFYTAEQEKAALESKEQHQKKMNRTIVTEILPAKKFYRAEEYHQQYLAKGGRFGFKQSTDKGCNDPIRCYG >KVH64302 pep supercontig:CcrdV1:scaffold_4388:42755:43990:-1 gene:Ccrd_025642 transcript:KVH64302 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUA-like domain-containing protein MKKISQPVIPRKXLLDDDDDCVLEEIIKPRKVVSKYPPPKIRTGVQVVRDFPPGCGIIDNSLRNXAERILLKHFDKYGSKIRKMTXNDKDKLADSRCFENNELKTSKPKESGGNTPLGSRPTGKVQFWDPNLSKEGNDQKPEDIANGGSRKEQFRLEKSRRPAGKVKFWDPRTEEGDAQKPEDTTTVVSRKEQFRREKINEAMAMFEDVYERLYLENRSKPKXEKIAHWRITIEAAKIVKQRKKLMDVDKVLGHICGIRVGDKFKFRAQLHMVGLHCQLQSGIDYAKVRGKNLAISIVDSQRYLNERESCDALLYSGHGGVKFLGGRPEDQKLERGNLALKNSINEKNPVRVIRKLHTGSGKNNDVFVYDGLYIVKGYKQQKGAEGKMVFMFQLKRIPAQPQLHKMLNSSR >KVI03099 pep supercontig:CcrdV1:scaffold_439:227858:235321:-1 gene:Ccrd_018606 transcript:KVI03099 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-type domain-containing protein MGLGLLASRALRSSSSRVLSTNPRYNLFRTIVSTPELHKPEAAAEAAAEPDLPKRTPVAGARVHFPNPDDVIEVFVDGYPVKIPKGMTVLQACSVAGVDIPRFCYHDRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTETKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEIAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLSDPMIRGADGRFKPVSWRDALAVVAEVIHQIKPEEIVGVAGKLSDAESMMLLKDFLNKMGSNNVWCEGNGKNTDADLRSGYLLNSSIGGLEKADCFLLVGTQPRVEAAMVNARIRKTVRATQAKVGYIGPAADFNYDHQHLGTGPETLVAIADGGHPFSSTLASAKNPAIIVGAGIFEREDKDAIFSVLQSMAAKNENLIRPDWNGLNVLLLNAAQAAALDLGLVPESDNAIESAKFVYLMGADDVNLDKLPKDAFVVYQGHHGDNGVYRANVILPSAVFSEKEGIYENTEGCSQQTVPAVPTIGDAREDWKIIRALSEVSGVRLPYDTIHGVRSRIRTVAPNLLNLDEREPAAFSVSLKPESPKKVSKLPFGVMVDNFYMTDAITRASKIMAQCSAVLTKK >KVI03100 pep supercontig:CcrdV1:scaffold_439:158220:162843:1 gene:Ccrd_018608 transcript:KVI03100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase, beta-Grasp MALLNDLINLNLTDSTKKIIAEYIWIGGSGMDLRSKARTLPGPVSDPKKLPNWNYDGSSTGQAPGEDSEVIIYPQAIFKDPFRGGNNILVICDAYTPAGEPIPTNKRHAAAKIFSHPEVEKEVP >KVI03098 pep supercontig:CcrdV1:scaffold_439:217045:225057:1 gene:Ccrd_018607 transcript:KVI03098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MGYIVQDLGTFFGTIVREGIRCWESDEVVKESNVINTIEETSKTQSDTTVLRPKLFLEIDENLEEFNNSFFKRSHKAEITKATIIGCKKSRYKDPLIKLRLLRDDFTGEYEEIHEPSNVPPVLLKIQADPKIIESLVISEKERDTFVVPFERFELLGDSENFITTYTFGTNSAKHTFCKVCGITSFYTPRSNKGIAITYRCVDPGTLSHIEIKHFDGMNWEKSQNETDVPKGSGSGVCTFAPLITLSGNGNPFFLPPGSQTPSAGLLQ >KVI06799 pep supercontig:CcrdV1:scaffold_4392:17285:32678:-1 gene:Ccrd_014846 transcript:KVI06799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDTDAVRWAKEGSGNPKKEIPHSISNEQTRMDSISSRNGTRGFGLPPPSKFKSGHLPVVVPISQVLPRDDINSTSEDMSTDSDGEVYGGRYSLDSSPQDDRVPSVSNKRYHDPVQRRPQYHVYSSDVSSSIEKPQGRGKGNVADRLMMRGAHRYPVRSSVYTEDESDDSAASSEFSSTQVGASIENLARKDAYTSDGYSSHVSSQVNRTELHGRNIQKRRSSKEDFPSAPPFSGEIKQEMEHSSHSKAKYTSSRVDSHDSAAKSPIEKKTPAVDLKENHKQETSNPSSSLGPWHAVIAYDACVRLCLHAWAKGCMEAPIFLENECDLLRRTFGLQQVLLQSEEELLVKRSSEVRSEGAAQKTKKMVGKMKVQVRKVKMALDPPTGCSFSSIKPPKVKLETVRRHFANLQYKVSSGWRAVRSIHFAPRVPAHGSFSRHSLAYMQASTQYIKQVSGLLKIGVSTLRSSSAGYELQESYSCLLRLKSSVEGDAIRMQPGSGETHIFLPDSLGDDLIVEVQDSKGNHYGRVLAQVATISEDQCGSIAETMAYDLAMEVAMKEQNFQQRNLLLNDPWK >KVH96262 pep supercontig:CcrdV1:scaffold_4397:36172:39691:1 gene:Ccrd_001654 transcript:KVH96262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGSLKKPKRSLNRSKAVMEQKNSGLELENSIYAILTISRWESLNQMNYKRVSLRPVHGRLALKFLNWVIKQPAFQQLKNLTYVYCITVHILVKARMYASVKLILTQLSEMGIGPNSIFVALMDTYPLCKSNPAVFDLLIRVYLQESLVKDALEIFHLMGARGFRPSVNTCNMILAAMGKDNRAGSGSAWLLFKEMLRMNVCPNVGTFNVLLNVLCLNGKIKKAGHLVWKMEEGGYVPTLVTYNTLLNWYFKKGRYKAALELLDHMGHKGLEADVCTYNMFIDNLCQNNRSAKGYLLLKKMRKRMVSPNTVTYNTLVKGFIREGKTGVAVHVFNEMLNFRISPNIVTYNALIDGYCREGSFNEAIELLNEMEEWGLRPNEFSYGVVLDGLCKLGELNSAFSLLERMKMNGILVNHIPYTMLIHGFCRSKMLKEGVQVLDKLIQNGVDPDVVTYSVLINGFSREGKLKNAKEMMCKMYKSGVTPNKVIYSTLMYNCCIHGKVNEAMQIYATMNRDGHDPDLFLCNKLVAAFCKSKRVGEAMELMKHMGRIGIISNTATFDCIINCYVSMGNGLKALSLYEEMVNLGHQPSSYTYGTLLKALCYGGNFEEAEKFLNKIRCIPHALDVVAYNMLLAEICRSGNLQAAVFLVKDMVRNNVIPDSHTYTCILASLCKKGKMVSAILLSEHWMQKGALLPNHVTYTCLIDGLFKVGQPKTAAFYHEKMVGEGLVVDTILLNVLMDGYSRMGNMSMVYGLFSRMRDGSLSPSLATYNILLHGYSKQQDILSCFAVYGTLLREGFVPDKLTCHALIYGLCNVGMLDFAVKILKNMILKGTIADKCTFDMIISECSNRRQIVKASELLDIMSAFRVFPDQDTFNIFLKGIKEKYGCRPAHSFLNEMMEKGFVPSEKQIVRLITRMCSVGNVKRAIKLKSEIQALGVPSRNVAESAMVRGLVQKGRVEEALLVFDCMLRANLVPTPATFTTLMHSFCKKRDFVEALKLKHIMEIHHVRFDAVTYNVLITGFCGNGDLVEALKLYKEMKKRGICPTVTTFAVLVESFCKENDFPDGDTILMDLCKRGLLSEDEITQDTANTFYVALKKLNILRHKRNRINFSRTPSAKKQLPVNTGFVRSTGL >KVH96261 pep supercontig:CcrdV1:scaffold_4397:8105:36173:-1 gene:Ccrd_001653 transcript:KVH96261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g13270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13270) UniProtKB/Swiss-Prot;Acc:Q9LYU9] MCEKCLNDSFFWNKEIFEERSILGLSSWLLVRFLMLRHVDLVASMAAIPMGPSPTPPDKTSLIKTANFARIPSKLSLKSNVSSPKAPLVQQGRIENVHLVSLSKQGKIQEAGDFIKEMDKSGVSVTLHSYECLLEMCADLRHLPVGKFIHDHFQSSLGTPPIFIQNCALRMYCECGSFSDANRLFDEMTERNLASWAILISAYAKAGLIGKAVKLFSCMKTIEPDFTIYISILQSLVDSSSLELGKQMHSLAIKNGFTHIVKVDTTILSMYVKCGCLDSAELSFDQMAEKNAVAWTALMVGYMQIEKRVEVIDLFLAMVKEGVQLDEYVFSITLKACACLENQAIGQQIHGYILKLGMADEASVGTPLVDFYVKCGSIESATRAFNKISEPNDFSWSALITGYSQSGEFDECLKVFKSLKSRDAVLNSFIYTSIFQACSAVAAFDLGAQAHGDAIKRGLVSYLYGESAMITMYARCGRLDYARQVFESIRKPDNVTWTAIIAGCAYHGNASEALRLFRRMLSSDVNPNAITFIAVFTAYNYSGLIKEAKECLDSMSSRYGVQPNINHYNCMIDIYARAGRLEESLEMIKNMPFEPDPMSWKCLLGGCSIHKNFKLGKIASENLLRLDPHDTAAYVLMFNLHASSRNWEEAGLVRKMMAERNLRKEVSCSWISIKGKMHRFVVGDQHHPQAQEIYLKLKEFEYPKTSNQEVLLTEDDVSDILPERREQLLDHSERLAIAYGLISTSKGSPITIFKNLRACKDCHEFAKHVSMVTGQEIVVRDANRFHHFKSGKCSCGSGDVILHQGYMVGLYIPRHSSRDSENHFMTIIAKLALMMEDFLMHMLTALRTHEHTTYINPSSEQHRTLYALIFSLQFLPTITMTAHSGADRLDASSSTAQPVMPAPAGNGNANGIGSVPMNSNYRLRLIPHQDHKPDNYDDLQSEFTPFLFSSLERYLPPHLLNVSREAKYRYMQGILRRYSTEGDRTRERIEELTKLGKALFAVFTSTSDVKEAIESCKLLYFLSVGSMLFICEADSACFLLLDKAVKNLFEVLGSLSETDLVQKASVKKLRLGHLFSSFTAKKHKEYRQNIISNYQVNFEPLYRDLYQLDATTFFVPSFLEAFMASDCDRDQSIKSIMSEPAPGVYTFNMLQPRFCDMLLAEVSSSSVIAILMVENFEKWITETKFRVMRPNTMNKYGAVLDDFGMESMLHKLMEDFIRHISKIFFADVGGYSLDSHHGFVVEYGLNRDVELVGFHVDDSEVTLNVCLGKQFSGGELFFRGVRCDRHMHDEALPEEIFEYSHVPGHAIIHRGRHRHGARPTTAGSRVNLLLWCRSCGVNNLSSLRIIELSLFFSYSSVFRELKKHNKGYASWCGDCQREKRERLNQAVSEKKAEMLNERRMVHD >KVI06633 pep supercontig:CcrdV1:scaffold_4398:14375:15043:-1 gene:Ccrd_015015 transcript:KVI06633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MENPTLISLLQHTTRTGKRSKSSGSGSGSGGIFRMLKLLPMLTSGCKMVALLGRPRRPLLTDHATTGTLFGYRRGKVSLAIQEDPHRLPVFVIELPMNSSAFQREMASDTVRLSLESETTSRKKKVLEEFVWAVYCNGRKYGYSIRRKEMTDDEVYVMQSLRGVSMGAGVLPGLSAMDGELTYMRARFGRVAGSKDSEAFHMINLEGAENGQELSIFFLRLH >KVI06634 pep supercontig:CcrdV1:scaffold_4398:18298:29712:-1 gene:Ccrd_015014 transcript:KVI06634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3148 MASASSLHISKTLPLSAAYYADKRPHLCHNPTIKFPDRKKCWGVRSNQSFIGGIMSEMKDPSAETPKVSTSLQIGSPIIIVEAPTMLKTAASVPCLRVNSGLVKAGDVGRIMSRKPKDVWAVRLTIGTYLIDGKYFKPLQLDKDN >KVI10088 pep supercontig:CcrdV1:scaffold_44:428051:430512:-1 gene:Ccrd_011527 transcript:KVI10088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMASLLLVAFFYLVPCMHSSNARLLSAISKPFPANPHHGSISLQVAEKNGGDEMVERTGSDAQPPATTTFLKAEFEEFLTHDDSKKINGGGVVVVKEGSDIVESSISHHVALLEGTHIKGSERPITSEYHEKEEVKNDDVTVTDYQPPHRKTPIHNK >KVI10095 pep supercontig:CcrdV1:scaffold_44:168302:171933:1 gene:Ccrd_011512 transcript:KVI10095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MAESEGTQNDTQLVVVATEDMAVRAVNKRYEGLSTVRSKAIKGKGAWYWVHLEPILVRNPDTNDAKAVKLRCNLCEAVFSASNPSRTASEHLKRGTCPNFNSLLKPSSSSSSLPPLSSPSSHSNRKRFGSIGTSNDGLNSNRQPRQFQHHYPPHNHHHGQQHLLLSGGKEDLGPLAMLEDSVKKLKSPKPLPPGPHLSKTQVDSALGLLTDWFYESYGSVSFPSLDHPKFKAFMNQVGLPEISRQDFMSSRLDSKYEEAKLESEAKMRDAEFYQLVSDGWKTKTFGHGEEGLIKFMVNLPNGTTLFRKTFFPGGGNGTSMAVPATYAEEIMWETVTGVPPLLYCENLQNFSSISSMLDNTLSCARVLQLVVMDDSYKLVVIEDSVATEVAELVQNMGFWNDVEAVNTLIKVIRGMVEEIEAERPLVGQCLPLWEELRAKMKDWCAKYSIHEGLVEKIIERRFKKNYHPAWSTAFILDPVYLIRDSSGKYLPPFKYLTCEQEKDVDKLITRLVSREEAHIALMELLKWRSEGLDPLYAQAVQVKQRDPFTGKMKIANPQSSRLIWETILKELTILGKIAVRLLFLHATSCGFKCSWSFMRWVSTNGQTQSRVGLEKIQKMIFIAANSKLRRQEFGSEEEKEAEVFGITSSEDDDMLNEVFLSKPEAMEAVGMKKKMHATFHQKRT >KVI10055 pep supercontig:CcrdV1:scaffold_44:82029:89188:-1 gene:Ccrd_011507 transcript:KVI10055 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MPSIAVLSETLTPSSDLTTKPKKMKNITSETVGLESSIKKKEKKSKKDKSASQSTDPSSDTEKSEKKVKRKRKASSDTDNDEGKSDTSSELGEPVNIKTEESMKKSKKKKMKTVEVDEEEVVIKEDNPNAVSKFRISEPLRNALKAKGIEALFPIQARTFDSIYDGLDLIGKAKTGQGKTLAFILPILESLINGPEKASRRTGYGRAPTILVLLPTRELAKQVFSDFKAYGEAVGLTACCLYGGGGASISPQTVQLKRGVDIVVGAVGRVKDHIERGNLDLCSLKFRILDEADEMLRQGFVEDVEYILGKFPFVPEGKVNDASNVQTVLFSATLPSWVNQIASKFLKPNKKIVDLVGFEVMKASENVRHIIMPCSSSARSQVIPDIIRHHSSGGRTIIFTETKDYCSELSGLLVGARPLHGDIQQSVREATLAGFRSGKFMTLVATNVAARGLDIHDVQLIIQCEPPRDVEDYIHRSGRTGRAGNSGVAITLYEPRRSNISKLEREAGVKFEHVSAPQPADIAKAAGADAAEAIIQVADSVVPVFKSAAEELLNTSGLSPVELLAKALAKSIGYTEIKKRSLLTSMENYVTLLLEAGKPVYTPSFAYGVLRRFLPEEKVESIQGLALTADQRGQENASGVSLEVVKELPQLQEREQSRSRFGGGRGGYGGGGGGFSRGGRGGGFSDRRFSRGSGGGGGETMVVIDHMHLPRMKTPFFLTSIFLLTGFYILPSVMIAVIGVLASLLLIPLALMLLPYFRELMSTARRHRPPIVGPISNQLIHFNKLYDYMTSLAENYPTYRFIRPTHSEIYTTDPVNIEYILKTNFSNYTKGEYNTYLMRDLFGNGIFTVDGMKWRHQRKLASLEFSTKVLRDFSTVIFKSNTAKLAKRISLLAADEKMMDLQASNRFMKAFDDSNGLVYWRFVDLLWKVKRYLNIGSEAVLKENIRIIDNFVYKLIRNKREQMEDGNIYKEDILSRFLMESKNDPQNMTDEYLRDISLSFVIAGKDTSANTLTWFFYKLCKDPLIQEKVAEELRAAIEFDHHISMDEFALKLTEDALDKMHYLHAALSETLRLYPAVPLNSKSAEKDDVLPDGLKIKKGDGVGYMSYPMGRMTYVWGEDAEEFRPERWLKNGVFQPESPFKFTAFQVC >KVI10098 pep supercontig:CcrdV1:scaffold_44:180627:181643:1 gene:Ccrd_011513 transcript:KVI10098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWLTENATEAYLKSMKMGKRANEPDASEFISAIAAGNNAQLMVVVGAATARSTTVGLLAAAEQTGGHVISIFKGTEELHSSKQALGSDADRVGFVVGDAETLLLNNYRDADLVVIDCNLENHEQILGAIKGNGREKSTIVLGYNAFWKDSWRWSRSNSHLLPIGEGLLLMRIARKSENGGGGGGKNTRDGGSHGGSKKSHWVVKVDNCTGEEHVFRVKSPGGRVVKA >KVI10096 pep supercontig:CcrdV1:scaffold_44:319312:320781:-1 gene:Ccrd_011518 transcript:KVI10096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MISYSKHNSRNHTHPQAKMQPFTCSLLSLLFLAIFNFTLISATSRLLMEANSSQIDFIKASCETTRYADLCFKTLSPFANTIQTSPMELANVALIVSLKSAKSASKAVKGLSKGEGLSMRDGQAVADCLEDMSDSVDEMQKSMVAMRELDGPDFKEKMGDVKTWVSAALTDEDTCMDGFEENGDAKMKETIRGYILNVAELTSNALALISNIYST >KVI10066 pep supercontig:CcrdV1:scaffold_44:513864:526794:-1 gene:Ccrd_011534 transcript:KVI10066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPTQYTFTIILASCAAMKSLEIGRKVHSFIVKVGLSSYTSVANSLLNMYVKSGDVLMAESVFGRMKLKSTSSWNTLIAMHMQCRRFDLALTQFEQMTDRDVVTWNSMISGYNQHGLDIEALDMFCNMLKNQVLKPDRYTLASVLSACANLDDLNSGKQIHGHIIRTEFDLSGAVGNALISMYAKLGYVKKAQKIVEQNRISNLNIIAFTALLDGYVKQGDMNLARQIFDSLRDCDVVAWTAMIVGYMQNGFNNEAMDLFRSMIRGGLEPNSYTLAAMLSVSSSLASLDHGKQIHARAIKSAAAPSVSVSNALITMYAKSGNISNAQRVFELISCLRDTVSWTSMVISLAQHGHGAESLELFEKMLSLDINPDHITYVGVISACTHMGLVEEGRWYFKLMQEKHGIEPTASHYACMIDLLGRAGKLQEAWDVIQKMPIEPDVIAWGSLLASSFVHKNMELAKVAAERLLLIEPDNSGAYSALANVYSACGNWEDAAEIRRSMRFRQVKKDQGFSWVQIRNQVHVFGAEDSVHPEREEIYLMMAEIWKEIKKLGFVPKTEAVLHDLDEEVKEQILMHHSEKLAIAFALMKTPKNSALRIMKNLRVDEEAYSAEIGKFDMDMEGQLSMVMVEYWLQQASHFSSLRLSQLGFFTKDLPTPNNANSYGEFTCPDFDESVIERVAPISGQHLTSVINLREAVSMDIDPPAPPSSKPKKLKFNPKPPPRRKTGPLLPKSKSDSSDEDAEAAREFVREVNQRLCGTRGPKVEKKSSGDGAMSLGDGSSTTQLRTHGQPKDRNTKNSLNLRESAEKSIMLTSSAATKDGDLDENPSLSSSADGFESSSKTRKEYKEPFDSKYHPISLPWRRPGSGNPELLNAQEFGQEEEYDENKINSALELGLLENSEEKQFILFQFPSQFPLNEQPKGKDDKKVKNPDLKELPDGFMGKMLVYESGAIKFKLGDTTFDVSSGTPRECAQDVAIMNTKSKDCCVLGAVQKQAIVTPDLSSVLTNINQQ >KVI10075 pep supercontig:CcrdV1:scaffold_44:590546:593116:-1 gene:Ccrd_011542 transcript:KVI10075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEKKHMLILIVTISSFLVIFVLLLFLICVCRRKGSERDEEEEKGECKEGGVEEIEMKGDLIRFEGGEDLTCFDILDAPGEVIGKSSYGTLYRANLLSDSVVLLRFLRPAACTGKVQDVMLAVQLLGSVRHPNLVPLCGFYSGPRGEKLLVHPFYRRGSLAQFIRDENGESHKWEVIFRISMGIARGLDYLHTGLQKPIIHGNLKSKNVLLGRKQHSVISDFGLHLLLNPAAAQEMLEDAAAEGYTTPELSKMKDVSRESDIYNLGVILLELVTGKEPINGKANPDQDFHLPMSMRNAILDHRMSDLYHHDVLTSEDDNARLSPVNEGVILEFVQLALACCSPSRNERPNIKQICKKLEEIGSKS >KVI10069 pep supercontig:CcrdV1:scaffold_44:529589:532584:-1 gene:Ccrd_011535 transcript:KVI10069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MVKAIRVYELGGPEVLKWEDVEIGDPKEGEIRVKNRAIGVNFIDIYFRTGVYKMPEIPYTPGVEAAGVVTAVGPGVTNWKIGDAVYHSGSAMGTYTEEQIVLADKAMPLPPIDPLVVASVMAKGLTAPAGGVGSLLCQWANMLGATVIGTVSTIEKALQAKEDGCHHVIIYKEEDFVTRVDEITSGGGVDVVFDSVGKDTFQGSLACLKPCGYMVSYGQSSGTPDPVPLSVLAAKSLFLTRPSLRTSRISREELREATNEVLTNVASGALRVRVNHTYQLSQAAQAHADLAGRKTSGSIVLVPDGAEK >KVI10094 pep supercontig:CcrdV1:scaffold_44:154807:158853:1 gene:Ccrd_011511 transcript:KVI10094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MSTIKAVKARQIFDSRGNPTVEVDVSLSDGTLARAAVPSGASTGIYEALELRDGGSDYLGKGVLKAVENVNAIIGPALIGKDPTEQTKIDNFMVQELDGTVNEWGWCKQKLGANAILAVSLAVCKAGASVKKIPLYKHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQDNKEGLELLKTAIAKAGYTGKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLSTLLRIEEELGSAAVYAGAKFRAPVEPY >KVI10078 pep supercontig:CcrdV1:scaffold_44:676345:682880:-1 gene:Ccrd_011547 transcript:KVI10078 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MMSTTAVVGLRAVKMLLGSSPYYSDVSDKLSCSSNLGFIFVPATNLIASKKSSNYGHGFIYSRDTHSIRALKEHVDTALDLPSTDQWVQKFDHLDEEVSEHELSVEALLLLHKSLLEKQWTLSTERTTTANPTERNSRKVHVTGSGISARRRRIDAQNKTASRNCSVNQVGGSRQLRSIISPELLQNCQRGYLKGVRNEALLTHSEVVALSEKIKIGLHLEEEKSRLKERLGTEPSEKQLATSLQISQLDLQTKQTECTLAREKLALSNVRLVMSVAQKYKHMGADMSDLIQGGLIGLLRGIEKYDSSRGHKISTYVYWWIRQGVTRTFFENSKTLRLPTHLHERLGAIRNAKSKLERKGITPSIDKIAESLKMSRKKVTNATEAVYKVFSLDKEAFPSLNGLPGDTLHSYIADDSPQNNPWHGVDEGALKDEVRKLITMTLGEREREIIHLYYGLDNEYLTWEDISRRKGLSRERVRQVGLVALEKLKHAARNTRLAEMLGYD >KVI10057 pep supercontig:CcrdV1:scaffold_44:28937:31057:-1 gene:Ccrd_011505 transcript:KVI10057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MFSFQQSDELGFHEIPSTISFQQPAPNQQDLIVELHDHVTMEGNLNPSASKARKRYGYQSPSKANSATTDQGLGVGDSKDEHTQKKLVHREIERQRRQEMAKLYASLRGLLPLEFIKGKRSTSDHMHQAVNYIKHMQENIKVLSGKRDRLKKFAETTAGGGGSGTNTNESLMNLVPNTVSISSCNDGIEILINSCSIEDGFPLSRVLKAIASEGLNVISCISTKVNERVFHSLQSEVNDPLSTDLSMLQQRLTAVANDY >KVI10097 pep supercontig:CcrdV1:scaffold_44:339053:340624:1 gene:Ccrd_011519 transcript:KVI10097 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNTFSHVPPGFRFHPTDEELVDYYLRKKINSRAIELDVIRDVDLYKIEPWDLQELCRLGTEEQNDWYFFSHKDKKYPTGSRTNRATAAGFWKATGRDKAIYSKQDLVGMRKTLVFYKGRAPNGLKSDWIMHEYRLETDENATTTQAKGWVVCRVFKKRLPTLMRRSASEHEPMWYDDHRVSFMPEIDSPTQNNIRPNLDNSINYQYPYGSCKKELDQMQNYQVTPGHCIQLPLLEGPKLLPSCNSSMPIYGIDINQGIINLQPSLLTQDHNNNIQQHQGQNFNDQMTDWRVLDKFVASQLLNQHGDVSIKGNHEESYPNAEEQIAVPEGASNSISSCQIDLWK >KVI10084 pep supercontig:CcrdV1:scaffold_44:377941:382111:-1 gene:Ccrd_011523 transcript:KVI10084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MALLCFILFCWFHIGLLLGGFSFAADPVANFELQFSYITASPLGVPQQVDSSLPSCRSSEWEVSRPGIEMRRSSWQDGVLGTNCPIPSNWNWTYRFQVKDQIGSYHYVPTTHFQRAAGGFGGFVITNRKVIQLPFNTPDGDMVITIGDWYTRNHSALRASLDAGKDLGMPDGVLINGKGPFKYNSSVPDGINHTTLNVDRASGARPNPQGSFHYGSINVTDTYVLRSIPPVMIDGKRRASYNGISFANLDTPVRLADNHKVKGAYKLDFPNMPRSGSPRADRSIINATYKGFAEIILQNNDTVVQSFHMDGWHMGNGQKTTGVFPGGWTAILVYLDNVGAWNLRTVNLDRWYLGQETYMRIINPEDHAQKTELPVPDNALFCGELGHLQKPQRSLASSGSTMRQPRKVNWWMVMAFGGVVYMMN >KVI10082 pep supercontig:CcrdV1:scaffold_44:346510:353396:-1 gene:Ccrd_011521 transcript:KVI10082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MNRFLRSQAAINSLRSLNSTQQSPIEASSKSTILNRSFFLSSNHFKSLFFSPSYVRHFRTSRNPSNSYDTPPPVNWGIRIVPEKKAYMIGRFGKYAKTLEPGIHLLIPFVDKASHVHSLKEEAIPVADQSAITKDNVSILVDGVLYVKMVDSMPPSYRMENPRYPVIQLAQTTMHSDLGKITLDKTFEERNTLNDKIVIAINEAAKDWGLQCLRCETRDISPPRGVKEGMGMQTEAEPKKRAQILESEEERQPSVNIADGKKSSMMLEVQGEAEAILARCQATNKGIALVSQALQENGGVEASSLHISEQYINAFGNVMEEGTTLKLPMNALNPASMMAQAVNIQKNLPELTTDAKREPYAIAGETAVKQSHPHMILISPCSTLTTELLSSSSLAFSTLSLLKACKNVRNLEQVHAQIIRKGSEQDHFLITQFISACNSVSPNNLSYAQTVFDRVLHPASREGQVLHGLIIKYGTEADVFVGSSLIDLYGKCQEIANARKNTASFTTMINGYAKSGDMASARSLFDQSPEKDIVTWSAMIAGYAQNGQPKEAIKIFTDMRSRNVKPDEYVMVSLMSACSQAGDWELAKWVDSYMSESSIDRSQNHVAAALVDMNAKCGNLERAATLFEKMSRRDLISYSSMIQGLSVHGHGVQAVALFHRMLQEGLTPDDVAFTVILSACSHADLVEEGCRLFDSMINEYSLTPSADHYACKVNLLGRAGRVREAYDILIGMPVEPNASAWGALLWACRIHGDVSLGKEVAARLFKIEPQNAANYVLLSDMYAASDQWSDVNH >KVI10086 pep supercontig:CcrdV1:scaffold_44:404691:411192:-1 gene:Ccrd_011525 transcript:KVI10086 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MDLKANHTAPILTDPTPINKQRLGIHDSLLPCSPGTIFSQGLFLTLPWKKPGVLDDVRSTGWLDAMKSSSPRNRAKNNGIDFSSNDVDVAYRDWMLKYPSALAAFEQIANNAKGKIIALFLDYDGTLSPIVSNPDHAFMSHPMRAAVRNVAKYFPTAIISGRSREKVRKFVGLKELYYAGSHGMDIMGPVRPPTDHTTATVRSTSEEDKDGNLFQPASEFLPMINEVFVALVDITKDIKGVKVEDNKFCVSVHYRNVDEKSWQTVAQYVQDTLEQYPRLRLTHGRMVLEVRPVLKWDKGKAVEFLLESLGLNNCDDVLPIYVGDDRTDEDAFKFLRDGDRGYGILVSSEPKESSAYYSLRDPSELAIHDDNNQQQ >KVI10070 pep supercontig:CcrdV1:scaffold_44:505895:507648:-1 gene:Ccrd_011532 transcript:KVI10070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15e MGAYAYVSELWRKKQSDVMRFMKRTRVWEYRQFPSIVRGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLAGLKVLNSYWLNEDSTYKYYEVILVDPAHTAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLNHKARPSRRATWKRNNTLSLPRYR >KVI10079 pep supercontig:CcrdV1:scaffold_44:673736:674864:-1 gene:Ccrd_011546 transcript:KVI10079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSVSTSRVSDDSYYSINNQSPTSKAVSPALRALALEANELPQYEPSPSSTTSKKDRFGRVRFSEKVVHLIPLVLLFCALVLWFFSNPDINMPIKNELIAARIKGGPKRHADKSATTRLENPHSDMVDLRISTHKGGHKLDTSLGN >KVI10093 pep supercontig:CcrdV1:scaffold_44:148989:151904:1 gene:Ccrd_011510 transcript:KVI10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MEGTEENHDSGAIALPAAAAVTSQEENLSGSTATPPGPQLLAGQMGVVTLGTDGFKKKKGRPRKYGPDGKPAVALSPMPLSASIPITGDCSGWKPSRGKSVSSIKKKQRLDFVNPGSRAICILTANGAISNVTLRQPNSCGGTLTYEGRFELLSLSGAFMPNESGGAKGRSGGMSVSLAGPDGRVVVGSFLLGCQQEQQKHQKSRFEQFATISPPPPIFPEATTRANGDEPDLNFSPTNSIHEATNIDTEKNGSLNGSEPIEPHTSQSQLSC >KVI10074 pep supercontig:CcrdV1:scaffold_44:605680:611681:-1 gene:Ccrd_011543 transcript:KVI10074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome c chaperone, CBP3 MIQTGRFAPSLEPESNLIRSIFFLIAMEKRNLELKLNEGLQWATISRKEVITVIAMVLQWSRTLGQMYRVGRQKKSEVVRDVYSFWFQSISRVPSFEVPIAPGSTRKSYAAKSEVNLNKMFRAKPRSLALPPGSSKRINEPNYEGIRRFILRLMLFYSKQSTSIRAANVIYRRVISQVDKPSVYDVLCLEKTFRTTFSMLMLYMWLCLRRLKVEGKEGVELGQYVYEIYNHDLEMRVSKAGVNLLLSKWMRELEKVFYGNIVAYDTAMLPEAKPDELQNAIWKNVFSDDGSPTYDATALPAAFSRYVRRECTCLSLTDKESMFSGNFMFTPLENPNV >KVI10067 pep supercontig:CcrdV1:scaffold_44:480649:482806:1 gene:Ccrd_011530 transcript:KVI10067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MADGDNQSQYVKLNKNQAPIDINPGELNQPIAVPQLNVRKCNECRQPLPESFEPPGVEPWSTGIFSCTEDTESCWTGLFCPCVLFGRNYEKLRDDIPWTTPCICHAIFIEGGMALAAGIAVVHGIDPETTFLFWEGLLFSWWMCGIYTGFNSPCDPCLVHCCMHWCALCQEHREMKGRLSDDAVMPMTVVNAPPVQVMKSDHGDSASAPSSSANGHDHHLEMQPL >KVI10061 pep supercontig:CcrdV1:scaffold_44:451234:453174:-1 gene:Ccrd_011529 transcript:KVI10061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MGSISEPGRDLDRRNPKPPGIRFIECTKKSNVSFKTHQAIVLIVTFLAYASYHASRKTTSIIKSALDPQTPDGTLQSVSFLRRSNALSWVLGNGWAPFDGANGTGLLGDLDLAFLFVYAVGMFCSGHIGDRMNLRIFLTIGMVGTGLFTSLFGFGYWANIHVFYYYLIVQMIAGLFQSTGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNIAGSLTASYFLKYGWGWSMVVPGLMIALVGVVVFMFLPVDPESVGVDRDEDESGLPKKGLTEHLLSPKIDNEKGAPVGFLEAWRIPGVAPFALCLFFAKLVAYTFLYWLPFYISHTAINGEYLSNEAAGNLSTLFDVGGVVGGILAGHISDKLNARAITAASFMYCAIPVLYLYRSYGHVSMPINIILMLATGMFVNGPYALITTAVSADLGTHQSLRGNSKALATVTAIIDGTGSIGAAIGPVLTGYISTSSWSAVFTMLMVSAFVAGLFLTKLVVAEVTTKCQESQGGSSGGSSWSGAVEEV >KVI10087 pep supercontig:CcrdV1:scaffold_44:384769:386814:-1 gene:Ccrd_011524 transcript:KVI10087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MGWYHLFYQYNPDAAVWGNITWGHAISTDLINWYHLPFAMVPDQWYDIKGVWTGSATILPDGQIVMMYTGDTNEEVQVQNLAYPANLSDPLLLDWVKYSGNPVLTPPPGIGSKDFRDPTTAWVGPNGKWRVALGTKVNKTGITLVYETTDFTSYELLDEVLHAVPGTGMWECVDFYPVSTTESNGLDTSVNGPGIKHVLKSSLDDNKHDYYALGTYDPISNKWTPDNPDLDVGIGLRVDYGKYYASKSFYDQNKQRRLLLGWTGETDSEAADILKGWASLQTIPREVVFDKKTGKNILQWPIKEVEKLRSKSTVYKKVLLEPGSLVPLDIGAATQLDIIATFDIDSTVLEAALEADVGYNCTTSGGSSSRGAFGPFGLVVLADESRTEQTPVYFYIAKGTDGVASTYFCADQSKSSTASDVVKLIYGSTVPVLDGENFSMRLLVDHSIVESFGQGGRTVITSRVYPTKAIYSAAKVFLFNNATGITVTANVNAWNMDSANIK >KVI10072 pep supercontig:CcrdV1:scaffold_44:694087:695520:-1 gene:Ccrd_011549 transcript:KVI10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MADLVMPNLINLLSSLLQRVAESNDFERPLNTQKISVFYALIKPNISIGRYLEQIFKYANCSPSCFVVAYIYLDRFAKKQPLLPINSLNVHRLLVTSVLISIKFMDDXCYNNAYYAKVGGISTAEINLLEVDFLFGLGFQLNVTPNTFHDYCTYLQTEMRMMGFPPLCSAPQVPTIVADHCSINEDDCQSQHHHPQLAV >KVI10089 pep supercontig:CcrdV1:scaffold_44:200291:205402:1 gene:Ccrd_011514 transcript:KVI10089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGKDLSNDQVSSMKEAFTLFDTDSDGKIAPSELGILMRSLGGNPTQAQLKSIIAEEKLNSPFDFNRFLDLMSKHLKPEPFDRQLRDAFKVIDKDGTGYVVVSDLKHILTSIGEKLEPAEFDEWIREVDVGSDGKIKYEDFIARMVAK >KVI10077 pep supercontig:CcrdV1:scaffold_44:561027:567984:1 gene:Ccrd_011540 transcript:KVI10077 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MDSLSLMRDDDSQANTQYIGGEEPSILTSNFFLDHVGEVSLTLKPDGLSWKLMESLCNDQADGSTCLGIQIVSKSDTSINISDVYTVEFIDWGLVHETLLTNPGLLLGHSSEMYRFTVHGVERSKSQPSLWAPTVYTFGHVDKQTCLMWVNRMKTFLSMETDRPKNLLVYVHPGSGKGNGCRTWESLAPIFSQAKVKTKVIVTERAGHAFEAVTSMTNRELNLYDGVVAVGGDGFFNEILNGILLSRHRAPYPPPPPDDDQTVETESNVLLHDPTVTVVEPSVSGEDESALLLRPPLDQSQAIDLSIGSNSSNKADQDSEISFPNERFRFGLIPSGSTDAIVICTTGVRDPMTSALQIILGKRVSLDIAQVVRWEKSSKSKNKPLVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTKVFLRHRSYEAKVAYMKVESEKPNVGANARRIKTFWGLSKKSERLPCRAKCDICNTSSAIPQIETPDPHQESNWVRVKGRFLSIGAAVISCRNERAPDGLVADAHLSDGFLHLVLIKDCSHAFYLWHLTQLARKGGTPLNFDFVEHHKTTTFTFTSSGEESVWNVDGEILKAQKLSAQLQKNQLPSSTMATPKEYYNSLPPVAKTYATVTFIMAAACVFPYYDPWIMALFYGDVFKKLQVKITGFYANFESVISYPDSETTNVSVVQIWRVLTNFLFIGSFSLSFAFRLWIILRYGVSLERGPFDKRTADYVWMFFFGAFSLLAVAAIPFFWFPFMGSSLVFMIVYVWSRELPNTRVNIQGLVELKGFYLPWAMVAIDMVIGKQLMPSLLGIGVGHLYYFLTVLHPLAGGSNFCKTPFWVPVRRDPASGVAFRGRGFRVGGTSGASTRGQARDIREAETSTPPPNGGAFSGRSRRLDGRSS >KVI10085 pep supercontig:CcrdV1:scaffold_44:361267:366511:-1 gene:Ccrd_011522 transcript:KVI10085 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand-like domain-containing protein MAKKRVSRIGNYAAIADPNSFSCTTFNILAPIYKRLVHQDLACRESEIRAYWLSRNERILDLLLCEKSSIICLQEFWVGNDELVNMYDTRLSDAGYINFRLARTNNRGDGLLTAVHKDYFRVIDHREFLFNDFGDRVAQLLHVEVIAPFNQCRNNIACQEILIVNTHLLFPHDSSIDWNGSKRGHVFKFLRSQGFVSSYDTAHQYTDADAHKWVSHRNHRGNICGVDFIWLLNPNKYRKVLKTSWSDAVFGLFKADNDGDYITYSGFCEALRQLNFIGHPNGLSKEETKDLWVQADIDGNGQRIWKPMKYEQTEDAIKIQEDVVNGTQLTIGYNVKNAVLYPSEAEKGTWPDDYSLSDHARLTVAFSPVKMPRSRLVS >KVI10090 pep supercontig:CcrdV1:scaffold_44:225874:229418:-1 gene:Ccrd_011515 transcript:KVI10090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MMSTKNRNIQMAKKERLNEQNQDMALIFPSSSTFVVSNNKPCLHLHQKHHYPHHLTAIKAQNDSSSTEPTQSTDNTSSPIGFGSSSSDSSSSPLSNTTRKKQKGKKERASIIRRAPVETPKFVSQPQRGGEEANQQGSNERAFLLTWLGLGSLIIVEGIALAASGFLPQEWDNFFVKYLYPSFTPTVFLFVAGTVVYGVSKYLENENPNSSS >KVI10058 pep supercontig:CcrdV1:scaffold_44:4696:6005:-1 gene:Ccrd_011504 transcript:KVI10058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MFSSFEHSREVSFQIPSTTQQQQDHHNRLTSVTALRRLPTGPFDDHKLVPSQQKPGRKRSCNNLQQQDHHLVVDCDDENEKKKKRLEHREIERRRRQEMANLCSSLRSLLPLDFIKGRRSTSEHMNQVVNYIKHLEENIKGLETTRDELKKTCPHVTDSSSVLPNKNIENETSGRHGPNTVTVGCSHGGVEILIKSCTGFPISRVVKALVQEGLNVISCNSTKVNERFIHSIHSEVSDEICIQVSILQKKLVELVLS >KVI10064 pep supercontig:CcrdV1:scaffold_44:534150:535903:-1 gene:Ccrd_011536 transcript:KVI10064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MVATYRKLPNNNSHPSTSPAIKPHSPDQNQLSHSTPTTTMVKAIIVHQHGGPEALKYEDVELGEPKEGQIRLKHKAIGLNFLDVYMRKGLYNTSPPLPYTPGLEGSGVVTAVGPGVTTCKVGDLVAYGTLPVGAYAEERLLPADRAVPVPSGVDAVQAASVIFKGLTAQVLIRSSFKVERGHTILVHAAAGGVGSLLCQWTNAIGATVIGTVSTKEKAVQAKEDGCHHVILYKDENFVDRVMEITSGKGGSFEVLKKRGYMVQFGMASGEPDPIPSSTLSGKALFYTFSAMGVYTEERHELLAAAEDLFTNVANGVLKIRVNHKYPLSQAAQAHTDLEARKTTGSVVLIPDGE >KVI10083 pep supercontig:CcrdV1:scaffold_44:346347:363673:1 gene:Ccrd_011520 transcript:KVI10083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVKIQILCPRDIDLDFLPQKIKKTAAFFNKIGMTTSTQDDSEGHIIWVAFNESVPEINTRMKNTVENGLGVREVVRRNRVTGRDELSYEEMVLFRALADDLGVDLFKVSDSVENLKPNTAFPDLNIEHSIYQYADIVLGNGRLVCDRNCLLFKRMHVGSFVNKRNQQVNPRFQGLSVFSESSNHSKGESRLPVTGIARAWDLKKRFIAIKLALKVKENWRNEEKPFDKPQGFQHSKTEKIGPGSKHVVKLRISHNIFGWHRYVRPGCKPTSLDQSPRLRLPKALTENPSSAPIFLRDHLPYLQRRFRVQIPGAMLHKNP >KVI10068 pep supercontig:CcrdV1:scaffold_44:485486:489223:-1 gene:Ccrd_011531 transcript:KVI10068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MNHRLYLLFIFFHHLPLLLSSAPTAFPLQLLSLLSLRSSLVDPLNTFQDWDPSPSSSKPGFEPVWCSWSGIKCDPRTFQINSLDISNRNLSGTIPGDIKYLTHLHHLNISANAFAGPLPTVIFDLTFLRTLDVNHNLFNSTFPPGISKLKFLTFFNAYSNSFTGPLPQEIVRLPFLEHLNLGGSYFEGEIPKSYGGFQRLKFLYLAGNILGGQIPAELGLITSLQHLEIGYNAFSGVVPTQFASLLNLTFLDMSSSNLSGNFPVALTNLTKLETLLIFKNNFYGEIPASIGHFQYVRILDLSNNKFSGSIPDEVSSLKNLLELSLMNNNLTGEVPQGIGELPALQFLFLWNNSLTGILPQKLGLNSKLQRLDVSSNSFSGPIPPNLCLGNNLVKLILFSNQFSGALPSSLANCTSLLRLRVQNNRLNGSIPIGFGSLSNIMFMEISDNNLTGPIPADLANAAKLEYLNISGNSFGSVLPENIWSAPSLQIFSASSSKLTGKIPKFQGCENFYKIEMEGNELSDSIPWDIGHCMKLISLNLRRNLLTGIIPWEISTLPSITEVDLSHNFLTGTIPSTFGNCSTLEGFNVSYNQLTGPVPSFGPAFSSLHPSSFTGNDELCGGVLRKPCGTESETEVKPQQPKKTAGAIVWILAAAFGVGLFILIAGSRCFRANYQRRFVAEEIEIGPWKLTAFQRLNFTADDVVECLSMTDKILGMGSTGTVYKAEMPGGEIIAVKKLWGKHKETIRRRRGVLAEVEVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGSLDDLLHGKNKGDNLMADWLTRYKIVLGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQCDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSFGVVLMEIISGKTSVNSEFGDGNSIVDWVRTKMKSKEGIKGVLDQNAGASCSSVREEMMLLLRVALLCTSRNPADRPSMRDVVSMLQEVKPKRKSPENMVVGNSEKPPPSPLQKPIVEC >KVI10081 pep supercontig:CcrdV1:scaffold_44:620456:623773:1 gene:Ccrd_011544 transcript:KVI10081 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF676, lipase-like protein MECKMRNSDVCSSEEVARREDVFSCPQSDVSSADHLVVMVNGILGSSADWKFAAEQFVNTIPDKVLVHRSKRNAAIQTLDGVDVMGERLSLEVPFLFGVSVLEKAAVLVIHWIFGRTGRHLFLTDDEKGEPPLLKRMLEDFGDCHFMSALRSFHRRVAYSNVGCDHIVGWRTSSIRRNMDLPKWEESVNEKYPHIVHEELCKAYDDKQVFTNNDIINDSE >KVI10059 pep supercontig:CcrdV1:scaffold_44:135662:140189:-1 gene:Ccrd_011509 transcript:KVI10059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp, N-terminal YIYILFKDRKKNRKRRLRFHGRRLNKKLVRVFFVSPMAAQSLSVLPVSPSSSGCRCRNSYNSSFLAPRIITSRVMVTSFVGLHLSIQQSKSRHRTFGRYSSIVATVESSPTTPTSEKETPEKSAKWSFRFIKSFAMGELEARKLKFMNTGTEALLMGILVEGTSLAAKLLRESGVTLFKVREETVRLLGKSDMYIFSPEHPPLTEPARRAIDWAINEKLKSGETGEVTTSHLLLGIWAEKESAAHKIMATLGFDDDKAKELAKSMDKEIILSYKRGL >KVI10071 pep supercontig:CcrdV1:scaffold_44:513864:518673:1 gene:Ccrd_011533 transcript:KVI10071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMGGFMMILFLVPFHPWMKLIMQHPLYNSLIVQRMVISLSSDKSVWEAVMNNEVRDSVYEGCSTWDPYQHEGEVMEIVEKIRDGEQYPRNENTGEGEGAATEPFEKFFLSFGYGPPDHSLESGLQDSNPSADDDNDGFSSRSPSFVAAEDALLCRRGVAA >KVI10099 pep supercontig:CcrdV1:scaffold_44:547398:549383:-1 gene:Ccrd_011538 transcript:KVI10099 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MYTYIKHTQISWKTMAIGSVVSTEEDGKYNGQITSFVVLSCMVAATGGIIFGYDIGISGGVTSMKPFLVKFFPDVYTKMIQDTTISNYCKFDSQLLTSFTSSLYVAGLIATFFASPVTRTFGRKPSILIGGVAFLAGAALGGAAYNIYMLIIGRVFLGVGVGFANQSVPLYLSEMAPSRYRGAFNMGFQFCVGIGVLAANLLNYGTQKIKGGWGWRISLAMAAFPASILTIGALFLPETPNSLIQHNEDPDKAKKMLQKVRGTDDVEAEFDDLVTANEISKNIKHPFRKIMRPKYRPQLVMSILIPFFQQVTGINVISFYAPILFRTIGFGESASLMSAVVTGLVGLIMTFISLLIVDKLGRRTIFTIGGLQMFISQMLIGSIMAAKLGDHGVLSKGYGFLVLILIAAYVAGFALSWGPLGWLIPSEIFPLEIRSAGQSINVAVGFLFTFIVAQTFLSMLCHLKSGTFFFFGGWVAVMTVFVYFFLPETKNVPIEKMDRIWKQHWFWKRYVCEEDDTEFM >KVI10065 pep supercontig:CcrdV1:scaffold_44:541210:542783:1 gene:Ccrd_011537 transcript:KVI10065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MVKAIRVRQHGGPEVLKWEDVEVGDPKEGEIRLKHKAIGINFLDVYMRKGLYESSPPLPFIPGMEGAGVVTAVGAGVTTCKVGDLVAYGVLDVGSYSEERILPADRAVPVPSYIDPVVAASVIFKGLTAQVLVRRSFKVEREHTVLVHAAAGGVGYLVCQWASSVGATVIGTVSTKEKAVQAKEDGCQHVILYKDENFVDRVMEITSGKGVDVVYDSVGKDTFDGSLTCLKPRGLMCHFGMASGEPEPIAFAKLSSKSLFYTFPALKAYTDDREELVAAAEELFSCVAKGVLRVRVNHKYPLSQAVQAHVDLESRKTTGSVVLIPDEE >KVI10056 pep supercontig:CcrdV1:scaffold_44:52117:55394:1 gene:Ccrd_011506 transcript:KVI10056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHILSSIQNSECIGNRFAPSVQALSWIYAVVINADFIAAGLQSGCLAFSNAATPLT >KVI10092 pep supercontig:CcrdV1:scaffold_44:289182:291558:-1 gene:Ccrd_011517 transcript:KVI10092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGGSEHKEPINEQEVAAKYGSIRSEMNQIYSKITELEMEXSEHSLVXNAIQPLDPARRCYRMIGGVLVERTIKEVLPAVQQNKEGIEVVISRLNEALERKKKELTDFESKYKIRIRKNDGESVDDNSKKEGSAQGVLVGPAIA >KVI10063 pep supercontig:CcrdV1:scaffold_44:424181:426886:1 gene:Ccrd_011526 transcript:KVI10063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNAKLDIIDFFLSESSSPFFFPKPFALLNPFQPTPDLDLALDLLNPTYPSLDLLSPFDTITDFIHVERKTPSGTTSARQLTTRRRSTATDLYLQSLSDRVSALELGFDLIDEEKKKKKVKSDRKYTWTAEINSSDKDGLDRTYKLVTEIKGGKKKEKSCKWTAEVKRKGHDARKYSFTASTANAAIEDDSGSEKKEKKKKKDKKKEKAARIVEIQGSPDHGALVLRQAFTKRNKGKKKELSPQDAALVIQMTFRAYLIKRSQALRALRELAVAKGKLKELRALFQNFSYRRRLARDAAEKQKFSEKVIVLLLTVDAIEGADIMVRGAKRSMVDELEAMLDVVDPEPAGGRSVSLKRRTFDMPDGVIQKEIAEGVAEVVRMFGQEKADGSETSEACL >KVI10091 pep supercontig:CcrdV1:scaffold_44:279636:285413:1 gene:Ccrd_011516 transcript:KVI10091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MFRRVSSLSTLISAAKPSLLNQVAYGLNFLEAFSTEVLKQAEARILSKARTPTINFVLGGPGVGKGTQCTRIAETYGFTHLSVGDLLRKEISSNSEDGDMILETIAKGKIVSSEVTVKLLKSVIESGETDKFLIDGFPRSEENRVAYEQIIGIEPEVVLFFDCNEEEMMNRVLHRNQGRIDDNIDTTKERLKVFEAYTLPVIRYYTEKGKLYKVLHLTDLHFCYF >KVI10100 pep supercontig:CcrdV1:scaffold_44:550732:558620:1 gene:Ccrd_011539 transcript:KVI10100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MVDFIGKHSHPSALEMAAVLHLLLIALLLSTVTGQEVESSSKFPLVISTWPFLEAVRAGWKAINDGLSSIDAVVEGCSACEVLRCDGTVGPGGSPDENSETTIDALIMNGATMEVGAVAAMRYVKDGIKAARLVMLHTEHTMLAGDQASAFAISMGLPGPSNLSSTESMEKWAKWKENQCQPNFRKNVVPINSCGPYHLRKDVNLDQKTCLVEDKIKSSNVNLHNHDTISMAIFDKSGHIAVGTSTNGASFKIPGRVGDGPIAGSSSYADDEVGACGATGDGMEPRIAAKDAISRIARKYPKFIGAIFAVDKNGVHAGACYGWTFQYSVRSPTMDDVEVITVYP >KVI10060 pep supercontig:CcrdV1:scaffold_44:109263:114432:1 gene:Ccrd_011508 transcript:KVI10060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/histidine-rich domain-containing protein MANLLRCQRIGCNATFTEDDNPEDSCTYHESPLFHDGMKEWSCCKKRSHDFSLFLEIPGCKMGKHTTEKPTIAKATAAPKQAIKTSAPANNVSTKEACPRCRQGFFCSDHELIVLLENTCRASKTEEGCAGSQAKDMNSKSSFQVQSTSKEVNLDLQATVKAPAKKVIDINQPRTCKNKGCGQTFKEIDNHDTACNYHPGPAVFHDRMRGWKCCDIHVKEFDEFMTIQPCAKGWHNADPES >KVI10076 pep supercontig:CcrdV1:scaffold_44:569395:573916:-1 gene:Ccrd_011541 transcript:KVI10076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDDDRMIKGVEELATPSYLVVALAVNGSKKTKYVVKWALDKFVPEGMLLFKLFFVRPKITRIPTPMGAVPLSQVREDVVMAHKKDVEWQAYEKLLPFKNMGRNLSARISESIPSFCTVYAISKGKLSSLRASDSETIGSTNDDSNSSDSSSVTNSSIARTEWTDQGSATSYSQLFSPSLPMQRFEALSSINRTLLHKRTGSLESPQNKFTSTDGCDGDETTANDINFELEKLRVELRHIRGMYAIAQSETFDASRKLNDLQKQQLEESMKLKELKVKEEEAEELARKEKEKAEAAKRQAECVKDCLRREAAIRKEAEEKALQESREKQKLQDAIMGTSIKYQKFTWEEIVDACSSFSEDLKIGAGGNGIVYKSSFHHTVAAVKLDVLSRIRHPHLLILIGACVDHGCLVYEYMENGSLDERLFRKDDTPPLMWFDRFRIAWEVASALVFLHNAKPRSIIHRDLKPANILLDKNLVSKIGDVGLSTMLQSDPSSTSTIYKDTSPAGTLCYIDPEYQRTGLVSPKSDVYALGMVILQLLTAKPAIALTHVVETAIEDDELAKVVDPEAGEWPVDETKELAALGLSCAELRRKDRPDLKDTVLPVLKRLKEVADEAQRMASRAGTTPPNHFVCPILKDVMVDPCVAADGYTYDRRAIEKWLKESDSSPMTNLPLASTSLTTNYTLVSAIMEWRSRKLW >KVI10080 pep supercontig:CcrdV1:scaffold_44:662351:672197:-1 gene:Ccrd_011545 transcript:KVI10080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb protein, VEFS-Box MPGIPLVSREANYSRDSDQMCRQEPRVHLSEEEQTAAEESLSVYCKPVELYNILQRRAIKNNLALSPFLLGTMIMMTHVESPIFVRVQVSISISGAIGGLQTQSLFPLYILLARPVSTPNGETQRSSVYRFKRACKLTSFNGAQSVRSARAKFILPEINKLSTEVKSGSLAMLLVSCADITNPKEIDLTKDHMFSPASNIGGYCLLGKIPMDFLHLSWENSPNLSLGERVELMSTVSMQSCYMKLSCSDEEKCVSFQFPYNSEAVQVPVMISAEELGAKDISPYDLYSYNNIPPNSLPHVIRLRAANVIFNYKYYNNMLQRSEVTEDYSCPFCLMKCASYKGLRLHLVSSHDLFRYEFWVNEDYQVVIVSVKTDTCNSEIFGNIVDPRQQSLFFCHKPLGRKEPGNRTPNALDVHPLVLDPDMTATINILAYSNAKMFISGVSERVERDIRSPNATCPSSATGVSFAGQESAAQSIPASNLAPPALLQFAKTRKLSVERSDPRNQVLLQKRQFFHSHRAQPMALEQVYAEQDSEDEVDDDVADLEDRRVCSPVLM >KVI10062 pep supercontig:CcrdV1:scaffold_44:435623:442847:-1 gene:Ccrd_011528 transcript:KVI10062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue MLSFIGLRYWTELSFMKLKSDGLMGENLLDPGVASRVLELLLDSYTTIALVVNFVLNVFILIVLSLKTIFFVELHATESRKLVERLVNYVIYKGTFLPLVIPQTVVQAGLWSTWLTVLCSLKMFQALARDRLERLNASPSATPWTYFRVYCVLLLVVTVDAVWIRMCLLIYQTIPAEAIIAAPSPINFAPSIFLLLFFEPLSIAFETLQAILVHGFQLLDIWLHHSAGNTTNSKITKLLDMFTVGMEECDITESGIFPRYYDLFNGSWSLCAYLAASWHDIPSCRCSAFPKYTQALLSAVLKRTRGFIKLRIALGTLHGALPDATSEEIQAYDDECAICREPMAKAKKLSCNHLFHLSCLRSWLDQGLSDNYSCPTCRKPLFVGTPEGNDVPRSGDVSSDEQLARQLSSRLERQNVPGHTLPTGVIPNQIQTPLERDDWRGTGPDSGWLGFDGAGPSTGMRSVGLGRVQMMMRHLAAVGETYAQTALEDTAWNLWPINPSQAASTSTSNNPSPSTIRHRGHGGGSVIRTPPRVSDDDLSNILAMAETVREVLPHVPDELILRDLQRTNSVSVTVNNLLQM >KVI10073 pep supercontig:CcrdV1:scaffold_44:688698:693015:1 gene:Ccrd_011548 transcript:KVI10073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mago nashi protein MAEEENGVTAGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPSVLKECRRIVSDSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLMDVQTSNDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >KVI03758 pep supercontig:CcrdV1:scaffold_440:45115:51922:-1 gene:Ccrd_017934 transcript:KVI03758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic porin/Tom40 MAALVPPPVSPAAAEAIKPEKVDYMDLPTPIPYEEIHREALMSLKPEHFEGMRVDFTKGLNQRFSLSHSIIMGPTEIPSQSAETIKIPTAHYEFGANFIDPKLMLFGRVLTDGRVNARVKCDLSENLSMKANAQLTSEPHMSHGMVNFDYKGTDYRAQFQLGNGALLGASYIQSVTPNLSLGGEVFWAGQHRKSGLGYAARYNTDKMVAAGQVASTGMVALSYVQKVSEKVSLASDLMYNYMSRDVTASFGYDYILRQCRLRGKIDSNGCTSAFLEERLNMGLNFILSAEIDHMKKDYKFGFGLTVGE >KVI03764 pep supercontig:CcrdV1:scaffold_440:159003:160693:-1 gene:Ccrd_017942 transcript:KVI03764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQADISYSCGSCGYQLNLSSANKSSGTTSEYRESIKKGSISFQSIDPSRFTQIDEVSCIPITLGRNALKTKLMCRQCGVLIGYGYREGNAQCVFDSRISSDPSYKKVVVKIQALQPSDIVS >KVI03750 pep supercontig:CcrdV1:scaffold_440:239145:245325:1 gene:Ccrd_017950 transcript:KVI03750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein TPCFHFPLLSLNDRSSQNHNGLIGLHNSPPSITLSTADTTTTTTYLRLYCLLAMEGTDSVATLLESTTSKIQQLQKAFAELESHRAVTLNLKWKQIEEHFHGLEKSLKRRFTELEDQEKEFETKTVQSQKVLENRHAAVMAKEEASLTELQEKRDFAVTAITNAVGKHKHGNEPVTVNSEGPPEAAASEYKEDNTKKLFENGDLKLLSNPELAKLCKEMDSGGLHKFISDNRKNLVILKEEIPIALKAAVDPGGLVLDSLNGFYIVDGKKDSNLLGLRRTCIMLMECLSILLSDLTMNTATKVISENVKERAKIIAQEWKPKLDDLDLDASNGNSLEAHAFLQLVATFGIDSDFVHEDLSKLIPMVSRRVIGVLVNSGRHIDAVNLAFAFKLTEQFCPISLLKSYLAEAIKAPSTVKAGNSSPAAQAVTKCIEEHKLEDRYPLDPLQKRILHLEKSKADKKRATEVVKPQSKRPRANGIAGYGPPRNTTTVAADKSFYAARYPPPTQYMYENRPPYAYPPPPENHVHSFIGTATYNIVPNHGNYFGNGYQYQAPYLH >KVI03745 pep supercontig:CcrdV1:scaffold_440:225487:227724:-1 gene:Ccrd_017949 transcript:KVI03745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIWMIMTLNSRTIIGLCLLIVLISVSCSNAVQSDIDCLRSIKASLEDPEDLLSSWDFNNVTEGFICRFTGVDCWHPDESKVLNIRLSDMGLKGGIPAGLKNCTSMTGLDLSSNNLNGPLPSNMSNVLPFLTSLDLSSNNFSGEIPASIVNCSFLNVLRLENNHFTGQIPLELGGLNRIKEFNVANNGLWGQVPTFINSTFTQENYAGNPGLCGRPLDPCEAGSKKTHTGVIVGAAIGGATIAALVVGVSMVFLMRKLSMFENPVSKMRLSDLMKATNSFSKDNIIGSGRTGSLYKATLEDGSSLMIKRLQDTQHSEKEFASEMSTLGNVKHRNLVPLLGFCVTKQERLLVYRYMPNGTLHDKLHLMGDDGKRLEWRLRLKVGIGAAKGFAWLHHNCNPRIIHRNISSKCILLDGDFEPRISDFGLARLMNPVDTHLSTFVNGEFGDIGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTRVAKAPETFKGNLVEWISILSTESKLQDSIDEALVGKGYDNEVFQVLKVACSCVLPAHKERPTMFEVYQLLRAIGQHYHFTTDDEILMPTNYANTDDIELIVSRDVREHH >KVI03766 pep supercontig:CcrdV1:scaffold_440:211770:213578:1 gene:Ccrd_017947 transcript:KVI03766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MAPTKEEERKLKEFKDDSPIKLGPAEKFLKAVLDVPFAFKRVDAMLYISNFDSEIEYLKRSFQTLEVACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLIDVKGTDGKTTLLHFVVQEIIKSEGARLSTTDTNPETPKSATTDDTKCRKLGLQVVAGISSELSNVKKSAAMDSEVLDSDVVKLSKGIANITEVVRLIETTRSEGGNQTRFSDSMNEFITTAEEEIIRIQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLTVLDRVCKEVGSINERIVISSAHKFPVPINPTLPPVFSGFPGRQQYSSSDDESTSSFRISS >KVI03751 pep supercontig:CcrdV1:scaffold_440:246090:252098:-1 gene:Ccrd_017951 transcript:KVI03751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase MLIERMTMGSSFRFVDRSTLLDNRQICKEILSAVSSDLAVLPLNSATFRSVVEMRPLDEAETTQVFEKLFKFTGNNLKNIVESPSHEGLDKNPGRYCFRLHKNRVYYVSESLVKRATNIKRENLVALGTNIGKFTKSGKFHLTIQALNLLAANAKHKVWLKPTSEMSFLYGNDVVKGGLGRITDNINAYDGVVVFSMSDVPLGFGIAAKSTQDCRKMDPNGLVVIRQADTGEFLRNQDDL >KVI03747 pep supercontig:CcrdV1:scaffold_440:176278:181104:1 gene:Ccrd_017944 transcript:KVI03747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSSVIGGFHRKIWHTSDTMVHLFISEPNWSLDGGDDSVKRRISLLNNLESIVRLLIASQSRSEVRLWLCKDLSRISSLSRRQKHELFVTLLRTSSQKRDLAAQVVQMIFEKHPKKAGSVIAKKSDMLEDFFRGNPRRILLWFSNFAGTGDMEHRKGAKALSQFAFVNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVEQTVENFLENVPEFWSSHEFADTLKDGDILFMDMKFFVNLFVSLMYKDDMEEVWRVINEFLMEEPFSSLCSHLLIVLDERELSASLDLLQEFLDPKTKPTDSGDTCMEVILPRYSGSDSFDELLLLNAVINRGRQLLQLLREDEHREEGTQIKDVVQQLCTLSTNSDDLGPLIKECSKTKSINSIKLLGLQSWALHFFLSDGNWPPEAWESLFNSNDISFRHLGKHELLHHNGLADDGDSDSDGRRSPRSKRKKKGKHRKKRRRNLNLDDRHNNDLLDLDFSYDRMDFQSKASYCGAFLDGEIRLDDFEMDSFNILLLKIILKVLWILNLATNLDGVILLLPWDNIHSS >KVI03761 pep supercontig:CcrdV1:scaffold_440:149452:155592:1 gene:Ccrd_017941 transcript:KVI03761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNSQQSGSSPPPKPWERAGSSSGPAPFKPSAPGSTSDVVEASGTARPGEIVPPNDASTINTNSLGRPVPSRPWVQQNTYGSTYGGYGASLNYNSGYAAGTGAYGSYGGYGASGSYNTGLYGNSMYGGGYGGLYGGGGGMYGGGGMYNNSFGNPMGGYGMGMGGPGGPYGGDQDPNNPFGAPSSPPGFWISLMRVMHGVVTFFGRVAVLIDQNTQAFHMFMSALLQLFDRSGMLYGELARFVFRLLGFRSKRNKIRPSGPNEPQNYIEPPKGAPTGSWDGVWGNNTHN >KVI03757 pep supercontig:CcrdV1:scaffold_440:29722:36611:-1 gene:Ccrd_017933 transcript:KVI03757 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM/LAG1/CLN8 homology domain-containing protein MADLNHASSGYITIINHASSSDPILWLFSVFGGIIMCKTAYELMGVFSPLIFEDLFDERGQKESIINRTSPSSDIILGISIGYFLSDLAMIIWTYPTLGGLEYVLHHGLSMLAIGQSVLSGQAQFYIFMVLFTEITTPFVNLRWYLDVAGKKNSTLYLSNGVAMVVGWLVARVVLFVFFFYHLFMHFDQVKQMYPTGFYSMLTIPPALAMMNLFWFWKIAKGLIKTLTKLRRGHAHSS >KVI03763 pep supercontig:CcrdV1:scaffold_440:168347:171859:1 gene:Ccrd_017943 transcript:KVI03763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MVFVKAQKSRSYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRYVVRFTNKDIVAQIISASIAGDMILASAYAHELPQYGLKVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNVEGALDGGLDIPHSEKRFAGFSKDGKSLDADVHRKYIYGGHVAAYMNTLMEDEPEKYQTHFSDYIKAGVEPDTIEELYKKVHAAIRADPNPKKTEKQPPKEHKRYHYSFSHFLYNLKKLTYDERKQKLIERLNALNAAAGGNDDSDEEDDE >KVI03749 pep supercontig:CcrdV1:scaffold_440:254960:258206:1 gene:Ccrd_017953 transcript:KVI03749 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MIGTRIGDSSQDTAELVDVNLAAGSVGDGVGSDVGCEQRAVAEPRVGMEFDSEDAAKKFYDEYARHLGFKMRIDQCRRSEVDKKIISRRLSCNKEGYYTKAKNQFGQIRKQHTSSRQGCNAMMLVRVNKFGKWVVTRFEKEHTHPLVLSACLSINEGDCKERRIEALTTELKHQDQLINFYREHLSTFLKDLEQQTEVLSTKIQVAVNNVREIETKDQKQ >KVI03767 pep supercontig:CcrdV1:scaffold_440:209270:211143:1 gene:Ccrd_017946 transcript:KVI03767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MPIFFYVFLFYLISICIDSGAGATVNNRRTLHQPFFPQDSPGVPPASSSDVPFTPNNPTDASTPPNDQPFFQAFPSPPPPLPSTSTPSASFPANISSLNLPISPKSKPVSSKLIATAVTLVIAAAIVISAVVYLRVQKRRRFDQDSRSLSDEKTYRSDSSSRVVVSCNVNNGGGGGGGDNNFNRIPKLSRPSQSSAEFLYLGTLVNSHGGIDATNTIVRENRGGGDLNLRKMDSPELRPLPPLGSVGGGGGRSQSILRNTGFENVEVESSRDEEFEEFYSPRGSIGTGSGSRSAFAAVPVDNYESRRIIGSGSSSSCSSSSSGSPARSVSLSISPPVSLSPIRSRPKSPDLVAIQTTPPPSSLAPPPPPPPPPPPPPPPPPQPLLIPSLNIRLSKDSLESSPRSSNSSSDQNSPPPPARIPPPPPPPPPKHWESRSPRTPLPIPSQQLLKPPVLIKPARPTSLINDQPPISPMELLPENQENHETPKPKLKPLHWDKVRASSDREMVWDQLKSSSFKLNEEMIETLFTVKTPNSANSNETSATRRPILPSPTSLENRVLDPKKSQNIAILLRALNVTIEEVCDALLEGNYFDSISF >KVI03746 pep supercontig:CcrdV1:scaffold_440:218771:223402:-1 gene:Ccrd_017948 transcript:KVI03746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNTIKINSTFTSPFESTPKGDNENSSFRKPLSLWPGMYHSPVTAALWETRSKIFESLIDPPKDAPPQSELLTRVPSQSRTTILYNFSTDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSITRPLLLVTASVDKMVLKRTISVDGDLKMADGADTSESIALTANFIFVARDSKTGKAAPVNRLSPETETEKLLYEEADARNRMKKLKRGESKGVENGEKDRLDSLLAEGRIFCDMPALANRDSILLRDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYTFAGLMPHFLEVDVGDFLRFKSCVLYTEFDNAEQPLINVEVVAHVTRPELRSSEAKANDNNGFKIRKVVPATEEEARRILERMDADSQ >KVI03760 pep supercontig:CcrdV1:scaffold_440:70458:76401:-1 gene:Ccrd_017936 transcript:KVI03760 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MEEIGFLGMGIMGKAMAMNLLRHGFKVTVWNRTLSKCDELKEHGASVGESPAAVVKKCKYTIGMLSDPPAALSVVFDKNGILEEICSGKGYIDMSTVDAETSTKISEAVKEKGGDFLEGPVSGSKKPAEDGQLVILAAGEKVGNGAKMKLVVNMIMGSMMNAFSEGLVLADKSGLNPKTLLDVLDLGAIANPMFKMKGPSMIQNSYNPAFPLKHQQKDMRLALALGDENAVFKKARSTGLGDLDFSALLNIRLDDPEGSMPFSYYKNRAFFLALIPRFGVEMTKNTRILYYFVFNAIRCTSVD >KVI03753 pep supercontig:CcrdV1:scaffold_440:126431:131549:1 gene:Ccrd_017939 transcript:KVI03753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MAASANPSANNNGSTSNGNDTNTTTINNGGRSSVPENSGVGPSQRALKHSVDLSVQWSSDEQSLLEELLVKYASDNRVMRYAKIAGKLQDKTARDVALRCKWMTKKEIGKRRKDDNLSRKHKDKKEKLADQLAKPSSHATNRANAIGGVSGQLLEQNAQALDQISANFSALKCLGQVNSFR >KVI03765 pep supercontig:CcrdV1:scaffold_440:183296:189877:-1 gene:Ccrd_017945 transcript:KVI03765 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, conserved site-containing protein DSISLPSPAVRYSNSAATSPATLIFSWGYRTCIAKGLQREMKYGLNLRVQSSQQKKQPTRPPRPVAPGFGDDDEDDIEREISRQASKNKALKDVEEQHKKALEEDPSVFDYDGVYDEMKEKAVRPVAQDRQERKPRYIQALMDKAKVREREHEIIYERKLAKERTKEDHLFADKDKFVTSAYKKKLAEQAKWLEEDRLRQLREDKEDITKKGDMTEFYFNLSKNVAFGAEDGKATKPTKQTEESEIRKSDESLPSKVNSREENQGEPSAAGSKERSESVEPKPVLDSRKQEVLNDSQKQESETEGVTAQPPTDHHKRNQDALAAAKERFLARKKTKIGFN >KVI03756 pep supercontig:CcrdV1:scaffold_440:26112:26726:1 gene:Ccrd_017932 transcript:KVI03756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMDGVVHDKHIQDGGREWMPVEEYASLHFVKKNESFDRIAKICMGKKDNKYVGFTALPTSTATSAKKSYLYSNYQGHLSDS >KVI03754 pep supercontig:CcrdV1:scaffold_440:3281:9189:1 gene:Ccrd_017930 transcript:KVI03754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLVETVKDTYRTVSVSQEGAKFLRSCRPDHQPPLLLPITCESDGNEDKKSTVSDNIASSGHNGMSQPTLKSFVVNQMQHLVTRYGDHLLQSIQQLSKQLDLSLDGAAAVEASQANNNCKAYTITKQPKDLPPAKYGAWKISAPIKVNTVLGYVLDAAREGCVVDWTRLFNEIGLTQEIAANIQAAILKVGSKDKLKPIKEELPEEVDYTHIKAWLTMQDLGMSTEVIPSSNQHSKQHSNGTEESERAAVAYLSEEERNRTERPVPEKTEASLFGDSPRKRQKVEVSEQGAGSSLEITEASLLDWIQNFENGVTLSDILKHFNGSTEATAIDLLSCIESEFLIFKKNNLYKLM >KVI03752 pep supercontig:CcrdV1:scaffold_440:100963:108918:-1 gene:Ccrd_017938 transcript:KVI03752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQSLDKKHFQKRYDTPDPDRQNVFQQSNAASISIRDQNRSLGRRKSGGADELTSADGLERANIYVNDGDKNQVAALRNVPILDRPDPQRLIALEGCCNSEAYQLLNNRWAAAMNSYENPSTDIAERPMIPATSGVGPSGHQIDFLSELRLAMHNSNASSDKTSGRGGGSSRTIVARAITMARPTVISHLLLGV >KVI03762 pep supercontig:CcrdV1:scaffold_440:134052:147537:1 gene:Ccrd_017940 transcript:KVI03762 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDEFGMLAKDFGFRPQGKSAPMRSGSGDRRGRPTTSSSTFAAEENDMFNGVFGGPPKYTNNNSKSSSSMSDFDYDSIFKDPGSAKNNESQTKHTSSNSLVYDKPLYDDDIFDGLPGVKSKPVSSPAAARYDDNVFVSMTTSSPKQSPQSDQFDDLLGNLGRTEKAESTRHHSDKNPRGLDDLIPGFGSSSAASSNRSSSKPSPKSTGKTKEKSNAIDDPFVVLESMTSPVTSSQGHYTDPLEDTKIGKSRSTKAGESVSGNVFGDMDSLNGFKKPAPAFAKGINNRGKGHIPSDEGSSMGSARSSVSREPIEESSFGYSENQPQKMPFDDLQESHQTVFDMPTVSRKSHRSFDQTTSPASYSETSSQVGTSPNSEEHVQHADDIWLTVSEVPLFTPVTRAPPPSRPPPPIPRHASKSDRGSFASRTRIFGNDLSSSSNSAKYSQNVRPFRSSTKSHVSSQLDDLENFAMGRMPNNSDGISDAQSGEEMDSDSASAAMKEAMDRAEAKFKHAKEVRERKHGNASRNREYVQVETDEQTMDEEITRGRLDRERQEREREEEEREQRRREKERIREIEREKARQAVERATREARERAASEARLKAERAAVQRAQAEARERAAIEARERAERGAAEAKERAAAEAKERASAEKAATESREKEAREKAAVARAEAEARRRAERAAVERVTAEARERAAAEARERAAAAAATKMNRQKNDNDLDSFFSMGSRPTSVPQSRAASSDSATDPLSQNRRGPDTGTSSNVRKASPPTNFVDDLSSIFGAATPAGEFQDVEGETEERRRARLERQQRTQERAAKALAEKNQRDMQSQREQEERQRISATLDIEIKRWAAGKEGNLRAMLSTLQYVLWPECGWQPVSLTDLITGASVKKAYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >KVI03748 pep supercontig:CcrdV1:scaffold_440:251836:252656:1 gene:Ccrd_017952 transcript:KVI03748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKLDCFPLHSNTCFCPQNPKPNPTSSTNQSFQASSMAESDGYPKEYYDQQIGTRIPSSSSRSTSTTSIHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLENRSACDADISVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKALRFGMLGSAVGSVMGCLFLMLSMVNVIEIRLGMLTCGSRSTVNAVASMIVLVTSALLVYISTAVYAFLH >KVI03755 pep supercontig:CcrdV1:scaffold_440:15584:19748:-1 gene:Ccrd_017931 transcript:KVI03755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain containing protein 109, C-terminal MWRSSFLRLKEGLSLGIVRSKPVLFGLRAAGSVESNNRFCGCLFSSSAGGESGGGDGVGVARAVDDGDSISFAEAKKLMRLVNVEALKMKFGTEGKEVICYSELLQACENMGVAKSVDEAKTFAKVLDEAGVVLIFRDKVYLHPDKVVDLVRRAVPLALTADDDPRREELKRLQAKQEEIDMQAHRQVRRILWTGLGFALAQIGLFFRLTFWEFSWDVMEPIAFFATTSGLIVGYAYFLITSRDPTYQDLMKRLFLSRRRKLMKKQNFDFERLMELQKKCKSPLDAHPLAKHQVAGVELETKDLLQKN >KVI03759 pep supercontig:CcrdV1:scaffold_440:58202:68756:1 gene:Ccrd_017935 transcript:KVI03759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLTNAFSVLELDVEDDREQTTIVADKTEKDNGDSKKETDSVEGATVTKNDTGRHQSLDKLEGEYRLPLVWIDLEMTGLNVEVDRILEIACVITDGNLTKSVEGPDLVIHQTKECLDEMGEWCQDHHAASGLTEKVIQSTLSEKESEQQVIEFVKRHVGTYTPLLAGNSVYVDFMFLKKYMPDLAGLFSHVVVDVSSVKALCLRWFPKAPRKENKHRAMDDIKESIAELRYYKENVFKSSKSKR >KVH63020 pep supercontig:CcrdV1:scaffold_4407:4994:7350:-1 gene:Ccrd_025643 transcript:KVH63020 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTLLLLPFSFTLILVAYALYQRLRFKLPPGPRPWPIVGNLYDVKPIRFRCYAEWAQQYGPIISVWFGSILNVVVSNSELAKEVLKEKDQQLADRHRSRSAAKFSRDGQDLIWADYGPHYVKVRKVCTLELFSPKRLEALRPIREDEVSAMVESIFNDCIHPDKNGKSLLVKGYLGAVAFNNITRLAFGKRFVNSEGVLDDQGKEFKAIVANGLKLGASLAMAEHIPWIRWFFPLEEEAFAKHGARRDRLTRAIMDEHTAARQKTGGTKQHFVDALLTLQQQYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAELIKNPRVQQKAQEELDRVIGYERVLTEPDFSSLPYLQCVAKEALRLHPPTPLMLPHKANSNVKIGGYDIPKGSNVHVNVWAVARDPATWKNPLEFRPERFLEEDVDMKGHDYRLLPFGAGRRVCPGAQLGINLVTSMLGHLVHHFSWAPADGLSPEEIDMSENPGLVTYMRTPLQAIPTPRLPAMLYKRVAVDM >KVI00465 pep supercontig:CcrdV1:scaffold_441:225661:235290:1 gene:Ccrd_021281 transcript:KVI00465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVGTTMDQPNKANKLGATNSARIQSPASGFKRWGRKGPFIRYGLPMISLTVFGALGLGHLLQGR >KVI00472 pep supercontig:CcrdV1:scaffold_441:1372:15315:1 gene:Ccrd_021301 transcript:KVI00472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAVLMPRIIQAKQILRRSLSNGSRNTYMAIPKGYFAVYVGEQEKKRFVVPVSLLSQPAFQELLYKAEEEFGYNHRMGGLTIPCSKDIFTDLASPFSSFISLYNKADGALLRKMAVLMPRIIQAKQILRRSLSNGSRNTYMAIPKGYFAVYVGEQEKKRFVVPVSLLSQPAFQELLYQAEEEFGYNHRMGGLTITCSEDIFTDLASLKPPISPLTGVARPGMKNKADCALLKTMAILMPRILQAKQILRRSLSNGSSNTYMAIPKGHFAVYVGEQEKKRFVVPLSLLSQPAFQELLYKAEEEFGYNHPMGGLTIPCSEDIFIDLASPIDGYKPHAWDHGVGRQNHVILHELCLYDKAEGALLRKMAILMPRIIQAKQILRRSLSNGSSNTYMAIPKGYFAVYVGKQEKKRFVVPVSLLSQPAFQELLYQAEEEFGYNHRMGGLTIPCSEDMFTDLASRLGAL >KVI00462 pep supercontig:CcrdV1:scaffold_441:140091:150236:1 gene:Ccrd_021288 transcript:KVI00462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDMLEAMRVLDSSLSRINWRLRASSRRRLETDILALCTGMRPVIMIDYGGKMPELRERLCAFLKLTQEESSIFENLRVMVIEDMIYLIHIRGLADLIKLTINKEQEVHFIDLERDPPKIITEIERCSIGAQFVSLQKLFSSVFCANDDVLGCGRGSTSNAESSDCQLPVSPQPSDFIDLSHFMQDTLVTVPTLNGWLLGYPVVYIFGKDHIEDAVYNLSTKSLHLFNIFVCRNSSSGKRPQEELMSFSVPYDLSIDGEDEPWAEAFLVRVKAKWEGCKHVWGSLKMEVSSTYPQAIAL >KVI00468 pep supercontig:CcrdV1:scaffold_441:171179:176695:-1 gene:Ccrd_021286 transcript:KVI00468 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein ALTIRLLNPGRINREILGPNLKPEQFYEIWDDLIAECRYALLHAHRMNHMVSRSFRGFRRRYFASFFKPTFNSCSPCPRPILYQERTLASFNSSNSWWAFRNLTICRRYGSSSSSSSVRCVISQGKPKFEIFEIDPPKKYKWLTKKRLKLQRKKEKQKRRSANKRDPRRLTIKGSKRKGKFATVEERIKYKLEKTKIKEALLIERLKSYEVPKLQGPMVKPVEITGEERFYMKKMAQKGSNYVPVGRRGVFGGVVLNMHMHWKKHETVKVICKPCKPDQVHEYANEIARLSGGIPIQVIGDDTIVFYRGKDYVQPEVMSPIDTLSKKKQSLESARHFIAIAEKELELYRRHIALYGDPADHNPKTVVGGVSESTGKRKQDNFDSSSNEELSANDDDYEHDDLSLSDTNCTDELSTELDSSDEET >KVI00458 pep supercontig:CcrdV1:scaffold_441:51801:60622:-1 gene:Ccrd_021295 transcript:KVI00458 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor MDEEYDVVVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGSDKPPAHLGSSRDYNVDMIPKFIMANGALVRVLIHTDVTKYLYFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYNENEPKTHEGMDLTRVTTRELIAKYGLDDNTVDFIGHALALHRDDRYLNEPALETVKKMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDDEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKVGKVARAICIMSHPIPNTNDSHSVQVILPQKQLGRRSDMYLFCCSYSHNVAPKGKFIAFVSTEAETDQPEIELKPGLDLLGPVDEIFFDVYDRYEPVNEPSLDNCFISTSYDATTHFESTVIDVLNMYSMITGKVLDLNVDLSAASAAEE >KVI00467 pep supercontig:CcrdV1:scaffold_441:154525:158294:-1 gene:Ccrd_021287 transcript:KVI00467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MAATNGVVKEEGGLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVSRLGGKSAFVGKLGDDEFGHMLAGILKENGVCGEGINFDKGARTALAFVTLKADGDREFMFYRNPSADMLLTPDELNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKDAGALLSYDPNLRLPLWPSPEEAREQIMSIWDKAEVIKVSDNELEFLTGCEKIDDEAAMSLWHPNLKLLLVTLGDKGCNYYTKNFHGTVGAFAVKAVDTTGAGDSFVGALLTKIVDDHSVLEDEGKLKELLRYACACGAITTTKKGAIPALPTVSEVQGFIKEHC >KVI00454 pep supercontig:CcrdV1:scaffold_441:111974:118190:-1 gene:Ccrd_021291 transcript:KVI00454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase MFRKIVSFPSKSKSRRFVPIPLNSTNYCYCPPSAPLSLSSKRVSPRRSSFEIITPTSLKGFNRSFSSGYRLNWTQYGGLNMHRSGFAVLGRRRFSSETARESINYDVVIVGGGPSGLSAAIRLKQLCRENDVDLSVCVVEKGAEAPISVGVSSDKFMLLTKKHAISLPNPFDNRGNYVISLSQFARWLGQKAEELGVEIYPGFAAKEVLFDESENVIGIATNDMGIGKDGSKKDNYQPGVELKGRINLFAEGCRGSLSEVSIGLVCALNYHNPFFNPYEEFQKLKSHPAIRPLLENGTVVQYGARTLNEGGFQSIPYPVFPGGAIVGCAAGFLNVPKIKGTHTAMKSGMLAAESAFGVLHEGSNMKTYWENLKDSWIWEELRRARNYRPAFEYGLFPGMAISGLEHYLLKGRVPFTLKHGKPDHEATHEASKCSPIEYPKPDGIVSFDVPTSLYRSNTNHDHDQPAHLRLRDSKIPEAVNLPKYGGPESRYCPARVYELVISKIRSRTLNGQFPKAEEALATQ >KVI00469 pep supercontig:CcrdV1:scaffold_441:183741:188158:1 gene:Ccrd_021285 transcript:KVI00469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFEVDDDVNSEELLNSADMEGRKGDEDGIVGCSIEGNEHDKVRELSQQLATEKRRSATYKRHLEMIFEHIEEHNQSLSKKIQEIVNNVNEIESNEIETRR >KVI00470 pep supercontig:CcrdV1:scaffold_441:195479:198024:1 gene:Ccrd_021284 transcript:KVI00470 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MLLEDDIEKLEVPVQGELSLCEADKNQEPCEGMLFDSEEAARAFYDDYAGRMGFVTRVLSSRKSERDGTIISRGLGCRGESENHKNESIMVQKKNKGREGCMAMILVKREKPGNWVVRKFDEKDKRIQELTAELRVKKRLSAAYREQLLALMKDVDGHNEHISTKVQLVQASVWHARCIN >KVI00451 pep supercontig:CcrdV1:scaffold_441:39369:40898:1 gene:Ccrd_021298 transcript:KVI00451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNHKTTPQSRILSSHSSSISSDLSPSLHLPENSIFLSDKRPSSLMEVRRMNMNMKMNPQETGGATMVVQQGRHTAAEHGDRSSLNNNNFGARRMMTVSKPKSRSSYFSIESMVLLVGLAASLLILPLILPPLPPPPLMLLLLPIFIFGVLMVSAFLPSFSNSSTARQKANV >KVI00459 pep supercontig:CcrdV1:scaffold_441:50077:50826:-1 gene:Ccrd_021296 transcript:KVI00459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNGWSIKERKYKLREKKHKNGVKKTFRGRKEREGGEREGEGEGEGKGEARNSFAEIPSSQPASQDYGNIRDFICGSFTDDD >KVI00452 pep supercontig:CcrdV1:scaffold_441:18419:31956:-1 gene:Ccrd_021299 transcript:KVI00452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDKALNSMSMDKLIPDCPYPGCFFCVMKEGNPNKRRASIMKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEDFAETAINAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPAVANHGEILELCIQLAMSSLEIVYSHFYQNADRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLSTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKFGRGPVSSCPGVIEALCNIARSSDDWQYMAIDCLLWLLQDPNTFHKVIEKAAPAVLDLAEISTLGDHKKLGDSILNVLQECIQSHGTGRNSVSNRTKEDVEEILHSRERFKWEKSMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPVRSKKERVVLYXNRAQCXLLLQQPLGAISDATRALCLHXPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSTDPDLSSRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGIDCEADVGDVYGQGSDDSEWETASESDVGNDARKEMSNNDGEWINDEGITDKNDKHATREMKR >KVI00461 pep supercontig:CcrdV1:scaffold_441:132145:135576:1 gene:Ccrd_021289 transcript:KVI00461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIQCDVCEKAPATLICCADEAALCARCDTEVHAANKLASKHQRLLLQTLSNKLPPCDICQEKTAFIFCVEDRALFCRDCDEPIHSAGSLAANHQRFLATGIRVALSSSSAQEAPEKSHHEPPPPSSKNVTDHVPQVVPMKTPTHQVSGYTSPSWAVDDLLQFSDFESPNKKEQLEFGELEWLTDYASVFGDEAAEVPQLPASQSINTTSYRQTKFYIPNNKKPRYEISNNDEDDDEHFTVPDLG >KVI00471 pep supercontig:CcrdV1:scaffold_441:8348:15324:-1 gene:Ccrd_021300 transcript:KVI00471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSQCPQTRSKISEHVFAAWNRVPHVLCDAYDHEEKHMIMKKSGEANLGWDELTGRHSSLSFINAAESALLGKMAILMPQIIQAKQILRRSLSNGSSNTCIAIPKVYAGEQEAKRFM >KVI00453 pep supercontig:CcrdV1:scaffold_441:126563:129258:-1 gene:Ccrd_021290 transcript:KVI00453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MEKKSKTEHEDGRLQQHLILLLAIALGFLIMDPFELSPVGGHDFRPVKNDIAPYNQVMESWPEDNLSRLGLGNLEFVDQVFGPESLEFDPLGHGPYTGLADGRIVKWLGEDRGWETFALVSKKWSEECATGKDSTTYKQWKMEAFCGRPLGLRFDKSNGNLYIADAYYGLMVVGPNGGYATPLATEIDGKPIIFANDLDIHSNGSIFFTDTSKKYNRVNHFFIMLEGEASGRLLRYDPPTKSTHVVLDGLAFPNGVQLSKDQSFLLFTETTNCRLMKLWIEGEKRGKVELVANLPGFPDNIRINEKGQFWVAIDCCRTKAQEVLTNNPWMRSVYFRLPFQMRYLARVMGMKMYSVISLFDEQGEILDVLEDKNGVVMKLVSEVREANGTLWIGTVAHNHIATLPYP >KVI00450 pep supercontig:CcrdV1:scaffold_441:245900:247330:-1 gene:Ccrd_021279 transcript:KVI00450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKVKNVKILNLDGCNLGETPIEMRDDVEETSNRNNIGMNSQTSSSAIVEAIPRAFESYLIYLPSSLVCLSLANNNLSNESFPMDMSSLSMLKELYLDDNLFVSLPNWVKSLSRIEILSIRENDKLESLEHPPPTLKELRFDFHREAEATFNREMSPVLLTWAMPGAYKWYNIEGMYKAEDMRDVQEKVLRSLGWSHLVNLDFTKIQPTEVKGVKRRVKMDYEFGIFSTWYVGKEMPNWISDRRWEGSSISFTIPSSPCNLRGLNLFCVFTPGEFITNDGEFLAYISFIRISNITKMCTWIYYIGGFFIGRKEGITFSSHWMFGKNEMEDGDQITISTFDNDGCSTRECGVGFVYDEDEDEDEEDVLGYYKSWNHIIGGDLSPFQTTTPGQYYLQRFYFGGENCMGCDFVDPRPLFRAFRPTK >KVI00456 pep supercontig:CcrdV1:scaffold_441:93576:103301:1 gene:Ccrd_021293 transcript:KVI00456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSRSFKSNNQGGCTAAVTGNNKPSESSWDTVSCNLWVGNLAADVSDSDLRNLFEKHGCVETITCYPSRNYAFIYLKGAEDAKRARDNLQGVVLRGNPLKIDFAKPAKPCKSLWVSGISTSILKEDLEEEFSKFGKIEDFKFQRDKNSAYIDYCRLEDASKALKAMHGKQKGGTMIRVDYLRSHSRRPILPSEGLKGDKEQPSNVLLISYPPVVHIDEQMLHNAMILFGEIERIRSFPSRYCSFVEFRSVEEAQLAKEGLQGKLFNDSRISITFKINDVTLNQHPNGFLPGIEGPRPNSLFNEVPFQAAQLDAIGLPIVPNSFHGRAVPHGVGGPVTSMRPFAPPGSFVPILPGPEFGVSDPNPNNPIGGPNWRSSPSSGMLSSPSVSRNPSTKPTLGSWDIYDASQIQREPKRLRTGDAVPLKENNDRVLPTDQICRGVPQVKGVGPSNTRFTSRNPDIGRPRADSMWRGIIAKGGIPVCHARCVPVGDWIGYEIPEIVNCSARTGLDLLSKHYADAVGFDISFFLPDSEEDFASYTELLRYLGDHNRAGVSKFDDGTTLFLVPPSEFLTTVLKVSGPERLYGVVLKFPQHASDSISGPSISQPQQIDKLQVPSQNEHGVVPKDEKMLQNTYSGVSHELPRSHPEPLGPITSNPQPAYLVPPVTSTCTTPAGLSLTPELVATLASLAKINSNGLQPGANTVTGSLLTSVASDERQFQGRNEHETSNLAGPYVQQVGSTFQSQAQILPQHQPYLSNTVGALNLAVTQESHIQGLSFNMPHHEAVASMTNSNIQTPQGVQFALPMQATQQYQLDYSQDMHTDSGFQKNTEAPSVIHPGFNAVTSSGQVYGINNVYEPQTMVPPGVENSGVHLPEQMQQLAAPLYGASQQMLDADKNERYQSTLQFAANLLLQIHQKRPGAEAGGTDRNH >KVI00466 pep supercontig:CcrdV1:scaffold_441:237599:244095:1 gene:Ccrd_021280 transcript:KVI00466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDTRNSVDLEPSMFEGVTHVICCTGTTAFPSRRWDGDNTPERFDVHSKDEQRLLSLVAHILGRREKPCVSTATITDKAHPSFIGDKLVGEVSRLVVAEACIQALDIDFTQGQIYEINSVQGDGPGSDTKKWQELFKAAQLQ >KVI00457 pep supercontig:CcrdV1:scaffold_441:73571:76924:1 gene:Ccrd_021294 transcript:KVI00457 gene_biotype:protein_coding transcript_biotype:protein_coding description:B30.2/SPRY domain-containing protein MDDLQATYKEDQEEDNSYNTNTNGNTSDVNPGMADPKPEIVTATTTTTITTTADEALTVPTTTTITTTSTTSATPDTDSGTKDDPIPSEELSDLEPTPKDSPNFTKIMSSEDEVEDNEERPAKKHKSISLLAPQLEEELSQEVAAATPVTPMPPPTEKPSGKKSTNSNKKKKSKGNNVWTKPSSRKGKKRTKSTTSTTAQTEDSVLITPIHRYPDKNDDTADMKICLSKVYKAEKVELSEDRLSAGSCKGYRMVRATRGVMEGAWYFEIKVVSLGETGHTRLGWSTEKGDLQAPVGYDGNSYGYRDIDGSKVHKALREKYGDEGYVEGDVIGFYINLPDGNLYAPKPPQLVLYKGQRYAYATDAKEDPPKVVPGSEICFFRNGICQGSAFKDLHGGRYFPAASMYTLPHQSNCIVKFNFGPDFEAFPEDFGGRPVPKPMFEVPYHGFDGRVQNGQTNLLNCTKKEDGRHV >KVI00463 pep supercontig:CcrdV1:scaffold_441:199217:203395:-1 gene:Ccrd_021283 transcript:KVI00463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMCCAFNRCSSVSLNQETLYFCPKFVPGTGRTTIIAVSAKSSGRRKDDDGDSLVEDAKGSGTTARGRRLLKVREEKRKREYDRLHNYPSWAKVLEEAARNDVELRNVLGDSIGKPEQMRQKVEDRIRKKGRDFHKSKTGSVVAFKVTFRDFSPVGSNIWFKLYGPPSDRDVDLIGSVIQSWYVMGRLGAYNSYNLQLANTSMEYNPLYDADKGFNVMASSFHDIGDLEFQDNWGRVWVDIGTSDYFALDVLLNCLTVLSSEYLGIQQVVFGGRSMGDWEEGMKNPEDGYKYFKI >KVI00460 pep supercontig:CcrdV1:scaffold_441:45386:49929:-1 gene:Ccrd_021297 transcript:KVI00460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MDKKKVVGDEDALFLLGNHTNHEAVAQPADEMETDETRIDNPNNEVVICRTEDRDENVVFSREAPLVSKDHGKPAGSCNRTDASKLKSSLITTDSGVLKFGKCAQEKKLSRQDRIELGRLFQGAVSSHDWELAESLISLADPQTLNDALCISLDSIWFLSTHMELDGITGLIKTIISNGASDFTRAALRTSFLASCVSACQSRTMSLADTVTVMARRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRCQGNRDRVNQNSAVQVQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSSSFDPGWASGMSATAVQGLLAMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYGKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDIAAYLLPHVPQHVLAALSIEILKAAGERSGGSLDGVAFLLQSNFLGDPVATYAVADSISRSDDDSVAPELRDFLQEQWSEAAFLDGLKQGEVHYFNVVSILKWGGSPICLMDLPGPLRVAIAYLPLYRECVKAGGCLLSQQMRGQLVEAAKRLGGVALEEASQGEELLAVLEQHLPPFLVHG >KVI00455 pep supercontig:CcrdV1:scaffold_441:109649:114862:1 gene:Ccrd_021292 transcript:KVI00455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MEKDESQNLNGSLLPPSGWNSGFPLDGNKDNEKSEPNGSTMVPTNCDESDQLTHDLSRMLDHPPKYLGHRRALSEIVTLPDDISFDRDLGVVGGFNGPSYSDETEEDLLSMYLDHMDKLNNSSATSGSQVGESSFSAVQNSQTAATPPASPPPENHVTSIRVKHQHSMSMDGSAIKPEMLNSGSEEISSTDAKKSISDAKLAELALVDPKHAKRIWANRQSAARSKERKMRYIAELERKVQILQTEASSCSAQLALLQRDTNAFNDALKEEIHRLKILTGQNLMNGGSMMNFRQSFGANYQFHPSNHAMNTQQFQQLQNQHPFEQQQLPLLHQIQQHPFQEQQLLQIQQKHQHPYPQQPGHRSSFHVIHGSDFVKTYDQTFTTTAATSFQKPAAQPTIAPPGNTGYGID >KVI00464 pep supercontig:CcrdV1:scaffold_441:204082:216185:-1 gene:Ccrd_021282 transcript:KVI00464 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand 1, calcium-binding site-containing protein MANAAEGRRQVLESVYSVIALVFILVACVELCDASTVVDVYRLIQYDISGVPFGSRVASLNHHAGSSLFAPGSDLSRTVVILPLRELNETFIREYIEQGKPLGGLLLLLPRIFRSENSETVGGYGDSSDKENMKKLLVDLERKLIHVNINYPVYFAFEDEDLDTVLADIKRNDAAGQPATATTGGYKLIVTSPTPKKLASPTITNIQGWLPGLKADGDSNQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSILYSNPNTRGRYNILFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSLGSGEKRLWVHVSKPPENAYVKQIYEGLSDVAEELGLEVGLKHKKINVSNSRVAWEHEQFSRLRVTAATLSGLSVAPNLLENAGGLADNREFVSEAAVVQSVKLVAESLARHIYGQQGRDVNIFADNSSLAVNPSYMRSWLDLLSRTPRVAPFLSKDNAFILALKKELAEHVVEVNLQHDVLDGMFTFYDSTVNTLQIYQFPRDNYQGNSIEMVNKEI >KVH62826 pep supercontig:CcrdV1:scaffold_4410:16995:31466:-1 gene:Ccrd_025644 transcript:KVH62826 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MDKDTTPPYLSLFAALSYGIASMAMVFINKAVVMNYAYSMTLLTLQQMATALLIHFGRIMGWTRAKALNVDTAKKLILVSLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGLFYKKGKHSKQVILSVSLTAAGVIIAALGDFSFDLFGYVMALISVFFQTMYLVLVEKSGAEDGLSSIEIMFYNSLLSLPFLLFLIIITGEFPSSISLLYAKSASFYFFLLFVLSLVMGIILNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFFLLGGVQVHFLNVSGLVINTLGGLWYSLAKYQEKLRRTAIPR >KVH62484 pep supercontig:CcrdV1:scaffold_4412:12850:29750:-1 gene:Ccrd_025645 transcript:KVH62484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein MSGFKNKVLPKRIQVAENKDQRSLMPRVTTLLLKGKRALQDLELLKVLQSEIRHELSNDPYKNETGSLGDFVMDWDSPHSKDVIMRKNCGSDEELAISALLGEETFLGDDCYPKEAEMKVCIKKAGLSSILQFDCKVLDNGQDKIDFHIQNAYYLKSPTNLGSSAYRGPVFWLASVPCGIQASHYFSVWNCALSSELGPCFATRAEAVSDLQRNWGKSDQLPSIPPTQEGAESVHKVVAEVGSHGVKKLTDSGLTISRL >KVH62483 pep supercontig:CcrdV1:scaffold_4412:30167:32296:1 gene:Ccrd_025646 transcript:KVH62483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MGSYRIFTYISFINPNSGNPLNPNRLLEPCFIPTLHPAFNLSMEFVEYEPEYIPEAVMNYYSCGVQVGRSKTNKDLILKAKKHLSAIGWSFFYICKKPGRRELRYRSPAGKTYCSLRSACQDHIDHHQDDHDLDSCCSKTESFTEKKTKLEKNDEFLRTSRPQKRIQIEDDHDLDLGCSKTEIFTEKKTKVEKHDDLSNSLPQKRIRIRDDHDLGSGSSQIEIFTEQKTHRPRKRIRIQDVEASYFDRKREESGVDSNDDVGIVEDDKSAGFLSDRPGKKIKRLMEYSGKKKNEFKPKKQIRKMADLSTRRRCVLSMLIERKIVFQGSKVAYRSRKDGRVMAEGRAYEDGIQCDCCGEFFLLSKFEAHAGSTYRRPAANIFLDDGRSLLDCQTQLTHENEPKSTKSCDRETGQDDFCSFCNDGGELLLCDSCTSSFHASCIGLNGVPDSDYWFCPSCCCQICLEDQISLFTNEDSQIKCKQCAHYFHTDCLRKKGVLVTSDTVNWSDGDVVEHVIFSRSSERSNFNGFFTAVLERDEEMITVVAVRVHGCKAAEIPLVATRFRYRKMGMCRILMDEIERIMKELGVERLVLPAVPEMVRTWKESFGFKVMDEMERLKLIDCKFLDFPGTIKCQKILEKM >KVH62480 pep supercontig:CcrdV1:scaffold_4413:24054:30755:1 gene:Ccrd_025648 transcript:KVH62480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENHHLEFIKQTMLKHEDTFRHQVRELHRLYHVQKNLISTLRNDTKLDTTSVPNATTDNGNEDLGVDLSRHAKECTLYGESSGDQTGCNDVELTLSIGPSTSIRRSQSCLHQTGDNDSEVTTKTNLANSSTLYNQDSKRPHWLFQDLSLNRT >KVH62481 pep supercontig:CcrdV1:scaffold_4413:10528:11321:-1 gene:Ccrd_025647 transcript:KVH62481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATKSIGKGSFSQRCSVGNTPRRHYETYLLEYELAHDDVDGGVLLIRSGGFGYLGNGRISVVTEDRILVPLRYACKLLLSVSFNYIPRVWDVVHHKGGNVWLDHMDCLLQLKTLLEQQWHNIVLSL >KVH96940 pep supercontig:CcrdV1:scaffold_4416:33970:39260:1 gene:Ccrd_000967 transcript:KVH96940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MYVGSMRKSFKDSLKVLEADLQHANTLASDFPREYDGACVQMRMSYSPAAQFFLFFVQWSDCHLAGALGLLRILIFQVKLVLYCIIYPSLMQLQSGVTDSEERKQKKVCMERYRKREDEDYNRCSDVDIEREEECGICMEMNGKLVLPKCNHAMCSNCYNDWCTRSLSCPFCRVSLKRVESSELWVYIDHNEAIDVATITRENLRRLFMYIDKLPLVVPDSNFDTYDSHLM >KVI11366 pep supercontig:CcrdV1:scaffold_4417:14475:28877:1 gene:Ccrd_010225 transcript:KVI11366 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MKAITRLKFWGILAPVNAMFLKASPPAIPINFKCSFNAVSNSTFCSYDSCNEIGVGGTKSATRGVVMVRAGYTKKPLETPGAYELIDEDTGDKFIVWGGTDDNDYQAPIPSKEVLHWEPSNNSKKGRSNHLANNSAAITRPRASAKGVSRSFERLRAHRVRDLGRVSSWERDQKDGNSSSEPISSAQEEKIVAKQNRLNVTKDVSEEVDGSISASRDSSSSQRGPRANELGQISMNVSSKLRKSNTAGDFFSRKSFKDLGCTDYMLQCLGSQLIAYPSHIQVLILAMSFPHVIRGKSCVIADQSGSGKTLAYLLPVVQSIRQDELEGHAKSLPQSPRVVILVPTAELASQVLRNCRLMSKCGVPFRSMIATGGFRQKTQLENLRNELDVLIATPGRFLYLVEEGFLQLTSLKCAVLDEVDILFKDEDFQQALQSLMNLSPVTTQYLFVTATLPVIIYNKLVENFPDSQVIMGPGVHRTSSGLEEVYGSFPDCLWLNEYLLVGRLQILVDCSGEEGMEKTPDTAFLNKKSALLKLVDGGPVTKMIIFCNKIETCRKVENALRRFNRKVLPFHAALAQDLRVANMEEFCQSRLKDSSLFLVCTDRASRGIDIPGVDQVVLFDFPRDPSEYVRRVGRTARGAGGKGKAFVFVVGKQVSLARRIIERNRKGHPLHDVPSDI >KVH62098 pep supercontig:CcrdV1:scaffold_4419:36127:40065:1 gene:Ccrd_025649 transcript:KVH62098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MLVNLRYAAAFVERDNDVVRFVVLKELQEEELHVVVGLVAHSVCGQVGPAAINIGNGLALSSTAVVLQVLQERGESTSHHGRATFSVLLFQDLAAVVLLILIPLISPNSSKGGIGFYEIAEALGLAAVSYLVNRKSLHTNVFLSFINATADYIL >KVI03823 pep supercontig:CcrdV1:scaffold_442:16478:27119:1 gene:Ccrd_017858 transcript:KVI03823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB KLGYCAILCHAPPHRADNDSHILYCHHVPDLHLLFFTIRIVNSLLHYLQVSFFTRSSHYSPLFRVNMSSTSTPPETPIVLGVGGVAVDLLATVASYPNPDDKIRSTSMKVQGGGNAGNALTCAARLGLNARLISKVANDAQGRGVLEELQVDGVDVSFFVVMNIAVEENKIYRAMLYHMIRFPKREIHHSPMKTRTCIHTPGSPPMIPSDLSSLTLSSALDGVKLTYFDVRLHETALVVAHEANRRSIPILIDAEKLRDGLDDFLYLSDYVAWTKAPTVPSALVSMLLRLPKVKFVIVTLGADGCIMLERKDGQAEEMDADNLVEQLRQKINSGKAAPTLFLGTAEKIPESELVDTTGAGDAFIGAILYGSYCMSSFGSSNGSPVSLGSSIDAFFRVEAPSDSNGIVVADRVGKSGPGFRIWLFVLVCFFGYFWFDFLLLVPF >KVI03839 pep supercontig:CcrdV1:scaffold_442:37861:56025:1 gene:Ccrd_017859 transcript:KVI03839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dilute MAAVSLGVGSLVWVEDPDEAWMDGEVIAVTXEEIKVASTSGKTVVAKSSNVYPKDAEAPPCGVDDMTKLAYLHEPGVLNNLRSRYDINEIYTYTGSILIAVNPFTRLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRVMINEGISQSILVSGESGAGKTESTKQLMRYLAYMGGRASTDGRSVEQKVLEDLKRYKVGDPRTFHYLNQSNSYKIDGLDESKEYFATRNAMDVVGISSEEQDAIFRVVAAILHLGNIEFTKGKEMDSSTPKDEKSWFHLKTAAELFMCDVKALEDSLCKRVIVTRDETITKWLDPESAAVSRDALAKVVYSRLFDWLVDRINSSIGQDPDSKYIIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDILDLIEKCICDGDVDSLDVELMEMAILLDVFSIGNLLVLLASASLFCTMLNMFPRSTHDTFAQKLYQTFKNHKRFTKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLSASTCSFVSSLFPISSEDSSKSSKFSSIGSRFKQQLQQLLETLSSTEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVLEAIRISCAGYPTRKPFDEFVDRFGILAXEVLDGNSDEIHACKRLLEKVGLEGYQIGKTKVFLRAGQMAELDARRTEVLGRSASIIQRKVRSFIARKSFVLLRRSVLQIQSVCRGELTRRVYESMRREASCLRIQRNLRMYLARKAYKEFXFSAVSIQTGLRGMAARNELRFRRQTKAAILIQVLAIAASTWPXCISPRQRRVQLLYNVHGGEKLLVKNYGSLRWETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKTQENAKLQSALQHMQLQFKETKELLVKERETVKKAVERVPVIQEVPVVNHELTNKLASENEKLKALVGSLEKKIDDTEKKYEETNKLSEERLKQALDAETKIIQLKTAMQRFVLDYFKAYILIQSFTGDSLCTNYVSSSSLQEKVSDMASENQILRQKAFSSPATRDPQTPEMKEPQTPARNLVTEFDSKVKRPPIDRQHENVDALIECVMKDIGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIEDQDNNEHMAYWLSNASTLLFLLQRSLKSDGANXVRKPPPPTSLFGRMTMGFRSSPSSVNLSAAAAALEVVRQVEAKYPALLFKQQLTAYVEKMYGIIRDNLKKDLGAFLTSCIQAPRASKGVLRSGRSFTRDSQSNHWQSIIDCLNTLLSTLKENFYAGSAWDELKHIRQAVGFLILSVQQLYRICTLYWDDNYNTRSVSPDVISSMRILMTEDSNNAASNSFLLDDNSSIPFSVDDLSSSLQVKDFADVKPAVELVENPAFQFLHE >KVI03829 pep supercontig:CcrdV1:scaffold_442:168109:168621:-1 gene:Ccrd_017867 transcript:KVI03829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEAGNVVNGETNKTIKSFPCQFCSRKFYSSQALGGHQNAHKKERTAARKAKRAANSHCNYPTSLLPQPPLLFAPTNQIGILNPSLYITNLCQFQGQQFGSDTNLVYYRPNYMNQNPYNQFDQEDEQQSFINCQRSLIGNDENSPENMFVMDENKGVKEIDTQKLDLSLHL >KVI03835 pep supercontig:CcrdV1:scaffold_442:217831:222323:-1 gene:Ccrd_017871 transcript:KVI03835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1644 INDDTTIETLLQYSLPFSHRSKIDSEFYRITVKSMASRKRRIHNDAEISALHKEWDEISCPICMDHPHNAVLIMCSSHGNGCRSFICDTSYRHSNCLDRFKKLVPDSNDSIPTPASLLPENDNSIEADGNHESIEPDAIVEFAGGPLGTGDSDHTSSSSSSSSLKCPLCRGSVSGWEVVEEARQYLNLKSRSCSQESCSFSGNYRELRRHARRAHPTARPSDVDPLRERAWRRLEHQREYGDIVSAIRSAMPGAVVFGDYAIENGDNRLAGGDNRERGGGEGGNNGHWWTAFFLFQMIGSMETSPPADRRGGGRPRVPTRHRRRRLLWGESLLGLQDDEEEDESDDDPFGLNLATTDNDEDRHTSENPRRRRRRLTRYRSDED >KVI03837 pep supercontig:CcrdV1:scaffold_442:245022:246218:1 gene:Ccrd_017873 transcript:KVI03837 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEDSNTLKETDSSHNFFSFLSSDLTELVLSHLPIRSIIRCSAVCKLWHSITTAPPFSASAAAARTPWFFLYGQNNIFMKNNQAFAFDPDSNEWIKLSPTLFPFSISQESSFIGSGGFFFNTTANNFSFTPILKPSWRETTPLRFSRSNPLIGVIDHGFASNLNPNNGSQSNLNLPRIIVVGGVRFIGGLVDIEDRLAVEIYNPSLDSWDLSPPLPADFRSGNSSQSLSSAQFRGKFYVFGIYSCFISSFDLNNRVWSEVQTLRPPGVIISFLISCRNQLVLAGLCNAPRGPSFNLWRIDESTMEFSEFAIMPQDLLNCLFDRDEDDNFASLKCVGFGNFIFLFNEEHRRNYPACVCEISSDSGECXWRRVPDLPEPVNRFHKVVSFCSTISLNRILXA >KVI03826 pep supercontig:CcrdV1:scaffold_442:78480:79931:1 gene:Ccrd_017860 transcript:KVI03826 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MFSFSEQNDFFGRRCVWVNGPVIVGAGPSGLAISACLRDQGIPFVVIERSDCIASLWQKRTYDRLKLHLPKKFCQLPKVPFPKEYPEYPTKKQFIAYLENYAKKFDIKPQFNECVQSAKFDEACKLWRVKTVSTIGSIRSETEYICQMLVVATGENAEGVVPEIEGLKDFSGEVIHAKDYKSGEKFQGKKVLVVGCGNSGMEVSLDLSNHNAKPSMVVRSSVHVLPREIFGKSTFDLAMMLMTWLPLWLVDKILLILAWFIIGNIQSYGIKRPSLGPLTLKNHHGKTPVLDIGALEKIRSGDINVVPGIKRFTGKSVELVNGEVLTIDSVVLATGYFSNVPFWLHVSIKLQTLTLINSISSSESETEFFAENGFPKTPFPNGWKGKGGLYAAGFTRRGLAGASADAVKISKDIGKEWKEELKQKIKKVPTHRRCISTF >KVI03830 pep supercontig:CcrdV1:scaffold_442:159828:160123:1 gene:Ccrd_017864 transcript:KVI03830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPFSTILLSFSLTFFFFFTFTISAPSSSSSSPDKSETFNPRKNGEFVVEFMEYICSSLSMARIEMGFHKWISINVYGYIFSTMVESLSL >KVI03827 pep supercontig:CcrdV1:scaffold_442:131042:138221:1 gene:Ccrd_017861 transcript:KVI03827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix leucine zipper transcription factor MEFRCSILIRSIWIEFCRGGDEDIMELLWQNGQVVMQSQNQRSVGNKKPEIRTSMANREIRSSVVEEETGPSDLFMQEDEMSSWLHYPMDENSLEGCLYSNDLLYPTPPSSTPLTTAPSSLPPPPPPPSVTIPPPRPPVPPFRRVEGESGQPKYTNFLHFSRPNKGRASQLGPCSSSLPPSLKPSTAMESNDTPAAEAPESRASRVSDKTPTVSVANIGCGGGSMSGVGAAGTSSAGRELETCELSVTSSPGTGGSGASASVEPSSQKPPPTTDDRKRKGRDTDDTECHSEDVEFEYTDAKKQSHGATSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKQYMSPMAMGMGMNHAMVPYPSILPGSSLPNPAAAVAAAAATQLGPRFPVPGFNMPPPVTITGGPAASQAANLSAPMMSSFPSHNQNQPQAPNFSDPFQQYLGLHPTQIPLPQACF >KVI03824 pep supercontig:CcrdV1:scaffold_442:141835:147383:-1 gene:Ccrd_017862 transcript:KVI03824 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MIPCFLEKKDNEKKLKNPSFRSSVFFIHTQKQITMGQGTPGGMNRQGMPGDRKNDGEKKEKKFEPAAPPTRVGRKQRKQKGPEAAARLPTVTPHSKCRLRLLKLERIKDYLLMEEEFVANQERLKPQEEKTEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDDVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDALDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQAICTEAGLLALRERRMKVTHPDFKKAKDKVMFKKKEGVPEGLYM >KVI03832 pep supercontig:CcrdV1:scaffold_442:174574:188794:-1 gene:Ccrd_017868 transcript:KVI03832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 28 MEQSPEQLVPKQDQDSSSLSTSGAVSVQIEEGVSGSGGNSGAEVEVIRDDAIAGGGGGAVSNGGTSYSGSDGKSLPRLRKLQSELTSFLIGSSDKLEAASVFLVLGTELNIGSFLSMAWEFYQLYSDFTVCSLPDMSRLTLLNKIATVKNGTVEFEIPGDVELSAFAAGGGKVYDACDEDPHDSAEPRYYPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFHPLGGDPKVLAEYMVKNKGFLPSGPSEIPVQRSQMKDIIFSLLAACREPDLDTGIPFKADAMIANPPAYGHTHVAESLKIPIHIFFTMPWTEFPHPLSRVKQPAAYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSETDIPHGYIWSPHLVPKPKDWGPKVDVVGFCFLDLASNYEPPEDLVRWLKAGPKPIYIGFGSLPVQEPEKMTQTIVKALEMTGQRGIINKGWGGLGNLTEPKDFVYSLDNIPHDWLFLQCASVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHSRGVGPPPIPVDQFTLTKLVDAIKFMLDPKVKERAVELAKAMENEDGVEGAVKAFLKHLPCQLPDAKPQTKHSKLPPTTKHSELPPTTKPSNSRPPKPSSSFTISGCFGCA >KVI03838 pep supercontig:CcrdV1:scaffold_442:239331:240983:1 gene:Ccrd_017872 transcript:KVI03838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKEDITRGLKFDSVQNKEDLVVRVGNKVLPVNESTTPRFPNPSPBAKKEKGDRTKTVSRMIELLRWAAAVSQFRNRTTLKSIQDVDQMTNESPKISFRWELESCLTFSSALSISSTNRTDQNVDIEIHSNPDGNSSLITLRXGNWITTDSDFVVLEL >KVI03825 pep supercontig:CcrdV1:scaffold_442:155315:156937:1 gene:Ccrd_017863 transcript:KVI03825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKETVCFNEDVECARVVVKEILYMFEASLSKFPEKRRERGYRGQQALYSFLLCGPPPPYHSHIY >KVI03836 pep supercontig:CcrdV1:scaffold_442:210963:215175:-1 gene:Ccrd_017870 transcript:KVI03836 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP MSYLLRTLKKKEEVDSIIRDTIDKLLVLRFGRSSDSVCLQLDDILSKSEREVSKFAIIALVDVDSEEIQVYTKYFDITFIPSTIFFFNAHHMKMDSGSADHTKWVGAFHRKQDFIDVVEEIFRGAMKGKLIVNCPLPPERIPKFQLLYKDM >KVI03828 pep supercontig:CcrdV1:scaffold_442:165084:166313:1 gene:Ccrd_017866 transcript:KVI03828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF573 MGSDEEDHPTAVYGEEDIDEEDDEESEEEEHTLQNRHVDEDDVDLIDVMEDDEEEDDSMSSAAGEVTIAVAGIPNVTTTVAATPTTATTTTTSLVRSDAIVEVKKPVALDDSRRLFQRLWNDEDEIELLQGFLDYTTQRGTNNSSHHHHDTAAFYDQIKGKLQLDFNKNQLVEKLRRLKKKYRNVLSKISSGKEYVFKSPHDQATFDLSCKIWSGIAATTVSTPATADGGGIDDDEPHNPNPNPNFTFNLNEHNGNDIADPNSSEKKVPRPRKRSRSSAVKIEENFNQQHQFSQSMGAGAGAGAVAGASNPAIPNVVEETVKSCLLPLFKELVNNSPNGPCGNSRGLGLGLGGMNMNPFGGSMNFSIGDMTDDKWRKQHILELEVYSKRLELMQDQIKSRLEELRSMGS >KVI03833 pep supercontig:CcrdV1:scaffold_442:200683:215121:1 gene:Ccrd_017869 transcript:KVI03833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase MAGSGYLASSCLAGESSTYHRTPASGDDVVIVAAYRTAHCKSKRGGFKDTLPDDLLSTVLKAVIEKTNVNPREVGDIVVGTVLAPDTVPIRTVNRQCSSGLQAVADVAAYIKAGFYDIGIGAGVESMSIDSIGPISKVNPKVESHKRAAIATSSGKFRDEIVPIVDPKTGEEKPVTISVDDGIRPNTNMADLAKLKPAFKKDGTSSQVTDGAAAVLLMKRSLALKKGLPILGPAVAIPIAVKSAGLELDNIDLFEINEEPWLLGIHWDLQDTNPRKLNLSAYDIIELKTNGIGRSAESKNEKLIDGVSDNGIDLLLLLQGSQ >KVI03834 pep supercontig:CcrdV1:scaffold_442:2778:10541:1 gene:Ccrd_017857 transcript:KVI03834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLAILMLLFISHLVALNSTAVTYGPVAGPTDSGLPRHHHHHHRRHPHRMRARAASPSPSSEPGCGQTCLEPLTLTPIGSPCGCVFPMKVRLLLQVSVFAVFPVVNELEIEIASGTYLTQSQVVITGASADDQNQERTAVDINLVPLGERFDNVTAALTYERFWRKKLSLNRTLFGDYEVVYIIYPGLPSSQLYGNHSGSEPSGSPGTQEFPIRAHFVGKNQKLDHKTIFVIALSAAVLLIVCCAAVSVIMKYRKICSSSNPAGPVFTSSTNKKWGLGAMLSSDPTSSLSVSIFSTMPTSFLSIKTFTLAELDKATEKFSSRRVLGEGGFGCVYHGIMEDGAEVAVKLLNRDSNHNGDREFIAEVEMLSRLHHRNLVKLIGICIEGHTRCLVYELVPNGSVESHLHGADKQKGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSRHISTRVMGTFGYIFSQIYW >KVI03831 pep supercontig:CcrdV1:scaffold_442:160455:162825:1 gene:Ccrd_017865 transcript:KVI03831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGQNEFTGSIPEYISGFRGLRQLDLSSNNFSGLIPDDLSKLPLDKLNLSYNNLSGVLPNFGESKFGSDSFEGNPSLCGPPLKLCKQGSKGGLSSGAVAGLVISLMTGVVVFASLLIGYSQGKRKKNLDEEEEEFEDGEDDENGGGEGKLILFQGGEHLTLEDVLNATGQVMEKTSYGTIYKAKLADGGTIALRLLREGSCRDGGCCLPAIRQLGRIRHENLIPLRAFYQGKRGEKLRHKIALGIAKGLAHLHSSIETPITHGNVRSRNVLVDDFFVARLTDFGLYKLMIPAVADEIIAAAKTGGYKAPELQKMKNCNSRTDVYAFGILLLEILLGKKPGKNGGREGFVDLPAAVKVAVLEETTMEVFDVEVLKGIRSPMEDGVVQALKLAMGCCAPVASVRPSMEEVVKQLEENRPRNRSALYSPAETRSEIGTPF >KVI04124 pep supercontig:CcrdV1:scaffold_4421:46364:46660:1 gene:Ccrd_017570 transcript:KVI04124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGILRLPSLIANTKHFTKLQSLCNRNHSDVSKGYLAIYVGEIQKTRIVVPISFLEQPLFQDLLRKSEEEFGFHHPMGGLTIHCEEDVFMNLTYRLSVS >KVI04126 pep supercontig:CcrdV1:scaffold_4421:34279:35559:1 gene:Ccrd_017569 transcript:KVI04126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase MFTMTQPGSANRKPTVFFLFLAGVLIAFLFLSVIFTFSSSNSLPALSRLRSTDACNCNGNNPPRFNLGFDPPVLTFYDDPSFGYTFAKPIKNWDKKRREWLMLHPSFASGSEDRIFLVTGSQSLPCQNPKGDHFLLRFYRNKVDYSRIHGYDIFYNNVLLDPKMVGCWAKLSAVRAAMLAHPEAEWTWWIDEDAVFTDMDFKVPLERYKDQNFVVHGWPREVYEEKNWLGLNAGSFLIRNCQWSLDFLDAWADMGPQSKNHEKWRKILLTTFKHGADDQTALAYLLVKEREDQLRSKVHIEIGYYLEGYWLVIKDTLKNITDKYVDIEKGDKKLRRRHAELVSEKYAKVWEPHLKDAGYGYGSWRRPFVTHFAGCQPCNGKINPSFSRESCWEAMDKVLNYADNQVLRNYGFTHPDLSDPSVVSPL >KVI04125 pep supercontig:CcrdV1:scaffold_4421:14880:15176:1 gene:Ccrd_017567 transcript:KVI04125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAILMPRIIQANQILRRSLSNGSSNTYMAIPKGHFVVYVGEQEKKRFVVPVSLLSQPAFQELLYLAEEEFGYNHPMGGLTIPCSEDIFTDLASRLGAL >KVI04127 pep supercontig:CcrdV1:scaffold_4421:21282:28989:1 gene:Ccrd_017568 transcript:KVI04127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAILMPRIIQAKQILRRSLSNGSSNTYMAIPKGYFAVYVGEQEKKRFVVPVSLLSQPAFQELLYQTEEEFGYIHPMGGLTIPCNEDIFTDLASRIAYSNRVMS >KVH61721 pep supercontig:CcrdV1:scaffold_4422:10855:17202:-1 gene:Ccrd_025650 transcript:KVH61721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MPTLESRTLKDQNSGLNVHHAGCQQATRPSEKPSKRLGGLDAGWAAWHPRSFLFALLPLFDLLLDVPCNLLYMNWTPLVSLPYGLIIKSTIERYKKATSSTPNTWSSQEINAQFYQQESKKLRQQIQMLQNTNRHLMGEGLGCLNVKELKQLETRLERGISRIRSKKEIELEHHNAFLRSKVQIAESERVQQLNVNTGHEYNALQAYLARNALQLNIMEPMEDAPSAYSIPQKHSLHLG >KVH61723 pep supercontig:CcrdV1:scaffold_4422:28347:30299:-1 gene:Ccrd_025652 transcript:KVH61723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPRWRVRGGNPKEEQKEGWVLVGQGHPASNRRGLSSGSSLLAEMNGSEGGWILYFRAGRGVKAIREDCPPVRKRGKRRCHLSRPVPRGVGNPDRLRESLVLFSPSFRQQRIRLCDFSGPRGLYSTHSPVSRTAQVSVRLRMRTSFPSAHSXFTRFSKQRRKGGQQVPRALCPTHLSRSKKGEGQKSKLRNATSLISLW >KVH61724 pep supercontig:CcrdV1:scaffold_4422:31183:32786:-1 gene:Ccrd_025653 transcript:KVH61724 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-plastoquinone oxidoreductase, chain 5 subgroup MGFTIKLTLIWSWIITNDFSLEFGYLINPLTSIMSILITTVGILVLIYSDNYMSHDHGYLRFFVYMSFFSIISFDSSILTRSKGARMLYLSPGRDEYYMVAAGNSLALNQGIQEEQVVPARYRQXFLTIAWEQVHLRRVDRSSIRNCIGGKNPPESDFLRNVSRENLIWLDNVWLVNKDRFFRKVRNVSSNIQYDSTRSSFVQLRDSSQLKGSSDQSRDHFDSISNEDSEYHTFQRCLEDGPDXAERRPGSGFPTGGGGQETAISRHITTYRQHRQDLGRQPDWESEDP >KVH61722 pep supercontig:CcrdV1:scaffold_4422:26825:27784:-1 gene:Ccrd_025651 transcript:KVH61722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MEEGKLVSWVDETRSKIMGRGKIEIKRIENNTNRQVTFCKRRNGLLKKAYELSVLCDAEITLIVFSSRGRVYEYANNK >KVI12425 pep supercontig:CcrdV1:scaffold_4423:7246:8283:1 gene:Ccrd_009153 transcript:KVI12425 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAALIDGLPDAVALRCLARVPFYLYPRLELVSRSWRDAVRSMELYKAREEVKSTEEFLCVCAFDPENMWQLYDPNRDIWLTLPVLPSVVRNLAHFSVVSTAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWAYDPVVRRWAPRASMIVPRAMFACCVLDGKIVVAGGFTTCRKSISRAEIYNPEKDVWVSIPDLQHTHNSACMGLVIGGKMHVVHKGLTTVQVLDNGKQGWAVHEHSWVQGPMAVVKGSLYVMSHGLIYRQEKEARKVVISAFEFKKRIGFAMIGFRDDIYVIGGVIGPERWNCDIKKMSDVDVLTLGSERPVWRQAAPMTRCRGTILGCAELRI >KVI12422 pep supercontig:CcrdV1:scaffold_4423:9026:15827:1 gene:Ccrd_009154 transcript:KVI12422 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MEGDDSLLTTEDNNKEVVELEVAPALISVHPAHHSVAVAVGCELRVFNLREGCPVTLGAESGEVCHHKDSIRAIRYGAKGNVFVSAGDDKLVKIWTTDSWRCMYSVSTEKKVSAVAISDNGQFVCYADKFGVVWVVELDEFNENQALVTMFPKKPLDGAHEIQSYCLGHTEFVSCLAFVYNVDCPHGYLVSGSGDSTVRLWDFTSGLLLNTCDVGSEVGRLMANGTESNYFAITDLCATCDGSSICVAVQGIGTSLTSNFLWMVMGVSNLPGFDSSSLARVRIISGLTNCNSESGDQNHNILEDQQVPGGETLLQQLQGSLSIDKDVFSAAAEAVKTSMRNLLIKKQYSSEKREFRKRGRNDRKAKR >KVI12424 pep supercontig:CcrdV1:scaffold_4423:23705:27232:-1 gene:Ccrd_009156 transcript:KVI12424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVXVAQQDQFHSRSRGRNHGSFGSYPSRDFREINCRTYQSGSGILPIPLKARSPPITKQSSPNSPKTPSAAPSVVKKHFQSDLNPKHTQRITKSSSVPIPIDTKHVDYSVKVNIRGPENDNFFYSELWAGPAYSNSPPPSSLPIPKFSIKPKRTVSLDLPSVSASDIDLLTPLAKSAPPSPTREHHKSSRRDFFSSDDEFATKTLRRILNLDLVDE >KVI12421 pep supercontig:CcrdV1:scaffold_4423:18766:19694:1 gene:Ccrd_009155 transcript:KVI12421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF581 FQLLFHVFIVLVAKLKLALFLLFLFPLLNLEKRENLLIINQLHKPITTFLSLFHQIHLFINPMNPIPPIFLDPSMATTQNPTKPVSSFLGSPRFFNGFFMPKPLSDAESSSPTSILDTKQLFSTFGSNPFGFKQNPEKPTKISQKIKNPFAEKFESESENGIALALVQENPNHGICKPNAINRKVLFGSNLKIQIPLNGSSDDPCDFGIKTRGGFRIPGHPTSSGSGSSMGGLSLSEMELSEEYTRVICHGSNPKTTHIYDNCVVERCCDVVESDLELKPPLKRFLSFCYTCKKNLEDDSDIFMYRFV >KVI12426 pep supercontig:CcrdV1:scaffold_4423:40412:43030:-1 gene:Ccrd_009159 transcript:KVI12426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13 MSLVANEDFQHILRVQNTNVDGKQKIMFAMTSIKGIGRRFANIVCKKADVDMNKRKKDYKDGKYSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KVI12423 pep supercontig:CcrdV1:scaffold_4423:28994:32790:1 gene:Ccrd_009157 transcript:KVI12423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHPTPTKRNITIRYDSSSQPTATATATATACRQKKLRRLLHIFAKALEFPFYSDVDVSIQETSDSFKFVVDTDDDIGTDIATHTIEIIPGVTKIVVRGIRVFAIPINELELKSRDK >KVI12427 pep supercontig:CcrdV1:scaffold_4423:39124:40433:1 gene:Ccrd_009158 transcript:KVI12427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein MSQGQPRRPQAEEEEAIKYGDVFKVSGDLANKPITPQDAAALQAAETRALGRTQRGGPAAVMQSAATVNENRGVVGHHDVTSATKDQGVTVSDAEVAGHHIITESVVGQYVRTNTTASPAPAKTSGDLQVTIGEALEASALSAGEKPVEQSDAAAIQAAEIRATGRMQVTPGGIAAKAQAAASQNARTMSEEKKTKLGDVLTDASKLLPKDKPVTREDAEGVIGAEIRNQPELATYPGGVAASMAAAARLNQEK >KVH61633 pep supercontig:CcrdV1:scaffold_4424:14388:25124:-1 gene:Ccrd_025654 transcript:KVH61633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MPHRTTYIFPRQFPESGVITNSSLKSEDHEKKIISKENSRFMIDGDGERVTNTDVFGPTALLSERHRFSSCKSDRSHGKKKKQQLAAFVNWLVEKKTNSGGGSGSGHVKLNNNDVVLNDLLPATVKDIIDDDWHSHVSDVDFHHPTTRQSIGAIDRQISNESGHFSGVVRDHRSLGDRQVSLHRLSSDAGSSYAASLFSGTIATSSSAAFVKDSMTEETMGMREEEVVQVVGNSDGGGGDDGRRNLVQRWRESYYLQLALAKRLTHQATIGDEPTLIQGRGYGGGGGGPAVTCYDAESVSYRLWVNGTLSYNDKISDGFYNILGMDPYMWMMCNDSEEGKHLPSLLSLKAVNPSSTSMEVVLVDRYGDSRLRDLEDRAQELYFSAENHLMLAEKLGKLVASTMGGSFPIEQDDLHARWELASTRLRDLQNGILVPVGSLSVGLCRHRAILFKKLADYVGLPCRIARGCKYCVEDHRSSCLVKINDDKFTREYVMDLIGQPGNIYNPDSSINGDILSSVPSPFQSSHLKEVQQVYVDRASISQVKTIEGGPHVSDEEIGLNGEPKEMTCVPFDKNYRICEPIETPKVIFGQSEQLKVESDGKCRGNFPVTTPRYLTLEPSLAMDWLEIAWDELHIKERIGAGSFGTVHRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVSIMKRVRHPNVVLFMGAVTVRPHFSIVTEYLPRQRASIIFIPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLSPAQVVGAVAFQNRKLTIPINTSPALTSLMESCWADDPTQRPAFRSIVNSLKRLLKSPTQMGGP >KVH61352 pep supercontig:CcrdV1:scaffold_4428:15712:18020:-1 gene:Ccrd_025655 transcript:KVH61352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MSGGMKPKLVPNCIRSDNYMITFEVEEEKPLANDIKIIFQKFTFVKKLEEDIISIPKNGFQFISVGLVHSRVNDDTILSDYVANGVHFIENTDEKKRRDEDMLLERMMINDLLCATWDKDMKVPFIIVRRTITSIVSSLGWFYKECKACYKQLTTIDGGYFCGNCKAESEFPLVL >KVH61297 pep supercontig:CcrdV1:scaffold_4429:26728:36053:-1 gene:Ccrd_025657 transcript:KVH61297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIKLNDGDRCLDIADTPAPTSEEPHVNTTTEKMKKKRVASVDIFRGFTVALMILVDDAGGEWPMIAHAPWNGCNLADFVMPFFLFIVGMSIALALKYSCIVIGGFSHAPDKLSYGVDMKNIRWCGILQRIALAYFVVGLLEIATQRTHAEELPPGCLSIYKLYIWHWILGACVIIVYLAVIYGTYVPNWQFTVHNTDSADYGKILTVACDVRGKLDPPCNAVGYIDRQVLGINHMYPHPAWKRSKGHSSRLRHWIMMGLGLVVLGIVLHFTDAIPLNKQLYTFSYVCVTSGAAALVFSFFYIMVDIWTLRYLFLPLEWIGKNAMLVYVMAAEGIFAGFIYWIQKHIFFGVWHSRRVGILLYVIFAEIMFWVIISGILDWLGIYWKL >KVI07163 pep supercontig:CcrdV1:scaffold_443:146108:153048:-1 gene:Ccrd_014475 transcript:KVI07163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRFSADFFSSSASSQSLQTLDFLRFPPPQLSSPDLFNFDNLSCFDQVTSLYISPQIERFSVSEALSKFFSDVLPQDINAEIDQQIAREVTSEKQIDDNSDGAANNNFTLWIHQARWTAAPAFLELLDFSLEVQQLMDTHKSIFSVEDFCLEFQEEHESDVFENGSLVQGQISSKLRTYPLLEVDETSLGISSYISKDKHLIFESNEPQKWMQKDESTCDAKELLLSMEFDIWEHFLNHSLVPYLKFEIPCGNFAPEVDLRNALEYSMTDGKQESHCELVDGFSSLTLSPFAFEQLQFFDTKTSHCSEMFFDTEIISDVEYCEQMFGDTTLSTFNSLIVTHELILRDDSFKSLPVPIISDQEKILSIQVFVDEMLQKLKMQASSASDGIYLDWHLLEEDIYTRNRSSFKMFEDIDTYCIEVDMDSCNSRMLTLEFVLSDACSDEKNTEENTEVLNIQMNSPSSGNSMDHVCHDGITSSKLNDICQKMESGEDLLDNKVNKAHQLVESTSQFNDLDFLLNPLEVTCLKKQRPADKRLEMDYALPMISAKGPIETHDTCEKQWQSLENELHSREDLHSTSPLSKRSKNSEGLLCSTPVAEKNTMGSMKATYEVMTSSLHLHVPQQPSALDSKKMSTNMPSLPDAVIVVNTQNVDTEMIVSRRSTYQRILAMEKEGLQVVERDLNLPVDVIVSAAVCLVLYDSKNIRRKTSLDGVSAFLSSCVENIAANVLTSLSFAFSSCILIFEGEVGFLASIMESSDGLYAAASSLGIDLQLFCSYSSEITDEIILKCIAHAAKSTRGLYPKMPESETLAESFLTKFPSINPLSAHAILSSVGTLVEFLEMSHQQRVCAVQKYLVPDASTTLFSALCRYGEREDSRSGMTDCCSSVSEGHDSGNCCPKSDHEKKKRKYVSSPEARAMSMDYLFQVEQNNDVTCDPPKTANSHSYWNLEAEEISDDIVKSNTAFDEIYFGENQRSALGIMLNPSSLAKPCNIRMSEAPEVSGEINLPDMTVIETSFGQSKKVHMPTVNKLGSHTDNNSKGLHEGFKGEVIDIDDDAMAGEDFSLVHSVCFSPRIEKGSAPGVSRTTSKLSSGWCSLPSFPSAADINSDLDFWIDTKHNGQSSREEITLNSHADLMNNGIPLEQLQISSEECLLVNSPVDSCRPSFKGKDPHYGRTPLSKAIFSAQPQKGSPWTIDFLNRIKEKSRLRQQSVPCISSAPCFGYSGNSSKFRKRKSPSILDFYRYQRSSTVQSMEHKGQKVPIQPSNSSKSVNTSPSSSQTWTPIDKRAKRWVFVCKSYIKFFYLDTEANFCHQWKQGPKQANLE >KVI07159 pep supercontig:CcrdV1:scaffold_443:162466:163158:-1 gene:Ccrd_014477 transcript:KVI07159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MAQKVILKVLTMTDDKTKQKAIEAAADIYGVDSIAADLKDQKLTVIGEMDTVAVVKKLKKVGKVDIISVGPAKEPEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >KVI07169 pep supercontig:CcrdV1:scaffold_443:182582:184080:-1 gene:Ccrd_014482 transcript:KVI07169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MVRHKRPKDSFSPPYNLFFPPTETLISRISLPSSSSSFCTFRLDVIMANAASGMAVDDECKLKFLELKARRTYRFITYKIEDQQVIVDKIGGTDQTYEDFTNSLPADECRYAVFDFDFTTDENCQKSKIFFIAWSPDTSKVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKSRAI >KVI07171 pep supercontig:CcrdV1:scaffold_443:119780:121663:-1 gene:Ccrd_014473 transcript:KVI07171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MAAEEAQKVEVEPECPPEPPPAAAEVEEKAIVPLEPPPVPQENPVDDSKALVPVEKPTEPSKDKPAEGSVNRDAVLARVSTEKKDALIKAWEESEKSKAENKAQQKLSAIGAWENSKKADLEADLKKIEENLEKKKAKYIEKQKNKIALLHKQAEEKRAMTEAKRGEDLLKAEEVAAKCRATGTTPKIGWFS >KVI07162 pep supercontig:CcrdV1:scaffold_443:172263:172712:1 gene:Ccrd_014479 transcript:KVI07162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MPVHHYWPVRMDGKCRSIKFAVDWGNNHKQKAQRIGRAGSRFIQEDLKMDHVYDYMFHLLNGYSKLLKYKPVVPRNAIEICSETMACNSEGIAKRFMKESIVKGPADFRPCTMPPPYDPQTLNSILERKMNSIKQVEKRENEFFGEHKF >KVI07168 pep supercontig:CcrdV1:scaffold_443:172919:173406:-1 gene:Ccrd_014480 transcript:KVI07168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRIVDHQLPSDTTKSHSTKTPSPQQLQTHPPSSTPGSPAPNASTPIAFTVSLSASMLSGVLNTPGTSTLRRPSMSHLPNPPFPYNPWISRNFPRINYLVVAMTADLRWLGEIQYGLRELRNSGLKDLTSAEEYYDEQMG >KVI07175 pep supercontig:CcrdV1:scaffold_443:5218:6997:1 gene:Ccrd_014462 transcript:KVI07175 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MMMDYITSFLDLPFPLFVLSTVVFLIFIVKQTKLLSSSNVKNLPPGPPKLPIIGNLHQAGDKPHVVTADLAKQYGPLISLQLGQQCLVVASTPEVAMAILKTQDRLLSSRVVPNAFQQESLIPHSLIWSDCNQTWKTLRTLCRTEMFSAKALGSQSRLREEKIGKLLDFLQQKEGQTINTEDVVFTTLFNTLTSIIFGQDLLDLKDEHGALDGLKESIHKIIEYGGRVKDIGAFFPVLDRFDLQGIRKGTMRLYKKTFVYWKHIVEERRALVNSSTWSSEQAECFLDRLLENGFSNDQIDQLITELFVAGTNTTTSSVVWAMTELVKHKEVVSKIVEEMEREIKSDRITHSQLSKLTYLQATIKEAMRLHPPVPLLLPHRAAETCEVMNYTIPKDAKVLVNLWAMGRDPNVWDDPLSFKPERFIGSKIDYKGQDFELLPFGSGRRMCPGLPSGMMSVELVLASLIHEFDWVLPDGGDPLKIDMNDKFGIALKRENPLKLIFKHK >KVI07167 pep supercontig:CcrdV1:scaffold_443:175000:175641:-1 gene:Ccrd_014481 transcript:KVI07167 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MMMSIVDHELPDYTHNLYDVTFYENTISTIVTNTPSYVDSWIAEIERIHRRHLHRLIVGLDVEWRPNQSRNSDNPVAILQLCVGKRCLVFQILHSPTVPESLKNFLRNPSYTFAGVGIESDVEKLTVDYNLVVANMADVRALAAVKYGVRELQNAGLKSLTMTVLGKEISKPKGVTMSRWDNEWLTPAQVQYASIDAFLSFKIGRTVISGNQN >KVI07174 pep supercontig:CcrdV1:scaffold_443:28611:29927:1 gene:Ccrd_014465 transcript:KVI07174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANRASKSCRWTNDRHLHFLKSVEATFVRTVLEDGGGGGRLLRMDRYLPDSCESTLDSKTTSCMKRRKRHFPSDNLETSIRVRRLRLHPFYPSSQDDQVVPEIKPANINDKKWSNSSSSSS >KVI07173 pep supercontig:CcrdV1:scaffold_443:34110:44127:-1 gene:Ccrd_014466 transcript:KVI07173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase inhibitor 2 (IPP-2) MVPSPVSETSRHKRPLEYGSEGYKTRSKSIETSKFESPQRTSKRLAGVDAPPPSPPEPKTRQATKLAGIKIEAFDAPSPSPPEPITRQATRLAGIKTEAPPPPPPEPKTRHATQLAGIKIDASPPSLSEPKTRQARRLAGMDIDVSPPSLPEPKPRPARRLVEMETDAAPPASPPEPKTRQAAQVVGTEIGAPPLPEPKTRQAARLAGTEIGAPPLLESKTRQATRLAGTEVGAPQPPEPKTRQAKQLAGTEVGAPQPPXEPKTRQAKQLAGTEVGAPQPPEPKTRQAKQLAGTEVVAPPRPEPKTRQATRLAGTEVGAPPLTEPKTRQATRQARTEVGAPPSREPKTRHATRLAGMEIDASPLSLPEPKTRKATRLAGIRIDASPPLPEPKTRKATRLSGMKTDSPPEPRTGRQDTVRRAREAETRTAVKLGTPKENEKIAEVEKRTSDEMQVECVRKITEKHDGNAGMDKKPNCKQEISFLSPPTTLESHEKIKKDDFKADEKQEFVFSPKEHHNVIEMGPAALPPVSHTTPKEQVPPTVVPPLDLGIQINDKHHEKQESPVVNPSINNPIQQDGNAKPESSSMNFCLNDFWTDPCIEFAVKTLTGAIPFGDLNKANNFAATPLEVPLEELWTDPCIEFAVKTLTGAIPVGEEDYLQHQPSSSRSESRTIQSFSLPDVGMNFCQTEVLSKHFETVHNKQQQQQQQQQQDSVVLQKPGVGQLSKTNELNGSYRFKSIFVKKENRANRYFRESIFRATTTTGECLAAVSTAQSFPFASVAQILAKFRSQVNKRRYEGYNPSMMRLSTWVDLEMVRKGVRWDEANLGEIEANKPVRQKITEPKTPYHPMVDVDGSLSPIRGSEGDSSVHAEAIRSALNDVASSSSSSNNLRRSGWTSSEDEADYMDHDDEDSETGKSSAWFREQRRAHYDEFRKVKEMQQRKRGSLNEDESLSSDEDGGEKRNGKCGSSSSLATGLEDIDITDAAAAAEMRK >KVI07161 pep supercontig:CcrdV1:scaffold_443:171103:171940:1 gene:Ccrd_014478 transcript:KVI07161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein PVTQISEASIHRHKTEFPLNCSPLNLTQTCPLHYYPKTFQIHHQKYKSVTCPEFFHWIHEDLRPWTETGITEEMVERAKSKASFRLVILKGRAYVERYKKPPQTRDLFTLWGFLQLLRRYPGKVPDLDLIFDCFDYPLVEKKDHLLVAPPPLFRYCGDDDTFDILFRDWSFWGWPEINIKPWESLLKDLDQGNKRTKWIGRDPYAYWKGNPFVAKHRKDLLKCNVSNTKDWNARLYVQVLILITPFHRDHWF >KVI07178 pep supercontig:CcrdV1:scaffold_443:20167:21881:-1 gene:Ccrd_014464 transcript:KVI07178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24e, conserved site-containing protein MVLKTELCRFSGQKIYPGRGIRFIRSDSQVFLFANSKCKRYFHNKLKPSKLTWTAMFRKQHKKDIAQEAVRKRRRATKKPYSRAIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVVSKQKTQGKGGNVPKGKGPKLGGGGGKR >KVI07166 pep supercontig:CcrdV1:scaffold_443:202022:204338:-1 gene:Ccrd_014484 transcript:KVI07166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLTGLLKNTADKFPDRRAISVSGKFDITHSRLNQLVEHAASSLVAAGVKPRDVVALTFPNTVEYLIMFLGVIRVRATAAPLNQAYTAEEFQFYLSDSESKLLLTAKEGNEAAETAASKIGIPHVTAALSDVESRIQLSAVSESHSDCSAVKIVNEPSDVALFLHTSGTTSRPKGVPLTQLNLASSVNNIKSVYKLTESDSTVIVLPLFHVHGLLAGLLSSLGAGASVTLPSAGRFSASTFWSDMIKYNATWYTAVPTIHQIILDRHLTKPEPSYPKLRFIRSCSASLAPSILARLEEAFHAPVLEAYAMTEATHLMASNPLPEDGPHVPGSVGKPVGQEMAILDENGVEQKAGSNGEVCIRGPNVTSGYKNNPEANKSAFLFGWFHTGDIGYFDSDGYMHLVGRIKELINRGGEKISPIEVDAVLLSHPDVAQAVCFGVPDDKYGEEINCAVIAREGSNLDEEEVLRFCTKNLAAFKVPKKVFITDSVPKTATGKIQRRIVAEHFLTRISTAKVPKFGA >KVI07165 pep supercontig:CcrdV1:scaffold_443:111079:118491:1 gene:Ccrd_014472 transcript:KVI07165 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold MSQTHSTCLCRCGILTVEIEHVDADTLEKLEKQGVDCQPKASTIRIIQDKFLQKVHFSRHGIPLPKFMQVCIIKEYIKPFSLHLRKIIYITLVVWQQNLLGQIDDQESAKRAGHQFGYPLMVKSRRLAYDGRGNAVAKSEEELTSAINALGGYGHGLYAEQWAPFVKELAVIVARGRDDSILCYPVVETVHRQVDVLMENICHIVKAPANDSWKIMKLATDIASRAVASLEGAGVFAVELFLTEDGEILLNEVAPRPHNSGHHTIEACYTSQYEQHLRAVVGLPLGDPSMKAPAAIMYNILGEDEGEPGFIMAHQLISRALCTPGASIHWYDKPDMRKQRKMGHITVVGPSMGIVETRVKSFLKEKADESPVAPRVGIIMGSDSDLPVMKEAAKVLEEFDVSVEVRIVSAHRTPELMFSYATSARGRGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASALDGLDSLLSIVQMPRGVPVATVAINNATNAGLLAVRMLGVTDTTLQARMIQFQEDARDTVLEKTERLEKVGW >KVI07157 pep supercontig:CcrdV1:scaffold_443:13910:16226:-1 gene:Ccrd_014463 transcript:KVI07157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MISGDDLERHGRPKSSNRTENYSSSSYAHTETYWTSWLIPVFVIANIAVFVVLMYINNCPKHNHSRIYGKCVARFLGRFSFQPLRENPLFGASSNTLEKLGALQWWKIVHGHQGWRLVTANWLHAGLIHLVANMLSLVLIGIRLEQQFGFLCIGVIYLLSGFGGSILSSLFIQRNISVGASGALFGLLGTMLSELITNWTIYTNKAAALLTLIVMVVVNLAVGILPFVDNFAHIGGFLTGFLLGFVLLPRPQFGWFERHNLPADVRVKSKYKAYQYVLGLLALCLLVAGFTVGLVMLFRGEQGYKHCDWCHYLNCVPTSKWECNNS >KVI07176 pep supercontig:CcrdV1:scaffold_443:85623:86747:1 gene:Ccrd_014469 transcript:KVI07176 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MKNFSSESDPILPSQIPDQEERSSAKCEWDFRISAVISSFVTDGPISDTLGVIEVNSSGTLFATGGIARKIRVYSLSSLLSISPSGAEEPPLVDHATACLFCICTPAKLSSLKWKPGFAGRVMGSADYDGVVTEYDLETKMSIFERDEHNGRRVWSMDYSHWDPVVGASGSDDGTMQMWDPRHDGGKCVATVQLGSPVCCVEFCPFGGAMLAVGCADRKAYVYDVRKMDKAVAVFNGHERTVSYTRFLDDRTVMTSGTDGCLKMWDVENQRLIRTYRGHTNQRRFVGLSIWRNEGLIGCGSESNEMFVYDKRWGEPIWVHGFEPEGGTRRSEYGFVSSICWSQQGEDECTLVGGGSDGVVKTFSGKRKPLINLR >KVI07172 pep supercontig:CcrdV1:scaffold_443:51044:52605:-1 gene:Ccrd_014467 transcript:KVI07172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELFETLKEAITTYTGLSPTTFFTVVALGLAVYYAVSGIFGGSSDHHPQHRPRSFEEDMQPLPPPVQLGEISEEDLKAYDGNDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLNGDLTGLGVFELEALQDWEYKFMSKYVKVGSIKNPEAAPEPSTTDQPPEPSAVEPAEPSAAAPAEPSAAAPAEPSAAAPAEPSAAEPAEPSAAEPAHHVSQSSEDHAPSETAAVETKEDTTSEANKTE >KVI07160 pep supercontig:CcrdV1:scaffold_443:100240:101202:1 gene:Ccrd_014470 transcript:KVI07160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTHEEQVILTLHGMLGNKWSQMSRLLPGRSDNEIKYHWHSYLKKNYDNLENVQAHPMSSDTLDEASSSASSLKPTRGGSNLKSS >KVI07170 pep supercontig:CcrdV1:scaffold_443:104084:105745:1 gene:Ccrd_014471 transcript:KVI07170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRCKPSEKPKLKHRKGLWSPDEDQKLRDYVVNYGHGCWSAVPINAATSTDITLIRWSLISQHLPGRTDNEIKNHWHSYLKKQVAKSENLEAQPKPEYTNGHTESSSSYMNSITRNTSFDSSDLANRSSADAGQPAPPAQINKLPKILFADWLSLEEFHGHQSSACKDSISNGTSNYQETPVHGLLSNEGSTDSSEAVNCLSDYSNED >KVI07158 pep supercontig:CcrdV1:scaffold_443:139845:144126:1 gene:Ccrd_014474 transcript:KVI07158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSGRWKTQNSKKVMFQMQFQATQVPKLKGKGLMISLIPADIGKPTARLEKTAIVEGTCTWESPIYEMVKLVKHQKTETYKEKIYYFNVATGSSKAGFVGEVFIQKMQRTDEQRESEENESLQAESFRSKNQASDGSENENILDFAEDDYISRVNSQNNRDPRLENNPKFQEHTAVRRNPNPVNKKGDALRAENHVQQRSSTDWSLGSLSDRSMADLLNSPEHNYQDQTNGYAEASKNAVEVLKSDVTRLERQAELSELELESLRKQMLKENKRGQDLSRKIAELKEEKEYLKTECDQLKSSHKHMYKAVPRLSESPEVLKVLLDEVREELKREKDLNKSLSLHLEETEESNSKLFLAVKDLDRMVEEKNSEMSDLSEKLKESQMAKEAIACKRDHEKEKIEGLYAEIELQKKEKEELKIHIEDISVDYKLLLQENDDISCKLEQTQIEQMEMQSEYSNSLATIKQYELQVKRIEEKIMNQTSELSQSLDMITELETHVKGLEKELDKQGHDFEDDVQDLMKAKIEQEQRVVEAEEALRKTRLANAIAAENLQEELRRLSVEMTSKLDEKEKLASEAIAEAQNLQLQMRDLQEALEKSRQEFSLTKDIQEANNCDFIRDAEAETPREWIRERELLVTELASLKKETEKLQKESTTWTSLMSEKNVMIRSMQSELKMLRGDHNELRQRLLVIELEKSNLQKEVSRLEHNLRKKEEATTTMDQPKHLNLLKESNVPCDVSITTNKHDVSRSTLESAKSNERRRCSQKEQSVPTSHYNDEQNLNRLLSEISTLDERNKHMESELKEMQEKYLEISLRFAEVEGERQQLVMTLRNLRNGKKK >KVI07177 pep supercontig:CcrdV1:scaffold_443:56912:60412:-1 gene:Ccrd_014468 transcript:KVI07177 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEEDGPDAKKWEDLDIDILVKIFQTFDIFELTSGIARVCSTWRYAACDPLLWRTLDLSMLKSNFIKIPLEPYVYVDGRSDKTLTRVLKIALNLSRGNISTLIFHFNLYVSDDQLTYTAERCPRLKRLVLPAWNRIKRSGICKAISMWQDLESLTMPSIANPPYIMEEISKNCKKFSELKIMGPCDMFFAHTLANCLPNLKVLSLRCSMLFKDALLIILDGLKHLEVLNISHCILIEVPPPPAPRKVLKELDESILEKACGIRELVTCMDEVCVMCRRTRLDEGLMRWYKYEEGLWKEDEIKCLAI >KVI07164 pep supercontig:CcrdV1:scaffold_443:157216:162463:1 gene:Ccrd_014476 transcript:KVI07164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFSIAQIGHKVVQGISCYCGISRSLCSNGNGFSDGFRRIEKYLTGCQKSSNGNFVLNENHEESIDSVGTSGRYEEDEDHQQNVFNRASFLRNAKVGAAMALKVLQQDGPGFDAKTALDKLEIQVSGLLVREVLIGILKNINHANKDRCAKLGYKFFVWSGQKQNYNHTVHTYHLIMQIFSESEEYKAMWRLVDEMTEKGYPVTSCTFNILICTCGEAGVAKKVVERFIKSKSFNFRPFSHSFNAILHSLLAVNQYKLIEWVYQQMLADGHQPDAFTYNILMYTKYRLGKLGQFHRLLDEMGRSGFPPDFHTFNILLHILGKGDKPAAAVDLLNHMKDVGIEPNVLHFTTLIDGLSRAGNMDACKYFFDEMIKQGCEPDVVSYTVMITGHIAVRQFEKAEEMFSEMFDNGKIPNVYTYNAMIRGLCMAEKFEYACLMLKEMESRGCNPNFLVYCTLVSYLRNAGKLSEAHELNDDLSPLHSLQFGGGMGGLQDSQVQLPNSLVFLRLLRICFS >KVI00872 pep supercontig:CcrdV1:scaffold_4431:26814:29610:1 gene:Ccrd_020870 transcript:KVI00872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRTEPVFVTPNGRTLTRQALQFLATQSVVCREILNGWSMVLNREERLQSHDTLQDAISIPWTFRCAQMDRIIKDQALDVHQRYESFKNNIPSCTINDKELISMRNIDLVFLLIVEESFFYIIVFDLKPPSIVIIDSKDWDGTVDNIYGSSTVVLQDMMIMHLLREGHDAGKVYAEMEQDQIRTRWQSRESLVDCGVMLMRRMETYFGGDGGKWDCGLYKERTKQKRQLKDLRTKYYSKILLIDENIRKTSIITDVELFIAMETSYNAKKKRVATRIGRGKEICSRLWSIFREGQHLLDEFAGKNVLAASNTIYVGQ >KVI00873 pep supercontig:CcrdV1:scaffold_4431:2200:11418:-1 gene:Ccrd_020869 transcript:KVI00873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MWHASSFFQLYFKSTGIYNMQWQNAWIIPAFWQVLSFSLLCVICALWAPSHNSMRSNRGGWRSKEAEDIKALEIPACTLNPVSYIGLFEKPSSSKYKPDAGVEDEGTSPVFSKKGLLAGLTGLFSKQIKRIERLRPPAWGDTITFTIDEPGKTEINQARKNKIESLRDRDGYLKSRDREVEDGRRRKDREVGDGRRCTAMESLREIG >KVH61067 pep supercontig:CcrdV1:scaffold_4432:3:6326:-1 gene:Ccrd_025658 transcript:KVH61067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAGTLRSSLPSRLRQLLSGEGPIGPNVKLDSETPPKVKAFIDKVIQCPLQDIAIPLSGFHWEYGKGNFHHWRPLFMHFDTYFKTYLSSRKDLLLADTLEDDTPFPKQSVLHILRVMHIILENCHNKSSFDGLEHFKLLLASTDPDILIGTLETLSALVKINPSKLHASGKLVGCGSINSCLLSLAQGWGSKEEGLGLYSCVMLNERTQDEGLSLFPSDVQTESDNSQNRVGSTLYFELHGTNXPSTGDXGDMITSTSTSIIHIPNLHLHKEDDISLMKMLIEQYIIPPEHRFSLLSRVRYAHAFRSSRICRLYSKICLLAFIVLVQSSDSHDELVSFFANEPEYTNELIRLVKSEDTIPGTIRTLAMHALGSQLAAYSSSHERARILSGSSISFAGGNRMILLNVLQRAISSLNNSSDPSSIAFVEALLQFYLLHVISTSSSGSVIRGSGMVPTFLPLLEDSDPSHMHLVCLAVKTLQKLMDYSNSAVTLFKDLGGVELLTNRLQIEVVRVIGSEIGDDSSMSIGESSSTNVDWLYSQKRLIRVLLKALGSATYAPANSTRPQGAHDVSLPATLSMIFENVDKFGGDIYSAAVTVMSEMIHKDPTCYGVLEELGLPDAFLESVKAGILPSSKALTCVPNGIGAICLNTKGLEAVREGSALRFLVDIFTAKKYVLAMNDGIVPLANAVEELLRHVSPLRGTGVDMIIEIVNKIASIEDCKGRGQLGKVNESNAMDMDIEDKENVGXCLVVASDSASEGIGDEQFIQLCIFHVMVLVHRTMENAETCRLFVEKVGIEALLKLLLRPSITQSSEGMSIALHSTMVFKSFTQHHSAPLARAFCSALRDYLKTTLMGFSALSGSFLLDPRVTPDAGVFPSLFLVEFLLFLAASKDNRWVTALLQEFGNDSKDVLEDIGRTHREILWQIALVEDAKFEIEDGSAGSABGSRHLESNTSEXEEQRFNSFRQFLDPIPRRRMSGWSFESQFFDLITLYRDLTHASGLSQRQSTDGPSALHLGGSHQSQPSGSSDAAGASXPKEADKQRSYYSSCCDMMRSLSLHIMHLFQELGKVMLLPSRRRDDMVTVTPXSRXVASTFASITLDHMNFEGHVKPSGSVASWSPKCRYLGKVIDFIDGILLEKPDXCNPVLLNCLYGRGVLQSVLTTFEATSEL >KVI03153 pep supercontig:CcrdV1:scaffold_4433:11514:16332:1 gene:Ccrd_018552 transcript:KVI03153 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MPLSNQGWKPFVEPTSTSSSLPESSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDTSSFTDIFDVDHFVNVLKDDISIVSELPDEYAWSTREYYASAIRSTRVKNAPLHASANWYLENVSPVLESYGIAAISPFSHRLAFDNMPIEIQRLRCKVNFEALVFVSHVRSLGEALVSRLRYPIVESIDAVGTKYLRQVVDTKDGHGAGKFVSLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQTIWQGRVMNSRFTNEELRNQGRCPLTPEEIGLLLAALGFDNTTRLYLASHKVYGGEARIAALRQLFPYMEDKKSLASSAERAQINGKASLSAAVDYYVSMHSDIFISASPGNMHNAMVRIIAIYRQNLIGSRQNIF >KVH95009 pep supercontig:CcrdV1:scaffold_4434:25577:26941:1 gene:Ccrd_002922 transcript:KVH95009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MVAFASIACLSSNNGSPRTPKKQPTNDPLGILAFEAAKIVSRLISLYKSLSDSEISKLRKEIFKSKGVVYLNSDDEGHLLKLAYTEKMENLDKAAAAVARLARKCSDLMLSGFDTVYSDIKSGKMDLKKFDYGSGKTKKEIRMLKKFLSTTLYLYGSMKTFHGLEMSEKKLNFPVLLKYMNFPAIDLKITPPYRKQSRHVNNTNLWTQPFDKVVRLMSRVVCVIYARICVVFGPYVLDLPTGYSSGQRLVTDHIPSEYWILEPRNGNRSPRSRLIRSKSKVIKHFVRSNGRKILPAGGCKTNHLFLTAGPETVGGSRLQILYANLIMMAEESMKRRRAKDEMRDAMYKMLPDNLKAMVKMKIRKLKEDNNGGKEKMKEALHKIFVWLVPMAHNTLVWQRERRVDMTSLETKPPVLLLQTLHFSDKEKVEAAIAEVLAGLSLIYMPDNRREPAAA >KVH95008 pep supercontig:CcrdV1:scaffold_4434:32252:36503:1 gene:Ccrd_002923 transcript:KVH95008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin/actin-like conserved site-containing protein MVQRSPEFQDLVKMADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDFEQELETSKTSSAVEKSFELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KVH61066 pep supercontig:CcrdV1:scaffold_4436:34466:34927:-1 gene:Ccrd_025659 transcript:KVH61066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF688 MAVDDSFKKPGAVPFKWEIRPGVPKLPEHDQPTPSNHHLKPPPATPTPLFRFPSSPWTTQSNGFCFDNPIMVGGPEVVSSSGCFPSRKRDQRKTNKLHVYKSDLDTLSQWSMSTQKSPSPFRDSPFSSSSFSSSYLSSPWMVSDVEWAGFGLF >KVH95029 pep supercontig:CcrdV1:scaffold_4437:38655:39326:-1 gene:Ccrd_002902 transcript:KVH95029 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, NADP-binding MATIAKTITPSNTRLGWISTGIMGQSICSYLIKAGYTLTVACLPCTMATIAETITPSNTRLRWINTGIMGQSICSYLIKAASSHHLVTSQPDIVFSIVGYPSNVCHVLLHPTSGALSVLLPNGILVDITTSEPSLAVKIANSPARKSCFSINAPVSSVDRGACDVVLSIFADNDESTVKRIFSLLGKINYMVVQVKGNLQN >KVI11784 pep supercontig:CcrdV1:scaffold_4439:40061:41658:-1 gene:Ccrd_009802 transcript:KVI11784 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEDITTGNHNNGGSRPERHVAVFAFPFSSHPPLLLTLVRRLASASPTVVFSFFNTPDSNRSLFSDFSCHNIKPFDISDGIPEGYVFLGKPQEAINLFLAVAEEELRKAVKVATADIGLKVSCLVVDAFFWFSGDMAEEMNIPWVAFWTAGACSLSAHFYTDLIRSKSSELLKGSSAVPNEDEIVDFVPGFPGIRLSDLPSGVLFGNLESPFSTTLHKMGRNISRATAVPINSFQQLDPDLTRNLTSELNNFLNIGPFNLITSKQNPPSKVDEFSCISWLESQKPRSVAYISFGTVCRPLPHELVAIAEALEETKTPFLWSINNDSKKHFLEGFLERTAANGSGKVVPWAPQVQVLEHIAIGVFVTHGGWNSVLESIGAGVPMICRPFFGDQQINTWMIEEVWGIGVRIEGGSFTKRGTRSALEQVLSLDGSRTRMNERIEPLKELAHMAVEPNGSSDQDFKTLVDVVTTAA >KVI11786 pep supercontig:CcrdV1:scaffold_4439:11894:16844:-1 gene:Ccrd_009800 transcript:KVI11786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGLGTPFVGQESEKRIISYSKDEILGEFKKQVYLAGPLMTVNLLICGLSMISVMFVGHLGELALSGASMATSFASVTGTSLMIGMGSALDTFCGQSYGAKRYHLLGIHMQRAMIVLLSVSIPLAFIWAYAGYLLVFLGQDPQISAEAGLYARFMIPSLFANALLQCFVRFLQAQNNVVPMMLSTGITTLLHILVCWIMVFKSGLGNRGAALANAISLWINVLLLAVYVRVSPSLEIWSFEMMVLLSGLLPNPQLETSVLSISLNTCSMIYMIPLGLSSATRSLTMKKGSTSSGNFASKTVGTIGIISADPVETTVTFNGGKGVGSFETKSRVSYGDKQSGCYGRKTTKEKASAGEFQWKNGTSGTKSEYKKSSTVRVGNKSGYTEIYSEQRVRDVSYNKSKYLIEYDNGDNGYVSDY >KVI11783 pep supercontig:CcrdV1:scaffold_4439:7172:8921:1 gene:Ccrd_009799 transcript:KVI11783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase MSPSSSSVGVSSKVDVFGIGEHEIKVVKVAEDASTPRPLLIFTPSEAGEFPVLVLLHGFLLYNSFYSQLSHHIASHGFILVVPQLYSVTGPDATKEIECVVAISNWLPEGLQKFLPSGVHADLTKLGLAGHSRGGKVAFAVALNKLHTKLNSKFSALIGIDPVDGMDMGKQTQPKVLTYVPHSFNLEIPVMVIGSGLGEIRKNPLFPACAPKGVNHEDFYNECCKPACYFVVKDYGHLDMLDDETKGIRGKVTHCLCKNGKSREPMRRFVGVAVVAFLKAYLEGDCGYLTAIKDEANGLVVLQKVDFQL >KVI11785 pep supercontig:CcrdV1:scaffold_4439:35209:36593:1 gene:Ccrd_009801 transcript:KVI11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase MSHSSSVGVSSKIDVFGIGEHEIKVVKVADDATTPKSLLIFTPLEAGEFPVLLREPWNMEQLHLCTGSDATEEIEDVVAISNWLPEGLQKFLPSGVHADLKKLGLAGHNKGGKEAFAIALNKFHTKLNLKFSALIGIDPVDGTEVGKQTQPKILTYVPHSFNLGMPVMVIGSGLGEIKRNPLFPACAPKGVSHEEFYKECRKPACYFVVKDYGHLDVLDDETKGIVGKFANCMCKNGKSREPMRRFVGGAVVAFLKAYFEGDFGYLMTIKDEANGLVVLQKVDFQL >KVH94942 pep supercontig:CcrdV1:scaffold_444:182160:190694:1 gene:Ccrd_002994 transcript:KVH94942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core HSLYKETTPFFSDPSPDTILSAILSTKLPNSLTMAPKAEKKPAEKKPVAEKAPKPKAEKKLPKDASATDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEVGLALAVNAVPLTSEKVKKYGRSNDYTLNVKENLLVFGDPLLPFIPEGAGCSSLNSVWDHLLDCSFQKFVEMVVLPFLHELHPEKKPAAEKAPAEKKPKAEKKLPKDASTAGADKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KVH94946 pep supercontig:CcrdV1:scaffold_444:105216:113132:-1 gene:Ccrd_002990 transcript:KVH94946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLWDPPNHPATEEINCRNDGCQCGLSLAYDEIEEKGLNEKSCIQVLRILISKADADIIELEEELMDLLSQLACTDEEFSNTLSMFLRVKIDILDLSIRKLKDDAGDFLSTSNTSIVESSNYQVHADSLFGEKKQMGSSSNVKQIKRVIITALEKHTISQTRVKIEEENANYPESTNNFGAPSLNNVHCMTPVSKYSALGLCQGSMEKINRELNKFQKESRTMTKDVLQNMTPRCNSVCKKPSLETQGKWKHQLKTIKCQLYKHTPALSCVLEDDIPCTAKLEVAYHIMTDDESIGSRSVSLEESSEAPNAKPNIIRESVFSLDNRDKSNSISLEARSRPDDSLVDREQNAPDTLLELSDHRLHTQDDSGPKRKQRFQTKLVSKHNVDDGNIEVVVKQERNDEEGDENSNLCPSVSSTKTYKRRHKLTSLLQTSSSAPGLLEGTKELSSLGIDVTSPHGRSEILGSLDMDYYTLDDLKAIAKQRKLKGYSKLRKSELAQVLGIKVMEGKRKQKRKEALPVSPTQGEP >KVH94933 pep supercontig:CcrdV1:scaffold_444:89910:92743:1 gene:Ccrd_002989 transcript:KVH94933 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MVGNIEVMAPGLYSNGAISNANGLEEKLDEFCRILGKSDGDLLKIVGVGAGAWGSVFAALLQDTYGRFRDKIQIRIWRRAGRSVDRETAKLLFEVINSREDVLRRLIRRCAYLKYVEARLGDRVLYADEILKDGFCLNMIDTPLSPMKVVTNLQEAVWDADIVVNGVPSTETREVFEEIGKYWKERINPPIIVSLSKGIEAALDPVPHIITPTQMISRATGVPIENILYLGGPNIASEIYNKEYANARICGTEKWRIPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKEQDPRAILQALRDENLNDPRERIEIAQSHAFYKPSLLGQP >KVH94934 pep supercontig:CcrdV1:scaffold_444:76762:77418:1 gene:Ccrd_002988 transcript:KVH94934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MHVKKKHHQETLSAIPAVDDAQHSHTSPAKRRRRCICFSVALTLLAVVALLILVLALTVFKSKKPVTTVNSVALIDVNASVTLLPLRVSLNISLDINISVRNPNKVSIKYRNSSAALRYKGQDVGNVPIPAGKIGSDGTKQLNLTLTIFADRLLKDLDIYRDVISGNFRVSIYSRISGTVRIVNLFNIHVVSTSTCDLNIDILKKRIVDERCDYKKKS >KVH94945 pep supercontig:CcrdV1:scaffold_444:237994:239203:-1 gene:Ccrd_002997 transcript:KVH94945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MLTVKTIDESENSPSGCFHCNICLDTVHDPVVTRCGRLYCWPCIYKWMHQQRTTSEYPDKKNVQCPVCKSEVSQKTIVPLHGRGQTTEPLSDEKGSTASVDVGDLFVTLKSDPPLKCRKRAVDAGDGKAKAKELDEID >KVH94949 pep supercontig:CcrdV1:scaffold_444:154053:154878:1 gene:Ccrd_002993 transcript:KVH94949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFRAKKVIATGIESMARGKVTKFTSGDDLEGYFTMAGDGKEKTTSEQIAEDVASNKSNVKPKKKQDPKWLTLWVKIKSSNPLWVQF >KVH94940 pep supercontig:CcrdV1:scaffold_444:245178:246209:-1 gene:Ccrd_002998 transcript:KVH94940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEAAVEQTTTVAAAGADDADGGAGGPKDITNIAKGKRTKRQRPQSPILFTFSSTNNNDDHRLTYSPASSSGFPAGSTTTEDEDTARSLILLSKGQSSKKNKDDNYDGMFKFNSKKYIQTPATGNAGVFVYECKTCSRTFPSFQALGGHRASHKKPKNNEDIRKPPPYTVVPDNNEPPSPEFSLRNTNFSSSFSLQLNNRVSLIGNTSKPSSKLHECSICGTEFNSGQALGGHMRRHRVAGGGNKGGNSNGTNTTTLSLIPFSPVTTAEVYDDDQKSKNIDDALCLGLGLDLNLPAPREATTAAADYDQRKNRSFTFSPTGENEKKPQPAVRLSAAPTLVDCHY >KVH94948 pep supercontig:CcrdV1:scaffold_444:151607:152020:-1 gene:Ccrd_002992 transcript:KVH94948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAPKAAEKKPAEKKPVAEKKPRAEKKLPKDATAAGTDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASKLARYNKKNTLSSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KVH94938 pep supercontig:CcrdV1:scaffold_444:12658:16090:1 gene:Ccrd_002983 transcript:KVH94938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 MEVNASSSADIVVDEFNKTKKDWDDAYLQTLTYIRAIEEFGKSRSIGDAVAVNNKKDSLPRLNGLAQDGLSLLSTAQFNLDLLVPQLPTDDHIQNAELTLQSWNEQIQRFRELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERSGSTLMAFGSSFHLNISFSFLFVVVILKTGINRSFEESRKRIQGSSIITDANSIILVVGFLVFSLAVLYVVSKRIGILKLQRKVIGAIKAGMAGEAEAAKRAGVDAINHIAQVNELAFPNDLEQPMHDEL >KVH94944 pep supercontig:CcrdV1:scaffold_444:230807:235073:-1 gene:Ccrd_002996 transcript:KVH94944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MISKKRQGIDVLPQTGYDLNIELERYDQRLPESVRDKFPYIRDYEAFKVPTALDPKTILRTNWLLLSLMKLILWHFPLKSYNPVLWGVPKGSYATNPNGPCRIMEFWKMVQALNCLGLRVVLDVVYNHLHGSGPIADNSMLDKNITCVNNTASEHFMVNHLIVDDLLNRACNYKTRAKSLLRNLSRDKDEVDGSSIFMYGEGWDFGEVANNGRGINASQFNLAGSGIGSFNDRIRDALLGGYPFGHPLQQGFLTGLSLQ >KVH94935 pep supercontig:CcrdV1:scaffold_444:64471:70364:1 gene:Ccrd_002987 transcript:KVH94935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRFFGFSDGELMRSDAKPCSRLMRHTAGVFSVGGALGFWILCRLHYGPRVNVPRSLRWAGTGALTVSASTALLVRLFSAECEPQNIEAFDKKRKK >KVH94943 pep supercontig:CcrdV1:scaffold_444:194539:198237:-1 gene:Ccrd_002995 transcript:KVH94943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFWVSKAASYLKNFTFNRGFASAGNSAIIGITDYGQDHLGGVVSIELLEVGATVTQESSFGAVKSVMTTSDINAPVSGKVIGVNKDLTTTIS >KVH94936 pep supercontig:CcrdV1:scaffold_444:52607:52853:-1 gene:Ccrd_002986 transcript:KVH94936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C (PP2C)-like protein DVARVNGQLAISRAFWKQLSRLIKCEQYSYINDGVMSNQEAVDLAIKIKDPQKAAKELAAEALNRESKADTSCIVVRFN >KVH94937 pep supercontig:CcrdV1:scaffold_444:49073:49696:-1 gene:Ccrd_002985 transcript:KVH94937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand METTTTTTTTVPADLSTNRSHLKSSSFRLRSPSLNSVRLRRIFDLFDTNHDNLITVEELSRALILLGLDTNMDELDSIINSFIQPGNAGLTFDDFQALHRSIDDLFFHLDDLGNQAAGDEDHDEVTSCDSSKQEEADLTEAFNVFDLDGDGYISATELQAVLGKLGFAEASEMRRVKMMISSVDRNKDGLVDFMEFKEMMRNVIVLH >KVH94947 pep supercontig:CcrdV1:scaffold_444:122522:124683:-1 gene:Ccrd_002991 transcript:KVH94947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFVCKCSGGEWSAKQLKGELEASADSTYTLQRKLVQVALSSDSSGGVQSSFSYVLPDSAVFQVIIGGGGGGAAIGAGAAAASAPSGGAAAAEAPAAEEKKEEKEESDDDMGFSLFD >KVH94939 pep supercontig:CcrdV1:scaffold_444:17258:18697:-1 gene:Ccrd_002984 transcript:KVH94939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MTLDMAIGGGSKATGQRKVMVVADPTRESSSALRYVLSHVGLENDTLYLLHVESPTFWKNGLLYFKKQTPGSSTIPAPPPAPAPRQGGVGHGGIGITGCIGGNIDFLEQMKQACEVMNPKLRVKVLKVELDGKEKANVIISQAQMHGIDLLVVGKRQSLSNAILRQRMNGLVRGETAEYLLENSKCTCVAVQRKSQNGGYLLHTKSQRNYWLLA >KVH94941 pep supercontig:CcrdV1:scaffold_444:249570:251072:1 gene:Ccrd_002999 transcript:KVH94941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 1 MQFSHLCPPRLFRFASILFSLSILPFFSTLSSRSIVEYHCCNISWKPAMHHLSESHRCIPVGNLAMTPGFKSTIGSIGRRSGSDNVLEREGYVVSVGFSLGLVAFGRGLDAIGFTDTLVERLFQYVSGKEIHNMMDGTHINIDVTIPGAILCFGSYDSSIKRLDSGSDTQCSLVLGGVKGLKDEIGESDEMGCEAVVKAM >KVH99371 pep supercontig:CcrdV1:scaffold_4440:26331:29595:-1 gene:Ccrd_022398 transcript:KVH99371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELVVTNPSKQVKESHRVFKFNKVFSPAATQDMGNIKTFKITM >KVI08093 pep supercontig:CcrdV1:scaffold_4441:37922:40143:-1 gene:Ccrd_013539 transcript:KVI08093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-binding, type 1 MKIFSFLIVIGVLSAGILQIAAQNCGCSPDLCCSRFGYCGSDDAYCGAGCQEGPCFGPPPTNNVSVGSIVTDEFFNAIVEQSDGGCEGRGFYSRAAFLDAVGNYPQFGRVGSEQDSRREIAAFFAHVTHETGHFCYIEEINGPSRDYCDEGNTQFPCNPSKGYYGRGPIQLSWNYNYGPAGRSIGFDGLNNPEIVATDPRISFQTALWFWMNNVHSLLISGQGFGATIRAINGGECDGGNPATVSSRVGYYTEYCNQLGVAPGDNLRC >KVI03158 pep supercontig:CcrdV1:scaffold_4443:5544:9279:1 gene:Ccrd_018547 transcript:KVI03158 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, 14-18kDa subunit, conserved site-containing protein MADEEYEMDGAYDDEPMEPEPEEGAEEDVEGENKEDELPDPLLADNEEKEEQEQEQAERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEELRMKKIPFTIRRHLPDGSNEDWGVDELIVEDSWKRQYR >KVI01652 pep supercontig:CcrdV1:scaffold_4444:25051:29347:-1 gene:Ccrd_020070 transcript:KVI01652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MRNRICDWVLIVVIGFSSLLGTWVNGYELDDKEFHANTTEEMLSFLEDVSNFQLSAAATAYNPLLVGLTLIHGAAAKGAVCMDGTLPGYHLHRGFGSGANSWLVHLEGGGWCNNVRTCVYRKTTRRGSSKYFEKYLAFTGILSNKAEENPDFFNWNRVKVRYCDGASFTGDSEDKVHNLQFRGQKIYLAAMEELMSKGMRYANQALLSGCSAGGLASILHCDEFRDLFPRRTRVKCLADAGMFLDVRDISGGHTLRNMYHGVVTYQISDSLAPRSADPHGVWQACRKNPANCSPSQINFLQGFRNQMLKATQRFFLSKQNGLFINSCFAHCQSERQDTWQLHRPLETGTLNARL >KVI04414 pep supercontig:CcrdV1:scaffold_4447:5691:7867:-1 gene:Ccrd_017272 transcript:KVI04414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTTCSPLLHSAQNPRSPVSHALHYSTLPKTHALPSPMLSTTPLCPKPTLSPDSHSEAWKEWGQKKKLTKEKFDPPPVDFSEMDLAQIRCSTYSDIAMKWSKISRTGATEVKFMGVDVSTIMFTLEKGQDTLELKDFILSQPEAYEMKIADRLFRKPGDPPFDDVFTEVHINDEGHAHPLIEWVLLLQCFIKTSGLVL >KVI11398 pep supercontig:CcrdV1:scaffold_4448:23139:23783:-1 gene:Ccrd_010192 transcript:KVI11398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLKLTAVRAYSKQLFIALKHLRNYGVNEAKNVLKLCDFGNVMFAGKNEVTPYLVSRFYRALEIILGLTYDHPVDMWSIGCCLFELYTWKVLFPGATNNDMLRLHMELK >KVH60722 pep supercontig:CcrdV1:scaffold_4449:38281:38655:-1 gene:Ccrd_025660 transcript:KVH60722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease domain-containing protein MVGIGGAVAVVALCRFCSFLNAISLSEIATNVAMKGGGSYYLTGRALGPEVGVNIGLCFFLGNAVAGSM >KVH90991 pep supercontig:CcrdV1:scaffold_445:168785:172326:-1 gene:Ccrd_006996 transcript:KVH90991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLLLRFAKPRILENIFTQQLLPQRYLSTTVSPSHHNDHQRNHQYHARNDYLNSWKPPKDPKEAQSKLSRLRRDYAMKVKAVRKEYIQEMELQRLDKQRKDEIKKEALRVEGEERKAAKAAAKKAKAAERQLAQEEFRQTLLKERAEKLEYHKMRENKIMEKKKEKSQLLHRQSSMWIDEPELEGKILEAIVAGTI >KVH90986 pep supercontig:CcrdV1:scaffold_445:199074:215920:1 gene:Ccrd_006998 transcript:KVH90986 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3883 MIKSELCDVAISVITELPVKNGGKLQMITDSQYIYQKRGTIKNPPRGFFSPSAVSTMYGQPPHFSRRGGGRGQPPLQQPPQPLLNPSYLLNNNYYLQTPNFPILHPNFPIENPNFLPQSINFPIESPNLHSQNLNFTPHNQFPNNRVTPHNGTIEKIDKAVVKARRELIAAGENVSALKVSQAALVVLQADSWDSLGINAFIHCFVEVWKITTLFDLETAICKNEGVQGFEELELGPFIRHPLINHYFCVSSDSAGVFKISSKEIISFLVEFMDAHKGEEVKADELLDFIAKKRSVDSKEMLHVRIQSLGSGDDDDDIESDGTEDGTCLSNYSKNSSQSMKSSDRFSSCPYPSASEEMTRLGISSGKEESPSLDRLNCNEGVKKLNKKRKSDAIDCKSIARNKSSKRDDVGQDSVDNKSSNRFSHGHVADMSLSDDSMMTFITLWKEACKRKNTSEVLNQMFQFYKSPCGSKMQRKLVQKIYQSHPLIGLTNVAVKSIKHGMWDSMYDSFQTFEQQEEPFTASEGHIDNGRIETEHADSRLVSNHVSKQHCEVSLEDILKKVSEFFEDNHDVFGDFSSSHLIFLRSVHKCEVWLTEQFVVENFKCFGYGDFVAFLESNVSVLPPSFQKYFVTDGTHDKPSLEACISPQLLDVLVAQASYSLLETENLSTQNVVELLTRQFPLIPFKLVKEGSQKALEDVVKANKGNLVSKGVLFSAALLVPRNVNGSLETCISSKDAIEVLLKAPMLTDLNIWSHWDLKFAPSLGPLTVWLLNEMNAKELLCLVTRSGNVIRIDHTATVDSFLEGFIQGSSFQTAVNLISLFALYGGERNVPSSLLRCHAEKAFKVIVKNSINNGVKDHRNHQNHLMNDLSNEKSRLNDGTSSASKFILDCLTYLPVEFRCFATDLLLSGFRSAAKDAPSAILSQCKLVEHRLMVHELGLSLGIMEWINDYHTFSFTESIKSSEFDQEKDISTSYAPAEKKGMLSTKNDVQKEELKVVNVNGRTVNFSGDRSDLNHKQHSATSNCEKESAMIIESIRREEFGLDSDISAKEDSILKKQHARLGRALHCLSQELYSQDSHFLLELVSASFSLPSMFLFCFEVGKWTGQWGGYESKRVQNADDNVYPCNVEPTLTFILQETGVIVLNNERGFSVENIKALCDVGNSTKKEPSAGYIGKKGIGFKSVFRVTDAPEIHSNGFHIKFDISDGQIGFVLPTVVPPCDIDLFRKLVSVNSDPMDEKCWNTCIVLPFRSKKNEAFSVENLISMFSDLHPSLLLFLHRLECIKFRNLLNDSLIVMRKEVVGDGIVNVSLGKEKMTWFVKSRKLKADHIRQDVQTTEISMALMLEDSNGNYSPKLDHQPVFAFLPLRNYGLKFIIQADFILPSSREEVDGDSPWNQWLLSEFPNLFVGAEMSFCCLPSFKENPGKGVSAFMSFVPLGGEVHGFFSCLPRMIISKLRISNCLLLEGDSNEWVPPCKVLRNWTEQIRSVLPDILMKEHLGVGYLNKDTIISDSLARALGIEECGPRILLQIITSLCRMGSLKSMGLSWLSSWLNVLYLMLVNVSEHSSIDCGTQSDIISALSQLPFIPLMDGKYASIHEGAIWLHTDARVDTEHGLEAFGKLYPKLRIVSPALFNDSSGVENVNQMLYKVGVQRLSAHEVLKVHILPAISNEKVMAESKELMTEYLSFIMFHLESPCPECLVDRENILSQLRNNAFISTNHGYKRLIDVPIHFGKDFGNPIDMNKLVGGTDMKWFEIDSSYLKHPVYASSPGGTLKWRKFLQELGVTDFVQIVQVEKNIADIPHTVLKNMMLDDECISSGSIVKDWDSQELTHLLSNASSNGNREKGKYLLEVLDTLWDEYFGDKLTGFCSVNGQCKPFKASVIRIFHGIQWLASSMDDQLHFSKDLFHKCEAVCAVLGDTAPYVVPKVNNVKLLNDIGLKNIVALDDALSVLEAWREYEKPFRASISQMSKFYTYIWNEMNNSRQKIVENLHSQAFIFVPYSFGSAHEVVSGLFLSPHEVFWHDSTSSMEQMKSIHPQHDRHITHRPFSKMLCNIYPSLHYFFVNEFGVAENPPLLSYLQSLLQLSSGILPSGAAKTVFQIFQKWADGLEYGFLSSDDIDYLKKSMEEKEMTVLPTVQDKWVSLHQSFGLLCWCDDEQLRKEFKNLSNVDFLCFGELSTEEKQMLQDKVSVLFRRLGIPSLSEVVTREAIYYGPTDSSFKTSLVSWALPYAQRYIYNVHPNEYSELKLSGFKNINCLRIVVVEKLFYKNVIKRFGIESNKRCECSCLLQDHILYATRESDTHSLFMELSRFLVAGIPELHLANFLHMITTMAESGSTEDQMEFFIMNSQKLLKLPNEESQWSLVSMPLPEEDKDAPTTSFGLSLDDVNPPPKPTAKKFGNNSSWPPVNWRTAPGFESALKTKAFTPAQIRRDVTEGLIECDGDWIIEENPASAIPAVILEEDEVVKDRTDYGIERDPSGMNVGHPGNQKDIISSDMNAGSSAITRYNGSNASSFNSIERDQLSWGTITPQQVITGRTGELVAFKYFSNKIGEKYVRWVNEVKESGLPYDIVAEGKDKSKEYIEVKATSNARKDWFVISVREWQFAVEKGESFSIARIVLSDGKSAQITTYRNPAKLCQSGHLQLAILSNKS >KVH90999 pep supercontig:CcrdV1:scaffold_445:46438:48207:-1 gene:Ccrd_006985 transcript:KVH90999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MEASLSLSNYPTTTLDYSNKPLSIKCTIQIHHHPTIKTPSRKLSSPSLSPEIKPISRPSPELSTVSPPELNPLQKLASSALNLVERSLMGLEKDHKLPSPVDPAVQLAGNFAPVPECPVHHGLEVVGEIPSDLCGVYLRNGANPMFKPTGGHHLFDGDGMIHAVTLGPANKASYSCRFTRTSRLKQELSLGHPCFPKPIGELHGHLGLARLALFYARGAAGLLDSAHGIGVANAGLVYFNGRLLAMSEDDLPYSVRIKSDGDLVTDGRFDYDGQVNCPMIAHPKVDPVTGDLFSLSYDVVKKPYLKFFSFEENGQKSREVSISLNQPTMIHDFAITQSHVIIPDHQVVFKLSEMVRGRSPVILDPNKVSRYGVLPKSAENESSIQWIDVPDCFCFHLWNAWEEETENGDKIMVVIGSCMTPPDAIFNETNDTPLQSELTEIRLNTTTGGSSQRVIVPGMNLEAGNVNKRWLGRKSRYIYLAIADPWPKCSGMAKVDLETGYVSKFLYGKERFGGEPCFVSVGNSEKEEEEEGYIMSYVRDEDGGKSELVIVEASSMKELGKVRLPSRVPYGFHGTFVSTQDLAKHFDYM >KVH90997 pep supercontig:CcrdV1:scaffold_445:85090:92095:-1 gene:Ccrd_006987 transcript:KVH90997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASLIFPTPRHTGILPSTTFRPALNSTTHKPYKLSVRATASSTTETVAAPPQSVSSTDPSSKLNKFSSRVTEPKSQGASQAVLYGVGLSDDDLRKPQIGISSVWYEGNTCNMHLLKLAEAVKEGVQEAGMIGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMSAQWYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGHTYDIVSAFQAYGEYVSGSINDEQRTNVLRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLAGKYILDLLRMDLKPKDIITPKSLRNAMVTVMALGGSTNAVLHLIAIARSVGLSLTLDDFQKVSDAVPFLADLKPSGKYVMEDVHKIHRETTPSFAAYTQLFLLSFLFSFEFVTGKTLAENAKLFPSLSEGQQIIRPPTNPIKETGHIQILYGNLAPDGSVAKITGKEGLYFSGPALVFEGEESMIAAIAEDPMSFKEGGPIGLVQNGDVITIDISKKRMDVDLPDKELDERRKAWTAPAYKADRGVLYKVREYD >KVH90995 pep supercontig:CcrdV1:scaffold_445:240595:242684:-1 gene:Ccrd_007002 transcript:KVH90995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKALRRLFPNTKKRSPAKKASFTPLFTDEADFISLIHASKTIKQLQQIHAQIILQQQFSNTRIVTQLISSSSFQKNFINHALSIFRHSKNPNLFLFNSLIRALADNSYYMSSISYFLVMLRSNIRPNSLTFPFVLKSTTALQEGWLGMEIHTEILKLGLLYDRLVLLYLVDMYAKVGLLDSASQLFDESSEWGNEAKSTLLWNVLINGYCKVGRWGKAMELFEGMPEKYGSTWSTLLNGLMKAGEVYRAMELWHSVTEKDVVSWTTMIHGFSQNGQHEKALSMFFEMLEEGVKPNDQTVVSALLACAKAGALETGVRIHDYISSNGFTLKRGIMAALVDMYAKCGSIEKASSVFDMGEEKDLRTWSVMIWGCAINGYLEKALQYFNKMRSTGIKPDGVVFLAITTACLHAGDVDQGLHFFDKMKYDYSIAPTMKHYAIMVDLYGRAGRLNEALGFIKNMPIDPDFVIWGALFSACRVHKNIKMAEYASERLLELEPQHPGSYVFLSNAYAGVGRWQDVERVRAKMKNTGVVKDPGWSYIEIGGQITSFVAGDHDHSCSDEIHLKLDEITKSAREQGYMPETEWVLHNIEEEEKADALGSHSEKLALAFALISGTTPEVIRIVKNLKICGDCHSLMKYASKMTRRDIVVRDIKRFHHFKNGTCSCQDYW >KVH91000 pep supercontig:CcrdV1:scaffold_445:23811:28787:-1 gene:Ccrd_006984 transcript:KVH91000 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MEIPKKWVSLCSFLFLMMLLVGIFKTASTSKPRTIEVEELQTSNNSSMAARVKEIEILNENAVQDPEEVVAMVEMSMRNSTERRKLGYFSCGTGNPIDDCWRCDPNWRRNRKRLADCGIGFGRNAIGGRDGRYYVVTDPRDDDPVNPRPGTLRHAVIQDAPLWIVFQRDMVIQLKQELIMNSFKTIDARGVNVHIANGACLTIQFVTNIIVHGLHIHDCKPTGNALVRSSPSHYGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNYFTHHNEMYAIGGSANPTINSQGNRYLAPANPFAKEVTKRVDTDSRQWHGWNWRSEGDLLLNGAYFIQSGAGAAASYARASSLGAKPSAMVGTLTAGAGVLNCRRGRQC >KVH90984 pep supercontig:CcrdV1:scaffold_445:159062:163397:-1 gene:Ccrd_006995 transcript:KVH90984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MGKRVKKKSTRNAQKEKQFSTSSPKNVSQKIIPTMVVDGVSVVKEARVCPHLDTGVNLDKISSKIASLESLKCDDCREGVVDRRASKVKGKHGKKKASGSASESKSIWVCLECGHCTCGGVGLPTTPQSHATRHAKQNHHPLAVQFANPNLRWCFTCNTLIPVQTSEENGGEQKDALSDIVKLLKARSSSENSFNVEDVWFGSGDVTREMKSVSTQVISENSGGYMVKGLVNLGNTCFFNSILQNLLAMDKLRDYFLRLEEPVGSLSVSLKKLFVETNPLTSAKNVINPQSLFNSVCAMAPQFRGYQQQDSHELLRFLLDGICNEESGVQNSAPCSERESTVPKQTPTFVDAIFGGQTSSSVSCLECGHTSIVYEPYLDLSLPLPAKKSPSKRMPSVSRSRKTKPPPKRRGKFGTKTNRASNASPADGVPIIATTSDYLPAEKKVVPSGDSTQPESAFLGGVGDKNVSAANENVAQGNAIEKISEITIKESGIPSDNLALIEPATVLNSHGNRTVDSSDILSWLDYLEPTMVLSNHDAAACPGDDMVTEYTGKTQLVQDEPLLQQMMEASKQVYSLGLEETPPLADDSWLDYLQPSSSSNGHIMASQNEDSSVVQNSGNNDDVFWENEQPLKVQESEIILLSYEELIPTSNGNEATLSSVSYEQEPSDFDGFGGLFDEPEVVAGPTVGPLNGYVESGPMARNISESDSDEVDNTNSQVSVDKCLTYFTTSELLKKTEHAWQCEQCSKALLEQRMRLKNKLHEPISNGAKHRIPSIPSVSNGIGNPVEVSAVLGNSDENSWLHDKSDCSRLVCELEERGNVVNGGSPELSQHPSSITASSEESCIIQDTDSCQVNKHDNKCKNEKVEQRISNCLARRRDFNGVEDEEVNSSSVKVTRDASKRILINRVPPILTIHLKRLSQDARGCLSKLNGHVDFKDTIDLKPYMDPSCCRDGGECRYRLIGVVEHLGTMRGGHYVAYVRGGAKGNDDDCLWYHTSDAHVREVSLEEVLQCEAYILFYEEM >KVH90983 pep supercontig:CcrdV1:scaffold_445:150782:158321:1 gene:Ccrd_006994 transcript:KVH90983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MGDVFDGSGSGSGDGDVRKAQKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYMCGTDEYGTATETKALEENCTPKEICDKYHAIHRDVYKWFNISFDEFGRTSTPQQTEVCQAIFKKLMENNWLSENTMQQLYCDTCEKFLADRLVEGTCPTPGCNYDSARGDQCENCGKLLNPTELVCATSPRIRDTNHLFLELPLLEGKLREYISSMSVAGSWSQNAIQATNAWLKEGLRQRCITRDLKWGVPVPHERFKDKVFYVWFDAPIGYVSITSCYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTAENWTLMKTISVTEYLNYEAGKFSKSKGVGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFIAKDPDSGSGKGSGYNSIIPDAPGAETHLLSKTLGDKIGTYVEQYVKLKQGLKIAMSISGEGNAYLQESQFWKLYKEDLASCSIVMRTSVGVVYLLACLLEPFMPSFSIEVLKQLSLPLELSLSDEKGDVGKARRPWEIIPAGHRIGTPVPLFKELKDEEVEFFRAKFAGSQADRADRAVKAEAEANKVAAQLKNTKISDKSGKRERSTKPAAEAKPKAAAEQEITINRLDIRVGLITKVQKHPDADSLYVEEIDVGEAQPRTVVSGLVKFVPLEEMQVCVLCNLKPATMRGIKSQAMVLCASTSDHTKVELVDPPQSAIIGERVAFSGFDGEPDVVLNPKKKVWETLQVDLHTDNGLVACYKDLPFTTSAGVCKVSSISVGSIR >KVH90987 pep supercontig:CcrdV1:scaffold_445:218687:221311:-1 gene:Ccrd_006999 transcript:KVH90987 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 20kDa subunit (P20-Arc) MSIKLKQADELENILTKKFLRFLTMRAEAFQVLRRKPVQGYDVSFLITSYHCEEMQKQKLIDFILQFMEDIDKEMTELKLTMNMRGRLVATEFLKQFI >KVH90992 pep supercontig:CcrdV1:scaffold_445:238152:239597:-1 gene:Ccrd_007001 transcript:KVH90992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATFVVDFAVNMLLLDNSVYLEDFDTRRTRGDQTSPRGHGTSSPAHIRVCNKNPWLQSAIFIINSLWKQVA >KVH90990 pep supercontig:CcrdV1:scaffold_445:125937:142068:1 gene:Ccrd_006992 transcript:KVH90990 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin domain-containing protein MQNGTRIWLQWLAMALTVTFSMVTGQYFKPFNVSYDHRALIIDGQRRMLVSAGIHYPRATPEMWPDLIAKSKEGGADVIETYVFWSGHEPVKGQYSFEGRYDLVKFVKLVGSSGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIVFRTDNEPFKEEMQRFVKKIVDVMREESLFSWQGGPIIMLQIENEYGNIEDSYGQKGKDYMNWAANMAVGLGAGVPWVMCRQTDAPENIVSSYSIDLHSLDAIDACNGYYCDGYTPNSKKKPVIWTENWDGCQVETSAVEKYGRFMVQEECSGAEDKQSVREKQRKSVIFAPGKEADETEVGVWNKMVGTPQKSAVLLYTSWGGRLPHRPVEDLAFAVARFYQRGGSFQNYYMFFGGTNFGRTSGGPNYITSYDYDAPIDEYGLLHQPKWGHLKDLHAALKLCEPALVAADSAQYIKLGPRQEAHVYRGNNSTCSAFLANIDEHKESSVQFLGQAYTLPPWSVSILPDCRNTAFNTAKVGAQTSIKVVDFESTSSAKISAPEHLMIQKGVPLVSESWMSFKEPIGVWGDDNFTVQGILEHLNVTKDQSDYLWYITRIYVSDEEIAFWKENAISPSLTIDSMRDLVHIFINGQLIGSAWGDWIKVAQQVQFKQGYNDVMLLSETVGLQNYGAFLEKDGAGFKGQITLTGFKNGDVNLTYSPWTYQVGLKGEFLKLYAVDNNGSSDWTNLAQNEVPSTFSWYKTYFDSPGGKDPVVLDMSSMGKGQAWVNGHHIGRYWTLVAPKDGCQETCDYRGSYDSEKCNTNCGKPTQIWYHVPRSWLQPSDNLLVLFEETGGNPLEISVKTVTVETVCAHMSEYHNPPLQMWSHPNYFNGELSASEMKPVMQLQCEDGYTIASIEFASYGTPQGSCQAFSMGKCHAPNSASILSKACEGRNSCKIEVSNSVFGDPCPRTVKTLAVEARCKPSSSLYAGVSDI >KVH90989 pep supercontig:CcrdV1:scaffold_445:122151:125480:-1 gene:Ccrd_006991 transcript:KVH90989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKRRDFKNSEIESVRKSPERRRVETMADEAPLDLEALQSRIKKLAEIRSNGHDDADLMSTDEDDLLRDCVFQLEGKMNEIIEEYSDVSSLPAEDFDTYLENLKRELSSVEAENAKLSGEVGNLMKGYLEDSIRLQCNIEGLTSSLEFIQSQGLVTKSADARTECSSLAEHQLESKGVHGGCKFELLELNSQIEKKEGILKSLEDLDYTLKRCEGLLKVEDTLTGLEVIGYEGNRISLSLRTHIPEIEMLEQNHELAIELLDDTLELKNAEIFPNDVYIGEIIDSAKSFTHQFSLLPMPENKSSLEWFVRRVQDRIVLSTLRKYLVKDASKSRHSIEYIDRDEMVLAHMVDGVDAFIKMPQGWPMASSALKLQSLKGSSQSSKEVTFSFLCKVE >KVH90994 pep supercontig:CcrdV1:scaffold_445:244638:251457:1 gene:Ccrd_007003 transcript:KVH90994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKKAVEEKTKNREEKVKIVQWEDFQQELARLSSLSSALSEANQKKSLIQEKLNSHLQLEAESLSRSNKLDEMRENLEARKLVMGNMSMRSKVVQEKAKKQEEQLNSEIRSLLMAGTSLSVASRSLQEANNSLAGERGYGHLRNLQKLLRMRQQFMVSQISLLYPVKVVTGLTCEQELESFSGSSKSGNPSGLKPLDAGSLTISGLQLTVLPFKKLSFFTDKKEVQRSATALGYVAHAVSLIAFYLEIPLRYPLRLGGSRTYICDYAPSVESTSSDFTSISLPSSSSKPMEFPLFLEGQDTTRSAYAVFLLNKDLEQLLNFIGVESLGPRHVLANLKELLNNILSQEYINS >KVH91002 pep supercontig:CcrdV1:scaffold_445:99779:104410:1 gene:Ccrd_006988 transcript:KVH91002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAAIDVTKYAHSPTHKAVVTKDYGGLKKIIAGLPRLCDPSEIHNESVSLAEEAKADAISAVIDRRDVPNRDTPLHLAVKLGDTTATEMLMLAGADWSLQNEHGWSALQEAICNREEVIARIIVRHYQPIAWAKWCRRLPRLVGTMRRMRDFYMEITFQFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRADQTVLFLGDGSEDGKVPPGSLCMISHKNKEVMNALDGAGAPASDAEVQQEVQAMSQTNIFRPGIDVTQAVLLPQITWRRQEKTETVGSWKCKVYDMHNVVVSIKSRRVPGAMSDDEFFSSCNENETESEELNDILTDEERKQLEAALKLDSSDLMNENSDEIIGHRHSCYEQRDIPIEDVSSYRNGEIKHEKDKKGWFDGWRKRDNNKHERVRKGAPVPPRGSLCLEEKVSDLLGDSPSTSQSQSQIQPGRHSMEVTAKENENRRARDLKPSSSTSSSSGNRRKDGTRENEYKKGLRPILWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPMGTFPVKVAIPVIPTIRVLVTFTKFEELQPLDEFTTPPSSPTSGDRDSPSMANQSSSSSSWFQWIKTPYHRSSLSSGASSSRIETIQDPFVIPADYTWISAEAKKKKMQEKNKSKKGRTQNQ >KVH90988 pep supercontig:CcrdV1:scaffold_445:117948:119129:-1 gene:Ccrd_006990 transcript:KVH90988 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated interaction domain-containing protein MALEDEDDEQQQQQTKRFKMSHSSETSEDPQSVSTLLPEIVVEILSRLPVESLLRCRSVCKLWRSLISDPHFIKSHLSLSTNNKHYAHHRLIFSTVPRINLKSCPLYDVLYDKSVNALELDYPLKHPLKSVWIVGSCNGLLCIAIEEDTLFIWNPSTRRSNRLPYCGFKVRSGWYVLYGFGYDESTDDYKVVGISCVFKNGAKYDTKVKIYSLKSGNWKKIGDFPHGIPLDDSGKFSNGALHWAASRDFGSSYSWTIVSLDLAKETYGEVLQPVYDEGDKDLALGSLVDGLCVLCNYRGNHADVWLMKVYGVKDSWTRLVSIPYVTDPGRDQFSVPLCISNDGKVLLQFGSKLVLYDIKNGSSSEIQNFDECLEAYTIVESMVSPDAPIRHWR >KVH90998 pep supercontig:CcrdV1:scaffold_445:78679:84161:1 gene:Ccrd_006986 transcript:KVH90998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase MERAIERQRVLLEHLRPSSTSSSLENLDSSLSASVCAAGDSAAYQRSSVFGDDVVIVAAYRSPLCKSRRGGFKDTFPDDILAPVLKALIEKTNLNPAEVGDIVVGSVLGAGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYEIGIGAGLESMTVNPMAWEGSVNPKVKTMAQAQDCLLPMGITSENVAHRFSITRKEQDQAAVESHRKAAAATAAGKFKDEIIPIPTKIVDPKTGDEKPVTISVDDGIRPGTSLADLAKLKPVFKKDGSTTAGTSSQVSDGAGGVLLMKRSLALQKGLPILGVFRTFAAVGVPPSIMGIGPAVAIPAAVKAAGLQVEDIDLFEINEAFASQFLYCQKKLEIDPQKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGRDCRFGVVSMCIGTGMGAAAVFERGDSCDELCNAK >KVH90982 pep supercontig:CcrdV1:scaffold_445:142980:145674:-1 gene:Ccrd_006993 transcript:KVH90982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein SCIFWLLRLINSGEVVARSSNLLDHGRCRTLKLDNVHNLHPSMILRAQEETEVKNASRPKAETQVSREGDLKKSLDSPTHPKKVSTQPRVGTRSNTGSPMWERKVSSEGNNNAAPSTPGRSRLRQVTLGDETPDDSTAVPVFGDWDDSDPASAEGYSHIFNKVREEKHSGGGKSPRITSDDSYFHSQRPEAKKVNGVRLLSVGQKMISCWLFGCKVVDCTLLHIKLKGGVMYKHGVCKLPSFLLVKWYAELFLLSYRENLFPILPIVFAYDVCMLNLLNEKQKNKKARIL >KVH90985 pep supercontig:CcrdV1:scaffold_445:173474:190697:-1 gene:Ccrd_006997 transcript:KVH90985 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3883 MQGHPRRQSQGYAGSSRNAESLSQVIVRIDGAAVKAHSDLLAAGESISAWRVAQSTLVILKAASLESLGFHMQNVPSLNRLMLTEAKINSFIHCFVGVQKLTSLHELEMAICESEGIKGFEELELGPMLKHPLVVHYFSIACDVTEMFKITSEQIIAMHISHIMRGRRSEITLLKKCSEGLDVELKPDDNEDDAGDDDNNKNDDFGILSSQIATCSRSSAAAQVPRICPDTEMDYSLTSSHDNDGVALKCGTIHVIHKSLEYWNLAVKFKPEFLNDNVERGFIDGNAIDGNTWMFIRTWKDTCEKENVHEAFGQMLEFYYGPMKPKKKNKALNKMNSSYPHIGLLNVAVASMKFGLWDRLSSIPQASNKELLSNTNSKTCAVGVNTDVEPAEKNVLDAIDGTTWMFIKMWKDICEKQNVVEAFEKMLDFYHHKMKPKKKTAALNMMGSSYPHITSIKFGLWDRMSCTSQANNDEVIANTNSKTCTVDVNTDVEPAEKDVQVANITLEDVQKYIKEYFMSSNHILDDINSYSKKQSIFLKEIHSLERWLTVQFSIDDFETLGFGEIFTFLEDHISLLPAAWQNCFLITDKGEKPSVKVCMNDRYLLEFLSEASSSLGEHETLNKLTVSKLLRMQYPSAGLTLVEDGFTADLLTTFSKNGSNVSSNKVLFSSTLSGFRAEKGFSDAHLDTNNAVEQLLRAPMLVDLASWSYWDYKFAPSLGPLLGWLLSDVKTNELLCLATTDGKVLRLDHSATVDSFLEAFLHGSSFQAAVKLLSLIALYGGERNVPFSLLKCHAKNGFEIMLKFSSDEVVKDDQRLSLASRFLIDCLGHLPKEFRSFAAELLVSAFRLIIKDAPMVILREGKRKEDHMMLHELGLLLGIVEWFDDYCTCLSASKESLEDDKSKGFSSKDEVISALEVNQPDKGCERNSVITHRVHNADDSCIRPFSESEREKNAANIIESIRVEEFGLAPNLSIAENSILKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNIYPRNVEPTLTFILQEKSIVVLNNEHGFSAENIRALCDVGNSTKKASGAGYIGKKGIGFKSVFRVTDAPEIHSNGFHIKFDLTEGQIGFVLPTIVSPCDIELFSNLVSLDTADQVNIHQWNTSIVLPFKSKLTETFVENITSMFSDLHPSLLLFLHRLQCIKFRNMLNGSFIIMRKEIIGNGLINVSIGKETLTWFVESRKLHANSLRDDAKTTEISVAFSLEASGNGDYIPKMDQQYVFAFLPLRTYGLKFIIQGDFILPSSREEVDGDSPWNQWLLSQFPSLFVDSQRSFCSLPGFRDCPGKGISVFLGYVPLAGEVHGFFASLPRMIISKLCTSNCLLLEGDNNKWVPPCRVLRNWNEQVRTLLPDSLIQTHLGVGYLNKDIVLSDPLAKALGIENYGPRILVRVLASLCGTEEGLKSMGFSWLSSWLNALYGMSFHSGRSFVEPEFGSDIMNTLRKTPFIPLLDGCYSSIDEGMIWMNLDASCTISNNEHGLEAFARLFTNLRIVNPALFDGSITENLIQILSKVGVQRLSAHQVVKVHILPAICDKKNTIANNNLMIEYLSFIMVHLQSSCQDCAIEREHIISELYNKVLILTNHGFVVPAEVAIHFDNSFGNSIDMSRLINGTDVKWYEIDNIYLNYPTARSSSGGTFNWRKFLQELQITDFVQIVHIEKTVSISSQFVFRNMMWEKVIISPGSTVSDWESRELVHLIATVSSTGDREKCKYLLEVLDAKWDDYFCNKVVAYCNIDGESKPFKSSLVSSLNDVQWVASSVDDGLYYPKDLFHDCEAVCSIFGDNAFYAVPKMSRFYSFIWNELASSNQKNIANLRCGTFIFVPFSSSVSSSEVESGVLLSPQEVCWHDSMVHPDQSESRKMLSNLYPSLHDFFVNECGVKENPPLLEYFVFDVFLMWSDGLKSGLLSFEDVEILKKNLEGKEMKVLPTAQDKWVSLHSSFGLVCWCDDEKLADEFEGLNIIDFLRLCELSDDEQEMLRVKVITREAIYYGPVDNGYETSLVSWVLPYAQRYISNSYPEEYLQLKLSGFEKLNRLQIVVVEKLFYKNVIKRSKLDEILYATRESDSHSIFMELSCFLINGNPELHLANFLLMITTMSESGSTTEQIETFVLNSQKVPKLMDEEPVWSIQPLRWTETSATTRFTERMVKRSTSNQSNRNWPPASWKTAPKFISSCVNGLEMQQDIAKQTDLNAGSIEEHIISTDQVQNAEPSTSSNDEDHGAADAAAGLNVEPGVVNQDVSCDFSQKDRLSHGAANMQQALLTGRHGEELAFNFYSRKFDKKVVKWVNQGRETGLPYDIEVCDEENRKEYVEVKTTDSGSKDWFEISVREWQFAVKKGESFSIARIVLSGDKSGRVTVFKNPANLCRNGHLKLAVLVPKQLEEESVFC >KVH90993 pep supercontig:CcrdV1:scaffold_445:229754:234915:-1 gene:Ccrd_007000 transcript:KVH90993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRVPDWLNNSLWSSPTPAPIISPPSKSRSKSPPPPSSSHEDRLGAFPSKSSSVTSSDSSPVMEPPVPIPPPTLIRPEPPNTLAPTPRTEFGDPLTISGGSDDGITSVEDVSRQAKLLQELSRKIINIGELRQLASQGIPDGAGIRSTVWKLLLAYLPSDKGIWSSELAKKRSQYKQFKEELLMNPSEITRKLEDCTSLQNGEQTGAGRGLLSRSEIPHGEHPLSLGKTSVWNRFFQDTEIIEQIDRDVKRTHPDVHFFSGDSASAKANQDALRSILIVYAKLNPGIRYVQGMNEILAPLFYVFKNDPDEDYVVNAEADTFFCFVDLLSGFRDNFVQQLDNSVVNPQFYAFRWITLLLTQEFSFADSLHIWDTLLSDPEGPQETLLRVCCAMLILVRRRLLAGDFTANLKLLQSYPSTNIGHLLHVANKLRSHSTH >KVH90996 pep supercontig:CcrdV1:scaffold_445:3248:4573:-1 gene:Ccrd_006983 transcript:KVH90996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MTIFSLALGITLAILYSGGCSTAINISAAAADATFSIDLIHRDSIKSPFYDHGITFSQRLGRALQRSFDKARCLKPSSSTYQTQISPDWGEYLMNIFIGNPSHQILAIADTGSDLTWIQCRPCTQCYKHTGSIFDPKSSSTYKALGCKSEACRDPSFLETNCSSTKTCQYTTSYSDGTYSIGDVATETIKIGGRTIQDIVFGCSFRNGGISHETSSGVVGLGGGDFSLVSQLRTLVAPKFSYCLIPYPVNDDLSKLSSKLVFGDIISFGSQVVSTPLVPKWPRMFYYVTLEGISVSDIRLKYSDFSNPTKRMHKGNMILDSGATLTTLHDKLYHKVEAAIKENLKNVRRVEDPQKILSLCYVAKEVKYAPKITMHFEGADVLLLRHNVFVPVGEQVICLAMVPTSDVAIFGNIAQGNLLIGYDLENKRVSFQRTDCTRLKV >KVH91001 pep supercontig:CcrdV1:scaffold_445:107133:115579:-1 gene:Ccrd_006989 transcript:KVH91001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MATNPSGSGAPQGGKVTRKTPRDADNHESNRQMSLTTSNSKSMALRNQPTDFDHQRWLFESKGRYGIGNAYWQDDDNVDRETGTSLADFVDKPWKPLTRKTPVPPSVLSPYSCIRPFFHEFYDISICNVTVLVVIRLIVQCFFLSWRLQNPNFDAMWLWSVSIACEIWFAFSWLLDQLPKLNPINRATDLVALKDKFESKSPDNPNGRSDLPGVDVFISTADPEKEPPLVTANTILSILAVEYPIEKKTDPTKNKKRPDFVKDHRWMKREYDEFKVRINGLPDVIKKRCDKYNYVEEMKEKQKGNSSASAEPVQVTKATWMADGTHWPGTWKDPIPENEPVMGKEDEGKLDYTDVDIRLPMFAYVSREKRPGYDHEKKAGAMNSLVRASAILSNGPFILNLDCDHYIYNSMAIREGMCFMMDRGGDRVCFIQFPQRRYALYGFSPPRAIVYSGIVGQKKKPASTLSPNDSLQAVRAQDDDPETQDEEHPDLNLPKKFGNSTMFVDSIAVAEYQGRPLADHASIKNGRPPGALLAPHNTEWGERIGWIYGSVTEDVVTGYRMHNRGWRSIYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAFLASSRLKFLQRIAYLNVGMYPFTSIFLVAYLFLPALCMFTGQFIVPNIDSTFLGYLLIMTVTLALISLLEVKWSGIALEEWWRNEQFWAIGGSSAHLAAVIQGLLKITAGIEIAFTLTSKSAAEDDEDIYADLHVVKWTSLFVVPLTICITNIVALIMGTARTLFSVIPQWNKLIGGAFFSFWVLAHMYPFMKGLMGRRGKVTTIIYVWAGVLSITFSVLSVEDSALARTEKDGTLAGNYSKCTKSSSSISMDFLPLVLSL >KVI04475 pep supercontig:CcrdV1:scaffold_4452:19948:35047:-1 gene:Ccrd_017208 transcript:KVI04475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb protein, VEFS-Box MPGISLVARETTEPWNGNTYSIVYVYLSVLLSTSCFVKLAIYSRGSDQMCRHEARVQLSPEEQTAAEESLSVYCKPVELYNILQRRAVRNPSFLQRCLHYKIQISVSISGATNDGPQTQSLFPLYILLARLVSTTNVETQCTAVYRFSRVCKLMVFGGDETSSSARAKFILPEMSKLSMEVKSGSLAVLLVRCVSRVGYCSFGKIPIDLLHSSWQASPTLSLGGRAEMISTVIMQTCYMKLSCLDGGKCVSFHFPYNSEALSVLQQVPVIICAEEAGVKNMSPYDMYSYNDTPRPGIMRLRSGNVIFNYKYYNNMLQRTEVTEDFSCPFCLVKCASYKGLRFHLTSSHDLFHYEFWVTEDYQVVIVSMRTDVCNSEIIPDNVDPKQQIYKSARRRKPKCLTQNANHVHPLVLDSAMPANLTELIDNTDCVPECMERDTYSPDASATGHSFAEPESVQSVPGSNLGPPAMLQFAKTRKLSIERSDPRNRALLQKRQFFHSHRAQPMALEQVFAERDSEDEVDDDVADLEDRRMLDDFVDVSKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSKLHGPDLVQCWRLFMIKIWNHGLVESRTLNNCNMILEQYQTQYMIDPNLNPNPNPKPIPNPISNTITSDDR >KVI04476 pep supercontig:CcrdV1:scaffold_4452:38451:40955:1 gene:Ccrd_017207 transcript:KVI04476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MMTKEPFMGDCAHCGQSAHDSRACNNGKVGSLKLFGVRIDPKSHQLHGKEKGDDRHGSVNCIGNLIRKCKSMESLEACNIDPSSIDRGYQSDGPPDRSHNAYNKTKGVPWTEDEHMSFLIGLEKLGKGDWRGISKNYVPSRTPTQVASHAQKYFIRVNTTREKIKRRSSLFDMPFQESHMVPRAPVPISLRPPVSPLARTYEIQDFNYRSRMIGYTSSRYKQKFGDPKCPLALPFVPMASYPNQDR >KVI07979 pep supercontig:CcrdV1:scaffold_4453:34951:40026:-1 gene:Ccrd_013655 transcript:KVI07979 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLFIFVTCFSFILFFRYSLPIKPLPPWACEMRLLSFYFWKDLSILFPSAKFLKKTLSINFNSYMFSTKTTKKINTLSRNTESMMTEGTGQEKVEEMSVLDLPNLALECILERLEPDGLCKMACVCTYLKDMCLSDHLWEGHMKKRWGRIIGSTAYKEWQLHIASRKESNCFLAGEKGRGLLMGYLSKLWPVMLVRSSSSNHGDSKKMKISSPQPPIDSIVSCYRALETGKFWFPAQIFNREDGHVGFMLSCYDADLSYDSHNDTFQARYPPHGRRAAAIETGVTWDRLRAPPVDTSPHDLHISDCLNDLRPRDHIEIQWRRNKQFPYGWWYGVVGHLEACDGNGSYCHCHESDAVVLEFNQYAPGSRWRHTMINRRHHREEGNEADGFYGGIRKLYSKDEISMWQQFWPAEILE >KVI06798 pep supercontig:CcrdV1:scaffold_4454:22447:25161:1 gene:Ccrd_014848 transcript:KVI06798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGNGNVIGKEEEINTKQHSASWCTRCFHNFDQIGMPQTTALPRPGGLISDAKITITDPKPGAKETLIIISGTPEQAHAAQSLIQAFVISESEGS >KVI06797 pep supercontig:CcrdV1:scaffold_4454:25796:32337:-1 gene:Ccrd_014849 transcript:KVI06797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDTTTPSTLHIQNELNHKNLDDDGRPKRTGTWLTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVLMTFSFITYFTSTLLADAYRAPDPVTGKRNYTYMDVVQASLASISIVAVKKSNCYHERGHEADCKQSDYPYMILFAIIQILLSQIPNFHNLSWLSILAAVMSFSYSLIGLGLSIAKVAGVEVGVDVSGSEKVWRTFQAIGDIAFAYAFSTVLIEIQDTLKSYPSENKAMKRASLVGILTTTLFYVLCGCVGYAAFGNDAPGNFLTGFGFFEPFWLIDFANVCIAIHLIGAYQVFCQPIFGFVEMKCKQRWPESKFITREHVVNVPFHGEYCVNMFRLVWRTLYVMLTALIAMIFPFFNSFLGLIGAGSFYPLTVYFPIEMYIARAKIPRFSFTWVWLKILTWSCLVVSLVAAAGSVQGLVTDLKKYQPFKTE >KVI06796 pep supercontig:CcrdV1:scaffold_4454:9451:13779:1 gene:Ccrd_014847 transcript:KVI06796 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MSSQLTPSKRPHEQSDSEPNGKGKLQKPAYFTSQNTSFKITPGSIVFRVLCAASKTGSVIGKGGNKISQIRLDTGAKVRVEETVPGCNERVVVIAGSGIEIGISTDQSKDADEETKETQATEETNDAEKPDGDSTQKQSVAGEDLQSEKVASSVQKALLLVFERMVEGEQEEPEMNGGDADPKKENTTIVVRLLVLSTQVGCLLGKSGGVIKQMASESGAQIRILPRDKLPLCASSSDELVQISGEVNAVRKALQSVSQQLLDHPPRENDSFSANNSGSSHSFGGSFSRQDSHPPFPSHGGPLPAGPRDGGSGTFGRFGSLQDMLTYRLICPDEKVGGVIGKGGTIVKALKHETGCDIKVLERTAESDDRVIVISGPAHPDERICAPQDAVLRVQTRIYRAAPENKAVTAKIIVSAHQIGCLLGKGGAVISEMRKTTGAYIRILGKDQTPQYAAENEEVVQVNGDFDAVHEALLQITTRLRNHFFRDAFPSMNHPSNPGFPDHGPPFPSYMGRREHSPPGRYSSFNRFDAGPPPHGAFHPHDDCPPFMNDRPGFPHPMSERSSAPWGPQVIEGRGGFGGPLGFSDYGGVPQRRMGGFGGGSHQAIITNTTVEVVVPRTVVPSIYGEDGGCLKQIREVSTLTLDIIGISAILDHLI >KVH93494 pep supercontig:CcrdV1:scaffold_446:59560:60561:-1 gene:Ccrd_004454 transcript:KVH93494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRAKLRMELITEEKTRNTSYQNRKHGIIKKAKELSVLCDVDTAIIVYPPDSNHPEIWPENADQMKKTIGSYKERKKENRKRTYDLIDYFQDRKRKIEDEFVKARKRNMEAKYPTWFDELNGLSEGQLRQFAIGLENKEKIVRARLELKKRNFNAQMPFEFELENNQPSTNHFVGSYPSLDQVQAMNDEVISNDLGWFDDASNTQMSFKFGLNNNQLPNHNVGSHPSSEQVQACNHDLMSHVLGWFDDAPNAQMPFGFELKNNQPANPYVGSYPSLDQVQALNQDLMSQDVGWLDDAATSSFTPLKPEMSGFGHSVNYEKSKPSMPKELALED >KVH93492 pep supercontig:CcrdV1:scaffold_446:95713:101045:1 gene:Ccrd_004456 transcript:KVH93492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEVKQPMFLTAYNNLYGSASSTENNKDRNRKTRKEKGWEDEEPLNLRNLSKVIIPPLGASSNYPQHHIHSKSTMIISPTDSKYRCWQTLMVAMVAYSVWTYPFEVAFLKSSAQSRKQLLIADFIVDLFFAVDIILTFFVAYIDPTTHLLVRDSKSIATRYISTWFLMDLASTLPFDLISYLFTGHHVSLPYSILGLLRFWRLRRVKQFFTRLEKDIRFNYFWVRCARLLCVTLFLVHCAGCIYYLLAVLYPHDGRTWIGSMNPNFREADIYILYISAIYWSITTMTTVGYGDLHAENAAEMVFIIFYMLFNLSLTAYIIGNMTNLVVEGTRRTMEFRNSIEAASSFVVRNRLPTRLKEQILAYMCLRFKAESLNQQQLIEQLPKTICKSIRQHLFMPTLERVYLFNGVSREILLLLVADMKAEYIPPREDVIIQNEAPDDVYIIVSGEVEIIECDPMEKEQVLGVLRSGDMFGEVGALCCRPQSFTYRTKILSQLLRLKTTALIEAMQTKQPDNVSILKNFLQHNKKLKDLDLGDLLLEGGEEGVDGDPNMSMNLLTVAGTGNAAFLDELLKARLDPDISDSKGRTPLHIAASKGHEEYMDGNTALWDAIASNHHSIFRILYHWASISDPFTAGELLCAAAKRNDLSVMKGLLKHGLLVDSKDHHGSTAIQIAVSENNIEMVKLLVLNGADVNDHTIKNKIPAENLKDYVAKREVGHQITVPDQDLVTKAASSGGRIREEKVERGILGKSQGQFVGRVSIYKGLPMVRRKISCTDAGKLIKLPSSLMELKIIAGEKFGFDATNSVVTDEDGAEIDTIEVIRDNDKLFIGEIP >KVH93497 pep supercontig:CcrdV1:scaffold_446:244469:247396:-1 gene:Ccrd_004462 transcript:KVH93497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEKYELVKDIGSGNFGVARLMRNKVTKELVAMKYIERGHKQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQRIMSVQYKIPDYVHISQDCRHLLSRIFVANASRRITLKEIKSHPWFLKNLPRELTEAAQAVYYRKENPTFSPQSVEDIMKIVEEARSPPPVPRSHGGYGWGDEDEDDDEDDKEEEGEAGDEEDGEEDEYDKRVKEAHESGEIGPI >KVH93493 pep supercontig:CcrdV1:scaffold_446:72856:93815:-1 gene:Ccrd_004455 transcript:KVH93493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHQTSKHSIFESHALCFHESIHARVLPNSLHHASVMAETEMFPENGRDDEMYFQVDKYILHYGQKKIYLVTGLRFEPMSTLHKAVGAICLVTDTLIRAIENFQARNRLKRVKSRKNYWYGHGIVPVDNTIGHTSIERGSSRREKEKRRERRKKKKRERSDKDRKKGSSRRRSNREGKRKVSDSDTESEEKGYGFSDGDSNLPRSDPVDVVKYILKEFPAVADDLEQLLRMIDDGQAVDISGLSEKSLVQYLRKLFLSLSLNENGRLVFLLPSDVRPTLEVVGAVIRPKLETQGPLIDNHDQHNDLSAEQPNEEHVPADGGSKLPCAQEDVAAPSKRVIGPAMPSAELLAAAAKLTEAEAELREAEVGEDDVLFIGPPPPAVVHEAASANDAERFEEVTRIMGAEIDNPYDIVGVNRNMQADNIKKRQAVFTIYCSFRFLLSIYWKLSLMVHPDKCPHPEAHQAFIKLNKAFKDLQDPVKRKAMDDKIDEKEEKERFKLELKAMREAAQWRRLQGISMEGDDALLADMDVKEERRRDEWMTTLPPERKPGVATQQSTKSFSRTSREGRGDTSAWTDTPSDRAQKAKMNYLEAYNVAAALAADDLEKKTSNNDDAEMVDKYNKAKRSKSLVEKHQEASRVRSKKKSKVEGQEKQKQEWEGDHPWKPWDREKDLTAGRQKVKLDAENMAQGLTSRFSSGSFQRNFL >KVH93496 pep supercontig:CcrdV1:scaffold_446:6517:11452:1 gene:Ccrd_004449 transcript:KVH93496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKNSQSPSATSVTTTTSNSLTLRSQSHDGTTVNCSPKSKEHISLSRQVRQTAIIDIQQTPDLQLALLRSGGVLKVQDFNVVMRHLGKQNRLKDLSQLFEWMQENMKLNFASYSSYVKLMGKSLHPNKALEIYNGIEDKLTRVNPSVCNSVLGCLTKNGKLESGLELFRHMKRDGLVPDVITYSTLLAGCAKVQDGYKKAIELVQELKHKGLQMDGVIYGTLISVCASCNQCKEAESYFNQMKIEGHIPNVFHYSSLLNAYSVDGNYEKAEEIFREMKSAGLVANKVVLTTLLKVYVRGGLFEKSRELLGELESLGYAEEEMPYCLLMDALSKAGQVDEAKLVFTEMKRKNVKTDGYAYSIMISAFCKYGLLDDAKQLASEFEAKYEKYDVVILNTMLCAYCRTGEMENVMKLMKKMDSLAINPDRNTFHILIKYFVKEKLYMLAYKTLQDMHSRGQRPDEELCSNLIFHLGKTGAHSEAYVVYNMLRYSEKPMCKDLHEKVLYILIAGRLYKDAYVVFKDNARFISRPAMKRFASMFMRFGNINLINDVMKAIHNSGYNIGQGLFSMAVSRYIGEPEKKELLLQLLEWMGGQGYVVDPSTRNLILKNSDLFGRQQTAEILSKQHVMSKTRDTSKR >KVH93486 pep supercontig:CcrdV1:scaffold_446:157205:164866:1 gene:Ccrd_004459 transcript:KVH93486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGVDNSLETVGVAMEFPVVDGTGVSSPPTLPPRLRRRLTETKASPCSFEEIEAKLRDADLRRQKFYEHLSSKARPKPRSPPQSAYEENLGQRLQAKLLAAEQKRSSILAKAQLRLAKLDQLRQAARTEVEVRVKKECAELGTKVELRVRQAETNRMRILKAYRQRRATLRERTSQSLTRRIARESKYKERVCAAICQKRAAAEKKRLGLLEADMEKAHARLLQVRRVAKSVSQQREIERRRLRERVEDKLQRAKRQRAEYLMQRARLHNSIGVNWTKKMQKQADHLSRKLARCWRKFLKRRTTFDLAKSFSVLNINEDHVKSMPFEQFALLIEAPSTLQTTKALLERLEIRYKALMGTTSSINFHGQDDIDHLLRRVASPSRRTTPRRISRDRHLKKPVTTRTVPKTPVKLSRYQVRVVLCAYMILGHPDAVFSGQGERETALAESAKKFVEEFEELINIVVDGHLQNSGEESKCAFPRHTFRSQLAAFDSAWCSYLNSFVVWKVKDAESLEEDLVRAACQMEISMMQKCKLTPEGDGADLTHDMKAIQKQVTEDQQLLRERVMHLSGDAGLERMRNALSDTRIKYFQAKENGSPIGSPVAHIPPSPSLRLTPNAADSDKRNKNEETNRVVRSLFKDDASKPLQKDVGSSTASSKSLESQVYQSGEMLSMENELIVNEFVHGQHYSSATSSNVTDEDQTVVKVRQTMEKAFWDDITDSIRQDNYDRVVELMKEVRDELCEMAPQSWKQEIMEAIDVVILSQLLNSGSLDMEYLGKIMEFALVSLQKLSAPANEINLKDAHQNVLRELADICRADDSNHSHAIALVLKQEISSARIKIMEPLLKGPAGLEYLGKAFAKRFGPPSDASTRLPWTMRWLSSVGPSYDQDWSDHTQILSGLQGGSPSEKFVMPSTALRTGGSFSSGLQTSTPISVTDAADNQFPECKGEKRDLLVRLGLMKLVNDVYGVTQEELPETLKLNFLRLRILDTVESAGLEEIVEVLSKTTDGFDQTNDPTKTKSRRLVMARMLRKSVQAEDPVFVKVSRAVYLATRGVVLGGGGNRGRQLSEMALRQVGAAALSGRVVEAGKMLGVMASASLSLLVVASGIVVTASRNSLLHRLLNAIPNVVLA >KVH93487 pep supercontig:CcrdV1:scaffold_446:124657:126061:-1 gene:Ccrd_004458 transcript:KVH93487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MPGARYYIGSQYIDEIDILCCQRALAAFGLDTDNWRVNVQSYSCTSANFAIYTGLLSPSDRIMGLDTPSGGHTSHGYHXPNGRKYXGLQFSLKVFNTRLTRXXVLVDFEKLEKKXLDFRPKILICGGSSYPRDWEYAKFRQIADKCGAVLMCNMAQISGLINAKERVNPFELCDIVTSTTRKSLRGPWGGIILYRSGPXLRKRGMLLNQGDGSDNYDF >KVH93495 pep supercontig:CcrdV1:scaffold_446:12404:14879:1 gene:Ccrd_004450 transcript:KVH93495 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MNTPYPTPITPSQTRIGWIGTGVMGSAMASRLLSAGYALTIYARTPSKTLSLQSQGARLVDSPSEVAKASDVVFTMLGHPSDVRQIVLENPDSILSSLNPGGVIVDHTSSHPNLAKQVFDSAREKNCWSVDAPVSGGDIGARDGKLAILAGGDEGVVKWLSPLFTLMGKPNYIGVAGCGQSCKIANQITVGGSLLGLSEGLVFAEASGLDKGRWLEAVRQGAAGSSVMELFGERMIGRDFRPGGFAEYMVKDLGMGLDVVEEEGDKVVVMPGAALCKELFSGMVANGDGKEMKRREGDLRSEMGTEKRDDRECKVTKRQIRKGVTGCWEFARRGNKR >KVH93491 pep supercontig:CcrdV1:scaffold_446:114675:115725:1 gene:Ccrd_004457 transcript:KVH93491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSSSTSTSVHGFYTFLTQGLDDLYAAIHSHNFITIQFLQTVLSSLQSFHSQLTLVVQKLHLPVGEKWLDEYMDETARLWEVCHVLKTGVSNMENYYTAGENISTVAQNHHQLSRQVLRAINGCQRERVGLEEENRSLIETRIQPLLMKFDKNVSIESKFNGFNGFRGVLYALKNTNSLLLTILLSGLVYCSSETSFSSSNTDCYDEGDQQVGFGSGFMASAARLHERMKVSENGQTGILLYEFQMAGNSMDELKRMVERLDFDLHEKVEKLKNCFGALKCGAENVIVQLDDFFDEIVEGRKKLLDLCTHT >KVH93499 pep supercontig:CcrdV1:scaffold_446:212525:222873:1 gene:Ccrd_004461 transcript:KVH93499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLCVSTSSPAFNNKKTLPTLSSKRICTQGCYQHKCLSLKAMQEEMTFTMVNESAKQRIPHVLTVAGSDSGAGAGIQADLKACAARGVYCSTVITAVTAQNTIGVQDVSILPEDSVAEQLKSVLSDMHVDVVKTGMLPSTAIVKILHESLKQFPVRALVVDPVMVSTSGVVLAGPSVLDTFRWDLLPMADIVTPNLKEASTLLGWSQLETITDMCSAAKSIHNMGPRNVLVKGGHLPSSSDAVDIFFDGEDIYELRSSRIQTPNTHGTGCTLASCIAAELAKGFSMLSAVKVAKRYVESVLKFSKDITIGDGPQGPLDHLFKLKRNVYHSRKLRPLDHDDLFLYAVTDSGMNRKWGHTITDSAAKECLVICRHHNVPLLINDRIDIAIACDADGVHVGQSDMPVQTARSLLGPEKIIGVSCKTPEQALKAWTDGADYIGSGGVFPTNTKANNRTIGLDGLKTVCSASKLPVVAIGGINLSNARSVMELDVPNLKGVAVVSALFDRECVRTETEKLHALLAETVAQTKNKKTQDE >KVH93488 pep supercontig:CcrdV1:scaffold_446:52253:56555:1 gene:Ccrd_004453 transcript:KVH93488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NUF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G61000) UniProtKB/Swiss-Prot;Acc:Q8RXJ0] MSKFDYPRLPRREIIGVLAESQIASVSDADLLNPSPDFTSNLYTQILIHIGCLQEDYGLVEFADLEQLENPDLHVDSVRMMNLFKKIKEVISALDCPKKFTLKDLIKPEADRTELFLSAVLNFCLHKDTRMNLLRPAVEDLTLLDEQRQELEARILQLNTDISEFNESREREMPLIQEVDTKIKELRQSISALNNHQMSLKSTFRKKKDAAKEMDEKISSAEFALVQSAQENASLRSKIVQSPDKLQRALEEKKAVQVEAKDAERVAMQSFHKKTAILEVYTKELSSLLEAGTVHVKGMASKKMTKHLKQMQTLQEQMNSGKQVEKDVKVLKVKNSDDGVLDKSLEVKLHEQQGRGGSSSLSLS >KVH93490 pep supercontig:CcrdV1:scaffold_446:16928:18608:-1 gene:Ccrd_004451 transcript:KVH93490 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MNLVAEKADQTVYEGEGGAYYTWTPSKSPLLSECKLGAGKLILHPLGFAFPHSFDSSKIAYVLQGSCTVGLVASNSSEETVVVIKKGDAIPLPRGEISWWFNGGNTDLTLIFLCETAKAQVAGEMGYFFLGGAQGMLRGFQSDIVAKVFDLNNKEAEDLISSQPGSLIVKLRKGTEFPNPSEHVKEKVYAAIDTLGADVVVEGGGIINSLTEKEFPVLVGMNLSARFVRLEGKAMLAPSYVADGTGQVIYVAKGSGRIRVVGNEGKPSFDGDVKEGELMVLPQFLAASVIADEGGMELFSVINSSKPTFEQLAGNMSVWKKLSSVVLQSTLNINPELEELFKSKNTKSLMIIPPRN >KVH93498 pep supercontig:CcrdV1:scaffold_446:174233:186414:-1 gene:Ccrd_004460 transcript:KVH93498 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, ATP-dependent, RecQ type MRNNCSGRSRGADKPIRNNWSQHAKSHDNVLSEDKNFLFSLSRQKPSAEQAMTATARHNVTKAHSLQVDKAWQALSNLKLSSRNYIKPGKSRPIAKDGDTASFRDVERATQQCSSEANNKSTRSTWGDQHSNEINIMHSKPRRMTDLFASVTTSVTEAGRAETMQSGGYVLNSTNVCGRDEGGIHKNHAKISSHLHGLQEIDAGGIDDEDILQNLDMDQITEHFQSSCTPRPSISKFPPFTPAASHTVAACEDISLPPELCVDCSHGFKARICPEAAGHLHVMKDQLISISNDLLDNVGELNSDQIEKLRQDRLQLNKQIQQLEKYLHYILMDEERHNSNFSAYTTTRTLQYGTPPTFTSMVDPVRLDDQFYMCNETNGHDRWDSSSVSCFSEGNVDDSSTSMEREPHAKRYVEVNYSEGSDDKKWSKRDFPWTKKLEANNKKVFGNHSFRPNQREVINATMSGKDVFVLMPTGGGKSLTYQLPALICPGVTLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWSEQQEILRELCSGHCSYKLLYVTPEKVAKSNALLRQLENLYARELLDRIVIDEAHCVSQWGHDFRPDYQSLGILKHKFPNTPVLALTATATASVKEDVVQALGLVDCVIFRQSFNRPNLRFSVIPKTKKCMEDIDKFIKDNHFDECGIIYCLSRMDCEKVAEKLQDCGHKAAFYHGSMDPDQRAKVQKLWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQHASCVLYYSYSDYIRVKHMLSQGSVEQNSFASGYRSSTMNSGRVLETNTENLLGMVSYCENDVDCRRYLQLVHFGEKFDPVNCRKTCDSCFKNQSLVNKDVTGIAKHLVELVKSAQQNFSASHILEVYKGSMSQIVKKYQHNTLSLHGAGQNVAKGDALRVIRHLVIEEILVEDVKKSDLYGSVSSILKVNEKKAYDLLEGRQTIILSCGWCPTGNIPFGAPDLFINIGGGGETGMLPYDISAKGSPELKRSLSRLMALASK >KVH93489 pep supercontig:CcrdV1:scaffold_446:36981:39561:-1 gene:Ccrd_004452 transcript:KVH93489 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant METNLVAEKADQTVYEGEGGAYYAWTPSKSPLLSEWKLGAGELILHPLGFALPHFSDSSKIEETVVVIKKGDVMPLPRGQISWWFNGGDTDLTIIFLGETAKAQVVGEMSYFFLSGAQGMLHGFQSDIVAKVFDLNNKEAEDLVSSQPGSLIVKLRKGTEFPNPSEHVKEKVYAAIDTPAADVVVEGGGIINSLTEKEFPVLMGMDLSARFVRLEGKAMLAPSYVADGTGRVIYVAKGSGRIRVVGNEGKPSFDGDVKEGELMVLPQFLAASVIADEGGMELFSVINSSKPTFEQLAGNVSVWKKLSSVVLQSTLNINPELEELFMSKNTQSLMIIPPKN >KVH94887 pep supercontig:CcrdV1:scaffold_4461:27916:28713:-1 gene:Ccrd_003046 transcript:KVH94887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGNTKKFLLQYLRLEGLNPNDDFHFDNSAIKTNLRRSLPSSILLSATSIFATISHRIKSTMVSSSPAILPISNPQTNSAGESQSPVPTTAFGTFTNHITETLNSGLSQRRPWSQLADRSGFSKPESVTDATTRIQKNYAYFRVNYLIVVAAVVGFSLLTNPFSLITLLGLLAAWLFLYLFRPSEPPLVILGRTISERETLGVLIVCSIVVIFLTSVGSILISALLAGIAIVCVHGAFRTPDDLFVDEQESTGFSPFLSESRHT >KVH94885 pep supercontig:CcrdV1:scaffold_4461:28334:32002:1 gene:Ccrd_003047 transcript:KVH94885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLVKVPKAVVGTGDWDSPAELVCGFEIGSIAGDEETIVDLIRWEIVANIEVAERRIEDGSDRRSPPTGEEEEDKRNAGSYFVVLVQICSLFSGSSFHRGMGYLLPLICQDFWLKKRNHYVEGGMLEIVNIVRRMN >KVH94886 pep supercontig:CcrdV1:scaffold_4461:20535:23122:-1 gene:Ccrd_003045 transcript:KVH94886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein HKVTCFSPILSPVLHRLSVFFSFHSILFKTHSKSKQMHKTSGGRRPKKKVYHRVHELDKVMDLQKKPSVILKLKSIIQSQKNQSLLLRDLEKEVGFIQKWNFIAVIEKYPSIFHVSGGHKTPPAVMLNRKAEKVAAEEAAANELMEPILVKNLRKLLMLSVDCRLPLETIDFIQPELGLPSDFKKSLLPKFPEFFCVKDVHGRSHLELGNWDSSLAITAREERWLHERILNTSGHSKRPRISKDGNFPGPFAFHLKFPVGFRPNMSYLQELEKWQKMEFPSPYLNAKRFEVSDPKARKRVVAVLHELLSLTMEKRLTSAQLDAFHSELRLPARLLLCLIKHHGIFYITNKGARSTVFLKEAYDGSRLVDKCPLLMFRDKFVALTGRRDIEPSSHAI >KVI11332 pep supercontig:CcrdV1:scaffold_4462:11711:32634:1 gene:Ccrd_010259 transcript:KVI11332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MLDEMKEVDRSEHPGTVVKKKGSSGCLITKKKVTDSVRGASGFSDSSSRNLFKSSKKKRRRRVVGSDSKSIDNQSETMRQKVDSFRNGSVVYQAAYLEDEEFGIEKRVSNGLDVFEFDEYDGFDERRIRKDANDGSHRWKLYRRSGDSMESGNGGSGMSADIGSDFHHNKKSTLFATDCSRPTYGAASRSEMEDDESDLPLSVLSKKYRLSSKKPIRLQGKNGVLKVMVNKNKRMGVSRRSSDHAEVEDQKEPMLRVLQKKKLNACVQSSEHRTKDGQSSRSEDTNKNKAAMGTSFYSNSKFAGKPAMSRTKEKGGLKFLKSKLANSSKEINEGDTLMHLKSCSRGEVVKNEGIISPLTENANRIKGKESKVKRGSRTEKQLLREKIKNMLFAAGWTIDYRPRRNRDYLDAVYISPPGTAYWSIIKAYEALQKEEEEYSKAEGIFTPLADEILGKLTRQTRKKIGREMKKKRKSEDSSRNSKKAEVNEVADNADSNWEEEKLNSYVKHSRKSKKNRIEEDSQNSDDDSSENSYNEIPRNDRAAKATVSTNSDTVHGRKSRKIGKCTLLVRSSDKGPYSDNYGLVPYSGKRTLLSWLIDSGVVHMSEDVQYMNSRKTRIMQKGRITKDGIHCGCCSKIVPVLKFELHAGSRLHQPFPNIYLQSGKSLMQCQIDAWNKQGELEQKGFYTVDVDGDDPNDDTCGLCGNGGNLICCDGCPSTFHQSCLDIQMLPQGDWHCPNCSCKYCEIDGGNCTEVCDKNEASLFVCCLCQKKYHESCRPETDLKPTEPNHLNLLFCEQKCQELHRRLQKLFGVKHELDSGFSWSLIRRSDLLTDASSIELSHRVECNSMLAVAMSVMDECFLPIAERRSGTNLIRNVVFNCGSNLGRLNYSGFSTAILERGDEVLCAASIRIHGTQLAEMPFIGTRHIYRRQGLCRRLLSAIESALSSLEVEKLIIPAIAEHMHTWNDVFGFHSLEESHKQELRSMNMLVFPGTDMLQKPLLKRIPKGNNAIEVGGYMALSGKSELISSDKTVATDSGFQDPLEDGFMVETLPINSPVKGAILMPVLVNKSELVSSSSCGNTDDSTNMQYRNGNTGQFSQPDPSCETADVDSHPTQVPGVASHATVSSDIPCEPELQLSVSLDPCFNSNVEESTTQVPAGDLVSELQFPGKESVSIRDLSLEGIGVQNRNGTNLLLAQVHDPSHDRVPTDTPFRSDLQVQVHLEKESFSSVDAHNDSMLEEIYVQNGCETTNNTSDIISLQDQAPKAPSNNSISSDTLGEHQFHSSGLESLETGKYVVVKNKIQISGGKEGSSERNTKEDVLETGNHGVKWSID >KVI03351 pep supercontig:CcrdV1:scaffold_4464:29138:31519:-1 gene:Ccrd_018351 transcript:KVI03351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MGAPFLLTLVILTQITSISSSFSSHGLTGGSSLSVENQQHLLVSPNNLFTAGFHQVGDNAYAFAVWFSDQTTSGVRTVVWMANRDAPVNGKQSKLSLGKDGNLVLVDAGHYVIWSTQTKATSSSLQLRSSGNLVLSDGDQSILWQSFDYPTDTLLPNQPFTKSTQLVSSRSSTNCSSGFYKLVFDNDSILRLLYDSPETTSLYWPDPRLLTWKVGRFQYINTRRASLDSKGEFHSSDGFTFLSADFGMARQRIMRMDTDGILRVYSLVEHERGTKWETQWQAIDRTCRVDGICGANSLCTYSQDSGRKCSCLHGFKMVNSKDWSYGCEPEFKPCIRDECDFIELREAEFYGYDIRMGLNYTLDACKKDCLLDRNCSGFNLGWKGNMGYSYCFMKTSLHNGYQNGVAGVMYIKLPKRLVSSFDQKTISLSTLICPSRPVLTPLIRSYDKKHDISKPLGYMLVLGCTIGIIEIICIVVFWYFSHQHSSAAEESYFPAPTAFRKFTYRELKKATRNFREDIGSGGASVVYRGRLNDNRIAAIKRLKNTYQQGEVEFQAEISTIGKVNHMNLIETWGYCAEGNHRLVVYEYMENGSLAENLGTGKLDWATRLDIATGTAKGLAYLHEECLEWVLHCDVKPHNILLDANYNPKVADFGLSRLFDRSGINQSNFSMVRGTRGYMAPEWVFNLPITSKVDVFSYGVVILEMITGRSPTGKQHTSNEDGKAESSLIEWVRERIQDANGESWVEDIIDPSIRGEYDRTIIENLVRIALHCTEEDRDARPSMSQVVDMIQDPR >KVI04413 pep supercontig:CcrdV1:scaffold_4467:41867:44137:1 gene:Ccrd_017273 transcript:KVI04413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKTTAIGPVWSAITPPVMSSRFAFVDLTMELTAIAMDLMILMLNLKKYQNKLGGIISPSLINLKQLRYLDLSCNNFGSSKIPTFIGYFQNLSYLNVSKSQFYGEIPHHHGNLSMLQVLALQGDPVFRNLYSKSLKWLKNLKRLKHLDMSGVDLVRASDWLQNLSLIVHIELSNNENVEKIPKSLSNLCNLITLDLQSNEFHGDVSELLKNFCEWTIPESLGSLSFLKTLEMNINQLVGSIPNMVGGLSSLNFLDLSYNKLNGSLPESIGQLGKLTFASLHHNSLTGIVTEHHFANLSALKTLWVRDNKLVFKLSVTNWILPFQLDVLRIGSSSLGPSKLGDVRFLTPGAKLDLSANHFYGLLPYNLSRPDLEFLDLSYNNLFGSLIQFLCSIIQEPCQLKVLVLGNNNLSGVISNCWINWGSLEILDLQENKLSGEIPSSIGNISSLVSLAVHNNRPSKKLRVSLSNSKSLVIIELAENRLSRRIPTSIGGDDTSLRLLSLHSNKLEGEILNEICRISSLQILDLAHNDLFGNLPTCFRNFSVIS >KVH93942 pep supercontig:CcrdV1:scaffold_4468:38263:42043:-1 gene:Ccrd_004003 transcript:KVH93942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKENTTKEKRVEERKALWPNNKRMALFQERINRRVVVEEMRTGCQILVVVVLRMPELGADDGLGVVNGGGLVEVEAVMVMEVVVCIQCKEVVVVEVLYKEVVMVEVENVAMVEVENGGMVAVGVMNKYMSEVVEVNGVVEVNGVVEAVNGVAEESVVEVVNCSSKEWVVVGNTRVEAVEMQPEEVVEVILHNKEELLQVVAGMVVVAMVGAEMVMGEVEKEVEVTVVVEKEVEVTVVVEKEVEVTVVVERVVEVVVTLPEAEEMEVGEMVVVVMVEVVMVEVVMVAVEVEETVRVGCKQEVVEEMESQQVAKESQQAATAPAQEETAVVVREAPEQMEYT >KVH93941 pep supercontig:CcrdV1:scaffold_4468:37122:37483:-1 gene:Ccrd_004002 transcript:KVH93941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPWLRSIPATVLSARLSGAGAQNTPPRVFKNQRRINRHILSTVAELIAIVQKLTLPDIHHLTVGKRTRTTNTAAAIGKTENITS >KVH99568 pep supercontig:CcrdV1:scaffold_447:104791:107749:-1 gene:Ccrd_022193 transcript:KVH99568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGCAPTKPFMDSSHPVGLEKLKMENGYVRKSSSSSSSGGRSNRKEGKKTVEGEGGGRPSVSHDLVDGWPKWLTDNVPKDALDGLLPKSADAYDKLDKKNQKNVLVTPHFSIVLQVGSGTYSNVYKARDRKTKKIVALKKVRFDTSEPESIKFMAREIMMLRKLDHPNIVKLQGLATSRMQYSLYLVFDYMQTDLSRIISRPDERLTEPQGSNLLIDKNGMLKIADFGLANYYNPNKPVALTNRVVTLWYRSPELLLGATRYGPGIDLWSAGCLLAEMFAGRPIMPGRTEVEQLHKIFKLCGTPSEDYWKKLKLSTTFRPPHSYKPNLQEAFRDYPRSALGLLTVLLALDPAYRGSAASALQKEFFHTSPWACDLTGLPVIQVDDDDLDQTSERKKPRKPRTRQRSRMPREQRRKDQTTENPDVASVSPKEEAETKPEVDRKSEELVTTKTSSSSSPGTGPRPTLEIPAPLPSPENLYQRYQRQMSESHPNARMNIKNRPPLPGAKRISSKYGGMVDEAQTHLPRSSSTREFRKIDQRKLDD >KVH99581 pep supercontig:CcrdV1:scaffold_447:83933:87663:1 gene:Ccrd_022195 transcript:KVH99581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrite/Sulfite reductase ferredoxin-like domain-containing protein MSVPMTATAVGAANTALVVKDPKIQLKTFNGFRNNNTCYSSTYSLLLHKRIHPFSSSTSSTLIRAVSTPLKQETSVETKRSKVEIFKEQSNFIRYPLNEELLTDAPNINEAATQLIKFHGSYQQYNRDERGARSYSFMLRTKNPCGKVSNQLYLAMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKTVMSTIIRNMGSTLGACGDLNRNVLAPAAPFVREDYLFAQQTADNIAALLTPQSGFYYDMWVDGEKFMTAEPSEVVEARNDNSHGTNFPDSAEPIYGTQFLPRKFKVAVTVPTDNSVDLLTNDIGVVVVSDDNGEPQGFNIYVGGGMGRTHRIETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRRYSRMKYLIDSWGIEKFRSVVEEYYGKKFEPSRELPEWEFKSHLGWHEQGDGRLFCGIHVDNGRVKGTMKTTLREIIEKYNLNVRITPNQNLVLCDIRPSWKRPITVALAQGGLLPPSYVDPLNITAMACPALPLCPLAITEAERGIPELLKRVRAVFEKVGLRHNEFIVVRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPAQTSLARSFMNKVKIQELEKVFEPLFYNWRLKRKSKESFGDFTNRIGFEKLGEMVDKWEGVPKSSSRYYLKLFTDKETYEAVDAIARVENKTAHQLAMEVIRNYAASHQNGKSE >KVH99570 pep supercontig:CcrdV1:scaffold_447:111163:113718:-1 gene:Ccrd_022191 transcript:KVH99570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVEGGRFFSSSASGYSNGLNLLLLGHKKEEKPMRVTPWNQYHLVDQESDPDPDPDHQLAANNKRCVCGCASFSCFGHSAAGIESPSIGPTHQHVLKASCDLEKVEETLPTSDFVGGDGNSTNVTCLKSSLKRPAIGGVNNGDEVENAPGQIDRRSVQWTDVSGGELVEIREFEPSEHSDSDDEFEHSSGKTCSCRIM >KVH99579 pep supercontig:CcrdV1:scaffold_447:4122:4877:1 gene:Ccrd_022198 transcript:KVH99579 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, OSCP/delta subunit MAAAAATALQQAPVTFHSRTPVSFRGSFVKPVKISFSGGLRLPKLSIKLTGKSRRGGGASGAKMADSAASSYAAALADVASANGTLEATVKDMELVDQFFSERANFDYFTSPIVGLEEKRALLDDITASGKLQTHVCNFLNILIERKRIDMIKEIVKEFELVYNKLTETELAVVSSVVKLEETQLAQIAKQVQKFTGAKNVRIKTVIDESLVAGFTIKYGQTGSKMIDMSVKKQLEEIAAQLEIGDISLAV >KVH99572 pep supercontig:CcrdV1:scaffold_447:6753:8934:-1 gene:Ccrd_022197 transcript:KVH99572 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MASKITLMPLQFVCLIIVVVMMHGSEAQQQPLVKGLSWKYYESSCPKLESVVRKQLEKGCDGSVLLDGSASGPVDSEKTAPPNLSLRGFDIIEDLRRRVHKQCGAGSVSCSDITAIAARDAIVLTGGPEYSVPLGRRDGVSFATRDATLANLPSPFVPTETLLTTLAPRNFDATDAVALSGAHTIGVAHCPSFTRRLYPTQDATMDQTFAGNLKGVCPDANTNATTFMDIRSPNVFDNKYYVDLMNKQGLLTSDQDLYTDTRTRAIVTSFAVNQTLFFEKFVNVMVKMGQMEVLTGAQGEIRNNCSVRNSNDVLISSVVEGDNIESF >KVH99574 pep supercontig:CcrdV1:scaffold_447:252111:255178:-1 gene:Ccrd_022184 transcript:KVH99574 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 3 MAVRQRAVATLPTLMRALRKESPSLPQRLPSLRRAFSLYDQVNLIDNIPEDQLRFQGYTDSGFTVNGVQYEGSLLCVGNLLLSWSPKKMSDITTDSLSIFQAVRPIPEILIIGTGRHIESVDPAIRKFIRSIGMKLEAIDSRNASSTYNILNEEGRIVAAALLPYGVSSS >KVH99573 pep supercontig:CcrdV1:scaffold_447:229284:240468:-1 gene:Ccrd_022185 transcript:KVH99573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MSANQKTESPDTLKYEVFSCKDAPKKHAASVGTKEDNPFDFVRVLLDGAIAGAAAGVVVESVLYPLDTIKTRLQTVRGGGKIILKGLYSGLAGNLAGVLPASAIFIGVYEPTKQKLLKSFPENLSALAHLTAGAVGGAASSIIRVPTEVVKQRMQTGHFASAPDAVRLIVAKEGFKGLFAGYSSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRNLSDPENAVIGAFAGAITGAVTTPLDVIKTRLMVQGSSNQYTSISHCVGTILKEEGASAFLKGMGPRVLWIGIGGSIFFGVLEKTKQLLAAEREDHKLS >KVH99571 pep supercontig:CcrdV1:scaffold_447:40203:47500:-1 gene:Ccrd_022196 transcript:KVH99571 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAMASLKASSVATLLLFAVLISLRGLEAQTPPVAPGLSYTFYQTTCPQLQTIIRQQLQTVFNSDIGQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPSEKSAIPNLTLRAQAFVIIENLRTLVHNACNRTVSCADITALAARDAVVLSGGPNYNIPLGRRDGLNFATTNVTLANLPPPFANTTTLLNSLALKGFNPTDVVALSGGHTIGIAHCTSFESRLFPSRDPTMDQTFFNNLRTTCPALNTTNTTFMDIRSPNVFDNRYYVDLMNRQGLFTSDQDLYTDSRTRGIVTNFAINQTLFFQNFVNAMIKMSQLSVLTGTQGEIRANCSRRNGNDLFILPGMEKNDDEKVASY >KVH99576 pep supercontig:CcrdV1:scaffold_447:210443:217680:-1 gene:Ccrd_022186 transcript:KVH99576 gene_biotype:protein_coding transcript_biotype:protein_coding description:GIGANTEA-like protein MAATSARWTDGLQFSSLFWPAPLDVQQRKAQITAYVDYFGQFTSEQFPEDIAEVFPFVTLFFSNIVENTNIEVVLCYVPYSDTHFCSWFATAIRHRKNVCLMMSWVLCFILPSFSLLQLLYFVLTYHLINLKFPATFVLHHPEHGHTVILPIISCIIDGTMEYDRSTPFASFISLVCPSDDNEYSEQWALACGEILRILTHYNRPIFKADRQQNVTDRSSSGSQASTSNSPDSETSSPSAQNDLKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSVVMASSRGSGKHPQLVPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTSMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPRNWMHLHFLRAIGTAMSMRAGIAADSAAALLFRILSQPALLFPPLRQIEEVELQNEPPNGHNPSHKKQREMPTTEETIDATAQGIASMLSAHGPEVEWRICTIWEAAYGLIPLSSSIIDLPEIIVAAPLQPPALSWNLYIPLLKVLEYLPRGSPSESCLMKIFVATVEAILQRTFPAESSYDRTRKTTHVFGSASKNIAVAELRTMVHSLFLESGATVELASRLLFVVLTVCVSHEARPHGVKRPRDEDSFPPVGEKLEAMSGKQRNLASKRLNKQGPVSAFDSYVLAAVCALACELQIFPLISRVGKQSNSKDVAKSAKVVETFNDFRSSIDSAVCHTRRMLAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHISELFRRSKACMRALSVLMRCKWDKPIHSTASSLYNLIDIHSKAVASIVNKAEPREAHLLNAPLWKDARVCLNGKRQNRGTNAAISSGKGQSSSKCDDSRSLRTCEEVSTLCEDSEKGITSFPFNASELANFLTMDRHIGFNCGARVLLNSVLTEKQELCFSVVSLLWHKLIASPETQLSAESTSAQQGWRQVVDALCNVVSASPTKAATAVVLQAERELQPWIGKDDDAGEKMWRINQRIVKLIVELMRNHNTPESLVIMGSALDLLLRATDGMLVDEEACTLPQLELLEATARAVQPVVEWGESGMAIADGLSNLLKCRLGATVRCLSHSSAHVRALSTSVLRAILQVDNVMEWEGDVISKCLTWEAHSRMATGMPIQYLHAAAKELGCPILSI >KVH99578 pep supercontig:CcrdV1:scaffold_447:141284:146514:-1 gene:Ccrd_022188 transcript:KVH99578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSLATTLDEVDRTMYSNFCNAANSLSQLYSQAMSQQKLSFLSGERHGLEKLYQWISKQEAEGLRVTSEDILAYIQGELESSCVEEAPLARQQVTNPPSINCGSSSDQAASKNYIFSNALSSPVRRSLQNYHISSQVENQILDSSMDMHADHD >KVH99577 pep supercontig:CcrdV1:scaffold_447:136240:137862:-1 gene:Ccrd_022189 transcript:KVH99577 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MATLISQNAAVKDRVKKIKRKALDESLQLDVVQEMENSKDLDVVKPKKKKKELIENKQAEIEQEMEMKKLENALFGSLHPVEFGKDNDGNEDSALFFTDRSANSVLSVYEEDGIIVGTDEQIQRKPVWVDEEEEKTNINIAKVNRLRKIRQEEDETLISGSEYVSRLRAQHVKMNPSTEWARQDRNYNSDEDSDQENGDVDSILQTTQDLVVKSSAKLLPGLLEYSRLVDANAQDPSSGPINSVQFHRNGQLLLTGGLDKKLRFFQIDGKRNTKIQTIFVDDCPIRKASFTPDGSQVILSGRRKFFYSFDLVKAKMDKIGPLVGRDEKSLETFEISPDSNTIAFLGNEGYILLVSSKTKELIGTLKMNGTARALAFTNGGQQLMSTGGDGQIYHWDLRTMTCFHKGVDDGCITGTALGSSPNGNIFAAGSDSGIVNIYNRDEFLGGNKKPIKTIENLTTKVDFMRFNSDAQILAICSSMKKNSMKLVHIPSFTVFSNWPPSNRTLQYPRCLDFSPGGGMMAMGNAAGHVLLYKLNHYQHA >KVH99580 pep supercontig:CcrdV1:scaffold_447:102615:104383:1 gene:Ccrd_022194 transcript:KVH99580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase IIPVYWCCFQKFFLGGRLIFGPDARSLGATFSLIVVPVIVFCIFVGRHLHHEYNAGYSIILAAIIFTIYVLVLLFFTSARDPGIVPRNSHPPEEEFSVSQETPSLQFPRTKEVMVNGISVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFLFVSSSTLLCIYIFSMSALYIKALMKDDEGTVWKAMKESPAATTYENFRYRADNRYNVYNRGCVNNFLEVFCTKIKPSRNKFRGPIQEVQRPPTVTGRDDGGPEARRVKVEDDLDIGGDLLKISQRHNSEGIESDIRSRGNDEPTERQSSWDDIRSRGNDEPTERQSSWDDIRSRGNDESTERQSSWDIAPEVVGMDTNVVENERYR >KVH99569 pep supercontig:CcrdV1:scaffold_447:118296:122387:-1 gene:Ccrd_022190 transcript:KVH99569 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MATLISQNAAVKDRVKKIKRKALDESLQLDVVQEMENSKDLDVVKPKKKKKELIENKQAEIEQEMEMKKLENALFGSLHPVEFGKDNDGNEDSALFFTDRSANSVLSVYEEDGIIVGTDEQIQRKPVWVDEEEEKTNINIAKVNRLRKIRQEEDETLISGSEYVSRLRAQHVKMNPSTEWARQDRNYNSDEDSDQENGDVDSILQTTQDLVVKSSAKLLPGLLEYSRLVDANAQDPSSGPINSVQFHRNGQLLLTGGLDKKLRFFQIDGKRNTKIQTIFVDDCPIRKASFTPDGSQVILSGRRKFFYSFDLVKAKMDKIGPLVGRDEKSLETFEISPDSNTIAFLGNEGYILLVSSKTKELIGTLKMNGTARALAFTNGGQQLMSTGGDGQIYHWDLRTMTCFHKGVDDGCITGTALGSSPNGNIFAAGSDSGIVNIYNRDEFLGGNKKPIKTIENLTTKVDFMRFNSDAQILAICSSMKKNSMKLVHIPSFTVFSNWPPSNRTLQYPRCLDFSPGGGMMAMGNAAGHGELESSCVEEAPLARQQVTNPPSINCGSSSDQAASKNYIFSNALSSPVRRSLQNYHISSQVENQILDSSMDMHADHD >KVH99567 pep supercontig:CcrdV1:scaffold_447:107934:109494:-1 gene:Ccrd_022192 transcript:KVH99567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a/b binding protein domain-containing protein MSIASLQYIRITDSSSNPSSPSSSTAGGFRSCASKRSVVTIRCSQTDGPLRRPSIATPPVRPAPLTPPSSPPPPPPDTTAVVGQNVVTMEFQRQKAKELQEYFKQKKLEDAANQGPFFGFIGKNEISNGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGIVDLE >KVH99575 pep supercontig:CcrdV1:scaffold_447:205281:209754:1 gene:Ccrd_022187 transcript:KVH99575 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MTPSSKADKKAAVDIAAWMFNIVTSVGIIIVNKALMATYGFTFATTLTGLHFVTTTLMTLVLRWLGYIQPSHLPVADLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVFDKIRYSRDTKLSILIVLLGVAVCTVTDVSVNTKGFVAAFVAVWSTALQQYYVHYLQRKYSLSSFNLLGHTAPIQAGSLLLLGPFVDYWLTNKRVDAFKYDTASLLFLILSCTIAIGTNLSQFICIGRFTAVTFQVLGHMKTILVLMLGFIFFGREGLNLHVVLGMMIAIMGMVWYGNASSKPGGKERRSYSLPKTSQPKKDSLLETSDTDDKP >KVH59585 pep supercontig:CcrdV1:scaffold_4470:25470:31107:-1 gene:Ccrd_025662 transcript:KVH59585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNIMSTGAQKVMVVHDASRNDGLNAIIWAFTSLPITSGDELILLGVIHQLPSPLKIMSKVDGSGSNSKSKNDGQQRKEEYLKRDEIMFEIIVHAGSSPKTIAAKAAKSLRVTWVVLDRQMKKDKKYFMDKLTCGILRLKRDNTIEKLRGPITMEDKKLPLGKRTTSNEHVSYGEMIPEMSQEGLSPKKTPSAKKATNLVKPEGSYSAGASSCSPPSTDQKSSSASAVSSSSVGTSEVSSCTDAGNVSAICFQQDKNDPKNPQVDTAGEQASDLIPESKDRIEILAFNSGSTYDEQEKQQLLSTSDTLVEGCQKEEKFEYSVCSVCQNKRPSIRLTKDFSYAELYEATNCFSSKNFLSEGGFGSVYEGELKCGMKVAVKLHKDASCQGEKEFKSEVNVLSKARHPNLVMLLGSCSEGTHRLLVYEFVCYGSLDQHLSKHALTWEKRIKIALGAARGLDYLHRIKIIHRDMRPNNILVTHDYESMLGDFGLARIACGDTDETGVVGTLGYVAPEYAESGRVSTKTDVYSFGVVLLQLITGCKTKEKKFEGKTLVEWARPLLEDNNYPDLIDERIVDSHDVHVFQLYHIVNLAEACLKKDPAKRETMTHVSFDKPISTKVILR >KVH59584 pep supercontig:CcrdV1:scaffold_4470:35694:43282:-1 gene:Ccrd_025663 transcript:KVH59584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCITCMRFNTLPHPHHVRCGFHTLDVPVASSTRFHLRFHLKHAQITGECLQLRLASWVIRSFQQLRRTRRAPEYFTCSVLGSGEGNESQGKSSSSHEILEKLRRYGISGILSYGLLNTAYYLSAFLIAWFYIAPAPGKMGYWTAVKRFVKLMAMVWAGSQVTKLVRAGGALALAPLVDKGLTWFMAKFKFKSQAKAFTVIVGCCFGIAAVLFIVVTLLSA >KVH59583 pep supercontig:CcrdV1:scaffold_4470:11151:19359:1 gene:Ccrd_025661 transcript:KVH59583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MGRKDGLEKHGYNDALRTSKREMAVATRGIEREIASLQMEEKKLVAEIKKTAKIGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYANTSISTGMKGATKAMVAMNKEMAPAKQAKVIKEFQTQSAQLDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVGIASQLSSAPKGRIGPKKVDNAVPSSVSNDVDDLEKRLASLRRI >KVI04774 pep supercontig:CcrdV1:scaffold_448:210476:215152:1 gene:Ccrd_016908 transcript:KVI04774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPGGGSSFRYITDQSLATKHSTSATKPSIGVYAETLNLQLNPWKSLLQLGMTTSLPWHPLLSSKTQRFHPSTNKRFSNSPLPNSIRVQAFRRSDFDGFAKRMASGEAWRDAWRSANNGFEQLLYETKKTAERIDRRYSVGRRLSSVANSASDRARELDRDFLITQRWRTFTLDFSRNWPRPANNLQFTPLQYRKQFSDFLNTPLGRSFGTIFFLWFALSGWLFRVLIFATWVLPFAGPLLIGAVANNLVIKGACPACKRQFVGYKNQTVRCGGCGNVVWQPKGGDFFSKGGNRSSSSSKSEPDIIDVEFEEK >KVI04775 pep supercontig:CcrdV1:scaffold_448:218599:221557:1 gene:Ccrd_016909 transcript:KVI04775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30e MVSGKKTKKTHESINNRLALVMKSGKYTLGYKTVLESLRGSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKTLPGDN >KVI04769 pep supercontig:CcrdV1:scaffold_448:223819:225243:1 gene:Ccrd_016910 transcript:KVI04769 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MSTTAVGAGGGFATRIKTIPPPQKTPFQGVSIQDAKRNCFTQKTSSLAIRKSGGGGGGGLAIIKARKTAGTSKQIEVEVDKPLGLTLGPKPGEGGGVVITAVDGGGNAAKAGLKTGDQVIYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVNRGAFIDVKRLPKRPAPPRFGRKLTDTQKARATHICLDCGFIYTLSKPFEEQASAKILPDEYLCPQCRAPKKRFTGYDPETGKPIGGTLPPIGVIIGLVAGLAGVGALLVYGLQ >KVI04768 pep supercontig:CcrdV1:scaffold_448:231836:242607:-1 gene:Ccrd_016911 transcript:KVI04768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MASSSPNLWVLLGLSLAGILIMAKKLQRVVKVDFGAFVEKFQILPPPQPAPPKAPHPLTGLSFAVSDLFDIDGFVTGFGNPDWERTHEAASQTSPVVSALVDGGATCVGKTVVDEMSFGISGENRHYGTPTNPVAPARIPGGSCSGAAVAVAAKLVDFSLGVDTVGGVRQPAGHCGILGFRPSYGAVSHLGIVPVSTSFDTVGWFATDPSILRRVGQVLLQVPFAVQRNPRNVIIADDCFQLSKIPMDRLTQVVIRSMESLFGMASAEQVLKHEILGDYIASKVPSLKALDSKKSNGDVKFSSLRSLANVMQLLRRHEFSHNHFEWINKVKPALDPVISAQVQERLELSEKDIENFRTVRSELRSALNAFLKVTVPLGLFENYPVSVSFLARHGGDRFLLDTLQTMHGSLLQKADEAGKAKSSNDVVSKEASAEIAKEKGNNAFKAKNWQRAIGFYTEAIKLNSNSATYFSNRAAAYLELGSCIQAEADCSKAIDLDKKNVKAYLRRGTAREMLGYYKEAIEGTHFKYALVLEPTNKRAAVSADRLRKLFQ >KVI04772 pep supercontig:CcrdV1:scaffold_448:129333:134789:1 gene:Ccrd_016902 transcript:KVI04772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scramblase MNWNKNLQRVTRLPKVIRAITKGSSPNNQQNAVLADKFLSFKKAGLAKGDIALGENLVSERKLYWRSYGLYVSGASSCWPKKDPVNLPWNFEHAIHNDSNLNRDFLVQLWVADGKMKKTLERRKQNFVEHTSKDKAIFGSKRLVGSYFSGGSITTNGPYDSRQSATEPLESASPEEAWIAPLLSRSNLLITRDIEWANLTLGFEQENRYAIVDVSNPHEPAGFIREQSNVIFRQLLRSRRPFVASITDGNGNELFRVRRPFWWITSSIYAEIGGKEIGVVHRRWHLWRRIYDLYLGDEQFAVVENPGLWNWTFTLKGINGEVLAEIDRDWRGFGFEVLTDAGQYVIRFDHDRMPGLSEKVQEFHVARPLTLSERAVTVALAVSLDNDYFSRHGGWGLPFIAVAE >KVI04770 pep supercontig:CcrdV1:scaffold_448:203733:205806:-1 gene:Ccrd_016907 transcript:KVI04770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF599 MEEKDIDYILVPLGLGIMIMYHIWLVYTLLTNPRRTVMGLNAESRHQWVFSMMAVSLLPFHFYVIVYNIFKADIQNTEIGDFSIQTIRNNIMASTLLATTAITLSSIISVFVSSFSDSNHAASQLVYGNKTPLLSSVKYFAILLCFLVAFLCNVQSI >KVI04773 pep supercontig:CcrdV1:scaffold_448:135432:150182:-1 gene:Ccrd_016903 transcript:KVI04773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAAEKLRDLSQPIDVALLDATVAAFYGTGSKDERTAADHILRELQNNPDMWLQVVHILSSAQSLNTKFFALQVLEGVIKYRWNVLPVEQRDGMKNYISDVIVKLSSNEGSFRQERLYVNKLNIILVQILKHEWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDFYNLQYVKMYNIFMVQLQSVLPTSTNIPDAYANGSSEEQFHIRVLESTQENIAALLMGLEYLLSISYVDDTEVFKVCLDYWNSLVLELFEANHNLDSPAATANMMGLQIPMIPGIADGLGTQLIQRRQLYAGSMSKLRLLMVSRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLKKLSKQLNGEDWTWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLTSLPTTIQDLEPHQIHTFYESKWTEIIGRARGSVDFLKDQDVIRTVLNILQTNTSAASALGTHFLSQITLIFLDMLNVYKMYSELISSRIAEGGPYTSRTSYVKLLRSVKKETLKLIETFLDKAEDQPQIGRQFVPPMMDPVLADYARNLPDARESEVLSLFATIINKYKGAMIVDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFRALILLSPEQLKLVMDSVMWAFRHTERNIAETGLNLLLEMLKNFQGSEFCNQFYRSYFVLIVQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGSLTEPLWDASTVPYPYPNNGVFVRECTIKLLSGSFPNIPASEVTKFVNGLFESRADLSIFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAEKERERQRMLSIPGLIAPNEIQDEMVDS >KVI04771 pep supercontig:CcrdV1:scaffold_448:155713:157737:-1 gene:Ccrd_016905 transcript:KVI04771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13 MAQALATPVAPSLSLICTTSSSFKSSPTSLSFSTFNPPKVGGLSIRCARVGGVEIPNNKRVQYSLQYIHGIGRTRALEILNDLKMENKITKDLSEEELITLRDEVSKYMIEGDLRRFNALAIRRLKEIQCYRGIRHIQGLPCRGQRTKNNCRTLKGKKIAIAGKKKAPR >KVI06769 pep supercontig:CcrdV1:scaffold_4480:20247:28309:1 gene:Ccrd_014875 transcript:KVI06769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein HTATTVLALLQEVAQVQDAKIDWNALVKRTTTGITNPREYQMLWRHLAYRDPLVENLEEEAKPLDDDSDLEYELEAFPPVNNEALMEAAACVKVLIASGSACGSGLEKGLTIEAPLTINIPDGKSAGNPSENPQVASNVRGTNITVPVSVQKQPLPTVASTEGLDTNGCASSSLPPRRKRKPWSAAEDMELFSAVQKCGEGNWANILKGDFKGDRTASQLSQRWNIIKKRNGNSNIRTGSQLSEVHLAARRALNMALDQPGLDNLKSSSSLGRTKSSITSNASARPIVADTPSTSTLPQDLACEDSTPIKTFSKTRPKTSPKPLANGPDAVKAAAVAAGARIATQSAAAAILKQQLKSAIHIKTSVTGNTRTSPGANFRDLHSPNANVGPILNPSSTGPEMNGIAISTSPKRIQEDETAISGNAQDESSSRADAENGNLGRLEASASNTNIQDTSEKEGKPFAAIERRISGDGEVVMVESGENESVKQDHREVESKDGV >KVI06770 pep supercontig:CcrdV1:scaffold_4480:33539:35961:1 gene:Ccrd_014876 transcript:KVI06770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 TAESALKSFALHPPNLEQCVVGEQSLFSKVRNVSSNIQYDSTRSSFIQDLKYHILINQREIQQLKQRSILWDPSFLQTKGIKIESKLFSKCLSPQYL >KVH58963 pep supercontig:CcrdV1:scaffold_4481:31364:36666:-1 gene:Ccrd_025664 transcript:KVH58963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSINEIRMNCKLDEEIDRNQVSVRFSKIDSKLQFDRIWLPLAYLSQRPVRFRLSLRLDDLDIVILYDSDWNPQVDLQAQDRAHRIRHKKE >KVH94994 pep supercontig:CcrdV1:scaffold_4482:29519:34522:-1 gene:Ccrd_002937 transcript:KVH94994 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MLPTSSSSSSSSSSSKQILFISTLIILWYSSNIGVLLLNKFLLSNYGFKYPIFLTMCHMSASAILSYISIVFLKVVPFQKIKSRSQFLRIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYLMTFKREAWITYGALVPVVAGVVIASGGEPSFHLYGFIMCISATAARAFKSVLQGVLLSSEGEKLNSMNLLLYMSPMAVVVLLPAALVMEPDVLDATLSLGSKHRFMWLLLFVNSTMAYSANLTNFLVTKNTSIAGYSVTVMGVVAYGEAKRRYK >KVH58785 pep supercontig:CcrdV1:scaffold_4484:9474:36531:-1 gene:Ccrd_025665 transcript:KVH58785 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MEALKAARDVVSKQKMITSAFDDECLKLHQAVGTEAPEQDASIAGSSNIDLLHPLTMPVGSSVQTPELFKGSLKGYQVKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLSNWVDEISRFCPDLKAFPYWGGIQERTLRPDWRFRQIDLVGILPLDLVGAL >KVH58214 pep supercontig:CcrdV1:scaffold_4489:29859:39227:-1 gene:Ccrd_025666 transcript:KVH58214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGNQEEETTIGLRRRGCSYQKGDFLPEESFKTWDNYTTALMETPHRLMDRLLTRSGDQAELDAKARSQTQMKKTLTWWDLIWFGMGAVVGAGIFVLTGLEAREDAGPAVVLSYVVSGASALMSVFCYTEFAVEIPVAEYVIGGAAVARSWTSYFATLCNYEPNDFRITAHGLAKDYNHLDPIAVGVIAIICIIAIVSTKGSSRINYVASVVHIIIILFIIICGLINABTENYKXFAPKKARGVXKASAVLFFAYVGFDAVATMAEETKNPAKDIPIGLVGSMVITTILYCMLAITLCLMQPYDQINVDAPFSVAYLTHIARTHMMPPWFAIVDAKTGTPVNATVVMLMATAVVAFFTKLDILSNLLSISTLFIFMLVAVALLVRRYYVTGVTTXANRNKLIACLLTILXSSCATAXYWGISKHGWIGYCITVPIWAAGTTSLWAFVPQAREPKMWGVPLVPWLPSASIAINIFLLGSIDKDSFIRFAAWTGLLLVYYFLFGLHAAYDTAREEEKQWKKVEEGKEMNNEFENKNGLIATSDAS >KVI08630 pep supercontig:CcrdV1:scaffold_449:209934:211347:-1 gene:Ccrd_012996 transcript:KVI08630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase MGMDTSTSSFAMIHLGVILDNGLLKLKLSTPAGMIVAIHYNGTPNLLESGLKESQRGFVVVLEIKADHFSFDPPISNEQHNRRIHGTSFDLISKDENHIEVSFLKTWKYDNKDDPPIKVDKRYVMLRGCSGFYSYAIYQHLEEWPDIDIEQTRLAFKLDKTL >KVI08634 pep supercontig:CcrdV1:scaffold_449:147834:156641:1 gene:Ccrd_012992 transcript:KVI08634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAKCKLSKGESGLCRVALGSELKYLQQFKRETKCLPMIKENAASSSGFSGIPTSTSFPWGVVLKTVTSAPKALAILTATWPSPPRPTTPTFTPVSEGAVSCDPSTEQWCTSIKRQILWPTEHKMLICNHVIRVPTVCACAIKAGGTISENLFPAVVFKVISAAFA >KVI08639 pep supercontig:CcrdV1:scaffold_449:2753:12637:-1 gene:Ccrd_012982 transcript:KVI08639 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASLGSSKQLHVAMFPWLAFGHIIPFLQLSKFIAQKGHRVSFLSTTRNIQRLPPSHHLSPLITLVPLTLPPVHHLPQNAEATMDVCTDDVQYLKKAFDGLQHQLTQFLQQNSPDWIIYDFAPYWLPPVAAGLGISRAFFSIYNPWLLAFGGRWFEELIKGSRDRKKMEDFVAPPKLLPLPTNICFRKHEVKWMLDSSSSLNASGVSDMYRSGMILQGSDCMFIRCCYEFEPQWLTLSEESLHLPVIPLGLMPPATTTNVGDEKDDAWVTIKNWLDGQQKGQVVYVALGSEVMLTKTEVRELALGLELSGLPFFWALRQPAGNSTSVELPEGFVERTRNRGMVWKSWVPQLRILNHESVGGFLTHCGWGSIVEGLTLGHPLIMLPFLMDQGLNARALVEKQVGMEIPRNEEDGSFTKESVANSVRLVVVEDEGKMYKAKARELSRIFGDMELQNKYVDDVVQYMEKHTVMFPWLAFGHIIPFLELSKFIAEKGHRVSFLSTTRNIQRLPPSHHLSQLITLVPLTLPPAHHLPQNAEATMDVRTHDIPHLKKAFDGLQHQLTTFLEVESPDWIIYDFAPYWLPSIAAGLGISRAFFSIINPWFLAFLGRSPEELINGSDDRKTVEDFMAPPKWVPFPTKICYQKHEANWILDGSSSLNASGVSDTYRSGMIFQASDCMFIRYCYEFEPQWLTLFEDLHHLPVIPVGLMPPATATNVGDEKDDAWLTIKNWLDGQQKGQVVYVALGSEVMLTKTELGELALGLELSGLPFFWALRKPAGSTESETVELPEGFIERTRDRGMVWKKWVPQLEILSHVSVGGFLTHCGWGSIVEGLALGHPLIMLPFLVDQGLNARALVEKQVGMEVERNEEDGSFSKESVAKSVRLVVVEDKGKMYKEKSMELSRIFGNTKLQKKYTDDFVEYLEKHRSSSVPHQVVGTME >KVI08642 pep supercontig:CcrdV1:scaffold_449:38962:44169:-1 gene:Ccrd_012984 transcript:KVI08642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MKMQRAMEWSVVDYINTSHPNFIGGNKAVEVASHQVRSNRLASPTSRQRDGVDSDAVAVSGSNRRSNAIVARAPSNGISVDPGVRSVADVNGNGSSGSERGSNWRIASFFRGREVHNPAVDISRSNTGEEIINHKEHVSSIIHLREPPLSLNSSEMHSEHEAEALAVTKQLLESYYSIVREKIQDSVPKAIMHFLVNHTKRELCNVLIKSLYRESLFEKLLQETDEVSLKRRHAEETLIALQEASHVRGAGTSFLGVTLLDELLIEVATVERGI >KVI08633 pep supercontig:CcrdV1:scaffold_449:155222:159616:-1 gene:Ccrd_012993 transcript:KVI08633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MVKSPEAEHPINAYGYAARDTSGTLSPLTFSRRATGDKDVRFKVLYCGICHSDLHFVKNEWGMTVYPVVPGHEIVGTVTEVGSKVEKFKVGDKVGVGCLVGSCSSCESCANDLENYCRKQILTYGSPCFDGTRTYGGYSDHMVADEHFVLRWPENLPLDTGAPLLCAGITTYSPLRYFGLDKPGVKVGVVGLGGLGHVAVKMAKAFGAEVTVFSTTPNKKQEALEGLKADHFIVSQDPEQMQSAASTLDGIIDTVSATHPIAPLLSVLKPHGKLVLVGIPEKPLELAAFSLIMGRKIVTGSAIGGLKETQEMLDFAAKHGVTADIEVIPIDYVNTAMDRILKSDVRYRFVIDVANSLKAP >KVI08632 pep supercontig:CcrdV1:scaffold_449:129977:135844:1 gene:Ccrd_012990 transcript:KVI08632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIFC3 MADKDEESSSDYMVCDSISRLIPKGFMMSNSTDEVVLFVNAGAKTIVELDSNMNFVADKFFEGGDVFETNECITEGGDVSFIYRTARLGNFQYRFDNLPEGDYYVDLHFVEMINTFGPKGLRVFNVYLQEEKASSMVLADFDIFSVVGANRPLQLVDSRVSVKXGEAIVIRFEGITGSPLVSGICIRRAPKLPDDDVIHDFLRCQNCAADIEVPSVQKKVMRKKSIEKYEKRIQELTSQCQRKTDECYQAWMSLTAANEQLEKVRMELDNRLFQTSSLDQKMEKQXEQLKDLSSRYEHDRKIWVAAVKELSHRIMVLKQDHSQLSLQAHQCADTVPDLNNMVSAVQALVAQCEDLKMKYNEEQAKRRKXHNQIEDAKGNIRVFCRCRPLSKTEASAGCSTVVDFDAAANGELGVLNSGSTKKTFRFDRVFTPSDHQVDVFAHASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTEGNRGVNYRTLEELEIKQASEGFHNIPGLVEAKVENIKEVWNVLQAGSSARVVGSNNVNEHSSRSHCMLSIMVRAQNLLNGECTKSKLWLVDLAGSERLAKTDAQGERLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSEHDVSETLSSLNFATRVRGVELGPAKKQIDTGELQKLKTMLDKAKQESRLKDESLRKLEESLQNIESKSRGKDQMHKNQLEKIKELEGQVELKTGLHSQLEKQVQHLSEKLRAKEEFNSGLQQKVVKELENKLTEKESGVGTYQQKVRDLEEKLKAQVKESTSCSATLQAKIEELERKLKEQEQNSDTTSLHMKIKELEKKLKDQERRMSIATIADSSSSMRSTPREECKFVGEAEQHILRSSNLMNRQTAASHNRSKRNDSLGSYGGELRRKRLSRNSEVENVDVPSTFNNDNKSRKSDPPKPHHRGSTRVVKPAAPVTQRPVHISRPTQGVKDRESKKRMWA >KVI08631 pep supercontig:CcrdV1:scaffold_449:140214:141311:-1 gene:Ccrd_012991 transcript:KVI08631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MLASKQANKDEEGQNSGGGGGGGRKTSSLRPPEQTLRCPRCDSPNTKFCYYNNYSLTQPRHFCKACRRYWTKGGALRNVPIGGSCRKNKKTKSCSSRFLLGESSSRDSSSDMGGMKFYGLSSMDFQLGGLNSFPPARVTTTNQFSSSYGDTSSIVNLDPLGFNFPISSSHFVMKQGDHHQQQQPQLNQSGGGLASFHEMGTSNHLHHHNDLASSIESLSSINQDLHWKLQQQRLSMLFGGGGGGSGGGGEMDQQQQKKQAVLEPQVQRLQPILFQNLETSKPTQSSMDGDSRKDTSTSGGGGGGLATEWFFDSSYPPANLNQNPNPNLNRATPTNSSTGNDQNGSINNWNGIQTWNHLNQYSSIP >KVI08636 pep supercontig:CcrdV1:scaffold_449:186689:204660:-1 gene:Ccrd_012994 transcript:KVI08636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MAESPESEHPIKAHGYAARDTSGILSPLTFSRRATGEKDVRFKVLYCGICHSDLHFVKNEWGFTTYPVIPGHEIVGEVTEVGTKVDKFKIGDKVGVGCLVGSCRSCQSCADDYEQYCPKQVLTYGVPNFDGTKTYGGYSDHMVADEHFVLRWPENLPLDSGAPLLCAGITTYSPLRYFGLDKPGMKVGVVGLGGLGHIAVKMAKAFGAEVTVFSTSPAKKQESIEGLKADHFINSKDSEQMQAATGTLDGIIDTVSGTHPIAPLLNALKPHGKLVLVGAPEKPIELATFSLIMGRKIVGGSNIGGLKETQEMLDFAAKHGITANIEVIPIDYVNTAMDRLLKSDAYGYAAHDTSGTLSPFTFYRRATGEKDVRLKVLYCGICHTDVRFVNNDWGVTTYPVTPGHEIVGVVTEVGTKVEKFKIGDRVGVGCLVGSCGSCENCADDLENYCPKQILTYGFPYHDGTQTYGGYSDHMVADEHFVLRWPENLPLDSGAPLLCDGITAYSPLKYFGLDKPGMKVGVFGLGALGQIAVKMAKAFGAQVTVFSTNTAKKQEAIEGLKADHFINSEDPEQMAGATGTLDGIIYTVSATHEIASLLNALKPHGKLVIIGSPEKPFELPSYSLLTGRKTVAGSLIGGLKETQEMLDFAAKHGVTADIEIIPIDYFCIAESAILIFTSSRMNGGHEIVGVVTEVGTKVDKFKIGDKVGVGCLVGSCRSCQSCADDLENYCPKQILTYGFPYHDGTRTYGGYSDHMVADEHFVLRWPENLPLDSGAPLLCAGITTYSPLRYFGLDKPGMKVGVFGLGGLGHVAVKMAKAFGAEVTVFSTTAAKKEDALKGLKADHFINSKDPEQMNGATSTLDGIIDTVSATHEIVSLLNALKPHGKLVVVGAQAKPFEVSSYSLIPETQEMLDFAAKHGVTADIEVIPIDYVNTAMDRMLKSDVRYRFVIDVANSLKAEA >KVI08629 pep supercontig:CcrdV1:scaffold_449:211747:218280:-1 gene:Ccrd_012997 transcript:KVI08629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MNKGFVCDLTVSPSMEDKRRHFNQTRNLDHLGCEQEKEVKHAEKAYPGDAWDWEHLHELKPWWGNGDLFDNMLPDSALVPVDHRLQSRTFTNGPFLGNPSVKVTSIAESLLVKTWSLFLLTHVSSLSFNFTSFQPNNQMIVYQGDAFASTGIQVTRNQLDQSLKNSVGRALYREPVQIWDKKTRKLSDFNTHFSFSMNALNATKYGDGLSFFLMPFEPEIPLLSYGGFLGLFSPASAFNSSNNTVVAVEFDSFMNTWDPSDNHVGINVNSIVSVANVSWNTSIKDGRMANAWVNYNSSTYNLSVFLSYEEQPIFVGNSSLWYMIDLREVLPESVRIGFSAGTGDWIETHTIFSWTFDSSLEKTRVKKNVWFVTGLAAGSGALSLVVGFFWFFCWKKQYIFRKREDVATEYDFEKSIGPKQYSFRELSKATDGFSEEQKLGEGGFGGVYKGVLSGGNSPVAVKRVSSGSKQGKKEYLSEVKIISRLRHRNLVQLVGWCHEQGDFLLVYEYMPNGSLDSHLFYSKSKLSSSVRYKIAMGVASALLYLHEEWEQCVVHRDIKSSNIMLDSSYNAKLGDFGLARLVDHDLGSQTTVLAGTMGYLAPECVMTGKASRESDVYSFGVVALEIACGRKPIDMKVEPGKQRLVEWVWSLYGEGKLFEAMDARLNGEFDHREMECLMVVGLWCCHPDSGNRPSIKQAISVLNFEGSLPSLPAKEPIPIYYAPPMSMCRFTYTSSVGASETSGGKGAVSSCGSYSSAGSLKGLLETRSGGP >KVI08640 pep supercontig:CcrdV1:scaffold_449:69083:69889:-1 gene:Ccrd_012986 transcript:KVI08640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHGFTVSSSQYLSAVWRRWMHLSDYLMKTSVLQSTTELVLDLLYLCQRFHFKF >KVI08635 pep supercontig:CcrdV1:scaffold_449:206155:209872:-1 gene:Ccrd_012995 transcript:KVI08635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold MDCQVIRFNYMAISDDRQRMMPTGKERAKGRKLDYPEAVRLPNNFGKLRIGFWLITPSSEFRAGGPLKQELTSHVGPTTLSVSLLILHLLTISQGIISRHQLKGLFVCHYTGSTLAIKLKYGEYWKKVYGPASIERRTWPYDFPRSQDYPHAYQRGTVIGRMLVQDRYVSKEALIVGNDAYVGLAPEGEAGSWQKNSKGYQFWNQSDDDGYFVIRGIRSGSYNLYYWIPGFIGDSKYSYTIHISEGDFIKLGDVVYEAPRNGPTLWEIGIPDRTAAEFYVPDPYPNLVNHLFMNHTNDRQYGLWDRYTDLYPENDLVYNVGASDYRKDWFFAHVNRKLEDGSYGATTWRIVFNLTNVSNGSYTLWLALATANGASIKVNFNEQPNPRWLSFRTGLIGRDNAIARHGIHGFYRLYSFNVPSFLLGKGANVISLHQENGRGKFYGVMYDYIRFEGPPEIDLIFNLKN >KVI08637 pep supercontig:CcrdV1:scaffold_449:19808:33008:-1 gene:Ccrd_012983 transcript:KVI08637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MSAAKPLPSQSPPSFTAISTKQGLILILSTLTLLTFSYISFTSLGSTLQYNYSYPPPTTTLTAASTLKEDVDVEDGASTKSDGFSDVYHSPEVFKLNYAEMEKRFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFKTDDPDQAHLFFIPISCHKMRGKGTSYENMTIIVQNYVESLISKYPYWNRTLGADHFFVTCHDVGVRATEGLPLLVKNSIRAVCSPSYDVGFIPHKDIALPQVLQPFALPRGGDDIENRTTLGFWAGHRNSKIRVILARTWENDTELDISNNRINRASGHLVYQKRFYRTKFCICPGGSQVNSARIADSIHYGCIPVILSNYYDLPFNDILDWGKFSVILEEKDVYQLKQILKDISDEQFVTLHNNLVKIQKHFQWNTPPIPYDAFHMVMYDLWLRHNVIKY >KVI08643 pep supercontig:CcrdV1:scaffold_449:59562:60294:1 gene:Ccrd_012985 transcript:KVI08643 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 30kDa subunit RVDHPSRKRRFEVVYNLLSTRYNSRIRVQTNADEVARMSPVVSPFPSAGRWEREVWDMFGVSSINHPDLRPWRIKSMTLAFQLAVFALIATSSILLIT >KVI08638 pep supercontig:CcrdV1:scaffold_449:98303:103665:-1 gene:Ccrd_012988 transcript:KVI08638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MKMQRAMEWSVVDYINTSHPNFIGGNKAVEVASHQVRSNRLASPTSRQRDGVDSDAVAVSGSNRRSNAIVARSPSNGISVDQASIFLCSLQRFYTTLGDLLTSTLSIVCVSRSCLKQGVRSVADVNGNGSSGSERGSNWRIASFFRGREVHNPAVDISRSNTGEEIINHKEHVSSIIHLREPPLSLNSSEMHSEHEAEALAVTKQLLESYYSIVREKIQDSVPKAIMHFLLLDELLIEAATVEREGSFMSMTTTRVSFWSMDVIVSKSEENTKGSIRVRLTKKL >KVI08641 pep supercontig:CcrdV1:scaffold_449:79113:95122:-1 gene:Ccrd_012987 transcript:KVI08641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MGPHHPSMHGVLREGKTVDILNLHAFQPDHGSLVLNILLKYSKAFLSLVDGRNEEKLTSEVYGGARIHXIFQSIFVSRLEEVDAFERLSDEDIRTAIHNGTGPRSALFVPEVPFQVLIRRQIARLLDPSLQCARLMSHSCLVHELQRFPLLKTRINEFVRNFLLEGLEPSQTMIGHIVEMEVDYINTSHPNFIGGNKAVEVASHQVRSNRLASPTSRQRDGVDSDAVAVSGSNRRSNAIVARSPSNGISVDQGVRSVADVNGNGSSGSARGSNWRTASFFRGGEVHNPAVDISRSNTGEEIINHKEHVSSIINLREPPLSLNSSEMHSEHXAEALAVTKQLLESYYSIVREKIQDSVPKAIMHFLVNHTKRELCNVLIKTLYRESLFEKLLQETDEVSLKRRHAEETLIALQEASHLLDELLIEAATVERGI >KVI08644 pep supercontig:CcrdV1:scaffold_449:243510:245243:1 gene:Ccrd_012998 transcript:KVI08644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor DELLA, N-terminal MKRDRERKKEAKASISSSSLASGKGKDLHQPLPDSGGMDELLEVLGYKVRSTDMADVAQKLEQLEMVMGEDGIFQLSDTVHYNPSDLSGWVQSMLSELNNNASDLSPSTNFDIVLPGESSSTTMIDFSNNKEIDGQQQGSKLYDDDPYDLRAIAGGAIYGTTTDESPVPRNGIKRIKSAVAGSEAVAAPPESPRPVVLVDSQEAGIRLVHTLMACAEAVQQDNMKLADALVKHVGLLAASQAGAMGKVATYFAGALAQRIYKIYPQDGLETSCWEILQMHFYESCPYLKFAHFTANQAILEAFAGATRVHVIDFSLNQGMQWPALMQALALRTDGPPAFRLTGIGPPQPDNTDALQQVGWKLAQLADTIGVEFEFRGFVANSLADIDAAMLDIRPSEVEVVAVNSVFELHRLLARPGAVEKVLNSINAMKPKIVTLVEQESNHNGAVFLDRFNEALHYYSTMFDSLESSALTQPTSQDLVMSEVYLGRQICNVVACEGTDRVERHETLSQWRTRMNSAGFDPVHLGSNAFKQASMLLALFAGGDGYRVEENDGCLMLGWHTRPLIATSAWKPGGMET >KVH99468 pep supercontig:CcrdV1:scaffold_4492:22620:25577:-1 gene:Ccrd_022299 transcript:KVH99468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MSMDGDEGRRRLSCRLLWMVVVGILLSGGAVVCGQSDEDSGGGGVFDASAPEAQVAAANAEMVPAMFIFGDSLIDNGNNNDLASLAKANYYPYGIDFNGGPTGRFSNGYTMVDAIAELLGLPLIPAYSQASNSADQMLHGVNFASAAAGILDITGRNFQLYNLGARKFVLAGIGLMGCIPSILAQGTTGRCSDEVNQLVLPFNANMKTMINNLSVNLPGSKFVFIDVHNMFQDILANARFYGFRVVNRGCCGIGRNRGQITCLPLQTPCPNRNEYVFWDAFHPTEAVNVLMGRKAFSGTPDVVYPINIQQLANL >KVH99467 pep supercontig:CcrdV1:scaffold_4492:15945:23423:1 gene:Ccrd_022298 transcript:KVH99467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaK MSATTFSPSCSFKPXIINRNSNSFSSSKTSFFGKPTSNCKRSWVLRPQKRRALKVVSEKVVGIDLGTTNSAVAAMEGGQPTIITNAEGQRTTPSVVAYSKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMMEVDEESKQVSYKVIRDDNGNVKLDCPAIGKQFXPEEISAQVLRKLVDDASKFLSDKVTKAVVTVPAYFNDSQRTATKDAGRIAGIEVLRIINEPTAASLAYGFEKKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAEAFKRDEGIDLLKDKQALQRLTETAEKAKMELSTLTQTNISLPFITATADGPKHIDTTLTRVKFEELLRTPVETALKDANLSLKDIDEVVLVGGSTRIPAVQELVKKMTAKEPNVTVNPDEVVALGASVQAGVLSGDVSNIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVERMVAEAERFAKEDKEKRDAIDTKNQAESVVYQCEKQLKEVGEKVAGPVKEKVEAKLKELKEAISGGSTQTIKDAMAALNQEMMQLGQSLYSQAAGGGDGGEGGGKASGGNGGGDGEVIDADFSESK >KVH58078 pep supercontig:CcrdV1:scaffold_4494:13748:22975:-1 gene:Ccrd_025667 transcript:KVH58078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPMVDVVTAMMVITTMVAVVPFTCRAIINDHHPLAPVVLVPGAGGNQLEARLTAEYKATSWLCNRFYPIKKEEGGWFRLWFDIGVLLAPFTECFADRMTLYYNPELDDYKNAPGVETRVSEYITTYMAPLVKSLQNLGYTDGQTLFGAPYDFRYGLAADGHPSNVGSTYLQNLKNLIEKASDSNNGNPIIIISHSLGGLFVLQLLNRNPPMWRQRYIKHFIALSAPWGGTVDEMLTFASGNALGVPLVNPLLVRNEQRSSESNLWLMPRKKQFPPEKPLVVTRNSTYSSYEISRFLEDIGFPEGVQPYETRILPLVEKLVAPGVPITCIIGSGVQTPESLYYRDEGFDKQPEIIYGDGDGTVNMASLLALEDEWKDEEFQRLKVVKLDGISHTNILKDEEAVAKITKEVFAISSSMFTSIISS >KVI08699 pep supercontig:CcrdV1:scaffold_4495:14099:23241:1 gene:Ccrd_012925 transcript:KVI08699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 4.1 domain-containing protein MASDIPSTMTQSVRTSRSSFSSSNGYETPSHYSAITNGDDYDSDGSNFAPPTPMTLSNSVPAELAGAIPLIDKFQVEGFLRAMQKQINSAGKRGFFSKRSVGPQDREKFTFEDMLCFQKDPIPTSLLKINGDFVSRAVKLFQTILKYMGIDSSEKGNQIGLEERIELVGKLYKQALKRSELRDELFAQISKQTRNCPDRQHLIRAWELMYLCASCMPPSKDIGGYLSEYIHDVAHNVNTDPDVQVFAMNTLNALKCSVKAGPRHTIPGREEIEALLIGKKLTTIVFFLDETFEEIAYDMATTVANAVEELAGIIKLSAYSSFSLFECRKVVTASKSPEPGNEEYTGLDDNKYIGDLLAEFKSAKDRSKGEISQCKLTFKKKLFRESDEAVADPMFVQLSYVQLQHDYILGNYPVGKDDAAQLSALQILVEIGFVVKPESCTDWTLLLERYLPRQIAITRAKRDWELDILARYRSMENLTKDDARQQFLRILRMLPYGYSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSTAPLSNSGADPPTNSKPPSVDVSEKRLQDLSKSLEESQKTANQIRLLEKDLEQERKMTKPRISQLEKKVEELTQKLVAAESSLALKDTELSTLHINLKELEDLREMKEDIDRKNEQTAAILKMQGAQLAEFEALYKEELVLRKRYFNIIEDMKGKIRVYCRLRPLTPKETSEKERNVLTSVDEFTVQHLGRDEKIKQHCYDRVFDGNATQEDYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDSNPGLTPLATSELFKGMVTVENATVVPISTYDDLKNIIQRGTDQRHTTETLMNEASSRSHLILSIIIESTNLQTQSIARGKLSFVDLAGSERVKKSGSAGNQLKEAQSINKSLSALGDVISALSSGNQHIPYRNHKLTMLMSDSLGGNAKTLMVRSIVNDPSKNVSSKEVARLKKLLAYWKEQAGKRGDDEELVEIQDERPPKDKADNRHSM >KVI08700 pep supercontig:CcrdV1:scaffold_4495:29939:40568:1 gene:Ccrd_012926 transcript:KVI08700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MATKCNIPVIDLHDFPNQSSKLMAACEEWGCFRLLNHHEVLPSTLMSEMKLVVRSLFDLPVEIKRQNLDVIAGSGYMAPTAKNPLYEALGLYDMASSRDVQTFCSQLDASPHQRGILTKYAGAVHELFMRIGEKLAEGLRIKSENIGFESWPCQFRINKYHFTPQSVGSSGVQIHTDSGFLTILQDDEDVGGLEVMDKSSHFIPVDPWPDSLLVNLGDMATMWSNGRFCNVKHRVQCKEATTRVSIASFLLGPTEAIEPPPELMNADHPRVYVPTTYEDYRKLRLSTNLHAGEALALLQTQTCEEWGCFRLLNHHKVLPPTPVSKMKSVVKLPFDLPVKISMSLPEVDIRLRRQKNPPYEALGLDDMASFCDVKIFCSQLDASPRLMATKCSIPVIDLHDFPNQSSKLIAASEEWGCFRLLNHQQVLPSTXMSEMKSVVRSLFNLPVEIKRRNLDVIAGSGFVAPTAKNPLYEALGLYDMASRCDVETFCSQLDASLHQREIITKYAGAVHKLFMRIGEKLAQGLGVESENIGFESWPCQFRINKYHFTPQSVGSSGVQIHTDSGFLTILQDDEDVGGLEVMHKSGHXVPVDPWPDTLLVNLGDMAAVWSNGRFCNVKHRVQCKGPTIRVSVASFLLGPRETIEPLPELVDADHPRVYMPTTYEEYRKLRLSTKLQAGEALALLHTSSSNN >KVI01846 pep supercontig:CcrdV1:scaffold_4496:1629:7386:1 gene:Ccrd_019874 transcript:KVI01846 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MNEAPFISLPIYTAATTTAAPPSTMFEPINTSQAAPVVKRNKNPQPPLTIPAGCICFRLLCHDSRIGGVIGKSGVIIKQLQQDTSAKIRVEDPPPGSDDRVISVVANCSVNRTMTFDEETNQQNNGYVESSEYREVSAAQEALVRVYERILSVAAETDGGYFAPGGIVSCRLLADTALVGSVIGKGGKVVEKIRKDTGCRIRIFGQDRLPPCAMPTDEMVEIEGDILAIKRALVAISRRLQDCPSSDKARMVFGRPPYGATRETTLPNGHMDFSPARNLVSEATPTSSANHRPWETTLPNGYMDFSPGRTPILEPTPASSANHAIGGRPLVSSDAEIAPSVDFRNPQSQYEIVFRILCSTDRVGGVIGKSGTIVRALQNESGASIVVEAPTSDCDDRLITVTAMESPESQNSAAQNAIILVFNRSVEAGYERGLDSPSARTPISARLLIMPNQIGCLLGKGGSIIADMRKMTGEFVNVRDALYSVTGRLRNHLFSTRMYNGPKTRSSYGQGQGVGHSMATPQHLNQHTSLTQSMDNLRPFSNVDHPSSSRPWESQAGAVNPMKGKDVDRGSTSAKGGIELGRGGRSAIVRNTTVEIVVPENVIGSIYGENGSNLTRLRQISGAKVVVHEPGSGTTDHTVIISGTPDETQSAQSLLQAFILADQQ >KVI01849 pep supercontig:CcrdV1:scaffold_4496:31476:35925:-1 gene:Ccrd_019871 transcript:KVI01849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase type 1 MEELGRMKRFAVLLCAEDSDYVKKKYGGYFGVFVAMLAEEGEIWDVFRVSSGHFPSDDEIGLYDGFVITGSCNDAHGNDAWICKLLILLKKLDSLNKKVLGICFGHQILARALGGKVARATTGWDIGVRSINFSTSMKMFTNLKLPTRLSLIECHRDEVRELPSKVEVLASSDKTKVEMFRYGDHIMGVQGHPEYTKDILLQLIDRLLQHNLIEEWNAIKGRGSMEENEPDRETWKQLCTSFLKGKLWTLRISRGTNTQVRTSIVNPKKQ >KVI01848 pep supercontig:CcrdV1:scaffold_4496:20367:20663:1 gene:Ccrd_019872 transcript:KVI01848 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MKETIESVPSDGKTVGEIVLQRSNIMKGYLKGEKETAKAFHKGWFFAGDVGVIHPNGHLEIKDRSKDVNILGGENIRSVELESICNTLLSILAILPAF >KVI01847 pep supercontig:CcrdV1:scaffold_4496:8617:18655:-1 gene:Ccrd_019873 transcript:KVI01847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MADEPVVPSTPQQQQQHPPTANANAAPLGHNVIPIVNKLQDIFAQLGSSSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLLQIKRKPDGTDEEYGEFLHVPGKKFYDFNEIRKEIQHLNCVATIAGDLFNLLVSILPHGAACSLSLAFISPCPHWDPTCVRARINGRTATQALYCIADRAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPTDIEARYRTIGVITKLDIMDRGTDARNFLLGKVIPLRLGYVGVVNRSQEDIMFNRTIKDALVAEEKFFRNRPVYSELADRCGVPQLAKKLNQILVQHIKTVLPGLKSRVSATLVSVAKEHASYGEITESKAGMGALLLNILSKYSEAFSSMIEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEIPFEVLIRRQIARLLDPSVQCARFIYDELIKMSHNCMVNELQRFPVLRKRMDDVIGNFLRDGLQPSETMIGHIVEMEMDYINTSHPNFIGGSKAVETAIQQVKSSRVTIPRQKDAAELDKASQSERGIKSRAILGRPVNGFVTEQQNQPGSRPVGEVEKPTSGKKNSCEILMPVIFSGSTSWGISSIFGGSDNRNSNKENSINKPFSEPVHTMDHMDYASSMIHLKEPPTVLRPSDANSDQEAIEIHVTKLLLRSYYDIVRKNIEDYVPKAIMHFLVNHTKRELHNVFIKKLYRDDLFEQMLQEPDEVAVKRKRTRDLLRVLQQAFRTLDELPLEAETVERGYSLTNNDPTGLPKIHGLPTSSMYPSTSGSRDSYTASPNNQRSRKSHSGELNSPFYGNIESNGNNRNSSLLGLYPTHDL >KVH96932 pep supercontig:CcrdV1:scaffold_4498:25156:30057:1 gene:Ccrd_000975 transcript:KVH96932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEIQAVVYGGSWWMSPRTAFNRLSCSSVITDMENFGPCWPRDLMDIKSKSSDESLDGSMVFQDIRRRPGGGATTMSPDSSFQMMATAFPDSPMTTNPDWNQDLLFRVQEGLNTFSTSGDGGSKAVTTAEHQRFTMDQPQSLNFITSSGDPATFPVSSTSYDYPSSLLETLYDSSPPPPSQHPLYSFESNSNDFHFVQSLPSMCPKQQVSGHLHLTNSIPFWNASTSTLESPSQFLPSSYKEKQRSSNLTIQPHHQEIRDSGSTEKKSSGELPFKRPWLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKLLHDQVNILSAPYMKNGLTKQLQQIHDHKVKDTEGPKQDLRSLGLCLVPVSSMFPVAIETTSGFWTPNFEGSFI >KVI12123 pep supercontig:CcrdV1:scaffold_45:61832:62020:1 gene:Ccrd_009436 transcript:KVI12123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDYLKDFDVPAKHPSEAALRKWRDAVSLVKNRHRRFRHVADLEKRSIHQNRLRKIKVQFQS >KVI12122 pep supercontig:CcrdV1:scaffold_45:2891:3644:1 gene:Ccrd_009433 transcript:KVI12122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MADEVKLFAIALSPFVCRVKIALNMKGIKYQNLEENFFNRSTQLLKYNPLHKKVPVLVHNGNPISESLVIVEYIDDVWKQVPIFPQDPYQKACIPALHKVFGNNGGEEVVAEACENLQVLENEVGVKGKRFFGGDNMNIVDIVAGFIAYWLRLMEEATQIKIFTDDKFPKLTKWSDEFVNCQVVKEILPPRSLVLAYYKKRFRKA >KVI12116 pep supercontig:CcrdV1:scaffold_45:571537:579096:-1 gene:Ccrd_009481 transcript:KVI12116 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MATVAQVANGVGIGIGIDVRKGSLSRRATPTMSNPSLMANRIFFGLTARRAAPLRFDSVVSIRGGFSNYHRFLPPPVSVLPRACPADQPTPSGEGVENLVIIGSGPAGYTAAIYAGRANLKPVVFEGYQIGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRCQAERWGAELFQEDVEFVDVNSRPFTVQSCDRKVMLHSINCVSCNSIIVATGATAKRLNLPREEEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYASHVHLLVRRDQLRASRAMQDSSICLQEMKLFMFTVDSGECFRILDNPNITVHFNTETVDVVSNTKGQLSGILIRRLDTGEESVLEAKGLFYGIGHSPNSQLLEGQVDLDDTGYILVQEGTAKTSIEGVFVAGDVQDHEWRQAVTAAGSGCIAALSVERYLVSNNLLVEFHQPHPEEVKEEVTQRDGEEGFDITLTKHKGQYAVRKLYHESPRLICVLYTAPTCGPCRTLKPILNKVIDEFEQNVHYVEIDIEEDAEIAEAANIMGTPCVQFFKHKEMIRSLSGVKMKKEYREFIEANK >KVI12130 pep supercontig:CcrdV1:scaffold_45:537830:546520:1 gene:Ccrd_009477 transcript:KVI12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKIPERMIRNSDNKLMKSRHMKSFGIYLYHQNHQWLHKNKLMDKNEVCVVMVPFIAQGHLNQLLHLSRLISAYDLPVHFVCATTYSRQAKLRIHGWDPLSDSNIHFHEFLVPSFPNPPPNPNAAIRFPAHLMPSFKAVMHLRDPFAKLLSDLSITTKRIVIIHDYLMSSVVQDFVTVPTAEVYMFQSCSAFCAFWYHWEVTQTLSLDAETESIWKKVPSLEGCFTDEFLELMDSEDSSFKKISSGTLYDTSKVLEEKFLELLKIEEISGTSKNWAIGPFNPVGFTERKDSGTESNRLLINWLDKQKANSVIYVSFGTTVSFTDEEAKEIAIGLEESGQKFIWVVRDADKGNIFAGEDRRIELPEGYEERIERRGVGVVVRGWAPQIEILGHPSTGGFMSHCGWNSSMEAISMGIPIAAWPMHADQPRNATLITELLGTGIYAREWARRDEKVAASMVAEAVRRLMVSEEGCGIRKKAAELGGVVRRSVEDGGVMPQGHLNQLLHLSRLISAYDLPVHFVCATTYSRQAKLRIHGWDPLSDSNIHFHEFLVPSFPNPPPNPNAAIRFPAHLMPSFKAVMHLRDPFAKLLSDLSITTKRIVIIHDYLMSSVVQDFVTVPTAEVYMFQSCSAFCAFWYHWEVTQTLSLDAETESIWKKVPSLEGCFTDEFLELMDSEDSSFKKISSGTLYDTSKVLEEKFLELLKIEEISGTSKNWAIGPFNPVGFTERKDSGTESNRLLINWLDKQKANSVIYVSFGTTVSFTDEEAKEIAIGLEESGQKFIWVVRDADKGNIFAGEDRRIELPEGYEERIERRGVGVVVRGWAPQIEILGHPSTGGFMSHCGWNSSMEAISMGIPIAAWPMHADQPRNATLITELLGTGIYAREWARRDEKVAASMVAEAVRRLMVSEEGCGIRKKAAELGGVVRRSVEDGGVMRKELDAFVAEITRP >KVI12141 pep supercontig:CcrdV1:scaffold_45:414561:418418:1 gene:Ccrd_009468 transcript:KVI12141 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MTTGGATPKTTTGSPRFGGVSAHRRRGVEPTTTDVSPASSTDSDAINTMGQEKHLQHQNINDCLVEASVDCFGSCNNATTTTTSHHHHHHHHHLHRKGSAEIHTCGKLGKNLKRTLLGILIVLVGFSAFVKFSYMGGSRVAEIEAVRRRSSNDQMLVLRDYKQQVLKAQRVLADIDSSSASSMPIRILEKSLDVPIPEIWMKPNSSRYHQCIARPHNHKKSSPTAGYLLVHANGGLNQMRTGICDMVAIAKMMNATLVLPSLDHQSFWTDPSDFKDIFGWRHFIDDLKDDVEIVESLPPQYAAKKPFVKAPVSWSKASYYRGEMKALLRKHKVIQFTHSDSRLVNNGLPSSYQRLRCRANYEALRYSDEIESLGKKLVDRLRSDGDPFIALHLRYEKDMLAFTGCSHNLTTEESQELDTMRYNVKHWKEKDINGTEKRQQGGCPMSPREAALFLKAMGYPTKTKIYIVAGEIYGNNSMDAFRKEFPNVFSHSTLATPQELATLNMYQNRLAAIDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKTISPDRLGFVKLIDQLDEGEISWETFSSEVKTMHKDRLGAPYKRKPGPAPKLEESFYANPFPGCICSDSKKKKSHVLSDQRASHGNSLRSPK >KVI12143 pep supercontig:CcrdV1:scaffold_45:350622:352082:-1 gene:Ccrd_009462 transcript:KVI12143 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MDSSASSSHDDLDLEMSHQINPTRPPDINLPLSSDRSSPPQSWNQDQCEVLDVGVGLVSQLYETESFLSVPKIGRKCAKRVDSIWGAWFFFSFYFRPVLNEKSKAKIVRDSNGVSGFDKSDLHLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVSLPNLTILDEDERKRWTELTGRDLNFMIPQEASDFSSWRNLPNTEFELERPPTLRNNLNSQSKKLLNGSGLNLSTQPSNHSNGDTNVMDLSPIGNKKRKDLFPHGNEEDICLTVNHPPPERLPNLEMNPNEPYWLNEFTGVVRNACGPVTAAKTIYEDEQGYLVVISLPFVDLQKVKVSWRNTLTHGIIKVSCISVSRKPFIKRRDRTFKLTDPCLEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSVLEILVPKLREGPEEHEVRVCLRPHLVGNDLMLT >KVI12110 pep supercontig:CcrdV1:scaffold_45:464298:465816:-1 gene:Ccrd_009474 transcript:KVI12110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHHEAISTPDSGFYPSKFFSHIAYCCSTTRPAHVASKQDALNNAYQEFVGAVASTLEAKEASGGQVTVATDAALENLKQKWELFRVACDQAEEFVESVKLRIGSECLVDEATGSVAGKPGQSVTPGLPPISAVRLEQMSKAVRWLVIELQQGSGSAGNLSMPQHSSAPFDARFHEDSAQ >KVI12091 pep supercontig:CcrdV1:scaffold_45:251682:253074:-1 gene:Ccrd_009454 transcript:KVI12091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin/actin-like conserved site-containing protein MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KVI12106 pep supercontig:CcrdV1:scaffold_45:115777:118859:1 gene:Ccrd_009441 transcript:KVI12106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAGYPQNPSGYNYGAPPPSQQYYSAAYGAAPPPYGQHQQSPYAHAVASPYGAPSYGAPLSSPFAALLPSTFPPGTDPNVIACFQMADLDGSGAVDDNELQRSLSAYNQSFSIRTVRLLMYHFTNNNTRKIGPKDFTQVFYSLQNWRANFEKFDRDRSGRIDALELREALASLGFSVSHFVLDLLVLKFDKTGGMNKAIEYDHFIEYVSITILGLTEKFKEKDTAYSGYATFSYEAFMLTVLPLLIA >KVI12095 pep supercontig:CcrdV1:scaffold_45:274899:276933:-1 gene:Ccrd_009456 transcript:KVI12095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPMSQTLDDKDLDDEALWAVIDSAVASSSSAAKSLKAVPPRNYNNRFHSPKLKFPIISKFTSSSPSSSSRTPRSHLIANGEVLQEESYTLQRPQKIARLCLSETTSPPAPLVMVKHVQRTPTTPSSSCSEYPIQSPTNNCSSDCSVMTHSLSGRFPTVALFKEYQNAAMAILEKGDYTLISGNPFIKKSGWRKISCFFNISYEIKDKSIEFDDNRNVQRAEFIVRAHMQGGRFSDGWGSCDRREKKFIKPNHDIPSTAETRAKNKACQ >KVI12132 pep supercontig:CcrdV1:scaffold_45:341476:348356:1 gene:Ccrd_009461 transcript:KVI12132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys/Met metabolism, pyridoxal phosphate-dependent enzyme MAAAATSASSSSSSLRSPPFKAPSSELKNPRGIANFGCRAGVISNHNSVLLRGKLVLSCLREEKMDVKASAVVDGITECFDDSMAETKVKEPSVSTILMNFENKFDPYSAMSTPLYQTATFKQRSIMKLCNGLLAKLDKADRAFCFTSGMAALATVSHLVGNGEEIVAGEDIYGGSDRLLSQVVPRTGVVVKRVDTTDLDEVAAAVGPQTKLKIAEIAHANNALLLVDNSIMSPVLSRPLELGADIVMHSATKFIAGHSDVMAGVLAVRGERLAKELYFLQNAEGSGLAPFDCWICLRGIKTMALRVEKQQDNAQKIAEFLSSHPLVKKAKGAGSVLSFLTGSLALSKHIVETTNYFGITVSFGSVKSLISMPCFMSHASIPAEVREARGLTEDLIRISVGIEDVNDLIADLDHALRTGPI >KVI12097 pep supercontig:CcrdV1:scaffold_45:221986:225389:-1 gene:Ccrd_009450 transcript:KVI12097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MDISNEANVDAFTIGPSTILGRTIAFKVLFCKSMSRLRHQIAHLLLYYFHSIRTYVSNSISPVVSWLHPRNPQGILVLVTLMAFLLKRCTNVKMRAEMAYRRXFWKNMMRAAVTYEEWAHAAKMLDKETPKTNECNLYDEELVRNKLQELRHRRQXGCLRDIIFCMRADLVRNLGNMCNPELHKGRHQVPKLIKEYIDEVSTQLRMVCDSDYEELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSVMCAVVATRSWPELQSFFEDSLHSLQFFDQMGGVFNIFKRVTTLGAVHDIRRLQVILRNLTNNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFHWDPEEANVTSTRRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHISPLLRLKEFVRAFGGNFAAKLAHLVEMEVKYRCNQVLELGFPLGGLAKLFAQDWEGDVTIVMPATLAQYLKIIQNPSHVELQKAANQGRKCTWEKLSAIKANCGIELVLDECVAILNHMRRLKRSAERAASATATHGLANMSRFNASKRIPSWNCMARENSTGSLEDLADVPSPLHHGLGAXNWRLNHHTHDGSDSESETAELNSWTRSGGPLMRTTSADKFVDFVQNLDFDYKPNKATPNSRVISPDRSSDLESDQRDMNNRGHTSIMVAEGDLLQPEMIHNGIVFNVVKKGDLTPSNRSHDSENNNSRSDLVAECVQLDCPEKETDASSASDDGETNICNDDHCDPRDHTRPIDDVDGDYRCTEDH >KVI12092 pep supercontig:CcrdV1:scaffold_45:261548:276906:1 gene:Ccrd_009455 transcript:KVI12092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGKVELLECCLENKQSAAASSSSLSEGSSGIVLPKSPRVSSPTATSPPHRRTTGPIRRAKGGWTPEEDETLKKAVGVFKGKCWKKIAEYFPDRSEVQCLHRWQKVLNPELIKGPWTQEEDDKIVELVKRYGPTKWSLIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTLQEELALMNAHRVHGNKWAEIAKALPGRTDNAIKNHWNSSLKKKLDFYLATGNLPTVPKNENGGGDVSKPASTGKLIACSVTTARTSPGTAEQRKVEADAADDLECSTPSQDVDASSSFVPSGSTDSEAIGSKSQPSKFDLSHLSRNLVPKFESCSTINDNPEPLSITPIQSQFPAYGSLYYEPPQIGSFMPTELGVLYMPWNCREYNSNPITSPRCSSTPPYAEKCSSLHAQTPESILKIAAKSFPNTPSILRKRKDQSSLSFHKILKADEVATVLTGDKERKSNDDLEESESYGGGSCGSADSLGNGVEGGLASVNNTYNASPPYRLRYKRKSNNKSVEKQLEFRYVEESCKSEPRSADLAVKDDNSESLDGAWISVRRINATFTSPLAIRWLLGVLLLDDGDEEFRGGTALRDLAAEDDDATAESITAHRASSSRSLSSRVWLIGGIISAEMEERAQQQSPP >KVI12142 pep supercontig:CcrdV1:scaffold_45:367287:370404:1 gene:Ccrd_009463 transcript:KVI12142 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAUS augmin-like complex subunit 1 MSDSTDLPVNELSKTGSGSFDANRIGELNSWLVSQFDAAGKDHVPDFEYTSRTISHLHNLATISQAKTQAATIIANDFRLKASEYRSQAARIREILENVGLAQEGLPANVVSSSQVLANVANLLNIRDTELSSFLVAMGDVSLRKTGVEDKRAKVQKESKILLDYTRKAIARLTYLKRTLSQLEDDVAPCEAQMESWKTNLAIMVSKERQYLQQYANYKAMLTRVGYTPEISHGMLVEMAEHRKDLDKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALATED >KVI12120 pep supercontig:CcrdV1:scaffold_45:626829:628586:-1 gene:Ccrd_009485 transcript:KVI12120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHSDHHLPSILKQCVAILLSSATSIHKLKQVHAFSIRHGVSLNNPDMGKHLLFTLVSFSAPMSYAHNIFNQIRNPRIFTWNTMIRGFAESQNPKPAIDIHRNMRILAVEPDTHTYPFLLKAIAKLIAVREGEGVHSIATKNGFGLSVLLTACAELGALALGTRVHAYMFKVGLAENVHAANSVLDLYAKTGNISEAQKVFDEMKEKSVVSWTTLIVGLAMNGFGNEAIDLFKKLERKQLTPNEITFVGVLYACSHCGMVDEGFTYFKRMKEEFGIEPRIEHHGCMVDLLGRSGRIQQAYDYILAMPLQPNAVVWRTLLGSCTMHGHSALAEVARAQLIQLEPKHSGDYVLLSNLYASEKRWSDVSKVRERMLDQGIQKSPGHSLVELGNCVYQFVMGDTSHPESEDIYAKLIEITKLLRLEGYVPHVANVLADIEEEEKETALLYHNEKIAIAFMLIKTPPGTPIRVVKNLRVCADCHIAIKLISKVYKREIVVRDRSRFHHFKDGSCSCKDYW >KVI12127 pep supercontig:CcrdV1:scaffold_45:78701:81878:-1 gene:Ccrd_009438 transcript:KVI12127 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MSSSSSSTHIFFISSLIILWYSSNIGVLLLNKLLLSNYGFKFPIFLTMCHMSACAILSYISIVFLKIVXFQKIKSRSQFLRIATLSIVFCGSVVGGNISLRFLPVSFNQAVGATTPFFTAMFAYLMTFKREAWVTYGALVPVVTGVVIASGGEPSFHLYGFIMCISATAARAFNEKLNSMNLLLYMSPIAIVVLLPAALVMEPDVIDVTVSLALKHRFMWLLLLVNSTMAYAANLTNFLVTKNTSALTLQVLGNAKGAVAVVISILLFKNPVTFVGIAGYSMTVMGVVAYGEAKRRYR >KVI12099 pep supercontig:CcrdV1:scaffold_45:552784:557739:-1 gene:Ccrd_009478 transcript:KVI12099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MEVIESRAVHDLNLASRKAQDAGKLQFLVSILFIKSIKYIYQFWVSFFVIFVASRRIQATEWLESFVGPLGISKEPSEKEFISCLRNGLILCKAINKIQPGSVQKVVEISRHPSQSLLWDSQPLPAYQFFENVRNFLKAVEDLNLPVFEASVFERDNMEADSSTKIVDCVLGLKDYKERKQNPGGNGVFKHINRCPLVMHSNGRNPRPAAGLDPNRQLDLSTNCGKQPPSQNDHQKHEDLIVKALTECMINAKENLDGNLLSSIRNGNTDSVKWFSKILSSALEEQLQYKFPESFQACLNKDDCNHWQVFKVQEKKLQTVLYPTTSLSSISIFQNLKALLSSTKAEFGDLQSQWQHDLKQMGMLKMILNVLKVEELSTAAQGYHKVVKENRTLYNMVQDLKGNIRVYCRIRPAFRADTKNVIDFIGEDGSLVVLDPSKPNKDGKKLFQFNRIFGPTATQEEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGASRKELGINYLALSDLFDLSNSRKDVIKYELYVQMVEIYNEQVRDLVTGDSANTSKLEIRSCTTENGLSLPDATMHSVKSTTDVLNLMKVGQVNRAVSSTALNHQSSRSHSILTVHIHGKDASGGTIRSCLHLVDLAGSERVDKSEVTGEGLKEAQYINKSLSCLGDVITALSQKNSYIPYRNSKLTLLLQNSLGGNAKTLMFAHVSPEGDSFGETVSTLKFAQRASTVELGAARLNKESREVMDLKEQIETLKRQLAEKEPQSAQTANRLEPRSPLPKPKPVPMMMERTPPRSRRLSTENKCPPKSPNSGSKSRALKTDGRITRIPSLVLPKTPEPPQVSIRNAIIISSESKEPTGITNGKTSSSHIRKSLRTIGKLINGSEKRIQSQKTNEVVVASPATVGRPSRRQSLTGIQPSRRSSLGGVENCKLIMISRKGAKTPPPVVNSSSNMKQWM >KVI12148 pep supercontig:CcrdV1:scaffold_45:668038:670362:-1 gene:Ccrd_009488 transcript:KVI12148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding-like domain-containing protein MAERGGERGGFGRGFGDRGRGRGGDRGRGRRRGGRRDADEEKWVPVTKLGRLVKDGKITKLEEVYLHSLPIKEHQIIDQILPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRLVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWRETRFSRSPFQEYTDMLAKPTTKAITYVEDVADIPA >KVI12126 pep supercontig:CcrdV1:scaffold_45:42638:54145:-1 gene:Ccrd_009435 transcript:KVI12126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin interacting component Nup93/Nic96 MTSDADMSSWTDLLHSSSKLVEQAAPSAQFPSLQRNLDQLEALSKKLKSKTLRTEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAVQEAQKDNLRNFGDYMMTVLEDDWQKEKRDFLHSLSRISTLPRTNVDDSGFGANRSGPILSLTSSPHVSSGPSSMELVPIADKPAIDKKAAAYAEVVRNLNDARQRGLAYKPATAFKSAYESLGLDSSGGKSVTMNKIWHLIQTLMGENSSVQRNVSKKMLLIIGARRHLEWGHEKYIVEMIQSHPAQAALGGVVGNLQRIHAFLRIRLRDYGVLDFDAGDARRQPPVDTTWQQIYFCLRTGYYDDARDVASRSRVSHQFASQLAEWITNGGMVSVETASIAAEECEKMLRMGDRVGRGTFDKKKLLLYALISGSRRQIDRLLREQPTLFNTIEDFLWFKLCAVRDCSGGSSSVVLNEGLSPYSLDDLQAYLNKFEPSYYTKNGKDPLVFPYVLLLSIQLVPAILYLSKDIGDEGYNIDAAHIAIVMADHGVLSDIAGAGHKLGVMDAFAEAASIIRQYGSLYLRHNNLSMALEYYAQAAATVGGGQLSWSGRGNVDQQRQRTFMMQQLLMELLLRDGGILLLLGPRGAGEEGELGRFLNDRKERHQFLLEAARQCLEAGLNDKSIEIQKRVGAFSAALDTINKGLSEAICSLSRGRLDGESRTTGLVHSGNELLETFKYYPDVSLQERDHVLEQETVLRQLETILSIHKLARQEHHLDALREVAKLPFLPFDPRAPDTPTDLFQNSSPYVQACVPDLLKVSLQCIDNVRDSDGSLRALRTKIANFLANNLNRNWPRDLYERVARS >KVI12115 pep supercontig:CcrdV1:scaffold_45:430576:436549:-1 gene:Ccrd_009471 transcript:KVI12115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingomyelin synthase-like domain-containing protein MALAFSPHSYHVALHRSRGFQAMEKNLCRNCNRNQSSSGELEVYSWRFNLPVHSWPGSSRSSLFSSSRTNSSRRWVLSASCTYNQSSIFCLYELGQERAYVSETVFTFVFLSFVLWTFHPFIFKSKKIYTVLIWCRVLAFLFACQILRIITFYSTQLPGPNYHCREGSRLATLPRPDNALEVLLILPRGVLYGCGDLIFSSHMIFTLVFVRTYQKYGTRRFIKQCAWLIAVVQSLLIIASRKHYTVDVIVAWYTVNLVVFFVDHKLAEMPDRSLGALLIPLSKDGRMKEEGTKLLNGNSGDPIDRRSRIQANGKVNEDSDNVHVDVLDGA >KVI12146 pep supercontig:CcrdV1:scaffold_45:305364:317464:-1 gene:Ccrd_009459 transcript:KVI12146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTDVIGADAGDLELEAMRKDDCSWHPCQVHFSPDDASLVIKYGNDRFQDTLVSEKEALMRIRVRSLPLQDDDCIHIKPGEHVVVNRNSQPEGGFFDAEVEKVLRVRHSKRMQCRCTFMIRWLHQDLNGGSLTVPSISVMRLANKSINNHPTISAFMDEMLLINSNFSSMSPELTVVNAIDLEFQDLLEKQIEGIRNSVHCSKKKIRDEILGLEVNTDEQVEGTDISVPDVREPEIQNSTKKSHLRRSNWSKEVSRRVDVKNPSSPVIPSPSTEELPENRSPLNPLAARAALASMMSKLPQSLEISLNEEERKGFTDEEEEVLKSSILARYSSSGINDFFDKLSSEAEVTTKPIRIVKKPLFSGKSKAEDSDFSTNITQVTQDKMNPEIRTRLTRATVKKVKGIPDDDKRSSCVNGNKSSTASNRRFTRSALCKEGERVTIGTTSVVEKRMSTRCKEYITSDHKVKADGSHTSKDKKTLFTMPLPVAENESKPNARRKVFSKMEEGNKGPKGIEGNTCTVDTKNSSNMRRLTRSMLNKEAANIKTETTKESGGDEFPINEVLSEGIEGILDVKMLKTTKAINLPFSTYISLSPAEGNKKRAISGGADSSEKTDSKFIDSNVDLLRTEQRNKKRNTIGENVTSDGGHNYGSKKKSLDLKKHKSAKMEKLGD >KVI12102 pep supercontig:CcrdV1:scaffold_45:592100:593512:1 gene:Ccrd_009482 transcript:KVI12102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGKIKKNGGGAGGGEGWGTGIFLVFFPEEDEQELTSSSSSSPFKFPTINSLLKRTNSSHILTRTQSTISICALLIFLTCLFFTLSTFEPNNNFTPSRRHSNSPRSYTPALQGLGTLYTRGTTAMDNLLLCHVTESVTTKQLKVFLRAFHRSGLPLNSDLLFIFDSALTLESFDNVILEENDIFLKVVCRYQAELCNGSKVLDFPASFNLNQFLKSGKKVVEKGEPIWGWKIKNNNSSLLRCGGGETESTRISYGSVVGFGVGEVDPENSLSGFMDQIPMSLRRWASYPMILGRVRRNFKHVMLVDVKKVLLLGDPLGRVRTKSPESVFLSSTPPRATKHGHKGSEDTHQKTINSAVIMGGVRGIRRLSAVMLTEIVRATTNQQHNKRKNSVTESGLLSRLATNEFALKSIHVSMSIESIPTASSVSESTIRNQTIVWVEHSDYKDIESAIMKHICSFLIDSAVYRDC >KVI12119 pep supercontig:CcrdV1:scaffold_45:655590:656906:1 gene:Ccrd_009486 transcript:KVI12119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein SYSQVAVRAKTPYLDGFLANGNFEQGPHSSNIKKTVIIGKYSLPKWEISGMVEYVSGGPQPGGFYFAIPRGAHAARLGNEATISQTITVKVGTTYSLTFAATRTCAQDEMLRVSASGQSSDLPIQTLYSSDGGDTYAFAFKATSNRIKITFHNPGVQEDPACGPLLDAIAIKEMIPLRYTKGNLVKNGGFENGPHVFKNYSTGVLLLPKIHDIVSPLPGWIVESLKPAKYIDSKHFSVPKGLAAIELVGGRETAIAQIIRTVPNKLYTLSFTIGDAKNDCHGSMMVEAFAAKETVKVKHEAQGKGGFKSASLKFKAISTRTRLTFYSAFYHMKLSDYGHLCGPVLDDVKVLPF >KVI12124 pep supercontig:CcrdV1:scaffold_45:73242:77662:1 gene:Ccrd_009437 transcript:KVI12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNYDVKTLRSLRGVDGIAEAIDVSLDEGIKSSELSIRQETYGINKYTEKPSKSFFMFVWEALHDLTLIILIVCAVVSIGVGLATEGWPDGIYDGLGILLSILLVVTVTAVSDYKQSLQFKDLDKEKKKISSHVTRDGYRKKISIYDLVVGDIVHLSIGDQVPADGIFISGYNLLIDESSLTGESNPVNIDEKKPFLLAGTKVQDGSAKMLVTAVGMKTEWGKLMETLSEEGEDETPLQVKLNGVATIIGKIGLVFAVLTFLVLTVRFLVEKAMHNQFSSWSSSDALTMLDYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSSTCICTDKTGTLTTNHMIVDKIWVSGETKDVRDNEGRVLSSKLLEGVSNVLLQGIFECTGSEVVKDKNGKKNILGTPTESAILQYGLDLGGDFNATHSEIKMLKMEPFNSAKKKMSVIVALPDGQIRAFCKGASEIVLGLCDKIIDRNGEAVPMSEEKVKVVTDVIEEFAVDALRTLCLAYLDVEGGFDGQQNIPERGYTLIAVVGIKDPVRPGVKEAVGTCLAAGITVRMVTGDNINTAKAIAKECGILTVGGLAIEGPEFRTLTTEQLNEIAPRIQVMARSSPTDKYDLVKHLRGMSEVVAVTGDGTNDAPALHESDIGFAMGIAGTEVAKEQADVIVMDDDFATIVKVAKWGRAVYINIQKFVQFQLTGLMKRPPVKRTDSFITKTMWRNIIGQSIYQMAVLFVLNFAGKPILNLHGSNATAVLNTFIFNTFVFNEINSRDIDKINIFRGMFSSWIFIGVMISTVVFQVIIVEFLGTFASTVPLDWELWLLSIVIGFVSMPIAVVLKCIPVEKAAVKQQHDGYEILPDGSEQ >KVI12093 pep supercontig:CcrdV1:scaffold_45:245657:247083:1 gene:Ccrd_009452 transcript:KVI12093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin/actin-like conserved site-containing protein MAESEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDFEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KVI12114 pep supercontig:CcrdV1:scaffold_45:426857:429808:-1 gene:Ccrd_009470 transcript:KVI12114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, active site-containing protein MAATTSSNLLHFSSSHSVSRLTPLKTTTTLFSCSKKRPFTSAPAGGAATAGVRCMAVVSEAGSVKKTSSYQIMTLTNWLLQQEQSGAIDAELTIVLSSISMACKQIASLVQRASISNLTGVQGAVNIQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLAPEIGDDSTLGTEEQRCVVSVCQPGNNLLAAGYCMYSSSVIFVLSIGKGVYAFTLDPMYGEFVLTQEKIQIPRSGKIYSFNEGNYQLWDDKLKKYMDDLKDPGPTGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSYLIHQRVPLFIGSVEEVEKLEKYLA >KVI12117 pep supercontig:CcrdV1:scaffold_45:568053:571224:1 gene:Ccrd_009480 transcript:KVI12117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MTHHRLLRSSSTTTTGIISTNYISSTSFIIDPDHKPLVGTSSTAGFARRFSRTIHSILNVISIPTILPSCRWLSLPTQLSLRPSHSRKVTGTLFGNRRGHVSFAVQYDPRSEPVLVVELAVSTAALVKEMSSGLVRIALECEKQHRRGNTKLFHEPTWSMYCNGRKCGYAQSRACSESDWHVLSTVQSVSVGAGVIPVVEDSGKRKGEGELLYMRARFERVVGSRDSEAFYMMNPDGNF >KVI12129 pep supercontig:CcrdV1:scaffold_45:493026:494937:-1 gene:Ccrd_009476 transcript:KVI12129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQELADGEFWLPPEFLNDEDILMDFLPGKPNSGTRSKQGSSGFYGFGSNGPNSDLSSPVESVMGSTETESDEEDYLGGLTRKFAGTTLQEDFWRSDASLNFENHLSKSTRVMAGSPQSTLCGCKQGGSSRGSPNCSSPPATAAPVKRNDPSLDLLHAAAGEVARMRMVEEAAARYYNANKNFLAAQPPRRTPSHSLHYQQLQVAQFQQLRQQQMAKQQQYLEMIQQHRARSEGGNGRPVVAPPLSAWPTPQQSQQQPQTRPPGSGMRAVFLGNPNTKRESTGTGVFLPRHIGAPTEPLKKRGCSTVLLPDRVVQALNLNLEAMEAESKLQSRCNGGPLAPDYDAEMIYRSSVLMAQQRRNNHRQQAAEFRLPQEWTY >KVI12101 pep supercontig:CcrdV1:scaffold_45:599463:607694:-1 gene:Ccrd_009483 transcript:KVI12101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MSGSAKALEPAFQGVGQRVGTEIWRIENFQPVPLPKSSYGRFYSGDSYIVLQTTSGKGGAYLYDIHFWLGKDTSQDEAGTAAIKTVELDAILGGRAVQHREPQGYESDKFLSYFKPCIIPLEGGVVSGFKETEEEEFQTRLYTCKGKRVVRLKQVPFSRSTLNHDDVFILDTKDKIFQFNGANSNIQERAKALEVIQFLKDKYHEGTCDVAIVDDGKLQAEGDSGEFWVIFGGFAPIGKKVKDVEGELSKSLLENSKCYLLDCGAEVFVWVSRVTQVEERKAAMQTAEEFIISQNRAKSTRVTRLIQGYETYSFKSNFESWPSGSAPSAPEEGRGKVAALLKQQGVGLKGLAKSSTATEEVPPLLEENGKIEVWRINGSAKTPVSKEDIGKFYSGDCYIVLYTYHSSEKKEDYYLCCWIGKDSIEEDQNMASRLATTMFNSLKGRPVQGRIYQGKEPPQFVAIFQPMVVLKGGLSSGYKNYIADKGLNDETYSSDGVALIQISGTSVHNNKAVQVDAVATSLNTYECFLLQSGSSLFTWHGNQSTVEQHNIAAKIAEFLKPGANVKFAKEGTENSTFWFALGGKQSYTSKKLAQEAVRDPHLFAFSFSKGIEEVYNFSQDDLLTEDILILDTHAEVFVWVGQSVDSKEKQNVFEIGQKYIELAASLDGLSPCVPLYRVTEGNEPSFFTTFFSWDTAKANAQGNSFQKKVMLLFGFGGSHAGESQDKSNGNQGGPTQRASALAALNSAFKSSPTAKSSASPKVPSRGSQRAAAVAALSSVLTAEKKGSSDASPVRPSRSPPSEGGSPGTRSTITSLIICFQSVSSHFAKLLLVEYFIFGYAAFLKIENHAIAKSEEPSDGLESNEGSEAGTGTFEVIQETNGEDSAPKSTTDENECVSVDSQSTFSYEQLRAKSENPVTGIDFKRREAYLSGEEFEAVLGMTKEAFYKIPKWKQDMMKKKVDLF >KVI12138 pep supercontig:CcrdV1:scaffold_45:170259:183791:1 gene:Ccrd_009446 transcript:KVI12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MNHELGISIAKLLSALRHPKILLYDPEKTLLPAFQTLNSIGLSSTDVAVIVSARPKDIFDRPLQDRALQCVNYLRSVLGSDDKVIKAIKRYPMALTYDLQVYAAGNIQILREIGVPESTIVSMLALQPRTFFTSVDRFKKVIGDVKNMGFDTSKTRFLWAVHAVRSMSKSTWDMKVELYKKWGWSEGEIFMAFERCPGEVVEDFDHRLVSCASNSEPLSNLFQRYGFQPSDLHNFFARNRFLLNSSISDVEMSLKILSSLCSSKDSIVSMVYNCPRVLELDFLKGWEVGVSELGVSDNGSLIMIQSILEVSRKFDLYPDDVLRCIEGLKGFRFSSATITRVLQEFPMIITMNEENIWSKIEFLLGIGIHRSKIDSIIQTYPGILASGLENKLKPLISEFTGMGFTPNEIREEIIRNPKILGSEVGEMSKCLRMLNSLKCRVPIKEKLFSEGAFRASYEVKLRIDCLHKHGLLYRDAFSIVPRYNVIEHLRSKGGIGDEIGLRSLVEIL >KVI12137 pep supercontig:CcrdV1:scaffold_45:192948:197657:1 gene:Ccrd_009447 transcript:KVI12137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emopamil-binding GDTQTSRLNRRPSEVDFLLRRCSQFLLWNSGKMAGIQASESIHPFIPKDLILPDYVPIILSQSTILAVYGCASFLVVSFIWILAGRFPKISKLDKTLMCWWVFTGLTHIILEGYFVFTPEFFKQKNPTYLAEVWKEYSKGDSRYVGRDSAVVAVEGITAVLEGPACLLAAYAIATRKSYSHLLQIAISLGQLYGTVVYFVTSLLDGDDFAASPLYYYAYYIFANSFWVWIPSLIVIRSWKTICAAVRTQEQKKSKTR >KVI12133 pep supercontig:CcrdV1:scaffold_45:328587:339347:1 gene:Ccrd_009460 transcript:KVI12133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTFVFPQNLIVLEEDSGEDATHLSVQNPTSVSSLRASDLEEFVKGTVFFLPTLRLQFPFYFHELDLNTTKFQISGVSFDLSDKELFCVEEQDVFDRIYSLIKCFGDLTPGCKVNLVESLRSNFSVLLPNIDSLFRVPASPTSDGSECNDGGLPTTLDRINSHRNAFKIYTFFLIHVVLSQDSNTASTNTTKALPSSRKKHPANSWNWEAQRGRILNLIANSLEINLSLLFGSSDPDENYLSFIVRNALSMFENAVLLKDSDAKAALCRVIGTCATKYHYLAQTCASILHLLHKHDFVVIHLADAVAWAEKKYSDGSLCISLIREIGRTNPKDYVKDTVGAENIGRFLVELADRLPKLISTNIGLLVPHFGGESYKIRNALVGVLGKLVVKAFNDIEGEVSSKSIRLRTKQAMLEILLERARDVSAYTRSRVLQVWVELCEEHSVSIGLWNEVAVVAAGRLEDKSAIVRKSALNLLIMMLQHNPFGPQLRIASFEATLKQYTKKLNELEPNGSSESVLDASSLDGDAGNEDGEIVDDKAGVPKEQQDSLTDSCIPQDNDQSTQMDSSVPDVGNLEQTRTLIASLEAGLRFSKCISATMPTLVQLMASSSATDVENTILLLMRCKQFQIDGSEACLRKMLPLSLISNSLLYLYLYLFLMLTFHFCNAFHLCVKVFSQDKSIYEAVENAFVTIYITKSPNETAKNLITLATDSNIGDLAALEFIVGALMSKGDISASTVSALWDYFCFNVSGTSAESSRGALSVLCMAAKSSADVLSSHLQDIIDIGFGRWAKIEPLLARTACIALQRLSADDQKNLLTNHGSRVFGVLDSLITGSWLPEHIWYAAADRAISTIYAIHPSPETLAADLVIRSHNSVFGVDELQDDTDGGSSSVLTAVQVTKLSRFLYVISHVAINQLVYIESCVRKIQKEKAKKEKLVAEGHHVEDNSSKPLTEQKEDDINAELGVAASEDAVLDILSERAEKEIVSGASEKNLIGHCAPFLSKLCRNFSLMQKASGMLALCRLMIIDGPLSYSLVYLFLFSLLPLLCFLLTLHIEANLQLLFTVVESAPSETVRSNCTIALGDLAVRFPNLLEPWTENMYSRLHDPSVSVRKNAVLVLSHLILNDMMKVKGHINEMAMRLEDENERISNLAKLFFNELSKKGNNPIYNLLPDILGKLANQDLKKESFFNIMQFLIGSIKKDKQMEALVEKLCYRFSGVTDARQWENISYCLSQLSFTDKGIKKLIELFKVYEHVLSNEAVMDHFRSIINKGKKFAKPELKSCIEEFEGKISKFHIDKKEQEDTARNAAVHQQKADALKNFSVDTNIEEESAESEAAEDGEVTDPSMNEVSDFPSNEDASKTVDSEECSNASSQVIQSEQCDDEIQSPPVFSKGAKKHRAKKSSSASEKADSEAPSMRVTRSRRR >KVI12100 pep supercontig:CcrdV1:scaffold_45:676770:683232:-1 gene:Ccrd_009490 transcript:KVI12100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MASRRCIMFLSIVVVIVLFYISWYPCSLHDHDYESVSTKTFDVDSFGAKGDGKKDDAKAFKKAWKEACSSTEAAVFSVPKNKKYLVTPIKFKDERHWLRVDGVDNLQIEGGGVIDGNGAIWWNHSCKVDKDRALTLYECTTLVVNNLKVQNAQQMHVSFDNCENVQVSNMIVVAPEDSPNTDGIHVTRTQNIKISDSVIGTGDDCISIVNGSKDVQATVSGVWELKKQKLMFQMLQLMGLTLLERQMGLESRLGREDQEMQATLRLKIST >KVI12094 pep supercontig:CcrdV1:scaffold_45:247636:248484:-1 gene:Ccrd_009453 transcript:KVI12094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MREDDSNWFSKWEEQLPKPEELMPLTQTLITPDLAIAFDIGTSHTPQNHHHHHHHHRSLQQPSPISTPSSQPNSGEFDSSDLGGGGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGGGGGFGSGGDPATDRLFASSPVPPHFLHQPDNYLPYMPAAALQQQHHHQQMAAVAVAGHHHLHRHFGSNQSNHQFLSRQSLQPVHRVGTPVHNSVSSSYVDDLESAQEKKALTLFPIGDD >KVI12096 pep supercontig:CcrdV1:scaffold_45:277953:282621:-1 gene:Ccrd_009457 transcript:KVI12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MEVRRTMTMNWDELGDLDDDDDIFFDAQRLSSVLPQDLAYSSDEDDDEVFDDCRMSFSSSSAPAAVSRNYSNSAFAPEFQTNEYDMWMAAPDQSINDRRRRLLQDMGIGASNRDFLGLGNAPSRRMPSRRIDVVPPMKPVEKQPSPHSVEQKYDEQQQRLLPAEQLQQQNLPSRPLLDRSRSDVAISTSIKHREEEMIGSIEKQRLSRTSSGFSMPFAGLSTGRQRMPSEDVGHTSDDNYGTASDGQTESLFMIHNMDNGNKFEVKECNNQGKWNKLRDVQTGEHVTMEEFEKNAGHSPKVKEGMKRAVNDGKHGSGHRKLTPAGNSSFKKSFKKSKKKGASFLKNIKGSMGGSKTDQKEKDATVTTSPSINSNNPPSISEQKPSSQWVKARVHGKSYKEFTALHLSQEIKGHDGSIWAIKFTYDGHYLATAGEDKVIHIWEVQEFDVSNQGGDDSSSAAGTPVHPMALVAGSDGRPPLPEATPEKKKKGKKKKGIPDYVHVPETVFGLSETPFCTLEGHMEDILDLSWSRSQLLLSSSMDKTVRLWDIETKNCLKLFSHTDFVTCIQFNPADDDYFISGSLDAKVRIWNIPERQVVDWIDLHEMVTAIGYSNDGKEQIELKTKKKPQPKKITGFQFSAWNPSELLVTSADSRVRILDGTKIVQKFKGYKNNNSQFSADYSPDGKYVISASEDSQVYVWRHEGSRGKNKHTIIKTYEHFPCKEVSVTAPWPGSSRIDPPVVAMHSKRHSKRSTTTLPPTATAAGSISPLHDDTGHGKRAASLPPLPKRSGESINEDLDHHSSTDSSVGPSESFNSAASSPGRFDDVTGSSPNHPSPSRHEGGGGHGHKTIQATAWGLVIVTGGLGGEIRDDSIGSGYF >KVI12135 pep supercontig:CcrdV1:scaffold_45:398714:404686:1 gene:Ccrd_009467 transcript:KVI12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTASQVSVDEIRSAATGSDRYPASLHAPLMSSPEPPPYITEQAFPYEAEYGGQFGKTENQAHRQILDEVEIRELLIDHVGHRCCWGSRPARTWKIHSVEDCNVYVGTLETFVEEREVITETEPYRGGNIDGKEKGTELGVWELDLKSDFPVLFTPHKESRAKVPHSESVEKCPDCAGRGDIFCAECNADQDPGFHKENQMSPCAACYGRGLIAHKDGSDTLCVKCNGKGKIPCATCGSRGLVKCPKCQGSGSLLTRKIAVVRWDTHGARKLNATSGAASVPDDVFHRAKGIQLCNTQAYHCTPAFFADSFFLNQFSSEVISERPPIPPSARVICERHTISVIPVTRVTMVHRGQTFSFYIIGFSREVYLKDYYPSRFCWGLCPCLDWLKL >KVI12111 pep supercontig:CcrdV1:scaffold_45:467030:481029:-1 gene:Ccrd_009475 transcript:KVI12111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MAVVKDAEQEFKPPKKKTSSDEDDKRRKKMIPGGLMKAVIRPGGGDATPSDGDQVIYHSTVRTLDGAVVESSHLEFGGALDFMAHFSSSKGTPIRQVLGKSKMILGLLEGIPTMLKGEIAVLKMKPELHYGEDDCPVSVSDSFPKDAELNFEIELIEFSKIKARATNRLSYTKLALYKSDFEVVTEDLGVLKKVLHEAQSWENPRDLYEVPKGLEMGIGTMSRGEKAVIYVTSPYLSLNPLIPSVEGVEEVHFEVELIHFIQVRDVLGDGRLIKRRIKDGKGEFPMDCPLQDSRLRVHYKGMLIDEEKTVFYDTRVDNHGQPLEFSSGEGLVPEGFEMCVRLMLPEEISLVTCPPDYAYDKFTRPANVPEGAHVQWEIELLGFEMQKDWTGMDFRAIMDDVEKTKGTGNRLFKEGKFALAKAKYEKVLREFNHVNPQDDEEGKEFGNTRNLLNLNVAACYLKMGDCRKSIETCNKVLDANPVHVKALYRRGMAYMAAGDFEEARRDFNKMMTIDKSSEVNAKAALLKLKQTEQEVEKKARKQFKGLFDKKPGEISEVGVDDKGKETMTENDDDDAQEPFISSQEEKDGDGDAPQAPGPARMGSFSQLLTSGMNLFKSLGVNRCAIL >KVI12140 pep supercontig:CcrdV1:scaffold_45:419300:420352:-1 gene:Ccrd_009469 transcript:KVI12140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MASLLTSRYLITLLYFLTFCFKSLIADSNSSFSFNNFGSNSSFESVLALYGDAKVVNGSSSLQLTAPLVSSAGRLIYKNPIKFYSGIPKKLVSFSTYFSFSMWSDSRNGDGLAFVVFSDGYPVDLFDDSGSFGLSNGDSFKFLSVEFDTSMDSKYGDMNGNHVGIDLSSFVSSKAANLSSLNLGVNNGNRLQVWIDYESSSRRLEVRISKFGETRPFDPLLFEQIDLPKIWPENEGFFVGLSSSNGNSTQLCNVYSWSFKTRQSPDWMHSEPLEPMVFKEKKENEIKIHKENDCVMRILSALILGIGLGALGSFIGMFIWTIFANKRPIAPEEYAMTAVAHDKVSVNEKQ >KVI12112 pep supercontig:CcrdV1:scaffold_45:445382:447968:-1 gene:Ccrd_009472 transcript:KVI12112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MGSREQQISKGLQAKVVSLRANRIRKALDYTKLENPLFVGQVHELRKSAGEKHLVHLQPVMNHKEQSLRSMGDKDDSLVKHMKNLPGFLQRVEKGENIQGKALNFGVLDWGRLENWKSNEKRIPPRYNMKTSLSSNNPILVESGSARLSRTRKKIHPPRRDQHASSCSQLNSSCRERLSPGVRWPRGKAVKVQLSESSPGQTFNGTQSPCWKDLPPGNKYDTLEFDSSKEKQTDQMTSEKETSSSDWRKQGPCHSPRYTMRSQAQASATKMPSDDNINHADDPCPSGNHNAVLSSPRDGTKINSSNQDLWLSDSRNSCDGHLTEASQSRLSNCFSPTEFHSPNPFSQVPHSCPFPLPLSTETYPDPECSNEIPVTPMRGRTLEGNEPVVQHSTKKCDVDIDEHPALKGRQSSPNRRFSFSLGKMTRSFSFKESSSVVPQLSSTHTSFKSGPVNFEASPDLVNYNWKKGNATNSSSSDILAAAVKKLPSGKDDSSLIYAFYSVHENKKKHGGWIYQGSKEKSFGFGYNIVGQMKICSSYHAEFSGSEKDLYVVRESVLYSSDSVQADERTLDRMLDGEVAAIIVKNPSDEICGDIGSSNSMVVIVPEGAHSLPNGGRPSPLINRWRSGGVCDCGGWDIGCQFHVLSHQNEIPTPSNRLDLCYQQGRGKKKCKFSLVSLEDGLYSLEYDPSMSLLQAFSICVAVVSSQKLTHIFQVNYVPDEKVKSWEEIPGKYVSKPPASPVGRV >KVI12121 pep supercontig:CcrdV1:scaffold_45:617632:623158:-1 gene:Ccrd_009484 transcript:KVI12121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiouridylase, cytoplasmic, subunit 2 MDCNGTGCQTGCYKDSNGDGETENKSISVDSSHDKHQRHQKMCLKCKGTEATIFTGGDGGGRFCADCFRSNLYGKFKLAVTSNAMISPTDKVLVAFSGGPSSSCSDLSQANSLDQVLLDTFKDLNVVALQFVSEMQLKAQKNFDATADRDRSLPVFGVGVAFIDESEIHPSTAADLDKAVEDMKEIVLNLAPPRKELHVVPIRSICSEKTSDGRETLENLVNAIAIENGYTKLVLGSCTSRIACHVLAATVKGQGYSLAADIQYADARWEIPVVLPLRDCLMQELNILCSLDSLKIVEVFNDSRANINGLVSSFVKLLQEENPSRECTIVRTAGKLTPFPFNRIPEVDADDVLLASQRRQKKFNLRPIESLPPESFCPICNSPMNDLQNSSCYSNPQPNPELFRAACCSCCQYQVLPEEPSSMDQFYSFLPKSIITRAKDGNQKWIR >KVI12113 pep supercontig:CcrdV1:scaffold_45:463290:463884:1 gene:Ccrd_009473 transcript:KVI12113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MSHDTMDGNGMAMHMAFFWSKDVVMLFNGWPNGQLGMYILALAFVFLLAVIVEFLSIFPVIKPGSSPFVGGLIHALFYGFRMALVYLIMLCVMSYNVGVFVFVVAGHVIGCFVVKYRVISKAARKSRTDPV >KVI12147 pep supercontig:CcrdV1:scaffold_45:676196:676730:-1 gene:Ccrd_009489 transcript:KVI12147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAKNSADEDAVIFKCSKKHPCQGIVLQEINFTREGGGDTKAICNNVELTYTGTVIPRCPKNIVQINEHLERMITTD >KVI12107 pep supercontig:CcrdV1:scaffold_45:95961:99928:-1 gene:Ccrd_009440 transcript:KVI12107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D-like domain-containing protein MAQYWQLIAHPNDPRSGDYGYSKENMQSFGSADGSSVYKSIEDAABRDVNIRLLQHSGVYPDYTEEPDDLASGRANVQNVTLLSSDWFGXGVVHAKVWISDSRDVYIGSANNDWKSLTQVKEVGIYLVDCPTIARKVEVFFNNLWALGSLNHSAYTTEIWDQQWQINRTVPCWSHFIPSKGRCRSPLPHYVEVPHTAGYPPLTDPSTFRTSIQTPGCSYSSLQPXLSYLSFAPPELSFGKYQTDEQAWVDTIKSVKTGEXVRISTMDWLGQSEYAAQTVYWSSLSSAISEVIFSKNATVKILVAYWAHFITNTDQYLKSLLYSNNLCTSSPYNTCSGKIEIKYYMVPGFNLTGPAISDGKSTGNKYPGYTRVNHGKYAVSDKRAHIGTSNLIWDYFYTTIGISFGTYNPAIVLQLQKIFDADWDSPYAVPVQPLXDGHTFSS >KVI12108 pep supercontig:CcrdV1:scaffold_45:209842:219956:1 gene:Ccrd_009449 transcript:KVI12108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MARKKPSSSASPIAIGNCQVVVEAKNFNAESNQNSLQISVSGKSKILWRMHLEKNVTISNVLVLEKMVHDSSTGSFLCFPIHFNKINRLKNLASNHKSQNKNPKELPSQGAAKKAQPKDQKSINFLNSALKTNEILNLYEKELPSMNYAANTGKKSTFLERCVSNGKYCTLVLKSNSEEGSGEVVAAISFQIIPADTQYAEVPLAAVCSVYQHKVFYSFVPISIQRTTEDSSFSVLTCFRNLQGIGHRTYLEMRKRLQGVGVHSIFCWADEESEGFWLKQSFVPVGQVDTKGRARRLPIRTDIRKALCFPGGSTLMIAHLHKECSDISAESLRLSSVFKPVHKPLTATMVQSQCPGAEEVLKNNYLTDGCQDLVCLDSEECINMGNNEDEMRIRSDGDAWHCSCSSSGAKKRTWETSHTSLKSKKVKGSHLKVCQSDSGCVSRRNKTSGCCMDASPMTIAKDEVADFTPTLGGPLSHIHALECSLGARTTNNHGCVELLSERNCYKIMLMNIADDAKKSNLTKIIEDLGGSVTSDGRGSTHVITGKVRKTLNFCTALCSGAWVISPGWLKESFREGRFVDETPFIVKDLEYELKYRTELKGTVLRARASPGALLKGFEVCLAAHVQPPISTLSAIVRSAGGNVIRNLEKVKDSSKTIFVASEESMDEALSAVKKGIPTFSNEWFMNCVMKQDLDLEAPQFAESL >KVI12139 pep supercontig:CcrdV1:scaffold_45:150729:163449:-1 gene:Ccrd_009445 transcript:KVI12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MSPAVEDFLSPASSSTQRTYFQNPNPSVQKYPSDSGVFDDGFNLMKSSKLDCSNDVAAAAAPVRSSRRKHVKARKQSSCSDAASTKPTGRTIGFVFNATTSGSVLNSSLGQTGSGGSGEQQSAGEFLDFNLLRFNIGATDDSRLAKNMDSEEAHTDGNKFPDGNLKFVFGADEKVDSRKVSERGANKFGKLNRVDFVFGANMNNGVMNSNSSNVGNVGHEYGPDETVGRSGIDGFTKVNSNTSKADSSLVNSMAGLNLGTRGPGEVRSFMDDNPKAEEKNTSDSRKFENVDFVFSVNHRDFVIDSNFQKEESSFKGNRRINDEMNSLKSKGTGSCDYLKKLDNNINXVPDSNIRFVFGSNLGNAFADNPLSKVSDEMKTSNIDVPSEPVNQNEKSSDGGHCYSNQLKTEAELIKDTFPSSSSSSTTGLGFVPKAHYSEAPLASGAGITNNFSIASKVGGFGDSFTGFKTPDINVACSFTSDMFPGLGKKLEFSKSNSVSQRKSKKTKGKLRPQARNYQQGGYTSLSKDVPESFEESPGCSSPMDFSPYSATDCAPTSTDPPTSQVKNEDAVDTTEKFSAKKNSSSANXDAAVRQRPHQKKKYKMKTGCGLEFTTPNSRVDASLAHEPTSQEHTKATDQEICDKWRKRGNQAYKNGELSEAEICYSKGIGSIQHTETPGFCIEPLLLCYSNRAATRMALGRMREGLKDCRMASALDPKFLKANLRSANCHLLLGEVEDAFYNYNKCLESVDNVCLDRRIAIEAADGLQKAQKVDDYMKCAAELLEQKTYDSATNALRIIDDALLISCYSEKLLHMKGEALLMLEKHEEVVQLCEQTLGLAEKNFATGGVVGHMNVDGYDCKNSLKLWRWNLMSKSYFHLGRLEIALDLIEKHVQLRPTTDKIVGPEESLVSLAVAIRELLHCKNAGNEAFQSGKHTEAVEHYTAAISKSIESRSFAAVCFCNRAAAHQSLGGIVDAIGDCSIAIALDGSYPKALSRRATLQEMIRDYKHAADDLQRLISILEIQSGKNSQRSATPGSATGGSVKDLRRARRRLSSIEEKAKKERSLDLYLILGIKSSDTASEVKKAYRKAALRHHPDKAGQIVARAESGSDGQQWKRSKYDLEEEMWEEMNINVSSSRRASGFYNSPYETTNXRTPQESRKTYSNSHYYYWQDPNKTYHSSYPREAGLIINDDSAGHEASGNDHPFI >KVI12118 pep supercontig:CcrdV1:scaffold_45:660241:661296:-1 gene:Ccrd_009487 transcript:KVI12118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1138 MPSLNVMYHGYKYFEQMTGAKIVGGTIGAFVLAFACTTPSTVSNKEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRVES >KVI12098 pep supercontig:CcrdV1:scaffold_45:237602:243292:1 gene:Ccrd_009451 transcript:KVI12098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGGFAWSTSAFDTKSGRIILLALLVMVATFYIGTFFGRNPSFYVDQFALNASSSKSSETGMNICPIEFNEYIPCHDPLYINELLPNLDHSKREDLERHCPPLERRLFCLIPPPNDYKIPVRWPTSRDYVWRSNVNHTHLSEVKGGQNWVHEKDQLWWFPGGGNMTTNETGDLRSAGVYQVLDVGCGVASFSAYLLPLNIQTMSFAPKDGHENQIQFALERGIGAMISALATKQLPYPSHSFEMVHCSRCRIDWHENDGILLKEVNRLLRPNGYFVYSAPPAYRKDKDYPMIWEKLVNITSGMCWKLIARKIQTAIWIKDASQSCLQRNAEQKLVKICNSVDVNKPSWKTPLRNCVTPSSTGPESQKLPPRPQHTLYWQDQVRHYWRLMNTDETSIRNVMDMNALYGGFAVAFSTWSVWVMNVVPATMEDTLSAGFMIIRDNELIISRIRDLAPKFLWEVTSHVLENRERVSETVLICQKKFWAIV >KVI12103 pep supercontig:CcrdV1:scaffold_45:131564:135988:-1 gene:Ccrd_009444 transcript:KVI12103 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein GSQASGNDPSKVGVLEITSGTAKFRTKPLITSTEISTNSEPVKPFLDELSSKNVDTSPLLAKIEQKENELILRGQEIEGLNRQLMEVTTELRCTRVKNEEMILNVSKLQKDLEKYRQDLSSARNKEAEMSRKCWHMKNEFEETKDELYEKITELEECKDRMYEKITELEETNDAEMMKLKLETEQWRKAAKAIASVLGGGAEVCSKTPELESLVLYYSNRAAKRMSLGKMRKAVNDCRMATLLDPSFLRKWMVHCTITKIICLDRRLAIEASDGLQNAEKVVNYVNQSAELLEQKTLESATKALEVITEALSISCYSEKLLEMKGEALFMLRKYEEVVQMCEQTLAFAEKNYATISDSNHTSNEEEQKRLLKLWRWNLMSRSYFHMARFDKALAILEKHEKLAPAETKTEAPSALSAATVSELLRLKSAGNEAYQAGRHREAVRHYSNALLRSVECRPFAAICLGNRAAAHQALGEVVDAIADCNLAIALDDNYLKAISRRANLHEKIRDYEHAALDLQRLISLLEKQCEAKYVEELRIARQRLSSINRYMKKEMTGLKGSESGAEIKKAYHKAALRHHPDKAGKFLVRSESGADSHVWNEIFTTIHEDADKLFKIIGEAYAVLSDANKRFKYNLEDAMKDDFG >KVI12136 pep supercontig:CcrdV1:scaffold_45:391611:392999:1 gene:Ccrd_009466 transcript:KVI12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MEWNLCLIGQKTTEPPQRHRRIDKLPPLLIKGEKGRRNQEYYEPAVVSLGPYHHNRPDLAQAEKYKLITLEEFSFSSGRKIDYLYNKVFEVVHDARKCYIDGSTDDYNDEEFNRMMLRDACFVLFFIECLSLSNKKVMLNNEYLGALGFANVVRDIFLLENQIPFVVLEVLLDLRFDDKGEKVLNGFFNYLNYGELIPREELKVLEDEYPLHLLELYRSYFISLSRFGLSSTISSRWRKWTKKTDAEEDYNYVKRNRSFASVMELKAKGIFVKCSYDESTNEDIKFHSRCCYGELELVRRAVSSNSKAIYLNMIAYEMCPHNPNDFRVSTYIRVMKSLIVHPDDVKELRNSSILLHTLGRDEEVVKMYDEIEVPAVNLYMFNQLRRGIEKHCKNKYKTWAAELITVYFSSPWKTVALLVASAILFTTFLQTYFTIRPLPDDSNENIIKLLRRCARYKPPSLP >KVI12105 pep supercontig:CcrdV1:scaffold_45:122251:128146:-1 gene:Ccrd_009442 transcript:KVI12105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase MANPDRLSLIFVSLLGSLLSFIVICNAQGTTEHEAFSYIEDSSNGPGKWGTLRPEWKACSSGVAQSPIDINVKQAQVRPDDLKATYKHAPAKLLIQCHWHTPSEHTLDGVRFVFSNKYHHILFVFSGIVVKTFDAELHLVHNSGANRKAVIACFHSIGGNDPFIGNLTDKIKXLGLDGVDLGRISASSIKCGSKKNFRYIGSLTTPPCDEDVIWTITDKIRPVAADQ >KVI12128 pep supercontig:CcrdV1:scaffold_45:559693:561656:-1 gene:Ccrd_009479 transcript:KVI12128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERFKNLTMEFTDLGNVSLVTAYGLSFVGTFVTDRPINFHIMKHRLANLWRSWRGFLKCNSDVTLFRNENRAGIGWSSRMNMTTSLRIVRIVFMDYSLLGALQWAVESFSRSTILF >KVI12144 pep supercontig:CcrdV1:scaffold_45:372754:379067:-1 gene:Ccrd_009464 transcript:KVI12144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MSHLFQSLTSFFSGGDQLPWCSRDVIAGCEKEVTEAANDSSDEAKNESIMRLSWALVHSRQSEDVQRGIAMLEASIANTSSPLKKREKQYLMAVGYYRSGDFARSRQLLEQCLEIAPDWRQALSLKKAIEDRITKDGVIGIGITAAAVGLIAGGIAAALVRKK >KVI12104 pep supercontig:CcrdV1:scaffold_45:122480:163546:1 gene:Ccrd_009443 transcript:KVI12104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAIWRQYHHVEHENKIGFRNIGGSGNATVERSKQGRLSMKALEEEEKHNVIREEHTSGAESRMLNPRPPTHSTWVPAKIARTLANNTISANYAHLLLTLDIVKMVITTIKSIFNESSGLVSTFFEDNSSRKGFTGSEFVEISVDVISGFVLNLAVPELIMYPSDRLRCLLNRINNLIRRGIKTSPPPITPSRRSSRRTIAYNMTRFTATITTLDILTSSTTTTNACTTATTRVNLCTSILSGRERLHCRDTDLVKRTGAATAAEVRPTGLSHAFATYIADLPTGIGAMKKDGGELFLDLSHCLQLHSLAWQTSENSSLTALHKSQKTCSSRMAVDSRMRPEDVTITTEGGKFDRDVQVAPILKRNRLKSKNSPALCCSPLPPLPVCPRLEFNTEPLVVALNTKPIVRPVGFVLAASLQLDCFLAFTCFLLEERTGAAAAATSFEQSRLGFWKYVRCVDDDAGDRKSSTAGDMARVCTISRVKSKRTAVVPPPPPPMDGELISI >KVI12145 pep supercontig:CcrdV1:scaffold_45:284652:290369:-1 gene:Ccrd_009458 transcript:KVI12145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKRSHLRFRFTSNQRFNNYLLXFFLLFISLSLVFFHALRSSSPHSISKTLSISAAASRSISHTSNNLPEVPRFAYFITGSRGDGARLDRLIRAVYHPRNYYLLHLDLEASDAERLDLAKNVKGLKNVMVVGKANLVTSKGPTMIACMLHAVALLLKQGKDWHWFINLSASDYPLMPQDDILHIFSYLPRDLNFLEHTTNIGWKEFQRARPIIIDPGLYHSKKSGVFWAKEKRSMPSSFKLFMEGYFHTVICNHKDYQNTTVNHDMHYIKWDNPPKQFPVNLTIEHFPDMVESGAPFAHSFAKDDLVLDKIDRELLGRSGGRIPTSGWPVGRSIQSSLAYKKADAIKPSMSSKRLEKLVSGLLSTENFRPKQC >KVI12125 pep supercontig:CcrdV1:scaffold_45:12304:36964:-1 gene:Ccrd_009434 transcript:KVI12125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, N-recognin MEIDSSSSSSEPNTPLLSPKDRILKNRSLCKLCQHFSVCQRLVLIGIPEESLEHQESGLISYVKENNLQISEIVSAILPTDVEVEAAMEAQTDPARSSTDDLLHESMTWLQWLMFEGDPDDVLQRLTMMNVGRRGVCGAVWGHNDIAYRCRTCEHDPTCAICVPCFQNGNHSDHDYSIIYTGGGCCDCGDVTAWKRSGFCSKHKGAEQIQPLQEDVANTLGPVLDCLLLCWKDKLLCAEIIHQASVDDNVADQRKVADELTSAVVEMLMEFCKCSESLLSFVSNRVCSLVGLLDVLVMAERFLSMDVVKKLQELLLKLLSDPFFKYEFAKAFLRYYPAVVNEAVKQGKDSIFRKYTLLPTFSVQIFTVPTLTPRLVKEMDLLAMLLDCLSNIFSSCSREDHRLQVSKWGNLYETTHRVVEDIRFVMSHSTIPKYMTCDRRDISRTWMKLLAFVQGMSPQKRETNIHIEEENENMHLPFVLGHSIANIHALLVAGAFSMSNRETEDESVSTMYKQDIDEQDSVRHAKVGRLSQESSVSSVTGRGISFDCEMKSVEGNADSLAVLTSISWLMFECLRAIENWLKVDNTSGALRSVLNSRASNSSGGNFFQLKRTLSKFRKGKTIFKSPSNHMGSQEFHTKQLSSLAHYGVHQHLNGSNDTISAGFDDRLVEGDYTNEIEALTVLSLADWPEIQYDVSSEEISVHIPLHRLLSLVLQRALKRCYGESASPDMRIIGSADSSSAVYDDFFGHVLGGCHPYGFSAFVMEHPLRIRVFCSEVHAGMWRKNGDAAVLSYEWYRSVRWSEQGLELDLFLLQCCAALAPADLYITRIIERFGLSSYLSLNLEGVTDYEAVLVQEMLNLVIQIVKERRFCGLTTAQCLQRELIYKLSTGNATHSQLVKSLPRDLSKVDQFQQILDTVAEYTYPSGIKQGMYKLRLAYWKELDLYHPRWNSRDLQVAEERYLRFCDVSALTNQLPKWTKIYPPLNGLARVATCKTVLKIIRAVLFYALFTDKLMASRAPDGVLITALHLLSLALDTSQRQIECGDQSSHVDNSIPLLAFAGEEISTGFNDGYDNQSLLSLLVSLMRINKKENMYNFVESGGFDLSSMIKNLLQKFAELHSGCLTKLQILAPEVVNQLSHSRPSGNANNGASISDSDKRKAKARERQAAIMEKMKAQQSKFMENINLTADNGLNDSNDAEESSSDIANDLDGPEQVTCSLCHDANSKTPVSFLILLQKSRLMNLLDRGPPSWEKVHRSGKEQVSTSEDALTVQSSAHPLSNSSETIPSSQLMDLVQNAINEFSSTGQPREVDAFLEFIKIRFSSLNNLSFPQTSPDRSEPTTASGDAFEENMYTLILNTMENHLVQPNILRKVEDFSAAGCSSDSGSNESLLLGKYIVSISDEIVNNPSPSENAGSRSGKAQAGSVTSRLPYDGFGPSDCNGIYVSSCGHAVHQGCLDRYLSSLKERYTRRIDFEGGHIVDPDQGEFLCPVCRGLANSILPDLPREGVKDSGPLKTSNLLPADANNELPSMSRGFESLLRQSLSLLQAAADVSGRNEFLEAFPVQRKGGMGTNLESVVHLLRGMYFPGNDKISGSSRLSDSMIMWDTLKYSLISTEIAARSERTSSATNYGLSALYEELRSSSGFILSLLLKIVHSTRAQNSLDVLLRLRCIQQFAKSICCADTLNELPSHAYRVGVPFMSSERSFLPLVHMCYVVSITQAVITYSGKNECGMDDLSYHDSLITDILKFVGERGFLRQYFISNYTDDSRDIKATIRSLSFPFLRRCALLWKLMNSSTLAPFSGAHVFHRSSQTFEDRMDYAYGTGEESVEIDELEKMFKIPPLDNIVNDEVSRSSVLKWLHHLAREFEVRTPSGVLYSTPVVPFKLMILPYLYQDLLQRYIKQKCIDCGSVQDEPALCLLCGKLCSPSWKTCCRNNKCQTHAMTCGAGTGVFLLIRKTTILLQRSARQARWPSPYLDAFGEEDIEMHRGKPLYLNEERYAALSHMRSGGCGRKEGFSSCERPNYGVVEVDSQPPKSYLYSYCK >KVI12134 pep supercontig:CcrdV1:scaffold_45:382282:383502:-1 gene:Ccrd_009465 transcript:KVI12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MADLFLKQAEQYLEARPGYPQELFDFIASKTPSHDXVWDVGTGSGQAAVSLASIYTKVIGTDTSNKQLEFAPKLPNVRYLCTSPNISPSELEQIGTESSVDLVTVAQALHWFDNETFYDHVKWILKKPNGVIAAWCYTMPEFDDQFDPIFKKFYSESKPYWDLLRGLVDDKYTTIKFPFKAVDGCNHTGPFEFQSKKLMSLDEFFTYIRSWSAYQTAKEKGVELLNDGVIEEFTRAWNGDENGRKCVTYPVYLRIGKV >KVI12131 pep supercontig:CcrdV1:scaffold_45:87354:93017:1 gene:Ccrd_009439 transcript:KVI12131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTKPTETASSKMFEGFNKRYKHHSSTLGCSMNFYIYFPPSTSPSQKFPVLYWLSGLTCTDENFISKSGAQRVASTEGIALVVPDTSPRGLNVEGEADSWDFGVGAGFYLNATVEKWKNWQMYDYIVKELPKLLSDNFPQLDTSRASVSGHSMGGHGALTIYLKNLDKYKSVSAFAPIVNPIDCXWGQKAFTNYLGDNKAAWEEYDATCLISKFNDVAATILIDQFLHEKQLLPNKFDEACRNVKAPLLLRMQPGYDHSYYFIASFIDDHIRHHAQALNP >KVI12109 pep supercontig:CcrdV1:scaffold_45:204029:207806:-1 gene:Ccrd_009448 transcript:KVI12109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor MAAETVAASHLHRYYLWRCCNADGRRLLFQAAASSSSSSSQPMDMHTSKSYKQLGMFSLRKKIEDSVNRAELLGLTALEFEEAQRMKQEEMIREYDLWDDLAKSSEILIKLADSAKVVDALKDLTYKVEEAKLITELAETDIINYALLKQAYTASVDVSKFLDKYEMSKLLKGQYEFEGACIMVEAGXEGVRSEIWAEQLVGMYMKWAKKQGFKGRMVEKKCASKTGGIKSVIIEFEHKHAYGYLLGEKGTHRMITSHPESLSEVSSAAVDVVPLFLEGTPELIXDEEDLKISYLSLRKEDEDRKGPIVQIRHSPTGLTVQSSGNYITTQPI >KVH93609 pep supercontig:CcrdV1:scaffold_450:142278:154393:1 gene:Ccrd_004340 transcript:KVH93609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVWAIPHKRKLKATPPTVDKSNQILEKIIQPKIPLSQNPFPLLGFLCFFSINGLQFCLGCGNSHIPNTVIYDWKNPHKNILRSVKQTKIYCFSIFMEQLINFIIRPPRAEYEPNNDLLDQEFMLKGKWFQRKDLEESLCLVSYTAMETDASEAAIILLPSNITVFTLDFSGSGLSGGEHVSLGWYERDDLRSVVNYLRADGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRKAILKKAKFDIVELNTIKVAKSSFVPVLFGHAGDDDFIQPHHSDRIYDAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVRGSFFDTSLDYLGKVPSDIPSTDKQCNSEDEGSTTEPIPSSSKMINFEFSNGLPYGPHVPSSAEDHEYVEYPLQHVEGFPCNIEEEERMLMEAVLQSLKDLEAKQFPSEQQPQPSSDGKANPIKIDPTHASTASSSDSPSQFMSPCNSTSSSSVTPSVDGSTRTTATATAVVGSSDADLADRTKATVTVERTPSSNIMDGLLRRWDLNFFKNR >KVH93608 pep supercontig:CcrdV1:scaffold_450:44855:66047:1 gene:Ccrd_004339 transcript:KVH93608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENPIADENSATMAEVLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVNNYRAFISAADALVSIRHEVSSIDKHLESLIAEVPKLTSGCSEFIESAEKILEKRKMNQTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVSKLSTMHPKLPVIQGLAADVRQTTQSLLSQLLQKLRSNIQASVLQLPECLRIIGYLRRIGVYSEYEMRLQFLRCRESWLSGILDELDQRNAYEYLKGMVNCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQIAAHLKTLKIMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMSTAAENFQLVLDSHRWVPLPAVGFSSNSFGEESQEDITPPPSLMEHPPLAVFVNELVKGLRTVSDSLLRYNTTRVLRENESALFLSLCRAFIEVAYPHCATCFGRCYPGGSSLIIDSKHLFEGITRLLTTSSSRQLPKRVQNTESKNVSENGNPPAVENGDVKGTENRNVVEEDDPISQTQEKQDEE >KVI03130 pep supercontig:CcrdV1:scaffold_4500:3872:20421:-1 gene:Ccrd_018576 transcript:KVI03130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S64, Ssy5 MDLRFRHSGSTPSEESALDLERICCNHLNLPSSSPPLQSFTTTSAGQVSESNAAYFSWPTSSRLNDAAEDRANYFGNLLKGVLPETLGRLPTGQRATTLLELMTIRAFHSKILRRCSLGTAIGFRIRRGLLTDIPAILVFVARKVHRQWLSHIQCLPSALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYSELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIAFAEDLNVSNVITSVKGIGEIGDVKVIDLQSPINSLIGQQVAKVGRSSGLTTGTIMAYALEYNNEKGICFLTDFLVVGENQQTFDLEGDSGSLILLTGQNGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNETLQGALQDQRNAWAAGIESTVGESYPSEAKEKMEENYDGINLQEEELMQEEFHIESGTEATPSVEHQFISNFSVRSPTANFKNLSPLRHEAEEICVSLQLGEPEPKRWKQSLGENLD >KVH57677 pep supercontig:CcrdV1:scaffold_4504:6181:9864:1 gene:Ccrd_025668 transcript:KVH57677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MDFCFFLHLSSGLYAFNLHPPVLYVMMYILQEEHVHLDQINEETGPKTGNFCSDKKPAAVNWIEGRGKSVVCEAVITEEVVKKVLKTTVPGLVELNMLKNLTGSTIVGSLGTVGGGTQLASQSPCLNLLGAKGASLKSPGSNARLLATVVAGSMLAGELSLMSAIAEGQLVKSHMKYNRSTRDMAAIAGSKS >KVH57455 pep supercontig:CcrdV1:scaffold_4506:2880:12266:1 gene:Ccrd_025669 transcript:KVH57455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAPGQLNTTESPTWGSRSVDCFEKLEQIGEGTYGHLLAIVYVAGSKRVSIESLGVVVVTVVVVAAAKVFEDCRPTQAQPIAPYAINDMESV >KVH57454 pep supercontig:CcrdV1:scaffold_4506:18228:26334:1 gene:Ccrd_025670 transcript:KVH57454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MFISQVYMAREIRTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPGKALICLNITADGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVAQIKLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEATPDEINWPGVSKIPWYNNFKPTRPLKRRLREVFRHFDRHALELLEKMLTLDPSQVGLIEVSRVLSDLSLVLLLLPPQMISVITFASLMFQTKKKRQQQRQHDEAAKRQKIQHQQQHSRLPPPMQQQQSGQAAHAQMRPGPQNAPMHGAQPQLVAGGGPGHHYGKPRGGPSAGSSRYPQGGGNPSGGYNHHPSRGSGPYPPPQAYGRGSGGTSGYVVGPPNYSQGGGGGGSYSGGGGPGGGRGNAMGGNRGQQYNNWQQ >KVH57433 pep supercontig:CcrdV1:scaffold_4507:3497:6540:-1 gene:Ccrd_025671 transcript:KVH57433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNHHYNTNHPTRGRGHQNDNNLHNDSHESSSSSSSSNVAPSFRPHTGGDVRWEAINSVISRDREIGLSHFKLLKRLGYGDIGSVYLVELRGTNAFFAMKVMDKASLASRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEFCSGGNLHTLRQKQPNKHFTEDATRFFASEILLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSVHSGNHLGANGGGGGGGGGGGGGGGGGGGGGGGSGMMDDDNVPVQTSNQASNFFPRILPSKKNRKSKSDFGLFVGGSLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGQGNRATLFNVVGQPLRFPDSPQVSHMARDLIKGLLVKEPQKRIAYRRGATEIKQHPFFEGVNWALVRSAHPPHVPDPIDFSQFASKDSTGSHSSEKK >KVH57432 pep supercontig:CcrdV1:scaffold_4507:10494:11065:-1 gene:Ccrd_025672 transcript:KVH57432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNWLSFPLSPTHSSLPPYLHTAQSHKFSLGLVHDNMDTPFPNQEWNLIGEQGNNDQIPKVADFLGVSKSENSSDHVAYNDIQVCCLKFKTRTLSAMPTSYELPENASTLQSLTLSMGSGKRSTCETSIGENLYNFEFCFIKSIRKTF >KVI10946 pep supercontig:CcrdV1:scaffold_451:102086:110951:-1 gene:Ccrd_010653 transcript:KVI10946 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MSAFGIGSAANTNPNKSTEVVSPPTDAVSSLSFSPKANYLVATSWDNQVRCWEITKSGTSVGSVGKASIAHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTVAMHDAPVKEVAWIPEMNLLVSGSWDKTLRYWDLRQSNPVHTQQLPERCYALTVRHPLMVVGTADRNLIVFNLQNPQAEFKRIPSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEQQQSKNFTFKCHREGNDIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCNQPIPCSSFNYDGSVFAYAVCYDWGKGAENHNPATAKTYIYLHLPQESEVKGKPRIGTSGRK >KVI10943 pep supercontig:CcrdV1:scaffold_451:41101:43038:-1 gene:Ccrd_010650 transcript:KVI10943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSNPKMDLNNGLALVSCNAELNKTYHVGDTTLSLDCFGYGGNTSSGYEISREKPREQNTTSAPDDGCRLVLGLGPTPSIYSNDYFSTGINSSKKPAPGSNMGLSCEGDSVLKLGLSDHSFMSMSDPIPIPNPNPNPSCHSHKGSFEASNRFEIPIDEGSTSAKKSGGYMPSLLLAPRMSTTTRSLMQMHNLLEPGENSNHGPQLSSEPSAISDYSMGTTSDHQTSNSKKCKFDGCTKGARGATGLCIGHGGGQRCQKPGCSKGAESRTAYCKAHGGGRRCQHLGCTKSAEGRTEYCIAHGGGRRCGHPSGCSKAARGKSGLCIKHGGGKRCKVDGCTRSAEGQVGLCISHGGGRRCQFQGCNKGAQGSTMYCKAHGGGKRCVFAGCTKGAEGSTPLCKAHGGGKRCLYDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVKHGGGKRCKTENCTKSAQGSTDFCKAHGGGKRCNWGGEGACEKFARGKSGLCAAHTSMVQEREANVNKKGNFGIGPGLFHGLVPAPSSTIVSSFDNTYSSSGVSIISDSIESLEKPAKRRQHLIPPQVLVPPSMKSPFSSSFTMQLSSEGGDGGDHGSSGGKRMEFMVPEGRVHGGGLLSLLGGNLKNATID >KVI10942 pep supercontig:CcrdV1:scaffold_451:165138:168483:1 gene:Ccrd_010657 transcript:KVI10942 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MSGRIAADVEMKDTGNMNASSSRFQGDNLPYVHKVGKPPKQDLLKEIKTALKETFLSDDPLKPFKDQPRKRKLVLGFQTLFPILEWGRDYSLAKFKGDLIAGCTIASLCIPQDIGYAKLTNLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGSMLQDEIDPITHKNEYERLAFTATFFAGITQATLGFFRLGFLIDFLSHAAIVGFMGGAAVTIALQQLKGLFGIKKFTKKTDIISVMSSVISAAHHGWNWQTLLIGVAFLTFLMVAKYIGKKNKKLFWLPAIAPLISVIVATFFVFITRADRNGVQIVRHIDEGINPSSVDRIFFNGTYLAKGFKIGVVAGLIALTEAVAIGRTFASLKDYQLDGNKEMVALGTMNIIGSMTSCYVATGSFSRSAVNYMAGCNTAVSNIVMSTIVMLTLLLITPLFKYTPNAILASIIISAVVGLIDFDAVVLLWKIDKFDFLACMGAFFGVVFNSVEIGLLIAVGISFAKILLQVTRPRTAVLGKIPRTSVYRNVEQYPGATRVPGVLIIRVDSAIYFSNSNYIKERILRWLADEEEQFKNQPKVEYLIVDMSPVTDIDTSGIHALEELHGSLQKREIQLILTNPGQTVLDKLHASHCAELIGEDKIFLSVADAVLTFAPKMEQA >KVI10934 pep supercontig:CcrdV1:scaffold_451:211996:212694:1 gene:Ccrd_010660 transcript:KVI10934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLEGDLSYLSRSTLQYGLPSFLLSSIMILFHNNENTFSLGFHLEKKMFHTNGFGSITMDSNARDLVTLTNEAISISITQNKSIIDM >KVI10935 pep supercontig:CcrdV1:scaffold_451:234558:249849:-1 gene:Ccrd_010663 transcript:KVI10935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYEIKTVANIETRRLLGLSANVGFNDVFADERRKKIRWRRLWPAGTPNKWIPRELLKTTNLLPVGPSIRRGTCKLQSWTNETTETDHTSSSVSPVHESLHTLSLLQATMGLEQSNLPHITLFNTLVSSFSNGKVVAAVLRFSERDSNALNMKRNMLQKDLWSHTPHDVFSALYDGQTGKDMSSLAFDDTRISSYCFKSSNITSNSEVCKDDLTLNGSLSIADSNSYAYQLNHIPPTDDLNFFNSCADKESSDLLYNDWPDVGNFEEVGRMLRSCSSSFGLGVTGNNDEMDWFTQEDSTVGCEEVLKMDFKFPCSETSALINISQDHGTPESDDKRFGYKFENKDEIQLKDQVGPVMINLQKKQPKYHHTEGKKEGQCLGHDGSSCYVCDLKNNNVPISSVDESYRVFATVGYQHQERNLELKSSGYMQNNTYLHPSYGHITNQTTACPVPTGMKSECNGLTSPSQKESSYVSNQVHSMETSGDPSLQETIVKVDDGRNLHQLQRYQTSFTGSPRQMGMMLQSSKCDLISAQKQVYMSATELKNRSDLEGFRHGASAEIGLLNVPESSSLSSGSDDISLEATILLQLQQVMEHLDLKTKSCIKDSLYRLARSAEQRHNRAGLSSSTADYADIGRPVVTAGTSKCTGFLDIESNTNPIDRSLAHLLFHRPSDSSTLPASSPLKPSPKMHGFITSPPAVNVKLDCHEEAANTCN >KVI10944 pep supercontig:CcrdV1:scaffold_451:84821:86614:1 gene:Ccrd_010651 transcript:KVI10944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MKDKSTKHDEYGVLLYYKYTKIPDLDDLFTFYNSNCSSLSLLGRVRLAPHGVNVTVGGKLSALEEHIAAVMSKNHLFEGTDFKLATCSEPINDKVAEECGFTNLSIRIVKELVTFSSHPLLKPPEILNAGKHLSAVEFHSVLQSAGKFPETAASEKVILLDARNLYETRIGKFHVPNVETLDPEIRQYSDLPSWVDTHSEQLRGNHVLMYCTGGIRCEMASAYIRSKGVGFENVFQLYGGIQRYMEQFPDGGFFKGKNFVFDHRVSVGSSDANVLGTCLACSSPFDDYSSRSRCAYCRMLVLVCDACQSKNSFHVCELCQKYGKLIETVTVTGNGNFQVNSLPAEPAALSTQASKKLRILCLHGFRQNASSFKGRTASLAKKLKNMAELVFIDAPHQLQFIYQARCDDEETGDMSSRQPKSPPDKVCNRKFAWFIDPDTDGNTSAEWEVARSPFDSLQYQQQTGGFDKSLAYLKAVVSKDGPFDGILGFSQGAAMAASVAAQQMSLKGEIDFRFVILCSGFSVSLAGNEQNSIKCPSLHIFGSDHGKDTQIAFDASRSLASMFEEGCSVVVEHDYGHIIPTRSPYIDTIKDFLERFL >KVI10941 pep supercontig:CcrdV1:scaffold_451:143561:145579:1 gene:Ccrd_010656 transcript:KVI10941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MNKKASKKMRGFMCQSLELTTSCMTPDCRSVILSRRSTDRNLVERARLLSNTKYIRLAERRGFVVPASRRPSSGSDSIISSSVGRDRHDCVKLDQNALPSSSANQVFQVVVMRVSIHCQGCAGKVKKHLSKMEGVTSYSVDLESKRVTVMGHVSPVAVLESISKVKKAEFWPPL >KVI10936 pep supercontig:CcrdV1:scaffold_451:220102:227859:-1 gene:Ccrd_010662 transcript:KVI10936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter, C-terminal MERVFNKVRNLDAYPKINEDFYSRTLSGGVITLVSSIAMFLLFFSELGLYLHTVTETKLVVDTSRGEKLHINFDITFPAVSCTLLSLDAMDISGEQHLDIRHDIVKKRIDSSGNVIEVRQEGIGGPQIDKPLQRHGGRLGHNETYCGSCFGAEASDDECCNSCEEVREAYRRKGWGLTNPDLIDQCKREGFAQKIKDEEGEGCNIYGSLEVNKVAGNFHFVKSFHQSSIHIPDLMAFQEDSYNISHKINKLAFGDYYPGIVNPLDGVHWFQETPNGMYQYFIKVVPTIYTNIRGYKIQSNQFSVTEHYKSREMGQRSLPGVFFFYDLSPIKVTFTETHASFLHFMTNVCAIVGGIFTVAGIVDSFIYHGHKALRKKMEIGKLG >KVI10945 pep supercontig:CcrdV1:scaffold_451:95652:101816:1 gene:Ccrd_010652 transcript:KVI10945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDRPVSLISASALVWFILVFNILSNVYGNAEGDALNALKTQLGDPNNVLQSWDATLVNPCTWFHVTCNNDNSVTRVDLGNANLSGQLVPQLGQLTNLQYLELYSNNITGRIPNELGNLTNLVSLDLYLNRLDGTIPDTLGKLQRLRFLRLNNNTLTGTIPVSLTTITSLQVLDLSNNLLRGDVPVNGSFSLFTPISFANNPELRAPAVTPQTPSPPSSQSPSVANSATGAIAGGVAAGAALLFAGPAIALAWWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNRNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGEMQFQTEVEMISMAVHRNLLRKREVRGVISNELIIVITVERPDAQDPLDWPIRKRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLETLVDGDLRGNYIDEEVEQLIQVALLCTQGTPLERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDFNSTHNPNTDWIIADSTYNLRPDELSGPR >KVI10947 pep supercontig:CcrdV1:scaffold_451:168751:170814:-1 gene:Ccrd_010658 transcript:KVI10947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTAVLILCISAVVMNVCLVESAHHTGAPAPAADCSTVILNMADCLSYVTAGSTVKKPEGTCCSGLKTVLKTDAECLCEAFKNSAQLGISLNVTKALDLPSACHINAPSATKCGMSIGSGASPVQSPMAVGQAPNMAASGPSMALAPTPTASQSSGSSGLAALTISVFVGMLLSVYFYSY >KVI10937 pep supercontig:CcrdV1:scaffold_451:29221:30708:-1 gene:Ccrd_010649 transcript:KVI10937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MAITAHSFLSSSNLSFVPHHTFHHRRRRHHLPLSPIKISAQLSLTTPPSPTLQPNLNKTGVIVVGAGLAGLAAAVRLHSDNIPFLLVEASDAVGGRVRTDNVDGFLLDRGFQIFITGYPEARKILDYESLDLRKFYSGAKVYYGGGFHTVADPLRHLADAIQSLTNPIGTVVDKSLIALTRIRVLTQSDDQIFSAEETSTSDLLKRIGFSDSIVDRFFRPFFGGIFFDRDLETTSRLFDFIFKCLALGDNTLPAKGISAIPEQLAAKLPSDSIILNTPVASIDKQESESKSESTYTVRLNNGEVLNADYGVIVAVEEPEAVKLLAGKSNGFPAQIKKPIRSTVCLYFSANRSEVPIQDPVLFINGSGNGIVNNMFFATNVAPSYGPPGKVLVSVSLIGLHADASDEDLKSNVVEELSSWFGKEVVGSWTHLRTYRVKFAQPNQCPPTDLRKNPKVERGLYVCGDYRTSATFDGALVSGRKAAEALLRDRSSVQAS >KVI10938 pep supercontig:CcrdV1:scaffold_451:193493:194833:1 gene:Ccrd_010659 transcript:KVI10938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyccin MDFRHHVPGGSPSSSSTTSSSSQNPNHRNHNGSTAITTTTTTTTNNNNNNDPMHSWWESISKARSRIHLLSTLLPGPEPDSEDPNPISSLADSDAPARSLLSSLTAYTAVSSSLTSSSFSGSGEDSLCNWLYDTFLSSDPDLRLVVLAFIPLVAGLYLSRIHSLSSQTPSLAGFEAVLLALYSSETKSRAGKPVLISIPDLSQPSLYHSPRVSNSKKKPSSAKVNPNSGSQPSRPAVGILSPPLEPQTAIKSTKRAIIVGVALDCYYKQISQMPSWSKLDFCKFASDWAGQDCACKSEFDQNTEVTNFSDGIVEDGIEIEGNVVEEMKNLEIQDENSDKVVARGTRILLPWELLQPMLRILGHCLLGPLNSNDVKDAASLAVRCLYARASHDLVPQAILATRSLIQLDKRTREAAAMAAASAANPVGSNANTPSKAKKPEILLVSK >KVI10939 pep supercontig:CcrdV1:scaffold_451:130431:134720:1 gene:Ccrd_010654 transcript:KVI10939 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MSMFSTCNFIPHTILLQSRASLATPNERLATVRCTKVVRNVNLEKLRHNYLLGIGDTTEPIPDIITSNMAEALRKAIAESFYKGLGVKDAEVFVSDGSQCDISRLQAGDFLDETLKYQNIEYMTCGPHNNFFPELSTTSRTDIIFFCSPNNPTGHAASREQLTQLVEFARKNGSIIVYDSAYSVYITDGSPRSIYEIPGARECAIEISSFSKIAGFTGVRLGWTVVPDELYYANKVPVINDFDRIVCTCFNGASSIAQAGGLACLSPDGFKAVMSVVEYYMENAKILVETFSSLGLVVYGGVNAPYVWVHFPGSRSWDIFSEILKKAHIITVPGSGFGPGGEGYIRVTAFGQRENILEASKRLRTIYLS >KVI10940 pep supercontig:CcrdV1:scaffold_451:136272:140082:1 gene:Ccrd_010655 transcript:KVI10940 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cap guanine-N2 methyltransferase MTRASRRSKKRRKIIKRKVNIRGKWKASKESQAIISPKVVKYWRQRYSLFSRYDEGIKMDEEGWFSVTPEEIAVRHAQRCVAGGVVVDCFAGMGGNSIQFAALGYHVVAIDIDPQKVEMASNNAKIYGVDNYIDFIVADFLQVAPSLKGNVAFLSPPWGGPSYKMADNFTLDLLKPVDGYTLFQVAQTITPNIIMFLPRNVDVCQVEELSWLSSPPLNVEIEENYVQGYLKGMTAYFGNTAYWGVTEETTSHNIHEV >KVI10933 pep supercontig:CcrdV1:scaffold_451:215834:219695:1 gene:Ccrd_010661 transcript:KVI10933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRNGNNNHSKNNNNKKKKNKRGGTKKRMTVEQSLAYRSVSEWVYLDNISSSGNSAIAIDDDFKVKLVHTKVSAEKLVFELHSHSICSDGFLSPSKLVERAHQHGLMLTESAPAAVEQVKVLSLTDHDTMAGIPQALEAARRVGIKIIPGVEISTIFTPRTESGSEEPVHVLAYYSSCGPANFEKLDQFLANIREGRYLRAKNMISKLNKLKLPLRWENVEKIAGDGVAPGRLHVARAMVEAGHVENLKQAFSRYLYDGGPAYSTQPDAEEAVRLICETGGVVVLAHPWALKNPVAVIRRLKEAGLHGLEVYRSDGKLAAFSDLADSYDLLKLGGSDYHARGGNSESALGSVSLPVVAVHEFLKVARPIWCGAIKSTVDRYLSDPTDSNLEHILRYGRTKLAKSGISVPFPTIAQSHSIDLIGQCLSQWLTNEEQKNTDFEAIRLKLPYKEGNLEALKNST >KVH94928 pep supercontig:CcrdV1:scaffold_4510:8301:12226:-1 gene:Ccrd_003004 transcript:KVH94928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDGRLSVEPFDPPGMCAVCHRTLSSENDPIDLQAIGICGDCKFLFLEDSGTPSQDIQQRVPRVRRTRYTNSSESIENMFSNQFSAMINLARRSQPAVSELDNQSVDSVQRTSSRTTPSGSRRWRRVLSDTESDGFDSVYGESDAISYGAYGGDSDASVDIPSFLGDSDTDIDPMHAGLNQWSSDDEEDDEWEEVEPGENTLGSLIARVQLQRSLESNGQNPAINWQTETYSSEIEGGVRVRIREGRHVHIPNPFGTMEDQERSPFVGHSGDYLDARSFEELVERLAEADSSRRGAPPAAVSVVNNLDRVVVNDGDHNGSACAICKDLLSVGTVVNRLPCLHLYHPSCIKPWLSARNSCPLCRYELPTDDMDYENQKQNGSRVQETEQLDEDAIFEEYEAPEVVNDGGGRGTGGGRWLFVAAPIVTLVGVALALWLGNRGAIRSSGSCTSGQRGHRSRRWWSLF >KVH94926 pep supercontig:CcrdV1:scaffold_4510:1958:8001:1 gene:Ccrd_003003 transcript:KVH94926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MHRLLSEILMDTQGGGESLFGSIKIAVLPIAKVFTMCFLGFLMASKYVNILPASGRKLLNGLVFSLLLPCLIFSQLGQAITWFIPFNVVLATISGSLVGLLVACIVRPPYPYFKFTIVHIGIGNIGNVPLVLIAALCRDKSNPFGDSAKCTQDGNAYISFGQWVGAIVLYTYVFQMLAPPPGGSFDIEDSKLPIKNTPKGSSPPEEVPLLTQEPETIDQDPPKDGKIKVFMKFLYEKLKLKQILQPPIIASILALVIGTIPFLKRDAMIPCILLALGGNLTDGPGSSKLGLKTTAAIIFGRLVLVPPAGLGIVTLADKLGLLPPDDKMFRFILLLQHSMPTSVLSGAVASLRGCGREAAAILFWVHIFAIISMAGWIVLYLNILF >KVH94927 pep supercontig:CcrdV1:scaffold_4510:31158:33346:1 gene:Ccrd_003005 transcript:KVH94927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein NVLRNGESSRRKKSPPKSASGIRKAQQQQSRFRSLREEELQGPPSRTRASLKRRLHEPSSTKPQVQKKQDPSRNGDKKHAAPPKENPGTDAHEPNAGRKDIYEIFAEPVDPEEVCGFESSVYLVKSVQWFYRLRKLTGQTYVEDYYEIIEEPMDFGTMRAKLHEGMYTSLEQFEVFLLFNKLIP >KVH94929 pep supercontig:CcrdV1:scaffold_4510:33391:37343:1 gene:Ccrd_003006 transcript:KVH94929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MQHDVFLISGNAMHFNSSGTIFFRQAHAIDKLAKRVFHVLRTNPENFESEFSGSRRRSCRRSQDETNDLLSTYLCYTEGAQDGKRCGSVEVDPRSTYKPDLDLNNDKYSKSLIHLHQEKHSYQESLMHFVKDLGPTAQMVAKHKLQRLINYNNNNNNGIWFHSCVLNDIGIGKQDSEHSSALSSQVSSRLMGGNESSSRRNGNIRLMTEGGFRLDDQGFFKGKMKW >KVI00938 pep supercontig:CcrdV1:scaffold_4513:32120:40595:1 gene:Ccrd_020801 transcript:KVI00938 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MEHEKNKSDSIELSKRRICSSITGVAQSITIIFLFIDHQCRLYESQFVVSWGNEDHVSVIKNYRSKIEKELSDIGDGILKMLDKKLVPSASSGDSNVFYLKMKGDYHRYLPEFKTGGERKEAAESTLNAYKAAQ >KVI00937 pep supercontig:CcrdV1:scaffold_4513:22558:27294:-1 gene:Ccrd_020800 transcript:KVI00937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MASSLASIEALVVKLKEEMFSDHLHYSFVSRSAYDTAWLAMIPHPLEPTTPLFKSCLEWLLINQNRQGYWGESVNGDLPTIDALPATLVCMVVLQKWGLGVKNIEKGLEFMHVNMEEMLHHDRHFPLPRWFLIVFSATIELAESSGLELKFSDHMKSVISQICAKKQQILGMEELVDKCHHPPLIAYLETFSPTDYKVDQETITKHLSEDGSLFQSPSATAQAYISTGNQKCLNYLIQLVQKCPNGVPQTYPMDEELVELSMVDQVERLGLSEYFTEEIDCILKKVYRSYKGQESMHENMNFIPAKLYKDSLAFRLFRLHCYSISPRTFCWFLYDEEILDHLEKNCGQFTSLMYSVYRATDLMFIGENEADQARSFSKKMLQKISTIKKTVDDNVVIRPNLSKVIEEELSVPWIARLDHLDHRMWIEQNKEEPMWIGKASFYRLSCVHIQLLCRWSKMWGLAELGFGREKTTYCYFVVAASTCLPHDSIIRMLVAKSAILITVADDFFDMKGSLEELQMLIEAIHRWDGKGLSGPSKVIFNVLDDLVRDASKILFLQEQIDVTEDFRDLWRETFDSWLTETTWGKSRYVPSKDEYMEIGMISIATHLLVLTSSCFLNPSLPKNKIKPQKYDNITRSLMASARLVNDMQSYQKEQVEGKMNLVLLHFKENPDASMDDSIDQVKSLLDMKRKELLKHVFMDDNSDFPKQWKYLHLSCFKVFQMLYNSTNLFDKDTELQVDIERAIYITPENGFSKQLKSGTTCYPSREKKNLTINDGYERTPLQRYGEGRMNIRCQRVLKHTMRNFSLKVFKPPVFNLCFT >KVH57169 pep supercontig:CcrdV1:scaffold_4514:39684:41042:1 gene:Ccrd_025673 transcript:KVH57169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MRVTIKPSSMVKPAEPTWSGRLALSELDQTGMTTHVPTIYFYTQPSDDWNTVLQTLITSLTTTLVHFYPLAGRLSSIAGGRLELDCNSAGVQLTEAYADIKLVDLDDLLESPMINKLIPSVDYRQTPLEDTPLLLLQVTRFCCGSWSLGFCISHVVVDGQSALHFLSEWARVCRGGLVASPPYLDRKILRAGESPITTCSSIHQYGQFIPPPILIGQSSNKNERRKKTTVAMMKLTETLVTKLRNKANQSRKNEGGHCFTRYEAVTAHTWRTACMIRNHESEQPTAIGICIDVRSKMKPPLPEKYFGNAIIDVIATGTSGEIVSKSLGYVSSKIKEAIEKVNDEYVSSMIDFLKNQEDLSKFQDLQWIRDDGGPFYGNPNLGVISWLTLPMHGVDFGWGKELFTGPGTGDAVDGDFLILRGEEASGSLVVASCLQVRHMEDFKRVFYQSIED >KVI12383 pep supercontig:CcrdV1:scaffold_4515:338:42127:-1 gene:Ccrd_009198 transcript:KVI12383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MENLISSWCTTVKSLPLQYVFPEDKRPGDQIVPNFDNCPVIDLEKAVSGDRNAVIQQVLQASQDCGLFQVINHGISEDLIKDAMEIVKEFFNMPNEDKASLYSLDPQKSCRLYTSSFDYVGETVHRWRDVLKHICDPVVGKYSIEVRNLSFRILEMIHEGLELGPGYFGDDLTGAQNLLLNHYPPCPEPSLALGISKHSDPNVITILYQENIGGLQVMKDGQWVGIEPIPNALVVMIGHLLKVVSNGKLESAEHRAVTNAKESRYTIGSSINPRSKDVIVEPVKALLEKDGCHPLYRAFSYKDYHQVYKENRGEGEPTLEVFKIKVMDNLLSSWCTTVKSLPQNYVFPEDVRPGNQIVPIFNNCPVIDLEKAVSGDRNDVIQQILKACQDCGFFQVINHGVCEDLIDDTMGIVKEFFNMPNEDKVSLYSLDPQKSCRLYTSSFGYARESIHLWRDALKHPCHPLDEYVDLWPQKPPKYRDVVGKYTLEVRNLSLKILDMICEGLKLKPGYFEDELTGIQLLNLNHYPPCPEPSLALGVSKHRDPNTITILYQGNVSGLQVLKDRQWFGIEPFPNAFVVNIGQQLKMIAALFFEPFVTKTFITHSNNIEGRVKLPLKCLRSRLVSSWYNTVKSVPQDYVFPEDTRPGDKIVPILENCPIIDLEEKVPGDRNDIIQQVLQASQEYGLFQVINHGVCGDLIKDTMRMAKEFFNMPNEEKASLYSLDPQKSCRLYTSSFDYAKESIHVWRDVLKHRCHPLEDWVDSWPKKPSKYRDVLGTYSVEVRNLSLRILEMIREGLDLKPGYFGDELTDVQTLLLSHYPPCPDPSLALGILKHCDPYIITILYQGNTCGLQVMKDGQWFGVKPVANAFVVNIGQLLKVVSNGKLESVEHRVVTNSKVPRYTITSFIGTCSDTVIEPAKVLLEKDDCRPLYRAFSYKEFLQANQEHRGEGEATLKVSIWSTSEKVIWVFYKVRISGNLGIVICICLLLLSHLKESLLYLIQKTEYGWKLCSTRSKHNYIPSIDIEATSKRVKSYRHYERTHKSSAMENLLSSWCTTVKSLPQNYVFPEGERPGKETVPVFSNCPVIDLEKXVSGGRKDVVQQILQACQDSGFFQVINHGVCEDLIDDTMEVVKEFFNMPNEDKASLYSQDFHKSCRLYTSTFNYAREPIHLWRDTLKHHCHPLDKWVDSWPQXPSKYSLALGISKHXDPNIITTLYQGNMSGLQVLKDGQWFGVEPFPNAFVVNIGQQLKVISNGKFESAEHRAVTNSEHPRYTIATFINPRNDAVIEPAKELLEKDDSRPXFRAFTYEDFHHAFLEHRGEGEATLGVRAMDKLLSSWSATVESLPENYVFPVDSRPGDAAVPICNTLPVIDLETTLSRDRQNAVQQILEACQDFGFFQVINHGIDDDLVSDTMRVVKEFFDMSNEDKASVYSEDPRRYSLEVDKLSSRILEIICEGLGLGHRYFGDGLTGAQLFSANHYPPCPSPSLALGLPKHCDANLITFLLQDEIYGLQVYRNGEWVGVEPISNAFVVILGHQAHVISNGKLTSPEHRAITNSTDHRTSIVYSINPKPDSIIEPAKVLVNDSNQPLYRAFQFKEFIKVYEVKKDYNEGALEDFKVEA >KVI12382 pep supercontig:CcrdV1:scaffold_4515:38326:39159:1 gene:Ccrd_009199 transcript:KVI12382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNHHNSTYQPPAFPAATATGFPLLAIVIACITAITFLLVSYFLITKCCYPLSRFLMAWTITAEEPPSVYSTPAWQITGLDESLIREIPVCRYSERDGENRRLYKCVVCLNEFQDLDTLRVLPSCNHGFHLHCIDVWLRNNPNCPICRFNISGMMQYPTDTIVPTSSPQDPPLFAHDSPTSSDQDFVTIELGEAANGSRKSRLDLVTGDERITGTKDEQVAIQPIRRSFSMDSAVDRDIFLSVQDIIRNHEEATRTKRPFFSFGHTRGSRSAILPLEF >KVH57117 pep supercontig:CcrdV1:scaffold_4516:1023:25577:1 gene:Ccrd_025674 transcript:KVH57117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type METVGDGDGERRPPTETMIDDGEQSMEERRPLWEKIETRSTTAVKIKPYFGLVDFQRNMQSQIVCSGCRSILLYPRGASNVCCALCNAVTSVPPPGMEMDQLICGGCRTLLMYTRGATSVRCSCCHTVNLAPVSNQLAQVNCGNCRTMLMYPFGAPSVKCAVCHYITNVNMSSGRVPVSMRPNGNATSGSMPSVSTETPHSHNQTVVVENPMSVDESGKLVSNVVVGVTTEKAIK >KVH96809 pep supercontig:CcrdV1:scaffold_4518:12066:12989:1 gene:Ccrd_001099 transcript:KVH96809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MASAARITEKPIAHQNQQQQQRQQPILKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRTKRILTTTITTSHAATTSVTVGHHPNPTSNPNLDHIASSTHPDNNFNPLFGLSEQNSKYPTFDTRVSHITDTDVTKYNRLHPHMSAFGLGFSSPDPGATVVANHAHDSISLVSSYSSMIGGGATSTCTTNPMMASFLASSLQHQPRFLGFAPYGDGGGSAVNDAQMAGTKSRMDWNNHFDGQNHNDQIKAVESSDPTFLWNTSTGGDGGEWFDPTSNICSSVPSLI >KVI11696 pep supercontig:CcrdV1:scaffold_452:77850:82129:-1 gene:Ccrd_009892 transcript:KVI11696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MSSNPDHQIHHNRSFVSNPQHQSTAVIVPPPCSHLEEFKAGRGATAFGKLLECIRVRPLGRASVRREPNEVFRCSACRQASQRVYACVSCAAVVCDIHAPDHEHEIAIDVDRAELFCCSCGDQVYDRDFDSAIVLSQTAAATLGGCNSLCTPPPENLRKRRRVDYRPWTPDSRERALLGTNSSLLPGNDEDLSSSSNFPVGLKGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRFVCQQKNGVHRNKNERNNKNPQRLCLACDTDALFSAVFSGNLASYEQQDAHEFFISMLDGIHEKVDKDEHKPHSQGALTNDLGFRKTKSASGRLHYFSSGDCCIAHRVFSGILRSDVMCTACGFTSTTYDPCVDISLDLVPNHEGPAKTSTKTHHSCNGNAESINSGHSSGTSTLMGCLDRFTRPERLGSDQKFFCQQCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSIRKMSRKVDRYLQFPFSLDMSPYLSSSILRSRFGNRIFGFDGADGNEADESTSEFELFAVVTHTGKLDAGHYATYLRLSNQWYKCDDAWVTQVNENIVRAAQGYMMFYVQKMLYYKASEKQSG >KVI11691 pep supercontig:CcrdV1:scaffold_452:128041:140303:1 gene:Ccrd_009895 transcript:KVI11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MGTSTILPDLGTQIVIPLCAAIGIIFSLIQWFLVSKIKLAHEKPSPKNGFNESLIEEEEGLNDHAVVRKCAEIQNAISQGATSFLYTEYQYVGVFMVAFAVLIFVFLGSVEGFSTSSQQCTYDSSKLCKPALATAIFSTISFLLGAITSVISGFLGMKIATYANVRTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYIAVNLFKIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKSSCAALVVASISSFGNNHDFTSMMYPLLVSSVGILVCLLTTLFATDFFEVKTVKEIEPALKNQLIISTVLMTIGIALISWIALPPVFTIFNFGIQKEVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYRPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPINVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLAGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGGSEHARTLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KVI11698 pep supercontig:CcrdV1:scaffold_452:39777:46825:-1 gene:Ccrd_009889 transcript:KVI11698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel ClC-plant MANGFASISNGVPDEESITAPFLRLRRSTSNTTSQIAIVGSNPCPVESLDYDYLAAFLIFAAANLGLTLFACLITTLIAPEAAGSGIPEVKAYLNGVDAPAIFSFRTLIVGSIAAVSSSLNVGKAGPMVHTGACIAALACQGGSDKYKLTWRWFRFFNNDRDRRDLVTCGSAAGIAAAFRAPVGVALEEPENSMWRSALLWRAFFSTAIVAILLRGLTDLCLSGKCGLFGTGGLIMYDVTSVNISYHLKDVPPVLLLGVIGGIAGSVYNCLLGKVLRLYNLINEKGTAYKILLACLVSIVTSCLLFGLPWFATCQPCPVDASEPCPTIGRSGNYKKFQCSPDHYNELASLFFNTNDDAIKNLFSKGTDTEFHPTSVLIFFITCFFLSIFSYGIVAPVGLFIPVIVTGASYGRLVGLLIGSNSNLNHGLFAVLGAASLLGGSMRMTVSLCVILLELTNNLLLLPLIMLVLLVSKTVADAFNGNIYDQIMSLKGFPYLETHAEPYMRQLTVSDVVSGPLRVFNGIELVANIVHVLRTTGHNGFPVVNEPPHSEAPILYGLILRSHLITLLKKKAFLLTPTPTDADAIKHFSASDFAKQGLGTAEEIEDIELTEDEMEMYIDLHHFTNASPYTVVETMSLAKALTLFRGVGLRHLLVVPKTSERLPVVGILTRHDFMPEHILGLHPMLAKSKWKRLRFKFPLWNKIF >KVI11692 pep supercontig:CcrdV1:scaffold_452:142228:149117:-1 gene:Ccrd_009896 transcript:KVI11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVSASSSENHDLLNKQVQEIAVDCHQLPERYIRKEDEEYGSLTNAGESSSTTTSPEVPVIDFSLLNSSPLELDKLKSAVGSFGCFQAINHGIEGSFLEKVREISRLFFRSSVEEKKKCLRADDDIEGYGNDMVLSNQQTLDWTDRLYLTVLPKHQQRLQFWPQIPCHFREVLDEYGSKIELINEVVLKALARSLNLEENCFLNQYGTKSSMNARFNYYPPCQWPDKVLGVKPHADGSAITVLLQDKEVEGLQFLKDDKWIGVPIVRDALTINVGDQMEIMSNGIFKSTVHRVLVNSKNERMTVAMFCMPQTEKDIGPVDELISDETPRLYKNVTFTADFFFKNYQQGRRAIDACKI >KVI11689 pep supercontig:CcrdV1:scaffold_452:203399:216317:1 gene:Ccrd_009899 transcript:KVI11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVDEVEESRDRDAGTGNGNGTIEAGVDEESLRDDVYTAAAYGDLEKLQRLVESEGCSVSQPDSLGYYALQWAALNNRAAAAQYIIEHGGNINAMDLTGQTALHWSAVRGSIQAAELLLHEGAQIHVADVYGYQATHVAAQYGQTALLYHIVTKWNADPDTPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRVLDKRWDGTSTLGRLSKLGLAPALLCVIFVLLLTYISSVVMASNLPQMTITSAFFAWIGVILASMGLILFYRCSCKDPGYVKTTRHDSQNMKDDEPLLKIEINDPALLAGNWSQLCATCKIVRPIRSKHCSTCDRCVEQFDHHCPWVSNCIGKRNKRDFLGFLVLEVFAMLITGTVTITRILTDPWAPSSFGAWLNHAGNQHIGALLFVISDGFLFVGVAALTCMQISQVGRNITTNELANSMRYSYLRGPGGRFRNPYDHGCRKNCSDLFINGYNEDIELIEETSQSDGIDMMPMSRNSHQQNGTSDAMAGGHPHQPNGNTHFIDVSKNSKTHVHSSSCSHGN >KVI11697 pep supercontig:CcrdV1:scaffold_452:86169:87693:-1 gene:Ccrd_009893 transcript:KVI11697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSIGQATTDIIDEAITFFRANVFFKNFDIQSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAVINLGLEDVPVPGESGFPFPGLFPLPGSQQEAELLRNYLKQIREETSGRLLSVAYRHNGTPNKWWLAFAKRKFINTIAL >KVI11695 pep supercontig:CcrdV1:scaffold_452:73369:76343:1 gene:Ccrd_009891 transcript:KVI11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MWKELVYTAGSSYDNALNMMSIKDWVLSQLVSNSLVSARPLSGSDSFFEGERAANDFSIHGSAQTANSPPPEGADVSRSSVANQESPHPSPLQQVTFESSRQPHHANGEIKLEPLAKIELLQIKFLRLLRRIGCSQDDLMVAKVLYRIHLATLIRARESDLKRVNLSSDRAKAIAIEQEASGLPELDFSFSILVLGRTGVGKSSTINSILNQPKARTNAFQPATDRVQEILGTVNGIKISFIDTPGLLPPSPNTVGRNRKILRKIKRHIRKSSPDMVLYLERLDLINDGYSDFPLLKLITEVFGSGIWFNTMLVMTHSSSALPEGPNGYPVTYESYLAQCADLIQHYIHQAISDSKLENPIIFVENHPQCKTNINGDKILPNGQIWKSQFLLSCLCTKILGDVNKLLDFQDRIELGISNSTRLPSLPHLLSSFLRHHNSNPNGADSEMDSIRLSDLEEEEEYDQLPPIRVLSKSQFKKLSKSQKNDYLDELDYRETLYLKKQLKEELKARREKKVSEESNSSNEKEEVPEPVLLPDMSIPPSFDPDSPFHRYRCLVSSDQWLARPVLDPHGWDHDVGFDGINLETTSKISKNFYASVTGQMSKDKQDLNLQSECCAAFVDPRGPTYSAALDVQSSGKDLIYTLHGNTKVSVLEGNNNAECGVSLMSFGNNYYAGMKLEDSFCLGKRVKFVVNGGRMGGVGRVAYGGSWQTIVRGRDYPVRNDKVSLTMTVLSMNKEMVVGGNIESDFRMGRGTNLSVNANLNNRSMGQLSIKTSSSEHLEIALIAAASILRVVLRRMGSRIKEQRREEIG >KVI11690 pep supercontig:CcrdV1:scaffold_452:103066:106700:-1 gene:Ccrd_009894 transcript:KVI11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol glucosyltransferase, N-terminal MVSTPVSSSNPLKTVFDSIGMCGFGGGGSSSSQKRLMYNDDEDESPTMEMVELGAGRTRNVLILMSDTGGGHRASAEAIRDAFKIEFGDRYRIFIKDVWKEYTGWPLNDMENQYKFMVKHVQLWNVAFHGTSPRWIHNVYLAAFAAFYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLDKKVIFVTVITDLNTCHRTWFHPGVDRCYCPSKEVAKRALLDGLEESQLRVFGLPIRPTFTRAVLNKDELRVELELDPKLPAVLLMGGGEGMGPVKKTAMALGESLFDKETGKPFGQMVIICGRNKALASSLESLEWKIPVKVRGFEKEMQKWMGACDCIITKAGPGTIAEALIKGLPLILNDYIPGQEKGNVPYVVNNGAGVFTRSSKETARIVAGWFSTNADEHKRMAENALKLAQPEAVFDIVRDIHELACQRGPRNFPY >KVI11688 pep supercontig:CcrdV1:scaffold_452:179461:184183:-1 gene:Ccrd_009898 transcript:KVI11688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGKCFASDLGFLQKNMGSEALVLETLLASHRSLACLLTMAGFLLKDTDTLGNSQRFPFAELHKREKTEAEEKDGLVEVVKKRGGAGGLGGGGGGGGGGGGGTLAGFFEFFFVFDFNFDLAWFSSQHLWALEFDYSDFSVG >KVI11693 pep supercontig:CcrdV1:scaffold_452:152523:156906:-1 gene:Ccrd_009897 transcript:KVI11693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCWQIGICIWVFLFGFIWVFQDSNIENGAVSIDGESGIAEIDTDFICATMDWWPPEKCDYGTCSWDNASLLNVDLSNKIFQNAVKAFSPLKIRLGGSLQDVLVYETEDHIKPCTPFTQNTSALFGFNEGCLPLSRWDELNSFFNETGEVLADGSTVGPWDSTNAESLMRNELSGSGVGARVTASQYAIDTTLLKNVVHEIYDGIEPKPRIISPGGFFDAKWFKEFINKTNEILDVVTHHIYNLGPGVDQGLVEKILDPSYLDGEADTFKQLKNILATSETSASAWVGEAGGAYNSGHNLVTNAFVFSGNYGLLNTTTFEPNPDYYSALLWHQLMGKTVLATNFSGTKKMRSYAHCAKKSDGITLLLINLDTTTTVNVTLTINSLLKSWGHDNHLRQPHTRKGIRMVRQDTKTGESAIREEYHLTAKDGNLHSQTMVLNGKELTVNEAGDIPPLEPLNVSISEPITVTPNSIVFAHIPSLTLNACGNVAMEL >KVI11694 pep supercontig:CcrdV1:scaffold_452:60956:68499:1 gene:Ccrd_009890 transcript:KVI11694 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MLYTNKHPTCTKRSSNIQLKRFLCVLVWMDAAAAATGLALVIGFARSQNARSNRRSALTRGFYKLLAQLICVKCATKATTVAALARMTREDSTKLLAPEYYPSWVVFSQRQKLSVLTWLNAHLTKIWPYVDEAASELIKANVEPILEQYRPIVLSSLRFSKLTLGTVAPQFTGVSIVEDGSDGITLELEMNWDGNPNIILDVKTRLGVGLPVQVNNIAFTGVFRLIFKPLVNEFPCFGAVSFSLRQKKKMDFTLKVVGGDISAIPGVADALESTIHDAVEDSITWPVRKVIPILPGDYSDLELKPMGTLEVKLIQAQGLINKDLIGKSDPFAKLYIRPLRSRMRTSKVIDNDLNPVWNEHFEFVVEDTSTQHLTVKIYDDDGLQASELLGCAQVKLNEVEPGKVKDMWLKLVKDLDIHRDNKDRGKVHLEILYCPYGMENGFTNPFSSNFSMTSLEKVLKDGSGVENGDLAKNKKRTVIIRGVLSVTVISAEDLPPADIMGKADPFVVLTMKKTGTKNKTRVVNENLNPIWNQTFDFVVEDGLHDMLIAEVWDHDTFGKDFMGRCIMTLTRVILEGEYKDSFVVDVAKSGKLTLNLKWLAQPIYRNP >KVI11687 pep supercontig:CcrdV1:scaffold_452:218606:222079:-1 gene:Ccrd_009900 transcript:KVI11687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MGKQSRKNKKVGSKPANNVNLKQNKLEDGDIVKVYDKDTTIFISMARELKDEGNKLFQKKDYEGGILKYQKALKLLPRNHIDVSYLHSNIAACYMQMGISDFPRAIHECNLALEVTPRYSKALLKRARCYEALNRLDLALRDVNTVLDIEPKNLMAMEIVDRVKARMETEHEPNDVVASKIVDTVLKMETNNAMATNEITDKVKAILEKNSCGEQEISSIRIPDYPSHLKPLEKVHKKKKNKTDKKSVKLDQVEEKKDEDRTDGITEKINDEDDCPDKVEAKEKKNELKDFVRDEKKTEDKLVVEEKISTSRKEEEPKRVVKLVYGEDIRWAKIPFNCDILKLREIIGERFPVSKAILIKYRDEEGDMVTITTNEELRWAESSTSDQRSAFRLFIFEVNPEQDPFFDHVRSLEHKRKLANSSACIDDWILEFAQLFKNYVGFNTDVYLDLHELGMKLYSEAMEDTVTSDEAQEIFQTAANKFQEMVALAFFNWGNVHMSRARKRVYFTEDGSRESVLSQVKDSFEWTQTEYSKAIEKYKEAIKIKPDFYEGFLALGQQQFEQAKLSWYYAVGTNVNLELWDSTETFELYNKAEDNMEKGMQIWEEVEKERVNGVLKPNKVKLQLRKTEFSRFVKDLTEDEAAEQAANMRSQINVLWGTMLYERSIMEYKLGIPVWHECLEMAIEKFELAGASHTHIAVMIKNHCSNPTAPEGVGFNIDEIVQAWNEMHEAKMWQTGVPSFRLEPLLQRRVSKLFHGLEHP >KVH56905 pep supercontig:CcrdV1:scaffold_4520:15382:29612:-1 gene:Ccrd_025675 transcript:KVH56905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MTLHFEARVFVTIACSLSGEGIKYITILFWLTLGAKMKPDILEMKPQELRFIFELKKQSSCSVQMINKTNHHVAFKVKTTNPKKYCVRPNTGVIDPNSVCDFTGIRFFTESYYASTKGSSSRYDMQGQVLSSKYLCPGGDKRGGRNISHGWCFMKMLVLMVIPLSDSSIWQFTKEDGKTVDEKRLKVVLIPAPDSPESSPINETLKLVQKNDGRELKDDVVQKHSSHAKVDEGMEVSKGEGESVEATRKEETIKRKDEDVKRKEETMKRKDEDVKRNEESAKRSKEFIKRNNEPMQMNDTEELRLVKDLEETKSKVKELESKLSEDLLRNKRHRAQVGFPLLFVFMVGLVSLYLGYLLHC >KVI01636 pep supercontig:CcrdV1:scaffold_4525:17277:17738:-1 gene:Ccrd_020086 transcript:KVI01636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTIMVAETADSPATLQYLAPYTGAALAEYFMYRERHTSIIYDDPYKQAKAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSSLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAA >KVI01637 pep supercontig:CcrdV1:scaffold_4525:38113:43245:-1 gene:Ccrd_020087 transcript:KVI01637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWEATVYDRQLVADHAQNLATKIRNNLTNSMKSLGVDILTGFGTAVGLQKVKYGKVGGSETLITTKDIIIATGSVPFVPKGVEVDGDFCRSIRSVDAWFDPENGKLAQRVLINPRKIDYHTGVFACKVLTLLTLFMLGLPLSILGPSMLGKLLHPTNLLWNTLFKDEYATDLVLLQQRSLSSSMGIDVRCMHIRLHLMTHYIIVMLAAKLIQ >KVH56472 pep supercontig:CcrdV1:scaffold_4528:13509:20861:1 gene:Ccrd_025676 transcript:KVH56472 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein MEMDSTSRGRPFDRSSRDPATLKKPRLLTEETPFLRGSSNVPNGGGRPLVQRQPVFGFRSTAERDRDSEGNDSIRSGGGYQPQSLTQSQLQQQQHHELVSQYRTALAELTFNSKPIITNLTIIAGENVQAAKAIAATICTNILEVPRDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDSAVHPGMRHLFGTWKGVFPLQSLQFIEKELGFQSATNGSSSGLAASRSEPQPQRPXRSIHVNPKYLEARQRLQQSSTAKGPTSDTNLMNSPEDTERQDRITANISSVRPRADPRLKNIQQAQRDVESTCLRENDGAPYSDFDYCSDVLIPSEASFGKSSEIVAEQGFDNSWYGAGSNTTETISGQRNGFDVKHGFPNLSASRTANADVKLQPMNNIASKRGGEANRSWKNSEEEEYMWDDMNSRLAIPGKSGSSSKRDPRAHPMNEKLGFENRLQKPQGIQNIGLRVDREASSDSLSANQKDGAVFRQPVQSLSSLRNLLDHEEVHSTSFGGVSTSVNSLSKTSLQPQMGASHVGTQPLGFPPNAITGQRHTLAAASPSGQAPTHQRPPSPSFPTYHSSKILHNLSGRDPPTTRQLVGADGKPARSRGQKNTGLSSQSTQDSFQGIXQISHMXNPQKXQIRNLQTSSXQLPLHSKKHAPLHPGTXSEPSQSPXIKIVDANLLDHSKSPVABIXGPSTTENLLAAVSSIFGNKSVAGSILQMNSQTESNSGPPLPSXPPPTQFTSSGPSVMSSHPSPSSRDSILPLPPGPPSLVGSTSTQTSSMATAVSNPVSNLLSTLVAKGLIYASKADTPDASPTRSQSPKIDTPPSHTVPAVVSSVLSTSSINNESSLSNVKSTQSTTVDIKSLIGFEFKPDVIRRSHPAVISELIDLPHQCHICGLRFKLQERFERHLEWHTLKNPEFNTPNKVSRRWFRNSYDWGTEKPELQSSDHNILPVDSLEAAVEIDGEQMVAADESQCVCILCGELFDDFYSQELBKWMFRRAVHLNIKDGEAGNIGGPIVHAHCISKNSLSDLELSNDVKRLLLYEPIFLTFRKRVSDDMMLESGNEDTIIEENQVC >KVI01735 pep supercontig:CcrdV1:scaffold_4529:9171:13924:-1 gene:Ccrd_019986 transcript:KVI01735 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAEIGCFRLCRNVVNLCSFCQSQPPIIKQIHHHNCQQRPFESSFQWRVCPTIKYPHRFSSNTNNQFRVNSIRDSSGDQTTMSASSAYQVLDVLPDCSLSDLKAAFRAKVKQFHPDVRNSDDDSSDIMIRRVIQAYEVLSNLSKSEIIERHVYFHYGFGLTSFVLFVFLVNSNFGECLDPFDAPECEALDIFVNEVLCVGKGCPYSCVKTAPHAFTFSSSTGTAHATSQGHGEDYQVQLAVGQCPRSCIHYVTPSQRVILEELLGSILNVPFDCSAEAELLYALIVKARFENNRYTKPKKQPNVSTKHVDWY >KVH90002 pep supercontig:CcrdV1:scaffold_453:218338:219912:1 gene:Ccrd_008008 transcript:KVH90002 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDTACVSEQNTVIHELTQGIQMAKQLRSNLNSPQVRDFLIHNILSSYDKILLVLKSADSAGPPTPGLLDSPISGGSLQSGGFEFGQLVDDQLGQNVVSRKRPLICFLDWCNRKASTEWEDQVRISTDDGLEGKTDDGYNWRKYGQKLILGSKYPRSYYRCTYRKAKNCLATKQVQRTDEVPAVFEIAYKGKHTCNHGPTQSAAPSPASPEKHEIEPPHHYHHHHHHHHHQSSPNPSEVLSDFKANLSVNTTDLGATDPSSFSFSPTPFGILESCQELLFPNHFDDELLQGYSPPFISPATSELNLFSDWNSLPMMDFPTDPEDTFSNYKFSNSYLLEGCSLR >KVH89994 pep supercontig:CcrdV1:scaffold_453:228035:229441:-1 gene:Ccrd_008010 transcript:KVH89994 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEAHIAIFPSPGMGHLIPLTGLAHRLLHLLHRRVFITFIIPTTAGSSIKPQNDILNAMPENVSSIFLPPVDLNDLPQDAAMETRILLTVTRSLPALRQTLVELTRDSTKRPSTLVVDIFGPPSFEIAKEFDISAYIFSTVSAMTLVTIFHVPLLDQMYAYEYRDLTEPLRFPGCVPIPRTDAPESLIGKKNKAQKEKVEMFKMYNLPKGILVNSSVELEPGAFKAMEEGEWCKPDVFPVGPLIRTGSEQQTHDGFECLKWLDKHPVGSVLFVSFGSGGTLSQKQLNELAFGLEQSGQRFLWVIKCPNEKANAGYFSAENHVDPFTILPDGFLDRVKDHGLVVSTWAPQVEILGHGSTGGFLTHCGWNSILESIVNGVVMIAWPLFADQKMNAVNLTDSLGVGCRVKVGENGLVARDEIEKCIRSLMEGEDGRKMRVKMEQLKEGVAMALSQDGSSTTSLLDMAKKLDF >KVH90005 pep supercontig:CcrdV1:scaffold_453:6123:9447:-1 gene:Ccrd_007996 transcript:KVH90005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MDLSNTEKKESSSKNLDVLLSERESFELSGPLHLTSIDWTNLDHRRSVAACLVQGVYIIERDRQENRQLSEALAPPWWNFFQFELYSQLIDDADSCVFGAIYKFKHAPSQGTPSYIIAFRGTLTKGNAFSRDLELDIHIIKNGLHQTSRFEIAMQAIRNLVAANGSQTSKSTIWLTGHSLGSAMALLAGKRMAKSGIFLDSYLFNPPFFAAPLENIKDPNIKHGIRIASSFLTAGLAVAAKLKNANQQRNNTPEDAFLALAGWVPCLYVNPADYICSEYIGYFEHRKKMEEIGAGGIERLATQHSISGVFLNAIGKESHEPLHLLPSANLTINLTRAADFKEAHGIHQWWRCDQHLETRIYS >KVH90003 pep supercontig:CcrdV1:scaffold_453:220377:222648:-1 gene:Ccrd_008009 transcript:KVH90003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3593 MINCLQSVCCSPTLLGSSSSYSFRNSFKVDTHYPTGIKQFNCFRKARISEKNPSNFVVNGLPFPVDPWSPTIDSQSIASQLFAFSLFPYIGFLYFITRSNSAPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVIGLRQALRKANHAKELARDAVSEVSDDNTPST >KVH89997 pep supercontig:CcrdV1:scaffold_453:200430:205120:-1 gene:Ccrd_008007 transcript:KVH89997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, C-terminal MAFHVVPEGIRHWGLCRLKFSDKFSRIPDQEVQSYCSASIQAANILEFCCPDVRFANEIVGMQDFGMLGCRNREEIGTYEITASSTWEECQQLFEESSKYRAVGDESLAHETFKEYVARLLEKAKEK >KVH89999 pep supercontig:CcrdV1:scaffold_453:85588:89571:-1 gene:Ccrd_008001 transcript:KVH89999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MADRSLFMEGGELESPLVRLCIEAATQSGDAVETWRRQRRTLERLPSQLAEALLHRLLSRRLLYPSLLEVFKYSVEKVDLRGESSVDAEWMAYLGAFQYLYSLNVSDCHRINSSALWALSGMNYLMEVDLSRCSKVNDAGIKHLLSIQTIERLSISETSVTTNGVTLLSSLKKLSKLDLGGLFINDVALVSLQVLTNLQYLDVWGSDISNDGAAILVTFPNLNFLNLAWTKVAVLPNVSSIACLNMSNCTIHSLFKGEGNKARLSKFILAGALFKDITRAFSYFDSSCLSFLDLSNSSLHDLSFLSCMHSLEYLDLSGNLIGDDSLEFVASVGANLKTLNLSNTRVSSAGVAILPGHVPKLETISLSSTPTDDLAISYIGMIPSLKVVNMKGTNVRECDLSLTALENLKQLEKLDLEETPLRDEAVTPLSSFKELNHLSLRSSFLTDASLHHLSSIPKLITLTMRDAVLTNAGIDSFNPPSLLQVLDLTGCWLLTKDVIMSFLQRHPEIEVRHELVHMFPSDSENTKHPSPLHTTTRTSQQRRFSASPHKSEITTII >KVH90008 pep supercontig:CcrdV1:scaffold_453:23470:31366:-1 gene:Ccrd_007998 transcript:KVH90008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTIRIFCTLLAHGKRQTANGTLKVDLPVFFSKLVIMPRSSRSKSHKQSKHSSREYTDFDEDVKMKERNDNSDGKKNGVSVKDSKDSLASGEKRKLGKDLDGSHANGSVSEEYATPSKRRKDKIHGGSDRWNGDVGEEKSEVVNVDDKKLKSKDLVKVVGESKSKTSRKHDVDSASVVVVEKDGSKSGSGSRSEKRSDKESGRKEGRQIKENKETKDKDRGSERERKIHDSKREVEAVPTQVDNQPSKRGREHNEWPIEEELRNIELEKELEKRMRRREGYSDKDRYQDDVKDDDERILSTKSGHAKDSDDERILSTKSGHAKDSKHKDEVYGDKFREGSNRETRPKEDKCRNDGDPVSKSRDVKYRGENGKGSKHKDDKYREDGEKVRREDKYHEDGNRDVRNKDRKNHDDGDRDSRRRDEKHREGGGRVEKNRDDKHMQDDNRDYRHKEERYREDGDRDHRHKNSRPRDDTDREKRVRDPKHRDVHASRAHSSEVDTKNMKDDMDADLSHGQKNKRSGSPIYDDRVARHKDDKDRRIDNDKDERSMNDAKVDPTPERGRPSSRNDMEITGNHSRHRSSPSNKFYPTRDHHRISKQEETKYRDSVHEERACHNVNSNRYFTSAPGQSDKISSRSLEKITQKDDNFIDDLSVERHRRSDARSSPLVDSSPSSASNGRRHLNRLDVRRNLDVDELGQRSSGSKDAKEYSDKDGKVNHKLVMEVHPDNDLSHVDGDNWSVSSPYVRSGPFSGNHKSLLPLQPLYRTGSDSPLGFGSSEDDRSKSNGRHRRSGDSNMGRPQSNWKNVPNWPSPLTNGGYIPFQHIPPPIFNPAMQQFVPPIFGRPPMQVNHAGMPYHVPDGDRFSGHGHPLWRNQLEESIPPPIHSWETNNAVFGDGSHGYGRLDWDHGRTQLNNQMWESSADLWKGQDSHKIDHSVNRPTDEFWSGPTGQHVENEHSQPDNIQEVATNNIPEIMKASEIPRISVVAKEDDPLIPQVYLSKIDISEDLTQPELYDQCTNMLALDQASVSDEFDCKILFLEAKEGIEADISNGASLFAAIDDSVFEGYASTQKWTEPKFEKFRTVIGPKFYLPKAMSLYTKHKVPFVSINQEGVSVSSSDQEKGGPDNSGKLVEEAVEEENELLIQKVDMKEDKSDEQISTSEKMDMEVDGGCEIEVKDSSGNKVDNLLVLTNNVSMPELIESGLLLLGFFLRRSLSDSITFHCQWNFIFLLAAKVASGVWSSAAGFYCI >KVH89998 pep supercontig:CcrdV1:scaffold_453:70884:83948:1 gene:Ccrd_008000 transcript:KVH89998 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MESKKFIVEVEPAIEEKDGKPSMGPVYRSVFAKDGFPPPIDDLNSCWDIFRLSVEKYPDNKMLGTREFVDGKHGKYVWLTYKQVYNKVILVGNSIRACGVEPGGKCGIYGVNCPEWIMSMEACNAHGLYCVPLYDTLGADAVEFIICHAEVTIAFVEEKKIPEVLKAFPKAGEYLKTIVSFGKVTPEQREQFNKFGLTIHSWDEFLSLGNNKQFDLPVKNKSDICTIMYTSGTTGDPKGVLISNNNIVTLISGVQRLLECANESLTTYDVYLSFLPLAHIFDRVIEECFINHGASIGFWRGDVKLLIEDIGVLKPTIFCAVPRVLDRIYSGLQQKISSGSYFKHKLFDIAYSYKLHHMKGGSKHSEASLLSDRLVFSKVKQGLGGRVRIILSGAAPLAPHVESFLKVVACSHVLQGYGLTETCAGSFVSLPNEMSMVGTVGPPVPNLDARLESVPEMNYDALSSMPRGEICIRGGTVFSGYHKREDLTKEVLVNGWFHTGDIGEWQPDGSMKVIDRKKNIFKLSQGEYVAVENLENIYGLIWIYGNSFESCLVAVLKGFEFIKAMHLDPVPFDIDRDLVTPTLKKKRPQLLKYYQSRIDDMYKSIKK >KVH89995 pep supercontig:CcrdV1:scaffold_453:169063:171690:1 gene:Ccrd_008005 transcript:KVH89995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MAITGDGANLPEIDYIKRHHKHEVRAYQCTSSLLKRIKAPVHLVWSLVRRFDQPQKYKPFVSGCNVQGGDLEIGSVREVNVRSGLPATTSTERLELLNEEEHILGMRIVGGDHRLQGNTTDETCYFVEALVKCNLKSLADVSERLAVQDSTESIVQ >KVH90004 pep supercontig:CcrdV1:scaffold_453:12178:15808:-1 gene:Ccrd_007997 transcript:KVH90004 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAPPLCVLVTGGAGYIGSHTVLQLLLDGYNTVVVDNLDNSSEVAISRVQELAGDRAHNLSFHKMDVRDKPALEQLFASTKFDAVIHFAGLKAVGESVEKPLMYYNNNIIGTLTLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLAAANPYGRTKLIIEEICRDIYASDPEWKVILLRYFNPVGAHPSGRIGEDPHGIPNNLMPFVQQVAVGRQPALQVYGTDYSTKDGTGVRDYIHVVDLAEGHAAALRKLSHPEIGCEVYNLGTGKGTSVLEMVSAFEKASGKKIPLVKGERRHGDAEIVYASTAKAERELNWKAKYGIEEMCRDQWKWASNNPYGYKTKG >KVH90000 pep supercontig:CcrdV1:scaffold_453:92881:97962:-1 gene:Ccrd_008002 transcript:KVH90000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding domain CBM49 MENFIRMGATLFLLIGIVPLALAGGHDYGQALTKSILFFEAQRSGYLPDNQRVKWRGNSGLYDGKPNGVIHLLLFFLILQNFQWNVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGSQMASSGELGHAMDAVKWGTDYLIKAHPQPHVLYGEVGDGNTDHYCWQRPEDMTTSRSAYRIDQNNPGSDLAGETAAAMAAASIVYRHSNPAYSRELLTHAHQLFEFADKYRGKYDSSISVAQKYYRSVSGYADELLWAAAWLYKATNEPYYLNYLGENGDALGGTGWAMTEFGWDVKYAGVQTLVAKFLMGGKAGSNSAVFGKYQEKAEMFMCSCMGKSNHNVQRTPGGLIFRQRWNNLQFVTSASFLLSVYSDYLTSARKDLHCASGSVAPSELLAFAKSQVDYILGDNPRATSYMVGYGNNFPQQVHHRGSSIVSIKVNPSFVSCRGGYATWFSRKASDPNLLTGAIVGGPDAYDNFADQRDNYEQTEPATYNNAPLLGVLARLHGGHGGHNQLLPVEVPLIHKPAVQPMPTPKARVSPFAAPVAITQKATTSWVANGKTYYRYSAIVTNKSGKTIKNLNLSVSKLYGPIWGLTKTTGGSYGFPTWVSSLAAGKSIEFVYIHTAPQAEVSVSSYTLA >KVH89996 pep supercontig:CcrdV1:scaffold_453:188033:194213:1 gene:Ccrd_008006 transcript:KVH89996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MKHGGVPNAVTSFVAVLVFLQCSCLSAEVVTCSGIVPMVYRNDKISITDFGGVGDGRTSNTKAFREAIYRIDHLRRRGGTLLYIPPGVYLTESFNLTSRMTLYLARGAVIKATQLHTCDQYIYKCNSACENGTIDGQGDVWWNMWRRRTLQFTRPNLVEFKDSRGIIISNVIFKNSPFWNIHPVYCSNVVIRYVTILAPADSPNTDGIDPEDSYISTGDDLVAVKSGWDEYGIAYGRPSNDITIRRITGSSPFAGIAVGSETSGGVTNVLAEHITLYNSGIGIHLKTNIGRGGIIRNITVSDVFMENMRKGIKIAGDVGDHPDENYNPNALPVMKHIRIKNVWGEKVLQAGVIQGLKNSPFTDICLANINLRGSSGPRNVPWKCSDVSGGASQVSPSPCAELISRSQTGACSIPF >KVH90007 pep supercontig:CcrdV1:scaffold_453:60745:62800:1 gene:Ccrd_007999 transcript:KVH90007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEKDHILPLIFFSFFLLKPISGADDDCWPASCGPTEPQVRFPFRIIGQQPSRCGFPGFHISCNKRNRTILRLQSSRSYIVTRISYVSQVIYIDPQFCRPNRIVDVNLTDTPFDFSSVRSYTFYNCSLQNFGFMYPAVPFPCLSSGNYSVISVRAGLFPPGNMPSSCHEMKTIAVPVRWDGDIREELELMWFTPYCRSCEMEGRACGLKSDDDQTVCLGSSRGIPRRAKYGLSIGIGVPALVCLIGLVCFTASRARDYNHTHHQSIDLFSITIIPQPPSVRGLDGPTIESYPKTVLGESCRLPNDDGTCAICLSDYKPKESLRTIPECNHYFHADCIDEWLKLNATCPVCRNSPESLMITTCSSASSTSVDSS >KVH90001 pep supercontig:CcrdV1:scaffold_453:149192:150043:1 gene:Ccrd_008003 transcript:KVH90001 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MQENTINPFVIDSITDSVRSSLSNLILRGGNTSTLDSIFSHNSSSSGEGEVAAATGSSIYLQQRDFIRKFSSNFDTSKQTTRSPIGLYQQSNNLYKKKLYRGVRQRQWGKWVAEIRLPRNRVRVWLGTYETAEMAAYAYDRAAYKLRGEYARLNFPNVKEPTDLGMIGDGGRLNALKTAVDNKIQAICQKVRREEAKKKAERESLKAAGNSTAESGGGGDSCSGSDVGSSEERVWNWKCENSQSECSFSGESTVAEEAETGGGWSLARMPSYDLDLIWAVLAN >KVH89993 pep supercontig:CcrdV1:scaffold_453:231324:242861:-1 gene:Ccrd_008011 transcript:KVH89993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVNLTDGFGVGCRVKMGENGVVGKDEINKCIRSLMEGEDGCKMRVKMAQPKEGATMALSQDSSSTRNIIKIHKEFHKLNLPFADWFLKEQRPNGIDFSWRWDLDPHGTFSVSSTRAAYDDRSLDQVSFRTSWWVNWVPAKINILAWRLLHKRLPTKNNLLKRGVICLSSLCPLCDCIEEDEEHLFIGCSISRKLLKDLCTWWKVDIGQVNSIDNLLDRSSEVAGNSMCKKAFLGVVYGFFWIIWNLRNRKIFRASSMNSTSLLAGQLQAYSFSGLKIGFGKAFC >KVH89992 pep supercontig:CcrdV1:scaffold_453:243015:243873:-1 gene:Ccrd_008012 transcript:KVH89992 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MPENVTSIFQPPVDLNDLPQDAAMETRISLTLTRSLPALRKTLAELTRDSTRKPPSALVVDVFGPPSFEIAKEFDISAYIFSTVSAMALVHLKPWKKVSGVTCKPDVFPVGPLIRTGSEQQTHDGFECLKWWDKHPVGSVLFVSFGSGGIVSQKQLNELAFGLEQSGQRFLWVIKCPNEKANASYLSAETMLTRLRFYPIWVFGSGHEAG >KVH90006 pep supercontig:CcrdV1:scaffold_453:3597:5388:1 gene:Ccrd_007995 transcript:KVH90006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-/4-kinase, catalytic domain-containing protein MSVAGVALRPIHKRSGSVCSRSSESIVIYLTVAGSVIPMRIMESDSIAAVKLKIQICKGFVVKKQKLVFGGRELSRNNSLLKDYGVSSGDVLHLILRVSDLLVITVKSAIGKQFEFEVDRFRNVRYLRQLVAEKAKGIGFINADDHKILCNGEDLDDQRLIDDVWKKNDAVIHLVVQKSGEVPSSLDERNPEKDDRKSNPKKPPDIDSPLQPIIVNPGSKLSPTIWSMLDSTSEGLQKGKKPIRSSEGTGGTYFMQHPSGNRYVAVFKPIDEEPMAVNNPQGLPVSSNGEGLKRGTKVGEGAFREVAAYLLDHPKSGPRTSGDMETGFAGVPPTVMVKCLNGEFNHLGDYDGGPENIKVGSLQMFMKNCGSCEDMGPRDFPVEEVHKITVFDIRTANADRHAGNILMNREGDRIVLIPIDHGYCLPENFEDCTFDWLYWPQAREPYSRETLDYIQSLDAEQDLALLSSNGWNLSPECARTLRISTMLLKKGAARGLSAYAIGRILCRETLHKESAIEKILEKAHSSTLPGTSEAGFLKTVTDLLDFELDKAL >KVI11564 pep supercontig:CcrdV1:scaffold_4531:4523:12146:-1 gene:Ccrd_010024 transcript:KVI11564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mammalian uncoordinated homology 13, domain 2 MRRDVDDRDLSICVITRGSENPTITSPLTLFGVMPIENTAGYMIPVLSSNDLSDPFGQLGLDLSDSDLRETAYEIFVGACRSSGGGRPLTYVSQSSGRSSDRASSLPSLQRSLTLTAASKVKKALGMKSKKKNNGSESATENRPATVGELMRVQMRISEQVDSRVRRALLRIAAGQLGRRIESIVLPVELLQQFKSSDFPTQREYEVWQRRNLRVLEAGLLLHPKLPLDRKDPSAQQLRQIIRGAYARPMETGKHSEAVQTLRTVTMQLACRASDDFAPDTCHWADGAPLNLRLYQILLEALFDVEEPTSMIEEVDEVLDLIKKTWGILGIDQRFHNLCFSWVLFNHYVSTGQVENDFLFAADNLLLEVKKDAKSTLDSGYSKILCSTLNSMLEWAERGLLAYHESFYRGNIDLMQSILSLVLSAATILAEENPSESGRRKVIDVADAKVDVYIRSSMRKAFSQASFDLFAFISFPFESKCREKVRISRKSAKSQLNHLPALCLLAQDVTDLAFTEKEIYSPILQRWHPLAVGVAVATLHSCFGQEVQKFVSGINELTPAVIQVLIAADKLEKDLVQMAVEDSVNSDDGGKSIIQEMTPYEAEAVIVDLVKSWIKTRVDRLKEWVDRTLQQEVWNPRANREGFAPSAVEVLRTIDETMEAFFLLPIPMHPDLLPGLMSGLDRCLQDYILKAKSGSGGSKLNGVFKKKDRSHISQRRTPMQSTTERNDSYSITQLCVRVNSFHYIRKDLEVLEKRTIAHLKSIGIREGSIVNGSRKNFERSLVACVEGIQQVCEATAYKLVFHELSHVLWDGLYVGGVSSSRIEPFLQELEQNLEVIAETVQDNTIRTRLITNIMRASFEGFLLVLLAGGPCRSFTLEDWSIIQEDFQFLMDLFWSNGDGLPIDLIGKHSTIVKGVLPLFSSDTGSLVEKFKSLMIDGNGSSTKSRLALPPTTDQWGPIEPNTILRVLCHRDDKAATTFLKKNYNLPKKL >KVI11563 pep supercontig:CcrdV1:scaffold_4531:21150:33779:1 gene:Ccrd_010025 transcript:KVI11563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter MLPQESRSILKFEVETCNDRGDVRVGKCNGKGQKDRENKDFRESKGGIDVSMVMKYADKIVKVYSTSVAMLNGLLFISSEMDLTKSCLQQTSYISNPLISLVGKTMASKFFVPSPFSTRQSLHRQQEPDEPAASPSSSPPPSTSVESAFNASTTALIARSSTDDV >KVI11562 pep supercontig:CcrdV1:scaffold_4531:42233:42772:-1 gene:Ccrd_010026 transcript:KVI11562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MNRVIGKVTKTRDLSRLWPFPLTKRIPKLSSLSFKERASKTRKCDSKSLVAEENSITITARELSNGGMKSMHKYTSCNQRSGNRGGWHNPVPKGNLAVYVGSELKRYVIPTSYLGMPELRVVMDQMAEEYGHHQKEGGLRIPICEEQQFEEILASCKRRQQIMSKTKKGKLKKHYSFHL >KVI04415 pep supercontig:CcrdV1:scaffold_4535:6507:15423:-1 gene:Ccrd_017271 transcript:KVI04415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding-like domain-containing protein MESVVQSLVSPPDHRLRSQYFPPMRTTTVSPSPIAYRSLPSSLCYGEKPTVAAAFPCSNSFFPLSTSISLPSSCCLKDFYTLRKEVEATSQRVEDIRASAGLEQLGEELAKLETAAASDSFWDDRTSAQQTLMALTDVKDKINLLKDFQAQIDDAETIVNLTEEMDSTDTALLEEAANIVKELNKALDKFELSQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGERQRYKTRVVEKSMGEEAGIKSATIELEGRYAFGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGVEVMPLLPEDSLNVEIPEEDLQIGFSRAGGSGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAVEQRASEIKQIRGDAVKADWGQQIRNYVFHPYKLVKDVRTGHETTDIVSVMDGELDPFIKAYLRYKYSESMAN >KVI00771 pep supercontig:CcrdV1:scaffold_4537:30410:35180:-1 gene:Ccrd_020976 transcript:KVI00771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGKIEIKKIENVSTRQVTFSKRRAGLLKKAHELAVLCDAEVGIIVFSNTGRLYDFSSSRRLMGNNLGGTSVQELHKLEQKLNDSLISIKDKKDAMLFEEIERSNRKERELGYENEVLRGEIDKLTRFIPLTQQPSQPGFLEYTPAAAGPSSSAGLSRQDRVSPDTVPPCSDHLKMKEEAKRESSSSRSSDSKDMEE >KVI04544 pep supercontig:CcrdV1:scaffold_4539:21499:23204:-1 gene:Ccrd_017136 transcript:KVI04544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKGNQQTGSPVSDETKNSLFRYNSPLIQVGLIGLVCFCCPGMFNALSGMGGGGQVDHTAVNNANTALYTTFAIFGILGGGIYNILGPRLTLFAGCSTYILYAGSFLYYNHYKDQTFAIVAGAILGIGAGLLWAGQGAIMTSYPPTARKGTYISMFWSIFNMGGVIGGLIPFIMNYDRKGAVSVNDGTYVGFMIFMTIGTVLALSILHPSKVIRNDGSRCTNMKYSNVFTEAVEIGKLFLNWKMLLIVPAAWASNFFYTYQFNNVNGALFTVRTRGLNNVFYWGAQMIGSVMIGHIMDFSFKSRRTRGLAGIGLVAVLGTGIWIGGLFNQRGYNHLDVKEKTVKILDFKSSGSDFAGPFVLYFSYGLLDAMFQSMVYWVIGSLANDSAILSRYVGFYKGIQSAGAAVSWQIDKHNVSYMKQLIVNWVLTTASYPLLILLVIKAIKDEDEVKEVEDEAVEEESKSQDNILTVD >KVI04545 pep supercontig:CcrdV1:scaffold_4539:42451:43280:-1 gene:Ccrd_017137 transcript:KVI04545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDHRKMIEEEGAEEEEEGEEVEVGEGEEGEKEEEEGEKEEGEEEGEEEGEEGAGEEEGAGEEEGEEGEVGEGEEEEEEEGEEEEEEEEAEEGEGEEEEEGEEEEEEEEGEEKEEEE >KVI04546 pep supercontig:CcrdV1:scaffold_4539:43295:44197:-1 gene:Ccrd_017138 transcript:KVI04546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRRRKRRRKRRRRRRRSKRRRRSRRRRRRRRRRRRRRSRRRKRRRKRRRRRRKRRRRRRRRRRRSRRRRRSRRRRRRRRRRGEGEEEGGEGEEEGEEGGEGEEEGEEEGGEGEEEGEEGGEGEEEGEEEGGEGGEGEEEGEEEGEEEEEEGEEEEEEEEEEGEAEAEGEGEEEGETEGEEEGEAEEEGEAEAEGEGEEEEEEEEEEEEEEEEEGEGEGEGEEEEEEEEEEEEEEEEEEEEEEEEEEGE >KVI01799 pep supercontig:CcrdV1:scaffold_454:46911:52498:-1 gene:Ccrd_019932 transcript:KVI01799 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8 MVHSKLGLLHELGRNYLRRKQSSSGQASRCCSADRMDFSRLTDAMASRSFDKIGDVCDQLMLQLASQGVAFQEEWPYAVHLLGHIYLNDLNSARFLWKSIPSSIKENRPEVVAVWKIGQHLWTRNYAGVYDSIRGFNWSAEIQSFVASFAERYTKRMLELLMSAYSTISVQDTALFLGMNENDATSYVLQQGWNVDAASQMLTVKKQTVVTEXXIDPSKLQRLTEYVFHLEH >KVI01797 pep supercontig:CcrdV1:scaffold_454:88406:98456:-1 gene:Ccrd_019928 transcript:KVI01797 gene_biotype:protein_coding transcript_biotype:protein_coding description:BYPASS-related protein MPSTSSSGISFGTIRRSILGFGSDHNQIHSEELNNESKSLLDRELEGFQNQVFNQFHTLSSSSSSADDFLSIDWMLKLLDAFLACQEDFKRILLKNSELFSKPPLDRLLMEFFDRSIKALDICNAVRDGIEKVRVWHKHLEIVSSAFDSKQRNVMGEGQFRRARKALTDLAIVMLDDQKDSGSVFSNRNRSFGRQNKGKERKKGHSKSLSWSVSNSWSATKQLQSMANGLVQPRVNEIGQQFGLANCVFTMGFVLMFVLWTVVAAIPCQDRGLFPFSIPRQVSWGTPLFLIHSRILDESKKRERKNSPGLLTEIHQMEKSINLISDLIDSAHQFPLTEEQQKEVKDGIQELSLVCNSCKNGLDSLDRELREVFRKIMSFRTEGLGTVTRAQS >KVI01794 pep supercontig:CcrdV1:scaffold_454:196887:198340:-1 gene:Ccrd_019923 transcript:KVI01794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKAKVIGTLVGVAGAMVLTFYKGHQLNIWSTHFNILHGGQRIGGHVAATHNTSTHHSIGSLLALASSLAIALSLTVQGRMSADYPCHYSSTFLITTMGFIQSLGIGLAVTVTLITTSIHLRGPLFVSNFNPLLLVFTAIGGSLLLDEKLHVGSVLGAVIIIVGLYVMLWGKSNVERLSKLMPTTSSIYENDVSKTDATMTTLETVRVARTSFSTTNGDD >KVI01791 pep supercontig:CcrdV1:scaffold_454:144045:152609:-1 gene:Ccrd_019926 transcript:KVI01791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MKDWRQKIPDGGKRQSCGRRPTMETVEVSGNGDSGGDNRPTWGRGRVLVKAILERDDGGIGREEEEEDAGNKRPKLTWKIFFQAFLFPISPLPSPSSLVFCSGTKGGKAKVVGTLVGVVGAMVLTFYKGRQLNIWSTHYNVLHGDGHVKLSAVYPCHYTNTFLITAMGCILSLVFALCVERSWSQWKLGWNIRLLAVFFMGIGSTLTILFLTTAIHLQGPLFAANFSPLSLVFVAIGGSLLLDENLHVGSVLGAVIIIVGLYILLWGKSNMMRMSKQMPTTSSKDGNEALTINAAMTALDTVHVARTSLSTTNEDNEMPLSTREKQEEQK >KVI01802 pep supercontig:CcrdV1:scaffold_454:62667:63172:1 gene:Ccrd_019931 transcript:KVI01802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2048 MTGFGSHSNTVTEPVWDSVKQDSRIVSNLKLVVFPNLFPEDPGKALRDWDGAVFLRCVSRAHSILIRLEVEGQNWPPRLIQPTWKTVWETKSACLKEGVFETPCDEQLLNALPPESHIARVALLAPKSVPAHNVACVVHLADCVGDFF >KVI01796 pep supercontig:CcrdV1:scaffold_454:67255:69115:1 gene:Ccrd_019929 transcript:KVI01796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MEFTHLKNLKNDNNNIIIRIRICRMWESLNSKKGGELISLDMILIDEEENLIHASIWSGLVPKFRTLLHEEEDTVSIPKNSFQFISAGLVHSRVNDDTILSDVVGCIQAVGHIETVGVGWKKRDVEIITDYSITTRVALWGKLAEDFDATAVKMKAATGPVILIVTCTRVKTFQENXDEKKRRDEDMHLERMMINDLLCATWDKDMKVPYIIVRGTITCIVPSLGWFYKGCKVCYKQLTTIDGGYFCGNCKAESEFPLVL >KVI01788 pep supercontig:CcrdV1:scaffold_454:162769:168663:-1 gene:Ccrd_019925 transcript:KVI01788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter METSKPTTELVTKKMQGLKATMMMIAAQLAIAAITVAYKLAANDGMHMRIMVFYRYLFGSVIILPLALIIERNRRPKLTWRILVQAFVCAIFGGPMAQILFVESLVQTSATFASAFTNLTPPFTFIIGVLFGIEKVKIGTKGGKAKVIGTLVGVVGAMVLTFYKGHQLNIWSTHVNILHGGQHMHGQYVAATQKTSIHQTVGSLLGLASSLSIALYLSLQAIASSLSIIFITTSVHLQGPLFVSNFNPLMLVFVAIAGSLVLDEKLHVG >KVI01790 pep supercontig:CcrdV1:scaffold_454:108850:112107:-1 gene:Ccrd_019927 transcript:KVI01790 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSFRSIVRDVRDGFGSLSRRGFDVRLSGHHNRGKSQGSVNDLSDNNQLSLVIQNSRWANLPPELLFDVIKRLEESESTWPARKHVVACAAVCRSWRNMCKEIVRTPESCGKLTFPKFGYAAALLVENGKFLLSAKRTRRTTCTEYVISMHPDNISRSSSTYIGKLRSNFLGTKFIIYDTQPPHFSAHIPPPGRSSRRFHSKKVSPKVPSGSYNIAHITYELNVLGTRGPRRMHCIMQSIPASSIDPGGSVPGQAELLLPSHSLEDSFRSISFSKSLDRSTEFSSSRFSEIIGAATSSDVPESEKSKMPLVLKNKVPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAQPPPAAAAAPPAAGTSQPAQPEHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KVI01793 pep supercontig:CcrdV1:scaffold_454:233854:236567:1 gene:Ccrd_019920 transcript:KVI01793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3067 MKRKKKGGKRRGLREKGSLVTMHYGVALGSSMFPLLYDAHYVGLQKRIQVNWTIVFPRRSYDAQLIKKRSFPLTEEEYLLRLDDVANTLKCWGLVSHIRNSLEKSKE >KVI01789 pep supercontig:CcrdV1:scaffold_454:188988:189296:1 gene:Ccrd_019924 transcript:KVI01789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MTTVMSLLSENPVVIFSKTTCYISHSIIALIRKFGANPTIYELDELPNGQVIERELMGFGCSPSVPAVFVGKKFVGGANEIISINLESKLKPLLIKANAIWM >KVI01792 pep supercontig:CcrdV1:scaffold_454:225379:230459:-1 gene:Ccrd_019921 transcript:KVI01792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGESTCGSRWLWSRVQGLKATMMMIIAQVISAGINILYIFVGNDGMNLSILMTYRFLCASIVVAPLALLVERNKRPKLTWTILLQAALCALFGGPMALIMYAESVILTSPTLAAAFSNLIPPFTFILAVLFRLESVNLGQMGGKAKVIGTLMGVGGAMLLTFYKGPSINIWSTHFHLLPKRVQPRVGDVASTHQNSFNDHIIGSKMEPMEARLEHSIAYSSLSGPLFVSSFNPLVVVLVAIAGSLVLGEQLHVGSVLGSTIIIAGLYMVLWGKSKDIKRPPKFLSSTNSELLEIRKEDTSTIITTSTNILGTSYTAPTISSKEDEDHELQVKSEMGKHKDQEIDEAKN >KVI01801 pep supercontig:CcrdV1:scaffold_454:65493:66725:1 gene:Ccrd_019930 transcript:KVI01801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLVMTIQFVQFLPDLRLDIWEGKEVNLEQCPRLKLQQQCIKYLG >KVI01795 pep supercontig:CcrdV1:scaffold_454:204344:206257:1 gene:Ccrd_019922 transcript:KVI01795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNLFLTAAVFLMLSSSSLVLSQTPTNSTSCPLNFDHVLRIPWPTADCRHSDDSAKPVGNSTSCCQTLLSVFGIALAQHLKETSLFHLPDLATSSSCLSDFQNKLNSLSLPSNLATFCFDPHQFVISSNTCAAIQTVQDWRRTLGNHTVLDSACQDDLTELTACDDCLRAGLRVHSELALKDGNASHSNECFYFVVLYAAAIVNKFGPESDGAVSCTFGINILPKSHKTKHSSLIFGLIGGLVAIVVISCLVGLYFWWDRKRKREKIDELGMDEFESGRPRRRPNTGSIWFKIHDLEKATDNFSPKNFIGRGGFGVVYKGVLSDGSVVAVKKIIESEFEGTDDFCNEVEIISNLRHRNLVPLKGCCIHGADEDYERRDDQRYLVYEYMSNGNLDDHLFPAMKGKVLQALDPSLVGHGTSSETMNPRGIMERFVLVGILCAHVMVALRPTIMDALKMLEGDIEVPAIPDRPTPLGHPSFTSDGSTFSISPVLSGLQLQATDMLR >KVI01800 pep supercontig:CcrdV1:scaffold_454:25631:28781:-1 gene:Ccrd_019933 transcript:KVI01800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MSKSRKVREPKEENVTLGPATREGELVFGVAHIFASFNDTFIHVTDISGRETMVRITGFWFDWHAQELGINALHIKLRATGGNKTKTPSPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KVI01798 pep supercontig:CcrdV1:scaffold_454:247506:252651:-1 gene:Ccrd_019919 transcript:KVI01798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKICNVVYGLKPVLLMVAVQTTFAGVNVFYKLAANDGMNLPVLVAYRFLFSTAFIVPLAFFVERFGIRLISVLNLEEEAENDLDAQNFYIKALSLTSATFAASTTNLMPVITFVLAVCFRMERLGWKTTPGAAKIMGTVIGVGGAMLLTLYKGADLHLWETNVDLLHGQHHETGGQNSSNNMVLGSLLAIGSCISYSIWLIIQTNMVEKYPCPYSVTALTSTMGAVQAVVFVLCTERHWSEWKLGWNVRLLTVAYSVCIFNTFHRTNLTHIAYKYGSLVTLNCISLITYVYDPINTVGSISTWFLLLGMLASGLMFTFIAWCVQMRGPLFVSAFNPLMLVLVAIAGSLVLNETLHLGSVLGAILIILGLYLVLWGKGKEVKKVAQLCPVRESSIGTDNGAIAGDSERS >KVH56141 pep supercontig:CcrdV1:scaffold_4540:17311:17577:1 gene:Ccrd_025677 transcript:KVH56141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMMTLTIVTPLKRLTPNWKAPFLTLEILEQQINGSSVTHPRMGSSHHHLFITSITMDRSLTPPGRT >KVH56143 pep supercontig:CcrdV1:scaffold_4540:20717:21136:1 gene:Ccrd_025681 transcript:KVH56143 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5, heme-binding site-containing protein MFKHPTQPENQSGGWMACEKHLEISSELAHPTLKNSVSSPFMNTASLTYTMFEVKKHNSADSAWIVVHGHIYDYTKFLKDHPGGSDNIHINAGIDCTKEFDAIHSDKAKKLLTKQTIRFNWVSPVQRHRFGKGCHRSIS >KVH56140 pep supercontig:CcrdV1:scaffold_4540:18272:19864:1 gene:Ccrd_025679 transcript:KVH56140 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MCKQHKGEIRIVFEHPTQLENHSGGWMAREKHLEISSELAHPTLKSSVSSPFMNTASLTYTMSEVKKHNSADSALIVVHDHIYDCTNFLKDHRGGSDNILMNDGTNCSEEFDAIHSNKAKKLLTNWKKGALNVCFEGAEDLPGGGGSKYRTSLRIEMAMDPTRDIILAYMQNGEKLLPDHGYTVRMIIPGFIGGRMVKFLKLIIVTTPESENYYHFKDNRVLSSHMDAKLANSEGWCYKPQYKINELNINSMITTPCHEEILPINSWTTQRPYTLRGYAYFGGGKKVTRVKVTLDERETWNVCNMDVREKPNKYGKYWCSCFWSLKVEVLDLLGAKEIAVRALDQALNTQLDKIIWNLMGMINNCWFRVKTNMCKRHKGKIGIVFEHTTQPRNQSGGGMAREKNLEISFELAHPTLKKCVSSPFMNIASLTYTMSEVKKHNSADSAWIVVHGHIYDCTNFLKDHPSGSDNILINDGTDYTKEFDAIHSDKAKKLLTK >KVH56139 pep supercontig:CcrdV1:scaffold_4540:17623:18000:1 gene:Ccrd_025678 transcript:KVH56139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, molybdopterin-binding domain-containing protein MTRLVTDFPSRELPVTLVYAGNHRKEQNLTKQTIGRKKGALNVCFEVAEDLPGGGGSKYGSNIRIEMAMVPTRDIILAYMQKVDYSKALYIRLCLF >KVH56144 pep supercontig:CcrdV1:scaffold_4540:20054:20377:1 gene:Ccrd_025680 transcript:KVH56144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic molybdopterin oxidoreductase MAMDIAKDIILAYMQNKEKLLPDLGFPMRMIILGFIGGRIIIVTMPVSENYYHFKENRVLQSHVDAELANSEGWWYKPQYIINELNINSVITTLCXEEIFPINSWTT >KVH56142 pep supercontig:CcrdV1:scaffold_4540:21387:22317:-1 gene:Ccrd_025682 transcript:KVH56142 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein ELLGLIGIDCIELFSTVGSNIDENVVIATKSRRTITTSKTTVCYSRTPYTLRGYAYSGGGKKVTCVKVTLDGRETWNICNLDIREKPNKYGKYWCSCFWSLKVEVLDLLGAKKIVVRAWDQTLNTQLDKLIWNLMGMMNNCWFRVKTKMCKRHKAHNTTQKPVWWRDGREKNLEISFKLAHPTLKKSVFSPFMNTVSLTYTMSEVKKHNSVDSAWIVVHGHIYDCTNSLKDHPGGSDNILINVGTDCTEEFDASSL >KVH55998 pep supercontig:CcrdV1:scaffold_4541:18481:21696:1 gene:Ccrd_025683 transcript:KVH55998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MASPLTCSAVDLTPLLGLAANATAAADYICSRFTAADDQFTNTAYAINNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGISYYIFGFAFAFGVPSNGFIGKHNFGLKSIPSEVYDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWVWSTDGWASASRTSGSLLFGSGAIDFAGSGVVHMVGGIAGLWGALIEGPRLGRFDRSGRSVALRGHSGSLVVLGTFLLWFGWYGFNPGSFITISKSYNTSDTFYGQWSAVGRTAVTTTLAGCTAGLTTLFSKRLLVGHWNVTDVCNGLLGGLAAITSGCAVVEPWAAIVCGFVAAWVLIGFNKLAEKLKYDDPLEAAQLHGGCGSWGLLFTGLFAEKQYVHEVYGLGRPYGLFMGGGGKLFAAQIIQILVIIGWVSATMGPLFYALKKLKLLRVSKEDEMAGMDMTRHGGFAYVYHDEDTSSHPPPGFMMRRIEPSGASPAPNNDSTTNVV >KVH55999 pep supercontig:CcrdV1:scaffold_4541:21790:32012:1 gene:Ccrd_025684 transcript:KVH55999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRTYLTGYNRVDWSAKDAIVCGFVATWVLKGFNKLAEKLKYDDTLEAAQVNGGCGSWDYCSRSCSPRNNMSMGCT >KVH89345 pep supercontig:CcrdV1:scaffold_4542:3260:4658:1 gene:Ccrd_008666 transcript:KVH89345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFTTGFVSNEALDLIVKEINRSIGFQLDSSTCGCQLHSSCGLPCACKLSLYVNSDIFWRMLNISPVTSFQSDNICCDAEVNHFKEQFNKQSEAGKRSFLRKLVNVFNPSKTTMKPPTVKRNTRGRLSLKKQQQQQRHAPPKSKDSRTRSQSARSFGVDLNVEPERHSCYTNYQTNYDRDPILDLNEEPTRHSFNYIWKTVDFSGIGFAPMDKWMSMPDTRLVIASFYRRPVVFISMVGSSTCFPLWSGPHESESTSPIVIARVGGGSHFINLLLREGCPIPSTHPQWRRYRIDRPSAWEDMYSSRQM >KVH89346 pep supercontig:CcrdV1:scaffold_4542:24510:26703:1 gene:Ccrd_008667 transcript:KVH89346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISKRLLLAPAINFTKRKPAKSRTTQFGEKRWVMDTIDITGAKDCLLILLVPDNILLKDMLILLKDILILLLEDTHKLIILLMADIRHRHMFVPCPMLILRQPIMVHRLHVIQGMEATNTDSEHSLLEVQRQWRLHVALTIRPMVTGLMVVMGTTGIIMVGISMVSSSTQSLASAGSIEGYTGNTKCGNDSCICYVI >KVI11250 pep supercontig:CcrdV1:scaffold_4544:24011:28908:-1 gene:Ccrd_010342 transcript:KVI11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MVQEILPLLMMVIVQIEFAGMNIFSKLALDSGMNPFIHVAYRQLFASVTLTPLAYFIERLTMNQITYFVGLKYSTPTIACALSNLLPALTFVLAAKLFGTFIGVGGAMLLSMYHGPIVPIGESSIHLTIADMAKDPNQSGQGNSNLLGPFLVILSSLTWAIWFILQARMCNKYPVPYSSSALMLSMATVECLAFGFIMEPRLHEWSLFPPIRAFSSIYAGVVCSAMGVCMMSWCIERKGPLFVSVFSPLLLVIVAALSWALLREKLYLGTVLGSVLIVIGLYGVLWGKSKEMEPLQHQEELKDTKDVDMEMQ >KVH92181 pep supercontig:CcrdV1:scaffold_4545:31379:32387:-1 gene:Ccrd_005787 transcript:KVH92181 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MAAADTEKLNNLRSAVSGLTQISDNEKSGFISLVSRYLSYLGPGELTARTATFPIRDFHPCHYGRICPIDTFEGINVGLIGSLAIHARIGRWGSLESRFYKISERSKGARMLYLSPGRDEYYTVAAGNSWP >KVH92180 pep supercontig:CcrdV1:scaffold_4545:17825:30238:-1 gene:Ccrd_005786 transcript:KVH92180 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase MNGFTSLSTSIKFKNQLKNTLILVFIFLALIFSFAISCKLLNKSGEAQHVEWSKIQTPTDKVVVPYDTLASVPEDAAQTKSLLDQLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYAKSKIEILTFNQSQYPRLVADDFLPLPSKGETGKDGWYPPGHGDVFPSLMNSGKLDTLLSQGKKYVFVANSDNVGAVVDLKILNHLIQNKNEYCMELLEIAQVPDEHVNEFKSIDKFKIFNTNNLWLNLSALETAAGAAIRFFDNAIGINVPRSRFLPVKASSDLLLVQSDLYTEKDGQVIRNPARTNPANPSIELGPEFKKRFKSIPSIIALDSLKVSGDVWFGASVVLKGKVVVAAKSGEKLEIPDGTVLENKEVHGAGDI >KVH55674 pep supercontig:CcrdV1:scaffold_4548:25222:27909:1 gene:Ccrd_025685 transcript:KVH55674 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEVQSKKFRGVRQRQWGSWVSEIRHPLLKRRIWLGTFDTAETAARAYDQAAILMNGQNAKTNFPVGNKSLPGSHNSPAEIPADTLTAKLRKCCKDPAPSLTCLRLDSDNSHIGVWQKHAGKRSGSGWVMRVELGGKRKERASEEEETTSLSRSSESLSPTVTDDGCPTGGGGGDESIEEENRVAMQMIEELLDWN >KVI11848 pep supercontig:CcrdV1:scaffold_455:146087:151489:1 gene:Ccrd_009735 transcript:KVI11848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S35, mitochondrial MRLLARAISQLSANSRAAQLKNATNSVAYNFLLSNRFLSSSSTKGGNEGDIDWGSETTWSSTFTKEHFDGEVVGQKNGGGDQKIGGADGGVGGGVDRGGVALGSSQTASASWEEDEKIKRLAAEASRKANEFANGWKERMRETNILMKQVIEPGARGAYLKDSEKAEMYRLHKENPEVYTVEKLAKDYRIMRQRVHAILWLKEDEEKMEKKLGHPLDDSVEQLLDKFPEFFDWHDREFHVATLPYKPDFKVMPEGWDGTIKDQDEVLYEISMKEDEILYQEFLEKFNFNKMKIAGQVKVHKYSRRRPSEGWEITIEKMGPRGKRGDGGGWKFKSVADGSTRPLNDYEKMFVKREKPRRRRKILHPK >KVI11841 pep supercontig:CcrdV1:scaffold_455:38529:41849:1 gene:Ccrd_009729 transcript:KVI11841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFVSFRRAYGALKDSTTVSLAKVSSEFKNLDIAIVKATNHEECPPKEQHVRRIIVGTSFAVPRADVGYCIHALSRRLMKTKNWIVINSFIIISLVSIPFHVSKQLVKNLQVAVKILMVFHRVLREGDPSFREELLNYSRRIHIFQILEFRDDSSHLACDCSSWIRRYAMFLEERLECYRVSGFDIENERLTTATGIGKAYSRMRLMNVDELLDQLPAMQQLLYRLIVCQPEGAACHNSLIQQALALVFKESFKIYSVINDGVIKLVESFFNMSKDKAVPALNIYKKAGKQAEQLAELYNLGKHMRLAMNIQFPTLNQPPPSFLATMEEYVKGVSLKGSASNKKLNSRINEFFCFSLRFETFKEHQNAVEERSAEKTKEPVTREVEEVEKKEVLQDQEPEPKTRPKVEEVLPLIAIDDNDPAGLTETNPKAAAELEDNNGPRSTLAIVQPGTTSSGYNETEKPSNWPELAVVTTSSNSKNINTILHAKPGGDQSNKLLLYGLYENDITRKQPQPQHTGYNPGYEYQPQRVPSMTSSGVVSPATVQMVMSQQQQQQMVNQQQYQNQYQQWYRDQQQQNMFVPYNHQQSSNQYGQRPMCNPIVNPFGDTINHPQGNRGLI >KVI11850 pep supercontig:CcrdV1:scaffold_455:174405:179655:1 gene:Ccrd_009737 transcript:KVI11850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MATESPIRIMETSGKWPSHNEMAAFGKPSNNMGIEDLGSLLKGQSFQEKQRALAPNRSGSAPPSMEGSFAAIENLMFRHKIAENASPNSHTDTCQSEEQLRADPSYFAYYSAHVNLNPRLPPPLISDENRHLFRNVASTGNNRRLPSFDDSSGSFRLTQSNLSTHREESDDDRSPKQEDFPRAPSPLQSRSYRHETIEEAYNHDGDDTGQLLYSDPATAAISSSSSLDSRVGMPSLNMSPISHSEDDVSSVAGITNGIVAIDVLESQREQQQRSMFHVHGHHPQIVSQGQTYIGMNHFLQSPSNFSSEVQRVLQSSGLTPPYASGSAYMMSGNHVYPNMVPSGYFPQQYLGGYAFNPASISPYVTGYLPTGPAPMPFDINGSPSFIGQNQTSGVNLQHLNKFYGHLGLPIQSPFGESLQLSTHGDDQIQKQQHLGIMGHSNLNFGSPTNMGVLQFPTSTFASPPMPGSPIGGIGFPGGRNGIRPSSSPYAGWQAHSGRQVFKDPKTYSFLEELKSGKGRRLELSDIFGHVDEFCGDQHGSRFIQQKLEICSIEEKESVFKEFFEYGSGEQRRELGNQLEGQILPLSLQMYGCRVIQKALDVIELEQKIKLVLELDGHVLRCVRDQNGNHVIQKCIESIPMEKIKFVISSFRGQVAALSTHPYGCRVIQRVLEHSTDELHSQFIVNEILESVYTLAQDQYGNYVTQHVLKRGKPEERSQIVHKLAGHVVQLSQHKFASNVIEKCLEYGDSAARGILIEEIVGLGDNSENLLKLRHPVRDRLQKLENGKGIFEAWDG >KVI11847 pep supercontig:CcrdV1:scaffold_455:230608:236750:1 gene:Ccrd_009743 transcript:KVI11847 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MTHMCTLTILATRLFFIPHTYATSNHIVLLQPQLRASWRSEVSGLRLCSDWFSVPAPSRPMRLPKRPKGHVNCSDTNLHICNDQMRVATQSWLFEFHDEISDMQSLLKHILWATPTGSQMTDQGSAYTLDEALLAIGFGKFQGWIIAYAGLGSIAEAMEVMILSFIGPSVRSEWNLSSTEESLITTVVFVGMLIGAYSWGVISDNYGRSSIYIGVNNNWLPAFSFDRKGLLSIAIVTSGASLLSAFSPNYISLVILRCIGGIGLGGGPTYNSWFLEFVPAPSRGTWMVIFATFWTIGTIVEASLAWIIMPRLGWRWLLAISSLPTLAALIFYGLVPESPRYLCLKGQTTEAHDILKRAAAMNQTQLPPGFLLSDQIASSDDELDTLEDTYLLSPKTSKTISSKTGFSTLWMLFSPNLIKTTFLLWGVFFGNAFSYYGIILLTSQLSNGQTQCSSLTSFSVTDSQDSTYRDVFITSLAEVPGLVFSAFVVDRIGRKHSMELMFFIGFIFLLPLLSYQFEVLTTISLFGSRMFIVGTFTVANIYAPEIYPTSMRATGVGVASSVGRVGGIVCPLVAVYLVSGCHQTTAIILFEIVIILSGLCVTFFPHETMARGLADTLSVPNSP >KVI11849 pep supercontig:CcrdV1:scaffold_455:124785:131358:-1 gene:Ccrd_009734 transcript:KVI11849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKLAKAWGLGMKDMQILPPPRHRAPLKKPTWIIVLVSLVCVFLVVAYIYPPQTSGACYVFSSSGCNTFTSWLPPPVREFTDDEIASHVVISSILNAPPIESKNPKIAFMFLSPGSLPFEKLWDKFFQGHEGRFSVHIHASREKPVHTSRYFVNREVRSGKVDWGKISMVDAEKRLLANALKDPDNQHFVLLSDSCVPLRDFDYVYNYLMYTNISFIDSFEDPGPHGSGRYIDHMLPEVEKKFFRKGAQWFTMKRQHAVIVMADSLYYAKFRDHCRMHDPNGIANWSVTHVDWSEGKWHPKSYGAKDSITESVHVTSDVRKEIMIMPCLWNGINRPCYLFARKFLPETLDTMIDLFSNHTMKA >KVI11842 pep supercontig:CcrdV1:scaffold_455:349:6033:-1 gene:Ccrd_009727 transcript:KVI11842 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MASTIPSDNGTLAQTLKPDTQSETTAITAVKTDSKPEAEAALETRTEPADKNPSATDSATAEATASPEKKWPGWPGDCVFRLIVPVLKVGSIIGRKGDIIRKMCEDTKARIRVLDAPVGTPDRIVLISGKEETEAPLSPAMDAVIRVFKRVNGFPENDSESIASVPFCSIRLLVPSMQAISLIGKQGSSIKSIQESTGCSVRVLSGSMNLLVINET >KVI11852 pep supercontig:CcrdV1:scaffold_455:61949:67700:1 gene:Ccrd_009731 transcript:KVI11852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8A, DUF1034 C-terminal MMRIVESVFTMMILLGLLVSVKSEVYIVTIEGEPVISYKGGVNGFEATAVESDEKLDVTSDLVISYSDHLEQRHDTLLETLFDDGSYKKLYSYKHLINGFAVHVSPEQAETLRRTPGVKSVDRDWKVRKLTTHTPEFLGLPTGVWPTGGGFDRAGEEIVIGFVDSGIFPHHPSFENQNTEPYGPLPKYRGKCEVDPDTKKSFCNGKIVGAQHFAAAATAAGAFNPSVDFASPLDGDGHGRFVQILRFSLKNYFHFLWENVCFAFNINISIAAGNNGIPVQVQGYEFGKASGMAPRARIAVYKALYRNFGGFVADVVAAIDQAVYDGVDILNLSVGPNSPPATTKTTFLNPFDATLLSAVKAGVFVAQASGNGGPSAKTVVSYSPWIASVAAAVDDRRYKNHLMLGNGKILAGTGLSSATSPNKKYTLVAANDVLLDSSVVKFSPSDCQRPEVLNGNMVKGNILLCGYSFNFVSGSASIKKVAETAKSLGAIGFVLAVENASPGAKFDPVPVALPGILITDELIDYYNVSTSRDWTGRVKSFKALGSIGNGLEPILHKSAPMVALFSSRGPNIKDYKFQDADLLKPDILAPGSLIWASWSPNGTDEANYVGENFAMISGTSMAAPHIAGIAALIKQKHPHWSPAAIKSALMTTSNTLDRGERPILAQQYSGSETMTFVPATPFDYGSYEDYLGFLCTTPGIDSHEIGNYTHRPCNYTLGHPYNLNYPSITVAYLVGTQTVTRTVTNMDEEETYTITARMAPAIAIETSPPAMTVGPGASQKFTVTLTVRSAIGTYSFGEVLLKGSRGHKVRLPVVAMGYDR >KVI11840 pep supercontig:CcrdV1:scaffold_455:15220:18489:-1 gene:Ccrd_009728 transcript:KVI11840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFPSHQLNNGLYVSGRPEQPKERAPTMSSTAVPYTGGDIKKSGELGKMFDVDHASSRPRKSGPIANAPLRTGSFGGASSHTNQASSNSANRMGSSGGGVPGSTSMKKTSSGPLNKHGEPIRKSSGPQGGGMPTSRHNSGPLPPVLPTTGLITSGPLNSSGAPRKASGPADSAGSAKSRSAMAKNQAITRLSQEDGRSFSSSASAWSACWGAKSAISFIDGYPDAELRTAKNGQFVKVSGVVTCGNVPLESSFQRVPRCVYTSTSLYEYRGWGSKPANPTHRRFTWGLRSMERHVVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIEVDQSNKDSSPQFIRWLDDRNIPSEDRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPSGLVPTGCQWGRCLLPVNMEGIVLRCEDSSKLDVIPV >KVI11853 pep supercontig:CcrdV1:scaffold_455:45564:49920:1 gene:Ccrd_009730 transcript:KVI11853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLSKTLIQSTSSSSLNSFETFKLGVSQPHRFSSRSGKAQLIEVELESEGEVVGIRKLEDVIHNIIVRQSTPDWLPFIPGSSYWVPPRRHRPESHGIVEVLEKLTNPMTEDESMSLNTSRGWPSSSYFLEGTSPVHPVEAKAYNNEENMSQPKDEEG >KVI11856 pep supercontig:CcrdV1:scaffold_455:184739:191391:-1 gene:Ccrd_009739 transcript:KVI11856 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase domain-containing protein YLLSVDLSHTPLTPIYLLEPLPSAALPDDQSQAWISQTFFLSSSDATHSRGKISLLVYIRVRASTERMSSRMVARRLGSKLLPMFTPAATLHSHATSFGFKEIQEEQKSQMVGDVFTKVASNYDIMNDLMSGGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILETINSVNRRAIEDTLEEDLQEETQVYVCDINPNMLNVGKKRAQERGLGDQGSLIWVEGDAEKLNFEDGSMDGYTIAFGIRNVTHIEKVLAEAYRVLKKGGRFLCLELSHVEAPVFKQLYDSYSFSVIPVLGELVAGDRDSYQYLVESVRRFPPQEVFASMIAEAGFQKVEYENLVGGVVAIHSGLKF >KVI11845 pep supercontig:CcrdV1:scaffold_455:215684:217464:1 gene:Ccrd_009741 transcript:KVI11845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGSCGRSYSLSVKQRDTIAAALPVQDHWLSMSNLDLLLPPLDVGVFFCYKKSPIPHEDSITTPVNLIKKSLAQALAPFYPFAGEVVQNSHGEPELLCNNRGVDFIHAQADVELKNIDLYHPDDSVERKLVTELNCGGLVIGCTFDHRIGDAYSINMFLTAWTEISRSRPISCLPSFRRSMVNPRRPPLMDTVYDSLFVPVSSLPPPRSYLPTNPLVSRIYYIQAKDIDQLQSNSSFNGNPKRSKLLSFIAFLWKIIAECDDGFETCKMGVVVDGRERLEQVNFDKLSLTNTKCFSMQNYFGNVLSIPYGEANSGELKEMALSQVAEMVYKFVSPAMTEEHFRGLIDWVELHRPEPAVAKIYTKTEETDGEAVVVSSGQRFPVESVDFGWGRPDFGSYHFPWGGQTGYVMPMPSVKKNGDWIVYMHLLEKHLDLVETKGRKVFKPLSPSYIGF >KVI11855 pep supercontig:CcrdV1:scaffold_455:77860:78420:-1 gene:Ccrd_009732 transcript:KVI11855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGACSSCKRSKTRVPLKTIRVVQMDGSLEDYQDPATVDQVIINFPKHFLCTPIQILQDGLIPLKHDHQLKTGQIYFMLPNSTLKFNASPMDLVSLTKKLTKIAKTSRCLPKLVPTSPLASPLWDPKARSPNRGLALRCVGDGMEKMAVSVPKSPPWKPNLTMIRERSVDQRGESLGDEHFGDFDHV >KVI11857 pep supercontig:CcrdV1:scaffold_455:179829:183883:-1 gene:Ccrd_009738 transcript:KVI11857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSSRPELQAPPEIFYDDVEARKYTSSSRIVGIQAELSERALELLALPDDGIPRLLLDIGCGSGLSGETISENGHQWIGLDISRSMLDIALERETDGDLILGDMGQGLGLRPGLIDGAISISAVQWLCNADKSCHEPRLRLKAFFGSLYRCLGRGARAVFQLYPENNAQRELILGFAMRAGFAGGVVIDYPHSSKKRKEYLVLTCGPPSLSTATPSAIGEDGNSCSDDESSGDEENQTVCISDRHRPRKKQKLTKKGKTRAWVLKKKEKMRSKGNVVPPDSKYTARKRKAHF >KVI11846 pep supercontig:CcrdV1:scaffold_455:222641:223227:-1 gene:Ccrd_009742 transcript:KVI11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MAECDDGSKSCRMDVVVDGRQRLDNDGSKFSSMKTYLGNVLSIPYGQANSDQHKEMPLSQAAKMLHDFVGGATTEEHFRGLVGWVELHRPKPTVAKIYTTMEEEDGEARVVSYLLDIDFSNLYYGNRKQ >KVI11843 pep supercontig:CcrdV1:scaffold_455:230669:239998:-1 gene:Ccrd_009744 transcript:KVI11843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MKHLFVRSSRTHSQTIGLGGSFFKKKGTFFLKPYLLLSMKNPYTTGVCRIFVLIPFLYWVESVNGIGANWGTQSSHPLPPETVVKMLVDNGIQKVKLFDADYGALRALSKSRIEVMVGIPNDLLATMATSTKAAEKWVSKNVSTHLSNSVNIRYVAVGNEPFLATYNGSFLRTTFPALQNIQTALVKAGLANRVKVTVPQNADVYESSTGVPSGGDFRADIHDYIFQITKFLNDNGSPFTVNIYPFISLYIDSNFPVEYAFFDGQATPVNDGGTTYTNMFDANYDTLVWALQKNGLPNMGIIVGEIGWPSDGDRNGNNEYAQRFMQGFMTRTAGGKGTPMRQGPIEAYLFSLIDEDEKSIQPGNFERHWGVFYYDGQPKYSLNLGTTTTGALVPARDLKYLQRKWCVLKDSAKMDDPSISQSVSYACALGDCTSLGYGTSCGGLDAQQNISYAFNSYYQKNNQLDEACKFPNVSTVTKDDPSTGTCRFGIMIEPYYSGAHKLLGSGGYVAGLALLLLTVLIRTKAAGFAPLASDGLKIWTSSLIRVAAECSPVHPIQVPWSSIIIGALHTLKEQELKTSQSRGGVHSPHSSKTHAAEVEEERYGCWLR >KVI11854 pep supercontig:CcrdV1:scaffold_455:106532:114461:1 gene:Ccrd_009733 transcript:KVI11854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPDATEKLHQGSPVVSGIQDLGLHKMALREKGPCDEEYELHVLIENEEKIWLKELENITLGNRERFGMFEHLLDDAYAVHQPTQREYQQRKELVQVFNRIARELYGKSVDCPTVEEFGSFSMGLFTSESDLDLSINFRNSANVSSRYQVIATLRRFKKKMYALKDEGLVSDILPILNAKVPVLKVVDVASGIECDLSVENRDGISKSKIIYMISSLDERFQKLCILMKTWAKAHGVNSPKDRTLSSFSIVLLVTLHLQTREPPILPPFSAILKDGEDLEMVKKYVERFENYGRRNTESLGELFFSFLIKLASVAKIWPKGLCASPYHGRWISKTWTRIASISVNFLLVEDFTDHTQNVARAVGSEEADVIYGRIVLTIRSLSSFMNGHINESDLKESLFGDAGISRNTVQSVMISKPKERRNQQDMNINSFQNRKRVVTSDGWEVQATQNSTTRIRPPTVGYWRPKQNQDLTLPVNNDTAPETPQAVQPVMPVDLQSTKKTQGSDSSKLGGIPEATQSWGGLGIGDWGGLGSGQSWEGPSSYNGFWGGF >KVI11851 pep supercontig:CcrdV1:scaffold_455:152785:158124:-1 gene:Ccrd_009736 transcript:KVI11851 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-isopropylmalate synthase LeuA, allosteric (dimerization) domain-containing protein MTTKEKLDIARQLAKLGVDIIEAGFPASSEADLEAVKLIAQEVGNVEGGHVPVICGLARCNKNDIDKSWEAVKHAKFPRIHTFIATSEIHMQYKLKMTKEQVIEKATSMVAYARSLGCNDVEFSPEDAGRSEREFLYEILGEVIKAGATTLNIPDTVGYNWPREFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLEVVMTIKCKGDVLGGLHTGINTRHIVMASKMVEEYSGLQVQPHKAIDGMLKNKSTYEIICPEDIGLHRCNESGLTLGKLSGRHALKSKLFELGYDIDGKELDDLFWRFKSIAELKKVITDDDLVALVSDEVFQPQVFWKFGDVQVTCGTLGLSTATVKLLDKDGIEHIACSTGTGPVDAAYKAVDLLVKTPVELLEYSMXAVTGGIDAIASTRVLVSSENNHIATHASSGEKIARTYSGTGASMDIVISSVRAYVGALNKMLGLKKHSES >KVI11844 pep supercontig:CcrdV1:scaffold_455:194476:194835:1 gene:Ccrd_009740 transcript:KVI11844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFNVDPICPTLAGRHPPRSLFAKTSTDTGELPKFGGIPNLNRLSFKKTASRSLSNSLTGTDPSNSLNRRSKNFNTGIDKTTVGKTPTKRLLLISSSWRSLSFLKVAGTVPQNRLELM >KVI10561 pep supercontig:CcrdV1:scaffold_4550:5876:7732:-1 gene:Ccrd_011038 transcript:KVI10561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase, central domain-containing protein MATFATPTTFTGLPATTTKKLPNSPFFSTSXTRSKQTHRTFKVSCNVADENEKRLEAEKPTLLEGLNLDRRNLLLGLGAGGLYIPAAFAAPITTPDISTCKASVEGFFNLKDRIRTNACCPPLPLPGIKSVKKHVLPRNEVVRLRPAAHRVTPEYLDKYQRAMAAMRALPDEDPHSFVQQAKIHCAYCNGGYFQEGYPDKELQVHNCWLFFPFHRWYLYFFERILGKLINDPTFGLPYWNWDNPSGMSMPGFFEGKTLPSNDPAITPPLNPAFDAFRNVDHLPPAILDIDYSNTASADFTCVQQIGSNLSLMYKQMIANSPNSETFFGGKFIAGDAPISIKNSKGPIESGVHTAAHIWVGNPRMPNNEDMGNFYSSGWDPLFYTHHANVDRMWKIWKDLKGPDYPDHTEPTDPDWLNASYVFYDENKELVRVYNKDCVQMENLLYEYEPSPLPWLRSRPVGRNKNSNVAAKSSGKVKKVEETKFPLKLDGIVKVLVKRPTTNRTKEDKKKAYELLYVNDIKFDGEKFVKFDVFVNDLDDGTPCSPQDSEFAGSFSQVAHLRGHKMLMTSGVKFGLNELLDDIEAEADEYILLTLVPREGCQEVTIGEIKIALVPSSAN >KVI10560 pep supercontig:CcrdV1:scaffold_4550:24173:26059:1 gene:Ccrd_011039 transcript:KVI10560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase, central domain-containing protein MASSILPFTSACTQTAATSTNTFLTPSSTFLSNSKPFSHRSSKNQNHQGFRVSCNVAPADHDDDNNNPKSLIIPATQKLILPNVDRRNLLVGLGGLYTTANFASLPLALAEPITAPNISSSCKTAAMGIGNMKDARILGKLIKDPTFALPYWNWDNPTGMVIPAXFEEGGKGTKDNPNSLFDAYRDGRHLPPEIVDLNYSAETDATCIEQIGINLATMYRQMVSSATSDTLFFGGKYVAGDAPVANGAKSIGSIEAGCHTAVHRWVGDSRMPNNEDMGNFYSAGYDPLFYIHHTNVDRMWKIWKDLGIPGHSEPTSSDWLNASYVFYDENEELVRVYNKDSVKIENLKYGYERSEIPWLKGRPVPRSKNSKIASKSIGKVKKVQDVKFPVKLDKTVEVLVKRPATNRTSADKEKTTEVLLINGILFDSEEFVKFDVFVNDKEDGRPSSPSDSEFAGSFSQVPHSDMNKMLMSSAAKFGITELLEDTEAEGDEFVLVKLVPKVGCEDLTISEIKIELVSNA >KVI04408 pep supercontig:CcrdV1:scaffold_4551:17649:20991:1 gene:Ccrd_017278 transcript:KVI04408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEQAHYGEELRYTKSQKLNDNRSMPGDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVDQDGKTIKLQIVRWDTAGQERFRTITSSYYRGAHGIIIVYDVTDLESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLAESRVVSFDTAKEFADEIGIPFMETSAKDATNVEQAFMAMSADIKNRMASQPSTNKRPPTVQIKGQPIGQKGGCCSS >KVI01510 pep supercontig:CcrdV1:scaffold_4552:10823:19500:1 gene:Ccrd_020218 transcript:KVI01510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLKILSWNVNGMGKESKRRWVKNAGQENKISFLCLQESKMVIQQDWQVASVWGGPQFQFAAIDPVGHSSGLITIWNDSLFQAQCVEKKEGFIAVMGSWLASKLTMGVINVYAPQDSKRKRLLWTELLGTLKKYSGVAWVICGDFNEVRTADERKGSNFDPMGEKFFNDLITSAGLSDIPLGGRKYTWMNRECSKLSKLDRFLRLSRKLRYLKRCIKEWRERASRDIKADCELLKLKIAAIDFLAESSSIDMSIVNERANLLVKLNDLVANQVSDLKQKAKSRWTAMGDENTSFFHGLLNSRRKSSRIHGLNINDDVFSGNGRGKKLNRVAWERVLSDKKSGGLGIGSLRALNLAMLAKCWWRERTQVQATWNAAVSLCNSLQFVSRNSRSRRTVWSNIKSIETDLGDIGININSLMINTPDRSGWSWLLEANNIFSVRSLRRLIDCVMLPSMEQETEWLKWIPSKANIHLWRTLNNRLATRDNLVKRGVTCSSDECSMCLVTMENLDHVFATCSTTKVINAHMASWVNWWPATENSVRDMWSVVCEYGDSCRREVGKDAIDDGHEDIMDDNKQFNDDNTMSSRSGEKRANIEIDAIRVMDTKAARSEHLILI >KVI01511 pep supercontig:CcrdV1:scaffold_4552:3196:3955:1 gene:Ccrd_020217 transcript:KVI01511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQSGCQWDDVEHKINCEKQWYDDWCKTHKNATGLWNFKFPYLXKLDLVWGRDRATGLKAEDISQACEDSSNNKNVFLCSSDSEGEQETNAEGSPNSSTTIKSKKRKRLSPRREIYKNKKSPSLQSTFDTSDEKMQEVMSELLSIGISTGDVGKALEICYNEPTKVKVLFTLPTHMRRSYVLGFLYPVNE >KVI01509 pep supercontig:CcrdV1:scaffold_4552:31447:34314:1 gene:Ccrd_020219 transcript:KVI01509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKDIMKLTQNSGDAGFNSKLSFLVLFVNLVVECSRMGCCNFGFLSRIENEDMISEIDWCKYIYGKNKNKKRYSMEIKDEGFGLLPLRSTLESSQDIQPYNASNQQNVGETSTPTKPNKEVKRSYADVTPLKFGLGLSPIKQPKPVSMVRREDMEGHSLTRKGKGIEISSPMKRCNVEAFDNANEQKLKRVTRRELKLGDHLRSPYVIKAVDMNVFFPLLDKGHYYLVVFNLKNPSIVVIDNRYREVSDDDHILQMYDFITDILVND >KVH89309 pep supercontig:CcrdV1:scaffold_4554:20845:21895:-1 gene:Ccrd_008703 transcript:KVH89309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGYNGEKGLFSHLVGYGGGGGHYPPQHGTYPPQGYGYPSGGYPQASYPPYGGYPPQTTGGYPPVAYPGSSAPYHHSAGGAAAGAAAYGAHHGYGSHGHGSYGHGSHGYGGYGQHHGKFKHGKFGKHGGGKYGNHKMWK >KVH89311 pep supercontig:CcrdV1:scaffold_4554:24775:27400:-1 gene:Ccrd_008704 transcript:KVH89311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDGDQNGQDKGLFGNLAGYAAGGGHYPPQHGGGYPPQGGYPPQHGGGYPPQGGYPPQGGYPPAGYPSSGGYPPAGYPPSGGGYPPAGYPPSGGGYPPQGYPPAGYPGQSTSHHSGGHGPGMGTVLAGGAAAAAAAYGVHHLTSHGHGSHMSHGAHNMMGPMGHYGGGKFKHGKHGGGKYKHGKHGKHGKFGKHKGKHSGYKKWK >KVH89310 pep supercontig:CcrdV1:scaffold_4554:18264:18762:1 gene:Ccrd_008702 transcript:KVH89310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSTLVHLIMSFVCAAQQVEKLLSKVDQSPKKPMLDALKPSTKALIQDGLSRHSDVDVKVAVASCISEITRITAPNAPYTDDQMRRASILETVSKVRSCVIMLDLECDGLIVEMFEHFPKSV >KVH55649 pep supercontig:CcrdV1:scaffold_4556:3644:25562:-1 gene:Ccrd_025686 transcript:KVH55649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme, C-terminal MEMEVRCGGDVGDGGLLRWKWRWLKEDDGDEGGLKKMMKLFCHRLMKEKISMKIAIEGCMHGDLDNVYATLLHLQEAEKTKIDLLICCGDFQAVRNEKDLESLSVPPKYRSMNSFWKYYSGEKVAPFPTIFIGGNHEASNYLWELYHGGWAAPHIYYLGFAGVVNFGSVRIGGLSGIYKSRDYHLGHFERPPYNNSDVKSVYHVREYDVHKLMQVEEPIDIFISHDWPLGITDHGNWKDLVCDKPHFEKEIQDRTLGSKPAAELLEKLKPSYWFSAHLHCKFAALVQHEDGGPVTKFLALDKCIPRRKFLQVQFDILPQLLFNSIIEVESDPGPHEIQYDEEWLAITRRFHSVFPLTAKRANFGCLQLDMEECRRWVRSKLQSRGTKPFEFVRTVPCHNSSETVANGHNRNPQTEALLQFLELPYILDENEPAHSPALSISRAAGPIDYDSEDGPVEDVDEIELPEEDDEES >KVH98806 pep supercontig:CcrdV1:scaffold_4557:28999:36185:-1 gene:Ccrd_022966 transcript:KVH98806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MYVCIASFMIIGNGRRNLKQRITSSSKAPLFAFLNQSTLTCLHSQKHSYTNVYMKWKKDSYFDSIESIHKSIELKPIIALKNCITASPEGRIPISDVSKKGLQLDIPIKVARFLRLYPSVFEEFTGPKYNMPWFRLTPEAVMLNDEEQAVYRDYKADLQERLKKLILMSVENRLPLKVIQGMLWYLGFPDDYVRNPEGNLDGCFKVVEMEDGLKGLAVVKSEKVLSVLERNALRSGVDNGGQMDAISIPLFPSKGLRLRTKILDWLDGFQRLPYVSPYEECPDLYPDSDVAEKRVVGLLHELLSLFVEHSAQRKKLLCLRKYLGLPQKVHKAFERHPHVFYLSLRNKTCTVILKEAYCDKTAIETHPLARVRKRYIDLVKESKVILKNRRLQNRSFCSGDENLRLNSGEDDTHNAIAREFLAEENEKKQNAIKENQTAAKPDVDDVKEQIIPITSSFRVSKEAKRRRGNNCVY >KVH98805 pep supercontig:CcrdV1:scaffold_4557:37034:41917:1 gene:Ccrd_022967 transcript:KVH98805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLTPSKRPQDRGASEGNGKGKWQKSAYFTSQDTSFKIAPGSTVFRVLCPASKAGSVIGKGGDKISQIRQETGAKVRVEEIVPGCNERVVVIAGSDEDNQRKNADEGTKAVLETEDTDKTKEHDEGSEHKQSVAGEDLPSEKVASSVQKALFLVFERMFGGEPGMDGGNEDGNKEATTCVVRLLVLSSQVGCLLGKAGSVIKQMASESGSQIRILPRDKLPACASPSDELIQLSGDMDAVKKALQSVSQQLLDHPPRDDDSFSANPSGLSHSFGNSLSRPDAYPAFPHGRPLAAPPADGVGGAFGRMGLSQEMLTYRLICPDEKVGGVIGKGGSVVKALQQETGCDIKVLELTADSDDRVIVISGPSHPDERISAPQDAVLRVQTRIIRAAPENKEQGPTGKIIVSSHQIGCLLGKGGAVISEMRKSTGAYIRILGKDQTPQYAARNEEVVQINGDFDAVHEALLQITMRLRSHFFRDAFPSINHPPNSSFPDHGPPFPPYMRREVSPPGRYSSFTQFDAGMPPHGGFHPHDDHPPFMHDLHRPGFSHHMPERLPASAPWGPPCVEGGGPLGFPEFSGVPQRRLGGFGGGNHQAIITSTTVEVVVPRSVVSAIYGEDGGCLKQIREISDAKITITETKGGGKETLIIISGTPEQTHAAQSLIQAFVISETETC >KVH55241 pep supercontig:CcrdV1:scaffold_4558:12941:17183:1 gene:Ccrd_025687 transcript:KVH55241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHVTKHFHHIKIQLEAITSATNNFSEDNCIGKGGFGEVYKGELIHSERQTVVAVKRLNRAFGQGDPEFWKEIIMLSRYKHENIVSLLGFCDESGEKILVYEYASKKSLDLYLNSEDLTWIQRLKICIGAARGLAYLHNPGGTQQRVLHRDIKSSNILLDENWNARISDLGLSKFGPANQQITFLVSNAVGTIGYCDPVYVETGVLTKESDVYSFGVMLFEVLCGRLYNCIGKGGFGKVYKGELIHSKGQTMVAIKRLNSAVGQGDPEFWTEIIVLSRYKHENIVSLLGFCDESGEKILVYEYASKKSLDLYLNSDDLTWIQRLKICIGAARGLAYLHNPDGSQQRVLHRDIKSSNILLDENWNARISDLGLSTFGPANQQITFLVTDAVGTFGYGDPVYFKEGVLTKESDVYSFGVMLFEVLCGRLCIRNNNDKHQPLARQCYEQNKVKEIIFRNIKDEIKARSLKAFTAIAYQCLKEDLEERPLMTKVVRILERALEHQQGDANSPTDYDSDDEDIVESDVSTLDGGTSRNYVKEKRLTMNMRLKKLRERVKKQQEEVEEKASTFTDSFFHYN >KVH55240 pep supercontig:CcrdV1:scaffold_4558:29628:32455:-1 gene:Ccrd_025688 transcript:KVH55240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13e MLSQTGTSRSIGRTMLRHGSTNLPAKQGDAMVARQAKAVKVFPRPAGALRPQVHGQTLKYNMKLREGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTFKAKLVIFPRRARKTKAGDSTPEELASATQVQGPVLPIVREKPSAEFVKVTEEMKSFSAYAKLRVERTNKRHLGARLKRAAEAEKEEKK >KVI03974 pep supercontig:CcrdV1:scaffold_456:157116:159009:-1 gene:Ccrd_017717 transcript:KVI03974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MSNMTGDEASFSSGEEGDDANKHLATHFHASTSLPPPPPPPPPPKKKRNLPGTPDPTADVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSTEIKKRVYVCPEPSCVHHNPARALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALTEENNKVNQGMLQHQHMSDHLMSSKSIDSGTNDLMGLPDFNNYDLKNPIKPLPQDLVPMSFKPLNMSGGMFSSSSGALSGNPRGGSSSSSGLQLSSSNGPLGYGYFQQDTSKGGGLLSGPAGHMSATALLQKAAQMGATASNGINSPMMQKSYVTSMVGPDQLNSPGPRPTLPYGLQRVGPYDNFHIQPNQTAIGAGGRINVGGEGYNPLQKPNPQDMFGSGLGPSLNPNLGSNEMGIYGELLMRGDQSHGFVKNIENHEDGSNGNSVLIQGRGNPTMGRSPSIVGGGGGGGNDTLTVDFLGIGGSRSLTIQEQQQRLSGFEAASQVMNPFQQQLINEDSSAIEKPIWDE >KVI03976 pep supercontig:CcrdV1:scaffold_456:175915:179875:-1 gene:Ccrd_017719 transcript:KVI03976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDNDKKHVDKRAEWLQTEQSSAAGDVFGEPQKTPRIGDEYQAQIPSLMKESELLQSGLPVCHDAKTDVQNQFEFGLSIPIIWVSGLLGSGNDTDLLPVPGSSGESWNVIEHDSFLLGLYIFGKNLRIVNKFVGNKGMPNVLSYYYGKFYRSGEHQKWSTYRKKRSRKSVPGKKIFNGWRRQELLSRLLPNVTDECKTSLTQMTRTFEEGNLSFEKYVLTLRDTVGINLLVEAIGIGKEKRDLLTSRAKKPLKNKKLHAACSSLETEEILNLLKDGIGLSKERLNDLFWEAVWPRLLARGWHSEQPRNYLFQKSKHSLVFLAPGVEKFSRRSLERGSQYFDSFTDVLHKVASEPQLLDRQPDQDQVXHQCAKQGSDDKQDLMKYTIVDTSLVGAVKVKELRSLPVFEPADIQASSSVSGDNEPETAEESRNKDANHGGVDSPDSETMVNHLVPVVSRIEDKKMDISTNGQSVRKLKLIFKPKAKRLRFTNLAEPILENSKPMNTRNENSNGEDEATEKKRKSIVIDLNNPRVAPGLDGDGSLLSTKPSVSSEPTSNHGANTDQYPVTNGQRQSTRNRPLTTKALEALANGFLNPKKKRRGLEERSRRRVRAKTALVSSCGARFIENRVEGVFSGSSHMVIGAEISEACEEKDGGDQRPVGRRRRETATGDGDRGTCWKKNTDDRVWGGAI >KVI03994 pep supercontig:CcrdV1:scaffold_456:259820:263556:-1 gene:Ccrd_017728 transcript:KVI03994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MRRAGDVCFSQVFKEGGGTTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRGVIRVKEYDSSRSRSRSRSRSKSYSRSRSRSRSKSPKAKSSRRSKSRSRSASPRSRSGSEPRPSASAQSGLVKVPKDAVLVRAGVRAEAGVVAGARVMKLVLVDGLVLKWSGKGCFGAKKLA >KVI03987 pep supercontig:CcrdV1:scaffold_456:214029:221183:-1 gene:Ccrd_017724 transcript:KVI03987 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MEGDGSAXTSPSIKPINKSAVHRICSGQVILDLPSAVKELVENSLDAGASSIEVVLKDYGEESFQVIDNGCGISPNNFKVLALKHHTSKLTDFPDLQSLTTFGFRGEALSSLCNLGDLTVETRAKSEKVATHLTFDHSGLLTDEKKTARQVGTTVTVKKLFSNLPVRSKEFHRNIRKEFGKLITLLNVSHCCVKSLFAAYALTAKGVRMVCTNTTGRNKTSVVLKTQGSGSLKNNIITVFGVSTFTCLEPVNLCISDDWKVEGFLSKPGNGSGRNLGDRQYFFVNGRPVDMPKVSKLVNELYRCANSKQYPMAIMNFVVPTRVCDVNVTPDKRKIFFSDEGSLLKSLREALVKIYSPEHVSFTVQSSEELSLEGNNSKLCSHHDKSFSQFSLKQASPNGSDSKREAYGEKQLTEISSVVNEGEQTLRSSSAVNLIDVDDYSLSKRDFTLKFHGMKKADTFSGSYSRKHTIVSSNATNDRQAPLYNSTMQKNVAEGTESPCSSGAVQSLLTTFVTVNKRKHESISNTLSEVPVLRNGPTLRQSVNQNFNLHSKYAKSPXNNHMVDDSEVERNESKPSNXTLLDEHYDDLDVIPSTIIKNSGQLEENKITLEKPAPVAKSVLDASSPKKINEAPEVLNLSSPLHSXQPASDAPVPSHAKMCYTVKFSFEELKKRRQQKLSALQASKNTPGKSKTKGCYAAATLELSQAVKEDAKARALSAATMELEKLFKKEDFGRMKVIGQFNLGFIIGKLDQDLFVVDQSNAFFFCQHAADEKYNYERLSQFTTLNQQPLLRKNGFSLEEDVDAPPGRRYRLKGVPFSKNITFGVADVKELISILADGEGECSMMGAYKMDTADSVCPPRVRAMLASRACRSSVMIVEHLMGLRSPWNCPHGRPTMRHLVDLASIFEVKLDSLGKL >KVI03973 pep supercontig:CcrdV1:scaffold_456:145792:158010:1 gene:Ccrd_017716 transcript:KVI03973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MGFRYFNGNLVRFYKLSTRSIPSSPAHKKLFYPLIPCLYDRSSFAYPENPSFXIPKRWHGGHSHHHHDQTSQEGERIFRLGLAADIGLTAGKALTGYLSGSTAIIADAAHSLSDVVLSGVALLSFRASRVPKDKEHPYGHGKFETLGALGISGVLLVTAGGIAWHALDVLVGVLSAASEAANQSLPHQNVHSHSHVGGGHYHGIDMSHPVLALNMTIVAIAVKEGLYWITKRAGEKAGSGLMKANAWHHRADAISSLVALIGVGGSILGVRFLDPLAGLGCRHLRGRRAGSSLYLDVKIEVDPFCSVSTAHEIGETLRYQIQNSHPEVSEVFIHLEPANKHFKPAMVAQKKMDVQHVRKRMLQGKVLVEVEVSMPPDGLISDAMEVAEEARKHILQATSNSIQVSSFQLKLGVNDLDPPIPRKSTVNVSLPPPPPPPTIDGLLPMYPYPNGPFDELSCSPEDDEDPPLGFPERAPELLENMPPDIFNGLNDIGTRS >KVI03989 pep supercontig:CcrdV1:scaffold_456:233137:240008:1 gene:Ccrd_017726 transcript:KVI03989 gene_biotype:protein_coding transcript_biotype:protein_coding description:SART-1 protein MDLERSDARCGRSVEDRDQDXSPMGERWKGGYDDLEDNGNDKSRESKHRSKEKSRSSRREDKDHRSRDREKSKISDTSKERDKESKGFVKDFSRDKRKEEGDDREKDRTRDKVRAKENDRDKDHEKEEVEKDGDRGQDKERGKEKSRDRERGTDKGKERTREKERERKKDREKERETHGDRDREKTRERERERDGDYDKDRSRERDKVSRKQHMELHKDLGKDDKLTSDSEDGQYRGTGKHDMGSHRDKDATKILKHEVNAEGEHAGSQQSASELQDRIMRMKEERLKKKPEGGSDVLSWVSKSRKLEDKRNAEKQKALQRSKMFEEQDNATQGEDEDEEATRSHTSHDYASLCFFFYFLSIPYWRQWGMSKIILFLLNTDLSIEIVLKLCGTTLADDLAGFKVLHGLDKVIEGGAVVLTLKDQSILANGDINQEIDMLENVEIGEQKRRNEAYKAATKKTGVYDDKFNEEAGVGKKMLPQYDDPVVDEGVTLDERGRFGGEAEKKLEELRRRIDGASVTTRFEDLTTSGKVSTDYYTSEEMLKFKKPKKKKSLRKKDKLDIDALEAEARSTGLGTGDLGSRVDGKRQALKEEQERSEAEKRNKAFQSAYVKADEASKALRLEQTVTXQKEDGDNLVFGDDDDDLHKSLQRARKVALKRQHEGTQSEAIALLASSTTKNSDADPGSGESQENKVVFTEMEEFVWGLQLDEGMLFFKCLSFIVLFFLCFSEAHNPDAEDVFMEEDVVPASSDQEQKGKDGGWAEVKDIVEDEKMADEEEEVKPDETIHENTLGKGLSGALQLLKDRGTLKDTVEWGGRNMDKKKSKLVGLVNDNDDKKEIRIERTDEYGRILTPKEAFRLISHKFHGKGPGKMKQEKRMRQYHEELKVKQMKNSDTPSLSVERMREAQSKMKTPYLVLSGHVKPGQTSDPRSGFATMEDFPGSLTPMLGDRKVEHFLGIKRKSEPGDMGPPKKPKT >KVI03988 pep supercontig:CcrdV1:scaffold_456:243105:258740:1 gene:Ccrd_017727 transcript:KVI03988 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPSWIASLSCSPSSLLPDDVSGIPFFLSPCPXRALLSAIDLLFLLILFFFAMQKLYSKLISPAEPDQPLLTKTTPHALTNLRFNLSLLFTPILALLSSLLSILAFTHNTHMPWKLIDATCWFIQALTFLVITLFIIHEKRFQALTHPLFLRVFWTLNFILIALFASSATLRLLSGKGNTPENIISLISLPFSLFLFIVSITGSTGITVATASESVNXNGEGSEEDDDDSTKSSKVSGWASASIASKVFWLWMNPLLTKGYTTPLKIDDVPTLSPDHRAEKMSKLFEQKWPKPGENSKNPVRTTLLRCFWRHIAFTAFLAIVRLCVMYVGPLLIQRFVDFTSGKTTDPYEGYYLILILLVAKFIEVLASHQFNFHSQKLGMLIRSTLITSLYKKGLRLSCSARQSHGVGQIVNYMAVDAQQLSDMMLQLHAIWLMPLQVLVALAILYSYLGMPTVVALIGLLVVLLYVIFGTKRNNRFQFSIMQNRDLRMKATNEMLNYMRVIKFQAWEEHFNKRIQTFRESEYGWLAKFMISIGGNMIVLWSTPLFISSLTFGSAILLGIPLDAGTVFTATSLFKNLQEPIRTFPQSMISLSQAMISLGRLDGFMLSKELDEGAVERQEDCSGSTAIEVTDGSFSWDDEAAEGGVVNXLNFKVKKGELAAIVGTVGSGKSSLLSSIIGEMHKISGKVRVCGSTAYVAQTAWIQNGTIQDNILFGLAMDGQKYKQVIKNCCLEKDLEMMEXGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKVMRDGMIVQSGKYDELLESGLDFKALVSAHETSLQLVEMHATTSEIASPRPLQKSPAANTREENDENQKVLERSKSSSIIGTSKLIEEEERETGRISLNVYKVYVTEAFGWWGVIIVLFFSLTWQGAQMASDYWLAYETADDQAASFNPLLFIQVYTAIAGVSFLLVFGRVISATLLGLKTSQIFFKQILHSILHAPMSFFDTTPSGRILSRASSDQTNIDIFLPFTTSLTLSMYITVIGIIVITCQYAWPTVFLLIPLGWLNFWYRRFFLATSREITRLDSITKAPVIHHFSESISGVMTIRCFRKQDRFVQENVDRVNGNLRMDFHNNGSNGWLGFRLEFIGSLFLCVSTVFMILLPSSIVKPANVGLSLSYGLSLNGALFWAIYMSCFVENRMVSVERIKQFTNIPSEAEWVKKDSPPPPXWPSKGNVELKDLQVRYRPNTPLVIKGITLSIRGGEKIGVVGRTGGGKSTLIQVLFRLVEPSGGSITIDGINISTLGLHDLRSRFGIIPQEPILFEGTVRSNIDPIGQYSDEEIWRSLERCQLKDVVASKPGKLDSAVVDNGDNWSVGQRQLVCLGRVMLKHSRLLFMDEATASVDSQTDAVIQKIIREEFAECSIISIAHRIPTVMDCDRVLVIDAAKMTSASSWLTSLSCSSTSVVPVDASGLPLILQWLRFIFLSPCPQRALLXSIDVLFLLILFFFAIQKLYSSHXTTSAIDEPLLTKKRPLVQTNLQFKLSLLVTAILAVISIASSVLAFTQNTQITWKLIAGICWLIQALTFLVITVLIIHEKRFQAVTHPVSLRVFWVXNFIIIALLASSSLIRLVSGTGNTPVLKSQDMVSLVCLLLSVFLLIQSITGTTGVIVTTESESLNGNGKVSDADNLNKSPKVSGWASASILSKVFWLWMNPLLKKGYRTPLKLEDIPTLSPEHRAERMSKLFEEKWPKPHEKNKHPVRTTLLRCFWKQVAFTAFIATVRLCVMYVGPLLIQRFIDFTSGKSSSPYEGYYLILILLSAKFIEVLASHQFNFHSQKLGMLIRSTLITSLYKKGLRLSCSARQSHGVGQIVNYMAVDAQQLSDMMLQLHAIWLMPVQVTVALAILYMYIGLPAMVTLVGLLAVLLYVIFGTKRNNRFQFSIMQNRDLRMKATNEMLNYMRVIKFQAWEEHFNKRIQEFRESEYGWLTKFMISIGGNMIVLWSTPLFISSLTFGSAILLGIPLDAGTECVRGSLRNKTILLVTHQVDFLHNVDLILVMRDGMIVQSGKYDELLESGLDFKGLVSAHETSMQMVQTEATTSENTSPRPLQKSASHNTREVNGENEKALERSKSSSIIGTSKLIEEEERETGRISLNVYRVYVTEAFGWWGVIVVLFFSVVWQGTQMASDYWLAYETSADRAASFNPSLFIEVYTAIAGVSFLLVFGRVISSTVLGLKTCQIFFKQILHSILHAPMSFFDTTPSGRILSRASSDQTNIDVFLPFIMSLTLSMYITVIXIIIVTCQNSWPTVFLLIPLGWLNFWYRGYYLATSREITRLDSITKAPVIHHFSESISGVMTIRCFRKQERFVHENVERVNGNLRMDFHNNGSNGWLGFRLEFIGSVFLCVSTVFMIILPSSIVKPENVGLSLSYGLSLNGVLFWALYTSCFVENRMVSVERIKQFTKIPSEAEWVKKDSPPPPNWPSHGNVQLKDVQVTYNYLLVEPSGGSIIIDGVNISTLGLHDLRSRFGIIPQEPILFEGTVRSNIDPIGQYSDEEIWRSLERCQLNDVVAAKPGKLDSAVVDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDGVIQKIIREDFADCTIISIAHRIPTVMDCDRVLVIDAGKLCERIRQTFAVDGKGFSVWGIGTRICEPVVGLVNNCSFDDEWKCSSLKKQSRMGRVRNKSGKLEIIVQKMETPQKPLMIVGLDDSDHSFYALQWTLDHFPNSPFKLLLLHSKPSPTSAIGFAGPGAADVFPFVDSDLKKIAARVVEKAKELCHSKSVDDVNVEVVEGDARNVLCEAVDRHHAAMLVVGSHGYGAIKRAVLGSVSDYVTHHAHCTVMVVKKPKTKH >KVI03993 pep supercontig:CcrdV1:scaffold_456:208007:212287:-1 gene:Ccrd_017722 transcript:KVI03993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase, ALG3 MAIASLPKRKPPKTRSDTLIQKLYHNPKTLFALAVFIADAVLTXLIIAYVPYTKIDWDAYMSQVNGFMEGERDYSNLKGDTGPLVYPAGFLYIYSAIQFVLFGFLYIVNLGIMLFIYVKTDVLPWWALTLLSLSKRLHSIFVLRLFNDCFAMTLLHASLIPLLYQKWHLSLIIFSAAVSVKMNVLLYAPPLFLLMLKXMDIIGVISALAGAALVQILLGLPFLLSYPIAYVSRAFNLGRVFIHFWSVNFKFVPEPIFVSKEFALILLVAHLGLLTIFVHYRWCKFLKMQLKTGITSSLPLNRSYNSLSGLKLKKEXIVTTMFTGNFIGIVCARSLHYQFYSWYFCXLPYLLWRTPFPTILRLLLFAGVEFCWNVFPSNLYSSILLLWLHIIILWGLWMAPPEYPYEKDAAQVGKKAK >KVI03982 pep supercontig:CcrdV1:scaffold_456:77055:80098:1 gene:Ccrd_017709 transcript:KVI03982 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGCSFPEEVLEHVFSFITSHKDRNVVSLVCKSWYEIERWCRRRIFVGNCYAVSPKIMIRRFPEVRSVELKGKPHFADFNLVPEGWGGYFYPWISEMSRAYPWLEEIKLKRMVVSDESLELISKSFKNFKVLILSSCEGFSTDGLAAIAANCRNLRELDLRESEVEDLSGHWLSHFPDSYTSLESLNMACLSSEVSFSALQRLVARSPNLKTLRLNQAVPLEKLSTLLRRAPQLVEMGTGAYSAELRSDLYSGLAEAFSRCKELKGLSGFWDVVPSYLPAFYSVCSRLTSLNLSYATIRSPDVTKIVSQCPNLQRLWVLDYIEDSGLNAVSLSCKDLRELRVFPSDPFGADANVSLTEQGLVXVSKGCSKLQSVLYFCRQMSNSALITIARNRPNLTCFRLCILEPRAPDYLTLEPLDAGFGAIVKHCKGLQRLSLSGLLTDCVFEYIGTRAKRLEMLSIAFAGDSDLGLHYVLSGCDSLQKLEIRDCPFGDKALLANVSKLETMRSLWMSSCXVSLGACKLLSQKMPRLNVEVIDEKGDLDLIPDNCPVEKLYIYRSVAGPRFDMPSFIRIMDHDPAYKDIPSMH >KVI03969 pep supercontig:CcrdV1:scaffold_456:102988:109739:1 gene:Ccrd_017712 transcript:KVI03969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MAMVTALVSERPVVIFSKSSCCMCYTIKTLISSFGANPTVYELDEHPEGKQIEKELRGLGCKPSIPVVFIGEGLIGGANEIMSLHLKGQLVPLLLKANAIWVYLRNKLMALVTNLGAEKPVVILSKISCSIMAMVTRLGAEKPVVIFSKSSCCMSHSIKTLIYSFGANPTVYELDEHPNGQQLERELKALGRKPSVPAIFIGQELIGGPNEIMTLHLKGKLVKLLKKANAIW >KVI03971 pep supercontig:CcrdV1:scaffold_456:122591:128003:-1 gene:Ccrd_017714 transcript:KVI03971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGRSTGRNGSQPDHTQQQSEWIQPGAETGLEESMRRLGLWXGRGEFYPERPGMADCAYYMRTGTCGYGSKCRYNHPPDRSSSVIPSIICLIRYAANVGAYELFPIIIVYYLRTGTCKFGASCKFHHPRHAGGSLSNVPLNTYGYPLRPDEKECSYYLKTGQCKFGITCKFHHPQPTGESMPASARPFYSVQSPSAPSPEQYVGPSAGYRVARPPLVPGSYAPGAYGPILLSPGMVPLQNWSPYSGRVSPVLSPGAQPSMAAGSVYGVNSSAPPFAAPYRPLPPSPGPLSSSQTERVFPERPGQPECQYYMKTGDCKFGASCKYHHPPDWVLSKANCVLSAIGLPLRPFGXTCKFDHPLPGAVNYGPSDIPIAPYMLGSSSLPAVAPSVTFSERSKMDPHSSSSVGLMFGQGQTGPSGDVQISSQSSPQTR >KVI03970 pep supercontig:CcrdV1:scaffold_456:113064:116143:-1 gene:Ccrd_017713 transcript:KVI03970 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MGVVTMSELKPSISGKRSFRPSSSARHVTDWPISDVSSDLTIDVGAASFALHKFPLVSKSGRIRKMLMEAKDSKVLRLNLHNVPGGSEAFELAAKFCYGVNVEINLTNVAKLRCTSHFLEMTDEFSDKNLEMRTETFLKETVLQNITNSISVLHHCESLLPVSEEINLVTRIITAIANNACKEQLTCGLSKLESNYPSKPVLQSESENWWGKSLTVLSLEFFKRVLTAVKTKGLKQDMIARILMNYAHNSLQGLFLRDPQLVKGSFSDLESQKKHRVIVEVIVGLLPTQSRKSTVPMAFLSSLLKSSIMASISTACRSDLERRIGLQLDQAILEDILIPANSNGNTHSQLYDTDSIFRIFSIFLNLDEDDDDDNLMRDESEMVYDFDTPSSPKQSSILKVSKLLDCFLAEIAIDSNLPSSKFIALAELLPDHARLVNDGLYRAVDIFLKVNNVFLRKRYQEMILGCTVAWKLPFIGATPTQQCCVWFLYDMILRCIVVVETTIYSAVHPNLKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNHLFFGSLNTQFPQRSSSGAGSGCISPRDNYASVRRENRELKLEVTRMRMRLTDLEKDHVSMKQELVKSHPANKLFRSLTKKLGKLNTLFKFKDVRGIGSRPSSESRFLFQKRRRNSIA >KVI03992 pep supercontig:CcrdV1:scaffold_456:212983:213561:1 gene:Ccrd_017723 transcript:KVI03992 gene_biotype:protein_coding transcript_biotype:protein_coding description:TspO/MBR-related protein MEMESTTQELKHRTKDQPPITAPDNDVVTNTKPTQKRSPLPKRGIQSLCAGIAVPLALTLANIALFGWSRSYQTTHKPFWIPPLWALHLTCLSSAFVMALSAWLVWAEGGFHKTPAAMGLYLAQLGLSLAWDPIFFKMGAAKVGLLVCLAQMGTMLSCSRMFRRINETAGDLVKLCFIWAGFLTLVNLYFVA >KVI03986 pep supercontig:CcrdV1:scaffold_456:224077:226424:1 gene:Ccrd_017725 transcript:KVI03986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRSDRKPPGVGRGRGRAREDGTSRRPAQNIDGGGRGMGGGRGRGGRTGGSR >KVI03972 pep supercontig:CcrdV1:scaffold_456:137647:143725:1 gene:Ccrd_017715 transcript:KVI03972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding-like domain-containing protein MVFLLAMNIQTTIPRSISSISSQFSSRIELPTKFLFVRPFRNQKPPTGIVCMAEPYLLKKLESAEKTWKELSVKLADPDVVSNPSEYQKLAQSMSDLDEVVATYRGFKDCEKQLEESKVLSKEVDSDEEMAEMIASEIESLSNQLKVFEEKLKMLLIPSDPLDARNILLEGGEEAGLWAADLVRMYQRYSERNSLRYTPLSCSEAERGGYKTYVMEVKGARVYSKLKYESGVHRAEEVDVVIDPKEIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERSQLKNKNRAMQLLRAKFGARAEKIRTYNFKSCASMEQRELLEELADSVGAAAR >KVI03968 pep supercontig:CcrdV1:scaffold_456:93578:93886:-1 gene:Ccrd_017711 transcript:KVI03968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MEKIQSLTSENGVVIFTKSTCCLCYAVTILFQELRVNPVVYEIDQDPEGREMEKALPKQGCNSPPVPAVFIGGKLVGSTNEVMSLHLSGSLIPLLKPYQSLS >KVI03967 pep supercontig:CcrdV1:scaffold_456:90668:92616:-1 gene:Ccrd_017710 transcript:KVI03967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLAKDVAETPSCERVIYVFPKG >KVI03985 pep supercontig:CcrdV1:scaffold_456:24268:27103:1 gene:Ccrd_017704 transcript:KVI03985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVQNTNVDGKQKIMFAMTSIKGIGRRFANIVCKKADVDMNKRAGELSNAEIDNLMTIVANPRQFKIPDWFLNRKKDYKDGKNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KVI03978 pep supercontig:CcrdV1:scaffold_456:563:2215:-1 gene:Ccrd_017701 transcript:KVI03978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF926 MGYTASTVEVRQDRHRSHRDNRSYPPEPGSPSDRRNRSSRRSPDYSRYDDDSNRSRNRRSPNFSKSRNRNRSASRSRSRSPDYSRYDNPNGYRRDHRSPDYSRSRRNPSPRVEPINSNRKSTYGDQNRQYLDRDYRNGNGNGNGPESDSDEELKGASYEDYRRLKRQKLRKMLKNCIWNVTPSPPRGDGELDELELEEIAEKDVEEDREKYKDTKFELDSKTKGGSSESESDSDSESDNSLSDSDDSRSRRGKKSKSSSSRRRRRNRKSVSVSESESDDSTDNSEEEDRRKKKKMKRRSTKRKSSSSRNSKRKRRSKSRKSKDXDSEGSSDSNEREEPLKLKRSRSSSSQPKSSKKRSENGSQNSASDENSDPENDINKPKVDDAEKTEVDSELLMFKEMIESRKKPALDDEPEVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEISKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREQKVMADLQRLVQRHIGEETGPSHDPFGGRATEDPDA >KVI03984 pep supercontig:CcrdV1:scaffold_456:4298:7311:1 gene:Ccrd_017702 transcript:KVI03984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRGANAVTDSDEWLSERAENGEGFPLCPSRRLSKKEEVEPPSQSSELTFSAVKMADTKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLGRIYDVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLVRNGLDTKIEKSRKQMKERKNRSKKIRGVKKTKAGDAAKKKK >KVI03975 pep supercontig:CcrdV1:scaffold_456:169709:172293:-1 gene:Ccrd_017718 transcript:KVI03975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSNETENVAEHAKWLQTEDSSLPNDISEEKPRKSSRIGDEYQAQIPDFMTENYRLQSTSQDAKIDDHATKVESGMESGSAELISIQESVIDDQSWSESEKGSFLLGLYLFGKNFGLVNKFIGNKGIRNILPYYYGTFYKTSEHQKWSMFQKRKNKKLIPGKXIFTGWRQQELFARLFPSLTNECKVSFIMASRMYDIDALPYEKYVFTVRDKVGINQFIEAVAIGKDKQDLTIRAKKPVEKELPPISSLERQEIVNLLKYGIGLSKERLNDLFWQAVWPRLLARGWHSEVPKTYSSLQSLTKTLVFIVPGIKKFSRRGLKKGTQYYESYIDVLNKVTSEPQLLEPEPNQVDPDANHGSDHDDVMEFVIADSSNVHVGEMMVTVDDLMRSEWSDIKEDNISEKCLNEDLNEQYSLKPEGKRHHLKRFKSSKTDEKSSGEHEAVKDPSPGKKRRRLVKAITELQPELSKPTSGGGNADQRRASTPDHQMQKRKGRSSSGGSLEVVASTAGSKILEKKKRGTKEKTPMHILAETVLELATGYVTDENDDSGG >KVI03979 pep supercontig:CcrdV1:scaffold_456:54007:58847:1 gene:Ccrd_017707 transcript:KVI03979 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSFKSMLQDMKGEFGSISRKGFGSRSRSRSQQMVGDRSAAAIVDALKQSCWANMPPELLRDVLMRIEASESTWPDRKNVVSCGGVCRNWREIMKEIPGSRGSLIQCFIKRNRSAQTYHLYQSLDQGVDEGKFLLTAKRCRRATCTDYIISLNAEDVSKGSNTYIGKLRSNFLGTKFTVYDAQPPNAGALATKCHSFRPGSMKRVSPRVPAGNYPVSHISYELNVLGSRGPRRMHCVMNAIPTSAVEPGGVAPTQTEFLLSNVDSFSSLPFFRSKSARVDNSQLGSSLFAQKDAMLTLKNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASVENGGGGQEHENVILQFGKVGKDAFTMDYQYPISAFQAFAICLSSFDTKIACE >KVI03977 pep supercontig:CcrdV1:scaffold_456:16609:21219:1 gene:Ccrd_017703 transcript:KVI03977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MKLRSNRSINYEKPRSDSSRDHGQAANENKSSVNSTESPSVGAFLETHKRGRKRKNDGISRFPKLAENPARKKRRVAKKTDMKEGSKMQENVEESRVMKGLNEKQLVRDRILGILTKAGWKFDLVQRKHSNHKDPVYTDQKGRTHWSITEAYYTLKRSIEDGEAETQEISAFTPIPEEEMNGLCRISRKIXSDKNKKKKNETGKKCVRLAKRQSSVEGRVAKCVRKDGSKSXEPQNSALRIMAKKSSKVNARVAKLTGVQLDGSMSKCLQNPAISSGKRNLFAWLIDSGIISHGGKVQYRKTRKSKRVFEGTITANGIRCHCCNEIMGISRFVSHSGSKLSRSLNDIYLEYGRSLQECLLESWRKVEESNAIGFTSIDVNEDDPNDDSCNICEDGGDLICCDGCPSAFHQSCIGLQVTTVDQVARRGRRAFVSEAVSCSLCEEKFHKSCLHEGDAVNMDSISLSFCGKNCKETLFGVKTELKEGFSFTLLKRFDLDQDTESNTQLMIECNSRLAVAYSVMDECFVPIVDRRSGINMIKNMVYNCGSNFRRLNYAGFFTAILERDDEMISVASIRIHGNKVAEMPVVGTREIYRRQGMCSRLLDSIESVLGSLGIGELVIPAVPAVSKTWINVFGFTPLNESMKQTMKSMNLVVFRGTEMLQKHVPENRSTD >KVI03990 pep supercontig:CcrdV1:scaffold_456:201273:203706:1 gene:Ccrd_017721 transcript:KVI03990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTNILLLLLHLFMISAFILLPAAVSGGEFSWLSKQGGECSGSIAECMGGGELEMESESTRRILATTNYISYGALQGNNVPCSQRGASYYNCRSGGQANPYQRGCSTITRCRR >KVI03980 pep supercontig:CcrdV1:scaffold_456:39346:46847:-1 gene:Ccrd_017706 transcript:KVI03980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDPEADFVHHIALFAALLCACIVIGHLLEESKWMNESITALAIGLGTGSIILLTSGGKSSHIMEFKQEFFFIYLLPPIIFNAGFQVKKKQFFQNFTTITSFGAIGTLISFAIISFALGAIFSATDSVCTLQVLNQEETPLLYSLVFGEGVVNDATSVVFGLLTKPIVKLLMPQSSSVDHSQPSSPTTSIMMPLLGHSIHVLETEIRDDDDDDDEENVPITTSLHILFNNPTNTIHHYWRKFDDAFMRPLFGGRGFISYVTGTRDVTLI >KVI03991 pep supercontig:CcrdV1:scaffold_456:189855:195918:1 gene:Ccrd_017720 transcript:KVI03991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAIKVFGAPGSTATLRVRACLAEKDLDYEFILVDLATKEHKKPEFLPRNPFGQVPAFEDGDLKLFESRAITEYIANAYADKGNDLISKDVKKMAIQSVWMEVESHKYEPVTSMLFVELCLKPMWGMPVDEAVVEENEKKLEEVXDIYESRLSESKYLGGDSFTLADLHHLPNLKYLMGTKVKKVFEARPHVSAWAXEILSRPSWTSLQLIMAIKLYGAPGSTATLRVRACLAEKDLDYEFVNVDMGAKEHKKPEFLSRNPFGQVPAFEDGDLKLFESRAITQYIAHAYADKGNDLIIKDVKKMAIQSVWMEVESQKYEPATSKLIWELCLKPMWGMPVDEAVAEENEKKLEDVLDIYESRLSESKYLGGDGFTLADLHHLPNLKYLMGTKVKKVFDARPHVSAWASEILSRPAWVKATATVA >KVI03983 pep supercontig:CcrdV1:scaffold_456:74691:75149:1 gene:Ccrd_017708 transcript:KVI03983 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGCSFPEEVLEHVFSFITSHKDRNVVSLVCKSWYEIERWCRRRIFVGNCYAVSPKIMIRRFPEVRSVELKGKPHFADFNLVPEGWGGYFYPWISEMSRAYPWLEEIKLKRMVVSDESLELISKSFKNFKVLILSSCEGFSTDGLAAIAANCR >KVI03981 pep supercontig:CcrdV1:scaffold_456:32607:33260:-1 gene:Ccrd_017705 transcript:KVI03981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MPTTFERNLTLCFNKIKRLQSSPSPPPPRHHHRRHHSESILHNPKSNLIKNFNTLYDIPPAYDSDDASKTTATIANASAAADFSSVIASNRFFFSSPGRSNSIIESSSPSTSSLASTSSTLEIPPEDVTDGFVGGGVAIPTISPDPYFDFRKSMQEMVEARDLIDVRANWDYLHELLTCYLDLNPKSAHKFIVGAFADLLVTLMASSAAEGGGCRNL >KVH55028 pep supercontig:CcrdV1:scaffold_4563:4527:7776:-1 gene:Ccrd_025689 transcript:KVH55028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MKGNKLRGRSSSKNHCINMNQTIVLLPSITFFLILVVRTCSSVDTIAVYQNITDDETIVSENETFEMGFFSPGSSKKRYLGIWFKNTLPLTVLWVANRETPLINTSGMAKLDSQGILSLVNGDGTVIWSTNSSASGTDIVNPITQLLDTGNLVIKDENHVSNENFIWQSFDYPGDTFISGMKLGKNLITGRDVYLTSWRSADDPSPGEYTVRFLMVKGRYPQEYIRKNSVIEARVGSYNGIAFAGQPPSEVEMVFNSKEMYFVYTFNSTGYLLRLIATPGGKIETWQLNLXTREWMQVHFTLPLDHCDKYGRCGPYGSCXIEASPNCGCLKGFELTNPEELSHDNWTSGCRRXRALDCGPGEGFLKYSSMKLPDTQNAECEVACKVNCLCTAYANPNITQGGIGCLQWFGDLIDVRVYTLNGQDLYVRQEASELLDRRSSSHRRKQVTIQVILPISVGVVVLGLILARYIWSKKRRSDAEREGKVLVKGHQSNSKAGSIEVPVFSLSTIYRATNNFSVDNMLGEGGFGPVYKGVLEEGQEIAVKRLSKSSTQGLDEFENEAICIAKLQHRNLVKLLGYCIQGGETMLIYEYMPNKSLDLFIFDNLRKSLLDWPQRFHIIHGIARGLLYLHQDSRLRVVHRDLKAGNILLDNDMNPKISDFGLARMXKGYESEANTKRVVGTLGYISPEYAVNGLFSVKSDIFSFGVLVLEIVSGKYNRVLRSIHIALLCVQQRAEDRPNTPAVVAMLVGEGSLPSPKQP >KVH55027 pep supercontig:CcrdV1:scaffold_4563:28620:44252:-1 gene:Ccrd_025691 transcript:KVH55027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MVVRTCSSVDTIALYQNITDGETIVSDSETYELGFFSPGTSKNRYLEIWFYKTSPQKVVWVANRETPLTNTLGIVKLDMHGILSLVDGGGTLIWSSNSSTYGTYIVNPVAQLLDTGNLVIKNGNFVSNGIFIWQSFDYPGDTLISGMKMGKNLITGREMYLTSWRSADDPSQGEYTVSTGFLLMLIATPSGKIETQHLNLRTRRWEQVHFTLPLDNCDKYELCGSYGSCSIETSPICGCLKGFELTNLKEWSLDKWNSGCRRSRDLNCGPGEGFFRYSSMKLPDTHDRSAVFNGNMTLQECEIACKNNCLCTAYADPNITRRGVGCLHWFGDLIDVRVYQQNRQDLFVRLAASELLFKVLIEDHYSSSKEGNIEVPLFSLSTISRATNNFSIDNKLGEGGFGLVYKGVLEEGQEIAVKRLSKSSRQGLDEFKNEVICIAKLQHRNLVKLLGYCVQGDETMLIYEYMPNKSLDWFIFDDSRKSLLDWPQRFHIIHGIARGLLYLHQDSRLRVVHRDLKAGNIXLDHDMNPKISDFSLARMFKGHESEANTKKVVGTLGYISLEYAANGLFSVKSDIFSFGILVLETAWRLYKDGKSLDLIDTSVGKSWSCSEVLRSIHIALLCVQQRAEDRPNIRSVVHMLGSEGSLPSPKEPGFFIQVRSSSSVDTIAVHQNITDGETIVSEHKIFELGFFSPGSSKNRYLGMWFKQTSPHTVVWVANRETPLLNKLGIVKLDSHGILSLLNGGGTIIWSSNSSASGIGIINNPVTQLLDTGNLVIKDGNLVSNENFIWPSFDYPGDTFLPGMKVGKNFITGRETYLTSWRSADDPSPGEYTASLHEVWQLNMLMLNQDWTLDHTLPLDNCDKYGFCGPYGSCNTVTYPRCGCLKGFELKTAEVYSPDNWSNGCRRSKALDCGFEEGFLKFSSMKLPDTQNAVFDGNMTLQECQITCKNNCSCTAYANPNITQGAVECLLWFGELMDVQVYLQNGQDLYVRLAASKLLGQTEMPAAGSKMGSLEVPLFSLSAISRATNNFSADNKLGEGGFGPVYKGVLEEGQEIAVKRLSKSSTQGLDEFENELICIAKLQHRNLGNVLLDNDMNPKISDFGLARMFKGHEIEANTKRVVGTLGYISPEYAVNGFSVKSDIFSFGVLVLEIVNRGLSLKCCDRYTLVYYVCNNEQKIDQTRNPWFACWVVRIRYLLPNNQGSLFKGVNATPSPPKIFRLLTE >KVH94962 pep supercontig:CcrdV1:scaffold_4564:14917:15885:-1 gene:Ccrd_002969 transcript:KVH94962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MERKNGGDGEIGDGGFHRRQLGVRQPDMVIEFAVGESSMDIEPISYMGVAGVLDDTLLCALHGVTVENTLFKDGDGDDANTFRAFNLT >KVH89151 pep supercontig:CcrdV1:scaffold_4568:10244:16572:1 gene:Ccrd_008866 transcript:KVH89151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MVAFGKKLKELQIQEWKEYYINYKLLKKKVKQYAQQNEVAVQDRDYVLSDFSRMLDEQIEKIVLFLLKQQGQLAIRLSGLADHSDDPLLHGPRYDSTMELRDAYREVGRDLLRVLFFVEMNAIVVGSISRNLADLQDDRRRYGSIYDQPTLSISDPMIESIKAAENRLMNSTDFLQYLGKHAFIMQDELPSPSSEEHAVNERYNFTSLLLNLANTFLYMVNTYIIVPTADNYSLSLGAAATVCGVVIGSMAVAQIFSSVYFSAWSNKSLGSARAVNRRYISDCVPPKLRMKASAGFVSASALGMACGPALACLFQRRFTIFRLTFNEDTLPGWFMAFAWFIYLLWLWLFFREPAFEKEEIIVQREASDTIVETGFSEPFLLGSGKNQEDEDEQHECDDDPSEELAEESHEPVQLIIYFMLKFAMEILLAESSVITSYYFIWSTSKVALFLACLGLTVLPVSIFVGSYISNVFEERQVLLASEIMVCLGIVLSFHVIVPYSAPQYILSALLTFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITLAGYWGMSRLLNITLLPSLVICISTIIATSSIYNTLY >KVH89152 pep supercontig:CcrdV1:scaffold_4568:33926:40576:1 gene:Ccrd_008864 transcript:KVH89152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome membrane protein, Pex16 MEAYKRWVRRNKEYVHSLESLANGFTWLLPERFSETEIGPEAVTSILGIVTAVNEHIIETTPSQMNTRHPESSSFPYSLVLVRLALLRNSGYKMLLHGGETMNDGKDPNDANQQPVHGRLLRQGQNGNLTREGRALSAMSRFGENARVLSDPTWFRRVEHHQRAIMEPPETSVKRLTLSSFLSEKGLPGGLFLMGEFMFIARPLIYVLLIRKYGLRSWLPWFVSLSIDLIGMSASTMSLTDHEDKRLSLSVPEKDELRRRKLLLALYLMRDPCFGKYTRQRLESTEKTLEHVPVVGFLAGKLIELLVGVQTRYTYTSGS >KVH89150 pep supercontig:CcrdV1:scaffold_4568:21844:32161:1 gene:Ccrd_008865 transcript:KVH89150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion-transporting ATPase-like domain-containing protein MAGDDMPEGTVRNVLEQETLKWVFVGGKGGVGKTTCSSILSILLASARSSVLIISTDPAHNLSDAFQQRFTKAPTLVNGFTNLYAMEVDPNVEDGEEGPDAMDGFLSDLANSIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLARMMTLKSKFGGLLGQMSRMFGVGDELGEDAILGRLESMKGVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQLLKARMKMQQKYLDQFYMLYDDFHITKLPLLPEEVCGVEALKAFSKHFRRPYEPLLTRGTVEELELRVSRLQGQLRDVVSELEKARKGKQVL >KVH99307 pep supercontig:CcrdV1:scaffold_457:224579:236983:-1 gene:Ccrd_022473 transcript:KVH99307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S49 MSRFLATTVHISAAILTKSRPQLHLSSPIFFPPHFTSVTYYCRPKLHRSISVRAVDSSSDTKSDDVLSENQEDKSEFKSEFDNNGSLRGDGDYPSGEFEFESPGAWKSFVVKLRMLIAYPWQRVRKGSVLEMKLRGQISDQVKTRFSSGLSLPQICENLIKAAYDPRISGVYLHIETLNCGWGKIEEIRRHILDFRKSGKFIIGYAPTWSEKEYYLGCACEELYAPPSAYFSLYGLSAQASFLGGVLEKVGVQPQVQRIGKYKSAGDQLMRKNISEENREVLTTLVDNIYGNWVDKISQAKGKKKEDIESFINDGVYQVEKLKEDGWITDIKYDDEVTSMLKTKLGIVEEKKLPVVDYKKYSRVRKWTLGLSGGRDRIAVIRASGSISRVRGPFSSPSSGIIAEQFIEKIRTVRESKRYKAVIIRIDSPGGDALASDLMWREIRLLAASKPVIASMVDVAASGGYYMAMAAQTILSENLTLTGKFNLGKLYERIGFNKEIISKGRYAELTAADQRPFSTRVIMLQVDKMEEIAQGRVWTGNDAASRGLVDAIGGFSRAVTLVELSKSSPSLPEILSGIGSSVIGIDTTLKQLLDGLTSSDGVQARMDGIMFQRSEGSSFANPIFDVLKDYLSSL >KVH99300 pep supercontig:CcrdV1:scaffold_457:25516:29302:-1 gene:Ccrd_022462 transcript:KVH99300 gene_biotype:protein_coding transcript_biotype:protein_coding description:MPB2C [Source:Projected from Arabidopsis thaliana (AT5G08120) UniProtKB/TrEMBL;Acc:A0A178UL06] MYHQSSQHFDLEQDNATLGFGGGEPTSWLSGEDLRPSSPSHRRNLSAFSSSTATTAAGNVDRLLFNDLVQIVPLVQSLIDRKANTSYTRRGSMIYTKTPSRESLANKMTEAKGRNAQSIPGKKRRDNGGNEQDGGADGFSMFSSSSVLTKEREELAELREKVVDLERQLLEKDEILKSTEASKDKINSVNSMLDEIKLADKQAAVEKLQWEAMTSNRKAEKLQEDLNAIQGEMSSYKVLFEGLSSDNFNLSDQEYDVIPHVGHLPEIDDLDELDMSKMEEAKEAYMAAIAAAKEKQDEESITVAANARLHLQSFVLRV >KVH99304 pep supercontig:CcrdV1:scaffold_457:166635:169928:1 gene:Ccrd_022469 transcript:KVH99304 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MHHSNTSKAHLLIYPLSSSGHIIPLLDLTHLLLRRGLTITVIISSANLHLLRPLLSSYPSSLHQLLFPDPAVTPSPYPIVGRVIATQKLFDPIVRWFQSHPSPPVAIVSDFFLGWTTDLASHLGIRRVVFSPSGALGSSIFQTLWRDLPEINAGDDSCSLSFPEIPNSPEFPRWQLSQFTRLYKKGEPDLESFRNGMLANMTSWGIVYNTFQELEGVYIDHMKKQMGHDRIWAVGPLLPDDHGPIVPTERGGASVVPPDDLLVWLNKKPDNSTVYICFGSRFTLSENQMSALACALELSNVNFILCEKADDSSSSLIPSGFESRVAGRGFIVKGWAPQLAILRHKAVGSFVTHCGWNSILEAVAAGVMMLTWPMGADQYANAKLLVDQLGIGKRVCEGGPESVPDSVELARLLDESLSGDRPERVKVNELSQAAIKANGAYENV >KVH99308 pep supercontig:CcrdV1:scaffold_457:218165:221026:-1 gene:Ccrd_022472 transcript:KVH99308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MATMPSPPHVGNHRPYPPLLRSTTTKYVTKCQLPRRRHPSSLSQSINRLCQLKNLTGALTFLNDSYSQQPDTAEAIGILLQACGGNNDIETGRKVHQLVWSSTHLRNNLILNTQIITMYSVCGSPSDSRFVFEQLENKNLYQWNAIISAYTRNDLWFDAMLVFCQFLLTEHIPDRFTLPCVIKACVGTSNLRFGRAIQGMAVKIGLMSDVFVGNALIAMYSKFRFVEDADKVFEFMPKRNLVTWNSLISGFANNGFSRKSIDLFMEFLVGEDSLMPDVATLVTFLPVCGAEREVSIGQAIHSLAVKLGLYQDLMVQNALMDMYLKCGCMLEARIILDKNENKNVVSWNSIIWGYSREGEVEQTFESLRQMQMGGDGVRPDQITVLNVLKVCLYRSQLLKVKELHGYSVRHRIESDELVANAFIAAYAKCGSSLCLAENVFNSMKDITVSSWNALISGCAQNGDPSKAIDIFVKMTSSGFDPDWYSIGSLLLACADLKLLSYGKQIHGFVLRNRLETDSHISNSLLSFYIHCGKPLLAKLIFDELENKNLVSWNVMITGYSQKKQPNEALDLFRRMMSSGIQPYEIATMSVLSSCSQLSTLRLGQAIHCFALKKSLMRDVFVNSSIIDMYAKTGCIKASQIVFDQSNKKHVGLWTVLIAAYAIHGRPKEALELFCEMQRFGMKPDHFTFIAILTACNHGRLVEEGLKLFDEMQTVHKEEPKLEHYACLVDMLGRANRFNDALMLISEMPEEPDAKIWSSLLSSCRVHGNMELGKEVAEKLLQLEPSKAENYVLSSNLFASFGNWDDVRKIRQRMKKTGLKKEVGCSWIELEGKVYNFLSGDKILPDIHEMWRRLEADIYQCGYKPDTKSVLHDLTEDEKVNILRSHSEKLAVSFGLLRTGKGVMLRIFKNLRICEDCHNAIKLVSKTVDREIIVRDNKHFHHFRDGCCSCEDYW >KVH99297 pep supercontig:CcrdV1:scaffold_457:121790:126099:1 gene:Ccrd_022467 transcript:KVH99297 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MCSIEERDKTKRFRIGTMGLKAFGESRVEKLRSSTANSYARSSKMKLWMIRATTVVLLWTCLVQLTALGDTWGPMVLKGWPSRDSLSATTLDVKLLPIVPARVLPPKRVYKNNGYLLISCNGGLNQMRSAICDMVAIARYLNVTLIVPELDKTSFWADPSEFEDIFDVDHFITSLRDEVRILKKLPIRLKKRILPLIQRYKVLHLNRTDARLANSGQPLDLQKLRCRVNFSALRFTTQIEELGKRVVKLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNDEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALALRALDIDRDIQIYIAAGEIYGGERRVASLGAAYPKLVRKETLLAPADLQFFQNHSSQMAALDYLVSLESDVFVPTFDGNMAKVVEGHRRYLGFKKTILLDRKLLVELIDKYTSKSLSWDEFSSAVKAAHAERMGNPTKRLVIPDKPKEEDYFYANPEECLQPSDNEPVNIMR >KVH99299 pep supercontig:CcrdV1:scaffold_457:60875:62131:-1 gene:Ccrd_022465 transcript:KVH99299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MQDIHSIGSGGGGGGRLFGGGGGGDRRLRPHHHHQGHGPQALKCPRCESLNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGVLRNVPVGGGCRKTKRSKPKSNNNRSSETAVRKSSNSQNSSSDSSSLTANTTAANTTVTANTTTTATVTAPVAEVLSGINSTNSAPTMLNFPESSTSFLNITQSSTPNPSFDPPLLDDPSAENNIFPEIGTFTSLITSSTTQLPLGFNISADTSPFRLHHTGHIVENSNSNQQQWIHTDHTIGDDLKVQETSPLGFMGLQHKTTDGGLGTEDWNNVW >KVH99298 pep supercontig:CcrdV1:scaffold_457:44280:46643:1 gene:Ccrd_022464 transcript:KVH99298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MARLSSKGRKKIQLKRIENDKERAVTLSKRRNGIFKKANELATLCRIQIAIILFSIXGKPLSFGSPNVQSVVSKFHNPNQVDQQPNDFINRAVNSNHEPKLQDFNKEFDEVNEHLTNEKKQGQMLDEYIKMLLGGDTTVEGGLNVDDVIENS >KVH99296 pep supercontig:CcrdV1:scaffold_457:101772:110452:1 gene:Ccrd_022466 transcript:KVH99296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWQKARTFAEDAAKRSQELTKEAAKRSQELTKEAAKRSQEFTIGSSKLSDIVLEASKRSKEIAAEASKKADLIKVEALKRADQIKSQIPSTSAAISQLVDSSPKQVGGTSPEDLEKFGITDELREFVKEITLNTFRDFPLEDDSEMSDIPTISNVRQDLTKWQEIHAKLVLSTVKEKMEFSSDYEKRYMEEENKKSVEKVKDDSAVGTTAAETVAKTEGNGANQKPKSAAASKSSDQDLDVFLLGDLGDSDEGPDDGNDGLDDDDFDKL >KVH99301 pep supercontig:CcrdV1:scaffold_457:41111:41431:-1 gene:Ccrd_022463 transcript:KVH99301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MARLPSKGRKKIQLKRIEIDKERAVTLSKRRNGIFKKAHELATLCRIQIAIILFSITGKPLSFGSPNVQSVVCKFLDPNQVDRQPNDFINRAINSNHEPKLQDFNK >KVH99305 pep supercontig:CcrdV1:scaffold_457:215753:217087:1 gene:Ccrd_022471 transcript:KVH99305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAEVSYALNLHGDDEENDDHFSPRIHDWSQDLDAFDVSPSDLDFPSSQLFLCRQISRTDRNPIRLSNASNPFERDNQVNFVIDMFNHRVEQSRVVVETDLVDCDRGFVVGGGNEDIDSNELEMDFRSGLGFPVEFRNCDDDDVEDEDHGFMVADCGDEFFVSRRTRGGGVRSELGDPNPTYFMAGLSVTDSEEDIENEIIGVDDDFGIYGGCDDEANLRLCWDAFHLEDDDHVRWDVPANQHFDWEEVDGRIDEREVLSMFLDADTNNHDHNHATDDLEWEVFLNFHNLEANPANAEAHNYASVDDLEWEVFLNVHNLEANPDLEGRFEDYNEAEDEMLFGQFVDNGESALVQPPASKKSVEGLLSVVMTPENVESNNALCAVCKDEIGVGEKAKRLPCTHHYHDDCILPWLCIRNTCPVCRYELPTDDPDYERRKAERIASDL >KVH99302 pep supercontig:CcrdV1:scaffold_457:17507:24688:1 gene:Ccrd_022461 transcript:KVH99302 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylase MSSCLSGRLIAARSLAFRKTSFRSHIRRLMVTLVVATTIDPASIGPASALLAMPGWHPGPFLQDMASFVNKGVRLLKHDNSIVREDHLDKRWETATGEVVDEVIFLSRHTAASNRPALTIHPIGVPHLREDEVPAAGGKPGWAAPPNARMGPWLRLLKVTLEATHHGPVIDSPTMFVEIGSTEEYWKRQDAAQAIALLVWKGLGLDGGIPVGDWSRHNGKKKILLGVGGGHYVPRHMDIVLKDDVWVGHLLSGYSLPMEDNGDAGVGGTWREAIKVAYEVTKASFPGGEILAHLDQKSFKSWQKNAILSFLKEQNINVGKPTNFR >KVH99303 pep supercontig:CcrdV1:scaffold_457:134587:139458:-1 gene:Ccrd_022468 transcript:KVH99303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSMTNKQVVTSELMTSCNHWNKLGRMLPKKALKNLSYLVPQLWWSTFSLGGWGLTALITFHISMKAKRKWFHAVGRVQYGGMKVGVPWMTSMERPSQSSSIHNHPSTTFSSLSSSHPSSAPKNQYFLDAVKSAMSVREEEYNDEEFVIKKEPSFHHKGASPSLSLSQDEFSDDIVELRLYKFIYELIGGISVKVGTTNPDQKPDSPRSKHSATEQRRRSKINDRHLYDDVVWPKFSMLRGIIPHGDQKRDKASFLLEVIEYIQFLQEKVHKYEDSYQGWTNKPPNMTSLNNIPTKSLVDHQPQLPNNTSRPAFVLDSNTSSLDAIKETTNTSFPVAESFHTHICAPDTASKAQPQLLHSRSCTTDCTGDKLCSGLTQALRRSGVDLSQANISVQIDLGKRSSNASSISPPDLK >KVH99306 pep supercontig:CcrdV1:scaffold_457:169880:203968:-1 gene:Ccrd_022470 transcript:KVH99306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S49 MITTRLWLLNQLKFWNQISQEDHEDKRECKSELDNGGSFKGDDEYPSGEFEFKKPGVWKSSVVKLRMMVAYPWQRVRKGSVLNIKLRGKISDQVKRGFSSGLSLPQICENLIKAAYDPRISGVYLHIETLKCGWGKIEEIRRHILDYRKSGKFIIGYAPAWSEKEYYLGCACEELYAPPSAYFSLYGLNQRAQFFGGVLEKVGVEPQVHRIGKYKSAADRLIRKDMSEENREVLTTLLDHIYENWVDKISQAKGMKKEEIEHFINEGVYQVEKLKEDGWITDIKYDDEVDTGKEHVTSMLKTKLGIAKEKKLPSVAYKVIFFYDSIHTIISWNISLECLPANNSRRFYYPCFRKYSKVKKWTLGLSGGKDKIAVIRASGSISRVGGSFFSPSSGIVAEQFIEKIRKVRESKRYKAVIIRIDSPGGDVLASDLSSEFSLRSFRFRSTFPKAPCTRSLIQLRFPKTPCPQGGRMWREISLLAESKPVIASMVDTAASGGYYMAMAAQTILSENLTLTGSIGVFRSSLHYSPTFFLNFELDFVDKMEEIAQGRVWTGNDAASRGLVDAIGGFSRAVAIAKQKANIPQDKQVTLVELSRPSLSLTRILFGIGNSSLSQLVDGLTSSNEVQARMDGIMFQQSEGSSVCENDVYLMVSCNGGLDQMLREIMASKSTEILESDVTTSKHSLQSSLSSSYFDPVFNLIMLQSLTLSSPFELRIANLTPKIDDVSAENHEDRRECKSELDNGGGLRGDDEYPSGEFEFKKPGVWKSSVVKLRMMIAYPWQRVRKGSVLNIKLRGKISDQVKRGFSSGLSLPQICENLIKAAYDPRIAGVYLHIEYLQCGWGLVIGGPGPSFDALNRASLGQSLVISSRAVRPSECSIFVVRFMLSSTVLRRIGKYKNFGDQLVRKNISEENREVYNALLDQVHANWVDKISRAKGEVTLWFPPSLAVSKRVYYPWPRKYSRVKKWTVGLSGGKDRIAVIRASGSITRVQGSFFSPSSGIVAEKFIEKIRKVRASGGYYMAMAAQTILSEDLTLTDEEKLFAESTMNTYKQFRDKAARSRSMSVDTMEEIAQGRVWTGNDAASRGLVDAIGGFSRAVAVAKQKANIPQDKRVTLVELSRPSRSLTRILFGIGSSAIGIDTSLSQLVDGLTSSDEVQARMDGIMFQGSEGSSFADPVFDLLKDYLKSP >KVH96479 pep supercontig:CcrdV1:scaffold_4571:2079:11693:1 gene:Ccrd_001434 transcript:KVH96479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MKPVVGLVVSNKMQKSVVVAVDSLFHKRIYNRYMTHDEQNRCNISDRGRNKGSREVKRFYSSGGTPKEWRSSSTLYGYPVMGQVQKQIMDALHLGERKRASSLLSHFDYNKPALIANNFVQILEYCTLAPDPLFAMEIWRTMEEKGIDMNNECHTLVILALCKGGYLEEAFTLMSNYGETPDFYPTLSKYNTLLRASAKMRSGTHVSKCLDLMERDMVGKNEVTYGQLLRLAVFQKNLSAVHSIWKEYTRYYSFNLFSLREFIWSFSRLGDIDAACEALRQLVTLAFRGGFIIKRNADKQMLFPRLSVPIPFYNNLDLSRCRKVNITCVPSVYENGKEKSTQANSMDHHKVVDFDLKEVKSVGMVLGNPKGIFVTKILRLSFTHVIKACARAKNHKLAEQLFFQMQNLGIQPSRGAYDGLIRVLARTRGFHDGMEVLKLMQQKNLKPLDSTLAALSISCSKDLELDLAAAFLNQMAKCERPYPYNALLKACDILDTPERGVHVFGKMKQLKVTPNIKTYELLFSLFGNVNAPYENGNILSQAEVAKRIRALEDDMMRNGIQHSYLSIRNLLHALGSEGMISRLLHYLRGDVAINVFKTLMSHGYCPNGVTLNIMIDCCTITRSFKSAQGLIAMRIRYGYPPNVQTYTSLIKAVLALGDFDEALTLLNHACSEGIQIDALLFNAILREAAWQDRIDIIEFVMDRMLKEKVRPDAATCGYVFSLYVDRGFYNTAMEALQVMSICMLSEQDINENTTLFEDDFIYAEDLEAESRALEHFIDSEHHAVALLNLRWCAMQGNPISWSIHDSSWVKRLSAANRYR >KVH96480 pep supercontig:CcrdV1:scaffold_4571:25670:31128:1 gene:Ccrd_001435 transcript:KVH96480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MGVTTYDMEVETAVPAAKLYQSFILDGDTLVPKVVPQAMKSVEILEGDGGAGTIKLITFGEGKSLTYSLYNSQSSILQKDIRSQFKSVKHKIDEVDKDNMSYSYSIIEGDVLVDPLESISYHIKVVPTDQGGCILKHKSIYTCKVPAAKLYQSFILDGDTLFPKVAPQAMKSVEILEGDGGAGTIKLITFGSQFKSVKHKIDEVDKDNLSYSYSIIEGDILVDPLESISYHIKLVPTDQGGCILKHQSIYTCKGDVKIAEEDIKKGKEKAQGLFKAIEAYLLAN >KVH96478 pep supercontig:CcrdV1:scaffold_4571:25724:31286:-1 gene:Ccrd_001436 transcript:KVH96478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSPWAFSLPFLMSSSAIFTSPLQVQSFYMRNGSGGRGSSYSGDRVSTTISFEDLNAFHCLRNYLGDKGISIKNERLV >KVI08199 pep supercontig:CcrdV1:scaffold_4573:1882:11546:1 gene:Ccrd_013432 transcript:KVI08199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine alpha-hairpin motif superfamily MGRKSGELHINAKKFGGLSKPCMKDMITFLGCLSLNHNNDEKCARQRQQLDTCLEAQTGKKRKPWGTLNYHLQRLNRGRK >KVH93634 pep supercontig:CcrdV1:scaffold_4575:22511:33731:1 gene:Ccrd_004314 transcript:KVH93634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide, MLP1/MLP2-like protein VKEKRAELDIIKLTSVRRACEFLRDYFVSLVDSMMSDKSYFSQDCQPEILLVFGNGLRGQLKKPDHANLRSKCRIYARLLQHKKIIAQASSSSNSGIKEDFGSGKAEKIVQKGKRRGKNVSQNGLIDESEDDCRDDDDGLQLTKSFGRNQNKFNVEKWKNEEKFENRSNKVCLGAKRGLVENLKNHKRVGTKDSQQNSENDDDALSYLGDNTKLSKSFGNNDSKSDHVSGKIENKPISDSELRRLSDLLRIENEELKSKWETEKWVLEEAKDKAEKKFHEIIEQNKILHDQLEALHIKVEEKSHGSSAESFGLLNCSNSFTFCSCNENGSFRML >KVH89645 pep supercontig:CcrdV1:scaffold_4577:40448:40948:-1 gene:Ccrd_008362 transcript:KVH89645 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MQSSWSGDAAPVVTATNTGVSNQLSRVTRPTNTNNRRRSRASRKTPTTLLNTDTSNFRAMVQQFTGGGNVGAAPLPSHDHSSSVTATHHSLNTYSTTSSNINDGVASSSPVGGLFPHDGRNVQYRQQHSRQPYFTMTVDDGGSSTGYDGDDQNLGYGQRGPYSYNW >KVH91469 pep supercontig:CcrdV1:scaffold_458:17643:19906:1 gene:Ccrd_006508 transcript:KVH91469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MTIGSEKPVKEKRSRKSKQVVVVDEKSPLLPTKKGEDGGFDEFNGASFAGAVFNLSTTIVGAGIMALPATMKVLRLIPGIALIIFMAFLTNASIDLLLRFSRAGKSISYGGVMEDAFGRIGRLLLQVCVLVNNIGVLVVYMIIIDDVLSGTTSDGIHHAGVLQGWFGVXWWNGRSPLASLKRIVVNTXXEDEVVNKEDDTSTSEQHQLNKKHLDDTYQQSEVQSNENVGEDHSNENEGGHKKVRNRGRGKGLIGKQPPGPRMPDGTRGFSMGREKAVAVPGDSSVSLMT >KVH54710 pep supercontig:CcrdV1:scaffold_4581:21709:28120:-1 gene:Ccrd_025693 transcript:KVH54710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bud13 MVGPSSGSNSLKEYLKRYXNNEEEEKKKQKKQKKKKKSRPDMNGVLVVDEDPVWQKPVEVEEEDDESQDEEKPQVDEDIEVKRMKRLEQLKTRRPFGAISEDGSGWVSVXDTAKNLTLDELNSGISPLRKRSAHDDTSSPEHELGLSIKTLNSDSSPPRKRRARNDTPSPEAMESDRRNADDISPPRRRWKPMSPEVRRRSPLNTSQDAKHGHDIDISPPRKRRPRCDTPSPEPNMKPFRLGREDSDTTKPRHRTKHAHTSSPEPEMKLTZSFKLEPDLSPPRKGRPQELVNSDLSPPRRGHHKSSNENRPRASVVGDLSPPRKKREARHQILDDPHRQSVSVSDLSPPRKPVKESGSLXELRKTGLVSGKDIKEEIAKTKKEDWLRFRNMDPSISGRDAEGVRRDKRTGERLSKDQIKSLQKQEEKPKEIKLEWGKGLAQKREAEAKLQELELEKDKPFARTRDDPDLDNMLKDRVRWGDPMAHLVKKKSFEISLPDMGEDERMKASGFVVPQEVPNHSWLKRGLDAAPNRYGIRPGRHWDGVDRSNG >KVH54709 pep supercontig:CcrdV1:scaffold_4581:3612:6141:1 gene:Ccrd_025692 transcript:KVH54709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, N-terminal domain-containing protein MLELYEATYMRVQGEVILDEAFVFTSSHLEEISKDPLRSNSTLSSLIQATLKLPLWKSFPRLEALHSIPFYQKQASHNESLLKLAKLGFNLGVNAGGGKVLMSQTIYLTPEIELLNATFGHKVYTLSPNILLLGYS >KVH54711 pep supercontig:CcrdV1:scaffold_4581:33138:38719:-1 gene:Ccrd_025694 transcript:KVH54711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITQEEIVRPLANFTPSVWGDQFLIYNEEEDQGVEMEEIEHLKDKVRKDLVAALAVPVEHTNLLKLIDVIQRLGITYYFEDEIEQSLKHIYDTYGDNWNAGRPSLWFRLLRKQGFYVSSDIFNNYKDENGCFKESLTNDVQGMLELYEATYMRVQGEVILDEAVVFSSSRLDEISKDPLRSNSILSSLIQATLKFPLWKSFPRLEALHYIPFYQKQASHDESLLRLAKLGWWKDIDVSNNIPYTRDRIVESYFWALGLYFEPHYSSSRVFLTKMIAIITIIDDTYDAYGIYEELKLFTEAIERWSITCLDMLPDYMKLIYQVLMDTYKEMEEMLAKEGKAYHLSYSKEFVIKMVKSYMAQEKWVNDGYIPTTDEYLSVALLSSGINMIVSSSFVGMGDLVTDDSFKWILTKPLIFRQSNVIGRLLDDIVSHKEEQERKHVASCVETYMKQYDATEQDAYDYFNKKVEDAWKDINRESLIIKEVPRPLIMRVINMTRTTHYLYRDGENFTHPGEEFIEHVKSLFIHPMDI >KVH54667 pep supercontig:CcrdV1:scaffold_4583:23862:36561:1 gene:Ccrd_025695 transcript:KVH54667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MHSNHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPRSRSVDVISGCLKAGMSDTCSFGDLIVVDVAVARFDFSWGNSEYHQETLENLKAAVKSTKKLCAVMLDTVGAEMQVVNKSEKAISLQQDDVVILTPDENQEASSQLLPINFPGLAKAVKAGDTIFVGQYLFTGSETTSVWLEVDKVEGADVICKIKNSATLAGALFTLHASQIHIDLPTLTDKDKENISTWGVPNKIDFLSLSYTRHAQDVREAREFLSKHGDLSQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKTSGVIKSHDRVVVCQKVGDASVVKIIELED >KVH99318 pep supercontig:CcrdV1:scaffold_4586:40169:41566:-1 gene:Ccrd_022451 transcript:KVH99318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLLFARLIVLKRTALTLSDRTAFRTLKTAAGNHLSNVGVGGGGRDGTNDDYFATVHHISNIVRRDIYLERTLNKMCISRIVNSELVYRVLRSCCNSGIESFRFFNWVRTQHPQYEPTTVEFEELLMILARTRHWETMWKVAHQMKTQKLPISTSVVSFIIEHYGKNGLIDQAVELFNRCKNFNCSQTTEIYNSLLFALCEVKNFQGAYALVRRMVRKGVLPDKKTYSVLVNGWCSAGKMKEAQSFLEEMSLKGFNPPVRGRDLLIDGLLNAGYLESAKGLVRKMTKEGFVPDVSTFNSLAEAICQSGEIDFCVGLYHDVCRLGLCPDIETYKIMIAATSKVGRIDEAFSILYRSLEDGNKPFPSLYAPILKGLCRNGLFDDAFSFFSDMKVKGHPPNRPVYTMLLKMCARGGRYIEAANYLMEMTEFKLSPMSRHFDMVTDGLKNCGKHDMAKRIEQLEISLRGM >KVH54444 pep supercontig:CcrdV1:scaffold_4587:5008:21036:-1 gene:Ccrd_025696 transcript:KVH54444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MAAATVSLSTCSVQQPRAIAQRLHMLFHLTAVLAILYYRFTNLIYGDVPTLPWGFITLSELIFSFIWFLTQAFRWRPVVRTVSLHNLPDDDELPRVDVFICTADPSKEPTVEVMNTVLSAMGLDYPSDKVAVYLSDDGGAPSTLYAIEEACCFAKGWLPFCRKYGIKNRCPEMFFSTYGHDELLFRSQEFEVDEENMKGWPEFRILYVQLAYERFKENVEQRTNGASAVNDREPHIVIIHDNRKSGRNEENQVQMPLLVYVSREKRPSLPHRFKAGALNTLLRVSGVLSNAPYMLVLDCDMYCNEPTSVKQAMCFHLDPKLSSSLAFVQYPQIFYNVSQKDIYDGQARSAYKTKYQGMDGIGGTICSGTGYYLKKKALYTCPNQQDEHLLHPEERFGGSRKFIDSLKSRYLLHTRGWKSVYLYPNRPCFLGCTTIDMKDAMVQLMKWSSGLLQVGLSRFNPLVYGASRMSILQTMCYAYFMYTPLLAIAFLLYGTIPQFCLLKGVAFGDTIVTWWNEQRIFFIKCISALLFGCADVMMKSLGVAKANFRLTNKVVDKEKMEKYENGKFDFEGAKMFMIPMTFMVLFNVVCFLGGVKRVIHNGNLEEMFGQVFMSWITLIYSYPILKGLVPSGSKGFPSIQEFKVLLPYDCSILDSGDSYDCSTLDS >KVH99188 pep supercontig:CcrdV1:scaffold_459:237698:240730:1 gene:Ccrd_022593 transcript:KVH99188 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MQLRSTAAVAAVLVSVLVVLLPEATVEGHNITTILAKFPEFSTFNHYLTITHLADDINNRQTITVCAVNNAGMSDLLSKHLSVYAMKNVLSLHVLLDYFGAKKLHQITNGTALAATMFQATGTASGSSGFVNITDLKGGKVGFGSEDGGRTDATFVKSLQEVPYNISVIQISSMLPSSEAEAPTPEPAAVNITSLMSAHGCKFFAEALLASAAMKTYEDNIDGGLTVFCPLDDAFKGFAPKYKNLTVGGKQSLLEFHGVPVYQSMSMLKSSNGLMNTLATDGANKYDFTVQNDGQEVTIKTSLVTSKIVGTLIDQQPLVIFTINKVLLPKELFKAALSPAPAPAPEADAPTADSPNSSKKKKHKSPPAPASSDSPSDSPADSPDGDVADQQSDSNNAAEIKGFRFAVVALSFWFASFAIVNNYDQPLLFIK >KVH99177 pep supercontig:CcrdV1:scaffold_459:82045:85506:-1 gene:Ccrd_022581 transcript:KVH99177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTFLLHFLERMDYINVFFRITSTNTSIDSDGCFITKCGRVFSVFWMVNGTIFIGHLLLPFMELNIQERQMSFVKLVLERKMTRADFKAVDIVTPKTYIPQREKIVANISARGSSSGERSPYPTEVIITKAK >KVH99187 pep supercontig:CcrdV1:scaffold_459:227976:228641:1 gene:Ccrd_022592 transcript:KVH99187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMANTLSSPTTPYSSRKTIPLYSSPFLSLTLKPPNSFLSLSSHRIRFKPNRKTTLIIAKSNDSDSIDGPDRLISAVCYFYPFFDGIQYGKYVITQFSFLQTLIQPLVPAIRVFKSFPFNGFLVFLTLYFIVVRNPNFSRYVRFNTMQAIVLDVLLIFPDLLERTFNPKDGVGLDLLMSLDSTVFLFLLVSLIYGSSSCFLGQVPRLPIVADAADRQVM >KVH99191 pep supercontig:CcrdV1:scaffold_459:8556:13688:-1 gene:Ccrd_022578 transcript:KVH99191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGQCFVEWKEQFVSKERGKRVVHYFLKDISGESVLAVVGTERSVRHMFYVVAEEFLKVNEAENSVNAGYRWRSRREVVNWLTSMLSKQHRQGEHSKSPKSDHIPGVAASYQNRLARNLKLRTPDIVWSGVAWTCGKQLKHFPAFCRNGITIAVQSFVFVMAEEEKRHLAYLEDMYEDRKGQKKVKVRWFHHNQEVQVVVTLRNPHPKEVFITPYAQVISVECVDGPAIVLTREHYEKCVSVLPEDLLTRVHLCLRQFKNNRVKPFKLSKLCGYFDQPIFSILDTEYIEDEEHSPGDNVKVGVKRPRSSRGRQVVVPPYDPSCMNLKYEMLRRRLIPKYVKNQALYTPFFKANEKIELLCQDSGIRGCWFRCTVLQVTRKRLEVQYDDLKDEDGSDKLGMRYSGRPTLRPAHLENETNVAFEVGSPVDAWWSDGWWEGVVTGISDESGDGNVRVYVPSETLFLELHRKNIRVSKDWVGDHWVDLETKPNIHSLIPADTGPNVDETKPESLPISSKETPASNDPPESNNDKPLQEDDIGRKAENDLNPSHDDNDIEIAEKGLNHEAE >KVH99179 pep supercontig:CcrdV1:scaffold_459:88721:90693:-1 gene:Ccrd_022583 transcript:KVH99179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transport 2 MASNDGKQDSSTKAETTFDLIHTVWYLFIGTSVCLTYIGVAGICFYFVIHQFSGNKINSVLDTFYVTVVLLTSNGYKDLTPDSDIAILFATFFALTGVVVFGALMSLGAKIFLDSQLNLRMILEKLKKDPELSYLRVAKIKWKEPVVLLAVHMAGGIVFLVSIGNMNFSRALYCVSSTITTVLSDEECFSTKDRRVFAVIWILYGMITLSWMLYVFTEAWTQRSKWVLAKKSLNENPAIAGLQPSDDLDADGHMREEEFILLFAKEIEKLRKIGMEANINEKLGLGDSDKDKASSIEDKLLKYLNLDDLWEIFIYLVGYLGFSMVIFYAVSYQISGEVKTNSFLDTVYFAIITMTSAGYGDLHPNEGPLALALASLFALLGMFLFGLLLSIGSTFLANQQELRRRDIKSGDDRNTDTGKELKWKLIKRKGKRVAVYFVVVMVAGTVIVILTEDLHFVRAVYCISITITSAGTEKCFSTKLGRSFAIVLLLVGAIYKNYVLFTMMDVYIEIRQRLCIQKELPDEESKPAEPEAPKPKAPEPAAPKPEAPKPAAPKPEAPRPAEPKPEAPKPVAEPKPVEPEAPKPVAEPKAKPKPAAPS >KVH99192 pep supercontig:CcrdV1:scaffold_459:18878:29670:1 gene:Ccrd_022579 transcript:KVH99192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIALLMDLLKKNPSVNGQTLHSTGLYSATLAASSAAAYVAANTPFASRALFGIGGRRVAYCDAAAVPPLMTEDYLSSLRTASESIFRHDTLNYRTKEYSIELKPLFSAFQPRSFALTSLRSFLLFYLPLLAPHVEDDDSDDFLPDSSDDHHVDLVVPFKKSVKQILRETSVVTTRRILERLAVHHFSQRAAWKLLKDVPKSAVRKANRGMPIFTYFFCVSRTTFRGVAASWLVQVGMECYRFVREYSKSCDDEIDDTDNKDRQVKILGKKVYGVTVRCGASLVCASIGAGIGATLFRPSSGQSVGCLIGDLAGPVIVSFCFVNVPNLEL >KVH99178 pep supercontig:CcrdV1:scaffold_459:64653:65797:1 gene:Ccrd_022580 transcript:KVH99178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transport 2 MASNCGNQASSTRPPKCSDSKRVTDTKYGLFIVIFCLTYIGVATISFYGVRDHIRGKKTNDFLDAIYFTIVLMTSAGYKDLQPHRTLAILLATFYALVGIVVFGVLMSLGADNILATQMGKKEFLASVLDNKTVQYPSGLKIKWKVLTVMLALHLFFGTPLLIFVGXMHFFRALYCVSSTFTTVLSDEKCFSTKGRRFFAVFWILFGXFVLSGMIYIITETYHHRRKWLVGKKDDKLVDMLPSDLDDDGFIT >KVH99184 pep supercontig:CcrdV1:scaffold_459:169780:175101:1 gene:Ccrd_022586 transcript:KVH99184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase/cystathionine beta-synthase P-phosphate-binding site-containing protein MAPSPKPIAVIAAVSVALCSLYVLTIRRRAATHSKGKTLTDNKPKRTGIVGAIGNTPLIRINSLSDATGCEILGKSEFLNPGGSVKDRVAVKIIEEALESGQLSRGGVVTEGSAGSTAISLATVAPAYGCKCHVVIPDDAAIEKSQILEALGATVERVRPVSITHKDHFVNIARRRATEANKLALKHINQMDAKDVDHANGKETQCTVFSGEHKGGFFADQFENLANYRAHYEGTGPEIWEQTGGQLHAFIAAAGTGGTVAGVSCFLKIPSKERWPVCWKFFGNELCWSCESSEIVGPRSYNCNNFVR >KVH99185 pep supercontig:CcrdV1:scaffold_459:196982:201571:1 gene:Ccrd_022589 transcript:KVH99185 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK, C-terminal MIIRKEEDELDGSDEKQKSRSASEEHKKSTSEMKDSEEEEVEEEENNEHQNVNGGPEKSESEKSEHTESEEEHVSEPESGKNSNKRKRVSEKPSSKRESAPKSKSKKEATPKKSTPPQKKTPVESSTSHSKSKDESVPTPKTFSRKKKNHVVDEKPSTPKKSASKEKTGKKALKDKEKPKEEKQKPSDDELKTAICEILKEVDFNTATFTDIFRQLVKRFNADLISRKPSIKFMIQDELTKLADEADDEDEGEPEKTEKEASVKKA >KVH99189 pep supercontig:CcrdV1:scaffold_459:203430:206827:-1 gene:Ccrd_022590 transcript:KVH99189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MADSDNESGGGHNGDHSLREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFRDMEGEKTALAGRQGSEKEGGGAPTASGGGGGNGSVVNMGNNGGGFHENNGGGMYGTMMGHHHQGGHEVELGDMESINVLTNG >KVH99186 pep supercontig:CcrdV1:scaffold_459:182461:189179:1 gene:Ccrd_022588 transcript:KVH99186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEAITEVGEAVANGTVSPTKPDNDVSEKKNGQKEGVEEIGEDTKDDKKVKNTEVNVDKDDAELSKDVKEGGKKKELKDSKEAGEGKRKDEGKGKTKEEGEGKRKDEGKGKTKEDGEDKQKEGEGKRKDEGKGKTKEEGEGKQKEGEGKRKDEGKGKTKEXGEXKQKEXXXEVDEEEIANKQSENKIAEVADLKDEEDKMEEIKQEKRATKKSGGEKGNKKKVVEEKKGKEQKVEPKTPVGPAIDRPVRERKSVERLVAVIDKDTAREFHIEKGRGTALKDIPNVAYKLSKKKMADDTLKLLHTILFGRRGKALQIKSNILRFSGFVWHENEEKLKAKVQEKLDKCNKEKLFEFCDMLDIPITKTTAKKEDVIAKLIDFLLVPHATTSELLAEKEQSSKGNKRKRESKKSVSTSGAASSKDSSKVPSLICK >KVH99180 pep supercontig:CcrdV1:scaffold_459:88657:95958:1 gene:Ccrd_022582 transcript:KVH99180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transport 2 MASNDGKQDSSTKAETPFDLIHTVWYLFIGTIICLAYIGVAGICFYFVIHQFSGSKINSVLDTFYVTVVLLTSNGYKDLTPDSDIAILFATFFAFTGVVVFGALMSLGAKIFLDSQLNLRMILEKLKKDPELSYLRVAKIKWKEPVVLLAVHMAGGIVFLVSIGNMNFFRALYCVSSTITTVLSDEECFSIKDRRIFALDALRFYGGMDSKKQMDVSEKEFKRKSCNCMQPSDDLDADGHMREEEFILLFAKEIEKLCKIGMEANINEKLVRHQISGQEKTNSFLDTVYFAIITMTSAGYGDLHPNEGLFALTLALLFALLGMFLFGLLLSIGSTFLANQLELRRRDIKSGDDRNTDTAKELKRKLIKRKGKRVAVYFVVVMVIGTVIMILTEDLHFVRAVYCISITITSACTEKCFSTKMGRSFAMVLLLVGAIYKNYVLFTMTDVYIEIRQRLSIQKEPPDEESKPAEPKAPKPKARKSKLPKSAAPKPEAPKPVAEPKAEMSKPAAPT >KVH99181 pep supercontig:CcrdV1:scaffold_459:140040:165887:1 gene:Ccrd_022585 transcript:KVH99181 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand 1, calcium-binding site-containing protein MTSSGYKDLTPNSSLAILLAILFAIVGIFIFGALMSLGADQILARQPKPFEVLDSAKKHQKMQYSSIMKIKRDAYVRYRRREIKRLRRVGMEPNIRESGRKTNGTYRNFMGHSIDGDQATSNRTSKPSSKDRLLKLGNSDDVWDTIIVFVLYLGSSMVTFYVAGHHICGKKTNSILDAFYFSFVIMTSVGYGDLSPNDTLALIFASLFSLSGLCVIGKVLSMILNAVDEQQIDNLRKLLSSEDQTVATRKESILKKFKRKLVILAVLMVVGTPFVVLIEDLDFIHALYCVSITITSAGTEKCFSTKYGRIFALVWMLFGVMYKGYVFFIFTEVYIETKQRSEVLKRKITPPEPPKDHLPPRGPPSPAILPKMPSPPKKPDLPPMFGTPDPYPPPMFGTPYPYPPPTFGTPYPYPPPTFVTPDPYPPPMFGTPDPYPPLMVIVPDPYPYYPLGIPPKRIEPPWVVQPKPYPPLEPSKPHPRPKRDYGDDGDPMIPDDLIEEGMSTQEDDEAAIVKEFERLDVSGTGLLTSGELVMTSNDGNVDASSTRPLKPSDSKTKTDDVFDLYMVAITCLIYMVVAMVSFYFVIHQFYGKKTNSVLDAYYFTIVLMTSSGYKDLTPRSDLAILLAIFFTIMGIVLFGALMSLGADLFLVTQLEKKELLDSVKKHETVQYPSAMKKRWKVLAAMVAVHIFVGTPLLAFIGNMDLFRALYCVSSTITTVLSTNKCFSTKARRIIALIWILYGMITLSCVLYTFSEAWTQRSKWLFLKMKTKSGFQDLQPSDLDDDGFIRLEEYVLHKLKENKRSRGDDTETTIKEVRIFIKNHPELLGKEHSKGRNQDSSTTPPRKPSIKDHILEPENLDDIRKIIISFVIYLVSSAVIFYVVRCHISGKKTNSILDTVYFSFVIMTSVGYEDLSPDDHPLALIFASLFSLLGLFVIGLVLSMALEVLDKQREDQKRRIRSGKDDTTATAKEPKKEIKKCIIIVVLFLIHMSVGMAVLVFIEDLDFIHALYCISITITSAGTEKCFSTKRGRVFALVLMLLGTVYKGYVLFTFTKVYTQIKLRSREVKKNITPQEPEDSPPVKIDYDYDYDAGILRAEPVQLSDNEVDHDVEMAACPQSQSPIEEGIPSQSEVDMADIMKEFRRLDVNGTGRLTLHEKSCTHQATMASNGNQASPTEPPKQSLLKDQISSLKRRRFRRIKSAPMSNSFPSESQTSASLPRPRSVFDYLHPSYKKVAIILSIYLGAGTLCFYLVRHQIDGKKTNPVLDALYFTVVTMTSVGYGDLFPGSTLTILLACLFVFLGMLLIGLVLSKAADYLVEKQELLLAKALHMNQTIGTAETLKRMKTNQVRNKCILLVVLLVVLMAAGTVVLLSVEDLDFIHAFYCVVATITSLGYIDKCFSTRGGRVFALFWILSGTIYLAQLLFTFAVLHTQRRQRSLVKWALKRKTTPADLEAADLDDDGVVVAAEFILYKLKEMGKISQEDMTPIMEEFERLDFDKTGTLSASDVLLSQSSWVSSRNPCHGLHFFYSHLHWQLVSKFPFVERSPPLSPFSNTQHHMDIGHLESGAGEVAMTPISGNQVSPTRQPEVSPTTGGIKPSEPSLENITCALSSGFQLMHKLREVSIILFIYMGAGTVCFYLVRHQMSGEKTNGVLDAFYFTIVLLTSIKYGDLCPDSTLTLLLASLFAIMGTLIMALLMSICARVLVKQSEVKQKIRLHKHQIGGSIEARISEQRKTNMKYMCIALVVSLVVLIVVGTVVLVSLENLDNIHAFYCILATITSVGSDNCFSTKGGRVFAVFWMLLGTFYLALAFLTFAELYTQRKQRSFEKSVLERTTTPEDFYAADFNSDRVLSISEYTLHKLSEMGKISQEDMAPIVEDFRRLDVNDKGALTLREITY >KVH99182 pep supercontig:CcrdV1:scaffold_459:123260:125192:1 gene:Ccrd_022584 transcript:KVH99182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transport 2 MASNDGKQGSSTKAETPFDLIHTVWYLFIGTIICLAYIGVAWICFYFVIHQFSGSKINSVLDTFYVTVVLLTSNGYKDLTPDSDIAILFATFFALTGVVVFGALMSLSAKIFLDSQLNLRMILQKLKQDPELSYLRVAKIKWKEGVVLLAVHMAGGIVFLVSIGNMNFFRALYCVSSTITTVLSDEECFSTKDRRIFAVIWILFGMITLSWMLYAFTEAWTQRSKWVLAKKSLQENPAIAGLQPSDDLDADGHMREEEFILLFAKEIEKLRKIGMEANINEKLGLGDSDKDKASSIEDKLLKYLNLDDLWEIFIYLVGYLGFSMVIFYAVNLHPNEGPFALTLASLFRLLGMFLFGLLLSMGSTFLANQQELRRRDIKSGDDRNTDTAKELKRKLIKRKGKSVAVYFVVVMVAGTVIVILTEDLHFVRAVYCISITITSAGTEKCFSTKLGRSFAIVLLLVGAIYKNYVLFTMMDVYIEIRQRLSIQKELPDEKSKPAEPEAPKPKAPKPKAPRPAEPKPEAPKPIAEPKPVEPKAPKPVAEPKAEMSKPAAPT >KVH99190 pep supercontig:CcrdV1:scaffold_459:219254:226487:1 gene:Ccrd_022591 transcript:KVH99190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MIDGSIGMACKCSVERRSVMYSNNLREFCFDRERKKFNRKNLRNVSTIPIFKRSFSRCRSVSGKSCIISMDVREKSRSTLFETSKHKRVPIYVMMPIDSFGVDTSGTPRIRKIKALTISLKALKLAGVHGIAVEVWWGIVERFFPHAYNWSLYEELFNLVSEMGLKLQVALSFHSNVHLSSRVQGVSLPQWITEIGRHNKDIYYQDQNGFPNADYLTLGVDNIPLFHGRTAIQCYEDFICSFANKFDSLMGTVIEEVCVGLGPSGELRYPAHPFQDGRWQFPGIGAFQCYDKYMYGSLKSNEPTNFMHTLFGECMMGAAFPFSSAALFIHIYRVCFCTVRMEDLRAVAWQEGKPDWANKGPPDAGDYNSFPTDVPFFEEGEGSFLSDHGHFFLEWYSDRLLRHADSILGVAAKLLQKYQENEQNSIRIVAKIGLLYWWYQTVSHPAELTAGYYNTAFRDGYDPLTSMLSRHGAALQISCFEMLDNETPKSFLCSPEGLLQQIRTASKKRVVELIGRNTHERFDEAGLKQIHSNCYDSQAEAVRSFTYFRMNDKIFRVENWNNFVPFVRRMSTHL >KVH99183 pep supercontig:CcrdV1:scaffold_459:176645:179523:-1 gene:Ccrd_022587 transcript:KVH99183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISCPMDPSVQNAPTIDEDEWDTDGFVIPSLGIEDPTQTITNAPQIDDSKHTIKAEKEEHIYLGPHGAPPSQTRQDVNSSGRKQRFKQKLKDADQRISGSGRENKLENLRDLVGGGKMSVNASRSSARDWLDPHCHEAQFERR >KVH94961 pep supercontig:CcrdV1:scaffold_4591:27734:29613:1 gene:Ccrd_002970 transcript:KVH94961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1191 MGVSLSYVLLVLLLLWPLVESQSGSEFKSARALDALLQDYAYRAFVIPKTGVSFNGVVPKNLTGIKISALRLRGGSMFTRGVPTYKEFRIPTGIIEHPYVERLVLVYQNLGNWSTTYYPLPGYMYLAPMLGLLAYDASDLSAKNLPELDIQASEEAISIHFGQVKPVPDGLKPKCVSLDLHGRVNFTNVVSGNRCSTFKQGHFSIVVKSTAPPAPLPPSTSESVPRPSHEGGGNHSRVWAIVSSAVGGCALLVLLALLVLWIRGYKRRKKMHKMERTADAGEALHMTTVGSSKAPAAMVTRTQPTLESEHAP >KVH94960 pep supercontig:CcrdV1:scaffold_4591:17410:22719:1 gene:Ccrd_002971 transcript:KVH94960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGISRASTFKEDTIHRSPNDDDTTTSSSAAPSSSLAAQAIRASAAHRDSSISSAYPNSAFHSSFKDGSKGSATYDYTSMGSTNEPGGFWGVLARKAKAILDDDDDVPRHFDSPSSVKPEAVSTSNQVQHQYESFENSRKMDNPTLRKGLDRFTSSLNQIGDTIGNALEEGRTIVDKKTHDIIQETRKLQNRRRGTNDEHNQVSGIQSQSQEPRMQSTQQQTNQENQIKASRDVRWQMCNCIFVVEATQYVSFPMKCIVAFCFGLQVAIATAAKAKLLLRELKTLKADLAFAKQRSSQLEEENKMLREALEKGDNPADDDMIRLQLESLLAEKARLANENSVYARENRFLREIVEYHQLTMQDVVYLDDGIEEVTEVHRGVSRMLSMSPPLPSSPRAPLKRTISEPP >KVI00703 pep supercontig:CcrdV1:scaffold_4598:16418:20678:-1 gene:Ccrd_021045 transcript:KVI00703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPIMDSSEEEALFRSYPYAALYFVQSPTTTVSHGHQDAVSFHSPATQRRLTLSHYSSSRGSTNSFLHDKKINPYAPHESPDHHDSSSVGRVVVKMGEKGCDFVDNENVHRGGGGGGDGAESEEEEEEEEKGFGKRMWDLVSFSESDSCAWIWFQISLRVMVSFGVALLFFYLITKPPPPIVSLKVERMSEFQLAEGVDNTGVTTKFLTCNCSINLHVNIKSNLYGLHIHPMVLTLSFNNIPLATSLETRALYASTNGPTSFLLYLGTTNKPMYGAGRSMQDILDSGKGLSLVVHVKLRSRFHVVGKLISPKYHHRAECILIFNRTYNKQHKTLMYDNHCNLL >KVI00704 pep supercontig:CcrdV1:scaffold_4598:178:1179:-1 gene:Ccrd_021044 transcript:KVI00704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMHAKTDSEVTSQTASSPTRSPRRPVYFVQSPSRDSHDGEKTTNSFHSTPVLSPNGSPGRHSRNSSSTRFSGSLRPGSRKGSSHHHHQQVRKGEKGFDAIEEEGLIDDDHRRGIPRRCYFLAFVVGFFVLFTFFALVLWAAARPQKPVITMRSISFDQFVVNAGADASGVATEMVNLNVTIKFNFRNRGTFFGVHVSSTPIDLAYTELTLASGS >KVI02283 pep supercontig:CcrdV1:scaffold_46:192083:194120:1 gene:Ccrd_019434 transcript:KVI02283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETKSNLVKVKPSSGIRDGSTAKTKFDSSVIKNRVVGGSSSKHSVDSKHKFSTTTTTNVSKTEAKGKSILSSSKTKTKTTVKPREKKVYSLPGQKFDVPEEREPLRIFYESLSKQIPSSEMAEFW >KVI02277 pep supercontig:CcrdV1:scaffold_46:496947:504151:-1 gene:Ccrd_019409 transcript:KVI02277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MSDLDRQIEQLKNCEPLAESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFRVGGDCPKTSYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQIRTIDRKQEVPHEGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTTFNHTNNIDYICRAHQLVMEGYKWMFNDQIVTVWSAPNYCYRCGNVAAILELDENLNKKFRVFEAAPQESRGAPAKKLAPDYFL >KVI02302 pep supercontig:CcrdV1:scaffold_46:20833:24370:1 gene:Ccrd_019446 transcript:KVI02302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein RVFCRVRPLLSDDVADTETKSVYFPTTTETLGRGIELLQHGQKHSFTFDKVFVPESSQEEVFVEVSQLVQSALDGYKVCIFAYGQTGSGKTHTMMGTPGNYDEKGLIPRSLEQIFESKQMLQNQGWKYEMQVSMLEIYNETIRDLLSTNRSGPPECGSKQHTIKHDANGNTHVSDLTIVDVRSSREVSYLLSRAAQSRYRRTLNLNDHSMDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEEHTLMVVNVSPAPSSINESLCSLRFAARVNACEIGTPRRQTSARQLDARFSYG >KVI02306 pep supercontig:CcrdV1:scaffold_46:270034:275358:1 gene:Ccrd_019428 transcript:KVI02306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraacyldisaccharide 4'-kinase MDEKLRKMVNQIAYTQRLYSLSPLHLSLVPLLFLSSSLYKIALSFRHTLYHFGFLRKHRLPVPVISVGNLTWGGNGKTPMVEFIANWFANEVGVSPLILTRGYGGADEAKMLQRHFNGTSVKIGVGANRVVTAASFLHRYGFIYPLDITRFEKPTPKSRVVSEKIGIVMVNALSPWGNLQLLPFGPLREPLTSFSRADAAVIHHADMVHDHSLSVIKSIILETNRFLPIYLSAATPSHFFKASNISCRLPLDVVFEKTVLCVSAIGSPSSFVQRIEKMGALYVDSLDYSDHHQFQMKDIEMIKARLQNLKNKFGSKPTIVVTEKDYDRDNKILIWLDPFDVFVLCCKLQILRHNGYSEDTFKMLLTRSLC >KVI02307 pep supercontig:CcrdV1:scaffold_46:256712:261604:1 gene:Ccrd_019429 transcript:KVI02307 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4094 MAWKNRGDSNSRNLVSRKLSFFLCIGCFLAGMLFTDSSYVGLKIDVIVIEAEKLKLLAEGCDTISKDVRRDSKDITGEVSKTHNAVQTLDKTISNLEMELVAARAMQDSIVTGSPISDDLRLLKPVRKRKYLMVVGINTAFSSRRRRDSLRATWMPQGDKLRKLEEEKGIVMRFVIGHSATSGGILDRAIEAEEKKHGDFLRLEHIEGGVRYHEPEHWKFGEEGNKYFRHATGQLYAISRDLATYISINQSADRIKEVHKRCGEDNNALWSASF >KVI02308 pep supercontig:CcrdV1:scaffold_46:325322:326316:1 gene:Ccrd_019424 transcript:KVI02308 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MTPFLCMLVIAEMVVILILLFRTPLRKLVMVVMDRLKEGRGPVMSTVAATLFVFLMSTLYSIMKIQQRSMENGAVNPTDHVLLANHILDASLMGFCLFLGLMIDRLHYYVKRFDDSEGIKPVNKAKKTYETSDSD >KVI02291 pep supercontig:CcrdV1:scaffold_46:474128:477052:1 gene:Ccrd_019412 transcript:KVI02291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MSASEEESWLEDYNRDDNRYHGSRGAHLNLKRAEKARILVSKIPALVDTLVAKTRTWEEEHGLTFAYNGVPLLAMLNEYANRRSDFSFE >KVI02287 pep supercontig:CcrdV1:scaffold_46:222142:226475:-1 gene:Ccrd_019430 transcript:KVI02287 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit MSRIWSRLSAYIRNRTFIGADKAGNQYYVRTEQIDGIMKEKRWVEFKGEDDPTSIPVEWICWLNGQRKIAPTPEEMAMLEARRQRVKENVALLKKEEEERKAREGISRKITSMGKGDRPDLKSFIQQLPVASKVDTSGKASEATGSNRNAKEQEDENVPHQAKEEPESAEPTGSGASFRPGTWQPPS >KVI02295 pep supercontig:CcrdV1:scaffold_46:425752:432196:1 gene:Ccrd_019416 transcript:KVI02295 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MVVGRITTRIARAVVTRCHSSLILRGRPINRPSIPISGNHFCSLPGSQNKVIAGQISLLHNSILSSSAFQRFGISSSASPQANEKSTSENGGPTTNKPDDAKENAKENDNGQGSGEEDLTVEDLVKLVTEKEELLKTKQEEIEKMKDKNFAKSLLDVADNLDRASSVVKESFAKIDTSEDSAGAVPLLKTLLEGVEMTEKQLAEFSKYQIPPSLLTLLQLCSRQDTHCMIELYALLKSG >KVI02282 pep supercontig:CcrdV1:scaffold_46:175836:177181:-1 gene:Ccrd_019435 transcript:KVI02282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKFGVFFNVFNRVSMAVLACIVSLGGATVGIITGAIKGPTTETGLVRGATVGAITGAITALQLMDMMANGEPFSKVCSDSFSVPTYPPSKSNLLSPSIFMDVICRLVELKRVLWRFLMGLRAMGQKGYLKIR >KVI02315 pep supercontig:CcrdV1:scaffold_46:327156:336966:-1 gene:Ccrd_019423 transcript:KVI02315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLKVHLPPPQPNTTTTATVVRPYAMQESPYVMKYITPVTITTTDPSQLTCDHNHQHPAVIFSTGGYTGNVFHELNENIIPLFITSRLFRSRVHFITVDHKPSFIQKYRRVFSRLTDHEIINPAVDSTVHCFPAAVTGLKFHKFLGVNTSENPQGFYSMPDFREFIRQTYRLKTKSVFDSRNPPLLLLISRQRTRKFLNQAEMVKMMEELGFRVIVASSDKEMSNIEKFSRVINSCSVMVGAHGAGLANEVFLPDGGVMIQVRPLGFQWGTDAFYSEPGPGMGLKYLEYRIEPEESSLAEVYGLDHPVVADTASVAAKGGYAAAREIYLNKQDLRINLNRFRETLIEALGFVGRPPKKMKCNNRKWVDHYRDIKALYFPSGKLVHLTVKKDDQHQSLQYLLTRLVGGEDRTKVESTGFACDSNSWSLVCVANKPVKIDMSTMQVHLQPSHLNLTSETENTTTVVRPYAMQENPYVINDITPVTITTTEPPRPTCDHNHQHPAVIFSSGGYTGNIFHEFNENIIPLFITSRLFRSRVHFIVVDHKPSFIRKYRRVFSRLSDHEIINPAIDSTVHCFPGAVTGLKFHKFLGVNTSENPQGYYSMPDFREFIRQTYRLKSRSVLDTQNPPLLLLISRQTTRKFLNQAEMVKLMEELGFRVIIASSAKEMSNVEKFSRVINSCSVMVGAHGAGLANELFLPDGAVMVQVRPLGFQWDVDSFYSEPAPGMGLRYLEYRIKPDESSLADVYGLDHPVLTDTASVAAKGGYEAARAMYLDKQDLRLNLNRFRETLIEALGFVRQNTQA >KVI02296 pep supercontig:CcrdV1:scaffold_46:400372:411318:1 gene:Ccrd_019419 transcript:KVI02296 gene_biotype:protein_coding transcript_biotype:protein_coding description:EHD1 [Source:Projected from Arabidopsis thaliana (AT3G20290) UniProtKB/TrEMBL;Acc:A0A178VAZ5] MEIDSAAISRCSTEHQKIYKIWFSAADSDGDGRITGGDATNFFVMSNLSRQDLKQSMSFNDGFSVGNLGFLYFVFTKYWFIHCGAPHSTQADGVSVRIMVVGLLLSSDFHIVCVKNGYARYSMPCFVFFGLYIYFFIIRSFLFYIGILICVTISPCPFIRHFKVWAIADSRRQGFLGFKEFITAMQLISMAQAGHALSSDLLNSDVDFENLKPPVMDGLDVLLAKKKRPTSDSESNGSSQMQTSSPSSWFTSSKSAKKVPLTAVTSIIDGLKKLYIQKLKPLEVTYQFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRRAHIGPEPTTDRFVVVMNGPDERSIPGNTVAVQADMPYSGLTNFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGNDDKIRVVLNKADQVDTQQLMRVYGALMCSFNDKPINSVTAGPIGTELFEKEQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAFIISHLKKEMPAMMGKAKTQQKLIDNLEDVFAKVQREHHLPAGDFPYVESFRERLSGYNLDKFEKLKPKMIQTVDDMLGYDIPDLLKNFKNPYD >KVI02299 pep supercontig:CcrdV1:scaffold_46:57366:58576:1 gene:Ccrd_019443 transcript:KVI02299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MKTTSHHKTTMVVVLGLFSLFLMIQDGHAYQFKVGGSGDWSLASCNSYDQWAHQSRFQIGDTVLFNYEANKDSLVQVSETDYKNCSTASPIAKYSDGHTAVKLTQSGPHFFISGNVDHCKNNEKVMIVVMADRSNRSSAAPSSPPSAAPSPPPSATPSPPPASEDYPSPPPAPSTPETDLTPAPGPSDENHPPPTPNGASLTVTNFLCSVGAFGGFSVLFGF >KVI02284 pep supercontig:CcrdV1:scaffold_46:196055:208080:1 gene:Ccrd_019433 transcript:KVI02284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSISNAKKTIPPAPAPADQIPGLTRAGSILGIPTKNIEDYYKIGRELGRGKFAVTSLCRDKSTGKKYACKSIPKRRLVTESEKQDLKREVMIMEHLRNQRNVVELKDAFEDKRCVHLVMEYCEGGELFEKMKSKEDQWLVENGEATDSPIDSMFLARMKHFKALNEFKKLALKIIADIIPHEELEGFEAMFRNMDTDENKVISREELESSLARLGSNLGPDEVQLISEAADADGDGCIDYNEFITAMMNFQRFHKKEHLVKAFQHFDKDKNGIISKGELKVALEEYKLGKATIEDIVSEVDTNKTDLETRDPSGAKQ >KVI02280 pep supercontig:CcrdV1:scaffold_46:153925:158544:-1 gene:Ccrd_019437 transcript:KVI02280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFEPPSFSLGLDFDLLDSDPHVAAASEANKDPSASGAAKILEDDDNDFDTLTVVDSDTENESSPPKLKRLRRGLPTVDAVVSSASVKSKANLDSAVVVDDDDIEEFSSPEDNHTDARLSTQHHSVCTSSKFPLSGHGVLTKQSGKRKQNVLDAPESVITSCNNPVFPKLTISPLRRFQLIDSDSDTDDPFISECAKNRTCTGSESSLNRRQPYLVQRVGLSEQGKLNEPISTFTRKDLWEDFQPEKSFHIPTPALDEVCEEYFRSMKDKSKSHGATGKSDRKSRVTNSIIDLGDPIPPAHRYFFHGDPRVQELVRTRLPNFFPLNAANRDSEQPSTSNIDYMGQFSHGENSKQAARTNKAETSSRKNSRKSNTREMPKGPVNSKSDTDKGIPKVAGKRRVQADGQPSGHWFMGPDRRRVYVSKTGQELTGRAAYTLYKKLREGYDTIVSNIIMKLAAASFIVNMVCSS >KVI02281 pep supercontig:CcrdV1:scaffold_46:169698:173506:-1 gene:Ccrd_019436 transcript:KVI02281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease L-PSP/chorismate mutase-like protein MAWTASSLARSLNVPAIDVRLLRNRALIVAGIGCASAAASSFWRSSLPSFSNRSNPFACLAMSSDASIKEAIQTDKAPAALGPYSQAIKANNTLFVSGVLGLIPETGKFVSDGVEEQTEQVMKNMGEILKASGVSYSSVVKTTIMLADLKDFKKVNEIYAKYFPAPAPARSTYQVAALPLDARIEIECIAAL >KVI02271 pep supercontig:CcrdV1:scaffold_46:536696:540951:-1 gene:Ccrd_019405 transcript:KVI02271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MGDTDDKDDKHAESHDTPPSGSVVHDDASESSCYATDEEDTQIHLGPKISIKEHLEKDKDDESLRRWKEQLLGSVDVSQEVEEPDVKILSLSIVSPGRPDIVLEIPESGNPKGLWFTLKEGSKYSLKFSIKVSNDIVCGLKYTNTVWKTGIKVDSSKEMLGTFSPQAEPYTHVMPEEVTPSGMFARGNYSAKTKFLDDDNKCYLELNYTFDIQKDWAK >KVI02285 pep supercontig:CcrdV1:scaffold_46:200945:208346:-1 gene:Ccrd_019432 transcript:KVI02285 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MAKGGGGQNLPPDVIQLIDQLERHCLAPDGSLVSKSVYNDLQLAREEMSRERLRYLEAMAIYCEAIGMVEDYQQAVSVANLGGIRDVHGLHSNLGLKSSPQVYEALEHRLVVAEAAQRLRLPLISKDGEVHEEEIEKWSVLSRSSLDSTTTNVTISSNSSNYTNISAINTGSSTNNAFPTAASDVGDPGVGGVPNRFLGITPGYLWQSQDVAEYQMPLLREIEIRLKVKCDKLADAFIDDLDSSTGSQNSSARLPERVKLIIEEIEREEAALREDLYSADRKFAEYYNDELQKTWLCKRCETMSAKLRVLEHILLLETYTQESVPALHKIRKYLVEATEEASLAYNKAKLENMQWTIHQVEMDLHRLPNA >KVI02313 pep supercontig:CcrdV1:scaffold_46:393584:395909:1 gene:Ccrd_019421 transcript:KVI02313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDGRLALISKRKVYSRCVSHAYDELQSFRSWLRWMCVDQSNGWTASLSWFVFVIFTIIIPSFSHFFLACSNCDNQHARPFDSVVQLSLSGIATLSFVSLSQFMRIYGLRRFLFFDKLCDESEIVRKGYTEQLNNDRVFLGLCPLPPHLLPSNPPFARFCSGFPG >KVI02317 pep supercontig:CcrdV1:scaffold_46:637306:639416:-1 gene:Ccrd_019399 transcript:KVI02317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATLILPNVPPPGSKSFSRINERSNNLYHGSRHRFSSRRKRISAIVEASAITSDPITPQITWQIVVGSIAGVTPFVVAGIEFSKRIVAQKQCVQCGGSGLVLMEKEYIRCPNCGGFLPWQSWKRFFSG >KVI02278 pep supercontig:CcrdV1:scaffold_46:107772:111911:-1 gene:Ccrd_019439 transcript:KVI02278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MWSKSSQRIKPPLTPLSTKPPSLSSHFAMIELKQRTLTSLFKLSDKDTHHIAAVDLHNIIETASPDAISMLLNSLYTAAGDNPTINKPSVKKESIRLLAVICATHTVSARSHLAKIIAHIVKRLKDSDSSVREACRESIGRLSFLYLKGEGAENDIGSVVALFVKPLFEAMNEEDKVVQAGSAMCMAKMVEMAANPPVSVFQKLCNRICKYLKNPNFMAKAALLLVVSRPSEDHRTSDHGENSKKNGFSSDHDDSRSAKSEKDFSNVEADEPDASCISRTVITLKKKAPALSDKELNPDFFQKLERRVSGEVEVVIPRRFVNSHNENELELNDTDGGSKSKEGYEPDDGRVNLRHDGQSSRRGELSETNDSSQREGYMSDKGNWFAIQRQLLKLERQQANLMNMLQTWILQFNGKRV >KVI02311 pep supercontig:CcrdV1:scaffold_46:280466:287939:1 gene:Ccrd_019427 transcript:KVI02311 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenase acyltransferase, catalytic domain-containing protein MFGVLRRKVISGSSSASIIGKSWRTTRPLASTTVTCFTPEGEVLLHPGIIGNIRSICHVSQPGGALNLRPLREVMTSLQPCVSMQMHSRMFSSDSGDLVDAVVPFMGESISDGTLAAFLKKPGDRVEVDEPIAQVETDKVTIDVASPEAGIIQEFVAKEGDTVEPGTKVAIISKSAEGAAAPSKKASEDTVSQPPPPPAAEKMEEKPKAKVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSEYKDAFFEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNAEKMNFAEIEKEINSLAKKANNGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNIIPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >KVI02298 pep supercontig:CcrdV1:scaffold_46:62054:66191:-1 gene:Ccrd_019442 transcript:KVI02298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein MRQRYDPEHDGRIIVGSVDCTKEPDLCKRHHIQGYPSIRIYRKGTDVHDAHGIHIHETYYGDRDTDSLVLKLDALASSIVVDAKRSSGVTVDITKRPTPPHEGCRIEGFVPGNLIVSASSGSHSFDASQMNMSHVISTFSFGSTITPMMMSDMKRLVPYLGGGRNRLDGKTYTTKPESDANVT >KVI02304 pep supercontig:CcrdV1:scaffold_46:28208:32259:-1 gene:Ccrd_019444 transcript:KVI02304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MLSKHFQSAEYLYRHVASSSIPKQLHCLALRLANEHATNANARLQLPSPELVPTLVDNSYFHFVLASDNILATSVVATSLVYNSLHPDNVVIHIITDRKTYSPMQAWFSLHPLTPAVIEVKALHHFDWFAKGKVPVLEAMEKDQRARAQFRGGSSAIVANNTEKPYVIAAKLQAMSPKYNSLMNHVRIYLPEVVFLDDDLVVQTDLSPLWDIDMNGKVNGAVETCRGGDKFVMSKRFKSYLNFSHPMISENFDANECAWAYGMNIFDLEAWRSTNISHNYHYWLEENLKSDLSLWQLGTLPPGLIAFHGYVHIIDPFWHMLGLGYQENTSIADVKNAAVIHFNGRAKPWLDIAFPQLRALWTK >KVI02310 pep supercontig:CcrdV1:scaffold_46:289943:290778:1 gene:Ccrd_019426 transcript:KVI02310 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MTPLLFTMLAIEIGMIMILLFHSPLRNLVMMGLDRLKQGRGLVTSRTVAATLFVVFVFNVYSIVKTQKRMMDVGSTNPTDQVLMANHVLEASLMGFCLFLGLMIDRIHYYVKELWLLRKSLKAVHSQIGDSDLIPSVNKIKKTRET >KVI02316 pep supercontig:CcrdV1:scaffold_46:641187:642215:-1 gene:Ccrd_019398 transcript:KVI02316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MTQRIVIKMQPKCGRCRTRAMMVAAQASGVYSVELQGDNKNQMVVTGDGINAAALTSSVRKKVKHASLETVQQI >KVI02270 pep supercontig:CcrdV1:scaffold_46:578294:583880:-1 gene:Ccrd_019404 transcript:KVI02270 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD synthetase MLANQKLFVHLNGGIRLPITVQGASRRVLSLSSSDMKATSQQVDDGMPLSKQLSSVAGGIVALGKFDALHIGHRELAVQAAKAGTPFLLSFTGMGEVLGWEPRAPVVAKCDRERVLSSWSACCGEVTPTELEIEFSKVRNLTPRQFVEKLSKELGVRGVVAGKNYRFGYRAAGDASELSRLCEEYGIESYIINPVMDKKQSILNRGFNDAKERGQVSSTRVRYALSEGDMKYVSELLGRQHRLILMLNDQQSFTIDRSRISFPKSRLLNLPPKEGVYEDCRIVFGNDDVVACRVVVETTDIRLELVEIDRVYDPNDSRHLNIEFGA >KVI02290 pep supercontig:CcrdV1:scaffold_46:471021:472624:1 gene:Ccrd_019413 transcript:KVI02290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MTGVDVQSPLLGETTCRSLMQQLQKIWDEVGENDEERDKMLLQLEQECLNVYKRKVEQAAKSRAHLLQSLADAKLEFSTLLASLGEKSFVGIPEKTSGTIKEQLAAIAPALQQLWKQKEERIKEFSDVQTQIQKIRGEIAGSNEQAGGQLAVDESDLSLKKLDEFHDHLQELQHEKSERLNKVLEFVNIVHDICAVLGIDFYSTVTEVHPSLNDATSIQSKSISNDTLARLAKTVLALKEAKKQRLQKVHTF >KVI02294 pep supercontig:CcrdV1:scaffold_46:416109:423756:-1 gene:Ccrd_019417 transcript:KVI02294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGKKVMPLVLLGQFLTELIVIHAKVSSIIVFGDSSVDAGNNNYVPTVLKSNFQPYGRDFNGSLPTGRFCNGRIATDFISEALGIRSIIPAYLDPRYDIVDFAKGVSFASAGTGYDNLTSAVFSVIPLWKELDYLKEYHNRMRGYLGALKANKILGEALYVISLGTNDFLENYYTLPVRPATYTINEYQDFLQGIAKNFVTNLYQLGARKISVVGLPPMGCLPLERTQNHFPESNCTREYNVVAKDFNEKLRQLVRKLNDELGGIQLVYTDIYKILSDVIKNPHSFGSTKPRIRLTTRSSAPAIISTDGHRRQFPTSEQMVGASSRLLSLLSNPTYLLRHRSFALFRLARCRSLTFPLTTLSAAADRRFHLSRHTSLIPIKLTQNFCSRPTYNAFQENNQIPANDHHAQPSHPWVEWNRLLEFLSVEGYFNGRNNVENEFAANENLSMEFVAVANSCLAFARDKPDILGLLPRKDIEVLIDDGYPFLFKNAHETERRMRSFLQVEGSNEASSVDLMKYILSYASNPIIYPERYIKEATESSVRSLVQEITNLSYRGPYAKQFQAVGRGEQMPRILGPNITMKRGDWICLKCNFFNYRRNTVCLKCECRRPGEVSLPGSPWQAKTPSERQQTDSHYRYNYDDNGIPNDGNNFTVRNKNLEHSVNRNPDRFLGNTSTGDSGTYSNNIDRGQNGRKDGYVPFVPLPDMSPKNPEKITDEHSEEQCSEKSTTYVQSRHISDVDKEEKSERWFKKVKELHGVTGPTSDFPQIMPTRNGENRFVDRTKKDRPFSPYRKQQAMEQGNASSYVPFVPFPPDYFAKKDNQQQPKSPDSTVKPADDISSGHSHVSSAISSGHSHVSSAISSGHSDVNSAISSGHSQGNQHMSSTNKLDLNAHSSSSQFTRKETVNIGQKTPENESGWTGKSLEGSAVTESDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSPQYRKNLRIMSSDPIKREGDDDT >KVI02289 pep supercontig:CcrdV1:scaffold_46:487754:494672:-1 gene:Ccrd_019410 transcript:KVI02289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MDEMAVDASMDVSEVRSGSLGEKRSLEDVIERDLCNKKARGGSDRGMAFGVKKVAEMVLVLATMAEMRGGRKPTAVEMEKSEVFPIHAATYTPRVAESRSTSHSVRTFPSGKVDHAPVSSGSFQHQSTVVHASPVSNSRTLPYQLPTSEIRPGGSNVLPGSHLGRNSTALPIPQGGRPHLRSEEISNAKPAGDHGTSNTPTWSVHSQSISFAKPGSDKGSAHLTNINHHVRHRMNFVQSPVVGTHNEISKIVQKILQPHMAERVTWTPPSRDYMNKALTCQTCKGMINEVDTALVCDACERGYHLRCLHCNPKAICGDEWHEWHCAKCLAISNGKPLPPKYGRVMRNISTPKMSSGMSGVQPSLEKKLQSSDEKFNQQLMTANSNPGSQSVPSEIMRDNNGSTDMKINGIRECGIETSSSEKCEKKLESHKPANSEALNSLSDHLQPTGNHQDNNQEGMLSTTDTLECNPKGEIREDEKGVEKGNMVKTSETCTQVGEEEGSSSNSMHDVEWVGGMLNEEDGKSFYRSCCISGIGMWEDSKTSKKWVTVTRCFFPGDLPEGVGRPCAPESNEVYESNHETTLAAGLIRGPCEVFPPCKLSEERVMQTRSWTTTSDKPKPLFLCKWFYDEKKRLFRDVTC >KVI02274 pep supercontig:CcrdV1:scaffold_46:633201:635274:1 gene:Ccrd_019400 transcript:KVI02274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHHSIPQLRCTGSDTAAGLRAARAMHTLWASLRGKVYCRGKRTDVILGTERPVLARTCIHRKHSEELNQNPRN >KVI02276 pep supercontig:CcrdV1:scaffold_46:508859:514033:1 gene:Ccrd_019408 transcript:KVI02276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDPWTREYNEASKLADDITSMISERNSIGSGPEAQRHASAIRRKITILGTRLDSLQSLLTKLPAKQPLTEKEMNRRRDMLGNLRTKVTQMASTLNMSNFANRDSLLGPDIKPADAMSRAAGLDNSEQDEGLEKLEETVISTKHIALAVNEELDLHTRLIDDLDEHVDITDSRLKRVQKNLAVLNKRTKGGCSCLCMLLSLIGIAVLVLALWLILKYL >KVI02305 pep supercontig:CcrdV1:scaffold_46:25553:28918:1 gene:Ccrd_019445 transcript:KVI02305 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1995 MTSNLPNFQLNPLINHSIRSHFIPAFSIDSSNYQLHKPKKIPPSLSVHCNISSPPKSKEEAIRQAKTCLSSTLEKPLNNPKLAGKLKKLKQPRFRVEIPVIDDSPDSLTQLALQVFDEMPLKRKGSNVRLSILWPNSTLTEAAKKASFGSVEHVDISSLTNMGIGGNTVLNSADVVVFLTPEASQMEVMETITNTLYPKPVVIFNPRWSYEEEESSLGDFSGFLGSFEVIYSFMGLEVRGLLSKKNGVVFKCVRDGVLSGERWSVLVEEEGELKVVSRFKTRPSITEVENVLYNLMAIKSPITKSAKFLKGLISNDLMNLSEKLTYLVHNARSCGNAISSHGFARPLKWITAAFFTSAMLVFSWYPSPNICQKGSIIWTYPWKAINPGGNVPSCHKLRSDFKFCTKKASYYLLEFCTKKSR >KVI02312 pep supercontig:CcrdV1:scaffold_46:396791:398610:1 gene:Ccrd_019420 transcript:KVI02312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLRSATRITHKAQGVTCLAAKWHVCATIDVFESPEADTETPTIGVFSSQSFPCEASSSDYDDVGSEEDELDNTKLIPAYAYSTISFQKRQALVTYFENNKAGITVFGFMLDRSSIHTIVTIEMSLVLWLLGKTIGIS >KVI02275 pep supercontig:CcrdV1:scaffold_46:624997:630927:1 gene:Ccrd_019401 transcript:KVI02275 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDDQHSPHSHSSTTTSNGDGGRQGGGDAVGVGSTAGGGGGAKYKLMSPAKLPISRSTCITIPPGLSPTSFLESPVLLTNMKAEPSPTTGSFFKSSLMQRSIGTAAYSLEANCSARKALDDSNSGFFEFRPHSQSTSATQISSGGFQVSACSNLQRGEPSGQYQNQSEPRSYGSPSAARWEMASPKEQSLTAPAYMPCEDTNGSGKPKDSGPAIQVDRSSDDGYNWRKYGQKVVKGSEHPRSYYKCTHPNCEVKKIFERSYTGQITEIVYKGTHDHPKPQPSRRFSAGALMSIQEENSDKVQYPTYQAGLSANNGQNPNFEASGTPVQSPRQANQDSTDGSVRQLNRTNDEVDDDDDPYLKKRRTDFSALDVTPVVKPIREPRVVVQTTSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSAGCTVRKHVERASHDPKAVITAYEGKHNHDVPIMKNSSHDATICGISRTRSEETDALCLDLVVGNRIPDQPQPQNAATLHSQVHVSNSNFRKVVHWNDVYGTTTDNDVEGCNVDSTTLNHSSNPYPQNLGRILLGP >KVI02301 pep supercontig:CcrdV1:scaffold_46:66826:69086:-1 gene:Ccrd_019441 transcript:KVI02301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MIFLFGMELQNFLAISTSSAIVIDKSSIDDSLRVDFNISFPVLSCEFASVDVSDVVGTYRLNITKTVRKYSISEHLQTNGFEFDYEPVTHTVKHDDKVDEGYGEGSVRLNERNFDRITHMHPIVVVNFYAPWCHWSNRL >KVI02293 pep supercontig:CcrdV1:scaffold_46:456731:464355:1 gene:Ccrd_019414 transcript:KVI02293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor MELCSSAPPTSFYLKSCVVSPPISSISLSNSLRPAKGFTSLRISRACRQFSHSPRKLSICARASAPPAANDTVKEPLPADLVVQETQEPNCRIRLSIEVPSIICEDCHKRVINEFMKKAKVPGFRPGKKVPESILVSYIGKDGVQKAIVEAILKRTLPHAMSSVDGRALKDSIRITTTFADMEKTYSSLNILRYELIVDVAPEVKWIPEDGYKNLKIVVELDSEIDAKTSAERELRRRYKSLKQDGSEVKNVPAAESKGFHFDTEDGDRVIPGFLDSIIGIQGGETKSFPLVFPESWKQEDLRGLPCQFTVECKELFYRELPEMNDAIADKLLPGCTSIEQVKESLLERCLELEQTAKDQATDNAILDQLREMIQVDIPQSLFEEQGRQLYGARLLQIQANMKLNEEQLATLSGPTAVREFLENQRENIENIIKQNIAVGDIFKRENLQFSTDELVKEVENSVAEFKKHKQEYDEESIQEQVQEVLEGAKVLEWLRENADIEYVTK >KVI02268 pep supercontig:CcrdV1:scaffold_46:520980:530756:-1 gene:Ccrd_019406 transcript:KVI02268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MDCKRYLTPKDITEGSANLNLAFVAQIFHQRNGLSTDSKKISFAELMTDDEQMSREERCFRLWINSLGISSYVNNLFEDVRNGWVLLEVLDKVSPGSVNWKHATKPPIKMPFRKLENCNQNLRSSSQGKEIADADILKWANKKVKNTGRTSQMDSFKDKQLSSGIFFLQLLSAVEPRVVNWNLVTKGESDDEKKLNATYIISVARKLGCSIFLLPEDIMEVNQKMILMLTAAIMYWSLQQSRDESESSPSSVAATPSVSGDEDNSVGAEISNLSIDDAGSDTTVFILIDLLVFVSNAVVGAVAGVELEPPSDEPYLSTSLQDFWGRRWNLMVTNSLRHTVYKPVKSVLPAKDWATATAVIATFIVSGLMHELLFYYVVPVSPTWEMTSFFVLHGICLVVELKVKRAMARKYEFPTVVARLLTVGFIILTSFWLFFPPLIKSGADVKALEEFKFFVDYVKNLFTSPWM >KVI02300 pep supercontig:CcrdV1:scaffold_46:92829:101089:-1 gene:Ccrd_019440 transcript:KVI02300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEGDTDWEIHLRTLSSSARESNFSNDPASDQSLLHSVRKLTALCKSESSENLTARVYPQLNKIFQRSVSSISRSRSSSGLLLLAILQFFLDYGEFLLHDADPSLRTFFRSCLSREFADPVVAEATLDFLNLNKKKILLSFPTLLPQLIAWNGEKLEKTFLRVFPGLMSPGSFLPLFISLVDLPVLVVALEKVERSSGPLIGSSIASIQKSAAPEQRHWTSPGMEVALQAAISLPSDRLKQALKLAPRLLDVYFSIALHDVNHSLKCALIPMLLDRNSKLFPDKLFTYEVRKRLLNFILAAFHQSPEFIAILKKPIVDRLGEAYDSPEKAELALQLCWAIGEHGGGGASHKDEARELFESLELLLYENLSSSRLGVGDSVLGSSSSTVRRSSQSRLLCFVVTAIAKIATHHRELLPRARVSLGKVAHSRISDARVWKRARDYLGLMNEPAICLSVLGPSGPSSGSIQKPGTINWSEGRTKMIANIPFYILGGQEGLPPHDFSLMDILPGKLGTYALYCFHV >KVI02309 pep supercontig:CcrdV1:scaffold_46:315335:324519:1 gene:Ccrd_019425 transcript:KVI02309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase, C-terminal all beta MITSLSLLARIPLSPTNDGSVSSRSFTRRRSIVFPSKQWRCSVSPGQPHPPKQRQRQFKNTEDGEKGVDPVGFLSKSGISHKGFALYLRERFELLGMHRNVQHRVDYMEWAPDKLRDGEDPDELYFQQYNYVDDYDKGDSGVTAEEIFKKANDEYWEPGEDRLIKSRFEIVAKLYEEIFGPNGPQTEEELEDIPDARTRYNMWKEQHKDDPPTNLPAYDVIDSGKEYDIFKVIDDPVSRAKFRAKKPPIAYWLETRKGRKAWLKKYAPAIPHGSKYRVYLNTPSGPLERIPAWATYVNPGTIFVNSSSFYRVLPHVKEAGYNAIQLIGIVEHKDYFTVGYRVSLMFYNQYVDRAALLYLILANEILHDLHPNIITIAEDATLYPGLCEPTSQGGLGFDYFVNPRASEMWLSFLENDQDSNWSMSKSISGGRSFAEILYGRALKQPSTMNDSLLRGCSLHKMIRLITYTIGGRAYVNFMGNEFGHPERVEFPMSSNGFSFSLAHRRWDLIEQEGVISYLRGPFLFIFNFHPTNSYDRYNVGVEEAGEYQVILNTDERKYSGQGLIEQDQVDGMRNCLEIPLPSRSGQVYKLTRILRV >KVI02292 pep supercontig:CcrdV1:scaffold_46:433339:437680:-1 gene:Ccrd_019415 transcript:KVI02292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGSRTGRPSSRYGSAPIVVLEGKKKETEKTDPDVKEVSKTNQYIAQGTSENDDNEKMSSDNVLSAKAQASEKSATEDLNKHPMDLDVGLDHDTNKVKVADWVKAAQRGFAR >KVI02288 pep supercontig:CcrdV1:scaffold_46:478432:482985:1 gene:Ccrd_019411 transcript:KVI02288 gene_biotype:protein_coding transcript_biotype:protein_coding description:sorting-associate protein Vta1/Callose synthase MANENEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLRIPQSERTKTTSSLLVSLMKQLEKDKKSLQLGPDDHFHLEGFASNVFAKADKQDRAGRADLNTAKTFYAASIFFEILNQFGDVPLDLEQKQKYAAWKAADIRKAIKEGRKPVPGPPGGDKDLSDTSSGGYDMEPSRSDSTTGYAPESRPSSQSYDRSDSQKFTNTFSSAPPDIPPPPHSTIPPPHSTMPPPSSNIPPSPSNLPPPSSNTHPPPPSNFTPSSSLPPPPTYSSDDYPSNHFQQHPSPNMGDHPNYSQTYHHPSSFPLEPQHHLPQNYPSHDASYTYPNFQSYPSFSETTIPAAPAHYPSSYYQGSETPYSPPATRPSDHPSTAQYKPSGANGPISEPAPAAAQSYQYDSNYQPTPEKIAEAHKAARFAVGALAFDDVFVAVDYLKKSLELLTNPSASV >KVI02269 pep supercontig:CcrdV1:scaffold_46:515368:519241:-1 gene:Ccrd_019407 transcript:KVI02269 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, subunit e1/e2 MGFMLTTLIFIVIGMIACLCTRICCNKGPSANLFHLTLVTTATVCCWMMWAIVYLAQMNPLIVPILSEGE >KVI02297 pep supercontig:CcrdV1:scaffold_46:412488:414204:1 gene:Ccrd_019418 transcript:KVI02297 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain-containing protein LHRDLLLIFLETEQTAKDLKNQPATSYRRRKNTLPIHLIWLLLRRKPPLSTVKMLPQRMKKAVTDNPKKLATLIDLVNLPSTLREFVGQSQSSRLGCFRRVWSHIKDNNLQVELAELPMLIKLHFPKAQN >KVI02279 pep supercontig:CcrdV1:scaffold_46:120827:126037:-1 gene:Ccrd_019438 transcript:KVI02279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSLQQAQGFGCRSNVVTHRRKPLVQFSVLPSISVPKVPSFSAVSLSKPLHISSFETYGSLEIESKRKPLVFCKAYEVDRPEEGGLEKVESARKVKIGFYFATWWFLNVIFNIYNKKVLNAFPFPWLTSTLSLAAGSLIMLISWATKVAEAPKTDLDFWKSLFPSGEPAFSVLVSRFILGETFPMPVYLSLVPIIGGCGLAALTELNFNMTGMKGKSVSGMNYYACLSLLSLLILTPFAIAVEGPQLWAVGWQKAITEIGPHFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFRTPVQPVNALGAAIAVLGTFLYSQAKQ >KVI02286 pep supercontig:CcrdV1:scaffold_46:209668:219226:-1 gene:Ccrd_019431 transcript:KVI02286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase large subunit, alpha/beta/alpha MHIAITTSSSSSAILRASSRVRFSKPSSLFLSRASFPSLPFRSLSTSSAFRSVSRWSHGVGWKSSLTLRAQIGTVTPVLQQFQRNIVSMASENPFKGIFTTLPRPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKHDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNRLGGDSKKINPLVPVDLVIDHSVQVDVARSANAVQANMDLEFQRNNERFAFLKWGSHAFDNMLVVPPGSGIVHQVNLEYLGRVVFNTDGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKLQNGVTATDLVLTVTQMLRKHGVVGKFVEFFGEGMRELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDTVAMIEAYLRANKMFVDYSEPQQEKVYSSHLQLDLSDVEPCISGPKRPHDRVPIKEMKTDWHSCLDNKVGFKGFAVPKEAQDKVISFPFNEQTAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGGFNIVGYGCTTCIGNSGDLHESVASAIADNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTTKDGKSVYFKDVWPSNEEIAEVVQSSVLPDMFKSTYEAITQGNPMWNKLSVPSSSLYSWDPKSTYIHEPPYFKDMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKLLNGEVGPKTIHIPTGEKLSVFDVATGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADTLGLTGHERYTIDLPSKASDIKPGQDVTVTTDNGKSFTCTVRFDTQVELTYFDHGGILPYVIRNLIKK >KVI02314 pep supercontig:CcrdV1:scaffold_46:357600:368988:-1 gene:Ccrd_019422 transcript:KVI02314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MSGVTNEEDKKPAGDQGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVELNSIAFLFDVKETEKKRVVEGVGEAALLIQNPLIDSPEKMSGVNNDEDKKPGTGGDQGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVELNSIAFLFDGRRLRGEQTPDEDPLP >KVI02272 pep supercontig:CcrdV1:scaffold_46:596620:605735:1 gene:Ccrd_019402 transcript:KVI02272 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MKQKDRGRSIQLLNGIRRRLAILGFCLLSLSRALFFPFQSRFESMESPQSVVSPLKTSSVYPEPEKHNLDHFTRIPSFESKKTESAIGVLEVYIHQARDIQNICIYHKQDVYAKICLTSHPEKSVTTQTINGGGQNPVFNQNLCIDVPTIDSSLKCEIYMLSRVRNYLEDQLLGFALIPLSEVVIKNGKLEKEFSLSSNDLFHSPSGFVQLSLAYNGPSPDVIAIPPPSKTKNLVLTESEPPVLNQTELDKLEFPDPKIVNENQMMVSEYFGISSESLVSSDNDDQASSENGAPFVFETPKPDSPPTSVSTNGSHCGSVPVESTESESSDKPPKSANQESDSPPKDKPVEVGSMGDSRNEPVEVGSMGASRNKPVEVGSIGDSRNKPSMAMNFEMDQKVVQQDFVDLYMKSMQQFTESLAKMKLPLEMENEQTSSGNSSTDQNTQTPNGTRPRTTTTTTTTRNYRGRIDCRQGESRLLVEVNKRRRSDERIDGYGGVDLINSRSNDV >KVI02273 pep supercontig:CcrdV1:scaffold_46:591021:594104:-1 gene:Ccrd_019403 transcript:KVI02273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKISSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKPAATATKKEGEEAEAATEEAKKSNHVVRKLEKRQEERKLDSHVEEQFSSGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAA >KVI02303 pep supercontig:CcrdV1:scaffold_46:948:4674:-1 gene:Ccrd_019447 transcript:KVI02303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQMVSFIATIFLVFPLTAISESNIDPQYLPLDNLINLEEMMHKETAELVWANCGTELMHLIESFQDLQSSSNFDRTLLEHERILKSLAFQDLQIKKTILDCLRDKSVGFLESGEDKHPRKWFMEFLEYFSEYFSSGISNARRIRRLAEADSPVSSPSPSPSPSPAEAPSIRKPPSPPFFPKLNDSSSGKDAAESPLDSGSKSQSSSGNNGSHRKIIIAVVVTATVTFFLAAVLFCCYTRICGNRRGQNDDRPLLSLSLSDYSMNGAPYKTSYNLSNSVHNIGNIGDESLYSNSNLQKMDSSFDPRVSFDSNIPLGIPLRPPPGRVEVESSLRPPPGRVESSLRPPPGRVEVESSLRPPPGRVESSLRPPPGRVESSKRPSEIGAPSPPSTTAPPPPPPVSPRPPTAGPPPPPPPPRGAPPPPPPKPNADHTRKNSASQDPGFQYIQIIDPKKAQNLSILLKALNVTTEEICDALKEGNELPVELVQTLIKMAPTADEELKLRMYNGNLSQLGTAERFLKLVIEIPFAFKRLESLLFMCTFQEEESMIKESFVTLEAACVELRKSRLFLKLLEAVLKTGNRMNDGTFRGSAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGIKAVRVTRESKSFRTDDLLKEASSSSQETEERYRVLGLQVVSGLSSELENVKKAAIVDADGLTSLVSRLGHALVKSREFLDTDLKKIDEDGDEFQRVLSNFVKTAGKEITWMLEEEKRIMALIKNTADYFHGKSGKDEGLRLFVIVRDFLIILDKVCKEVSNYPVSTPRTQKNDELQGGVQRKDDQAVGGGPSEPRKTPFFDQHQRLFPAIAQRRMESSSSDED >KVI03534 pep supercontig:CcrdV1:scaffold_460:25733:32695:-1 gene:Ccrd_018173 transcript:KVI03534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 MAMTVEGLCNIQQLVANVIRWLRLSCMFWSLCHACSLVVDPLNIYSAGMVAVGSIAIPIILRHAHLISTGAMLIEFMSFFIFVCTVMCFHRANLEDE >KVI03537 pep supercontig:CcrdV1:scaffold_460:87315:89961:-1 gene:Ccrd_018169 transcript:KVI03537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyl transferase, C-terminal-like protein MKITKRFNRVVEPLNDPKPLITKIKPNLKQKSKTKPRTIKQKSQSQSHLRSLESKPTLLLEKPLILGSEFYQIDALDLAPRLLGKYLKRDDVVLQITEVEAYRSNDSACHGRVGVTARTAPLFGAGGHAYVYLCYGLHTMLNVVADKEGIGSAVLIRSCAPVTGLETIKARRGLDTEKPVLLTGPGKEIVVGRRVGIEYALPEDVGALWRFAIAGSPWISAPKNTLSPTPTPS >KVI03539 pep supercontig:CcrdV1:scaffold_460:168918:173209:-1 gene:Ccrd_018163 transcript:KVI03539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF952 SHFPASTEHSSLSRSQTRSISLSSATHSLCVTISTSTLVRVVRCSNCQTGAEMAGNTGVHESEEFVYRISTADEWSELQTSRCTFGQQLDKDSGFIHLSKLNQVKSTLERFYLGTTKDLFLLQIDSEKLGDGLIYEAVDDSNVFPHFYGPSRSFTPLAFDMVVKAERLVLSNGQFICSMLN >KVI03540 pep supercontig:CcrdV1:scaffold_460:202242:202881:-1 gene:Ccrd_018160 transcript:KVI03540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMRVLHLVSAIQFGLILSRLLSCPVLSGSKPVFQAIRDEPMKDRSPPKEPRNLKSLLAAVKKSVLWFKLYIHVSSIFLNLRG >KVI03544 pep supercontig:CcrdV1:scaffold_460:146660:147355:-1 gene:Ccrd_018164 transcript:KVI03544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MEPNGVASYRHRRSPSSDRFLGVFSPPSSSVVISGGSSVAGDDFSEDDVFWTGDFAEQKRQPGDPVKGNNWNPSANHQSFRHQDKFGILAALPEDNGRKPNNRPVLNRRNPTISSPTTPASSSSRLIPGIPRPHKQERDFSQSMPLKFQQSAPVNVPMFARKPRTGGELADVEIGEDDEEEMLPPHEIVARGSSNSPHTTFSVLEGAGRTLKGRDLRLVRNAVWRKTGFLD >KVI03535 pep supercontig:CcrdV1:scaffold_460:37375:50264:1 gene:Ccrd_018172 transcript:KVI03535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLSVLRVHLPSDIPIVGCELSPYVLVRRPDQTVFTDDVPESSPIEGHFLRYKYRIQSDKKVAICSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHERAASAVNENGNEEEEIFGRFNNNATSNASLSSSQSLPSLANGATPLYPAAATQRSGGETWFEVGRSKTYTPTADDIGHVLKFECVVVDAETKSPIGHGSTILTSRVIPAPSPSPRRLISVSNVDVAGHLDVDGRSSSTGTFTVLSYNILSDSYATSELYGYCPSWALSWPYRRQNLLREIVGYRADIVCLQEALYKKKTAEVFNGSIMTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQKKTALNRLVKDNVALIVVLEAKFSNHGVDNPGKRQLVCVVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLAIGKVDPMHPDLAVDPLGILRPATKLTHSLPLVSAYSSFARIGVGLGYEQQKRRVDPATNEPLFTNCTRDFIGTLDYIFYSADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRTRR >KVI03528 pep supercontig:CcrdV1:scaffold_460:249470:252156:-1 gene:Ccrd_018155 transcript:KVI03528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MGGFNVFVWGMVGLVCFFPMLNHFNGGVMAFHKISLHLQHRSAAKVEDDYRTGYHFQPPKHWINAPMFYKGFYHLFYQYNPKGSVWGNIVWAHSVSEDLINWTPLDPAIEPSKPFDQFGCWSGSATILPGEKPVILYTGIIAKEPEPGYQVQNYAIPKDYNDPYLKKWIKPDDNPIIKPTKENVSAFRDPTTAWKLNGQWEITVGSKQDTTGMSYLYRSPDFIKWTLVDHPLHQKEDTGMWECPDFYPVATTGKDGLDTMVVEGDIKHVFKVSLDITRNEYYTIGKYDTKEDKYIPDEGMIDGWAGLRYDWGNFYASKSFYDPAKKRRILWGWSNESSTEHESADVDVVFTLPGLDKAEVYDTNWDKTFPPESIARNICQVKGTTEQGGLGPFGLLTLTSKHFEEYTPVFFRVFNTPDTKHKHEYKPSFGGFVDVDLADNKISLRSLIDHSVVESFAAGGKTVITSRVYPTKALDDDNAHLYVFNNGTTTITVEKLDAWSMKKPKMN >KVI03533 pep supercontig:CcrdV1:scaffold_460:63407:67720:-1 gene:Ccrd_018170 transcript:KVI03533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MAPGGGVCKDVIESHPKALKYETAGTKNDKSIIRTATGKHGMQSTNGVHELLECPVCTTLMYPPIHQCPNGHTLCSNCKTRVHNCCPTCRLEMGNIRCLALEKVAESLELPCRHQTLGCHDIFPYYSKLKHEQNCRFRPYNCPYAGSECSVTGDIPYLVAHLKDDHNVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCYGRQFCLHFEAFQLGMAPVYISFLRFMGEDSEAKKFSYSLEVGGYGRKFTWQGVPRSIRDGHRKVRDSQDGLVIPRNLALFFSGGDRQELKLRVSGRIWKE >KVI03532 pep supercontig:CcrdV1:scaffold_460:51943:60407:-1 gene:Ccrd_018171 transcript:KVI03532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSCCGGSEEDVGGTPSNNITTAPPRGPPYGGGSDRGEPRGSGPAKSGVPQKPVSIDKPALSLNELNRMTDNFGTKTFIGEGSYGRVFYGKLSTGEEAAVKKLDTSSSPEPDNDFTSQNFLMKRDTIVSANMHFSLFYEYMQLSLVSRLKCEYFVELLGYSLEANNRILVYQYATKGSLHDVLHGRKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPPIVHRDVRSSNVLLFDDFQAKIADFNLSNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAMAAVAALCVQYEADFRPNMTIVVKALQPLLHPKPPGPESQAS >KVI03542 pep supercontig:CcrdV1:scaffold_460:105099:106486:-1 gene:Ccrd_018166 transcript:KVI03542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILAPNSHILYRELHHREHQQQPHQQNWSNGCCSNAIKTCGFGFDRRKMDHRFKKIEKRDCKVAAFWDPSRPAFVELEPITDADHLDRILEQAKEASQPIIIDWMAAWCRKCIYLKPKLEKLAAEYDTKYGFLWKDGEMQAEVIGGHKAWLVIEEVRQMIQNFI >KVI03541 pep supercontig:CcrdV1:scaffold_460:190036:192934:1 gene:Ccrd_018161 transcript:KVI03541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVCSRQLFLHLRGFVGSKLPFSSALVSPRFHLPENKKNWGSRLVLTGEFTGCVVPRASPTNGNITPAGDDEEGVSLGTMRLPMNTDLDRFEALLFQWANSLSQGAQLPLPMPLKVDKIQGGVRLGFITIGDGVTEVPVYIDCLVFPAVAGSTPVFRAVRDGPMKDRSPPGEPRIMKSLLAALKKSVELATL >KVI03538 pep supercontig:CcrdV1:scaffold_460:177492:188491:1 gene:Ccrd_018162 transcript:KVI03538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox homologous domain-containing protein MITTQRSATGGGGLQSPRSPSSQPPYLTVSVTDPAKMGNGVQAYISYKVITKTNFPDYQGPEKIVIRRYTDFVWLRDRLFEKYKGIFIPPLPEKSTVEKFRFSAEFIEMRRQALDTFVNRIAMHHELQQSEDLRTFLQADELTMERARSQDTGIFKRKPSDLMQIFRDVQSKVSDVVLGKEKPVEETNPEYEKLKRYIFELEDHLAEAQKHAYRLAHHLLMNFEEPLKDYVRAVQSIKGTIAERANAFRQQVELAETIKLKEIDLNKFRLTRSEKMSEAEHEYEELKADGEEATKRFETIVRLMNEEIVRFQDQKTQDMGLAFHEFAKGQARLANGIADAWRSLLPKLEALSSS >KVI03527 pep supercontig:CcrdV1:scaffold_460:245995:246993:1 gene:Ccrd_018156 transcript:KVI03527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetylglutamate kinase MLAAKILLPGPSISQTLTFPSPSIKPSSFKSSCLKSSSQSVLTETLSITPSKTRVKILSEALPFIQKFRGKTVVVKYGGAAMKSEALQSSVITDLVLLSCIGLRIVFVHGGGPEINQWLNRLGIKPSFLNGLRVTDASTMEIVSMVLVGKVNKHLVGLINKAGATAVGLCGTDGRIFTASPSPNSDQLGFVGEISSVDTSVLRPLINDNLIPVIASVAADGTGQSYNINADTAAGELAAALGAEKLLLLTDVAGILEDKDDPESLVKEIDVKGVKKMMEDGKIAGGMIPKVNCCVRSLTQGVKTASIIDGRLEHSLLLEVLTDEGAGTMITG >KVI03531 pep supercontig:CcrdV1:scaffold_460:18349:20248:1 gene:Ccrd_018174 transcript:KVI03531 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDHGEGGVVARGFRFYPTEEELISFYLKHKLHCSNTSRIQQIQQKEARGGRPSRLTSSGYWKATGSSSIVYSSSSSISSGAIGIKRTMVFYKGRAPTGKKTEWKMNEYKAFKKEASSKANSRPKEFSLCRLYIKSKCLRAFDRRPSGVGIS >KVI03545 pep supercontig:CcrdV1:scaffold_460:125750:127467:-1 gene:Ccrd_018165 transcript:KVI03545 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEGGGMVPGFRFYPTEEELITYYLKHKIEGTPSLQQDIDRVIPQLHVYDFYPWDLPQYAGERCQGDPEQWFFFIPRQEKEARGGRPSRLTSSGYWKATGSPRIVYFSSNRAIGIKRTLVFYNGRAPNGTKTKWKMNEYKAFQQEPSNTNPKPKLIEEFSLCRVYVKSNCLRSFDRRPPGVTINEQVPIPQPFHNNEHHATTSTHLNNRSSRPERTSSSDHSYNSSDDQTGNDSHSTMKTDDQLPLWEWEEHQTWF >KVI03529 pep supercontig:CcrdV1:scaffold_460:203752:211317:1 gene:Ccrd_018159 transcript:KVI03529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEKYQRIREIGRGSYGVVFKALNTENGELVAVKKMTDRKYYSRKECMNLREVRSLCKMRNHPNIVKLKEAVVQNNILFLVFEYMECSLWHPGYFHRDLKPDNLLVSKDVIKIADLGQAREINGEPPYTDYITTRWYRAPEVLLHARAHDSSVDMWAMGAIMVELFTLRPLFDGSSATEVMLKICSVIGTPTETTWSLGMYLANNINYRFPEFLGVDLSSLMPSASPEAINLISTLLSWSPCARPTAKEALEHPFFYGCYYHIPHPQFDCVTSSRMMRDRALPLVFKMAMRREIIKEIGRGSYGVVYKALNTENDELVAVKKMINRKYHSSKECMNLREVKSLCKMKNHPNIVKLKEVIVQKNILFLVFEYMECTLFDRMRHRTDPYSETEIRNLCFQIFQGLAYMHGTGGYFHRDLKPENLLVSKDVIKIADLGQAREINGEPPYTHYVSTRRYRAPEVLLHALEHDSSVDMWAMGAIMVELFTLRPLFQGSSTTDVIHKICSVIGSPTDTTWSLFNYRFPEFPGVDLSSLLPSASPEAINLISTLLSWSPCARPTAKEALEHPFFYGCSHIPHPQFERVTLSPMIRNRALPVRVRSRI >KVI03536 pep supercontig:CcrdV1:scaffold_460:85391:90967:1 gene:Ccrd_018168 transcript:KVI03536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma tubulin complex protein 3 MLLKQLPRRINLTFYSWRRGPINQMAGMHTRSIYKTPVDIGFSSRDNGLHKSNVAVELKEYALFSEFVTLEPKLPVTGAQERIKTADPIPSLSATTLSMDVTSLDQLPLSSTTLNVTANAQL >KVI03543 pep supercontig:CcrdV1:scaffold_460:91808:94972:-1 gene:Ccrd_018167 transcript:KVI03543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Activator of Hsp90 ATPase 1-like protein ILLIGLLPLYSIQFIHRSRVSETLESSNSLNPLDATFLALYLLHQQPNAAASGFHHQYTITVEKPSVVSATIMAKYGEGDKRWIVEDRPDGANVHNWHWAETDCIEWSRNLLSKLLSDHTILDGEGNLFIKTKKIEKVEGEAYVNVRKGKIIPGYELSVLLNWQGEARDDEGKSLLIADGVIEISYISDKEDPDLRVIVRNEGPIGRXLKDAFMAKGKDFVLKQVRVYVDAMAKGGPAKDELEVKKVNPKPAAAPVAAPPVAAPAKKVEEKKEKKKEGFKTIKMTEKFSCRAKDLFEILMDDNRWKGFTQSNARISKEVGGEISIFDGAVTGTNMELQEGKLIVQKWRFGSWPDGLHSMVRLVLEEPEPGVTVVKLTQTDVPEEDRYGNSTVVENTERGWRDLIFHKIRAVFGFGV >KVI03526 pep supercontig:CcrdV1:scaffold_460:220759:221856:-1 gene:Ccrd_018157 transcript:KVI03526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEKYQRIREIGRGSYGVVFKALNTENGELVAVKKMTDRKYYSSKECMNLREVRSLCKMRNHPNIVKLKEAVVQNNILFLVFEYMECSLWHRMRHRTNPFSEIEIRNLCFQIFQGLAYMHNEAGYFHRDLKPDNLLVSKDVIKIADLGQAREINGEPPYTDYITTRWXRAPEVLLHARAHDSSVDMWAMGAIMVELFTLRPLFDGSSATEVMRKICSVIGTPTETTWSLGXYLANNINYRFPEFPGVDLSSLIPCASPEAINLISTLLSWSPCARPTAKEALEHPFFYGCYYHIPHPQFDCVTWSRMMRDRALPLVFKMAMRREMLKQITRRLESCLGGLKGSESPREDLVHHLPEFLFEFDGEFS >KVI03530 pep supercontig:CcrdV1:scaffold_460:215055:215993:-1 gene:Ccrd_018158 transcript:KVI03530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEKYQRIKEIGRGSYGVVYKALNTENGELVAVKKMIGRKYYSSKECMNLREVKSLCKMRNHPNIVKLKEVIVQKNILFLVFEYMECTLFDRMRDRTNPYSETEIRNLCFQIFQGLAYMHGTGGYFHRDLKPENLLVSKDVIKIADLGQAREINGEPPYTHYVSTRRYRAPEVLLHAMEHDSSVDMWAMGAIMVELFTLRPLFQGSSTTDVIRKICSVIGSPTDATWSLFNYRFPEFPGVDLSSLLPSASPEAINLISTLLSWSPCARPTAKEALEHPFFYGCSHIPHPQFERVTLSPMIRNRALPVRVRSRI >KVI08123 pep supercontig:CcrdV1:scaffold_4600:4497:23580:-1 gene:Ccrd_013508 transcript:KVI08123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNDAAPILDVRSVVEAISTGDDDSPVYQLESLCMRCHENGTTRLLLTLIPHFRKILLSAFECPHCGERNNEVQFAGEIQPRGSRYRVEFASGDQKMLNRQVVKSETATIKIPQLDFEIPPEAQRGSLSTVEGILLRASEELQILQEERKKVDPQTAEAIDQFILKLKECAIGNLSFTFILDDPAGNSFVENPFAPSPDPSLSIEFYDRTREQQGLLGYVADPTQSAEVGNEALSNNFPSQATVEPHGSVGAVAGRRAIAQGNSAELAEALFRYTAPEEVMTFPSTCGACAVSCETRMFLTKIPYFQEVIVMASTCDACGYRNSELKSGGAIPAKGKKISVTVKNLGDLSRDVIKSDTASVVIPEIDLELTSGTLGGSVTTVEGLITKISEALERVHGFTFGDSLEESRKSKWQDFRARLTKLLSLEEPWTLIMDDALANSFVAPACDDIKDDHQLTIEEYERSWEQNEELGLNDMDTSAADAAYDSIDASS >KVI08092 pep supercontig:CcrdV1:scaffold_4603:4681:4902:1 gene:Ccrd_013540 transcript:KVI08092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIINKTYTLLVVVIILIAVMSPVVVEGRVLTEDFVRANHLATYSTVYETAKNGMCIWLQQLASGPSPKGPGH >KVI08091 pep supercontig:CcrdV1:scaffold_4603:12651:17498:-1 gene:Ccrd_013541 transcript:KVI08091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MASRRRMLLEIMIVRDREVESTPGLAESKGNSVDLGLESEKPIGCYSSSVQEVDLKLTVVRAYAKQLFTALKHLRNYGVLHTDIKPDNMLVRTFNVNDAKNVLKLCDFGNAMFAEKNEITPYLVSRFYRAPEIILGLTYNNIDHPVDMWSIGCCLFELYNGKVLFPGATNNDILXLRMELKGPFAKKMLRKRMCAFRCVKKSRAVSRE >KVI01736 pep supercontig:CcrdV1:scaffold_461:223387:226289:1 gene:Ccrd_019985 transcript:KVI01736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLASKHASYPHAWWWPSPAKKDRYRVTLFSSEAYLGRVINALAKPIDGRGEISSSEYRLIESVARGIISRHSMYEPLQTGLIAIDSMISIGCGQQELISGDIQTEISSKSLAWLSDP >KVI01737 pep supercontig:CcrdV1:scaffold_461:153873:158973:-1 gene:Ccrd_019984 transcript:KVI01737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLDLDATMSFTRIWNKFAILSFATSPSLQIFFNFVFELLPPIIRASHFLLFKAALHLSISIGQEDPSSSDTSEFMSRTSSLLILVEVFMLIFSCLHLRVRFSYFADAAVALMVDSISITLNQDLGVATLPSSTTLPVPHLASPTTAMAILIPKFGVGETDAKSLHVRLDLLRIFRRRSCSSKEHAFLVQLLISCRRWKFIINIDLIKQERKKRGIKIVEDGEKTSLGSQICVGRERKRERERERERDEAILTYRDGLGRGMGPGRDVGVGNQICISTMFFKIQN >KVH53039 pep supercontig:CcrdV1:scaffold_4610:30245:42897:1 gene:Ccrd_025698 transcript:KVH53039 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MALVKCSIDLGIPDILENHETPMTLAELASKLECSQSVLYRIMRFLIHYKIFQEQTLSKTSVGYAQTLLSRLLTRHGENSMVDMVLLHTSPIMLAPWHKLSAWVLGNENSPFEATHGKNLWEFNAENPGHSKLFNDAMACATRVEVAAXIEGCPEVFEGLKTMVDVGGGDGXSSLDHRDQYDLPHVVSVAPTSIGVEHVGGNMFDYVPKADAAYMLDLECKIAMNYDMN >KVH53040 pep supercontig:CcrdV1:scaffold_4610:20427:21918:1 gene:Ccrd_025697 transcript:KVH53040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MATENKEKRIXSKEEEXAAQQELWKYISGFIPMALVKCSIDLGIPDILENHETPMTLAELASKLECSQSVLYRIMRFLIHYKIFQEQPLSKTSIGYAQTPLSRLLTRHGKNTMVDLVLLGNSPIMLAPWHKLSAWVLGNGKFPFEATHGKDLWGFNSENPDHSNLFNNAMACATRVEVAAVIEGCPEVFEGLKTMVDVGGGDGTALRCIVETCPWIKGINYDLPHVVSVAPTSIGVEHVGGNMFDHVPKADATYLLKVLHDWTDEKCIDILKNCRAAIPQDTGKLIIVDTIIGHKEDDEFKEMGLLLDMVMMALIXNGKERTLEEWSYLFHEAEFTRYTIKKIRNYLSVFEVYP >KVH52976 pep supercontig:CcrdV1:scaffold_4612:3962:24805:1 gene:Ccrd_025699 transcript:KVH52976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MEMIAGDGGQQEIAAAPSTLQAAAVEHPFQHKRKIFTASITGTHCIVDTILDKENFTLEELLDEDEIIQECKALNNRLINFLRERTQVEQLVRYIVEESPEDAEKGRTFKFPFIACEIFTCEVDIILKALVEDEELMNLLFSFLEPEHPHSALLAGYFSKIYTSPVQVVVCLLLRKTVPLMNYIQAHQDIIKKLVDLIGITSIMEVLIRLIGADEHLYTSYVDSMQWLEETDVLEMIVDKFSSTDCPEVHGNAAESLCAITRYAPPGLATKISSPRLAFIFILLKERRGGGFITRLFRHALEDSRPKSVLVHSLSVCISLLDPKRLTSGTYYIYNRQSTHGSVVTAKPETVEGMLESLGNLLKLLDVSSEENVLFTTYGKLQPPLGKHRLKIIEFISVLMTVSSEAAEKELIRLGALRRIIELFFEYPYNNFIHHHIEQIIDSCLESKNASLIVHILEDCHLVRKILDAEKNCALEADPKNPTIPAEGRTLPRIGNVGHMTRIANKLVEQGTHNSYIESYLQGNNEWVEWHADVLTKRNALENVYQWACGRPTTLHDRGRDSDEDDYQDRDYDVAALANNLSQAFRYGIYENDDNDEAHGSLERDDEDVYFDDESAEVVISSLRLGEDQESGSLFTNSNWFAFEEERVVHERSTDAVASPSPNTEGTAGGSAVGDDEATSNENDKNLVDTATSEVPDSKPGPDDTTFGKSADFSRPNESDKPPEWIEWRESVESSTEPAVEQSFTTAESAETSQAATGSLPNGNLEVNPQADIANDVPNKGESAPPSAATDKSEAEDDNGGKSTVGVGPPE >KVH94959 pep supercontig:CcrdV1:scaffold_4613:41070:42440:-1 gene:Ccrd_002973 transcript:KVH94959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLYVDNIQCDVVHIIRERPCITSWSMDILRRRESIEISTGGFRIGNVVEPLVDAQREDRSRENEEIDIKRYLDEVEHTFNMLKTLKSDSDEILKKGMTRYPTSMEFDVWQKKLIDLVVNDKMDCDASMNMPIAKSCGTKQASTSDLWQGVTRGA >KVH94958 pep supercontig:CcrdV1:scaffold_4613:42864:43736:-1 gene:Ccrd_002972 transcript:KVH94958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSYRFQSNQKGSKFSNTAENPVDVENESDKVAILNVVEAKQSTTDCSTRKETICKRPPKSREDSKGDDDFEVQDKNIKKKVKSVKEDAKGREDNVKGLIKTHLALHTRTSPKLLYTMIQNLSPSQIECVKEMGFNGILNIRQIIYLQSLAIM >KVH99496 pep supercontig:CcrdV1:scaffold_4618:6346:22286:1 gene:Ccrd_022269 transcript:KVH99496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHPSLDSLLPLQTLELDTGLSLVPRFNLNLTVFRSDASVKPLDEWQLKRSLIDFLKATFSLIVPEDDLHVRKFKDIKKRKREDPVARGKLFVRELSFLSNSSSSKIGREEQEKKVVEWKKMVVAKMDGIELSLVGVRFKLSVEIPQSDDFEAMRKDWEDISAFGGGDRGYSRGRKREPDTIVLRGVPSRWFAETRVSSKPSMLVTHTIFSALGKIRNLDVSEDDGRGKDADEEDEDIVPGLQCKIAVRFEDHREFSKALKVLCGRSLQKQGSRLKADYEVTWDKDDLFRNARSQTEENSRLMPKVAAGNYKSEAARYQSHVPRFSENNARSKRFKV >KVH93620 pep supercontig:CcrdV1:scaffold_462:185952:188018:1 gene:Ccrd_004328 transcript:KVH93620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNQQHNHMPSSMYTYFSDQAVKVRLLNRTGMNRVDSREEIQIELEKERIREQIVAKEIVRLGEKVRREMMMDNGWGFPSRGPPVSFEENYGRHNQEIAVQRLPWLPQMNLTDMGRLNGSANWNENGSWVHGESQRFSQWG >KVH93623 pep supercontig:CcrdV1:scaffold_462:127372:130129:1 gene:Ccrd_004326 transcript:KVH93623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGSDQKMMMNIDIMKSSIVPPSELIADCPKQLWTSNLDLVVGRIHILTVYFYRPNGSSKFFDPNVMKKALADVLVSFYPMAGRLGRDETDRIVINCNNEGVLFVEAESDSTLDDFGELKPSPEFRQLTPSVDYSGDISSYPLFFAQVTHFKCGGVALGCGVHHTLSDGLSSLHFINTWSDMARGLSVAIPPFIERTLLRAREPPTPTYDHVEYHSPPSMNTTAQKPGSGSLSKSSTTMLKLTLDQLNSLKAKAKSESGSTHSTYEILAAHIWRCACKARGLPDDQLSKLYVATDGRSRLSPRLPPGYLGNVVFTATPVAKSGDLTSKSLSNTAKLIHTTLTKMDDDYLRSAIDYLESQPDLSALIRGPSYFASPNLNINAWTRLPVYDADFGWGRPIFMGPACILYEGTIYVLPSPNNDRSVSLAVCLDANEQPLFEKFLYEF >KVH93622 pep supercontig:CcrdV1:scaffold_462:138451:140711:-1 gene:Ccrd_004327 transcript:KVH93622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPYTLCKAVQQFNGKNWKKIGERKHRGIARPKCSYQYDTSYQESFVRPPAAMPTVEIVLCAYSCGVLAGSLVSGVQELGINALHIKLRATGGNKTKTPGLGAQSALRALAWSGMKIGCIGH >KVH93621 pep supercontig:CcrdV1:scaffold_462:85647:86030:1 gene:Ccrd_004325 transcript:KVH93621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MISQIQVVLTLYAQGLLTGLVVDADDGVIHVVPVVDGYSFPHLTKCMNVAGRYITSYLVVLMLRRGYAMNKSAEFETVIDIKEKP >KVI04269 pep supercontig:CcrdV1:scaffold_4621:8452:11444:1 gene:Ccrd_017420 transcript:KVI04269 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MRSGSPKSMTPYPKVLLPFAASVGGLALFLIFACLLLIYQPIGSKFGGYFYNPDQASEIGLSPSNNEISVFRIPLNNTETKGRIVDPGTNGTVDSGNNWTIDLGTNRTVDLGTNTTVGSDTNTTVGSDTNTTFDSGTNTTVDSGTNTTVDSGTNTTVDSGTNTTVDSGNNTTFDSGTDTTVDIGTNMTVDSGCDLYNGKWVYDSGGPLYSNNSCPVLTQMQNCQGNGRPDQEYENWRWKPTQCDLPRFDPKKFLELMRGKTLAFIGDSVARNQMESMLCILWQASVEAPTNRGNKRMQRYYFRSTSTMIIRIWSSWLVHKTNEKFDFAPEGVDKLHLDAPDETFMDIIPSVDVLVLSSGHWFAKKSVYILNNEIVGGQLWWPDNTRKKKIDSTEAFEISVATIISSLVTNPNYKGLTVVRSYSPDHYEGGAWNTGGSCTGKVKPAVDGELVESWFTNTMHDKQVSGFNRGIKNKTNKSRVKFMDITKPFSYRHDGHPGPYRSLDPNKITKRSADGRPPPQDCLHWCMPGPVDTWNEFLLEIIRRDFGGEGS >KVH52329 pep supercontig:CcrdV1:scaffold_4622:21493:25955:1 gene:Ccrd_025700 transcript:KVH52329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MCLVTSKQDSRSAVRLSGMGSDPANKVSSSDGISQGLQKMPVLHGRTTGPTRRSTKGQWTEEEDEILRKAVQRFKGKNWKKIDLSSQPERKDAVDVELYRKMLTKERIYAFLSGLDPSLVDV >KVH52328 pep supercontig:CcrdV1:scaffold_4626:13683:26798:1 gene:Ccrd_025701 transcript:KVH52328 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGLKRLLVEVSKQRQQLGFAKFSFTSSYFTSQKFGFHAAYKLPGAQRRFQSSYVGSFARRLRERDADGLNNTGYLRELYSRNDPEAVIRLFESQPSLHSNPSALKEYVKALVKVDRLDESELLKTLQRGMASSGTSTFDEDSIGGLSVLKNVGKSTKEGVLGTAAAPIHMVATEGGHFKEQLWRTVRALGMAFLLISGLGLHDEVQPSMETNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRLPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPQSLDKALVRPGRFDRRVVVPNPDVEGRRQIMESHMSKILKADDVDLIVIARGTPGFSGADLANLVNVAALKAAMDGAKAVSMADLEYAKDKIMMGSERKSAVISDDVRKLTAYHEGGHALVAIHTDGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKEMLARLDVCMGGRVAEELIFGENEVTSGASSDLEQATHLARAMVTKYGMSKEIGVVAHDYDDNGKSMSTETRLLIEKEVRELLGKAYDNAKNILVTYSNEHHVLANALLEHETLSGKQIKEMLAQLKSQPQQQQHLAAAAVAAATAAANAASAAAKAKGIAPVGS >KVI07188 pep supercontig:CcrdV1:scaffold_4627:27818:33645:1 gene:Ccrd_014452 transcript:KVI07188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMAKNIAFSTNKELALYVLLAFLVLQFPCTMSLSDEGKALISIKASFSNVVNVLLDWDEDQNDDFCSWRGILCDNISTTVVALNLSNLDLGGEISPSIGDLRNLQSVDLQGNKLTGQIPDEIGSCVSLELLDLSDNMLYGDIPFSISKLKQLELLNLKNNQITGPIPTTLTQIPNLKTLDLAQNQLTGVIPRLIYWNEVLQYLGLRGNSLTGTLSADMCQLTGLWYLYVYFYFDNGLLSSYLVLCLTSSHLFSDVRGNNLTGTIPDSIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNKLTGKIPEVIGLMQALAVLDLSENELVGSIPPIFGNLSFTGKLYLHGNRLTGPIPPELGNMTKLSYLQLNNNQLTGGIPAELGNLDQLFELNLARNNLEGPIPERISSCTALNQLNVHGNFLNGSIPSGFRNLESLTYLNLSSNKFKGTIPFQLGRIVNLDTLDLSSNHFSGPVPASIGDLEHLLSLNLSRNHLEGPIPQEFGNLRSVQIIDMSFNKLHEAIPAEMGQLQNIISLIINDNNLNGEIPNQLSNCFSLANLNISYNNISGVLPPGRIFSRFAPDSFLGNPLLCGNWLGSICDPYSPKPRARFSGTAIVCMTLGFVILLAMVALAILKSNKSRQYIKEPSKGLQGPPKLVVLHMDMAIHTYDDILRITENFNEKYIIGYGSSSTVYKCALKNSRPIAIKRLYTQYQHNFQEFETELETIGSIRHRNLVSLHGYSLSPTGNLLFYDYMQNGSLWDLLHDDNTVMEAVDPEVSVTCMDLSHVRKTFQLALLCTRKQPSERPTMHEVARILQSLLPAAPVTKNLAPEKEKGMGYTQFVMGGDKQQQQQQGVENSSDAQWFFRFRELVSNNTF >KVI01562 pep supercontig:CcrdV1:scaffold_463:230391:232503:-1 gene:Ccrd_020166 transcript:KVI01562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MGFGFQLPLLMIYVSCFRFQEIVPLNAANILGAEDNGPAKRWLALIRRTLNNRPGTSGGNGNGCYTPSPVPDPVAEYDADFEGSTRNKTSSFFHRRSFQASHSWRNENDSSMQQPHLDRRYSVCDRAIFGHRPSDCSSSHRPSDYSSSSHRPSDYSNYRPSDYSNYRPSDYSSGHRPSNYSWGQRPSDYSRWGSSDDDYGPMEDLQNTNSFSPMSNWGSNSMEDGYRMPGQSSYCLVASKQMVGVFLTVWVRSELREHVRNLKVSCVGRGLMGYLGNKGSVSVSMLLHQTSFCFVCTHLTSGEKEGDELRRNSDFMEIIKKTRFPPVQGIKDEKSPETILEHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLEKDQLRIEQRRGRVFQGWNEGRIYFPPTYKCDRILWYGRGLHQLSYVRGESRFSDHRPVYSLFWAEVELVHSRFRRSMSCSSSRIEVEELLPYANGYTELCFF >KVI01564 pep supercontig:CcrdV1:scaffold_463:17355:36975:-1 gene:Ccrd_020162 transcript:KVI01564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MRAIIPLGMGYTTTHIYALLGLSTSYFGGIMGFPTLPYLRNLQKKKGGKKRIRVYMDGCFDLMHYGNSNALGNELVVGLVSDEEIVTNNGPPVLSITEVTDFQISLPSTSTFPDVDDEEKVDEHDDEDPDIPDSEADL >KVI01560 pep supercontig:CcrdV1:scaffold_463:60647:66667:1 gene:Ccrd_020164 transcript:KVI01560 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MERGERKMKAYAVLGLLLLSTFAGDVSALSVTVNDVECVYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDFIVSSPGGNVVQTMKGTSGEKFEFKAPRSGMYQFCFHNPYSTPETVSFYIHVGHIPNEHDLAKDEHLDPVNVKIAELREALESVTAEQKYLKARDARHRHTNESTHKRVIFYTIGEYILLALASGLQVVYIRRLFSKSVAYNRV >KVI01563 pep supercontig:CcrdV1:scaffold_463:51007:56740:1 gene:Ccrd_020163 transcript:KVI01563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sad1/UNC-like, C-terminal MSSSTVSITANPSAASLRRPLVTGEKKSALDLAGSDGIDASPGSGGENSRGKDPTHSIRARVGAETVLERSRDAKNPVPTNSTTKLRKRTVAKKGNVPSSSRPPWKTALSVIMKNFALLLVLLLLAQMIRRLAFNQGSGYDSILIPSSDYERRIAEVEAFLKTTTKMMQVQVELVDRKIENEIAGLKTELSKRIDDEGAEFSSRLSELDGRVESMEKSLAATEWLSKDEFDKFLEEFKGKKGIDDLGDLKLDEVRAFAREIVEKEIGKHAADGLGRVDYAVASGGAMVLKHSEAFLGTSRVSNWFTKGVRSDAVKMLQPSFGQPGECFPLKGNNGFVEIKLRTAIVPEAITLEHVSKSVAFDRSSAPKDCKILGWLHNGVDDTTEKKHLLTEFTYDLEKSNAQTFDVNPSVVVIDTIRLEFMSNHGSPTHTCIYRVRVHGHTHESNVS >KVI01559 pep supercontig:CcrdV1:scaffold_463:155510:161841:-1 gene:Ccrd_020165 transcript:KVI01559 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein MDNRRYNGRQDIRRRQQKTAEEDDVSVLEEFAEEFRLPIHHRPTENVDLDNVEQASLDTQLTSSNVGFRLLQKMGWKGKGLGKDEQGITEPIKSGIRDSKLGVGKQEQDDFFTAEENIQRKKLDIELEETEEIAKKREYICMSFDGECPVELLRISCSFYYLVASSAPFLHIMKIQVMADREQKIQTEVKEIRKVFYCELCNKQYKLAVEFEGHLSSYDHNHRKRFKEMREMHGTSSRDDRQKREQLRQEREMAKFAQMADAHKQQQQQQQEDESGKTNHPVKNATVLADQDQRKALKFGFSSKVGASKSSGMSGAKKPKAPIASVFGNDSDEE >KVI01561 pep supercontig:CcrdV1:scaffold_463:232514:233886:-1 gene:Ccrd_020167 transcript:KVI01561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKYIYPFYDCPLSIICFTLVGKPQPNGQWAHYPVADPIHRAAGSGQLTRLSPPPGPGWGHGLACLHFIPFKFVDAFAAAKNEGEAAAAAMRDGNSKSNKFSWSRKLVRKWFNIKSKTEESEADNVVSGGGDGGWMSSFCEREPPAIKKTKTEKSSKSTESRRWGRVDLDHPQVINVQNYSIFSATWNVGGKSPSSKMNLDDWLHAAPPADIYVLGYDFSF >KVH92052 pep supercontig:CcrdV1:scaffold_4630:26083:36650:1 gene:Ccrd_005918 transcript:KVH92052 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MKRKLSPSSSSSSPSSSSSSCIIEQPLTIPKIKNKKKPPTTSAETAVRRSSKFRGVTRHRCTGRFEAHLWDKRAYDDEEAAARTYDLAALKYWGPETTLNFPIDTYKNEMNEIEKMSKEEYIASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVDGNRYIYLGTFATEEEAARAYDLAAILHRKENAVTNFDISIYSDYLESLPTQQPDDSPQAEAISNDEVNDNNHDGEKYEQQLEIAEPQEIAEQLEDVAEQLEDVAEQLEDVAEPHQEIAEQLEEIAEPVNPNLNFTSSVQDEEDPWSFCWDVCYDPFSFSPNSFDEYGEGMPLDFFYDDGFEANIDSIFSEQNFDKNGCVASPSSSSMSSASTVSSFSGPSV >KVI06863 pep supercontig:CcrdV1:scaffold_4631:3969:5275:1 gene:Ccrd_014781 transcript:KVI06863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILVIRSIQGHNHFVQPTQKS >KVI11293 pep supercontig:CcrdV1:scaffold_4632:4192:9097:-1 gene:Ccrd_010298 transcript:KVI11293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MKKQKCIQEDAETQINPFDFLSEEIVFLILDCLQTNPFDRKSFSLVSKSFYSIESRHRKTLKPFPRTTNDHHHDQLKKLLNRYPFVTHLDLSLCPRIPDSSLSYISNSCGKALKSINLSRSNFFTHVGLSCLVSNCVNLVDIDLSNAVHLNDTAAAAIASCRSLERLCLTRCKSLTDIGIACIAVGCLKLRVLNLKWCLGVSDLGVALIAVKCKQIRSLDLSHLLITEKCLPLLLKLQYLKVLVLEGCCGIGDESLVSLKQGWKSLKTLNMSYCENVSHVGLSSLTSSTTCLQNLSLAYGPTGIGNSCVSLKELSLSKCSGVTDDGLSSVVMKQTELKRLDITCCRKITQASIAHLTKSCGSLVSLKMESCTLVPSGAFVLIGQHCRFLEELDLTDNDVDDEGLKSISRCSQLSVLKLGICLNISDEGLIFIGNGCPKLIELDLYRSVGIKDAGISAVAHGCPSLEMINISYCGNITDSSLISLSNCSKLNTLESRGCPLITSVGLKAIAIRCKQLTKLDIKKCFNINLSYSSVTDVGVLCLARVGCLQSLTILHMEGLSGSGLAVALLGCGGLTKVKLQSSFRSLVPRLVIEHVEARGCVFQWRDKVFEAELDPKCWKLQAQDIEF >KVI02976 pep supercontig:CcrdV1:scaffold_4635:918:1639:1 gene:Ccrd_018729 transcript:KVI02976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKKVVTGKFFHSLAGSTPVVVGAPIIQYFAPLPGSILHVKELTVVELVAKTMKQLAENLMAYNESLR >KVI02978 pep supercontig:CcrdV1:scaffold_4635:9486:13258:1 gene:Ccrd_018731 transcript:KVI02978 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MNKIFNKPRTWLLNRFHLHLLPNQWWRQYFQLSESGDDLQPKSWRSVEGLVQCSANYVPLTPISFLERAADVYRDRTSVIYGSLKYTWEQTHRRCVKLASALTQLGISPGDVVATLAPNVPAMQELHFAVPMAGAVLCTLNTRHDSNMLATLLTHSQAKLLAVDHQLLDSAVAAIHLLKKPPLLVIISDAGDRPPSDLKTNAYHYESLVDGGVAGFSVLRPTDEWDPISVNYTSGTTSRPKGVVYSHRGAYLNSIATVFMHGMAAMPTYLWSVPMFHCNGWCLAWGLAAVGGTNVCLRRCDPKDIFDTIVLHKVTHMGGAPTVLNMIVNSPEDHRKPLPQRVEIMTGAAPPPPLVLSKMEELGFRVSHLYGLTETLGPGTSCLWKPEWDSLPTEDRFKLKTRQGVRHFGLEEVDVKDPITMESVECDGKSMGEIMFRGNTVMSGYLKDPKATAEAFTGGWFHSGDLAVKHPDGYIEVKDRSKDIIISGGENISTVEVETVIYSHPAVLEVAVVARPDNHWGQTPCAFVKLKDGFNCDGQDIIDYCRNRLPHYMAPKTVVFEELPRTSTGKVQKFLLREKAKVLSSIS >KVI02977 pep supercontig:CcrdV1:scaffold_4635:12422:18930:-1 gene:Ccrd_018732 transcript:KVI02977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MSAANLPTTHLFHQISTLNHSRTSSFNNFLPGSKLGQMAVSGALSCGIGGVVSENRAIRRHFGTQLLKQNLGRNRIGIQSRRLRFNSVVRGCGLISDQEALDYDLGASNLEERLAETRDRLINPIELVGTAADATPSALELASAGVSISIFNIVSKVFNIPLLSVATSFVAEDIAKHAQIESDSEKRRQLPSVSTALVLSVAIGIIEAAALYFGAGMFLTLMGISSASSMRIPAKRFLQLRALGAPAVVLSLAIQGIFRGFKDTKTPVFCLGLGNLAAVFFFPILMYVFGLGVTGAAISTIASQYIVTFAMMWHLNKKTVLKLPKMKDLHFGGYLKSGGFLLGRTLAAVATVTLSTSMAARQGPIAMAAHQICLQVWLSSSLLADAQASAGQALIASSFSEHNYSRVKEITFYALKFVSASQPITALAYIYDGLHYGVSDFSFAAVSMMLVGGISSAFLLYAPGVFGLAGVWAGLTLFMGMRAVAGYIRLLFHKILDQGKAPTTPEFHIRMVSQQLLG >KVI02979 pep supercontig:CcrdV1:scaffold_4635:5610:7763:-1 gene:Ccrd_018730 transcript:KVI02979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHDSTEQTKIDNFMVQELDGTINEWGWCKQKVLQFILQLVIDQTKVLYSCDPVPNNYFVPLYELGANAILVVSLAVCKAGASIPLCSHAGNKLAMQEFMILPV >KVH52325 pep supercontig:CcrdV1:scaffold_4636:29278:31554:-1 gene:Ccrd_025703 transcript:KVH52325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MSVLEELFPVLYIEEIKGRVGNLSGLLFRNFSESMSTVSCSDSPELESCRDEKAALILKFVAIASILIAGIIGVAIPLIGKKRRFLRTDTNLFFAAKAFAAGVILATGFVHMLPDATSALTNPCLPKNPWSKFPFSGFIAMMAALGTLVADFLSTQYYERKQEKQIQAVRVESVDLASEAGIVQVAGKESNVKVFGEEEGGGIHIVGMHAHVAHHRHSHGSGQEGCDGQVGEYSDENPHMHSHSHGFNDDDDESGIRHIVVSQVLELGIISHSIIIGLSLGVSQSPCTIRPLLGALSFHQFFEGFALGGCISQAKFGSVHSMVMACFFAITAPVGVGIGTGVSKFYNANSPRALMIEGILDSISAGILVYMALVDLIAADFLSKRMRCNVRLQVVSYIALFLGAGLMAALAAWA >KVH52326 pep supercontig:CcrdV1:scaffold_4636:41653:42996:-1 gene:Ccrd_025704 transcript:KVH52326 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MAEPKKYISSNDLKTHNKPGDLWICIQGKVYDVSDWMKTHPGGDLPLLNLAGQDVTDAYVALHPSTAWQHLDRFFNGYYLKDYTVSEISKDYRKLYLEFTKMGLFEKKGHGVLISMVFIAMLFSICVYGVLCSKSAFVHLICGGLLGFLWIQSGWLGHDSGHYQIMMDRKSTRFAQILTGNCLAGISIGWWKRNHTAHHIAVNSLEYDPDLQHMPVFVVSSKFFDSITSKYYERKLNFDSVTRFLVSYQHYTYYPVMCVARLNLFAQSFVLLLSNKKVSKRGQELFGLLVFWIWYPLLISFLPNWQERVMFVLASFSVTGIQHVQFTLNHFSSTVYVGEPSGNDWFEKQTNGTLNIDCSAFMDWFHGGLQFQIEHHLFPRLPRCHLRKISPFVKELCKKHGLPYDSASFFKANEMTIATLQTAALQARDLTKPVPKNLVWEAVNSHG >KVH52324 pep supercontig:CcrdV1:scaffold_4636:22230:23075:-1 gene:Ccrd_025702 transcript:KVH52324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVIVSLIRLSDGKNSSIARKTIQTAFIVEKPNYGQGYCALHGSSIALLGRVQATNVLRLVIRHSYEDDDL >KVH92485 pep supercontig:CcrdV1:scaffold_464:75071:87540:1 gene:Ccrd_005478 transcript:KVH92485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MESTIPFSLIILLSSLSLVFSQYVLPDHHFINCGSNSDIDFTGKKFVGDFNPSTFRVSGGTAAEKNNNPPSNTPLIYQTARVFTKKSWYELQADEINTFVMVRLHFLPISSQYFDSKFEVSVSGFNLLSDFIVGNETVKIEEFIIPIRSERIFRIEFTPSAGSSSAFVNAIEAFTTPSDLFTDGVVPLPRISPAGKKGDIEDITSSYAFNPIHRINVGGDMMDETNDTLRRKWVPDDLFIYKNETAITSTPYGQITYRPGGATSTSAPEDVYRTAKQSNGNLVNITWTFGVNRSAMYLVRAHFCDIVSRGAVNSNDGFNFFVYNEHKEPIFPSKRLAWLAAPFYVDMVVDSGDSPFVNISIGAIRGSNQMPFLNGVEIMELLKDSGFVERSNNNNKKNRTTLFIVVGCVIGGVAIGLILFVGFFIGSKYGKVKPVVGAKSESNAVPSYGASSYTSNIIDFTINHPSPIPNLRLNLRIPFVDILHATNNFAENLMIGKGGFGTVYKGTLLNGEVVAVKRGQTGHGQGRPEFVTEITVFARIRHRHLVSLIGYCDEKSEMILVYEFMEKGTLQDHLYNANENQPKLSWNKRLEICIGAAQGLHYLHTGLEGGIIHRDVKSTNILLNKQYVAKVADFGISRLGNEDQSEMSGIKGSFGYLDPEYFKCGTLTQKSDVYSFGIVLLEVLCARAALDHKLPEKEINLAEWAIKQINDGNVEDIIDPYLAGKININSLRKFMSTVGRCLMDTGDERPNMVDVLWDLEYVLKLHQVATDKEPYEDSTINTSMQLPMSVIHRLPSQLNDDSNVNDNSALSYPSESQVFSQLNIDGAR >KVH92491 pep supercontig:CcrdV1:scaffold_464:114479:115380:1 gene:Ccrd_005476 transcript:KVH92491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIFKFETLVTLFVFLGSAIIISARPLNIMNTVSYLDYNNLFFNRFSLGAIKEVPSPGIGHGFTNKVTLGGIKDGRSGGVGHGFTLGGIKDGPSPGVGHGFTLGGIKDGPSPGVGHGPGVGHRFTLGGIKDGPSPGVGHSFTLGGIKDRPSLEIGDKFVNTESLGGLKNSGPSPGAGH >KVH92487 pep supercontig:CcrdV1:scaffold_464:165436:177500:1 gene:Ccrd_005472 transcript:KVH92487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase DHDHDHDHDHGHGHGCGHNHHHHHHHHNHNGGEFELTKSQQAFTRFAKAVMWTDLADFLREHLELCCFSTALFLAAAVCPYLVPKASVKPIQHVLALVAFPLVGVSASFDALLDIAGGKVNIHVLMALAAFASAFMGNALEGGLLLAMFNLAHIAEEYFTSQSKVDVKELKENYPEFALVLDVNNQKLINLSDLKYHEVPVNELAVGSFILVKAGESVPVDCEVFHGRSTITIEHLTGEVKPVERDVGDSIPGGARNIDGMMILEAKKTWKESMLNKIVQLTEEAQLRKPKLQRWLDQFGESYSKAVIIISIAVALVGPILFKWPFFSTQACRGSFYRALGLMVAASPCALAVAPLAYATAISACAKKGILLKGGHVFDSLASCHTIAFDKTGTLTTGEFTCKAIEPIHGHVRGDEATTSCCMPNCEKEALAVAAAMEKGTTHPIGRAVINHSQEKELPAVYVESFENLPGRGLFATLSSIEPGFGVRHELKASLGSVEYITSQFNSKAESRKIMEAVIRSSYGTDLVRAALSVNNKKVTLFHFEDKPRIGTKDVIVELKEQAKLHVMMLTGDHELSARRVANAVGIDEIHCGLKPEDKLNHVTSIPRDTGRGLVMVGDGINDAPALAAATVGVVLAQRASATAIAVADILLLQDNISGVPFCIAKSRQTTSLVKLNVALALSSIMLASLTSVMGFLPLWLTVLLHEGGTLLVCLNSIRALEEPSWSWRGDILQMLDPTPKLENGGAKGGAAAKSGQKDGKSRIIISNGYYAVWIVHCAL >KVH92488 pep supercontig:CcrdV1:scaffold_464:155159:157579:-1 gene:Ccrd_005473 transcript:KVH92488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MDGRKVTGWAARDSSAILSPYSFHLRKTGPEDVLYKVLYCGMDHTDLHQMRNEVHPTTYPLVPGHEVVGKVVEVGSKVNKFSVGDIVGVGVSIPENLAPEQAAPLLCAGVTAYSPLKQFIDSKKPIRAGILGLGGVGHLGVLIAKAMGHHVTAISSSDKKREEALTHLGADCFLVSSNPAEMEKSRNSLDYILDTVPVAHPLQDYLCLLGVEGKIFVVGAKTISGSFIGSIQETQEILAFWVDKGLKTMIEVVKMDYVNKAFERMEKSDVRYRFVLDVAGSNLE >KVH92481 pep supercontig:CcrdV1:scaffold_464:226482:229470:1 gene:Ccrd_005469 transcript:KVH92481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MNAMINLHLCLPILLLLLLVNQSLGLTTDGVLLLSFKYSVLNDPLGVLDNWSYSDESPCSWNGVFCGGSGDPVADHRVTGVSLPNSKLGASIPANLGLIQHLMKLDLSNNSINGSIPLSLYNATELKFLDFSNNLISGELRELVRGWKSLQFLNISGNLLNGKVPESLAGLSNLTVVSLKDNYFHGNLPNGFDSVRFLDLSANFINGSLPSDFGSGDLVFFNVSHNNISGEIPPEFTNKISTNATIDLSFNNLTGAIPESSIFFNQDKRSFAGNYGLCGKPLTNLCLIPSSVSKPPNVSSPATSPPAIAAIPKTADSSSSNSSNTRSASSKPGFKTTTIVGIVVGDIAGIAVLATIFIYILKKRKKDASNSNQKHDNNGGNKEYDWASSSEDQERKWLRSWACLIKRRVTEDEESSTQSTTSESEETELTVAPHRKNDNSTAKEVEKKGELVTVDGGDKKLELETLLKASAYILGATGSSIIYKAVLEDGTALAVRRIGESGLERFRDFENQVRVIAKLIHPNLVRIRGFYWGADEKLVIYDFIPHGSLANARYRKVGSSPCPLPWDVRLRIAKGTARGLMYIHDKKQVHGNLKPSNILLGSDMEPKIGDFGLERLVVGENSCKVGGSSRNFGSKRSTASRDSFQDVLVGSNPSPSPSAMGCISPYYAPESLRSLKPNAKWDVFSYGVVLLELLTGKVIVSDEFGPASMTWSSILTDEEKNKVLRMADVAIRGEMEGKEEALLAILRLGYNCISPVPQKRPHMKEVIHALDKFPPAASSSAAYYYGHL >KVH92484 pep supercontig:CcrdV1:scaffold_464:247258:249666:-1 gene:Ccrd_005468 transcript:KVH92484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MVSKKQQNACPQNPIFAIDDVLLCDEQEQDFDFGYGFGFGLSDQENQVLKEKDPHFTHFQQHLLWEEDELSSLLSKEKNTHLGELDLICDESLRVLRKESVDWMIRVGTHYGFVTLTTILAVNYFDRFLMTPSFQRDKPWMNQLVAVACLSLASKVEEIQAPLLLDLQMEGSKFVFESKTIMKMELLVLSSLQWKMNPVTPLAFFDYIMRRLNLMIHNLHYEFLRRCERILLSVINDSRFQGFPPSVMAAAIMCIVSKEIEPENVFDYRNQLMNLLKISEENLDGCSKFILDVSNNHGTSYSQTHKRKYHSVPGSPNGVVDAYFSSDNSNNSWAIASSVSSSPEATFKKNRAQEQQMRLAPVNRVSISVLGNPH >KVH92482 pep supercontig:CcrdV1:scaffold_464:9775:11574:1 gene:Ccrd_005481 transcript:KVH92482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MQPMDSNHDALLGYTFTVSYDQVYYDEGYTGDDDDGVDVDEDADDSNVLINRVNCTSEKSYVILKEDDLLQRQEEDITKVSTVLSIPRASACMLLLCYNWSVSNLHEAWFEDEAKVRRSVGLLDVDPVVKFPQTADEIVDCGICFESIGKHETATCGCDHFFCKTCWKAYVCTSIDDGPGCLILRCPDPSCSAAVGSDMVNVLAPAKEKKRYELFLLRSYVESNKKIKWCPGPGCDFSVEFDDDFETGSYDVSCACKYGFCWKCMEDAHRPLGCETVAKWVLKNTTEAENTNWILAYTKPCPKCKRSIEKNHGCMHMTCRPPCGYEFCWLCLGPYVGHDGRACNRYTKSQEGGEVPEAERQREMAKKAIQRYTHYYERWAANEKSRKQALLDLQKIETVHLKTLSLNYCQPETQLQFIVDAWLQIVECRRVLKWTYAYGYYIPKKEEAKRLFFEYVQGEAEAGLERLHLCAEKELQTYIISEEEEATEDQFNGFRVKLAGLTSVTRSYFENLVRALENGLSEVDSHSSALDKGGAAADSSSKVRGRARCSQGGESSSLATDSAKRMRIMMRLAMDDAQRNGTRVAGESSSRDPLDDQDR >KVH92483 pep supercontig:CcrdV1:scaffold_464:30447:32449:-1 gene:Ccrd_005480 transcript:KVH92483 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MAVDSATSSPLGPPACEKDSKALQFIEEMTKNCDSVQENVLSEILAQNAGTEYLQQWCLNGATDRKTFKSKVPVITYEDLQPYIQRIANGDRSPILSSHPISEFLTSSGTSAGERKLMPTIAAEMDRRQKLYSLLMPVMNLYVPGLDKGKGLYFLFIKAEAKTPSGLVARPVLTSYYKSQQFKTRPFDPYNVYTSPNETILCVDAFQSMYSQMLCGLVYREEVLRCGAVFASGLVRAIKFLQLNWSQLALDIESGELSSKITDPAIRECISKILKPMPELAMFIREECCEGNWEGIITRIWPNTKYLDVIVTGAMAQYIPILDYYSGNLPQTCTMYASSECYFGLNLTPMVKPSEVSYTIMPNMGYFEFIPHDPSNPVTISRDSPPHLLDLADLELGKEYELVISTYSGLCRYRVGDILQVKGFHNSAPQFKFIRRKNVLLSIESDKTDETELQTAVENASELLKEFNTTVVEYTSYGDTKTIPGHYVIYWELLMKDPTFRPTDEVLDQCCLAMEESLNSVYRQSRVADNSIGPLEIRVVQNGTFEELMDYAISRGASINQYKVPRCISFTPIKELLDSRVISVHFSPTTPHWTAERRF >KVH92493 pep supercontig:CcrdV1:scaffold_464:145208:156224:1 gene:Ccrd_005474 transcript:KVH92493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-like protein RQGKQRPTTRKHSKKEAGGKLLLRSKTTKNFQKTWGVPGTILPPTVTNPPAIAVVLTPIPTSTQTERKSSLITALVQKAEIRKNEWKYFVHYLGWSKNWDEWVGVDRLMKYTEENIVKQQALDKKQGVDKNSKSGRSTQTKPKVSSDVKVEKEDVKSSGAKGKKRKSDSSTEKENASIEKPVKIQIPSALKKQLVDDWEYVNHQDKLVKLPRSPNVDDVLAKYLEYRSKKDGMMTDAVGEILKGLRCYFDRALPVILLYNKERKQYHELVTDNISPSTIYGAEHLLRLFVKLPELLPYVNIEEDLATRLQQKFLDFLKFLQKNQSSFFISSYDGSKVSDSSATRGKMTQEDCKPSLLHIAMALPALELDFL >KVH92486 pep supercontig:CcrdV1:scaffold_464:65797:66854:-1 gene:Ccrd_005479 transcript:KVH92486 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g37300/C7A10_60 [Source:Projected from Arabidopsis thaliana (AT4G37300) UniProtKB/TrEMBL;Acc:O23157] MVGQPTVTVKPSRSDEIIDSDQQLQIAEKVRSHFDSILPKRPPKPNRSDPDYVSPEPLSDEAIAIIPELLKFRSLLSQPQGVVSGGNTTVEDEFVETGYYKQLVSIDKEHHTTGNGFIKVAREETGDNGLYDLRLQIGINGGYRDHIFKSNPATNDWVPSSDDYYDQLQILSSSSIN >KVH92490 pep supercontig:CcrdV1:scaffold_464:178712:179626:-1 gene:Ccrd_005471 transcript:KVH92490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKGPWSPEEDEMLQQLVEKHGPRNWSLVSKTIPGRSGKSCRLRWCNQLSPEVEHRPFSPEEDETILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSMTNEEFNEFSIQQPSLKRSVSAGSAVPVSGLYLNPDSPSGSDVSDSSVPTISSTHVYRPVARTAVVSDGRTPPPPLNDPLTSLSLSLPGAESSESSSVTHPPPVPKPAIPSQQVPAAISSAMQQLQVSQPPATEQQEKAAPPFSAEFISVMQEMIRKEVRNYITGVELQQPTNGGGDGGGFRSAAVGNRIGVNKMD >KVH92494 pep supercontig:CcrdV1:scaffold_464:138356:138724:1 gene:Ccrd_005475 transcript:KVH92494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVFRFEIFVTLLVLLSSDFITIARPLSILNTISCPDQNDGFFERFSLGAIKGGPSPGVGHMVTDKATVGGIKAKHSQGVQQGFTSGGVKDDVGHGIGHKFINIESLGGLKNSGPSPGAGH >KVH92489 pep supercontig:CcrdV1:scaffold_464:203555:205075:-1 gene:Ccrd_005470 transcript:KVH92489 gene_biotype:protein_coding transcript_biotype:protein_coding description:NMD3-like protein MAGEAGMFTVHQTVGSVLCCKCGILMAPNAANMCVKCLRSEIDITEGLQKHVIIIHCPECDCYLQPPRTWLKAQVESKELLTFCVKRLKNLNKVRLIHAEFIWTEPHSKRIKVKLRVQKEVLNGAVLEQAFLVEYVVQDQMCESCSKVQANPDQWVAAVQLRQHVSHRRTFFYLEQLILKHDAAVRAIRIRQMDRGIDFFFSNRSHAVKFVEFLQKVVPIKSRSDKQLVSQDSKSNSYNYKYTFSVEICPICREDLICLPPKLAASLGNLGPIVICTKISNVIALLDPHTLRHCFLDADQYWRTSFTSLLSSKQLVEYIVLDVDPISSEVNVGGSKYVLADVQVARVSDFGKNDTMFFVRTHLGHLLNAGDYALGYDMHAANSNDMELDTYKGLVIPEVILIKKSYEEKRQKNRGKQRSWKLKSLNMEVDASETRGRADEEKRNAEYEQFSRDLEENPELRFNISLYRNEEYKPSENDGEEYVPIEELLADLEINGEAEEDGVMRE >KVH92492 pep supercontig:CcrdV1:scaffold_464:98903:99397:1 gene:Ccrd_005477 transcript:KVH92492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRILKFEFLITLFVFLGSFFITTARPLDILNTISCSDYNDVFFNRFSLGAIKDGPSPGVGHGFTDKATLGGIKEGPSPSIGRGFTDKATLGGIKDGPSPGIGHGFTDKTTLGGIKDGPSPGVGHKFTLGGIKDGPSPGVGHKFVNVDLLGELKNSGPSPGAGH >KVH52307 pep supercontig:CcrdV1:scaffold_4640:18310:19755:-1 gene:Ccrd_025705 transcript:KVH52307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 26 [Source:Projected from Arabidopsis thaliana (AT4G08250) UniProtKB/Swiss-Prot;Acc:Q9SUF5] MAMVVDELLSELDFSDFTTTSTTNSTTTPEDDRWGDWSPAGDWDVFAVQPQENFHHIFELKMDDKTVSFSGGNQNVGSPGPLMIEPSVDGGSGDMKGLRLVHLLMAAAEAMTSDNKSHDLAAVILVRLKDLVSPTEGTNMERLAAYFTDALLALLETGGGGSSGGGGLYFKQHHHRTDVLAAFQLLQDMSPYVKFGHFTANQAIIEAVTHERRVHIVDYDIMEGIQWASLMQALISRKDGPPTLHLRITALSRPGSGRRSISTVQETGRRLTAFATSIGQPFSFHQCKVLDPDGTFKPSALKLVRGEAIVINCALHLPHSSYRSPTSVASFLSGSKTLNPKLVTLVEEEGPRVEGGFVGRFMDTLHHYSALYDSLEAGFPMQGRARALVERVFLGPRILGSLGRVYREDHGQWCSWGEWLSEANFQPINISFANHCQAKLLLGLFHDGYRVEESASNKLVLGWKSRRLLSASIWTSSSHSD >KVH90806 pep supercontig:CcrdV1:scaffold_4645:5086:30742:-1 gene:Ccrd_007182 transcript:KVH90806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGMVPLKELEPRLRSKFDDKSGIFPLKLLQERFKYVNCEGHVFQNSTGIVPEILLLEISIWFPNERFRKFVRFPNSVGMVPLKEL >KVH90805 pep supercontig:CcrdV1:scaffold_4645:4481:40529:1 gene:Ccrd_007181 transcript:KVH90805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MIWLKFIIIVLLCPNTTILATSSVANQLSTAATTDGNGAINEACFYKEKQALLQFKDSLEDSNNGLLSTWRPEDDDCCKWNGVTCNNQTRHVTKLHLSIGFGVDLDGLRGDISHSLLKLGYLNHLNLSFNSFDGTIPNFIGSMSQLRYLDLSFNLFDGTIPKSIGSMTQLRYLDLSYNYFEGTIPRSIGTLTQLSLTSLYFSSNSAGVNFTDFLKNLSGCTSVTLRDLYAQSSQLTGSLSDQIQEFSSLEYLYLSHNHLNGTMSEKVWELPNLQALDVSSNPLVITPNIGKSKVSHVDLSNNSLVGPLFPKWIQTHKNLTHIDISNNRISGTIPVEFWKTWPSQLTYLNLSCNNFSGKIPDLSSNFDLHSKIDLSSNSFYGPIPNVLSTLVLLNLSGNKFYGGISFLCQIVDGLLSVDLSHNFLTGPIPDCLWHFRKLKVLNLRYNKLSGRLPASIGYLVQLEVLDLYNNSFSGELPLALKNCKGLRFLDMGVNRFSGNVPVWIGENLTNLYGLILRSNSFLGPIPLQLWHLMNLQILDLSINNLNGTIPSCVNYLYAMRDGVLLSQQNIHYPLTYNPNATTSDIFYKYDDKAMIEWQGNEREFTSNLGLLMTIDLSSNNLTGKIPNELVDLHELVALNLSKNALLGEIPRKIGGMKKLLNLDLSRNSFSGEIPSSMSNMTSLSCLDVSYNKLSGRIPSNTQLQSFPPSSYTGNARLCGPPLAKSCRGDEVPHDASKSESDEEGTDELERWFYIGGSIGFATGFWVACGALLINPVDGNGSIHKCFHKEKQALLDFKARLQDPLGQLLTWRPQDDCCKWSGVACNNQTGHVTELYLPSYLTYNFGEAFLPSFGLDGLRGEISPSLVNLTYLNLLDLSFNSFHGTIPSSIGSMTKLRYLDLSFNALNGTIPKSIGFMTELRYLFLSGNFLTGTIPSEFGNLTNLIELALGGPFVSFRVGNLDWLSTLSHLQYLDMDGVSLAKANHWVDVILSLRNMSYLSLEGCDLSEVMHPYSSFVNSSSSIEFLHLAGNNLNSSMYRWLFPLASKSLISLDLSYNMLDGIPRYFGNLCGLKVLTLSRNSGVGKFDDFLNNLFGCTSVSLLKLEASYNQFTGSLSDEIQRFASLQQLYLSNNHLNGIMSEKVWELANLTYLDVSSNSLGGVVMSENIGKSKQLHIDLSNNSFEVIPSKDHMLNLSYVEYLDLSDCKLGPLFPKWIHKHKNLTYLDIANTRISDTVPVQFWKTWPSRLSYLDLSSNNISGKIPDLSSNFNLYPIIDLSSNNFSGPVPDLPSTLVSLNLSKNKFNGGISFICQIVDGFLSFLDLSHNSFTGQLPDCLWHFKELQVLNLGHNNLSGRLPAAIGYAYRLEVLNLYSNNFSGELPLSLKNCTELKLLNLGANKFFGNVPVWVGQNLTGLYVLILRSNNFFGTIPLQVCHLVNLQILDLSMNNLNGTIPSCVNKLDAMVHGRFVPTQDSHATGKAYKERGGDTVVGSYIDDSMIKWQGNEREFTRDMGLITSIDLSSNKLTGQVPNELTDLHETSIHTINFVFVIFSLLLLCLHTETVTALGHQLATADANGAINKERQALLDFKAHLQDPDGRLLTWRVEDDDCCKWSGVTCNKQTTHVTKLDLTGDFGEGPLGGEISLSLLNLTYLNHLDLSSNFFNGIIPDFIGSMTRLTYLDLHYNYFNGTIPKSIGSLTQLRYLDLSDTFLHGSIPLEFGNLTNLQNLLLGTSEGSTVENLDWLSNLSHLQYLQMDMISLAKANHWVDAILSLPRLSYLSLFDCDLSEVMYPYSSFVNSSSSSIGSLRLESNNLNSSMYHWLFPLAGNKLLNLDLSNNLLDGIPXYLGNLCSLXSLSLYGNSVXVNXSDVLNNLSGCTSVTLQELDVSDNQLTGSLSNEIIKFSSLQTLTISHNQLNGTMSEKVWELPDLQTLDVSSNSLRGGVTFENTEQSKLRKIDLSSNLLEVIPSKAHNLSYLKYLDLSACKVGPLFPKQIQTYKNITHLNIANARISDTIPVEFWEIWPSQLTYLNLSSNNISGEVPDLLSNFDDFSTIDLSSNSFYGPIPNVPPTLLSLNLSKNKFYGGISFLCQIVDGFLSFLDLSHNSLTGQLPDCLWHFKQLKVLNLGQNSFSGRIPASIGYLVQLEVLHLYNNNFLGELPLALKNCTKLEFLNLGANKFFGHVPVWVGEDLTLLYAFSLRSNNFFGPIPLQLCHLVNLQILDLSINNLNGSIPSCVNNLNSMVHRRRFLPKNNMHVYGKLYNEEGDITMVGTYVDYAITEWQGNEHELTSTLRFLMSIDLSSNNLTGQIPDEITELHELVVLDLSKNALLGEIPRKIGEMKKLLTLDLSRNNFSGVIPSSMSGMSLLNYLDVSYNNLSGRIPSSTQLQSFKPSSYTGNAGLCGLPITKKCKGDEESEAPPQSESEGGGEGIDELWTWFLIGGGTGFITGFWVACGALLLNRHGRHAFFHFVDFLKDWVYVKVAIFIRKLQRAATATDGNYGGINKSCFHMEKQALLHFKASLQDPLGQLSTWRPAEDDDCCQWSGITCNNHTGHVTKLHLSSNPFKGLGGNIPPEIGNLTNLRNLSLGRGAYYAIGTMVENLDWLSNLSHLQHLDMYGVSLAKANRWVDVILSLPKLSYLSLSRCDLSEVIYPYSSFVNSSSSSIGSLQLTQNSLNSSMYRWLFALTSNRLVSLDLSYNMLDGVPKYHGNLCSLTSLNLHGNSAVVNFPDFLNNLSGCTSVTLQELYASGNQFTGSLSDEIQKFSSLKRLKLPYNQLNGTISEKVWELHKLEYLDISSNSLRGVTSENIGNSKLWHLDLSNNPLEVIPSQGHVLNISYVEYMDLRNCKLGPVFPKWIQAHKNLTTLNIANTRISDTIPAEFWKTWPSRLTYLNLSSNNISGEVSDLSSNFGLYSEIDLSSNNLHGPIQNVPPTLILLNLSKNIFYGGISFLCQVVDGFLSFLDLSQNFLTGQLPDCLSHFKELEVLNLGHNNLSGKIPASIGHLVQLMNIHWYKIGRYDMFRSYDDNAMIKWQGNEHEFTNNLGLLTSIDLSSNNLEGQIPNELTDLHELLALDLSKNALLGEIPTKIDMSHNSLSGRIPSSTQLQSFEPSRYVGNAGLCGPPLTKNCPGEVVRPVASKNENGEEGKDELERWFYIGASIGFGTGFWIACGVLLVNRRGRHAFFPFVDFWKDWVYVKLVAVAEGGGDAVIKCFHKEKQALLHFKASLQDPLGQLSTWRLEDDDCCKWSGVTCNNQTRHVTKIDLSSNPLTGLRGLGGEVSPSLLNLSYLNHLDLSSNSFHGPILNFIGSMTXLSYLNLFYNSFHGTIPNSIGSMTRLSYLDLSLNSFNGTIPTSIGSLTKLRYLGLSDNSLHGTIPPELGKLTNLQYLSLEQLARCSVENLDWLSNLSRLEYLHMDEISLAKANHWVDIILSLPKLTSLSLQRCNLSEVVYPCSSFVNASSSSIGFLGLGSNNLNSSMYRWLFPLTSNKLLFLDLSNNMLDEIPKYLGNLCXLTYLNLYKNPVVVTFADFLNNLSGCTTVTLQVLDASYNQLAGPLSDEIQKFSYLQNLILSNNHLNGTMSGKVWELPNLQVLDVSSNSLXISQNIEKSKLGYTDLSNNSLQVVPSKDHILVHSYTERIYLSGCKVGPLFPKWLQTYKKLTILNVANARISDTIPAEFWKTWPSRLTNLNLSSNNISGRVLDLSSNFDLYATIDLSSNXFYGPIPNVPPTLXSLNLSKNKFYGGISFLCQIVDGFLSFLDLSHNSLTGQLPDCLWHFKQLKVLNLGQNSLSGRIPASIGYLVQLEVLHLYNNNFSGELPLALKNCTNLNFMYLGANKFFGNVPDWIGENLTRLYALILRSNNFFGPIPLQLCHLVNLQILDLSRNNLNGTIPSCINNLXAIVQGRYLQSRNIRQPLAYKANATLLDIFFNYVDNGMIQWQGIEREFTXNLGLLASIDLSSNNLTGKIPNELVDLHELLALNLSKNTLLGELPIKIGEMKNLLTLDLSRNNFSGAIPSSMSQMASLNYLDMSHNNLSGRIPSSTQLQSFEPSKFTGNAGLCGPPLTKNCPGDVVPPVASKNENGEEGIDELERWFYIGGGIGFGTGFWIACGALLVNRRGRHAFFPFVDFWKDWVYVKVVVFFRKLRRVEHA >KVI00624 pep supercontig:CcrdV1:scaffold_4647:8701:12924:1 gene:Ccrd_021125 transcript:KVI00624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGNPTSSLPDTPFFGLLSNLLQQVESLTNQEEVELRAKIKALGLEVTKIPSKSTPILDELELASELDKLSAKLDDVDEMISSAIAADPQVKSLLSSTADVWMPVITATSDERQNFVASAVHNDQVEEKSSN >KVI00622 pep supercontig:CcrdV1:scaffold_4647:13777:23233:-1 gene:Ccrd_021126 transcript:KVI00622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase active site-containing protein MVFYRSVSLLSKLRNRVVKQPSLTNSVRWLQIQTSSDLDLHSQLKELIPEQQERLKKIKSEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGHSIPECQKLLPAAKPGGEPLPEGLLWLLLTGKELRSRATIPDYVYKAIDALPVTAHPMTQFTTGVMALQVQSEFSKAYEKGIHKSKYWEPTYEDSLSLIAQVPAVAAYVYRRMYKNGESIPKDDSLDYGANFAHMLGFDSPEMQELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVNECGENISKDQLTDYVWKTLNSGKVVPGFGHGVLRKTDPRYMCQREFALKHLPNDPLFQLVSKLYDVVPPILTELGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRAIGICSQLIWDRALGLPLERPKSVTMEWLENHCKKSA >KVI00623 pep supercontig:CcrdV1:scaffold_4647:26855:30464:-1 gene:Ccrd_021127 transcript:KVI00623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSSTTTTTTTDAAAAAAATVTAASNTSMDRHTYWCHECDMSISLIPSSTTASLLCPHCNSDFLEELDSPITFNNTSNVNNNPNPDDHDDSNHVSSQLLFPSFLDPSLPAADYPTTFQFPPVAPSDDNFLLDSPYFHRVIHHLFNSEDSSPSTTATTSRHHSPASKSAIEAIPSVKITSAFLEIDPIVICAVCKDQFVIDDETKELPCKHMYHPDCILPWLSQHNSCPVCRFQLPTEAVDGDLKVRRRSRSRVLRLGDLIDDEDDEELLGFGLRHLARRHRLVFPMRHHHYRHPQVEEEEPEVLFSSTQIGEEVAVDVLPDSGRTNSVETVSSWPSWPVDVGAVDGGEIAVSPAGVNDDADVLKLRHLCSYAYLFLKLAMVLGTQLSILEASDTCRTSSLNDV >KVH52305 pep supercontig:CcrdV1:scaffold_4648:24216:39075:1 gene:Ccrd_025706 transcript:KVH52305 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF89 MPSIFFPGKYADLLIHVYKEEFQNVVDYLIDVQRAITDLKKKVSASRNLYISHIEALENVVXLHKASANRSLEDVSVLASSNARCVEELLDEETIEGHDIFDELHGSLSTQQGEIALFARELRKVFTEYLTKCAVNRVRYAKILEDFKNDLESHGGPPDCIVSGNFSSSIYLLLCRIRELVLREVGFKDIFKNVAEDNAKAITLFKDVFRLNDTTEEEPKRVENLVRGKFAENLFDLGSGILHRNKSSMKHLNCLFLQIHLIVKFAGLFYQDVVSLAQTEDEPNEAAKKMTETAFLPVEAVITTCIVQNPNAKTNLKLKRKAKSKSK >KVH91427 pep supercontig:CcrdV1:scaffold_4649:27828:31187:1 gene:Ccrd_006550 transcript:KVH91427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQDLGNTMTVRAKPGLAAFKTNGQREDEGESRMDPYVGLEFDSAEAAQEFYNSYATQIGFKIRIGQLYRSRVDGSVVSRRYVCSKEGFQTNSRTGCPAFIRVQKGDSGKWVLANIKKEHNHDLDISGEVRTPTIQRKVIPAPKTTSVVSSRTGIRSLEDDGPSGVIDLKRLKREELEAEPRGEPCKGLDFISANEAYKFYYTYAANMGFKVRIGQLFRSKNDGSITSRRFVCSKEGHQHPSRVGCGAFMRIQRQDSGRWVVDRLSKEHNHELDSPEDAGRKIAAAAKGFKEELSSGLENLDLLETNGGLSLVKRDRGSGIGSRWYSVLLEYFQSRQAEDTGFFYALESQTASEFDSAWSVLVTKYNIKENAWLKEMYKMRKSWVPLYLRGIFFAGIPVDGSMKSYFGTFLTSQVPLNEFLMRYEKATEHHREEERKEDFNSFNLQTVLHTKDPLEEQCRRLYTITVFKVALITWFRVDKKSNMRFLQLVYGIEVA >KVH88786 pep supercontig:CcrdV1:scaffold_465:118204:118726:1 gene:Ccrd_025708 transcript:KVH88786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MADRRNWNIQEEDVLISILQDIVAAGGRSDNGCFRTGTYDQIVSKMREKIPGLNITSKHIQNKMKRLKDKYSAAYDMLNTSGFGWDDAHQCVTVDAQVLEEYLKKHPNKNYIXNKPFPQYERLKTIFGKDRATGSMAESAADALEHINLE >KVH88789 pep supercontig:CcrdV1:scaffold_465:220916:230371:1 gene:Ccrd_025711 transcript:KVH88789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MRTLEEDSCNHDDIDNTDNYSFEINESSSTLSILSSPLLLIETLSRKSFSYGKLPLEPIKLTVLKLDGSSFDITVAKNPTVAQLKQAVEAAFSHLPKHGIGKFCLCFEGQKLLQDQNPVGLHWIKDGDQLQFVRHTSICYNLVKERPEKRDYELEESKASPSKPEEYKDADVQDEENEKEDIAVNITCECSWGQLLRRLFSYRRQKGRDSSYKELGSSKSTGIST >KVH88790 pep supercontig:CcrdV1:scaffold_465:206515:207005:1 gene:Ccrd_025710 transcript:KVH88790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MMAKLDLYRKFTNVLAVAIIVSELLTMWHASSFFQLYFKSTGIYNVQWQNAWIIPAFWQVLSFSLLCVICALWAPSLNCKKTDSYLITICFYCLSC >KVH88788 pep supercontig:CcrdV1:scaffold_465:23353:35731:1 gene:Ccrd_025707 transcript:KVH88788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox homologous domain-containing protein MEHRRSPPKHRHDGTSPLPLGMDWSPPPKKWNGRDTVWPHDPRTGWSYCITIPTWVVLPKSRDSDPVVFYRVQVGLQSPEGVTTTRAVLRRFNDFLKLLAALRKAFPKKNLPPAPPKGLLRLKSRTLLEEVRRGSLEEWMTKLLSDIDISRSIVVASFLELVSAARSSFQDENQQIGQGKSNTASPRIHPDSNESVASGSTPLTPDYGSDTAYETSEIGTSSLGRDNISEAGTEDLSLDEDLTGPLEKLVKHGMSNIDEGLFIGNAILEQVEGFPRHTIHAREINKVMRRTDKNGNGSDTAYLTRDRGDSLPRQEQEHGKFIGNSEKLSNGSVGKTTSSLRRSVTVDTEFPSSLADSYLIPSRAAEVSKPVGFLGNMESDDINLVLPLGQQQKMNRVLISMQRRLGTAKTDMEDLISRLNQEIAVKDYLTTKVKDLEEELETTKLRSKENLHQAILMERERVTQMQWDMEELRRRSLEMEFKLKSQQDDKQETESPKTSIIEEKDQLLQELDDTKSKLEQLLKKHQELEIKSKADVKVLVKEVKSLRSSQAELKQQLNQSVVGKLDAEKLLQQEKQKYENDNVARRELLHECDVLQHRLRECSMNLLNESEDKLVIDSPSVQDALELVKISDDRIEALLAQAQILGQDDDVSSGDYELRKMVSEILVDNISLRKQVSLLVHRALKVGIVTTKDMEAPCVKNKTLDTR >KVH88787 pep supercontig:CcrdV1:scaffold_465:126554:134906:1 gene:Ccrd_025709 transcript:KVH88787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPSLQANLYVSSSKFCFVRLDRFKRSSFSCKKKAHSSWIIKSVLNNKESSINGDEAIEPARILLERLFAQTQKLEEKIGKDLNLSQDIELELNLGRLESDLQTALAVLRKKEEELEAAEDKIFSEYRDLNQAKEELGKREEEITAVFLRQEKLEEELKQANLDLASQATELRDLKLQLEKRDKETTAAQSALLLKENQVNIMVNELRIKTEEAANSQSELRSKSRILVETKEILDKQTLEIQELRKAVQEKDEELQISMALLESEEEKLKVVEANLEKQTMDWLVAQEEMKKIAAEASKRAVEVNESLEDFTRVRKLLADVRSELVLSQKSLSLSRQKMEDQQEILEKELVELAEHQKSLSLYTGTLGDAEMEVESERVKLRLAEARKQELERDLSIEKDLINELQRHLNDEKLSLQQATEEMSVLRDELDRRKSEYGEMQNLLESKESQLVEAKLEIQHLKSEQEFLELMLEERESKLSDAQVMLGEVNEEILNLKSLLSIRDEKLMETTNLLKEKEELVDTMQNDLNETKMKYSEATSVVERILDLTNKVVSSIEQEGYKEKKPTESSSKWEKKQLENELEVMTETLRSREMEVLQSQRALTIKDNELKMVLEKLEEREKEMKEMKRELTQDADDLRKLYAMAQERIGEKSMGELAIEKLQLEAAQLQVEAATSALEKITEMSRDLLRSTSLVIKADYDLDISELNMPEKEVRAFEDDQCFSELRTEVVRLSDLTEKLIQEAGISSG >KVH96375 pep supercontig:CcrdV1:scaffold_4650:7065:12168:1 gene:Ccrd_001538 transcript:KVH96375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1423, plant MLKDRENCSSDGGNGSKTSEPKLTQIGSEENRGNPDERIGFSDKGNGFCRNSDQGLESKPSKLGISQELTLSYLCDNSKLASSDNGKSVLNSFDTVKGKLVVSEDHNNHNQDENRWVERDFLQLSENSSKREVEDDEIYRVNRDKKPKLETLDLSLALPDTSMSLAASNRVQDGDPSVSLRPSRSLQSLGRSNSNNTQTTFSNDFTTGSMSYSYSHQFSHNPSCSMTRNSTENYEYSMGSHRRDCDQIWNGGEGTNGSVHSRFRPVGDGGVALVQANRTNSDNNLSFFPSELPARMKMDTQSGDSRGRGSENTKGLEGLDFGRSRKLSRPEKILREIVSESIPTMAQVTQELPEETFESTKDYLKKLISIPEKRDELVGLQLRLERSNTCSWVGCDVCGHWCHAACGIQKNLIKPGPSLKGPAGTSEMQFNCLCCGHASEMFGFIKDVFKACAVQWDLETLIKELDCVRKIFRGSGDFKGQKLHMKASELISKLENKVMSPSEVCSFILQFFNSIDTDITSEYPVTNSSLKDAIPPLKPHPLQQTSFYNMGSSNSRGDKENSLKTHPHMMNSNKMMIEDEWSVKSSKKDAFDSVESLVRIKEAEARMFQTKADEARREAEGYKRMIRVKIEKLDEEYTEKIGRLNLQETEERRKQKAEEVKVSENDHCEYYKMKMRMQAEIAGLLERMEKTKQQWM >KVH96376 pep supercontig:CcrdV1:scaffold_4650:35618:37402:-1 gene:Ccrd_001540 transcript:KVH96376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRHNVPAMSRTTKVQRHNVLDNGVLLLEVWLVVTEENFSPLVIYDVGENAYGFAVWFRKQSTTQNRTMVWMANRDALVNRKHSKLSLQEDGDLVLIDAGQYVIWSTNTKSTSSSVELQLLGIGNSEQVKLQKDLPSSRARRLRRCRGAAACGLPVVVDSSSHLRQDLGSADDATSMAGVRGGPWTWN >KVH96374 pep supercontig:CcrdV1:scaffold_4650:22905:28702:-1 gene:Ccrd_001539 transcript:KVH96374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MDSLHLILSLLCSVFALVSGYSRTLQISSFDEGYSHLFGGNNLQVLKDGKSVHLSLDERTGSGFVSQDLYLHGFFSASIKLPADYTAGVVVAFYMSNGDTYEKNHDEIDFEFLGNIRGKEWRIQTNIYGNGSTNVGREERFGLWFDPSYDYHQYSILWTDDRIIFYVDNVAIREIKRTESMGKDFPSKPMTLYATIWDASEWATNGGKYKVNYKYAPYIAEFSDFVLHGCTVDPMELSTLKCDTTPNSNSILADLTPAERIKMESFRKKHLQYSYCYDKMRYKTPPSECLVDHEEAKQLKSFDPITFGGSRCRHRSHHGRMHRQSRSVSF >KVI11267 pep supercontig:CcrdV1:scaffold_4654:496:1512:-1 gene:Ccrd_010325 transcript:KVI11267 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MMAQPSDAPVFIPVSVIGPQFISPFPLEVIVDTYSCGNLVITDTNHKIMLKVKPYSTNFHHQLLLLDADDRPIVTLRKKNMSGHDGWNVFRGDSKADPDMIFSTKTPHMIQFKETNVNVFMANKMSSKNGCDFNIKGSWAKRKCTVCVGDSSTTVAQVIFMHELQPMDNVKFSEDKFMVTIYPNVDYAFVVTLIAIVYAMESSEIKDVVAKEVAGIVAEAVVGAVLL >KVI08118 pep supercontig:CcrdV1:scaffold_4657:6206:33228:1 gene:Ccrd_013512 transcript:KVI08118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCHFLNTCSSSSPPSIFPTTTTISSSSSPSSSVVSIKSSLKCAFPVIRNNIAFASCRRRRVICAAASTRKSSSSSSPDYYKVLNVRKNATLQEIRSSYRALARKYHPDMNKGAGAEEKFKEIGAAYEVLSDEEKRSLFDRFGEAGLRGEYDGSGTGYQEVDPFDVFGTFFGDANGFFGGMNESGGNNFNLRSKGSHALNIRHDIFLSFEESIFGGKRDIEVSCLETCDYCGGTGAKSSNHIILCNHCGGRGAVAETQKTPFGVMSQVSTCSTCGGDGKIITEFCKYCRGEGNVQSTRSIKVVIPPGVNDEATMCIEGEGNVDNKRNRVGDLYLVLHVNQKRGIRRDGLDLYSEINIDYSQAILGTVIKVETVDGLKDLQVPSGIQPGETIRLSRMGVPDVNKPSVRGHHHFIVNVQIPRYIRPGISGSWFAEIVGGLNGSWCDLFNSSLMALFPWWFGVGNVTGVVCHCRVVVAILEGLWEQYSRLSSQEEEDPLQLSTSFLQLVQSWQQLMVCAHVVNEERLLVEKLAAIRHHVTNGTVLIVSSFVKFTAPFTLAKRRKSKKMMSCRCIETVESSWTIINFQ >KVI08119 pep supercontig:CcrdV1:scaffold_4657:32247:34613:-1 gene:Ccrd_013513 transcript:KVI08119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MEQWKKVWMMMMVMMVSMNGGVSGYKNYTVGEDLGWFDKLEKSSVNYQKWASSHTFSLGDFLLFNTDNNHTVVQTYNSTIYSLCDDSTSLDNDTVTWSSPDPSATNVHPVFVAVPLLKVGPTYFFSSDYDGEQCENGQRFSINVTHGQGLPPSLRTPSPGAPGPVGQQSGDDTVPDTLVPANFDHPKDISDDDSDDDDDGKKKKSNATIIQTSRWGFSRILILLGLLYTCW >KVI08822 pep supercontig:CcrdV1:scaffold_466:54079:56452:1 gene:Ccrd_012788 transcript:KVI08822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MVKFKLRIFRSFRTKDTSTPPEKPASPRQRHKLTEVDLTCTTSPPPSEQPPHRSSFKTHLLCSVFRCGSSSGNHSASEDLQWHVVESPRRKIYNSSAIAGVFYDDGERFHTPIQPSIRKKNRRVRKVKKNKPTPVTTSSPDSDQFTTKYTIDEDEQKPGIISSSSRSFSTNSPTVPSPHPQPNYKVKKKRVKSRHKGGKGGGVSPEWGSPARLSVFKKLMPCKVEGKVRESFAVVKRSENPYEDFKKSMTEMIVEKQMVEESDLTQLLQCFLSLNSRYHHDIQTEVSPGTK >KVI08837 pep supercontig:CcrdV1:scaffold_466:124794:132306:1 gene:Ccrd_012794 transcript:KVI08837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSYSVRGGFTDSRIRSTILGFLSETNDCKNQNILQLDYANMLLKTGGFTVGEGGSNLRKKKRKERRKEEGKLNSFWSTKKQQAAASSRHASVRQQQQQERKRLHLPRPATAAAARKEAPASSSTSNSSSSKKGLWCPPIHRKTAAAAGTTCVLSSVNQQHTAAPLPVSLRSRLPKQRTVAAKQFLCPFKLPTAAANSSCCCLTFTLRCHAKSAAGAACFLHPSRNCSRVFTAAVFRQDRAQAVPEHCTGAVSSQSAHRLFPSTAQGLFHHRLHTAVTVSRHRQFGSGRHDEDRTAILSADDLLEESLRASFKEKI >KVI08826 pep supercontig:CcrdV1:scaffold_466:37398:38645:1 gene:Ccrd_012786 transcript:KVI08826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSSALLRRARHLSTAIATVPATTTTNATVSASMTISKAKSKLRTEHNTDKALDIYSTVKSNYSASSPGSTHHAQQITVRRLAKSHRFSDIEALIESHKSDPRITDEPFLSSLIRSYGIAGMFEHALNTYNQMEALKTPRSTISFNALLMACVNSKNFDRAPHLFDEMPAKYGFQPDDYSYGILVKSFCDAGKPEKAIDRLKEMDKKGIQISGVIYTSIIHSLYKKGNATEAERIWDEMVNRGCLVDVAANNVRLFNAVDGDPENIKTMIEEMSNSGQKPDTISYNYLMTCYCKKGMMKEAKEVYDKLEENCCNPNAATFRTLLFYLCKNEQFETGYKVFKHSVKVNKIPDFNTLKYLVEGLAKKSRTKDAKGMIRTMRKKFPPNLLVAWSKIEKELGLVSIEAEAAKEVRT >KVI08827 pep supercontig:CcrdV1:scaffold_466:45937:49505:-1 gene:Ccrd_012787 transcript:KVI08827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKASFRGGYDADNSDASASVVVNTGGINLRASTTGDTFVNGPSLNGLTLSVENPGFFNVDYNVQKKDVRFQFMNTVRVNEKPLNLTYTHSLVEDRTALDGTLLLDSNHKVSANYGFESGNCKVKYTYMHGGVMTIEPCYDFAENSWDLAVSRRIYDGSVVRGSYHSSTRILGLDWKINSSTNGNIKLSASVNLAEEKKMPKFTAESIWDFEM >KVI08834 pep supercontig:CcrdV1:scaffold_466:178205:179139:1 gene:Ccrd_012797 transcript:KVI08834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYEQEEYVSPSSVDCTLSLGTPSTRLTNDYEKTHPHDQRSSWWNILQSSSKSPAPQSTHKANRGGGNGSATADSLFSRRFKKEERRANAAAAAVVTSGGSDATEGYHHHQYMMNGNQWVNHHSQPNHKMPSCYSPAAAANEYRFMDDVDDRDSPFLSWRLNVTDRPGLVHDFTR >KVI08836 pep supercontig:CcrdV1:scaffold_466:138536:144400:-1 gene:Ccrd_012795 transcript:KVI08836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLINANPVVREKKERISRSDVHLHCDLHDALSVLSEESITVDEKLGRILITFTPTIQHCSMATVIGLCLRVKLKDCFPPHFKVDIKVAPGSHADEEAVNKQLNDKERVVAAMENPNLRQLVDECLHSSEL >KVI08829 pep supercontig:CcrdV1:scaffold_466:242375:245653:1 gene:Ccrd_012802 transcript:KVI08829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHGGSSFLSGQTGRLLLFAFGFVLLVSLQAEAATKKYQFDVQVNNVSRLCHAKPIVTINGRFPGPTIYAREGDRVVINVSNHAQYNMHGLKQYANGWADGPAYITQCPIQTGNSYVYDFNVTGQRGTLWWHAHIFWLRATVYGAIVILPKQGTPYPFPQPDNEQVLVFGTEILALISFSQILEFIYSNCKSGEWWHGDVEEIVKKGNAMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKKYLLRIANAALNDELFFAVAGHNMTVVEIDAVYTKPFTTSAILIXPGQTTNVLVYANRAPGRYFMAVRPFQDVPIPVDNKTATAIFQYKGIPETVLPSLPQLPSPNDTAFALSYNKKLRSLNTRNFPANVPLKVDRKLLFTVGLGKSVCPTCINGTRLSASLNNITFVMPQTGLLQAHYWNKKGVFSADFPDKPPKAFNYTGAPLTANLFTSXGTRLSKISFNSTVELVIQDTNLLSVESHPFHLHGYNFFVVGTGIGNFDPAKDPAKYNLVDPPERNTVGVPTGGWSAIRFRADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGKDQAVRPPPKDLPPC >KVI08825 pep supercontig:CcrdV1:scaffold_466:31711:33893:1 gene:Ccrd_012785 transcript:KVI08825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTELDNTSAVNESTVSGEASISSLGNQTAAPPEKPVKRKRNLAGMPVNFSCLKHIDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEIRKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDQCSKKYAVQSDWKAHLKICGTREYKCDCGTLFSRRDSFITHRAFCDALAVESAKGQAEDEPSKKDEDPKPLLIESAPQSTSTASPPPPPPPASLPPPPPTTTTPAVPVTSSVLCIAKTPDSLQVNIAKKEILVYNACLPADLPEISKSNNDSRPVLENPSQLVAGLTGSSSGSCSSTNTGSTSSSVFASLFASSTASKTLQPQPQPQTTGYKDLIRSMTQPTQTPDLTLPSSAQETISLCLSTNQGSSIFRTAGQELRQYAPPPQPTMSATALLQKAAQMGASASGASLLRGYGLDAGQRDDTDGGASIGLGLGVGYDGRSGLEELMMGTPSVYGPKHTTLDFLGLGMAAGGGTTNGLSALMTSIGGSLDVTAAVSSFGRGGRAGSGEFTGKDMGTQ >KVI08835 pep supercontig:CcrdV1:scaffold_466:154850:158766:-1 gene:Ccrd_012796 transcript:KVI08835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein MILLLDETGEDNIGFFFNGSSENLSGDGPWFFLGSKIDMDFVRTKKPEDLVLALAEAKADAIISRLGITGHKEENAHPTLLITADTVYSFAYGKLGPGGFGPKPGLRMIREKPSSKEEARHFIKGYSGGCAIVVGSIVITNLTTGIKKRGWDRSEVYFHDIPDEVIDRLVM >KVI08841 pep supercontig:CcrdV1:scaffold_466:80926:87699:1 gene:Ccrd_012790 transcript:KVI08841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MQRKVAEVANFYLMECKEEVHSDDGSFELINFDDDDGDMVHLNMKSDVSSNMDLSDGSYDFLSSEMSEILVLTKGEASNSITVSEDKPYEDVRSFLFESAKGDGGFEDASDPMEEEEEEEKEFSGMDFPDAVDMGRVRNFMTMAYQNSNFDVFDSRFPAHFKLKDDIKEVAKNHILRYLPAKSLARSRLVSKEWDQWISSPFFAHVQSQYFRKMSGFFEDTDGAIRFISLDIFAYGVPYPSLRFLPQNVFIRSSCNGLLFCRAFDEENEYYVCNPANEDWIRLPSSSYYHGREPKYVLVFEPSSLNFEPCYQVVCPFSLPDLMKGPIVYFDIYDSKTNSWRISDMICVDLDESDIKSDGVFVNGVVYWETTGGELLAFDIKNEIYGVQSLPYGEGGALSKVHGELSYVKARYHHPMRTCILDVYGGSIMSLKNTMTFAISSYGLEDGELVDCRVLANSCDDVIAVIMKKFGGPNCLYVYHVKDQKVEGPKFLQNSNISKLFPYVNSLGKEASIFVGGMANCCDQRLQVKTSPAPKTAPTPKKTSPQSPRSSSSSPPPTTRPPSPKSRPPPSPSDSLSPKNSRGYSPPSETSSTPSPPKVRPTRGNASNKSPSSPSSKLNNPSSRKSPSKSFTDSYSISNSTTNSSLTIIGGIAAAAAILLFLAIVIVCVLCNRKKKKPYYLPEEPPGVGGGGPYYNGKADHVVKFGTGGGTWGQPPTMNTGNEFRGSKLSVVSPNMPLAFSKSQFSYDELAAATGGFSQFNLLGQGGFGYVNKGVLPNGKEVAVKSLKAGSGQGEREFQAEVEIISRVHHRYLVSLVGYCISDEQRMLVYEYVPNKTLEFHLHGKGPVMDWRTRVHIALGSAKGLAYLHEDCHPSIIHRDIKSANILLDHNYEAKVADFGLAKLTSANDTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLEILTGRKPVDPSSDDMDDSLVDWAKPLIANAKKDGDYSKLVDPHLEGNYDNDEMARMASCAAAGIVRVLEGVASLDSLDKKATSRGSILDSSNELRDYDAMTYNDDMMKFGTGSSGAPR >KVI08831 pep supercontig:CcrdV1:scaffold_466:226103:229533:1 gene:Ccrd_012800 transcript:KVI08831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEILQILHQSPPSRHQMPPHLQRGLVNVESQFNWLIDSAFPSPGIFDVTDHFQWSEFDGSPFTDLSSSSIDELSKVTAINDQNQSAKKRKLEFDVVEVKAEKHIDELEGDSKPKIDPKADYIHVRARRGQATDSHSLAERVGTKGENKKENARFARFGARVQQNHQQGCHS >KVI08832 pep supercontig:CcrdV1:scaffold_466:211684:215306:1 gene:Ccrd_012799 transcript:KVI08832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKETSFDGLTNQYNQDSFIIRMGTDVAEAVEKLPSPHDIKVHSIMCTGLMKMVDRIDKIFPEIEAYRPRCSSGIQSLCLLNSAIDKAKSSVRDCSESSKLYLALTGHAVLSRCKKSKKLLEQSLSQIQNMVPISQIIAELREMKLSLDPSEEEAGKAVRALLEGYDTGNHSGKESRHECIRIAALKLHITSQKALLMERRSIKKLLNGLGEGDGKQPKKQILMFLLALLTKYGKSIASGDLENNNVVENQDYKSRKVDVCVDYEEARMGGNGVPPEEFKCPISLKLMYDPVVIDSGQTFERMWIQKWFDEGHDVCPKTNRKLSNFSLTPNTAMKDLITRWCETHRVTLSDPCIQLSTDANAWENSCSSVNSLSSMYSFQLPVDFSNLSLSSLDSSHTAEDTRELDVESLSQELDDALPWEFQCKIVEDLMTCLKRDDRACKLISLGNLVESLVKFLKVARAINDVKAQRIGCLLLLLLVGESRNVKYLSEDAYVLIAEFLESEVIEEALAITEVLSSHQNCQSEIASSGALPYIFKILDTQIREIQTPALKILYNLTLTRNVRSLIVSSDLIPKLVTLCEDDSLSRYCIAILTNLCSNQDDKSIIAETNGCVPFIAKVLESESCEEQDQALEILLSLCSQSIQYCRLVMDEGVIPAVVSISINGNDKGRAKAHEMLRLLRDIEHEDYVEESVASDYDISDEPNNFHVEKKTASKTSRFLSKFSLISRSSLAPKRKV >KVI08833 pep supercontig:CcrdV1:scaffold_466:184389:185940:-1 gene:Ccrd_012798 transcript:KVI08833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MASNSLYSSSSSSSWTPQQNKLFERALAVYDRDTPDRWQKIARAVGGKSAEEVKRHYEVLIEDLRHIESGNVPFPNYRRWLMIVLLANLKFGTGLVHLKSYRGKSIAHDESCLFTDMGEKEQKFPLRKTSARRQVARCSVSLTEIIFTATSSVPTSPQLSPKI >KVI08823 pep supercontig:CcrdV1:scaffold_466:68625:71917:-1 gene:Ccrd_012789 transcript:KVI08823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1195 MRDEDPLPISTPSTLYSSSTLISNKKETVDSSIFRRGRYKFWALAAIILLAFWSMLTGTATLRLSVGNLNRLSDEIGGGTPIYDDFDVLEIEEREKVVKHMWDVYTNSRRIKLPRFWQEAFVAAYEDLTSDTPEVREAAISEIAKMSLHSIDLDPPPLQSTQNLQESSLRLAQQNKGNLHDGSRLIT >KVI08828 pep supercontig:CcrdV1:scaffold_466:6913:8103:1 gene:Ccrd_012783 transcript:KVI08828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MGICFQVPEEVIEELRGGERQPLIVEGQPKANGSIWEFDTTQVIRTLFQTKNDDDTLDVKNDKEPYNIYKADHDVENCYGWCVTVTKKQLDVLKDSLFGVVMVNLTKGVMMGPHWNPSTAEVAIVVHGQAMIQVVCPSLEKETMCQNSKFKVEEGDVFLVPKYHPMTQISFNNDSFVFMGFTSNLEKNNPQYLAGQSSIFKMLDKWVLAKSFDVSNMTIDRVLLAQRKSIILECTSCAESMEEETTGGEGETTGGGGEGGGGRGWEGEPSRKEEMEKEGESGKREEEEIGWEGETTGGGGGGGGGELSRTEEMEREGESGEREEEEMGWEGEMAGNKEEEMRRREREAEAEAAARGGGGGMQRR >KVI08838 pep supercontig:CcrdV1:scaffold_466:111486:116184:-1 gene:Ccrd_012793 transcript:KVI08838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREKDRDLERLIPVTRSGISEIDGDSNSSSPAESPPGASSSSSHQSGKEAVVKVVRSWASKKFMTGWHPSVGEYALGFITSTVILRKNTGAEELCCIYVPTNHLYLGDIVLVNSKDVMKPNISVREGIEIVISGGMSIPNILTTMDSQSILAPRSGKYVVPQV >KVI08830 pep supercontig:CcrdV1:scaffold_466:230059:232565:-1 gene:Ccrd_012801 transcript:KVI08830 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSFKNIVRELGDAIGNMSRRGIEGKHWRNCTRSHIAPDVAPSESISEGQWAHLPPELLLDIIRRVEESETSWPARTVVVYCASVCKPWRDITKEIVKTPEECGRLTFPISLKQPGPRDSPIQCYIKRDRATSTFRLYFGLTPSEDESDKLLLAAKKTRRATSTEFLISLVADDFSRASSTYVGKLRSNFLGTKFTMYDSQPPSDEAFQANHSRSSRRFHTKQVSPRLPACNYNIATMSYELNVLRTRGPRRMNCSMHYIPISSIQEGGTAPTPKSFPQSSEEILPLSSPSMKGKSISDTICKDSLVLINKSPRWHEQLQCWCLNFKGRVTVASVKNFQLVASVDPSQNVPAADQNKVILQFGKIGQDIFTMDYRYPLSAYQAFAICLSSFDTKPACE >KVI08839 pep supercontig:CcrdV1:scaffold_466:103413:110179:1 gene:Ccrd_012792 transcript:KVI08839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MVPKNEVVEEPQADSPTSVLEDEDICKEKVGVKVDEELFLEAKNGDASLISTAMAEEEDKLEKQRHLEEENMEKEAPPLSETQFTKLDELLTQTQLYSEFLLEKMDDITKNGLTEDVVEVKEEKKRVRGSKRKAASNYNRGKAKKAVAAMLTRSEEGTPSKDINLSKEEKAEKEQAELVPLLTGGKLKPYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLVIAPLSTLSNWANEISRFVPSVNAIIYHGNRTERDGIRRKSMPKSVGPKFPIVITSYEIAMNDAKKHLRHYNWKYLVVDEGHRLKNSQCKLLRELKWLHVENKLLLTGTPLQNNLAELWSLLNFILPDIFSSHEEFESWFDLSGKSNNEEGAEGKKAHVVAKLHAILRPFLLRRMKEDVEHMLPRKKEIILYATLTEHQRNFQDHLVNKSLEAYLREKVDTGRGVKGQLNNLMIQLRKNCNHPDLLEAAFEGSCFYPPVEQIVEQCGKFQLLERLLQKLFARKHKVLIFSQWTKILDIMHYYFSEKGMEVCRIDGNVKLEERRRQIQEFNDMNSNYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRILKRAFSKLKLEHVVIGKGQFQQEKTTGTEVLEEEDMLALLRDSENPEDKMIQTDISDDDLERVLDRSDLIAAGPAKEADGKPDFVISTIPLKGPGWEVVLPTATGGMLSTVNN >KVI08824 pep supercontig:CcrdV1:scaffold_466:23997:26820:1 gene:Ccrd_012784 transcript:KVI08824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 eukaryotic/L18 archaeal LRILNCYTNPLGWKDRLKERGAIKTPSIEASLIVNLCKDVRNLDDLFSLIIALGKGSYDVAAFETIVEILNIYVIITPYMLRMDELEDAKEALKDLPSRLCATFENLQVGRCKTYGMILMEDEQEKYQSHFSQYIKAGVDPENIEELYKKPFVLIQPQRKLEKQPPNEHKRFNLKKLTYDERKEKLIERLNAPSAAAGGADDE >KVI08840 pep supercontig:CcrdV1:scaffold_466:89876:95520:-1 gene:Ccrd_012791 transcript:KVI08840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGDYNDAFMRNNNAAVQARTKQQNRANLMQMKLIGQSHPTGLTNNLLKLFEPRPPLEYKPPPEKRKCPPYTGMAQFVSQFAESTDPEYAPPVQKGETPGQRKARIHQLRLEEGAKKAAEELEKYDPNSDPNVSGDPYKTLFVARLNYETTESRIKREFEAYGPIKRVRLIADKETNKPRGYAFIEYMHTRDMKAAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRYSGREQQQSGGPPRSVEPKVHEDRDRDREKSRDRVKEREPEKSRERSHDRPRDREHRDERHHRDRDRKSDRGDRERDRSGRDRDRHRDRDRGRDRSGRDRGDRHREKDRDKDREYEVGDVEPIMDRGRSRDKEYEYERHERDKHGERQKNYEQGDPEEWYEQPEHGNSKRGVDPERDLAGYDYYDRQDDQERYEHPERDHDRYDQMEEDTYEAAKPEARDKDREREYRRSDRSLSREYEY >KVH51278 pep supercontig:CcrdV1:scaffold_4661:3930:5073:1 gene:Ccrd_025712 transcript:KVH51278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MRFNLELERSGDGEVFFLSKALQISPGAYDERVFQGLDFVVAEARKLDFGGRPQYVEWARSSGVQISSNDDFYTNPIVKGYYKNHIQVIKLK >KVH96938 pep supercontig:CcrdV1:scaffold_4666:14658:33585:-1 gene:Ccrd_000969 transcript:KVH96938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIH subunit p52/Tfb2 MPQVRIIAKNFMDMVASLPAMKLDRLYENAFICEAILRSLPPLAKKYVLQLLYIDAPVTASSLQEWVLVDGATKHRVAVDRLIQLRIFTETVDRKKEATYKLNLMFQMNLRKHIVHGGVLPREPMAANITVRLPSLEELDNYASQQWECFLLHLINSAEVEVTTKSISLSMMKVFQRGLLSQRDNEGPRLTESGFQFLLMDTNAQLWYIVREYISNSEDRGVDSADLISFLLELSFHVTGEAYNMNTLTDIQRTTVKDLADLGLIKLQQGRKDSWFIPTKLATNLSISLSDASSRKQGYVVVETNFRLYAYSTSKLHCEILRLFARIEYQLPNLIIISFLQQNAHPRVAERVPSVPENVTDQDVFEAACDFAREYSSLLWEDSKKMRLLVKTEIYSHMKEFLSHQK >KVH96370 pep supercontig:CcrdV1:scaffold_467:8478:10057:-1 gene:Ccrd_001542 transcript:KVH96370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MASNVPIESNYDRESELKAFDDSKSGVKGFVDAGLTKIPRFFHHPRSIINEPKSSTLQTQVDIPIIDLKETNVRAKIVENVRYAAENWGFFQIINHGIPQRVLDEMIDGARGFHEMETEEKIKYYSRDYQKKFSYTSNFRLFTSDAATWSDTFMSVMAPQPPQPEELPPICRNIITEYSDQIMKLGFTLLELLSEALGLKPDHLKSLGCGQGLFILGHYYPPCPEPELTFGANYHTDSGFLTIVLQDSLGGLQVLHQKQWVNVSPLPGALVLITNNKFKSVHHRVLAQKRIPRISVAAFLRPFHEGIEPVVYRPIKELVSEENPCVYKDTSLDEFVRVQKNAEGTSGLAPFKLDP >KVH96368 pep supercontig:CcrdV1:scaffold_467:65198:71124:1 gene:Ccrd_001544 transcript:KVH96368 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit MASVVKNILKSVREKGLVNFARELREEGFLNCLSDGNLLQTKIHNIGATVVGVDKFGNKYYEKLGDTQYGRHRWVEYASKDRYNASQVPPEWHGWLHFITDHTGDELLMLKPKRYGLDHKENFSGEGDEYIYHSKGHTLNPGQRDWTRYQSWQPTKTQ >KVH96369 pep supercontig:CcrdV1:scaffold_467:76025:82312:1 gene:Ccrd_001545 transcript:KVH96369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADAVVEFLLDNLKQLLLYNSDLICGVKGQVDSLYKELSLMKAFLKDSKEKRSEYEYIRELVRQIRDVAYEAEDTIDTFVVNAAMQKERSTLSKIVHAFDYPAKLRSVAKDIESIKTKVKEIYDKKMFGIEALYAGESSNRFSSQRRKPMVEEDNVVGFDEEAKEIVSRLTNISESLEVVSVVGMGGLGKTTLAKKVYCNPTIEFRFFVRAWVYVSQEYNRKEVLFAILSSLMQPSDQTFKMNEEMLVQEICKQLNGRRYLIVLDDVWTTDAWDDLKMAFPNQDCGSRILLTSRNTDVAVLANPDSPPHHLRFLNDDESWELLSTKVFRRGSCPSELVELGRTIARKCYGLPLAIVVVAGLLLKKDKTRDLWKKVAESVSSYVARDPKQCLDVLALSYKHLPDHLKVCFIYFGAFPEDFPIPVWKLLMLWVAEGFIQQVGQDCLEDTAEEYLEDLVERNLILVAKKRSNGKIKTCRVHDMLRDLCLREAAEEKFLQVIKGHIHDANSQVSSGNYHRRLCVHSHVLNFIHSKPYGPHVRSFLCFPVEEKELSREHTSFIHEAFKLVRVLDMRSINISRFPVVITQLVHLRYVALFGNFKVLPPSISKLWSLQTLIVETTSRDLDIQVDIWKMSQFRNLRTSGSSRLHGPQAKTRMDNEDPFVQRNIQTISTVSPDSCTENVLARTPNLRKLGIRGKLVLLMEKNKGYSLFDNLAKLDKLEKLKLLNDTFPRPPSEGKLRGLPPLYTFPPHLKKLTFSDTLLDWKHMSTIGMLPNLEVLKLKVYAFKGPQWEPRAGEFRLLKVLQLGKSDLVHWMASAHHFPRLQHLVVEHCTNLLAIPHGLADVSALQTMELYHTPSAVDSARLIQQQKQMQQQQQQHVKSNGFKLLIYPPE >KVH96367 pep supercontig:CcrdV1:scaffold_467:127756:130718:-1 gene:Ccrd_001547 transcript:KVH96367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MIVATNRLLKRLERLRNKADRMVMGDSVGYEYAPLIASSSFKRLCKKAMSVCIIDPLWRIVHLSAPELIAFLLEEKGYASLNVYWLKSLPKLLHELQTVVTGLEDSRKWGILDRYMWDEVNKDEEVKGMLEKIKGTLADYFPVLINEAPRVCGAIEELGTVIDVMLILCCEFIDSDEEFVVFDVISRRILESGFTQRQLELLSLAGRLPLKRNIGAEEAVVGFEDDTIKLLERLTGSSKKLEVVPIVGMAGLGKTTLAQRIYGDPYIVYFFDIRSWACVSQEYVKRDLLLDLSSTLQLRNRTYSMSDEQLGEQIYRGLKGQKYLVVLDDIWDNRAWKDLKIYFPDDRNGSRILLTSRNIDLNLEAATVVPPHMLRLRTARESWRILQMMVFKNGMCPWRLYDVGKQISSKCWGLPLAVSITAGLLRSRWTRASWKDVAESLSNYIVSDPHQYMDTLALSYNHLPPHLRPCFLYLGKFPGDCDIPVNKLIWLWVAEGFIDQIGSRTLEDMAEGYLMDLLQRSLVMVSKKGTDGQIKACHVHDLLRSFCSWKANEERFSPIIYRYGRVSSAFSPAAAAESKTYPSHGRSLEHSTQHVNFIFCCPIELGESFQVGKPFDYETYKFLRILDIESISIFSFPREVTKLVDLRYLAIQAEDGNPPASISNLIHLQTLIISSSRNIVVPRSTWDLGHLRHLYIKSGENLIEDVSSNKFPGNDCYDRVLDGLQTISAVCPSPSCLDILSRTTNLRKLGFCGPLVSTLGVLEFPNICYLNCLQRLKLSNTKIYHAAVKSCSPLMFPETLTRLTLSDTALDWNEVRTIGLLPKLEVLKLNVNACIGQRWETSDKGFANLKLLKLQDLDIVNWETSSAHFPRLQRLVVRCCLRLEGIPTSIGEILPLELIEVSWCGESTSQSARTIQKEQERNGNDFLKVITWVHRDLQRKRKRRSYE >KVH96372 pep supercontig:CcrdV1:scaffold_467:141999:152920:-1 gene:Ccrd_001548 transcript:KVH96372 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-AMP-activated protein kinase, beta subunit, interaction domain-containing protein MANFTTWSKPLSKPTLFHWDPSFGLIFTHALKALSNTHIRLLIHGSSGAPCGRSPDASYNNIYTANEDEGRDPPVVPQHLQHTVLSYPAPAVSLPNPQHVVLNHLYIENRQVTRSVVALGLSHRFRTKNVTVVLYKPVQRRGSSGS >KVH96373 pep supercontig:CcrdV1:scaffold_467:196996:200843:-1 gene:Ccrd_001549 transcript:KVH96373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding QEIFVSPWRCPCNFLPVKVSSILPFIRVSVSVSVSLHIILQIHWKICGRRYTAILEYSTMVKKSTENGGLLIPPFLLKCYEMVDDPSTDALISWSLANDSFIVWNEAEFTSELLPRYFKHNTFASFQRQLNIYGFRKNDTDRWEFANDGFIKDQKHLLMSINRKKASPVTAQQNVTKPKMINVSANEGNKYAGLWKEVESLKTDKNTLMQELVKQRQHQKTSQAKMLVLREQLKGMEQNQQQMLSFIVVAMQSPGFLAKPIENNRLKSEPISKTILKPNEPIGLPCEGAIVKYQPPSEEPDSNSQDSVELDLTSDEVEDLFENMDLMSGLPLNERTVSTENYDPFIFHDLSDGDNMLDQLLSFSENKTTKLHSDNLTSTGYGSDGIGSLGSIF >KVH96365 pep supercontig:CcrdV1:scaffold_467:222164:225260:1 gene:Ccrd_001550 transcript:KVH96365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MVSKSYSNLLNLVSGEHPSPSFIQTPRIMTVSDDESVSSSSSSSSSIQHDRLIIVANQLPIRGHRKASGGWSFSLDENSLLLQLKDGLGDDDEMDVIYVGGLKEEIHPNEQDEVSQTLLESFKCVPTFFPPGLYTRFYHGFCKQHLWPLFHYMLPLTPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEQDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYNTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYISLEYYGRTVSIKILPVGIHMKRLESVLNQPETESKVSKLIKQFQDQGKRMLLGVDDMDIFKGISLKLLAMEQLLIQHPEWQGKIVLVQIANPARGTGNDVKEVKAETYSTVKRINETFGKPGYEPVILIDEPLKFYERVAYYVVAECCLVTAVRDGMNLMPYEYIISRQGNGKLDKVLGFEPSMLKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDCALELSEPEKQMRHEKHYRYVSTHDVGYWARSFLQDLERTCKDHVTKRCWGIGFGLSFRVVALDPNFRKLLMEHIVSAYKGTTTRAILLDYDGTLMPQSSIDKSPSSKTIEMINTLCRDKNNMNVHPVMTLYTETTDGSTIEMKDRSMVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKRGQSSVEVKPQRGMTPDFVLCIGDDRSDEDMFEVITSSVASGKCIAPNAEVFACTVGNKPSKATYYLDDTVEIARLMQGLASVSEQS >KVH96371 pep supercontig:CcrdV1:scaffold_467:1038:2228:1 gene:Ccrd_001541 transcript:KVH96371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MENVRYAVENRGSFQMTNHGIPQRVLDEMIDGARGFHETRQRRRASITLVITKRSFLIYTSNFRLCTGDAATWSDTFLSVMAPQPPQPEELPPICRNIITEYSDQIMKLRFTLLELLSEALGLKPYHLKSLGCGQGLFILGHYYPLCPEPELTFGANYHTDSGFLTIVLQDSLGGLQVLHQKQWVNVSPLPGALVVNIADLLHASLCYHKKTFNRRIHETKI >KVH96364 pep supercontig:CcrdV1:scaffold_467:232148:238139:-1 gene:Ccrd_001551 transcript:KVH96364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spt4/RpoE2 zinc finger MTTAAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDHERVADCTTPNFTGIISVMDPARSWAARWLRIGMPNSLIPFQGAATVPLQCGGLVDKDDHNLGKAKRAKYFCDALGLLFYFVAMAVISYLVDGCLARFKEQKGDTAGGRYVPGCYTLAVSEALPEDLQSICEEERVQYIPPKRV >KVH96366 pep supercontig:CcrdV1:scaffold_467:92702:104787:-1 gene:Ccrd_001546 transcript:KVH96366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane protein,Tapt1/CMV receptor MTDLRSGGRKLSFEVLATTDSFFDDDETFLLRSDSGPPINQGEGVVATKSNRRKRKHKGSKKKKKASDELDLNKCGNSNGFIINSNCRSVETTTAVVYEEAFVPPQEKRVYPVISSVRETPELRQRSSVASVGVGSSGEEAIPMYRIDENVKEVDDSSWTGCVTAKEFDTELMMKQTAEMNVNGHHVGRKLEKDQSLDWKRLMAEKDPNRCPPKEKWMPHLVWSCAAKFVSDKFLFERSPVKYFMEEMYGGNSLRMTTTLANEKDRERVYDTIFRMPWRCELLIDVGFFVCLDSFLSLLTVMPIRILTTSWRLLKTRQFKMLSAAELSDFGCFLMLASGVILLQQTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQNFGGDVLQTLFNTAEGLANCSPENVRYWLWRFISDEALAAITLSTCIVAHNNALFALLVSNNFSEIKSNVFKRFSKDNIQSLVYFDSIERFHIASFLIFVLAQNILEAEGPWFENFLYNALVVYICELMIDIIKHSFIAKFNEIKPIVYSEFLEDLCKQTLNIQPENPKKTLTFVPLAPASVVIRVLSPVYAAHIPCGPFQWRIVWVLMLSVMTFVMLTTLKVMIGMGLQKHATWYIKRCQGRKLHTD >KVH96808 pep supercontig:CcrdV1:scaffold_4670:32011:35892:1 gene:Ccrd_001100 transcript:KVH96808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3, subunit 7 MVGFEIGDVPFNPDGWGPPESATSAVSILNHPVNVPFAPFSRSDKLGRIADWTRSNYNNPNRSNNRGNNPADSAFDFTTDDSFGGGLNADDDSTFRLVDGKPPPRPKFGPKWRFQNNRNQLPQRRDEEVEARKREAEKQRARRDRLYHANRSGGNNPRREAAVFKSSVDIQPEWNMLDQIPFSTFTKLSFTVPEPEDLLICGSLEFYDKTYDRTTPKNERRLERFKNRNFFKVTTTDDPIIRRLANEDQATVFATDSILSTLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVNETSQEPLPEAKDDINSAHSLSVEAAYINQNFSQQVLQRDGKKVSFEEPNPFANEGEEVASVGYRYRRWKLDNNVSLVARCEVQSVMEVNNQKSFLTLNALNEFDPKYSGVDWRRKLDTQRGAVLATELKNNANKLAKWTAQAILASADMMKLGYVTRVHPRDHFNHVILAVVGYKPREFAGQINLNTSNMWGIVKAIVDLCMKLNEGKYVLVKDPQKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPVENGEAVEEKGAANDVEEDKEVKVSIWSSSGKGFFYPVGLSPKFSHEDLRFLFAFLSFIIISVCNGLVVCDFGVVVFSGFRNGQSFKPC >KVH96346 pep supercontig:CcrdV1:scaffold_4672:4513:7142:-1 gene:Ccrd_001569 transcript:KVH96346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWKRAYKAVSNEVDDLKAMILEMRGSNENTSSASSVPVTSIDRPQATTKLQPLKLSGTSSTMENPR >KVH96345 pep supercontig:CcrdV1:scaffold_4672:31338:35462:1 gene:Ccrd_001570 transcript:KVH96345 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MSMADDFPETCNMSYSPNMEEEYQKFIRRMNPPRVVIDNDSCQNATIIQVDSANKHGILLEVVQILTDLNFVVRKAYISSDGGWFMDGNAPSIVLYWY >KVH96344 pep supercontig:CcrdV1:scaffold_4672:36114:39202:1 gene:Ccrd_001571 transcript:KVH96344 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MTMVKSIGMIPSDDHTVIELIGSDRPGLLSELCAVLTHLKCNVLNAELWTHNTRAASVMQVSDEESGAAISDPERLANIKQMLSNVLKGSNEAREAKTAVGHGVTHTERRLHQMMFSVRDYERGAYEGSDEKQRPVVNVVDWYDKDCSVVTIRCKDRPKLLFDTICTLTDMEYVVFHGNVDAEGPEAHQEYCIRHIDGSPMKSDAERQRVIQCLEAAIERRVSEGLKLELCTTDRVGLLSNVTRIFRENSLTVTRAEVATRGNKAVNTFYVRDASGYPVDLKIIESIRKEIGQTILKVKGNSQDSTQGPQESPTRFLFGGLFKSKSFCNFGLVRSYS >KVH96954 pep supercontig:CcrdV1:scaffold_4676:12545:15865:1 gene:Ccrd_000953 transcript:KVH96954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MFQPNMFESHHHILDMSHKTPEHELDMLRDEDYESKSGTDIMEAHSGDDQDPNQRPNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKELGRRLSLEPLQVKFWFQNKRTQMKAQHERHENTQLRNENEKLRSENIRYKEALANATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPMLSYPNMSPHGPTRSLDLAVASFSPQQGMNQWSNVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEYQVPSPLVPTRENYFVRYCKQHSDGTWAVVDVSLDNLRPSSISRCRRRPSGCLIQELPNGYSKVTWVEHVEVDDRAVHNIYRLLVNSGLAFGAKRWVATLDRQCERLASAMANNIPAGDVGVITTPEGRKSMLKLAERMVLSFCSGVGASTAHTWTTLSGSGADDVRVMTRKSMNDPGRPPGIVLSAATSFWIPVQPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDQGNCVSLLRVNSANSSQSNMLILQESSSDSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGQHQGGIAEVGTGGSLLTVAFQILVDSTPTAKLSLGSVATVNSLIKCTVERIKAAVGSP >KVH96953 pep supercontig:CcrdV1:scaffold_4676:18336:18753:1 gene:Ccrd_000954 transcript:KVH96953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSEKSRLNDPQRNDPPNRALGYIPKLEFPKFNEIWMSSYIAIKKNVDWTEFIIDLSARFKDDVRLNVVKFKLQQTGYLESYVDEFENIRSVILQQNNMLPDSPST >KVH89302 pep supercontig:CcrdV1:scaffold_4677:19623:28130:-1 gene:Ccrd_008711 transcript:KVH89302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAKYATKLEKVERSMFMGRGQLHRYEGLSAVGQLVGDIGGLTELTSLILSGCSFRGNIPPELGNLKELSFLALNTNNLTGEIPPSLGYLSNLYWLDLAENQLTGSLPISSLTQSGLDKLKHAKHFRLDRNNLEGEVPSNLNNLTRLGELNLGHNNLSGALPDLNGMNALTYVDLSNNSFQESSPPTWFSTLPSLGTLMLKNNNLNDTLSMDSSISDQLQLVDLQSNEIRNVTLSSEYKNTLELHGNPVCDAALESSTYCQLQQRNSNAYSTSLAACNGKSCHSDQKLSPRKCNCAYPYEGILYFRAPSFRILSNADQWHSLESSLWTKLGLSPGSVSLQDPFFNIDDYLEVSLQLFPSKGKHFSRTEVQMMGFFLSNQTYKPPDEFGPYYFIASPYLFSDGRGGGLGVGGVIGISIGCTLLILILITLVVYAVQQKKRAEKAISLSKPFDSGGAPQLKGARWFSYDELKKSTSNFSEINQIGSGGYGKVYRGVIPGGQMVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMPNGTLRESLSAMQCVEEAAADRPTMSDVVKTLETMLMSDGLHTNSTSASSSATEFGSVQGAPNHPYHEGGLKTDDNHTFDYSGGYNITTKIEPK >KVH89784 pep supercontig:CcrdV1:scaffold_4678:27783:31337:-1 gene:Ccrd_008221 transcript:KVH89784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae MAVGKNKRISKGKKGGKKKAADPFSKKDWYDIKAPSLFSTRNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQNDEDHAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQSLIEAHVDVKTTDSYTLRMFCIGFTKKRANQVKRTCYAQSSQIRQIRRKMREIMVNQAQSCDLKELVQKFIPESIGREIEKATSSIYPLQNVFIRKVKILKSPKFDLGKLMEVHGDYSEDVGVKMERPADEPIPEATEVIGA >KVH89785 pep supercontig:CcrdV1:scaffold_4678:10654:13665:-1 gene:Ccrd_008222 transcript:KVH89785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVYLVPSYSYFCWFLLCCSNWVKNYLLFWMTWKPFSFIDESELNTTSSLDMQEEFQLALFENRKKDDIFASRIFDLFDVKKKGVIDFGDFVRSLNVFHPNAPKEDKINFSFKLYDIHGTGFIERQEVKKMLIALLCETDLKLADEIIEKILDNTFSEIDEGCKGKIDESEWHTFVNRHPSLLKIMTLPYLRDITIGFPSFLFNSEVEEIHD >KVH93584 pep supercontig:CcrdV1:scaffold_468:23188:31358:1 gene:Ccrd_004363 transcript:KVH93584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDFIGSVRRSLVFKPSAGGGGNEDGVGGGSFGGFVEKIGSSIRKSKMGLFTKSSIQALPSPIDKSRAVGKKKKDEGGSQIRWRKGELIGCGAFGRVYMGMNLDSGELLAVKQVSVVVNTASKDKTQAHIRELEEEVKLLKNLSHPNIVRYLGTAREEESLNIFLEFVPGGSISSLLGKFGSFPESVLRMYTKQLLVGLEYLHKNGIMHRDIKGANILVDNKGRIKLADFGASKKVVELATMTGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKAHPPIPDHLSAEAKDFLLKCLQKEPNLRPNASELLQHRFVTGEYEETHPVFRTSVMETPANKIPTSTKDPRNPVSPEMKTSRGMVDVHGMNSVRCSTIYPEKFSQGPMWGSNRYNDDMCQMDDDDLMASTSMKFDSTLPSLDVNKSFNPMIEPDDDWSCQFDGSPELSKNAANLRPDQFSETTAETHGALGNEDNGFTFPSGASGMEEEEELTETKIIAFLDEKALDLKKMQTPLYEEFYNSLNAAVCPVGSENKENLSNNLNLPPKSKSPNRLLRKRLSSAVNISYIGSAGNNSERVSHIGGVNDRNPQECRSPEHGQVKENIHDSQLEAISPSANFSDMQRRWKEELAEELERKRGKFFLPLFIVLVLLLCIVERPASNRVKAYQTTLHYLTDSEKVSFLTLRSPLLIREREPGLHATDKTIAVGVEGQDRRHMLVCGGHGFSSGLPLSAIAYAASRRSKNIVPEGSHDKSPKRTITVCISWQLTKEYFCLFPLPYMKPPSCLLEIESR >KVH93585 pep supercontig:CcrdV1:scaffold_468:235679:239409:-1 gene:Ccrd_004364 transcript:KVH93585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase VKQLLVKNSQEHVIATCRNPNGAAGLIELKNKFSERLHIQRLDLTIESTIEESAKAIGETYGHLNLLVNASGILSIPDVIHPETTMTKIERSSLLLAYEVNAVGPILVTKHMWPLLKVGGGSGTERDVAVVANISARVGSIGDNRLGGWHSYRASKAALNQLTKCVSVEFARKKDPVICILLHPGTVDTDLSKPFQKNVPKDKLFTKEFSVQRLLGIINSSKSHDNGKFFAWDGKEIPW >KVI07847 pep supercontig:CcrdV1:scaffold_4683:20039:26409:1 gene:Ccrd_013788 transcript:KVI07847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPWKREEFLQSCFRIRNRRNNPTTKEQQRRESVGQPSVSNSPRFSCLLYNETTFANLSMHVCHAVTILMFPFKLLAFNFSSIANELFQKCNSLNKVKLLHQQLIVKGLTTSYPTELPKLVGMYISCEAPSHALAILSCLHPSPSCVFWWNSLIRRAVRLHLXHQALELYHXMQSLGWRPDGYTFPFLLKACGELPSFRHGASLHGVVCIIGYVNNVFVGNALVAMYSRCGDLDGARQVFDEMIHSGIADVISWNSIVAAYMHSGDSKKAVQMFYRMTTYGEGKSRLCPDAVSLVNILPAFASVLASLQGKVAHAYALRTQLIEDLFVGNAMVDMYAKCGLMDDAHKVFVQMQVKDVVSWNAMVTGYSQIEALQLFNEMRNLGLLIDGVTFVVVLYACSHSGLVDQGLNYFDAMTSEYGIVPGVEHYACMVDLLGRAGHLEKAMELIRGMPMEPSPIVWVALLGSCRVHTNVELGEYASRKLLEIGCENDGTYTLLSNIYANASRWKDVAKIRLSESASCVIFLIPLQLVVFDFLNF >KVI07846 pep supercontig:CcrdV1:scaffold_4683:34664:38668:1 gene:Ccrd_013789 transcript:KVI07846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAVEAVVSVVLQKLTEMLKGQSLTQNKYISNEVQEIMKSLNSVRDLMISTKEINHQAEEYLDAVYNVEDRIEKFTLIVVHQRKVFGFLTNHIFFFNNLSSCQKIHHKIKKITTQLMQLKVHKPYGKLHIEEIPTEEEKDEETVVGNHKTIEGSSSTSEMQATNPGSYMEELNFSYSCNEEQMQIVGIKERFQKMSSFSYKEEELGIFGLKEDVEILVKQLTKNSEDFVSIVGQGGIGKTTLARTIYKNRNIKCHFQFHAWVSVLREYTTEDIMLSLLKGTDIMTDKATNLDDEKAMKLKLSDYLKSKRLLRLWLAEGFVKQNSTNVFPEDIAQTYFDELVSRNMIQISKLRSDNSPRRCHVLGVLHDYLLPKAQETNLFYTYRDLIRYEEVGSLNVRRMVEYESPKRETRNQFGIEMSKKTQLKSSPFNPSHLRSYMSFNHQKTDYRQAKRIGSFLGNIINDGIGLLRVLDLEGVYKPILPENLGNLCNLRYLGLRSTYLDSLPSSIGELTHLETLDVKHTCIDELPGSIWRLKNLQHLNLNDTCLDMQPQSSLRLLTLWGLFLDEKIAIKNGLDKLHDLRELGITFQLKSNQDDLMDWIANLSALRSLKLRSKDNLGGASKHVFRSMSNLEQLSRLNLLGNLEKLPDENQFPPTLKVLTLSISLLKQDPMQTLGQLPCLTVLRLLGQSYIGKEMVCRKGGFSKLRLLKMWKLKELENWFVEEGSMENLKHLDIRCCDRLSNIPTTLLQQQKLEKLVLTGMPHRFTTEVERLKLDHTSMTVDHWKFPPLPCEIVSSQTDYVKPYHEKPLKMSLSANVFS >KVH91604 pep supercontig:CcrdV1:scaffold_4685:30273:30908:1 gene:Ccrd_006373 transcript:KVH91604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MTAKEDCGHHLDDERRKFYRLIMAAILSVIFIILFVILLIYLILRPSKPNFTLQDVTLYAFNISTAATTVTSNLQITISSRNPNARIGIFYEKLDVYATYRSQQITLPTQIPPSYQGHKDITVWSPYLYGTDVPVAPYLVMSLAQDETAGTVLVNVKATGRVRWKVGTFVSGGYRLNVNCPAYITFGNKNAGYAVGPAVKYQLVEGCTVDV >KVI03305 pep supercontig:CcrdV1:scaffold_4686:27973:30370:1 gene:Ccrd_018398 transcript:KVI03305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase domain-containing protein NGKRKERNDEKKQRIEANWRDLWVVQGAVDVNIGANPSAEGGDEDEGVDDQAVKDVDIVDTFRLQEQPPFDKKQFVTYIKRYTKQLTPKLDAEKQEFFKENIEAATKYLLSKLSDFQFFVXESMHDDSTTVFAYYKDGAADQTFLYFGVGLKEERSRIMLRFADLLEKHADEITALKVWDNGKPYDQAAXDEIPLLIXLFRYYAGWADKIHGLTIQADGPHHVQTLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTVEQTPLSALYVSKLFLEAGLPLGVLNIVS >KVI03304 pep supercontig:CcrdV1:scaffold_4686:18383:22341:1 gene:Ccrd_018397 transcript:KVI03304 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxo acid dehydrogenase, lipoyl-binding site-containing protein MAMASRFWASKAASYLKISTFNRAFASVVKDLRYADSHEWAKIDGNSATIGITDHAQDHLGDVVYVELPEVGVAVTQGSSFGAVESVKATSDINSPVSGKVVEVNQELSSSPGLVNGSPYEEGWIIKVELSNTNEVKSLMDSDQYSKFCEEEDNH >KVH50259 pep supercontig:CcrdV1:scaffold_4688:17:1650:-1 gene:Ccrd_025713 transcript:KVH50259 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDEMQKPHAVCVPFPSQGCINPMLKLAKILHSKGFHITFVNTEFNHRRLLKVQGSDILNHHPSFQFETIPDGLPPPENLDATQSILSLCKSTSETCLEPLKTLLAKLNDTTTIPRVSCMISEGVMSFTLDAAEELGIPXVLFWTTSACGLLAFAHYTTLMEKGFIPLKDSGDLTNGYLDTIVDCIPSMKGIRLKNMPPFLRTTDPDDFMVNFVIQETTRAKKASAIVLNTFDDLEHDVLNELSSIYSNIYSIGPLHILEKNMVNNDLQLLGSSLWKEETECLEWLDSKESNSVVYVNFGSITVMTPEQLVEFSWGLADSNQTFLWIIRPDLVYGDSPMLPPEFLAATNHRGFLTSWCPQEKVLNHPSIGGFLTHCGWNSTMXSISNGVPLICWPFSVEQQTNSWFSCNQWGIAMEIDGDVHRKQVEKMLRMLMVEEKGKEMRGMARVWKKRAESTSALLNMDSLINQVLLGSYPRVRVVTASS >KVH50258 pep supercontig:CcrdV1:scaffold_4688:27025:29041:1 gene:Ccrd_025714 transcript:KVH50258 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAYMDSMPKPHAVCIPFPAQGHINPMLKLAKILHSRGFYITFVNTEFNHRRLLKVLGPDTLNRHPSFKFETIPDGLPPPENFDVTQDIPSLCKSTSETCLEPFKKLLSKLNDTTAXPPVSCIVSDGVMSFTLDAADELGIPEVLFWTTSACGFLAYVHYGTLMEKGFIPLKDSADLTNGYLDKIVDCIPSMKGIRLKDMPSFLRSTNPDEFMVNFPFRETERAKKASAIILNTFEELEHDVLKELSSIYRDVYSIGPLHILENNXEKNDLQLVGSSLWKEETECLEWLDSREXNSVVYVNFGSITVMTPQQLVEFSWGLABSNQTFLWVIRPDLVSGDSPMLPPEFLEATKDRGLLTSWCPQEKVLNHPSIGGFLTHSGWNSTLESISSGVPMICWPFFAEQQTNCWFSCNQWGIGMEIDSDVNRKEVEKLVRTLMVEEKGEEMRGMARVWKKKAESMSSMVNIDNLINQVLLRSSSA >KVH50009 pep supercontig:CcrdV1:scaffold_4689:40276:42182:1 gene:Ccrd_025715 transcript:KVH50009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin 6, N-terminal YQWQPDNDEDLAKSRQDDLHPSYLPYVPSECHPMGYSRVKLDCLPLPVFLENEGSNHDITLIDLYIHIQLAEYVVAATATLMLVVXLWGPFFIXSASVVXLVVTQSPNTSRVKSSDNISWSDDKLQFTFHQWENIPANGKDHVHLTKELLGSCDSIEWQVDELEKTISVAARDPAWFGINEVELGKRRRWTSITRTQVGNVKKAVGGKDLNGKSISNKIGERRELMRVPHSKQHERTPLYIAGENDDFISSESDRQMLLIKYMNYPLFLSFGFHLHDSLYMLSTLV >KVH96427 pep supercontig:CcrdV1:scaffold_469:24968:26487:1 gene:Ccrd_001484 transcript:KVH96427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQSSSTTGLMIINLFLFFLFTSATVNSGSNLPKCDIDLLEFPLNLEYFEAEYFSFGSMGMGLDTIQPDLTAGGPPPVGAKKANLSSLVNDIITQFAYQEVGHLRAIKKMVEGFPRPLLNLSEESFATVMNDACGEDLSPPFDPYANDINYLISCYVIPYLVAGLLGVESGQDAVIRSLLYERAKEKVSPYEMTVAEFTGKISGLRNKLGKNGVKDEGLVVSRDEGAEKKIEGNVLAGDEDSLAYGRTPREILRIVYGSGAEHVPGGFYPNGGDGAIAKGYLKRGR >KVH96425 pep supercontig:CcrdV1:scaffold_469:54364:57281:-1 gene:Ccrd_001486 transcript:KVH96425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLIEEELEFEGDDNIEAIVVDDTVEIDGEVEVEEDDGEDEDDDDGEEEEEEEDDDDVQEVFQTSGGGPPAAPVEVEDDDEDDEEDEDDDGDDDDDDGEDSDDDDDEEEDEPEEMGTEYLIRPVANPEDEEDASDFEPEENGVDEELEEEDEEDDDDGGKVEAPPKRKRSSKDDSDDDDDDDDGKDDDRPSKR >KVH96422 pep supercontig:CcrdV1:scaffold_469:118532:135022:-1 gene:Ccrd_001489 transcript:KVH96422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactokinase MAKHEDLPVPIFSKLDAVYGDGSQLEEAELRFSLLNSKFKQIFGHLPDIYARAPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKRDAGESEKLLRIANVSDKYTMCTYPVDPNQEIDLKNHRWGHYFICGYKGFYEYARSRGLDVGSPVGLDVIIEGTVPTGSGLSSSAALVCSSTIAIMAALNVNLTKKELAQLTCECERHIGTQSGGMDQAISIMAKTGFAELIDFNPIRATDVQLPAGGSFVIAHSLAESQKAVTAATNYNNRVVECRLASVVLGIKLGMEPQEAISKVKTLSDVEGLCEYLKEEPYTVEEIEKITAKTLDAIFADSASSLDVLKAAKHYKLFQRASHVYSEAKRMLKKLGDLMDDSHYSCSVLFECSCGELEELVKVCKANGAVGARLTGAGWGGCAVALVKETIVPQFILNLKEQFYQSRIDNGTINKADLGLYVFASKPSSGAAIFKF >KVH96429 pep supercontig:CcrdV1:scaffold_469:175977:182592:-1 gene:Ccrd_001491 transcript:KVH96429 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MANSAFSDQIPSSSVYGGGGGGGLFDIPQGYSYLDMLGFQQDYGGASSLFDLLQQPSSVVEAPAPPETSEVVNTPTTISSSLSSSSNDHLNDVDQENNNTRSDDDQQKSADKQLKAKKKNPKKQREPRFAFMTKTEVDHLDDGYRWRKYGQKAVKNSPFPRYFITSDFALDVAEISVKRN >KVH96426 pep supercontig:CcrdV1:scaffold_469:46679:52515:1 gene:Ccrd_001485 transcript:KVH96426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 93 MAGHDDSGASGKKSSENEVPTFNVENMQSNMKVVYYSRTFMSIIGGVIAGILGFTGLMGFVVYVLVMAITSVCLTAKAGLLTISCISSKREASWVSAAFRKKLDKEAVM >KVH96430 pep supercontig:CcrdV1:scaffold_469:238295:245535:-1 gene:Ccrd_001492 transcript:KVH96430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGNGNNLGAAKQTIGQFLFNDDNNLSRLQGKIPLGIFRPRANYRDSELSRKILREMIERQPMLQPRIVVKNITKLHNMLSILYNVIKTFKILLLFFA >KVH96428 pep supercontig:CcrdV1:scaffold_469:175710:178486:1 gene:Ccrd_001490 transcript:KVH96428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MSVTLHTNLGDIKCEIACDEVPKASENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGMLSMANSGPNTNGSQFFITYAKQPHLNGLYTIFGKVIHGFEVLDLMEKTPTGAGDRPLAEIRINRITIHANPLAG >KVH96424 pep supercontig:CcrdV1:scaffold_469:75227:86330:1 gene:Ccrd_001487 transcript:KVH96424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase, class I, C-terminal-like protein MRNVIDKLKEILMIWIKRVAYQRRLPLNQIKAASATLLLYGLYGLGVYNVESDIDVLCVAPCFASMTEDFFIVLYNMLAGRPEVSVIHCVKDAKVPLLQFTFEGILIDLAFAKLPVTVVPENVDISNPSSIEDIDETSWKSLSGVWVKNSILQLVPDVKIFREQLCCVKSWAKRRGVYGDNPSASLVDLVSIFFKTFAFWPWPEPVVLQEGATLPPLPPGTRTLMPIQLPSSPNEYCRSNMTTSTFNKIRAEFRRGYRQTQDALKPQFEWRNLFESFPYSKSCLRFVKVCLSTYNKDELGMWVHWVKSRIRCLLLKLEELQALCDPNPTEYIDLSIPVPNVVFYWGLVPGRGNNLNPNAAREEFMKNLSIGYQGNLGRMTLTIIQASQLPNILQLADAHIKAYLRLTNLKQMIPVYSKHSPSYVVGYLATDGNHAIGWMD >KVH96423 pep supercontig:CcrdV1:scaffold_469:91658:93797:-1 gene:Ccrd_001488 transcript:KVH96423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDPPRDPDFVIDLESGTGDPSSDENLPKTDFSRLSDQLMSVDDDLENNVKVVIDSKVEVGKKMGKDKRKKGSSAKKPPKPPRPPRGCFSLDAADQKLIKELAELAIIKRARIERMKALKHKKALRASSSSSSSSMNGSLFAMLFTIIFFLMILLQGMSCRNPTSQGSPKTAQTNENGLIFIQEQLNPSASDSILPDSKSSNLLEKISGSGSGSGKSTVDE >KVH95041 pep supercontig:CcrdV1:scaffold_4691:25708:28684:-1 gene:Ccrd_002888 transcript:KVH95041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MTNTFLFLLFCSLIRSSASNKNALHDYHHLQRGSSLSVADASHLLTSPDKSFTCGFYNFQRNAYWFAIWFTNSKDRTVVWTANRNTPVNGRGSKVTFRSNGAMVLTDVDGMVVWETNTTSTNVNSAMLLDTGNFVLKNHKGQILWQSFDYPTDTLLPSQTLTKSKNLISASRKGSLESGYFHLSYNSINVLTMVYDGPDISSVYWPSPDPGFNVWAYGRTSYNSSRIATFNDFGVFTSSDRLQFSALDMGLGIKRRLTMDYDGNLRIYSLNESTGLWSISWQALAQPCTVHGICGRNGICIHGEKPRCSCPPGYEWSNPTDLTQGCKPTFKKRCKNSTRFGFLGLPYTDYYGFDLNFSSSISFESCRDICLKDCGCEAFSYRRTGEGNCFAKSVLFNGLQSSNFPGTLYLKVPIGMETLDNILSGFEPTCVDTTIVVGSSSMGFSYQELMKATQDFKVEIGRGGSGVVYKGVLEDERVVAVKRLEDVSGGEFSTEISTIGQINHMNLVRMWGFCSDKKHRLIVYEYVENLSLDKRLFSSSFLQWDERFKVAIGIAKGLAYLHHECLEWVIHCDVKPENILLDGALEPKIADFGLAKLSRRGGQNSEFTRIRGTKGYMAPEWAHNLPITAKVDVYSYGVVVLELAKGIRVSSIIVHEGEEEVSELMRLLKVTRRTIQEENELCLEEIIDPRLEGLFNKQQAKKLVEIGLSCVEEDRNKRPTMDLVVQILNDCESQ >KVH95042 pep supercontig:CcrdV1:scaffold_4691:30724:34157:-1 gene:Ccrd_002889 transcript:KVH95042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAENFKVVVVPSDRLSRPLTSSDHHETTTEENWHDCPSDLAYNDDEFPALDALQFFRLESGSDKSGNRIFRIVGKYFPAPVIGGEWLKKYIIHKISSELPEGPFCIVYMHSTVRKEYNNPGITILRWIYEELPSDFKDRLQVKYVSRLQYLWHDMKKGSIEIPDFVVEHDDVLEHRPLTDYGIEPDPLHFTGLPSNNIPYGRYEDRWTTS >KVH95043 pep supercontig:CcrdV1:scaffold_4691:41637:42226:-1 gene:Ccrd_002890 transcript:KVH95043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMHGTSSRDDKQKREQLRQEREMAKFAQMVDAHEQQQQQQQEDESGKTNHLVKNATVLADQDQRKALKFGFSYKVGASKVLTVFEHVTNSMKKKPKKYI >KVH96909 pep supercontig:CcrdV1:scaffold_4692:13340:27960:1 gene:Ccrd_001000 transcript:KVH96909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGMLLYLTGNDGHVSLFDPPGSAAEAFSAPEEDEATEIGIRSFLNMLKNREPPRDWTPPRGSFSHVISPARFTSVPEGRASGGHLVKSGRSGVFIQATKSKKSPSDSPTSHPLKRTLPASSNTKIFVRNPNSLKKTLKGLVSKLSTLVTSKIFY >KVH96908 pep supercontig:CcrdV1:scaffold_4692:10205:10617:1 gene:Ccrd_000998 transcript:KVH96908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEANVGESESILANKHNPYTHLGAPLPAYPYKTRVGGSRSYEVPTPSPSDSISLLTHPMLYGKLSFPVDGYLPPEDRCHGKRGPLDHMKNSHLLYSP >KVH49768 pep supercontig:CcrdV1:scaffold_4693:41576:41779:1 gene:Ccrd_025716 transcript:KVH49768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKGVEKGFTPFFIGNIMCRENLNKQSVIEEIFQEADDLVLPGSSETAFIETVSQIMDRRLGLFA >KVI04999 pep supercontig:CcrdV1:scaffold_47:82113:82652:1 gene:Ccrd_016628 transcript:KVI04999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MLSSSFLLILTISCTLNPTFFVNGDINLIQKTCKSTKFYDLCLSSLQSDATSREADTKGLAIIMAKLALANATSINSFLSSNLLVKNTNDALMKNTLKECANKYSAAGTALQDSVQELRSELYDYAYMHVMAAADYPNACRNTFKRYPKLVYPPEIAAREDGLKRICDVLMEIIDGLVF >KVI05039 pep supercontig:CcrdV1:scaffold_47:140728:144870:1 gene:Ccrd_016633 transcript:KVI05039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MGSSSSPSATPYLNGDIKGGGRRSQISPTSYDYSFKILVIGDSGVGKSSLLLSFVSNCQNPSQDISPTIGVDFKMKMLTIDGKRLKLTIWDTAGQERFGTLTSSYYRGAHGIMLVYDVTRRETFTNLSEVWAREVELYSTHPDCVKILVGNKVDRDVERAVTVEEGMALAKKHDCLFYECSARTRANVQQCFKDLTVKILDKPGLLEQGSVVVKRQILK >KVI05008 pep supercontig:CcrdV1:scaffold_47:617662:620273:1 gene:Ccrd_016672 transcript:KVI05008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MSNRSRPSVHPLDTEVPQLREGAAADNGDPPDDTVIMKDVQGMPGTPAGLILRLFQFGFAAVSVAVMAATSDFPSVTAFRYLVAALSLQCLWSLSLAILDIYALLVKRCLRNARVLGSVAIGDGVKMLTCSFLYKFLTCYALKTTSILFENLFLITSTLTFAAACASAGITVLIGSDLNNCAENHCTRFETSIAMAFVSWFLVSPTFFLSFWSLASR >KVI05012 pep supercontig:CcrdV1:scaffold_47:481552:483790:-1 gene:Ccrd_016659 transcript:KVI05012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import protein TIM15 MAAWRMQRRLLSLFTSTTQPHISFRNDSSQQFLPSLNSFLLGERFCKRQTRTFSETSNLTLKNNTQHEASSSKSMEKSANADDMSATKNTEVTFSAKSELKISPRHDLAMMFTCKVCETRSVKTISRESYEKGVVVARCGGCDNYHLIADHLGIFGEKGSIEDILAARGEEVKRGNSETLNLTLEDLVGMTKS >KVI05042 pep supercontig:CcrdV1:scaffold_47:286185:290280:1 gene:Ccrd_016647 transcript:KVI05042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0402 MFNDDEVRSALTKMYEYSSPVDGFVEISEGLGEMIKSLANEPSVGLFYVQQHTHKAVPNLVSLRNNIVSKSREMSLHTEDSEDSITMVRSMKECGFPIVDDMIKDITKSIATISSKQPKKGLISSKPVSGSQTTRTSSWGPTTWRSMNDVEKSSSYLSSVFKSAKQKATNLKWAQLDALESKEEAIRPPSAAMQREEEELPISSHIASGNQEDVRVDELISNLSLAENFDEFKADKEAKLEEWLGGSIMNNNSKHDELGAE >KVI05016 pep supercontig:CcrdV1:scaffold_47:454847:455569:-1 gene:Ccrd_016656 transcript:KVI05016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase MGESRRLAAVPIPSVETADRTELYRALETSVGSSFSSEPLAPKPRPLIIVISGPSGVGKDCLIKRLREVRESIHFVVTATSRPMRAGEVNGKDYFFMSKEEFLGMVENDELLEYALVYGDYKGIPKQQIRDYMAKGYDIVLRVDIQGAATLRKILGDSAVFVFLVAESESELVMRLIDRKTESKEALLVRVATAREELKQMKNFDYVVVNAEGKLESCVKTMESIIDAEKAKVSQRRAMV >KVI05006 pep supercontig:CcrdV1:scaffold_47:581733:588823:-1 gene:Ccrd_016670 transcript:KVI05006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 MRNRSTVSSATSKNSSEGESHGVLKSEKQAKGKDVVTAKRSAFVVLALFILVIQGIWAVHHYQFESLPEPLTAEQVGKRGFSEEAATKHVEELTQLGPHPVGSDALELGLQYVLAAAEEIKKTAHWEVNVEVDLFHASSGANILDGGLFKGRTLLYSDLTHVVLRILPKYASEARDSAILVSSHIDTVFSAEGAGDCSSCVAVMLELARGVSHWAHGFKNSVIFLFNTGEEEGLNGAHSFITQHPWSSTIRMAVDLEAMGIGGTSAIFQAGPNPLAIENYALVAKYPSGQILAQDLFTSGVIKSATDFQVYKEVAGLSGLDFAYADNTAVYHTKNDKLKLLKPGSLQHLGENMLAFLLHTAASSQLSKSKEIAANEKTDEDTAIYFDILGTYMVVFRQRFANMLYNSVIMQSMMIWATSILMGGSTAAISLALSFLSILLMWICSLSFSMAVAFILPLIYSSPVPFISSPWIVGGLFVSPAFLGALTGQHIGYVILKTYISRVFSTRLENLSPVVQVSWAKLEAERWLYKSGLLQWLILLVVGHYCKVGSSYLALVWLVSPAFAYGLLEATLSPTRVPKALKTITLLLGLFVPFLISGGMFIRLAGTVVGMAVRFDRNPGGNPEWLGSLVLAVYISAVICLTLVYLLSYVHISGAKNLIAIASFIVFGLSTTLVISGLIPPFTEDVSRAVNVVHVVDASGRFEEPSSYISLFSTTPGRLTKEIEHIGEGFVCGRENAVDFVTFTASYSCWTKDDSVNGWSKSDVPILEVESDSRTDDRLTQVTIDTKVSTRWSLAINTNKIEDFRLKDAENGEELIQIGQKRSVDGWHIIQYSGGKNAPTRFDLNLYWAKNQTEGRNDLLLKLRTDVNRLTPKVKRVLEKLPSWCSLFGKSTSPQTLSFLSNLRVDF >KVI05004 pep supercontig:CcrdV1:scaffold_47:19668:22256:-1 gene:Ccrd_016623 transcript:KVI05004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAVKSLILKKVSMENNSKNINSPPTDAPMVETSKKRRKNKKQPESYRTYIFKVLKEVHGDIGISNKAMEIMNSFVNDMLEKIAQEASKLAKYNKKNTMSSRDIETAVKLVLHGELAKHAVHEGNKAAAAAKKPVAEKAPAEKKPKAEKKLPKDASAAGADKKKKKHKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKNTLSSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSA >KVI05038 pep supercontig:CcrdV1:scaffold_47:133513:138707:-1 gene:Ccrd_016632 transcript:KVI05038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVNTAYDPAEIKEEEIVKKMVETQSSSVQVKKNENILHKGSKEILTGEDSEDKVVHEEKQRKRRQNSATNDKKELKLKSTPTATDSDFETPIKKIEVDVALLKAIEIATDLEKKSEKKVAKKYNTIRTRTSPNALYQALQSLNNAQRQAIISMGFGELLNMKADGIPSKLGFYVVDNLDTKKMEIKVSHGAIKITTEAIHEILGVPIGGVDLKSIESATMEADITKRWRQQFDKVKVRPADIMKEIERSKVADFNFRINFITLFVNTMADCNRMGCCNLGFLSHIHDDAILDKIDWCKFIFDCVKTSKVGWKRDSSLSFYAGPLTFLTLLYVDATRCKKVNIVRERPAIKSWNMSLLRRREAAEIDEGGFGLGGYILKLHEIITRWIAEKSIVEATLEEAMSKFPHDEKFIKYRDQLDNLFKGRSDDKEENPTGSCNGQLDSNDELFDVKVRVDDVCEEGDQRDPVSPTTGQGIEIVPHNEYVDKFSDVLEDFCGMEEYTLKLKTEAPGHIWKANGQVHNFED >KVI05026 pep supercontig:CcrdV1:scaffold_47:513570:519196:1 gene:Ccrd_016665 transcript:KVI05026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] SKQIDSWRVAVLPPSHHPVLFHEGAIVSSPFRYKRIFFPYSLRLWLSLCFSIFLQLPCFVLLSERGFLNQISMGFLSIFWGIIGVGIGTVLGVCVGFYFFIYSDPKNEAFKDPVTRPISELDTTSLQDLIPEIPLWLKNPDYDRVDWLNRFVLNMWPYLDKAVKFFKFTGNLCYDKADNRTNSSRLCWAWAPSYRSNHLVIEPAIKWAGNPNIVIAVKISSLRIKIQLVDLQVFAIPRVTLKPLVPSFPCFSNVVVSLMDKPHIDFGMKVLGGDLMSIPGLYRLVQKPVGVLHVKVLRATKLMKMDILGLSDPYVKLKLSGEMLPSKKTTIKKKTLNPVWNETFKLVVKDPQAQTLQVNVYDWDKVGSHDRLGMQIVPLKVLRPNETKELTLDLLKNTNIADPQKKQQRGQIVLEMTYAPFKEDSEVLSGPLNMPVPKENGVGLGGESPSGAGVLLVTVQGAEDVEGEHHNNPYAMVIFRGETKKTKIVKRSRDPKWNEEFQFMLDEPPINDKIHVQIMSKRSRMSFYSKELLGYVDINLADVVNNGRINHKFHLIDSKNGLVHVELRWRET >KVI05007 pep supercontig:CcrdV1:scaffold_47:606454:607793:-1 gene:Ccrd_016671 transcript:KVI05007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MATTLQNMRKEPDSEKPVAFIDSTELTKWSFYRALVAEFVATLLFLYITILTVIGYKSQTDKDKPGTDACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGMFLGRKISLFGAILYMVAQCLGGICGAGLVKAFHKTNYNKYGGGANELAEGYNKGTGLGAEIIGTFVLVYTVFSATDPERKARDAHIPVLAPLAIGFAVFMVHLATIPITGTSINPARSFGTAVIYNKEKAWDDQWIFWVGPFIGAAIAAFYHEFVLKAGAVRSTRSSTNV >KVI05024 pep supercontig:CcrdV1:scaffold_47:533128:539054:1 gene:Ccrd_016667 transcript:KVI05024 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MEGWLYLIRSNRFGLQYTRKRYFVLEENCLKSFKSKPTSDTQKPLRSAIVDSCIRVTDNGRESFSRKLGASCPEEAARWIHSLQDVAMEPGTNSKRRWQPFRLSDSKSTTHKHSVDWTSSANSDAMTSDVIAPSQWKIFGCKNGLRLFKEAKDGNSSERASGDNPSIMAVGVIEGTSEAVFRTFMSLGLSRSEWDFCFYRGSVVEHLDVILCHSVIHNNCPQQQGYVRAWLQSGGFVVSPLNEGKECVVKHMLSIDWKLWRTYLPKTSARSMTIRDQFPSEFLTGEVESLQTGEEQSKPEADLIQLDDEKMEDAKDAPVSGSSSLVGLNDASDEFFDVPEPTKGEQERAREQKQEKEKEQEEEEEEEEEEEEEEGSSLMVFVLINVERKFEHLVSYILDSNLYLLLLCHSWQPKLPTAADFVKKLHGLTAQKKGYVELQDASGNLAVSNHYGSTLLKDLTCNSACSWAASDPSLFLVRGPNYLKDNQKNKAKGTMMEMIGADWLQSDKREDDLAGRSGGIVQKYAAQDGPEFFFVINIQGSWLVKQSVGRKACLVGQALEVNYFRGKNYLELDIDVGSSTVARGVVNLVLGYLNNLIVEMAFLIQANTEDELPEVLLGTCRLTHMDTAKAVSVDSINNV >KVI04998 pep supercontig:CcrdV1:scaffold_47:89508:97082:1 gene:Ccrd_016629 transcript:KVI04998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate dehydrogenase/glycoside hydrolase, family 4, C-terminal MAVAELGPAYARTELRNTHFSYVSSSTHVSYHRRLTFRHLPRTQTTAIRCSVTSDQIQAPAGIDIRDPKQKPDCFGVFCLTYDLKADEETKSWKKMINIAVSGAAGMISNHLLFKLASGEVFGPDQPLALKLLGSERSFEALEGVAMELEDSLFPLLREVSIGIDPYEVFEDVEWALLIGAKPRGPGMERAGLLDINGQIFAEQNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVPDFLNAKIHGIPVPEVIRDRKWLEEEFTEKVQKRGGVLIKKWGRSSAASTAVSIVDAMRSLVTPTPEGDWFSTGVYTNGNPYGIAEDIVFSMPCRSKGDGDYELVKDVIFDDYLRSKIKKSEDELLAEKKCVAHLTGEGIAVCDLPEDTMLPGEM >KVI05020 pep supercontig:CcrdV1:scaffold_47:494166:495431:-1 gene:Ccrd_016663 transcript:KVI05020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MRIFCGCRSKKTSSDVIPSSIKTPGSTYRMSSSTRTSNADLSPRGSSFAYSSAAASSSNGNNSYNKDSWKSSVSSRSSLSSLRHSLPENPHVYDFKEIFSATNKFQSTKYSSSSSSAAWRCVIRGDEVVVFQRKFRRPIEETELRERLLVICKSHHSSLIKLRGASMSGSYIYLVYDYVKGASLADCLKNPKNPNFTVLSDWMSRITIAADLAHGLEYIHNSTGLEKKFIHNHIKSTSIIVTQSSSLNAKICHFGTAELCGETDSRSDSDPKNSNSKFVKFEGTRGYMSPEFQATGIATQKSDVYAFGVVILEILSGEEPLKYRQDKETFVRVSLIDTTREAVGSGTVRRWMDRRLKDSYPEEVAEKLARLGLECLEEEPEKRPDMSWVASRISKLYLDSQSWTEKMGSLPADFTASLAPR >KVI05014 pep supercontig:CcrdV1:scaffold_47:323963:348017:-1 gene:Ccrd_016650 transcript:KVI05014 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent glycerol-3-phosphate dehydrogenase MASFFVARRVGVTAATLAVAVGGSYVALRDPYVSSSDVAGGGTALEAIRRKITDPVAVVPSRAVQESYLXAANSSNPLDILVVGGGATGCGVALDAVTRGLRVGLVERDDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQVIDNAPHMCHALPCMTPCFSWFEAVYYWVGLKMYDLVAGRRLLHLSRYYSAQESAELFPTLAKKGKDRSLKGTVVYYDGQMNDARVNVALACTAALAGAAVLNHAEVVSLLKEDGGGRIIGARIRDNLSGMEFDAYAKVVVNAGGPFCDSVRNMAYEDANPIICPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTSITMLPEPHEDEIEFILDAICDYLNVKVRRTDVLSAWSGIRPLATDPNAKNTESISRDHVVCEDFPGLVTITGGKWTTYRSMAQDAVSAAIKSGKLNPANRSLTSNLRLVGGDGWDPAFFTVLAQEYVRMKMGNNGRIVPGVMDTAAAKHLSHSYGTMAERVAVIAQDENLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAAGRALPRVIEILAAEHQWDKRRQKQELETATKFLESFKSSKNAHFHDGKHTYRTRGAGCTFLYGPGMASFFGARRVGVTAATLAVAVGGSYVALQSYLVAANSSNPLDILVVGGGATGCGVALDAVTRGLRVGLVERDDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQVIDNAPHLCHALPCMTPCFSWFEALYYWVGLKMYDLVAGRRLLHLSRYYSAQESAELFPTLARKGKDRSLKGTVVYYDGQMNDARVNVGLACTAALAGAAVLNHAEVVSLLKEDGGNRIIGARIRDNLSGTEFDAYAKVVVNAGGPFCDSVRKLADKDAKPIICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTSITMLPEPHEDEIEFILDAICDYLNVKVRRTDVLSAWSGIRPLATDPNAKNTESISRDHVVCEDFPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLNAANSSLTSNIRLNENLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAAGRALPRVIEILAAEHHWDKRRQKQESETATKFLESFKVVISRTQGAGMPVCSSAGCSPSSMQPVTKIGHFHPLSSLQIIIGKCLVALAFDWFCSSHIKT >KVI05028 pep supercontig:CcrdV1:scaffold_47:575968:578087:1 gene:Ccrd_016669 transcript:KVI05028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MINVMNYVKKPMNDVEKGLDSQLWHACAGGMVQMPPVNSKVLYFPQGHAEHSGVRNVDFGDFRRIPPYILCQVSVVKFMADSDTDEVYAKIGLQPLSNQSDCDFDDGDNDEGFLGFDHKRNENKEKPSSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAAEPPVQTILVKDVHGQIWKFRHIYRGTPRRHLLTTGWSNFVNHKKLVAGDSIVFLRADNGDLCVGIRRAKRGLGGGFLENSTASSLYGGGFARYRPEKENVNGERNPSAESAIKAANLAANGHPFEVVYYPRASTPEFCVKASTVKAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISLAQVEDQISWPNSPWRLLQVSIHSSPLIVFKTLISSCIFLKVAWDEPELLQNVKRVNPWSVELVSSMPAMHLSPFSPPRKKLRIPQPPDFPLLMMSPSIQGARHSSHFGLDHNHHLRFNQIHPPFLSRFPNHDSPSRFPLQEHDENVSCLLTMGNQSPKTSLKKKDVEKDKKKPLFMLFGQPILTEEQLSESFSGDTTANVSDGSVVLQNGSVRSSSDDDGTWVETGHCKVFMESEDVGRSLDLTALRSYEELYRELGGMFGVEKSDHLIYRNAEGVIKHMGDEAFSEFSKAARRLTIVRGGVKGGSR >KVI05005 pep supercontig:CcrdV1:scaffold_47:3027:11738:-1 gene:Ccrd_016622 transcript:KVI05005 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MKKDRGGSHERRGMGVCKLWTPSCISDLNSGAHPTKSSPHKPQPLPPSDCTETTYLRFLASNAEAGSIIGKGGTTISDFQSRSNARIQLSRNYEYFPGTSDRVIMVSGTIDEVLEAVELILTKLFTEFYTEEGEEGEPRSKVRLIVPNSSCGGIIGKGGSMIRSFIEDSQANIKISPQDNNYVGLNDRLVTAIGTLQQLVQAINLILLKLSEDLYYVQSIGPPFPYPAPYNAPNYGPRGVGGKFQNNKEDMSNSVTLGVADEHIGIVVGRGGRNIMEISQISGARIKISERGDFISGTCDRKISITGSGRAIRVAEAMIMHKVASASAAPPPSDLTPDHLSVENLSLNNQ >KVI05047 pep supercontig:CcrdV1:scaffold_47:244405:247659:1 gene:Ccrd_016642 transcript:KVI05047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MGGGGVLAYAAVLTVLTCAIHAVHATPHDPIIVQVTDHPNNHLIGTPTEHRFTAFIQEHGKQYSTREEYLHRLGVFSKNLLRAAEHQLLDPTAVHGVTPFSDLSEEEFETMFMGVKGGGIDRKSNDVGTAAPPMEVKDLPDDFDWREKGAVTEVKMQCDPXEEDACDSGCSGGLMTNAYNYLIKAGGIESEESYPYTGKSGKCKFDAEKIAVKVSNFTSITGDEDQMAAHLVKHGPLAGFSILRLGNKPYWIIKNSWGKRWGENGYYRLCRGQGMCGMDTMVSEVITAKS >KVI05031 pep supercontig:CcrdV1:scaffold_47:204998:207508:-1 gene:Ccrd_016639 transcript:KVI05031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MATSANTGSRSEMASLTLQILNGRWFMVFASFLIMSAAGATYMFGLYSGVIKESLGYDQSTLNLLSFFKDLGSNVGVLSGLIAEVTPPWFVLSIGSVLNFFGYFMIWMGVTKRIAKPTVWQMCLYICIGANSQSFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIITQIYHAIYGDDKKALILLIAWLPAVISVVFVRTIRIMKVTRQANELKVFYDLLYISLGLAGFLMIIIIVEKTIQFPRAEYGGSAAVVVFLLFLPIAVVIREEYSIWKNKKASLNDPPQIKVVTDNPPVPNTTVSPPRTPWSPPKQEEETSCFQNVFRPPERGEDYTILQALFSIDMLTLFLATICGVGGTLTAIDNLGQIGESLGYPTQSISTFVSLVSIWNYLGRVTAGFVSEILLKKYKFPRPLMLTLTLVLSCIGHILIALGVPNSLYFASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGSYLLNVKVAGNLYDSEAEKQMKVLGIARKAGEDLTCVGVECFRVAFLIITGATVFGAFVSVILVIRTRKFYAGDIYKKFREQAARAEMEEMAVAGGGGKGT >KVI05043 pep supercontig:CcrdV1:scaffold_47:280591:282685:-1 gene:Ccrd_016646 transcript:KVI05043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein UCP014543 MAMASSTIITRVQTYSQPPNLSNPSVPLNVFKFSSSFQTRISHTHHGLKLNHLLNAASEGSASELIEDSKFVPLNPDDPTFGPPALLLLGFQVDELVKVIFCTEDMLLGSLWEAINTKQPNLEASKIAKSLPRICFLSGLTGEEMMMFIDSFSESGTVFAAVVPNSADKPLQEVIDEIMGDHEMMVCKS >KVI05033 pep supercontig:CcrdV1:scaffold_47:161198:169574:-1 gene:Ccrd_016635 transcript:KVI05033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 MEIPIKPIDQLLERVLCMNILSSDYFKELYRFKTYHEVVDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAYLAAEPCDMRERPCLSAFEGFLLACLLFKLFDPVGFLYLRYAADPKTLWGWFEPYVKDDEEFSPGSNGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVMRSITANLEKLKLPTKHCGVTGESTRGSDDMARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPLPSYDRKEGEDSRRSPTRRRSQSRDLSDRDYSDRERERGRDRDRERERDHGRDRDRDRDRDRYRDRRHEYDRRSRDTSRRDYHKESSSRRSRSRSRSRSRSQSESIQARSLRLDHQPSPNREESKDRTSASSNLAKLRDMYGDLSTEKGDATDGRGPGRSNGAEEVIRLGGSSWR >KVI05049 pep supercontig:CcrdV1:scaffold_47:291160:295341:-1 gene:Ccrd_016648 transcript:KVI05049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLOWERING locus D-like protein [Source:Projected from Arabidopsis thaliana (AT3G10390) UniProtKB/TrEMBL;Acc:A0A1I9LNW6] MNPSNETHQQQILPNVYNPLQFTIVLPHSDTNSNPNSSLSNPSNVNVNSLLSLGIPRKRRRGRPRDAPSSNQVYNLPSEFSNNQQPTPHLIENSSNDNHFEGNPNVGSNQTALLDVSDEIIVINKEATSEALIALSAGFPADILTEEEIDAGVVSVVGGIEQVNYILIRNHIITKWRENVFSWVTKEMFLDVVPSHCSKLLDSAYNYLVSHGYINFGVAPLIKDKHLVEPNTKGNVIVIGAGLAGLAAARQLMSFGYKVTVLEGRKRPGGRVYTKKIEGGNKVAAADLGGSVLTGTHGNPLGILARQLSYPLHKIRDKCPLYRLDGKPVDSEVDAKVEVAFNQLLDKASKLRQLMGEVSQDVSLGAALETFWQVDGKAANPEEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHAIRYGSDGVQVVTSGGQIFEGDTALCTVPLGVLKSGSIKFIPELPQRKLDGIKRLGFGLLNKVALLFPHLFWGNDLDMFGHLSDDPNRRGEFFLFYSYATVAGGPVLIALVAGEAAHSFENMPPPDAVARILEILRGSTFAEYENCKNWMLKNSLLKSNFFTWPIDAGIYEPQGINVPEPLQSVCTRWGSDPLSFGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANIAHYATNRALRAKVERNPSKNVHACASLLADLFRDPDLEFGSFSAIYAKKDMDDKSMAVLRVVFSGPAKKAQEGSRPDQQHSNKLIFQQLQSHFNQQQQLHVYTLLSREQVFELREVKGGDEMRLNYLCEKLGVKLVGRKGLGPAADHVISLIKAERSKRKPALTPTQKSGLTFCFALISLEKGTSKPKSGLKQRLVRKAKILRNNSPFPTASRDGMVKVVQLNRDVEVEVGNDESLKSVVNGGNGSIPSVNTGSGSMIESAPNLNVDSGEFKWRFQSV >KVI05037 pep supercontig:CcrdV1:scaffold_47:131459:133268:1 gene:Ccrd_016631 transcript:KVI05037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATVFWQFLTNLKSLNLSNSKFLRTTPDFSGVPKLDELSLRFCSGLIELDPSIGHLGKLTELNLGHCENLKSLPKSICGLSLLERLYLDECSNLEKLPEEVGKIESLQELYATGTAITQLPDSIGLLKKLKQVSLAQSNKRDMKAKPWFSFFPFQIFSQTPTAIKFLPPTVSTLSSIKDMDLSDRDLSDTDIPYDLSQLSSLRYLHLNGNNFVSLPSSLSQLCGLQHLWLNDCKFLQSIMEFPPNLRTLDASNCPLLEGLPNLSNLKHLECIEPDYLEMTYWVDYVNEGKHDVTLQVIIKNKTNDTEWTYEANFFRTFEVNSWVSNGPQPYPIRCGDKIEVYAGGDEDLKVEKCGIHLAYKQHSQSMMETESKILVDFIPQKRGHVAVQTSNKSSNENKLSKRLKLE >KVI05019 pep supercontig:CcrdV1:scaffold_47:446234:452873:1 gene:Ccrd_016655 transcript:KVI05019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MTNSETDYIFFAESENEDEGKDFDGDEDKDLDGDEDDGKDCDISDYAEDDKPNSLDTAWPQSYRKSMDLYSNVASPNLNFLASPSFPSLGSSFISSSPKRRHTSFGKPLLFPGDDNQQPQERHSSDHSLHRHQTSSAKKLSQYHKSQIRQSSFGQSVVNGVNLLCGVALLSTPYAVKEGGWVGLSILFIFCVLAYYTGILLRYCLDSQPGLKTYPDIGEAAFGIVGRLFIAIILYVELYAACVELIILESDNLSSLFPNAHLSFGEYQLSPQYLFAIVITLAVLPTVWLRNLSVLSYISAGGVFVTIILVICLFWVGLVDDVGFQTESTTTLNLSTLPVSIGIYGYCYAGHAVLPNIYMSMEKRSQYPLVLLASFAICTSLYGGVAIMGYMMFGESTESQFTLNLPTKLLASKIAVWATSISTHLLNLAWPSRLTRITYALTISPVALSLEELIPSNTSMSHLYSILIRTALVISTLLVALSVPFFGLVMSLIGSLLTMLVSLIFPCACFLRIVRGNVTRLQGSLCVLIIAIGFVSLAFGTYGALSEIIQQL >KVI05036 pep supercontig:CcrdV1:scaffold_47:97892:111680:-1 gene:Ccrd_016630 transcript:KVI05036 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAHELMAVTPPPPPPPTSLAPGFRFHPTDEELVMYYLRRKACGKPFRFQAVSEIDVYKSEPWELADFSPLKTRDLEWYFFSPVDRKYGNGSRLNRATGKGYWKATGKDRSVRHKSETIGMKKTLVFHSGRAPDGKRTNWVMHEYRLLDQELVRAGVAQDSFVLCRIFQKSGLGPPNGDRYAPFLEEEWTDDAALLETSAKKILDQSEDPQTIPFVCKRERSEDRALNCEPELETFSLFHNKRSKPSDPNSGNANGSEDSTTTSQDSRRALLEFPLLESIEARESQPPARLPSFDAATLEKSVPPGYLKFISNLENEILNVSMEKETLKIEVMRAQAMINILQSRIELLSKENNGFRTEHQPPHNRWQYWHTPLQASLSATMMRLCDVTKIGRRRATVPNPFLPALQERSDHILHNVIPSPFAKWDSSDAQTRIETLISNSFLSILITYNQEHWYMALAIGSAAASSLAPGFRFHPTDEELVRYYLTRKVCGKPFRFDAISDVDVYKVEPWDLPGLSRLKTRDLEWYFFSVLDKKCGNSSRTNRATEKGYWKTTGKDRAVHHRSQQVGMKKTLVYHSGRAPKGERTNWVMHEYRLMDQELQNAGHVQNAFVLCRIFHKSGSGPKNGEKYGAPFVEEEWEDDDELVLVPKQEDHTEKLPVDEDSYLNANEIEQVCTLYVFQSQEISLKDELWIVRKEKRDDYEEKKEEKTTRERDLEKKIRDLPELEEIDNRGFIVEINEAMFMMHHQPKAYHILGTDMPREVGPLPLTFDEGNNVSCADISATVTNDPRISLLDHVGGSESQADRSDRSKLFDQAVQNELDLGSVNHEYIGEPDDTMNFDMDYLLDEPYFDAITGDLSLDDSLFFEANDLKHPVESDPGLDMLDEYLSFSNSDFDNLQHNLVSNVNDQTHQVIEASQAFEGPKDDIASSSKKDMASFPFMKKASYMLGNISAPPAFASEFPTKYLASEALGSTHVTSGMIRISSNVSFGEGAVDLSLGKHAQLNIVLSFGVGQRGVNHPHEGGKANSRNWFYCVFLWILVLSLSFKIGSLICPRSLMS >KVI05048 pep supercontig:CcrdV1:scaffold_47:302424:318974:-1 gene:Ccrd_016649 transcript:KVI05048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDPSNNVRRERAGKTHTHRRPSGRPEFQRTNLLSLTVSITVTRNFVHACAHSSYRAGLFYLTDMHDLESRTAELMASGARMLNNSHTSTSHHLLLLELLAVEVLPPFALKHRLLLLPDALLPPTLGLTLALATKTQLKRLKLKKNNWSSDSHRFDEVFTESASQKRVYEAVAKPVVEGVLNGYNGTIMAYGQTGTGKTYTLGQLGKDDASERGIMVRALEDIIASASPASDSVEISYLQIYMECVQDLLAPEKVNIPIVEDPKKGEVSVPGAAIVKIQNVDHFLHLLQIGEANRHAANTKMNTESSRSHAILMVSVTRSVDDKEENNTSSPGKDDKSVLVGGHVIPTIRKSKLLIVDLAGQHSHFLGMHKHIIPVGNYVLVVNAAGSEGHLVEETKFINLSLSSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIVTIGQSSRHHTETSSTIMFGQRNLNIHISAPYYPPYNLLLEQAMKVVNFVKLKEEFDYESLCRKLENQIDILTEEIDRQQKSRADNTIRLENNLRECQNSFEEAEKALLARCELLDKDKSRLESEIKDILKELNCQKDHNDLVCQEVESLQRSLKRCELIEKEKVHLESEMKDVLEELDFQKNQNNLMHDEIARLELGLEHNKQYQVETSAYQKVLADTTQMYEKKIAELMSNLDDERDRSSGVEEQLDSIKNILTDHQKSMEQHQIERSTYQKALAETTQMYEQKITELVMQLEDEHARCIGLEEQVISLKKASSNRQISLQIQGQEEIGALKMELQQMSQLREAALDELQLVKKEQKNLSSEKARLSDELRTVREALSLEEKRRKAVEKELSSIKNAVPESEDEFEDKRPSMKENNAGGTTIGTPFGLHNSNKSRESNSFQRTTIAKICEEGKFVLGLQKILALLQSSDLDVQTHAVKVVANLAAEDINQEKIVQEGGLDALLILLRTSQNTTLLRVASGAIANLAMNELNQGLIMSKGGAALLAHTASKTDDPQTLRMVAGAIANLCGNEKLHMLLTEQGGIKALLGMVRSGNHDVIAQVARGMANFAKCESRAITQGHKKGRSLLMDDGVLSWLLGNSNTASISTRRHIELALCHLAQNEDNTRDFVSSGGVKQVARITVESSREDIRNLANKILRLNRTFQAEVQNE >KVI05011 pep supercontig:CcrdV1:scaffold_47:470620:471453:1 gene:Ccrd_016658 transcript:KVI05011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MSMSKGSKMLQFINYRMRITIQDGRQLVGKFMAFDRHMNLVIGDCEEFRKLPPAKGAKKNEEREDRRTLGLVLLRGEEVISMTVEGPPPPDENRAKAVGAAALAGPGLGRAAGRGIPTAPMIQAQPGLAGPVRGIGGPAPGMMQPQISRPPVPNMSAPPMNYPQAPVIRPGQMPYPGQGPPPQMPRGPPPQMPPQFAQRPPGQYQVPPPGQYGQRPMVPPPQMMRGPPPPGGPPRPGMPGPPPPARPGMPPPGGQIPGFGPPRPGMPPPPSTQQQQQ >KVI05027 pep supercontig:CcrdV1:scaffold_47:499036:499758:1 gene:Ccrd_016664 transcript:KVI05027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MAAAAPPPPPTTAAEPNMDLPINHPLFTRIRLATKADVPVIHKMIYQMAVFERLADQCSATEPSLSATLFSKDNPFESFTVFLIEVSTTPFPSSSIAHHSKFTPIHKTLNLDYPISDPELEVFKSSTTEAGVVVAGFVLFFPNYSTFLAKPGFYIEDLFVRESYRRKGLGKMLLSAVARQAVAMGYGRVEWVVLDWNTNAIKFYEEMGANVMQEWRVCRLTGDALQAYATTSGTKDLDLI >KVI05013 pep supercontig:CcrdV1:scaffold_47:355958:359516:-1 gene:Ccrd_016652 transcript:KVI05013 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSTVVQDAVSYTNVETYMFTCCSAFTSFWYNWESTGRPSLDGELQSILKELPSNEDCMSTDGLQFILSIDVSYKRFSSGMILDSCRVIEGKYIDLLEQEEARDHQKLWALGPFNPVAITRDSSPNRHKLFDWLDKQASNSVLYVSFGTTTSISNEQIQELAMGLEQSEQRFIWVLRDADKGDIFDGEVRKIELPKGFEERVGENGLVVVDWVPQPEILGHPATGGFMSHCGWNSSTESITMGVPVAAWPMHSDQPRNAMLITKVLNIGIYVRDWTRREELISSTVVEEAVRKLMASEEGEEMRKRAAELGGRVRRSVEDGGVRRIDSPPPRTLLPYRLPRRRFTPQDIPFKSRPSSNRSHRRIVPQSLHNRLQSPSSFLIGFTSILPSIVAFRASFDRRIVPQSLHNRLQSPSIAFVVSNRLHIYTTFNRRFQVLGSEPGSASVFQDHSRKHNVCY >KVI05010 pep supercontig:CcrdV1:scaffold_47:631953:636648:-1 gene:Ccrd_016674 transcript:KVI05010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGETYDCPNYCMTLRQAEHSAAEVALNALATGGASNSLAARILDETGVYKNLLQEVSQRVGASLPTYTTFRSGLGHLPVFTCTVELAVTHHSESPYVQKGNIEEQEHVTVARALQKFRLKARMSNVPFPIRFPAPKPKVVSSQSPPSTTSKILPLICPKTTPRSRPHLTTLNDAQTCTETPQYNKYMPSFISTSTNEPPEHPTACRTHKFPAVEAAPYVPVRHLGVHCGMAPPVTIRNAIPVFSAPPRPPPNMGIAQPNQVPPQFRMPTLRTAPPPVTIRQAVPVFVFSASKASIKPVTTAMPPMEEKVNVVNPEDPLNAVTTTKAPSLEEETVISTSPADSLQMVTNDENKLQEKLIELEI >KVI05030 pep supercontig:CcrdV1:scaffold_47:196746:201514:-1 gene:Ccrd_016638 transcript:KVI05030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MLKIIKGMKKGSKPEVIEPPPGPNGPSDVTVNHASRAAIPPTQLPNARSQVIRAPSPQTDEIEIEVTPMLKDVPISERHVLFIKKVNICSVPFDFSDALKKVKEKEIKRQNLSELVDLVQTGSSKMNEIMQEEMVKMISVNIFRPLPPAAFENTGAIDGDPEEDDMYLEPSWPHLQLVYELLLRYVVSPDTDTKVAKRFIDHSFVLNLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETERQPGLGELLEILGSIINGFAVPMKEEHKLFLVRALIPLHKAKFINYYHQQLSYCITQFVEKDYKLADPVIRGLLKYWPVTNCNKEILFLGELEEVLDATQPAEFQRCMVPLFRQVGRCINSPNFQVAERALFWWNNEHIVDLIAQNRDVILPIIFEPLEKNIQGHWNQAINGLTGNVRKMFIEMDAELFEECSNRFLENEAMTKEMHEQRELTWKKLEAIASEEGGDDIIM >KVI05040 pep supercontig:CcrdV1:scaffold_47:278518:279954:1 gene:Ccrd_016645 transcript:KVI05040 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] AVADESEGRRPSTVAARFLTRFLICQFLNKPVFGKKQRSVFKYNNLCKFDALFAVTMVGRYSDDDEDETFYYRYASVAPQPPSSSSSDKTLSKSSSHRGGGSGSRSGGLAPSKSTVYVSNLDYSLTNSDLFTIFSTFGKVAKVTILRDRVTRESRGVAFVLFVARDDAIKAVKGISGKVLNSRTLTASIAADNGRAPEFIRRRVYKDKSRCYECGEGGHLSYECPKNQLGPRVPPQPSKRGRREGRGGSSGGYRRSKEEEWGGDDDETGGDEVFEDDNWASVVDNGADERLLGG >KVI05022 pep supercontig:CcrdV1:scaffold_47:484205:489843:-1 gene:Ccrd_016661 transcript:KVI05022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEERLKYLIDDQFRGLLKHNFLDTIYTFFRHCRPCSRPTPSPTQRKTKDEGALPGGPLFLGGTHPPGPLSCCCPRPIGPRALKPVPGMFGGIPLAGPGWLKYIAELGGVPIFGGNLGPDGPPGGTPERPGGTPGQTTGGENGGIKTAGDIGGPGPGDMLGVSGCNPPGGGIPSGPKASSPLPDCLDLPDLRFLLSSTSHVSSSLALSLLAPELSSMSFFTEPFTRSGEPIRDATYLYYQLQDNTQKNHYEHTILKSQLKASLTTRVVKTSILEDQSAKITISGYDIIRFFFLTESVTFISRFIFCGFPDQTRGYQETMHSTE >KVI05001 pep supercontig:CcrdV1:scaffold_47:57839:71807:1 gene:Ccrd_016626 transcript:KVI05001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller MKVDEGEMERLPVDEGTCRRDEGDEGRTFVANEMERLRMEERRTAQNSDYIKFFTRSSATGLFLVGETYSLIRLHRDRTLHRRLHLSVNREVASNILDLALPNRQSSLISGRASMGSDNCNWHSDLDYDQWVALPVAGPRPLARYKHAAVVIDEKLYIVGGSRNGRYLSDVQALDLRNLSWSTIKLSTQSEADNIRDGRLLEVFPAISGHSMLKWGNRLLLLGGHSKDTSDNITVRFIDLESRVSGVMEATGKIPVARGGQSVSLVGSKLIMFGGEDRQRRLLNDVNVLDLETMIWNVAETTQSPPAPRFDHTAAVHVDRYLQIFGGCSHSTFFNDLHVLDLETAAKLLKLDKVVVQLKRSSLMVEPEQLLYVCGGDEGMYFYSSLTMGLKNEIEEEHISFSTVKSNIGLRRLVNASDDKISLVLQLEWSHPQVQGNLVSPRAGHAGVSIDEKWFIVGGGDNKSGALETLVLDMSKLILSVLTSVERRDPLASEGITISSAVVDGEQFLVAFGGYNGNYNNEVFAMKPKPKDSKHPKIVKSSAAAAAAASVTAAYALAKSESLDLTSIENSKTKVDLSVEIGVIKEEKKVLESSIAWVKAEKSTLNAKLDEINETHADLSKKLIFFINLLQELHSVQGQLAAEKSRCANLETQISELQKMLLSMQDIEQKVQALRSQNSAFEHHTTVQRQSSGGVWRWMAP >KVI05003 pep supercontig:CcrdV1:scaffold_47:34622:40907:1 gene:Ccrd_016624 transcript:KVI05003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVRPQLLQKPESTTDACSNFERDGSDFERGLEELMRGHLDDCMSFASCSSPCNNEDGNESEGGDQLVRRRRRSDLEGDDLAETSAAARRRQSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRRQGNVERPSTRASSILQMWRELEDEHVLDRARERVRERLRQRRSVDFNTNVSSSNMSEGRASEHQGSLEDITESENDYGAWSNDQMETHNECQENDVSSREQSPDLGEVDGERERVRHIVRGWRETDVTDRSSSVAERSGSPRAEWLRETERERVRIVREWVQMTSQQRGSRGSHREEQGAVVHDQSHDRTTIEDEGQPEHIRRDMLRLRGRQALLDLLVRAERERQRELQGLTEFRAVSDFAHRNRIQSLLRGRFLRNERPVEEERLPSVAASELVQLRQRHTVSGLREGFRFRLENIVRGQASGQSENSSNNSNNDFGSDETHANTRHEIEHRNQEQAQSLVQETDIHQVSNHGGSLESGATIQSTNQQANVGEGEDWQGQVAADESGDWQQPGYNEFNEWRGGNSEAADRNWQQISGTDWPQETSGSEVRARMQGTNESWHEPGSRETVESWSEGPSGPPRTRRTIPVRRANRFHAPEDDNVYSMELRELLSSRLLATDFLVYHRRSVSNLLRSGFRESLDHLIQSYVERQGRGPIDWDLHRNLPNPTSPEAGQEQRGDEDNENQHETVGRPSLALPSPPVPPPQPIWHHDLAHSTWSRHNIHRSEFMINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVKQEVLMQQLPKMVLNGFMSKREPVVFVVIIKLIRYCTDAGICARARSVQMNWFVEEGNVPCAGHPLLRLSELTPYCKQITN >KVI05045 pep supercontig:CcrdV1:scaffold_47:209994:213392:1 gene:Ccrd_016640 transcript:KVI05045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLILINLIFSTITMLSNLLSHLIFNTTAYLLVIAIQGLRVPGEALQSAMEQIADLIRTCIGYVLEVVMEVMSGIVGLVFDLVKEGVFGSVSATGAAAVGVVEKMKSGFDGLTKEIPTVVEGVVEMVTTMVSDLWKPFKDSV >KVI05000 pep supercontig:CcrdV1:scaffold_47:72416:74777:-1 gene:Ccrd_016627 transcript:KVI05000 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MDPIKDEPVTQPKSSSRRKGGYDPQTGIYHSLIRLRGKYKIPTRAHLDIATFVLSQFPRLDQAESRVALIDSATNHRVTYGQLQRSIHSLAAGLYHGLGVRKGDVVFVLSPNSIIYPTICLAILLVGGVVTMSNPVNTESEITEQVLDSGAKLAIADPEEMHKLLSTKVPTLVTTSNSSGNELSVEELINCCESMELPEDRPTQSDTAAILYSSGTTGTSKGVILTHSNLVSMMMLLKWAVEITASKDDIFLCFIPMFHIYGLAFFGLGLLCSGTTTVLMQRFDFQAMLEAIQTHKVNNIPAVPPVILGLVKYDGGGYDLSSLRSVGSGAAPLSKHLASRFRAKFPWIDLKPGYGLTEGCGAVAFFVSSEEAKARSAASGALLPTFSAKVVDFETGIALPPYSEGELWLKGPAVMKGYLGNEAATSATIVADGWLRTGDLCYFDEDGYLFVVAPAELEAILLNHPHILDAAVIPLEDEEAGEVPMAYIVRETGSQLSEYQIIQFVAGQVAPYKKIKRVAFINAIPKSAAGKILRKDLVAQSKQNVQSKL >KVI05015 pep supercontig:CcrdV1:scaffold_47:355086:355821:-1 gene:Ccrd_016651 transcript:KVI05015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGFVDLLSAASMPVLKVLIITALGSFLAMHHIDILGQTTRKQVNNIVFFVFNPALVATNLATTXTYESIVSMWFMPENNLATFIIGSALGWMXIVIXAPPQHLKGLILGACAAGNLGNLPLIIIPAVCKEEGSPFGDPDVCHHYAMAYASLSLAVWTF >KVI05041 pep supercontig:CcrdV1:scaffold_47:276521:277651:1 gene:Ccrd_016644 transcript:KVI05041 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4050 MMEVETASSRGNNIMMMEVNMGNSRPNEKRALEISAPGNEARKDTENKGSNTTVFINHGVIAWNESRRKWIGDQSQRSRRGRTPEDPVIRFDLFPICWSTTYEDLLSNNNRFPEPIPLPEMVDFLVDIWLDEGLYD >KVI05035 pep supercontig:CcrdV1:scaffold_47:193911:195866:1 gene:Ccrd_016637 transcript:KVI05035 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MSSRRRLIEANPMFLQSKDQTPCQTGNPVDDCWRCDPNWANDRQRLADCAIGFGQAAMGGKGGRIYIVTDPTDGDPENPPPGTLRHAAIQSEPLWIIFANDMHINLENELIVSSSKTIDGRGAIVHVTGKGCIVIENVGNIIIHGLYIHDCEPSGKAKIRVSPTDVVGRGKSDGDGLTIKGVRNLWIDHCSFARCTDGLVDVTEGSTAVTITNCYFTDHDKVMLLGHSDDYLADAGMQVTKRMEAKDDEWKGWNWRSEGDLMVNGAFFVPSGAELSTQYDRASSVPPMSAYLINQLTMHAGALVGVPSNLGDNSPGGGPPVITPPVEGQPSGGNPNIPPGTMPYDGGSYGTTYGTTPPGCFVSGKTPGGCIPGNIQGGGNPGMVPGGGYGMVPGSPPPAMVLQGCGPGIIPYCGGPGSRRISGASGSIGTMARSSIPTNIFLSSLVVLSLHMTMTHSVLLQ >KVI05023 pep supercontig:CcrdV1:scaffold_47:481884:484420:1 gene:Ccrd_016660 transcript:KVI05023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MVFTDLVSHTLQVNIIARSCRGDIFNSDLALNVTSVFLVADISSALADFSIDFELEASCCVLFLRVRLLVSENVRNRSPRRNELREGRNCCEESAQYIIPGIFGGSLFSAMHGFLVTSSLIRETTKNESANEGYRFGQEEESYNIVAAYGYFGRLIFQYASFNNSRSLHFFLAAWHVV >KVI05025 pep supercontig:CcrdV1:scaffold_47:521408:529467:1 gene:Ccrd_016666 transcript:KVI05025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit Rpn10 IYDRIKSKQLSSGARKLQIPLSSSKYNHSSDFTRFLLQSSTMVLEATMICIDNSEWMRNGDYSPTRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGGPVKYDKKVLEMIGKKLKKNSVALDVVNFGEEDEAKAEKLEALVAAVNNNDSSHIVHVPAGSNALSDVLLSTPIFTGDGEGGSGFAAAAAAAAAGGVSGFDFGVDPNLDPELALALRVSMEEERARQEAAAKKAADDSSKQDKEGESTSQDATMSENVGTSASESENKKDDLMDDENALLQQALAMSMDDPAATVTTRDTDMSEAATDDQDLALALQLSVQEGAKDQSGQAEMDKLLADQSFVSSILASLPGVDPNDPSAEQKKDEDKAPKEDDKLQGVVHLIFE >KVI05046 pep supercontig:CcrdV1:scaffold_47:260382:264298:-1 gene:Ccrd_016643 transcript:KVI05046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein VLHVDHTAIKTLPDSIGLLCNLNELQLNFCPRLVALPSNICKLRSLQTLFVTACSNLEQFPLELGNMECLRELHVGGTAIRHLPDSIGLLKNITVISGHGNCRSLATKSHFPSFQFLALPRSLNTTSFLPPSVSGLHSLSELDLSYCSLSDGDIPDDLGGLSSLRVLDLRKNRFCLLPSSLGQLTSLKKLFLSRCWKLKSILEFPPNLRRLIARDCRALENIPDLSNMKFLKYLDLESCCKLTDLSCMWYASSPLATSCAALQIYRVLHADHTAIKTLPDSIGLLCNLIELHVSFCPRLVALPSNICKLRSLQKLFVTACSNLEQFPLELGNMECLRDLHVGGTAIKNLPDSIGLLKNITIISAHGNCRSLVTKSHFPSFQFLALPRSLNATSFLPPSLSGLHSLSKLDLSYCSLSEWDIPDDLGGLSSLRVLDLRKNRFCLLPSSLGQLTSLEELFLSRCWKLKSILEFPPNLRHLIARNCRALENIPDLSNMKFLNYLDLESCCKLVNILGLENLNCVQEINMEGCHYLSTSFACSRRSLIHSTRHDIKGFMNNRSAI >KVI05018 pep supercontig:CcrdV1:scaffold_47:370625:377694:-1 gene:Ccrd_016654 transcript:KVI05018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MGCSSSLPDRSAGRAGGLNPDNGGDSDAKNLRVKGTLVLGKAALFFALSVVSLIQLPRYAALAPLYYRGAAVGVIVYDITNPDSFSKAQYWVKELQKHGSPDIVLALVGNKADLQDKREVSVQDGMDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSPS >KVI05029 pep supercontig:CcrdV1:scaffold_47:556845:558731:1 gene:Ccrd_016668 transcript:KVI05029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MTSQNYMEEIDCGSFFDHIDDLIEFPPVTDTSLNSVDCNEFTDIWINNSDDLQVTDPIFCGSNSGPASSLSAELAVPYEDIVQLEWLSNFVEDSFSGGGMTINKENVSVQKETETVVAHRQFQTSSPVSVLESSSSSSSSSWSGGSGGMMVPLSPIQRGPQRARSKRPRPATFNPRAMIELLSPLVMMPVSVSPEPERNFASRCGPKSVGCEPDQKKKKKPSKLKIQLGPAEENENQNQNQNQNQNQNQNQNQNQPGGVRKCMHCEITKTPQWRAGPMGPKSLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVVEMRSKDEKMGEAEVIPNIKGGEYYI >KVI05002 pep supercontig:CcrdV1:scaffold_47:41947:51343:-1 gene:Ccrd_016625 transcript:KVI05002 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MKEMMKQKMMNRFHKRNSSSSSSVDFKPGERLDFKFSSLQALQVPTGWDKLSLSLISIETDKTVSKTGKASVCNGNCRWTETLSESIWVSHDDASKELQQCLYKLLISKGSTRSSILGEVTVNLSSYLSSETSLPVALPLKKCDHGTILQVAIQCLTPRANLRWRDTNSLTEDVNSDYSDLDNMSDAPDGKSTRSVGSSKSNSNLDTSHARGLGSREASLPTVRSHHSFDSMEYSFGGESSRSNLSEGAIDLIGRPESTGSQNSTLYTSVNVYGSPRSNHSPFSPGSGKNILNRRQDSGKISHNVPASPLRTFGSSEFVMDAEATTPEELRAEARKWERNARKLVVDLDLSRKVTNDQTKNLENATMELSTLQTECNDLKHEIKHLKALLSESAMKERDADYLKSQVQDKNDIQAEMEEEIKFQKDLNNTLALQLEKTQESHLALVSVLQELEETIEKQRLEIKSLAASERPAGDLGMKLRCEHEDSGEEYTTEQMLAKKIKVNCDSDYENGHVEDPETDLLTQVELKEGWKLELELQKFQESQKKLESTILYLEKTLEEKNREIELGRNLKMQNLLNSELEWKEKLSLKDKDIFNLEAKLSQALAAPILKETESQAIENPELVEEVKSLKAKVLELERDCNELTEENLDLLYKLKELSKDLSTSGTSISFLLGERPSTESPSIEDAKVGKLECRTWQIKEEAKKMKPDEIASGDLQIRCKDLESKCLELEVQMQVFKNRACYLDSELVKYQEKAGEQETEIAALNQLLKQQQEEQNAILYSSYILECSDSFNQEEQAAVVLDNVIKLNKSLENFCVIEDNIQSGEEEIKLTSKDPSHVKIEVDDSLKDKESTLEILIKELQSRVKDMDEELLAKTSETEGLKSDCLVKEEELQSQKYRQRDVEARLSDLQIVNNQLEESFKLMQREVDDTKDSHISGNKILEKKLLELESRNQELELHLAELEEDNLHLSGRISGLEPQLRYLTDARESSRLKAEHSESQVVDLQAEIRRLEKEVETTKFDMRQKVQDMQKRWLETQEECEYLKKANPKLEATTENLMDECSSLQKSNSELRQQRLELHTRCTVLEAELRKSQDNFLKLSKNLEDLEEKLSSMLHGIASKEKMLDAELDGLHLLFKEHTEKHVTAESLLNQMYSEKVAEVENLQGKLEHLSTQISATHDERDGMAKEAILEMHVLRADKDKLDNAIADVEGKLRSSEKKLDTIQVEYEKRILALTDELATSKQNHGVLVANHEKLMELLENTRSGEEKLKNTVSELAANLKSCEYERAQFTEENSSLKVQLQKIPVLQDEILALKNSLNDVKYENERLEASLQMISGDYQQLKEEKASLLQKASSMQKAVIELEDHKQNKIALEEKLLRLQGDLTAREALGAQDAELKTELGRLKRSNSQLQWKINRLQEEKDEYIKTKQALEEQKEGLKPEENEFATKNMAPFESDSTSSLHEDIKLAEDVEAGTVDEPSRIKSLEIALAEALEANEMYKVQLKSFLSEGKARESDMPVELEIEHKTIKHEDSSLEAELNELQERYLNMSLKYAEVEAQREELVLKLKAVGPGRSWFS >KVI05032 pep supercontig:CcrdV1:scaffold_47:146097:148799:1 gene:Ccrd_016634 transcript:KVI05032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MVAVFMVLVARTGADVIDLRWVVASDYTLWTSSLAQQQRLNSWQDGVSGTNCAIQPGTNWTYAFVVKDQIGSFSYFPSINYQKLTGGFGPIRVNNRIVINVPFPKPEAEFDLLIGDWPGLGVSVDVPDMILMNGKAPYGYSKSINHESFNVTKGKTYRFRISNVGTTLSFNFRIEKHKMVLVETEGSYTNQIILDSLDVHVGQSYSVLVTADQDDTDYYIAATPKLLNATDDSPLVAKGVLHYANSGSSVGGSLPIGPDPWDIEFSVNQARSISGLYRYAVNNVSYLVPETPLKLADFYVNGSGVYQLGDFPIRSVKETATLGVSVVDATHKGWHEIVFQNDQTSMDSWHLDGYGFYVVGFGDGEWTTGSRGTYNLYDPVVRSTIQVYPKGWTAVYVFLDNPGMWNLRSQHLKNWYLGEELYIRVHDDDPNPAKENAPPENLLLCGMFGEPIAPSSAPEPQPGG >KVI05021 pep supercontig:CcrdV1:scaffold_47:486793:492420:1 gene:Ccrd_016662 transcript:KVI05021 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MGSPERVKGSVKKDIEDSSGARSDRARDDETWEVDERRKRRSGKSRQSGSGEEAEGLENNGRKRSGEEKSESRKRPGGSSKAVSEDDDYESRKESRAKQLKKKQEESALETLSNWYQDGEGENRHDGGERSERRKSASKLSDRESSQSRSKGRDERLHDGELEKLQDKDLRHSERKEISREKGHGSSDSGRHPRKRWDDANVVSKVEENTHGEKSDQRIGKSSDMKHEGSMERSLPGYSEANESRSRGIDVSGDKGVKYHEKDEGRGEIEKIKSKGDLEVHEEDNEENPSSHEDMAVKEKIDDHKQQRSLTGHDAVESRERSYNADEDGSTWIRDRGRRDNDRSTRSRTPERIARRHHETEYSELDSDKSSSYRRKDLEKDGYREERSKGRDDCWGDKNRDREGLKDNWKRRQSNFPDKEMKDGDAPYDFTRDWESPSQRRGRDRADTERHMGRAGGRKDGNRTDAVKTSSNYGISKDNYDVIEIETKPFNYGREDSRSSFGRKNEVNEQSDIKPGPNDEYGYPRDDNIARNADDQSVEDSKDKYGDDRNMDDIEGGKGRGQRGSLPNRAGGWQGPSSSSDSQPSHGYQDPGSFNRGVSQGVKGNNRMGRGGRVRPTGRDGQQVNLQVPMMGSPFGPLGMPPPGGLQPLTPSMSPGPGPPMSPAVFIPPFSPPVVWPGVPPGLSGVPPGGPSGPRFPPNIGTPPNSAMYFNQPGPARGMPPNIPGTGFNALGPMGRGQQQDKGPGGWVPPRNNGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQKKDEIVANSASAPMYYKCDLREQVLSPEFFGTKFDVILVDPPWEEYVHRAPGVTDHMEYWTFEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKTTATPGLRHDSHTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTAKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTVGKDLSSSNFNSEGYVRNFADKDGKVWQGGGGRNPPPEAGHLVLTTADIEALRPKSPMKNQLQMQQQQQQSNSISLTAGGGSNKRPTGNSPSNHNGPGGMNQEASGSNISGPVPWGPPMGGGMGPDERYFENMYGYNGPFGPMGGDYMDFETHRAM >KVI05034 pep supercontig:CcrdV1:scaffold_47:176848:186034:-1 gene:Ccrd_016636 transcript:KVI05034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1635 MEDLSVYDSKTSVIVGGTDKPKIEEKAKLNMRSSGRRTLADISNIPQRFSASNQDNKPQPSSDAIREYIKQLQKENAALMKLLIDKNRSIELGGAEVQKLRVILQKVQQQNLLLAQSNSQMLVELNSVKERQKALKHELGCKDGLIIAKKLEPEGNPKARIFQANDSQNDKVTELEETEACLVSSDKKVQDNEVGKARRIQTRRQSSRLKHDEPKSTKSSFEIENVDDLPPCSLLDDDKSCNPVPLSSNKEGKSLEDYKPQEQRKTSLSRPLREAAKKVQSYKEINVNDIDIRNLFIHHALDRTPRSSWVGKLLQVSSKRVVVVGFDPRKATRALWVDSDTMEESSTLWNWNWDHQQQVPVTEEQKHAPLYSPLGLETRAAYAREEIARRRDEVLHLNGLLAKAMKERDGFQFMCQRLHLENLILQQQVQKLEANASSARAAAAAAPVSYVEDNPIARVASSSESTETSLPLLLQPSSLTSCAIDNMVLTKGLPKKGKFLQAMMEAGPLLQTILLTGPVPQWQNPPPQLNSIHISLASTPSAFMISKCNHSDGRQLISKR >KVI05009 pep supercontig:CcrdV1:scaffold_47:622761:631493:1 gene:Ccrd_016673 transcript:KVI05009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TESKICGVLFPPNFEHCVNPSLFFSDWKLVLSILVLSPSFYCSHPRRSPDKLLEGKLFIMGTEETRLSTEIDGKVLQIFSHFMERPSIDKTSELFQKVIRANETERIQSYIKAGCTHATNKAENLNKSKVIMNELECLVEDVKLAIETASETLQEGSPDLAATYSEEGMVSSVPEKPQVLDYATMVAVVYSMVKQDYVMQEKIVSSLNLKTSSGEIESYCLMWSLRPFVNDEIMHQAWRLIP >KVI05044 pep supercontig:CcrdV1:scaffold_47:234626:241165:-1 gene:Ccrd_016641 transcript:KVI05044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MVGKDSDYRIFVGGLSWDITERQLESAFSRFGKVIDSQIMIERDTGRPRGFGFLTFSDRRGMEDAIREMHGSEIGERVISVNKAQPRMGSDDPDHGYGGNYPSRGRGSYGGADRSLGQDECFKCGRPGHWARDCPSAGGGRGGSEFSSRSRFSGAGGSGNYYGERDRDRFMNDRYDGGSFGDRDRYDSRESKYGSHSRYANERFPPTGDRFGVDRYGATDRYLQNGYGRERDYDRDVGRERYGGGGPARYEGRSYRDRAGPYDRPRRGGGRPSAIDY >KVI05017 pep supercontig:CcrdV1:scaffold_47:458806:460592:-1 gene:Ccrd_016657 transcript:KVI05017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKGMRCFSFSHGDKSNESKNTKSARSSPSMSNGQYERKSGSEFTSVDASDVSTESSTRISFAMNVLGFVDHPNLVKLVGYCAEDDERGIQRLLVYEYMPNRSVQDHLSSRVRTPLPWSTRLKIAQDAARGLTYLHEEMEFQVIFRDFKSSNILLDENWNAKLSDFGLARLGPSEGLSHVSTALVGNYSLKSAQKLAAVANKCLLRQPRMRPKMSQVLEMVNQIVEAEMESQGKPLRPFEPVMTPSGNGCERSIREGLKRRLVDPIIGENKWLVCLTRGPKLVTTN >KVH89610 pep supercontig:CcrdV1:scaffold_470:56682:60773:1 gene:Ccrd_008394 transcript:KVH89610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISRKIDVDKLISYSDDLVQLLKDEKDINDLNHCVEKTDALRSRCRSDHAVVQSSLEDRPMQAKNRSCKGRSCFRCRAITNQIKDLEEQRSTIEERRQVLKKLKQDEVKAQIIPDLNDKSKISGHIVDKEKKMVEKLEVNSEEMGDFDACNASWKMDLDYVSLTVLVEVSVDR >KVH89627 pep supercontig:CcrdV1:scaffold_470:186387:193632:-1 gene:Ccrd_008385 transcript:KVH89627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGGCFSKKNRQNPNSNGYRSSKHQNYQPVSENYQKPRSNYTQPPQETHHHHQHHQPPPPPPQNTTPPPKPAPPPPNPAPPPSNPRPAQGSDPNTILGKPFEDIRRHYNLGKELGRGQFGVTYLCTENSTGHTYACKSILKRKLSSKNDKEDIKREIQIMQHLSEENSMLKATDFGLSVFIEEGRVHRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVMLYILLSGVPPFWAVSFLYMHAETEKGIFDAILEGVIDFETEPWPSISRSAKDLVRKMLTQDPKKRITSAQVLEHPWLREGGEALDKPIDSAVLSRMKQFRAMNKLKKLALKVIAESMSEEEIKGLKAMFVNMDTDKSGSITYEELKTGLARLGSKLSEAEVKQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFCHFDADNSGYITMDELETAMKEYGMGDEATIKDIISEVDTDNDGRINYEEFCTMMRSGTAHQAKLF >KVH89624 pep supercontig:CcrdV1:scaffold_470:72592:76991:-1 gene:Ccrd_008392 transcript:KVH89624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSQARLKTSQTMKFVDYVYKVCSGSRSCSFNSVKILSFSTLNSAATDESFDFQNPNGYSPKSSSEYGDNWSFRESNRKFKENLTGIYRENSTGVQRNPSLVYGQSYNPGSLRNEFQSNPDGNSVNYNKNYEDNILQHGSNRLYEESRNMQSSRMNNGMYQESQGNYNNGENGVFGQSPYNTNSQNTRNFVPIASEGSGQRFDEKSVRINGFYIGNASSNQQNSSNLWNNSSRMDHQIQNEKSDESSEDGRLNGTIDEFDFFCKEKKLKEAVEVLGLLEQRNISVDISRYLFLMNECGEAQALEEAKYVYEHLSRSISHLDVRICNKIMEMYAKCGSMEDAYTVFNKMGQRNLTSWDTMITWLAKNGHGEDAIEMFTEFKKIGLKPDNQMFFGVFTACSVVGDMKEGMLHFESMIKNYNIEPSTDHYSSVVDMLGSAGYLTEALEFIDKMPIEPGVGIWEIMMNHSRVHGDTELGDRCAELVELLDPTRLDEQSKAGLIPIKSSDIAKEKEKKRFNPLEIKTKVYEYRAGDTSHPEHEKLYSQLRCLKQPMKEVGYVPETKFVLHDIDQESKEEALLSHSERLALSQALMTSPARSPIRIIKNLRVCGDCHNALKIISKLVGRLIVARDAKRFHHFENGVCSCGDYW >KVH89612 pep supercontig:CcrdV1:scaffold_470:20212:23873:1 gene:Ccrd_008398 transcript:KVH89612 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MEAERSDKDMEITQVSVGGFGDHVKAKMLLDYLEEIVGQVWRCRVKASSTPPNTYPEFDADLENIQKVDDYKKVEPHAFVHFVDPDSVNSVLEIEGKGELVFLNNPSKVSLGPENPYRIKLSNVVLEIGMFASRDNFLVGWRGPDSGLDFLIDPFDYSCKFLFTKDTAFSFKGTKNHAVIKCNFKVEFLVREINDIKDCLQSHMVLVFQLVSAPLISYRTADDDIAITHPAEMLDDDDPWIRTTDFTPSGAIGRCHTYRVLIRIRDVPKAKKALDFLRGQSVPIDQFRTKLKVQNEPEFGIPLRDPFFCIQYEDDISFKVLFLVNAVLHRGIINQHQFSEKVFDLLRDQSEEVNVAALKHICSYRHPLYDGFTRLKIVQEWLLNNPKLIEKQIXQKDITEVRRLVITPSKAYCLPPEVELSNRVLRHYREVSDRFLRVTFMDEGMXTLNNHVLNFYPALIVKGSIAQKTTMFRKVKNILSEGFYLCGRRYRFLAFSANQLRDRSAWFFAENGKISCTDITAWMGKFSNRNVAKCAARMGQCFSSTYATVKVPRAEVDLNLEDIKRNGYVFSDGIGKMSPELALEVAEKLQLKDNQPCAYQIRYAGCKGVIVWWPGKKGDNIKLSLRPSMNKFESDHTVLEICSWTRLQPGFLNRQIITLLSALNVGDNIFWKMQTKMVRNLNQMLEDTDMAFDVITTSCAESGSTASIMLAAGFKPTTEPHLHGMLSSIRVAQLKDLREKSRIFVPKGRLLMGCLDELGVLEQGQCYIQVSNPSVENCFVKHGSRFSETKRNLTVIKGTVVIAKNPCLHPGDVRVLEAVDVPGLEHLFDCLIFPQKGDRPHTDEASGSDLDGDLYFVTWDKNLIPPSKQSWPPMEYTAAEAKLLPRDVKHSDIIDFFTKNMVNDRLGTICNAYVVHADMSDYGALEKNCIKLAELAAIAVDFPKTGKIVNMPPALRPKLYPDFMGKESFQSYKSKKILGKLYHHVKDCSATDVTPSSELGILPSHIHYDEDLEVREAASFVNDAWGCKLSYDRQLNGLLGQYKVAREEEIVTGHIWSMSKHTSKKQGELKERIKHAYSALRKEFRKVFDYLGPDFDQIPEEERNERYERKASAWYQVTYHPIWVKQSQDLQEPGRGDKTVNLSFAWIAADYLARIKIKRRGAGDGRSQKPIDSLGRYLADRM >KVH89613 pep supercontig:CcrdV1:scaffold_470:892:12008:-1 gene:Ccrd_008399 transcript:KVH89613 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MELFIIFTVLLLFLSVFFLVRGKKYSDKIPPGSLGLPLIGQSLGLLNALKANAVEEWFQKGITKHGPIWKTGLFGYPTVVLHGTAANKFVYTCDGSVLSNTQPPSISRIVGSKNITELVNDDHKRVRAALVSFLRLEVLKQYVAKVDDEIQYHLHTHWTGANPRQDLNLQCNLFTSLRDRKRTQKRETATTFPRYDRRDASDSDQFTLHPIQSRNSARKKLVPMLMDLVQEKREALEEQKRADPHKDLITSLLSIRDDDNLPMMSDEEIIDNVIVVMVAGYDTTSVLLTFLVRLLATNESIYSAIARDNGRSFDMGRPCEDEVHMESSIRDAEDKPSRDFILSANRARHRVWRVLLSASMTHMDSNIFQNPTMFNPTRFEKNAPSPPPFGFVAFGGGPRMCPGIELAKMETLAMMHLMESVVIFTLSFLFLSVFFLFRGKKYSKKIPPGSLGLPLIGQSLDLLKALKADKVEEWFQKGITKHGPIWKTGLFGYPTVVLHGTAANKFVYTSDGSVLSNTQPPSIRRILGPKNIFELLNNDHKRVRAALVSFLRLEVLKQYVTKVDEEIQYHLQTYWVGKHEVQTLTFNVICSLLFGIERGPQREKLLPFFQDMMEGVLAIPINLPFTQFRRGIMARNKLVPMLMDLIQEKRVALEEQKRVDPHKDLITSLLSIRDDDNLPMMSDEEIIDNVIVVMIAGYDTTSILVTFLVRLLATDEAIYSAIAREQEEIAKNKAVGESLTWEDLTKMKYTWRVASEMLRINPPVILSFRRTVQDIEYGGYIIPKGWQVLLSSSMTHMDSSIFQNPTMFNPARFEKNAPSPPPFSLMAFGGGPRMCPGIELAKMETLAMMHSLVTQFTWVLLNRDEPFKRIPMPEFDKGLKYSDKIPPGSLGLPLIGQSLSLLNAMKADKVDDWFHKGITKHGPIWKTSLFGYPTVVLHGTAANKFVYTCNGNVLSSTQPPSIRRIVGSKNILELVNDDHKRVRAALVSFLRLEVLKQGPRREKLLPFFQDMMEGVLAVPINLPFTQFNRGITARKNLVPLLIDLIQEKKEELEEQKRVDPHKDLITSLLSIRDDDNSPMMSDEEIIDNIIVVMIAGYDTTSILLTFLVRLLATDESTYSAITQ >KVH89621 pep supercontig:CcrdV1:scaffold_470:33050:46699:1 gene:Ccrd_008397 transcript:KVH89621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MEPKQQPQQHKQQIPSSPLPNSSSSSSLLLRDISNYKTPKPKRPFLINPSFDVESPCSQFFTALKRTPKSSNSTVGRRPRCSFAAKKLKAIEIEQSISSRKTQTNKEKSLKSLAKSLTVWLNFLFENPRSCGVEVSRFTGEDSSDGPSVVFLGAKRDSVSHGGVEVDREWRGPKRRKDTLWVHKGEMENQFSSSIYSRLQASLGDVCSLDDMKERMTLYLSLTSCKEIFDNIDEGRLKMKAHCPIVTDVGMKEKALKILMGYNPVWLRIGLYIIFGGESLLPNPNTDMDSEQEVSFLKMVAEKLFFSHSGLAKAYIYNKLVDGLYRPGYYEKLGSVILKKFLLLALILDRAKSQSSLPISYGVDGIDGGSPSLFTPRATIKSSSEVISDFLSSDVMHGVGNLLAHLMIIGYKVSYQQNPLVKYVFKVADLFNDLQDGILLCRVIQLLQHDPSILKKVVVPSDDRKKNLVNCEISVQYLKQIGVPLCDEDGTEIIAEDIVNGDKELIISLLWNMFVHLQLPLLVNNKLDLKETNEVSIMSTSDYVDAVHNFLLSQKLTTLLGNFPEVLQVSDILEYKGACNERDRKCIRRDRVLGNHEEQFTVDETCQHGSRDKERNFKAIMSWWQEMAQHNSKANVKPAVSFLQAFMRVWLMVKNTSAIGKLNMIKIQEPLYDGTKLSKRFSKYLVDRHAFIKLRRSVVIIQRAMRYWTAQKCQTNAAIIIQKCVRGCVARSIYVRTNEIQMKAALRIQVAWRNHVNESIHNHRQSAATLIQTCYRCWLLRKSFLNQKQAAIKIQTCYRGYILRKSFLNKKQAITVVQSYYRGFLLRKSFLDQKQAAIRIQTHYRGKILRKSFVNQKQAATKIQSHYRGWFSRNSFLNQKQAAARIQSLYRGWFSRNSFLRMKQAVTKLQRLYRIRKSLRNFEHYKLEIKSAVTIQSHARGWIARRVAFRHRCFIVLIQSFFRVWLTRREFVLQKEAAIKIQSAFRRFKCFKAFNCYTDATIVIQQSVRGCIARKRLLGCNHDAPKDCFDNHELKTYAIIIQSGVRGWIARRKTAQKRRTKSAIVIQSWVRGWIARKTMAQRRHRIVLIQSYWKGYIERKHSRGKLSDIRLRVQKSAANVLRHAISIFRNLTRYPHLTDVLIDTRGSVSRSLVFLQEI >KVH89618 pep supercontig:CcrdV1:scaffold_470:122995:123624:-1 gene:Ccrd_008389 transcript:KVH89618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MAKSRKFTISRSERFLGSYGFAGNHPTKDDGVELREDDVWSMVDEIVNDGDHCSINSDWNPRAAVDDHRSVKGRRGSLLPAPGSAGGLSVAFDDHQPGKMVATPRIIHQFRTGGGDGLASPRGRHVATSAPVNVPDWSKIYRVNSVESMHDSDDGTDDQDSDMVPPHEYLARSHRSAAKSVFEGVGRTLKGRDMSRVRDAVWSQTGFDG >KVH89622 pep supercontig:CcrdV1:scaffold_470:89112:93351:-1 gene:Ccrd_008390 transcript:KVH89622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEHQNDELQQPLLDSATPPSSAGHGGSWELENVLSSTQTPFVRRFASATRIELGLLYKLAAPTVLVYLINNSMSMSTRIFSGQLGNLELAAASLGNQGIQLFAYGLMLGMGSAVETLCGQAFGAQKYDMLGVYLQRSAIVLTITAFPLTLVYIFSKSILLLLGQSPSMASAAALFVYGLIPQIFAYAINFPIQKFLQSQSIVAPSAYISAGTLVVHLFLSWIMVYKLGFGLIGASLTLSFSWWIIVAGQFIYILKSDRCKASWTGFNLKAFGGLWDFVKLSSGSAVMLCLESWYMQVLVLIAGLLENPELALDALSVCMAINGLLFMVSVGFNAAASVRVGNELGAGNPKAAAFSVLTVTTVSFIIAVIEAVIVLSLRHVISYVFTGGETVANAVWLSGADGKRMWRT >KVH89614 pep supercontig:CcrdV1:scaffold_470:170253:173226:1 gene:Ccrd_008387 transcript:KVH89614 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MASKALIFFALFSLTVVASFAESTESSESDSGLVLNFYKDSCPQAEDIIKEQVKLLYKRHKNTAFSWLRNIFHDCGVESCDASLLLDSTRRSLSEKETDRSFGLRNFRYLETIKEAVERECPGVVSCADILVLSGREGIVALGGPYIPLKTGRRDGRKSRADVLEQYLPDHNESMSVVLERFKNIGIDTPGVVALLDAIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLIVDHQLATDKRTKPYVKKMAKSQDYFFKHFGRAITILTENNPLTGNEGEIRKQCNVANKHH >KVH89617 pep supercontig:CcrdV1:scaffold_470:221089:222192:-1 gene:Ccrd_008382 transcript:KVH89617 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit MWVSSKPSTLDSSSLSFRSSFINPLQIPSSNPSNSSKSSSSSVHCSLRELRNRIDSVTNTKKITEAMKLVAAAKVRRAQEAVVNARPFSETLVEVLYSINEQLQTEDVDVPLTSVRPVKKVALVVITGDRGLCGGFNNAILKKAEARIRELKTLGLEFTVISVGKKGNTYFLRRPYIPLDKYLDGSNLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGICVDAAEDEFFRLTTKEGKLTVERDVVRTETMDFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMTAMGNATDNAVELKKNLSRVYNRQRQAKITGEILEIVAGADALV >KVH89611 pep supercontig:CcrdV1:scaffold_470:52817:54715:-1 gene:Ccrd_008395 transcript:KVH89611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHLKTLFARYREQFGSGPGLGPGSGTCLMNVENITHSFIKSLYKAAAALYRTDPWKRLRPDHLFGLRVGKDSDWTGKKQPFPCIQFIGGDGGDLALYMFRSENDAKKMLGTRETIRVPSVELMRATYELESLMFLSHKKMIKSLSLESSGADRFPVLDVCRCNSSGELQCRNPTIEELRFMYGVMKTVSLVHPLLQQDQDSPKWSKFIHFEPFIETVDVQWPAEMSKGNDLVAVTVSHPPGQGYTEKVNSSAGSTPTKHSEFANEETFFDVRLTGSLRQCVRCEKEVSGEHITYCDHCHGIIYCGSLCQKQHWKESHKGDCGLYKAMMEREDELAINIFTFPCNNEHPCKWLETLGNGVHRKGMWRRKCPCYSHCPFGLLPTTDKLSDSWGGLHDDEYPHDSLLNHPRNEISNSNPFLISGWPEYYNLRSLPLSSPVADILSHPLTVYYILTSISITSRNLLLKGKEVILHYIGPEDELDWMPAFTEISHILNGMGNVQIVMVGPGVPTNLSGTTSGIWSRVRVNIVRGIYQEEASFLPVPHLVISLNCGFESYSSWIGALELIKLKGIPAFFTDRSETSCGKAKQVLRSVGLQITHPVTPNPFRSPVRNYGLSTDLPSYSNGFVFGANT >KVH89616 pep supercontig:CcrdV1:scaffold_470:217405:219039:1 gene:Ccrd_008383 transcript:KVH89616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSHLEVLEGLWDSYDDDISSPDVFDSLIRVCSSLDDTSYAYEVIINLKIEKGFRVSVHAWNNFLNHVLKSDSVDGFFRKYEEMISYGYVENVYTFNLVLYALCKDMRLYEAIGVFYRMLKGGIYPNVVTFNMIIDGACKMGDLDLGLKLFRKMGMMSIGFIRPNSVTFNSLINGYCKLGDMKTAEIIRDEMTKMGIEPNVRTYATLVDGYLRKGCIEEAIRLCSHMVDKDLVPNVVVYNSIIHSLYSKGDTIMASVFLSYMIKTNIPFDKFTDSILVKGLSRNGFLKEALDYHKWLVSKNLESKDGFLENTLIYYLVRSGKDSKPMIKEVLDEISDRGFTPDIVTYGTMIDAFCKQERMLDAVCAYEDMIKMGKKPNLMIYNSIVNGLSKNLSVDLAKIMMDEMKKVGLVDVVSLNSLLNGYFANSKVNEALNLFLQMQEDGRLVNVVSYNIILKFVCKFGSIQEAKEVIEMMVARGVSPDSVSYSILVGNAFRKCSLEEVIGLHDDMVIKGVIPDDQTYDSAFGSVVCEESRDLSSGSIRD >KVH89625 pep supercontig:CcrdV1:scaffold_470:64458:70651:1 gene:Ccrd_008393 transcript:KVH89625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDPQYQQQQWMMMNQQQQQSQPPQQFQQQPPLQQMYNYNQQSPAAATIPPQYTAPAAAPIGGATPQPASADEIRTLWIGDLQYWMDEQYLLSCFAQTGEVVSAKVIRNKQSGQSEGYGFIEFANRAAAERHLQAYNGTLMPNVEQLFRLNWATFGAGEKRQDDTPDYTVFVGDLAADVTDYTLQETFRAHYPSVKGAKVVTDRLTGRTKGYGFVKFGDESEQVRAMTEMNGRLCSTRPMRIGPAANKKNVGGQQYPKGHQEALSYKGLAMISAEILHTRILKEHRMTTIQIIQLSCAEEALRMLQGTQFGGQTVRLSWGRSPSNKQPQVDQSQYNGGYYGYGQGYETYGYAPVAQEPTMYYGGYAGYGGYPQVQQQPQPQQPQQ >KVH89619 pep supercontig:CcrdV1:scaffold_470:144653:152862:1 gene:Ccrd_008388 transcript:KVH89619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MEFEKRKKATLATMSSPEPDKSPKGDIDAPIIPLLKTLNTHPSYFTTSSCSGRISILSTPTATTTVKKKAKGGNWVFITHEFADPNSVQNLIFPLNPNFDSTQPSNQENLVFRFEPLIIAVECKDVFSAQKLVSLAISCGFRESGITNVNNKRVIVAIRCSIRLEVPLGDTHMIMVSKEYLNYLVGIANEKMEANRKRTDSFHDALLKIGFLQPEIDSGIGDYEFLNMNQDLGYQDSSLINGGEDGVLELSLPIKPLVITGEPVEKLHLWGHSACTLETTKNPKSVLLFGGFGGMGRHARRNDSWLLDSVSGQLELVDFANSPPPRLGHTASLVGDLMFVIGGRADPGNVLNDVWVLSITNNEWKQFQCDGIDFPLSHRHAAAFVGSDLYVFGGIHNGIISSVMYRLDTHNMKWEEVIVHGQKPSARHSHTLVAYGSELFMFGGFDGVKALGDLYSFDVHSCIWKKEKMTGTTPYARFSHTMFVYKNYIGVMGGCPIKQHYQEISIFEVGSGLWKRIKLNSIGEDLFVRSTTSVVGDDLVIVGGGASCYAFGTKFSEPMRINLLPLLSLAEIRDVTNDETDSRIQKRSNGGFDMNLEGIYGRQKIIPLRWVLRVDKKHAKPAKDVLKKFGWLDTERKVYTQENGVYVCFPITKEFMVLYQNKKSGLNEEVDEVNDLNFQEILKISSSTKALYLLVASGAMVHADHVVKIRKASSSPLKVMKEAVASLLNPTGTRDSGLEILVGDDGWVDHRENGVLYSFDATKCMFSWGNLSEKRRMGELDCKDEVIVDLFAGIGYFTLPANAKMVYACEWNPHAIEALRRNLEANSVADRCVVLEGDNRVMAPKGVADRVNLGLLPSSEGSWEIAVRALRSNGGMMHVHGNVKDKEEESWTKQVSKSIKEISRSEGYDWDVSIEHVERVKWYAPHIRHLVVDIRCKQIES >KVH89626 pep supercontig:CcrdV1:scaffold_470:174297:181963:-1 gene:Ccrd_008386 transcript:KVH89626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQNLDLRSSLVNWVGPDDHHFPNLENSKHTDSLLEFEENSVDSDDGNDKDFNGNEIEQKDDNLEGEKQCTDSLCIGNYAVGHGDTIEKERINMKLSMQIKSQKMPFCPKEVTRILKSKELFLKNAQSHTVRKIIVFASLGIKHGCEDMYNLDFNHFKILQKGAPYESPQNPGEHVVYENPGVRKKIFYPNRRNPTLCPIRILEEEKEMRPHDITCPSCLFLCIKYGGSTRNLPQQEYVRQQMGRNKLKSFGPIICRMAMLAHVRDGSFFFKALGITLLFMAGFSDDLLGTPSSRRLTGKSKTSKKHILPNHTSNYTPSTSSTRTQFAFLDFNSSQTRAIQSMISQTLAGPSNFYYPNQSPYPIFPPHLANSFMPMMYWPPPNAFPPPFTYQSFSSSGNYISTHSQPLFTHVSSNTLEPNMVNYVVKNGPGLEKTDNDSREVNKDNGMIALLQENLIF >KVH89615 pep supercontig:CcrdV1:scaffold_470:206620:215051:1 gene:Ccrd_008384 transcript:KVH89615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQCYDVVTDAANLSKNRDVTSEHVDDEEDEEGDVDFNPFLKAAPSLEASSSLSSEIEEFDADIVDSGESHPPVDNTANAPEEVQDDNVGNIENGWEITIQEACRDSDNTNLCNKETESNTVTNTVTNVSVEECHEASHSQKPVDDIDDEDAIWRRTRARYSLVSSTLDELETFLQETDDEDDLPNIDDEEEYRKFLAAVLQGGDGSSGAAQENDNVDEEDEDNDADFELEIEEALGSDDEILQTVSQEQEHARVGRRPETRQKKRQKIDLQPKKFSAQTNRPLRPILPYAPITFSSDPNARGYMIQSTSGYVSSSATNDYITAFTPQQIGQLHCLIYEHVQLLVQVFSLSVLEPSRQHIATQVQRLLSEMLHKHDHVLASQRPPYPSFCFNLPYIRSAVTSELQKSSQPQNTSKSSTIDAERVFSSVPHCDPSSYQSVCNGSTGHGQPTEGFFWVPFVSDTVLSVIDVAPLNLVRSYMDDISIAVQEHQQRQLEVSYDATADKECLFPFKNFHSSAEPTGVSSDPTLVPSKASDQKSKKTIAAALVERSKKQSIALVISSGVNEIFVRQKNRSCSRAPENPIKAVRRMKTSPLTPQEKARIEEGLKIYKLDWMAVWKYMVPHRDPLLLARQWRTAVGNQKSYKGDELTKAKRRLYESKRRKSKLEGSRLQTTGAGQNREGWSTEDDVRCKTLSDKENFSTDNAGGDNNSGDDGGNNEDEAYVHEAFLADWRPGLSSEFPVSNLRENDPRPGNFHTQHPNFSSHPVPAKTFRSSEPEVHIRPYRAPRSDCSRLVKLAPDLPPVNLPPTVRIMSQSAFTKYRDEACSKVRENVVSAIPLSHPRISQLDERNPVRCSTSGPVEKGDSDLQMHPLLFQDPEDGSLPYYPLNGSAGSSSSFDFFPNNPPQLNLNLFRYSHQAKNTLNFFNNPLKSKELSSSVSGVDFHPLLQRTDDGNGHSGGAPLVPQLPAASLIAHQKSGSTAINAIPPSPNELDLDIGLSSTARKDKRRSGRESGTTDPQPSGLQNHEVGLLDEIVNDPDSGSRSVGIRNDEIVMEQEELSDSEDEEIAETVEFECEEMTDTEGEGGSDSDHIEDVQNEDLQDLDDSDQDEPRCQETSKPDRKEAQSSLGLSLNPKLPVTRSSHTNRSSKKPGNRTRHQDP >KVH89623 pep supercontig:CcrdV1:scaffold_470:78956:86321:1 gene:Ccrd_008391 transcript:KVH89623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MSVVGFDIGNENCVIAAAKQGGIDVLLNDESKRETPAVVSFGEKQRFLGSAGAASATMNPKSTISQVKRLIGRRYKDVREQEDLRLLPFETSESSNGGVLIHLQYLNDRWDFTPVQILGMLFAHLKQMAEKNLESPVVDCVIGIPSYFTDFQRRDYLDAAFIAGLRPLRLMHDGTAIALGYGMYKTEFAKSGPTNVVFVDIGHCDTQVTVAAFEQGQMRILSHSFDQHLGGRDFDDVLFKHFAAQFKEQYNIDVYSNTRASIRLRASCEKLKKVLSANAEAPLSIECLMDEKDVKGFITRQEFENLSLELLERITFPCCQAVNDSGLSDDKIYSIELVGSGSRIPAIMRKLTSLFRKEPRRTLNASECVARGCALQCAMLSPTLRVRDYEVQDSLPFSIGVRSDEGKNNTHPDCLFPKGGPFPSTKMLTYHRRNTFYLQVSYETDFPARISPIGYFMIGPLQTSGTELAKVKVKVCINIHGIFQIVSASLQLLEDAHSSSNNGHLHSEKMVANSHMSNDAADHKSADSSPSTTYHADERRKGWVRRQNVAVSEKFYATTTRDELRHAQETEQKLAYQDMKVEQTKEKRNTLESYVYDARSKILSSYRSFATSMEKDGISKSLQETEEWLYEDGDDESEEVYTRKLEDLRMLLDPIENRYKDEKARAQAIRALQACVAEYRSAADSLPPSQKEEVNRECNESEQWLNNCFQLQESLPKNVDPICWSSDINEVTRVLERTCEHIMSSKSSSPKYDDATINPDQTDVPDDMQVD >KVH89620 pep supercontig:CcrdV1:scaffold_470:48026:49836:-1 gene:Ccrd_008396 transcript:KVH89620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein IFACAYKPIITHHPFSCFYNQSQTIQPSPSSFFPFDSFSWSQFSYLGSLPRNLLFCKRGGRKMEFSTFHPSWHSFFTSPLLFPYPFIPENYVHWTETPESHIYSADIPGVRKEDICVEVEDSRYLIIRTESADDTVTTAGGRTFMKKFRLPDTIDVNGISACYENGVLTVTVPRLFVRRGFYIEPADLSAVQTEVLARAA >KVH49256 pep supercontig:CcrdV1:scaffold_4700:7626:44089:-1 gene:Ccrd_025717 transcript:KVH49256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--cysteine ligase, GCS2 MAIQMLSDEEIRTRRWKSGQIAAKVVAWVKSFNETSSRSKKAAYEDQSSPVLRKSQLYSSGTVHDSRLFIWYCSYTGERKHRGKQSISLEPGGQFELSGAPVETLHQTCSEVNSHLYQ >KVI08111 pep supercontig:CcrdV1:scaffold_4701:12739:24913:1 gene:Ccrd_013520 transcript:KVI08111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MRKEAESRTFMLLETFLAIIPCYSATGTITSTIGRDVLWVHTYGGILTCYCAPSTIVEHNNKTCTEVAEAHSLCRLIKFTERTMMTMEGVVLKLPSFTQLMNPNHFTNRTSLNLRFTFPKSSSSSTASLSVPAAAPVSLGHSTRHDFEILHQKVNNGSKLVYLDNAATSQKPSAVIDAVNNYYRSYNSNVHRGIHFLSARATDEYEMARRKVAAFINASESSEIVFTRNATEAINLVAYSWGMSNLQPKDEIVLTIAEHHSAIVPWQLLSEKTDAVLKFVSLTEDEVPDTKMLQELVSEKTKLVVVHHVSNMLASVLPINEIVQWAHDVGAKVLVDACQSVPHMVVDVQNLGVDFLVASSHKFVINMLQMCGPTGVGFLFEAGTPAIGEAIGLGAAIDYLSEIGMQKIHDYEVDLANYLYESLRTVPGVRIYGPTPSATVHRAALCSFNVEGLHPTDIATFLDQQHGVAIRSGHHCAQPLHRYLGINASARASLYFYNTKEDVDDFVRGLIDTIDFFSSFK >KVH92100 pep supercontig:CcrdV1:scaffold_4705:34755:37704:1 gene:Ccrd_005869 transcript:KVH92100 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MMEIKSVSHRQSAMVLTTSIVVASILFILFKLATRPKSNKNLLPEPWRLPIIGHMHHLIGTMPHRGVMDLSRKYGSLMHLQLGEVSTIVVSSPKWAKEILTTYDITFANRPETLTGEIIAYHNTDIVLAPYGEYWRQLRKLCTLELLSVKKVKSFQSLREEECWNLVQEVKASGSGRPVDLSENIFKMIATILSRAAFGKGIKDQKEFTEIVKEILRQTGGFDVADIFPSKKFLHHLSGKRARLTSIHKKLDNLINNIVAEHPGNTSSKSNETLLDDMFGAGTDTSSATVEWAISELIRCPRAMEKVQAELRQALKGKDKIKEEDIQDLSYLDLVIKETLRLHPPLPLVMPRECRQPVNLAGYNIADKTKLIVNVFAINRDPEYWKDAESFIPERFENSPTNVMGAEYEYLPFGAGRRMCPGAALGLANVLLPLATILYHFNWKLPNGASHEQLDMTESFGATVQRKTHLVLVPSF >KVI03141 pep supercontig:CcrdV1:scaffold_4707:4525:7923:-1 gene:Ccrd_018564 transcript:KVI03141 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEMFTSSTSQPQQYFFNDNFYANGWANNGKSQTMYTDGDNGNGQGEVKDGGDSPLFRSFMESHIHQQQAPKLEDFLGGDINININTTTATATAASHPFRYSDGSQTETQDSSSLTHIYDGSSSVYFTEQQDLKAIATAGFPTFSTNSGSEVDDSATQMTEFVGQSIESGNELAYAQCPMNALSLGITTTAGGGTTHRSSDQKAVVAVDSAAQNNCKKINDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEDKAARAYDLAALKYWGPTATTNFPVANYATELEDMKNSTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGPTEEEAAEAYDIAAIKFRGMNAVTNFEMKRYDVEAISNSSLPIGGSAKRLKISLEAAAEQKPSLIANHHHHHHHHLQQPQYSSGNSSSSISFATIPPVSAIPYAMPFDPNYHQNFFHHLHPNNGGPPETSGSLSSVGNQMALLPPPAAEFFIWPHQSY >KVH93484 pep supercontig:CcrdV1:scaffold_4708:39041:41736:-1 gene:Ccrd_004465 transcript:KVH93484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MMNGISSGIKMSKAAAEEEETTVKGVWLSILKGLEPAEIEEVFSQKFCHHCQPLLQSRLQSVANRKRMKKLSTSSPGSPDNIPERCLESSTDSFLESSSKNGSIRTPQNTDSRTRMRYADKFSPASVDSKNSASSTESKSGRLFDEGICGLSSVEDLGFANVGRKNNFVHIERINEKNVNVLQGLELHTRVFNAEEQKKIVKSVYEFQRMGQRGRFREKTYSEPAKWMRGKGRVTIQFGCCYNYAIDKHGNPPGILRNEEVDPLPPIFKQMIKRMVRWHVLPPTCVPNSCIVNIYDEGDCIPPHIDHHDFLRPFCTISFLTECNILFGSSLKIVGPGEFAGPVSIPLPTGISITFRRMDESKIPYGYSPDPELQGLEPLVLTPSRKPVSQQKQIVTKEVSPPASKPNGGPCFVIGGGDFPPLGVGNASQQRGHKKNVFKS >KVH93483 pep supercontig:CcrdV1:scaffold_4708:18445:31515:-1 gene:Ccrd_004464 transcript:KVH93483 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MSEEINLQGDVYFGNVKGKIPHGMGRYTWSDGTVYDGNWEDGKMTGRGQISWSSGTSYVGDFSGGYLHGIGTLTNPDGSVYNGSWRLNIQNGLGRKQYSTSDVYDGCWKDGVHEGSGKYAWSNGNMYIGNWKAGTMCGRGVMKWMNGDLFDGFWLNGYRHGSGVYRFADGSYYFGTWTKGLKDGQGTFYPAGSKCPPLKKFDKKKSLISHSSSGRSERFRDLERNVSRNYSQKISFNGFFRESGRILSMRLPPEEDYTIANSAIEFSTSENTNMLSHISDEGESDLDDDSSEVWEREYMQGVLMKERIKKNAGVPSKGKQQSKRQANDVKKRSCVDIYEGHKSYFLMLNLQLGIRDHIQNCIMMLFVYLLQEGDCEYLQTLSNIVPECGSNWYNNSCVVSFEVHRYTVGKITPVPMREVRSSDFGERARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRGSELKVLLKMLPSYYDHVNDYDNTLITKFFGLHEITLRGGKKVRFIVMGNMFKTELRIHRRYDLKGSYQGRFTNKDAIDEGTTLKDLDLAYDFHMDKSLREALFKQIHLDCLFLESQQIIDYSLLLGLHFRAPEHLKALLEPPDTMLMSSTPVDDGPTSSSGDLSIPPKGLLLVTHEPSSVNTAPGPHIRGNTLRAFSIGDKEVDLLLPGTARLRVQLGVNMPAQANRKVSEDVLGSADVELFEVYDVVLYLGIIDILQDYSMRKKVEHAYKSVRYDSASISVADPQFYSKRFVKFLEKSSFPVPRSIPRAIIDPAKNSRFFVAPSKSHGYMLVLPNFSYISGNVIPEFSDLSLIATDFSHIFGNVVLEFSDSSLIATDFSHISGNVVPEFKFKSHCYRLDPHF >KVH89216 pep supercontig:CcrdV1:scaffold_471:63883:65265:-1 gene:Ccrd_008795 transcript:KVH89216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MAISPRKLRYDVYSYSYQNDSNTPLVISVLASLINRTLARNERIGRKCVAGMSGSKTMVFDCHETPDMTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCRSHPEFRITGRNVHRLLITTIMLASKYVEDMNYRNSYFARVGGITTKEMNSLELDFLFLMNFKMHVNVSVFESYCCHLEREVSIGGGYHIEKTLRCAEEIKSRQRGFNQITRITL >KVH89219 pep supercontig:CcrdV1:scaffold_471:181109:181378:1 gene:Ccrd_008798 transcript:KVH89219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit MNGTGMAVNGGAPPRGSAAATAANLRRRRTGGTGGATGGTAGNMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYFVRREA >KVH89217 pep supercontig:CcrdV1:scaffold_471:119058:119481:1 gene:Ccrd_008796 transcript:KVH89217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVAGNRNFWKHPYTKRKRKDPICQ >KVH89218 pep supercontig:CcrdV1:scaffold_471:162767:165896:-1 gene:Ccrd_008797 transcript:KVH89218 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MRFKSLSDSNTPPSEPDESPTLDKGSPISVTPDFTSLLSDQILILILSNLTKAQQISSCLVCKRWSRISGGLVKSLRLLDWDFLDSGRLAHRFPNLLDVDIVQACIISPRNSGICLSNKFVSIHVNSFISDTGFIWKPHFLGAGLIDRGVQILAQGCPNLRRLVLLGASKEGLASVANECLALQELELCSCTDMDLKGLSGFRNLQILKLIGSVDGLYDLVISDIGLTILAQGCPRLLKLELVGCEGSYDGIKAIGQCCQMMEELTLCDHRMEGGWLAALSYCTNLKTLKFQSCKFIDQSPGPDEHLGSCPTLEELHLQRCQLRDKQGLGALFLVCEAVRELVFEDCWGLHNNTFSAASICRRVTSLSLEGCSLLTMDGFDPVVLSWKELKRLKVVSCNNIKDSAMTPELATLFSVLKELKWRPDSKSILSSGLDGSGIWQKGGGSFKI >KVH89220 pep supercontig:CcrdV1:scaffold_471:189684:219980:-1 gene:Ccrd_008799 transcript:KVH89220 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCR4-Not complex component, Not1, C-terminal MIPLAQRFSTEIRLLFESLNDSNFDSVLRELSQREESLAPLSMVPGDLKMECEMFIVVEPINIAFDGFLLILLHLLQYVDYGTEGSVLLLETCLDHFNVYEKDLKSTRLEPVVASLFRKMLEKPQFSTVFSVSVRPTAITEEFLDNLSIALQLSAYEKLGFGLALTDSENNDIRMAGRNFCMGKIEELFATHTSLHTADCVQDILLFLNKSEVLSKHVDSFMQLLSLVQFDKDSGFILAPLLSDELQDSKLLSDIDFLSEGNDDEFDAILAEMEKEMSMADMLRELGYKCTLDVSLCKDLLSSFSPLTEITVARILGTIVRFDAGLQDHENAFSTFCSALGRGSLSDMSSLNSWNTDVLIESIKQLAPGISWTTVIENLDHEGFYIPDEAAFLLLISCYRHASQSGILDAYIVLIRLMMMQDPFPLAAVCGNIWKNTEGQLSFLKCAVSVPPEVFTFAHCERQVAYVDIANNHKFQSGHANHAWLCLDLLEVLCQLAERGLANSVRLLLEHPLRNCPEVLLFGMAHVNVLSSHIVLFFCFSIKLFWLMTPYNLIQHEVSSVVLPMILKDASLGGILLHLWHVNPPFLLRALNDTLNMDADNTNRVLDIFQELKIVSPVLDMVPMYLGIRLAALASRKELVDLEKWLSMNLSTYRDTFFEECLRFLKEVEFGAHESSNRLHNSSNIWSLYMETAPVFLKVLQSHTSLLSSNQLSKEMERLHLKSTNNSSRMKNNGGPDSSTSEVYADDIETEANSYFQQMFSGVLTIDAMVQMLARFKESSEKREQSIFECMIANLFEEYKFFNRYPDRQLKLAAVLFGLLIKNQLVTHLTLGIALRAVLDALRKPADSKMFVFGTKALEKFVDRLIEWPQYCQHILQISHLRGTHSELVAFIERALARISSGHPESDAGHNPAADQHHNSIPQANIEMAASSFPLIGSGGGQLGSQIPSPIQLQQRNQSYLDERHRASVTSSNYMKPNLTNAGQVSVAPASEPPQSVVSSSAALASSPAFVRPSRGSSARFGSALNIETLVAAAERRETPIEAPPSETQDKISFIINNLSAANIEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLEKANSKPLNREIVQATYENCKVLLGSELIKSSSEERSLLKNLGGWLGKITIGRNHVLRAKEIDPKALIIEAYEKGLMIAVIPFTSKILEACQSSLAYQPPNPWTMGILGLLAEIYAMPNLKMNLKFEIEVLFKNLNVDLKEVTPTSLLMDRVREIEGNPDFSNKDVGSAQQPMVGEVKSSMISTLNQVELPLEVASSSHPGSHSRILSQYAAPLHLPAATLSEDEKMAALGLSDQLPSAQGLLQAQLPFSVGQLPASNIDQQVIVNPKLQALGLHLHFQRFYPLLTLWLVNKIIVLPMSMDRAIKEIVSSIVQRSVSIATQTTKELVLKDYAMESDESRIHSAAHLMVASLAGSLAHVTCKEPLRGSISSQLRNNLQTFNIASELFEHAIQLATNDNLDLGCALIEQAATEKAVQTIDGELAPQLSIRRKHREGVGPAFFDASLYTQGHVGVLPEALRPKPGRLSHSQQRVYEDFVRLPWQNQSNQNSNAVPLGPSAPQGSGALHRGYGSASGQLNPGIFSSSLGNSGINAVAHSLDPEDIEPSSVKLLRCVGIWSSFMFPIYVIGQMVFLYSCLTNSRNSYSLTFTSFIISFSISSASSVHSGMAGGIGPHNFENEAVLSSFSSVSAPELHITESSNVTKESGAYAQPLPSPSAAASDRIISTTAEPSLTTGDALDKYQIISEKLESLIANDAKETEIQSIIAEVPGVILKCISRDEAALAVAQKVFKGLYENAANAAHVGAHLAMLAAIRDVSKLVVKELTKVATEFAISLIQTLVASDARVISELHNLVDALAKLATRPDAPEALQQLVEIARNPAASSGFSAGKDDLIRQPKDKKVANHPVANRDDSTALESSEPDPAGFHEQVSMLFAEWYRIYELPGVNDAISARFVLQLQQNGLLKADDTSDRFFRRLLDVAVSHCISSEVINSNSLQSHQQAQSLSFLAIDMYASLVFSILKFSPVDHGLSKLSLLSKVLAVTVRFIQKDAEEKKTSFNPRPYFRLFIDWLLDLSTLDPVFEGANFQVLTALATSFHALQPLKVPAFRLVRFLYKGTLRVLLVLLHDFPEFLCDYHFSFCDIIPPSCIQMRNIVLSAFPRNMRLPDPQSPRILSEVDAALKAKQMKSDVDEYLKTRPQGTSFLSELKQKLLLSPNEAARAGTRYNVPLMNSLVLYVGMQAIQQLQARTPHGQSMASNASLAIFLVGAALDIFQTLILELETEGRYLFLNAVANQLRYPNNHTHYFSFILLYLFSESNQEAIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWSRSFTRCAPEIEKLFESVSRSCGGPKPVDDNVVADTMH >KVH49093 pep supercontig:CcrdV1:scaffold_4710:36659:37220:1 gene:Ccrd_025718 transcript:KVH49093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPQPFDQLLLIHLINYYCSSYQLLSLFPTILFHMIESQNVPTVLFLLIVFVCLKFQQQLVVFVCLQFQQQLFLHQEMEV >KVI00868 pep supercontig:CcrdV1:scaffold_4711:41115:41493:-1 gene:Ccrd_020878 transcript:KVI00868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENVADHLADPVANNAWSYATNFVPGK >KVI00867 pep supercontig:CcrdV1:scaffold_4711:41683:42443:-1 gene:Ccrd_020879 transcript:KVI00867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MLGALGCVFPELLARNGVKFGEAVWFKGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVTSDAPGNGRVSMRKTAAKQVASGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCR >KVI00865 pep supercontig:CcrdV1:scaffold_4711:15423:40174:1 gene:Ccrd_020875 transcript:KVI00865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MLGALGCVFPELLARNGVKFGEAVWFKSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGNSTMAASTMALSSLFTGQAVKVAPSGSELSGNGRVSMRKTAAKQVASGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNACAYQQQLFLAEICICLKLCSLFSFNEQLLDMLAMKAAPSGSVLFGNGRVSMRKTAAKQVTSGSPCEAPRYLTDEFPDDYGWDTAGLSEDPETFAKNCELEVIHCRWAMLGALGCIFPELLARNGVKFGEAVWFKAGAQIARAGLTTWATQSILAIWATQVILMGVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYAINFVPGKQQPSKLPLEAHGMAQTVSKYLGPFSGEAPSYLTSEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVNPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFIQAIVTGKGPLENLADHLSDPVANNAWSFNNSLHPSSTMAATSMALSSPFAGQAVKVAPSGSELSGNGRVSMRKTAAKQVASGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHVADPVANNAWSYATNFVPGKSLMETESMEQISNPLIYSTNILTQLKDGKNGSNSTMAATSMALSSPFTGQAVKAAPSGSELFGNGRVSMRKTAAKQVASGSPWYGPDRVKYLGPFSGEAPTYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFVPGNLLPGNITSYCGSGFYELKPFMPHLLAAA >KVI00866 pep supercontig:CcrdV1:scaffold_4711:15110:15394:1 gene:Ccrd_020874 transcript:KVI00866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a/b binding protein domain-containing protein MAASTMALSSPFAGQAMKAAPTGSELLGNGRVFMRKTAAKQVASGSPWYGPDRVKYLGPFSGEAPSYLTGEFPDDYGWDTAGLFADPETFANKP >KVH49047 pep supercontig:CcrdV1:scaffold_4712:22098:31443:1 gene:Ccrd_025719 transcript:KVH49047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MTIHSAVIQRLLSTNAHLARRAAENHFKIYTYGARNGMTIIDSDKTLVCLRSACNFIGNLVRENGRFLFVNTNPLLDEIIEQMIKNTGCRNDNSWRLGGFLTNSLSPKKFRSRNKKLNLTSVYQPDCVVIFDTERKSSVILEASRLVEPSMPLETYKKITYPVPANDSVQFVYLFCNMITKTIQYEQKKLATAKGKVSKEEETKTRSLEDVGASGQTGGYQDQLRMKGQRYPCP >KVI08011 pep supercontig:CcrdV1:scaffold_4715:21249:24161:1 gene:Ccrd_013623 transcript:KVI08011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAFYSTPFAALHHNNLCLQNPLILPLNPRSFSHHHHRFSTITKYVNSSKAQIIDQTVSNSVPENDLMLKDVLTILHSMDLTNPDECVQSYAVILRNCRKIHNLQLGLQVHGRMIVSGVELCEFLGSQLLEFYCKVSCIDGTRKLFDKMTERNVFSWTSVIGLYCEQGDYEETINLFYLMIDEGVRPDHFVFPKVFKACAQLKDYRAGKDVYDYMMSIGFEGNNCVKRSFLDMFIKCGRIDIARRLFEQMSSNDVIMWNMMVSGYVSKRDFKRALRYVDQMRLKGVIPDRVTWNTILSGYAQVGQFKEAAKYFSEMGGFGELEPNIVSWTALITGNLQNGYPFQALNIFRKMVTKGVKPNSTTISSVISACANLSLEKHGKEIHGYCIKTEELDSSLFVGNSLIDFYSKCQNAGDGARTNFNRIKQKDLVSWNSILAAYAIKGNRDDAIKFLYDMELQGVVPDIVTWNGLITGFTQYGDGKTALEFFSRMCKLGVYPNTTSISGALASCAQIKDLNLGKEIHNYTIRNNIEMGTGVGSALIAMYSGCDHLEAAYAVFNGISTKDVVIWNSLIAASGKSGFGVGALDLLREMKLNAVEPDSVTMISTLSVCSKLAALRQGREIHQYIIRNGLDSSNFVCNALIDMYGRCGSLNKSHQVFESLVAQQRDNVSWNVMIAAYGMHGFGMEALNLFHRMTAQEGLKPNHVTFTNLLSACSHSGLTNEGQACFKMMEQEYAMEPDMEQYACMIDLIARSGHLSETLEFIEKMPFEPNAAIWGSLLGACRIHSNVEMAEHAAKFLFELEPESSGSYILLANIYATLGRWEDAARIRCLMKERGVTKTPGCSWIEVGRKVYSFVVGDTSHPQVLAKMESLYSKIKEKGYVPDTTFVLQNMEEAEKEMMLCGHSEKLALGFGLISTSGSPLRIIKNLRVCGDCHSVMKYISAVERREIIMRDNYRFHHFVDGVCSCGDYW >KVH48970 pep supercontig:CcrdV1:scaffold_4716:454:14928:1 gene:Ccrd_025720 transcript:KVH48970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSPFARNPNHLLHNPSHNLSTLVNPRKPSSQLPSKQTKIKERSQIVDDFKRAKTSEEMIKAFESMNAFFDHHELGLASCKSDSNSMKKFLLFCNIDFFLGMGCGRGTTTLLLPMLYVIYRFDHINAGTLECFVIDY >KVI03068 pep supercontig:CcrdV1:scaffold_472:149919:168011:-1 gene:Ccrd_018641 transcript:KVI03068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, ZPR1-type METSKDSTIMDVRSVVEAVSTDDDDAPVYQVESLCMRCGENGTTRFLLTLIPHFRKILLSAFECPHCDERNNEVQFAGEIQPRGSCYRLEFSSGDQKMLNRQVVKSETATIKIPQLDFEIPPESQRGSLSTVEGILVRGVDELQALQEERKKVDPQTAEAIDEFIHKLRACATGNSSFTFILDDPAGNSFIENPYAPSPDPSLTIDFYERTPEQQALLGYLVDQSQTTEHGNDASSNNQTSQPHGSVGAVAGRRAIAQGNNAEFAEALFRYTAPEEVMTFPSTCGACAVRCETRMFVTKIPYFQEVIVMASTCDACGYRNSELKAGGAIPAKGKRVTLLVKHVEDLSRDVIKSDTASVKIPEIDLELAGGTLGGLVTTVEGLITKISESLERVHGFTFGDSLDEDRRSRWLDFRARLVKLLSIEEPWTLVLDDAMANSFIAPVTDDIKDDHQLTFNEYERSWEQNEELGLNDMDTSSADAAYASTNAS >KVI03066 pep supercontig:CcrdV1:scaffold_472:85590:88626:-1 gene:Ccrd_018639 transcript:KVI03066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MGSGSSQEADTQHGEPETHHNAKNTVKTTNTHLNNSLPHDCDAILKDADTVIDKSSNDQLYAGVFLNKKRXVRLKYWVDKTSYGNCFLVFARDLSITWAEDERYWHWPCVKETSEVSVDVAEMLNVCWLEVHGKFDMAKLTPGMKYEVVFLVMLKHPAYGWEVPINIRLVLPDGNKQERKESLMEKPRSNWFEIMVGEFMVEAKKGGCVEFSLYEYEGGAWKRGLLVKGASIRPKY >KVI03069 pep supercontig:CcrdV1:scaffold_472:108624:112130:-1 gene:Ccrd_018640 transcript:KVI03069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H2, subunit C MEGENASMETGIIDLGSVRSSSSVDLTDQVHQLPCCIKYNGPSPVSHYFKPKPNGIEVDGLNVKEAYFRGRRLQGTTVPLPDDYSGFVIGRKSSAKRKACNNDANTTYWQMNAKFENITLWNHDNLPSKEDGFLRAFHWLHVAKALHKPVTTEDLESASNPGAMH >KVI03065 pep supercontig:CcrdV1:scaffold_472:73590:85228:1 gene:Ccrd_018638 transcript:KVI03065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MATHLLSSSSSRICSSSFNQIPNSIHAFIHPVKPYNFTSNSQYHKRCCTFTKLACLCSKSRLLCQSTTTKAVISEIPYQNQKYSKIGALSVGPIPSAKLLESVEIAAKTGAEVVMEAVNKPRNISYKGLTDLVTDTDKMSEAAILSVVRKNFPDHLILGEEGGVIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAAAVVEFVGGAMCWNTRIFSAVAGGGAFCNGQKIHVSQTDKVERSLLVTGFGYEHDDPWATNMNLFKEFTDISRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLVMLLILEICFKKSSLCSLLEKIGIATEELKGKGIDFSLWYKPDDYNTEL >KVI03067 pep supercontig:CcrdV1:scaffold_472:25195:26515:1 gene:Ccrd_018637 transcript:KVI03067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYVDFDNLRTLEAEQQAPNCKGVDIEEETRRTWMDKMPLTSVKEQNEGNNRDIVLKQLSKMMEVCKAQGFVYGIITENGKPVSGASENLRAWWKEGVRFDENAIAVINNHQAENSNDDGIQGEQTIVGNQNHADGGLVYSLNSSILVPKIKQPFDGLEGQKLKQQAVIIQEQTYPSNYSPSFDNDFCVGFQDGQSRISHQMNYDPYHEMINEPFQHLSDPSEGNPGGSTLYMGKTNIEKEPIYTMPHQPNIATSGTQP >KVI06756 pep supercontig:CcrdV1:scaffold_4722:489:8890:-1 gene:Ccrd_014889 transcript:KVI06756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MLVGRDLGLPNLVVLVVVFLIVPAIGFVIRRKWLHAEARREEIKRLLVLASEEAARAEIEATEGYFYTANTSSSVPAPDSAWIPAPDSAWVPTPESARIPPTTSVPLVSALKPPYQCAVCFSPTSTRCAKCKAVRYCSGRCQIVHWRQGHKDQCRPYVAVNPIKDVSGSSKQGNDKDSDDNLPGENFHEGMHHKDDAGIQSHAHRPETRSIFQSASESSCEEFSTFSTPNKSSTEASSDSSGDSDRMDAHQFVDAVSGNSVTVKSGHQSLVSPGRKSTGSRKINQNKSNLSDEDTQSRVSSSSSRGDGGSNGSSFSEPSTTSSGFWSGVIHSKKSSIDERDGFELSSSNGVANGNMTDSRSSLGLSSKVTTSGYNSTSMKGVAVTSTVLDNSAPNGLGSKKSNEEPTSSNELLMDGLKSTRQPKYTPEMLKHTDVVVDSDLPRSMFKEAKVSPSGAGSQYASGAGEHSTIKYSKAFGGMPSASLERSNHVFNNKSSISPASESIRVRSSLSKASDTHLTSSTSRPVSQSPKPVMVHDEKNRAVACSSKLTENSESARNGLKTSMLKVVDQLKPSKLSRHCSVRAESETAHRYSCKVLFSYEMFVKLYNWKKVEMQPFGLINCGNSCYANAVLQCLTYTPPLNAYFLEGFHSKTCDKREWCFACEFEGLVLKAKDGTSPLSPIRILSQIENIGSNLGHGREEDAHEFLRYAIDTLQSVCLKEAGTNSSNPLEEETTLIGLTFGGYLRSKIKCMKCGGKSERHERMMDLTVEIEGDIRTLEEALDKFTCNEILDGENKYKCSRCKSYEKAKKKLTLLEAPNVLTIALKRFQSGKFGKLNKPIHFPEILDMAPYVSGTSDKLPIYRLYGVVVHVDVMNAAFSGHYVCYVKNVENRWFKIDDSRVKEVDLQSVLTKGAYMLLYARCSPRAPRLVRSLLMRHHHDPKKHKGATLFASRPQPTEAWDINQPAIRHRSLEEESSSSDSSGIFSESCSCSTESSHRDSSDHISWDWEHECWNNSSPPWRSMHLNSSDSDTSSSSSSFPSPLYSRNDHNTSREPGVLYPDDDQCRNLSCSNSCREANVDRLGGRPVNPSENSKPTLRRSTTRGK >KVH96939 pep supercontig:CcrdV1:scaffold_4726:10472:11521:-1 gene:Ccrd_000968 transcript:KVH96939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter protein 1 MAISPRSAPTLFLLLTITLLSQSRPSLFAGADNADADLLTELQSIRSRSSSGVVHLNDNLLRRIITTKPRSFSLILFFDAIQLHDKSELHLKSLKSEFFLLSKSFGINNQDSQSALSKLFFCDIEFGESQSSFAQFGVNALPHIRLLPPDASSLKSDSISMDAGDFSRLAESMAEFIESRTKLTMGEIHRPPILSKTQLGFLIVVFLISLPFMFKKLLAGETLLHDKRLWLSGSVFVYFFSVSGTMHNIIRKMPMFISDRNDPSKMIFFYQGSGMQLGAEGFAIGFLYTIVGLLLAFVTHVLVRVKNSMAQRTIMIVAMIISVWAVRKVVYLDNWKTGYAVHAYLPSTW >KVI06501 pep supercontig:CcrdV1:scaffold_4727:2405:6392:-1 gene:Ccrd_015150 transcript:KVI06501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKKFIDKKKAATFQLISRDSSDPNYSEGPSGDRVFVRVDGNSEKAFFDEHDGELNEEEDPNGIFDDAPEDNSGEEMGGQGESFEKQTTGGRLGGGSSLPDHVRREILELGFPDDGYNYLFHLREIRNGGGGSSFYQNPKVRLDQLPRDVKAYDASRIDVSKANDDDSYQKSIYGVAVKTVPVRIQKAIDPEVAALLDDSGSSRFGSDVEDLEEDFVFNANLADESEDVALDKKLCLAEESNVNSKEEEYDTYHSHDNVLVGSETLVVGKPRESRPLDEQFDLLELQEYGTDSEDEYDRALSEDYECHDSLAVKLNHDSLDRAIDSLEMDGKYKVLLHDKKLAEEPISLETASDLIPRCIQYAKEQYENENDKEEVILEESSDESETWDCETIITTYSNLDNHPAKIEAPGGRRKKKLTETVTKAFTAPTHVISLKGKEKLPVDFLPHSRTAATEAAKDRSKPKIVQPQRKKLGQETKEEKKERKAAVKEEKREARRAKKDLKELYKSEAQHAQKVAAFTGPSSIHLM >KVH93884 pep supercontig:CcrdV1:scaffold_473:95393:107645:-1 gene:Ccrd_004053 transcript:KVH93884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein METGRPLQRLCRVKIQRLLVLVISLVVVFVVVAQLIAFPYKNYALIVSPLNKGVLVHDVAIYDNASITFELALNDSKVEAGCKGYDCDHGSLVSQIAAKQNGSDQTVSVASESTRLSYMISEGKKPIFHDPKLRGIYASEGWFMKLIEKSRNFITKDPRKAHLFYLPFSSLKLRNSSQEQHPRNRKDLEQHLKNYVDLIAGKYPFWNRTNGADHFLVACHDWAMKLTKDPMGSCIRSLCNSNLAGGFKIGKDTTLPATYIRSAEDPVKDLGGNPPSERPILAFFAGGMHGNLRPILIQHWHDKDPDMKIFGPMARDIESKASYRTYMKSSKYCICARGYEVFSPRIVESIYFECVPVIISDNYVPPFFEFLDWGAFSVFVLEKDVANLSDILRSISGEKYLEMQKKVKMVQQHFIWHKKPNEEIPNSISNCSSDSEETKMAFNGDLSNNLGDGGSVNGGITIENVASKVVNLGGNGSGVGLRTRILPINEKYALFVSDDRKRMRCLMPPKSVMYKDQMDRLLVRHRRSSRAMRPRWSSARDQDILASKARITSAPSQTRTLKVYVYKDGEKPIFHQPILKGLYASEGWFMKLMEGNKQFVVKDPRKAHLFYMPFSARMLEHTLYVPLCNADVTAGFKIGRDVSLPECYVRSARNPLRDLGGKPASERHIFAFYAGNMHGYLRKILLEYWNNKDADMKISGPMPPGVASKMNYIEHMKSSKYCICPKGYEVNSPRVVESIFYECVPVIISDNFVPPFFEVLDWEAFSVIVAEKDIPELKKILAAITDERYRELQNGVKKVQKHFLWHVKPQKYDLFHMILHSIWYNRVFQIKPR >KVH93894 pep supercontig:CcrdV1:scaffold_473:178325:185687:1 gene:Ccrd_004060 transcript:KVH93894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MTPVIPSSTSSISLIPGCTFDTKTTNRLIRCCNLRRSSRRTLYAQDCVLPLSTSLRLFPHIRTFGSHHKPRFGIASATGVDVDVAVEQADQPDSAVSEQDSVQTSETTASVDKSNAEESAAKSKQRSRPARKSEMPPVKDEELVPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSDGYVKDVADVVSLGQEVKVKLVEANIETGRIALTMRESEPASGGDTSRPPQRTGQKSNQKRTEGRKTTKFVKGQDLEGTVKNKTRSGAFITLPEGEEGFLPASEEADEGFGSIMGDGSSLEIGQEVKVRVLRIARGQVTLTMKKDEDSKALDSKLQGTVFTATNPFLVAFRRNKDIASFLDEREKVEDSTEISSVDQVDQESKEMVELKSDGDSDETVSSSVLVEDEKVETENESDSSGTDPQASIEETEITSPEPDEDENVSITNEEQVQVPEETSGITSSSEQVDTPIIQENVIEDEKVEPVSDEITPEEQVAIPEETSSITSSNEQVDAPVIEDVAEGGDEKIEPVSEETISVTSEEQVEIPEEANSITSSVEQVDAPVIQENVIEETQVGEVIESQIEEMETKVEVDAEIPVATPIEDGNDVAATEQNGEASAPSPVEAATKGMMDCKRALSETEGDLVKAQEYLRKKGLASADKKASRATAEGRIGSYVHDSRIGVLIEVNCETDFVSRGDIFKELVNDLAMQVAACPQVQVLVPEDVPEETIQKEKEIEMQKEDLLSKPEQFRAKIVEGRIKKRLDELALLEQAYIKNDKVVVKDFVKSTIATIGENIKVNRFVRFNLGEGLEKRTQDFAAEVAAQTSAKKVSAPTTEQQPAPTEAVGLNGFATNAFSLFRAPKAVISAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDARIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPKVEYVSIEDIPEHIVSKEKELEMQREDILSKPENIREKIVEGRVSKRLGELTLLEQAYLKDDSVLVKDFVKQTVAAIGENIKVRRFVRFTLGESSESKSEESSES >KVH93888 pep supercontig:CcrdV1:scaffold_473:229046:229273:1 gene:Ccrd_004065 transcript:KVH93888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Redoxin MKKSYAKTKNVKFLGVGAAKYTHALGMVLDLSKKVLGVCSRRFALLVDDLKVVAANVEAGEEFTVSSANDILAAF >KVH93878 pep supercontig:CcrdV1:scaffold_473:149810:151436:-1 gene:Ccrd_004059 transcript:KVH93878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFGDSGGNERSSSAGGSSSRKGKKTGSDKPKQPQRGLGVAQLEKIRLHSQMGFLPQEDIRIQTAYSSSSSFSYSSPSTASFSTLQGHQSHMESFRKKKGKDQNDSMGSSSQNSDSNGSQELDLELRLSL >KVH93879 pep supercontig:CcrdV1:scaffold_473:135268:138008:-1 gene:Ccrd_004058 transcript:KVH93879 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MASIIKLSVFLLPLLFASLVLAHTNPSNDPNYITDDGNEPCTPGASPPGDGAGPNKDCPNKNKGQGDCPNKNKGQGGGGAAPADGKGGAGKGAIYGGNDVKASFFGPPLYQQPPLESKYMGEWKIHNPNAGVSAMQYQLMPNNRAVWFDTTNLGPSALELGPKGNCPPNPDTNNEPDCFAHAVSYDIESGVTTPVYLVPGWYTDTNIRTDPWCSSGHLLPNGDLMSTGGNKMGFRSVRILSGNTPTPKFEERENALGANRCCDLINTSSWLNGSRYRYPSNCVLEDGSAVVVGGRDAYSYDLVPPQVDGKTQLFDFPFLKQTTDPPVIQGFYVENNLYPFVFLLPDGNVFIFANDRAIAFDPKTGKTVKEFPPLEGTSRNYPSSGMSALFPLRLSPKFDQPINVEVVVCGGNSHDAINQVDKKYTQNPVFVPANADCNRIKVMADKPVWEKEQDMPTPRTMGDLLQLPNGQFLLINGAKKGCSGWEDGEDPNLTPTVYMPEKEMGKRFKELQPTTIPRMYHSVSSVLPDGKILVAGSNAHQFYTFDGPYYPTELRVEKFSPHYLDPTFDKERPVINFGTDIVLKYGKPFKVTFTMKPDKQLGYGDIIVTLLYPPFTTHGFSQNQRMIILGVEGVDKNVVSAVAPPSGKIAPPGYYLLFVNNRSVPSAGIWVHLD >KVH93889 pep supercontig:CcrdV1:scaffold_473:3649:20120:1 gene:Ccrd_004049 transcript:KVH93889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccharyl transferase, STT3 subunit MAVPVDTITKPTPASSTSTLRFAFGNVLAFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWKCVSGDDLVLQSLNIPLSVETVCVFTAPVFSAFAAWATYLLTKEVKGTGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSISYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLGGMLRCSSIVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASAFVILYLVMSVYFSGVMVRLMLVLAPAACIMSGIALSEAFDVFTRSIKFYISSLSESLQTGVRDIVSGNNASPQNDVIKTDKREDSLKERPSRKNKKKDKEVVEKPSVKSKIEKRPLVLPVEASFFALFLTVLLGAFYVVHSVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTEIDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDNQATPTMLNSLMYKLSYFRFVETDGGKGYDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPQKNRIRGKTTKKSKSCLKSKGFVANCEGGGEEDIVNFLNHTTKRNH >KVH93887 pep supercontig:CcrdV1:scaffold_473:22183:27226:-1 gene:Ccrd_004050 transcript:KVH93887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30, ferredoxin-like fold domain-containing protein MADEEPKPLNYVSEIVLKKRKSNEDWANRRKEQLEQRVKKSKRDNFVIKKPEQFIREYRDKESDLIKMKHRGKRAIKASMFPHSKLLFVIRIQGSVTNDDICEIVVLVYPNLKSVNELIYKKGLAKVNKQIFPLTDNNIIEQALSEHGIICIEDIVKEIANVGPHFKEVCNFLCPFSLNKPEKALQGKKRPFRDGGDSGNREDQINELIGKMN >KVH93895 pep supercontig:CcrdV1:scaffold_473:191832:196802:1 gene:Ccrd_004061 transcript:KVH93895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDNVLQTSPMQQLLAVAAAGNNPNRRWSQLTATTPPPSNHLAKVSPPPHFFLPQNEPPPSLLSWHHDHHNNQEFQDSSLSQLLMSGLVGDEEDDKLGLTQMQKVKKLENWEEQVLHDDDRKLSANGGSSMVVKQEASFDRYGYGEDDDEFGGVKPANWSDQMIQSLCPNSCLITRNKTDGRSAADHGSSESNCSGSGGSSKKAKVEPPSSTQSTFKDCDEEPRKNLKSVGLCLVPVSCTMQVGSDNGADYWAPANNLQL >KVH93883 pep supercontig:CcrdV1:scaffold_473:119141:120436:1 gene:Ccrd_004054 transcript:KVH93883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNFPATRLPEFLGIPVTQQNKLDLIMTSVIILIIISSHPTNSQSLSSSPTTAVSADTSNSPDSVTNFQPSLAVVIGVLAIMFILTFCLLIYAKCCHRASSPRYLNQENFGDQLPRSLSRVSGVDKTVIESLPFFRFSTLKGWRNGLECSICLSKFEDIEILRLLPKCKHAFHIDCVDRWLEKHSGCPLCRCKVSEEDAALFAYSSSLRFLSNQSDRHRAHEGSNLELFIEREGSARFGSRRSFSKLDHEQREMKNQEILEGDHDQDQETLHKFNHRIIISDYDQHGLMMKNRWSNMSPSDLLFLKSEMITSLSSNILDDHHHHHEMITSISSNILDHQPIKIKEEIKRKREFEMKIKRFPGGNSDIEPKSTKLTEKRSMSEIIVHPRFLDVESSNHKEERLKRLWLPIARRTVQWFASRDQRSQINTQSQV >KVH93885 pep supercontig:CcrdV1:scaffold_473:92977:94453:1 gene:Ccrd_004052 transcript:KVH93885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24e, conserved site-containing protein MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLTENTLKAIKTIDKVRSRRGEMHHKNRMKGKAAKERREARKEHDQQLHMVVAPGATLGSLAKDATATPAKAKVKVQQESEENRMEE >KVH93881 pep supercontig:CcrdV1:scaffold_473:126676:127083:1 gene:Ccrd_004056 transcript:KVH93881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0546 MGGGDVEKMVAVGLIWGATNALMRRGALICDQQILKQKSSSIKTHKSPVVKTLIDWFNLLLVWQYSVPFLVNLSASAAFFSILSDTPISLAVPVTNATTFAATAVFGMLLGEETRVGLTLFGTFFIVLGVYVCVV >KVH93886 pep supercontig:CcrdV1:scaffold_473:76587:77393:-1 gene:Ccrd_004051 transcript:KVH93886 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVEAPNSGTAFSDPFAKGTGLTEPDAKRMKRNADDDGGNSTSNNNSKHPVYRGVRKRAWGKWVSEIREPKKKSRIWLGTFSNPEMAARAHDVAALSIKGKTAILNFPELADLLPRPESCSPRDIQAAATKAASMEQLNPTTIATTTTTTATPPSTPSTSSSSSYSVGSTLTSSSSTASLVTSEEVSTGTPPAEELSEIVELPSLGTSYDSAESRDDFVFVDSVWDYYSSPPWPEDYDDVGYFGGDPPSSSGSLLSSSGFFFDTLLWQN >KVH93891 pep supercontig:CcrdV1:scaffold_473:223853:225152:1 gene:Ccrd_004064 transcript:KVH93891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGETIPDGTLAYFDEQDQLQQVSVHSLASGKKVVLFGVPGAFTPTCSKRPFCDEGMEKFLGDGSAKYTHALGLELDLSEKGLGVRSRRFALLVDDLKVVVANIESGGEFTVSSADDILAAL >KVH93880 pep supercontig:CcrdV1:scaffold_473:127779:128915:-1 gene:Ccrd_004057 transcript:KVH93880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MAGFQALAMAVFVSAIAFHSSVVDGKFSKSMYFNWGAHHSSILGNGDELRLVLDKTSVFKNYQSEGIAYPSQQGMRVYSSLWNADNWATRGGLVKIDWTAAPFVANYRRFRARACKWDGPVSVSLCGSTTPANWWTSPAYKQLTISQQGQLKWARDNHMIYNYCTDYKRFNGQMPPECSKPQY >KVH93893 pep supercontig:CcrdV1:scaffold_473:213288:219900:1 gene:Ccrd_004063 transcript:KVH93893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEEIESQIGGGNHTPPKDFVCPITTLVFSDPVTLETGQTYERKAIQKWIERGNSTCPVTHQKLHSVQLPKTNYVLKRLISSWKELIRRNDGFTESQFRNPENKNENNIPQLISPKSVISRATIDGTINELRVVITDLCTSQVLEKAEMAVLRIERFWKEVNMEVEIYNMLSKPPVINGFVEILFNSVNTQVLVTTVFLLSELGSRDGSVISTLTRVYSDMECIVALFKKGLFEAVVLIYLLKPSISSFLQMDMANALLNAVQKKDNEFLTMCVKPKAASVLLLSQIIASEDDHGAVSEVIRTLISGKAIECIIRSLQSDWTDERIAAMRILLRCIQKDGKCRNMIADKAELAPVLETFLEANDGERMKVLLVQCIHSSYIYKPLSPINAQLLRAEPRKMSIYREEAIDNLISCLKNSDTPRAQIAAAETLLALQGRFSYSGKPLVRKFLLKRVGLDKTDRSMRKEQLAGVSGDVQETMMAFVLVNHDVGLIFEALSEGLKSRYVEVCSACFVTATWLLHMLTLLPDTGVQGAARACLLKRFVSIFKSAKDTEDKALSMLALSSFIHDPELVQEDCGINGAAISIVFCEDKIFSGHSDGMIKTTKIWTLKGSILRLTHETREHTKACLALVNGRLYSGCMDNSIQVPKWFMISDFLSALNLVIIDLENPFQEIDLATGTLTSIQNGTRKLLAKANRVNVLQSVSLTSEVRTMAISSEFIYFGCKMGIVEVWYRNKVARKETLQTGTNSKVVCMDLNSNEDVLVIGTSDGKIHVWGLN >KVH93890 pep supercontig:CcrdV1:scaffold_473:235855:236723:1 gene:Ccrd_004066 transcript:KVH93890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Redoxin MPSIAVGEMLPDGTLTYFNEIGNLKPVSIHALAAGKKVVVLGVVGAFTPRCSWLHVQGFIDRYEELKAKGIEDILVISVNDAFTMKAWKSWYPDTKNMKFLGDGSAKYTYTLGMELDLSNNGLGVRSRRYALVVDDLEIVIAKVESGGDYTVARVFDILAAL >KVH93892 pep supercontig:CcrdV1:scaffold_473:208191:211847:1 gene:Ccrd_004062 transcript:KVH93892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVFLIFGWFLCFLVNKGEGMISKSLLKEIDSVNEGGPYIGIVVPNAFEMNPLLQSSSFVANQKRPYLDVSGRRFRLGTLEDQKIIIVMTGLGMLNAGITTQLLLSLFNVKGVLHFGIAGNANTELEVGDVAIPKFWAHMGLWSWQRYGEGPESELPLESNGDYTRTIGYLKFSDYNNDTTTKEKRHKDNLLNRVWYQPEEVFPIDTYPESRQHAFWVPVDKHYFSLSKQLEGMKLEKCINATTCLPRAPKVARVERGVSANVFIDNGAYRKFLSTKFNVTPIDMESAAVALICHQQKIPFIAIRALSDLAGGGSAISNEASSFAPLAAQNAVAALIKL >KVH93882 pep supercontig:CcrdV1:scaffold_473:124332:127052:-1 gene:Ccrd_004055 transcript:KVH93882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVPKRVNPTRVSSPSNIPNTAVAANVVALVTGTAREIGVSLRIEKKAAEADRLTRKGTEYCQTKRRLNQSMRVLTTGLLWNLLITYKRTSTHEGICGAPYEANGHHLLDISASHSAELGFCALMVAERSGKWYVDSLLIRLVKNYENEGTTYPNQQGMLSLLEFMEC >KVI01738 pep supercontig:CcrdV1:scaffold_4730:1463:1846:-1 gene:Ccrd_019983 transcript:KVI01738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein MSQSHVFLSFNSHDTGKSFTDHLHTALLNVGIQTHDTLEKSKIALVVLSKNYVLTMCCLDELVKIIAFGPVVIPIFYDVDPSEMRTHEXFEQVFDQDGLPRDDDKMKRWRHALGQVVDLGGMVLQNR >KVH93435 pep supercontig:CcrdV1:scaffold_4732:24279:24644:-1 gene:Ccrd_004512 transcript:KVH93435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKKRSEDVQGRCLDLLETLKKVKCQTRVQTLKELRRVVSAHESAKKTVVDNGGVSFISSLLGLFTSQSVGSEAIGILANLQLSSDSITNLLQPIKVSLMVYVLNEESTKTKINSVKLMQDK >KVH93436 pep supercontig:CcrdV1:scaffold_4732:25662:26938:1 gene:Ccrd_004513 transcript:KVH93436 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEVTSLNKMLILWVLLLTSFNTMSLGQGNGCGRQGTRVGFYRATCPRVESIVQSAVQSAVRANPTVAPGLLRMFFHDCFVNGCDASILIDGPSTEKTARPNSFLRGFEVIDAAKSQLETTCPGVVSCADILALAARDSVVQTGGRSWQVPLGRRDGLVSQASDTAALPAFNDTISVQIRKFADKGLNTQDLVTLVGGHTIGTSACALFSYRLYNFSNTNGPDPEINQAFLPQLRALCPNGGDGLRRVALDTGSVDCFDNSFYENLRNGRGVLESDAKLWSDQRTRRFVQGFLGTRGQPGSRFNNEFGRAMVKMGNTEVKTGMEGEIRRVCTKTN >KVH93437 pep supercontig:CcrdV1:scaffold_4732:7912:9169:-1 gene:Ccrd_004511 transcript:KVH93437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVAPSFPETLVQTSESSGRAIALRRIQELLVHSSDFRRRNCQSDQRCLYQGPHASTHHHHWDKVLGVGEGTLARARISAQETTPGQVVSSWDFAASITSKPLKRELGGAVFSVDGPSMRIDASQPLTKQSWKNIRSKPGATVGLARTAD >KVH98797 pep supercontig:CcrdV1:scaffold_4733:10108:10449:1 gene:Ccrd_022975 transcript:KVH98797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTSSSNFFHVEPRVRSPSNHGCAKLDGVAMWLMNGVANAFFASLQRCSCIRIATVDDQEDSNDVPLIFNDGNLRHHDHTVPAAGSSSWRKRSKGKKGMSAAFVDPEVMN >KVH48443 pep supercontig:CcrdV1:scaffold_4734:10859:11501:1 gene:Ccrd_025722 transcript:KVH48443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIPVTPKGAAETETTPEAPSTTPKAGGNQCSSAMPTKQGGIVRREFKNPITIQIPSRVSELDLYPFKPIMRI >KVH48444 pep supercontig:CcrdV1:scaffold_4734:17710:22129:-1 gene:Ccrd_025724 transcript:KVH48444 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MQMSTKEVVYTLKDDKQELQKQLGCMNGIFQLFDRRYLLGQRRHGHNQKRLPPGQSDNGEKEFSNESEQAKEKNPKVVTKEKHRVSIESSRNSFSSSSSSTALSSLDCSKRVQTEQSSSCQSVVSEPYSSPSLHRKPPDLFIQSPDIRDVVRDSMTREPRVVSIKTAAKEERAGPAMKYVDSPRPFPYQKPIQYDGNNQIAKLRGIPWAVKEVKEISRFSCDERESRYLLKSNMKVKELPRLSLDSKQSFISNSVNEPRRSLQIRQIQGGGDTKSPASQTHESRSNKRPSSSVVARLMGLETLTASIDESEALKTKPCLSEELVSDSRSSRKEELKHDRNSTSPRVGRNQISRQKGVSNVITRIPMETAPWKQEGSARGSQKPVKNKEAQAKTEAASPSVYGEIEKRLTEHGFKTAGKDLRALKQILEAMQKTRKRLEDKDHALDNSSIDHRQNQKSDQPVSPTIKGINPPRKLEFLNGNAKPAKLTSDSIMITDIQTVKNAEAKKDSVGRQRLIDPTLRDRKATGRPLSISNFPEYLPGEKSTSSRYSGTLSPRMQRSKNGIDKPSIHGPSSDWSRTKKQPSMQRTQLGSTTRQLKAKSMDPLQESEQLCGCNSKKRNFSQQSDTVSFQSESNSQASQNDSEVMSTEWIQEVDSAFRPKENRRGNNAERLTEDRPMADHAKHTMEQPSPVSVLDAFYTEDTPSPVKKKSNAFNDDENLRFEEPQDHAKIYDLANSTNLDQYCEFNSVKLANINSLVHKIELLNTNAEAATVNHTATSPCKSETGDHYYVKEILFASGFLKDLDRTTTLVHIPLTGNLINHELFHVLEKTKGHTDLADDEYHKKNTHSKSNEKIRRKMVFDTVNDVLGHKLAMLGPFWPWTNKHNGRILNGDKLLKELCSEIDRLQNNSETGIYDEDDEVINIINADVNKRSQAWDEHCYEVPGLVLDIERLIFKDLVSEVVNAQITSLQDWPTRHRRQLFPV >KVH48448 pep supercontig:CcrdV1:scaffold_4734:34763:39831:1 gene:Ccrd_025726 transcript:KVH48448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain-containing protein MTTVVPTSEEDPALSVVRFTAEISWAEAGPEVAEPQVTSLCMEAQECMIAGRWLDLASLMLTSADLVFSKASEKDLECIFTVICNLVKKPESLDESLEMAKLLSTKIAQQPHEKPALRLKILFNLYNMLENPYGRFIVYMKALDVAANGKGMEHIVPSFKKMDTLLKEWNLGLKDQRELFLAISNILKEHKSSAKENIKFLTKYLATFSGEDAHTMEEAKEEAAYTIIEFVKAPDMFQCDLLEMPAVAQLEKDAKYALVYQLLKIFLTQRLDQYLGFHTSNSELLKSYGLVHEDCIAKMRLISMVDLASDESGQIPYPLIKDTLQIEDDEVEPWVVKAISAKLIDCKIDQMNQVIKVSRYTERVFGPPQWQALRSKLATWRGNIANVITTIQANKATEEGSRSMQGLMIR >KVH48447 pep supercontig:CcrdV1:scaffold_4734:13541:18460:1 gene:Ccrd_025723 transcript:KVH48447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDSFQSETRVIFLPNRGFAGTHLISSDFTQSIPSLFMLLEISFRSVPVAETLTYAYYCDGEGGGSSRGNGSGLKKRRKNEEFARSLARVAVAQICEGVGLQGFQQSAIETLSDITCKYIQDIGRTSNFCANLAGRTESNVFDIVRGLEDLGLSQGFVGASDIGHCLSGSGIIKDISQYVGASEEVGFAYSVPPFPVIRERQSIPSFFHAGETPPVDNIPPWLPCFPDPTTYAIPATVDIEQTERRQDGVDQDHMVIGPLLLKSEQCLACNGSQVAVVVEVGRNGVREQVNNPFLASPLQSGEKEVSLVSLPARLVEEEVAQNHSLWANHASALGTFAPGIQGLKSNGLDIEEGSKKVVLERRHAVQLKFHVGKKSLTAANRQGRIGNAEAMSWFANDDLRDDKRKEKKENSEGVNGKWEAYEIDRVPAKDKEKGREG >KVH48446 pep supercontig:CcrdV1:scaffold_4734:219:9268:-1 gene:Ccrd_025721 transcript:KVH48446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MDSTIDENKMKKESELWWLRRGKFFIRQETMATISLADGAIGILPHHLFISSGVGRPNLFVTPKKYSLTCRKHSYHKRKLLYKSDSLFKSRDAVCCSVGESGEASIDIASDGTVPEVNEDALMAARKALYETQSRQETLEKERDQLLKELATSKVKQQEFLAKVEEENETGRLVLEAERKKASSKNLKVEVEATKGSVESSNEVAPLKDEAYTRRYETLHRAPKAAESASKIWRQRAELAEPVFPKSRLLDERDEDAIVVGGRLALLIDDDSQKSKLLSDGPRREIPDWMARRIGSVRPKFPPRKINISEANTSKFKSLELPNPAEVWSVAQAKPKYGDTLIEHVIEKEFIEKKRKALERALQRKTIQYQKTPEEITLEPGTGTGREIVFQGFNWESCRRRWYLDLAPKAADLSRCGITAVWLPPPTESVAPQGYMPSDLYNLNSAYGTQEELKYCIEEMHNQHLLALGDVVLNHRCAHKQSANGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNIDHSQDFVREDVKQWLNWLRNNIGYDGWRLDFVRGFSGGYVKEYIEASNPAFAIGEYWDSLAYEGGNLCYNQDAHRQRVINWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPHGKPTGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLMQGYAYILTHPGTVSSSETNVKFCLACWM >KVH48445 pep supercontig:CcrdV1:scaffold_4734:28958:30855:-1 gene:Ccrd_025725 transcript:KVH48445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L39e MGRKPEAFAVSRVYSFRGGRDTYRPESIMPSHKSFMIKKKLAKKMRQNRPIPHWIRMRTDNTIRSDLLCSHGPPDLCFKNLGCGEGSVVESWIRVLGFGGGFLVAISPTLLTPKQLASRTPASSDSQSMMATGRYSSNT >KVH96477 pep supercontig:CcrdV1:scaffold_4739:16515:33367:-1 gene:Ccrd_001437 transcript:KVH96477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRTERVKNFDWKKIGGGDGCCCEIDGGGCCCELAVVYGGGTLGHPWGNAPIVVANRVALEACVZARNEGRDLAIEGNEIIREAIKWSPELAAACE >KVH96859 pep supercontig:CcrdV1:scaffold_474:241001:242168:-1 gene:Ccrd_001049 transcript:KVH96859 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 KWLRTNSSLSNGSFRSNTLSESYQYLSNLFLSNGTLLDQMTKESFKFARGNIYASLKHNWIPTPQNLVNSTPLTGTYKTFFRLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTARTATFPIRDIHPSHYGRICPIDISEGINVGLIGSLAIHARIGRWGSLESPFYRTKFFLIRPKCGLLRELKKWIYFHLVFWPKYLDSSILNQILGGIKYMTGLPDIVIIVDQHKEYTALQEIFVPFIYPFYFAFTFG >KVH96855 pep supercontig:CcrdV1:scaffold_474:158130:169030:1 gene:Ccrd_001051 transcript:KVH96855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MWNPVFLFPTFDSCSSSWVFFPESRGYVLPYFSNFRNEKSFYDLFTQALIRLALLDFQARVSHDPYGAFVSWDVEDHNPCSWSHVHCVFGHVQALGSKIQATPILNEHGELILLTLKDVLTLYFISILSDNHFSGVLPKELGELNRLEVLDLRDNNLIGSIPPEIGRMQSLTFLLLYNNNLEGTIPPEIESLNLLYELQYNHNFTFGVTDAIGNLNRKLGRWFSKGILDNSYANEENGKIDIKNNMKMTKTPEQDLSLATIDYQILKGSQLTMTQNNTHNRSHNCVTEMFKPKISVPRLLPVHCVTFMPEIVRNVHIDGTLTSRKLAEEPANLVSASSSRDKSARNYIIALPSSRSSGSFPAVGREKIKISDSPSGSPGTPTSESESNSDLLKIMIGVSCGAFLLITALALFLYRRFSVVKTMGPWRPGLSKQLQKAFVTGIPKLDRAELETACEDFSNIIETMTGCTLYKGTLSNGVEICVASTTITTLKDWSKHAEKEFHKKIDTLSRVNHKNFVNLIGYCEEDEPFARMMVFEYAPSGSLSEHLHVQMLEHLDWSSRMRIIMGVAYCLQCLHELSPPVKLLVHISMISQVADMSFWREFESKAKRPEGNESMQRSPADKETNIYSFGAKDFLTNKQNINHIIDPRLKSFKQNELEVVCKIIQDCIQKDASKRPTINEIVPKLREVLMISPEQATPRLSPLWWAELELLSEESS >KVH96857 pep supercontig:CcrdV1:scaffold_474:127611:129017:1 gene:Ccrd_001053 transcript:KVH96857 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAF domain-containing protein MAANSPTTTTRKEGQFLLLGRYEIGKLLGHGTFSKVYLARNVKTNESVAIKVIDKEQILKGGLISHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVKGGELFNKVAKGRLKEDIARNYFQQLISAVGFCHARGVFHRDLKPENILLDEDGNLKVSDFGLSAISEQIRGDGLFHTFCGTPAYVAPEVLGRKGYEASKVDIWSCGVILFVLMAGYLPFNDQNVMVMYKKIYKGEFRCPRWFSPELSRLLKRLLHTNPETRITIPEIMENRWFKKGFRHIKFYFDDDKICSVKDGEIEADGIDYASDHSSYLESPTEIDTRKRLVSLPRPASLNAFDLISFSRGFSLSGLFEDGGEESRFVSGASVPNIISKLEEIAKVVSFTVRKKDFRVSLEGSREGVKGPLTIGAEIFELTDDIRVVEVKKKAGDKEEYNEFFDRELRPGLRTLMLAESVCGQPSDLPSDTE >KVH96856 pep supercontig:CcrdV1:scaffold_474:148287:149639:-1 gene:Ccrd_001052 transcript:KVH96856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MENQGRILMQRYELGKLLGQGTFAKVYHARNLKTNKSVAVKVISKEKVMQNGLIDQIKREISVMRLVKHPNVVQLYEVMASKTKIYFAMEYVNGGELFDKVAKGRLKEDAARKYFQSLVAAVDFCHSRGVYHRGLKPENLLLDKSGNLKVSDFGLSALVDSKRQDGLLHTTCGTPAYVAPEVIHNKGYDGEKADIWSCGVILFVLLAGYLPFSDPNLMRMYRKISKANFKCPNWFPPEVKKLLSKILDPNPETRISIAKIMENPWFQKEFKKIETYYVPRSILDDENVIKYTDSPKCSSTDLKQLEERAIKKNSSNLGTLKPTRMNAFDIISLSPGFDLSGLFENNVPSKKTEARFTTKKSASAIMSKLEEMASTEQLQIVKTLDGTVTMQGCKEGRKGQLAIRAEIFEVTPSFHMVEIKKLGGDTIEYENYFDQELKPSLKDIIWKMTG >KVH96854 pep supercontig:CcrdV1:scaffold_474:216752:220239:1 gene:Ccrd_001050 transcript:KVH96854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKDLNIVFKFLTTKLEATPKSVLQLMNVRGLSIAHVKSHLQMYRSKKLDDSGQVLSQRATLTMQGRPHIYSNLYSRSSPFEHLKHANGGIVLASNLEEGNHHARSNLHDSTFRPTRSIQHFLSRHQLWLSNQSLVSSPVRKEFVHGNNMMKDIMNQIQDKPSDSHKFHISNSRTRDAPMRPSQFLEEKKWPPLDQRKDKWLPITSNCDSSTSSAPFSVSQCQWYFRERAKIIRSQSTNNDFTPILNSQSEASFLLELKEERGFTEEERKPDLQLKLSPNNGVDEENHQRSAPEINTMLSLSLKET >KVH96858 pep supercontig:CcrdV1:scaffold_474:9041:12289:-1 gene:Ccrd_001054 transcript:KVH96858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNLFLLFIKTLLLATIFTVSQSANCNNTNHLLISKAFTSLSNFNSSWFTCSDSPISEINLSSRNLSGTLSWKFFKNLTHIHTINLSNNSIKGYIPTWFWSIPGLIQVNLSKNKLGGTIGFESGSGLSSIQVLDLSFNRFTNLAHLSNFSNLSLLDISHNNLEVLPFGLDSLKKLQHLDLSSCNISGDSKPISYLSSLEYLDVSDNHMSGTFPNDFPSLSTINFLNISFNNFTGSLPYDKVQRFGNSSFINAGMLFKISNFSLNRTVHHTPKKSHINPHNIHSSTHSNPKNPKPMKKNQKPSSRKRLILILIISLASLVVLLAMAVSIYCIFRKRKMAKRNKWAISKPVHQHQPFKIEKSGPFAFETESGSSWVVDVREPSSAAVVMFEKPLMSFTFKDLMAATSQFGKESLLAEGRCGPVYRAVLPGEIHVAVKVLENARGMSHDDAVCMFEDLSKLKHPNLLPISGYCIAGKEKLVLYEFMANGDLHRWLQELPTGKTDVEDWSTDTWEYPVDSSSPEKMEWRTRHKIAIGIARGLAYLHHAQSVPVVHGHLVPSNILLSDYLDPRIAGIGLSRNRVETQTTDSDVYSFGAVLIELLTGETGSEETVVKTRKLVREGRGVDALDSRLRLGDNSVSEMVECLRVGYLCTAETPGKRPTMQQVLGMLKDIHPITAELS >KVH96860 pep supercontig:CcrdV1:scaffold_474:243541:244008:1 gene:Ccrd_001048 transcript:KVH96860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase, large subunit, ferrodoxin-like N-terminal MSCREGFMSPQTDTKASVGFKAGVKDYKLTYYTPDYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLMSLDHYKGRCYGIEPVPGEENQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYVKTFQ >KVI04202 pep supercontig:CcrdV1:scaffold_4742:34141:37962:1 gene:Ccrd_017489 transcript:KVI04202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II Psp29, biogenesis MAAVTSLSFSAITQLSERKISLLSSTRSLLSNLDAFRVRTNFSIDSVSARASNSNLSSLAPPVSETKLKFLTAYKRPIPSIYNTVLQELIVQQHLMRYKTTYSYDAVFALGFVTVYDQLMEGYPSDDDRETIFQAYIKALNEDPQLYRTDAQKLEDWARTQSSGTLVDFSSREGEIEGILKDIAERAGGKGSFSYSRFFAVGLFRLLELSNATETTILEKLCAALNIDKRSVDRDLDVYRNLLSKLVQAKELLKEFVAREKKKQEERSEPQKANEAVMK >KVI04201 pep supercontig:CcrdV1:scaffold_4742:20731:22074:1 gene:Ccrd_017488 transcript:KVI04201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MASLPIFTVLEQSQVSPPPATVGHRSLPLTFFDIGWLSQPPVHHLFFYELAITKPQFIETIVPTLKRSLSITLQHFFPFVGNLIIFPTRKPEIRHVDGDSVAVTFAESNLDFHDLTGNHARDCGSFYPLIPVLGHAAQASADYVSITVFSVQVTVFPGCGISIGMTNHHCLGDASTRSCFLKAWTSIARSGTDESFLGSGALPLYDRVIKQPTLDEIYLKQANIGTFTQEYQPASLSGPTNNVRATFVLTRPTINRLKKRVSNQLPSLQYVSSFTVACAYIWSCMAKIRGDELQVFGFVIDCRSRLVPAIPATYFGNCVAPCGAMARTTVLSERDGFVTAARLLGESLHEKLTDKDGILKDAESWYELSFGGVPDTIMGVAGTPKIRFYDTDFGWGKPRKYEIISIDYNGSISMNACKDSNEDLEIGVCLSATEMKAFVSMFNCGLE >KVH47388 pep supercontig:CcrdV1:scaffold_4743:3236:9705:1 gene:Ccrd_025727 transcript:KVH47388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cross-linking MGICFSTVSLVDHLYCFLRFAPFEGLSGSSKVRGVNLGGWLVVEGWIKPSLFDEMPNRDMLDGTEVQFRSVTSKKYMSAENGGGMKITVDRDAPSSWETFRLWRVSSSEFQLRTFQGKFMTCNVGDGNVSATASTPSSTETFYIERNERNRVHIKHKISGTYLQASTLNEITADYQGIPGWDDNVATFEMTIVSNKHRNSFITMEDFKFLSKHGINTVRIPVGWWIAFDPNPPAPFIGGTLKALDNAFLWARAYDIKCIIDLHAAPGSQNGMEHSASRDGTTGWPMYISQTLYVIDFLASRYATHPALLGIELLNEPSAATVPLDALVSYYKQGYQTVYDSASFGWAYWTLRNAELHWDLEWNIRNNYLQLSYSQKTVSFRSVLWLGLACSLSL >KVH96986 pep supercontig:CcrdV1:scaffold_4748:23633:24586:-1 gene:Ccrd_000920 transcript:KVH96986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MEEEQALQKRKFYWGNTPDESEYYELHNINSTNALFTSPRGLSLFTRSWLPLTSPRGIICMVHGYGNDISWTFQATAIFLAASGYACFAVDMEGHGRSEGLKAFVPNVDYVVEDYLAFFDSIITSADPNYQNLPKFLFGESMGGAICLLIECKRPNFFDGAILIAPMCKISDKVRPSWPIPEILMFVSKFAPTLAIVPTADLVDKSVKVPEKRIIGGMNPMRYTGKPRLGTVTELLRVTDYLSRRLSDVNIPFIVLHGNADAVTDPAVSEELYEKAKSKDKSLKIYDGMMHSLLFGETDENVKIVRGDILSWLNDRS >KVI08476 pep supercontig:CcrdV1:scaffold_475:6018:12112:1 gene:Ccrd_013153 transcript:KVI08476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nck-associated protein 1 VEGGYGVRLVGVRQTENRSDCCAQRKSIISSTTSSSHYHHNSLKPITSTFIFLKNLDLNSTTFLDRLHFSTSLVALTSDRLDLDYTGTMQMPKSRLNLSTQEALSSSPRAREVEGPSRWSEYLSQEMGTPMPSRTSRNNGSEVSFQLPGVSHKGLNMQWVYQLTEVAQGLMAKIYRLNQVLDYPDSAGHVFSEAFWKAGVFPNCPKICILLSKKFPEHHSKLQLERVDKVALDALNDQAEIHLQSLEPWIQLLLDMMAFREQALRLILDLSSTVITFPIRILSSYMPLWTSFVRLFASISLLRRQVYRPCMSYIFLLFRYLACSPYLLGIKSCRMHARLLFTEKSAILVSLQLPRKMMLQMYNLFHAILRNDRDCDFYYRLVQFIDAYDPPLKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPFHPRFPDILTNSAHPMRAQDLANVTAYREWALFGYLVCPDELLRVTSIDIATVL >KVI08477 pep supercontig:CcrdV1:scaffold_475:51498:62695:1 gene:Ccrd_013150 transcript:KVI08477 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MRGRMAVWWRCKSFTSSASPSRLAKPLIYLDRPDIWYPSSSPSGVSPYLRHAYYRLSRKRKVGHFGMELLVTVHKSERFISDCFSYLLAVYMLEDGRKRNNEHAAADIDGKLIANDIKATVATEIRHMKSSIRKVPGLGVILVGKRKDSFSFVSIKKKACEEVGIASVVVELPEDSTEHEVLAVVSLLNRKESVHGILVQLPLPNHLSEEKIINAVNVEKDVDGFHPVNMGNLAMTGREPLFIPCASRGCLEVLHRCSVEIIGKKVVVIGRSKIGGLPTSLLLQNPEQITREADILVSDVGVPNLVRGHWLKPGVVVIDMGSTLVKDSNNSHCSHVTGDVCYEEAIHKASAITPVPGGVGPVTISMLLSNTVEAAKRAYQWTERSYQQEQSFSYIPLSNVHI >KVI08480 pep supercontig:CcrdV1:scaffold_475:72086:79029:-1 gene:Ccrd_013148 transcript:KVI08480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MARTKQPAQRSSGKRSSGAGDGRRHHRYRPGAKALREIRRLQKTVNLLIPAAPFIRTVKEISNYIAPEVTRWQAEALQALQEAAEDYLIQLFEDSMLCAIHARRVTLMKKDWELARRIGKKGQP >KVI08475 pep supercontig:CcrdV1:scaffold_475:22434:32501:1 gene:Ccrd_013152 transcript:KVI08475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nck-associated protein 1 MLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKVISEVHDQAIVSCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGISSSKSKANRIVAVDINPNDPTIGFLLDGMDRLCCLVRKYIAAVRGYGLSYLSSSAGRIRFLLNTPGMVALDLDASLKGLFQKIVEHLENIPKPQGDNISAITCDLSELRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNALYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVRFSFLIVFPVVFRNTMFGPEGRPQHCCAWLGVASSFPECASTIVPEELTRIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGSLETQVLACLSKLAKLVLMHKTNHKPFHCQLLPEQAAILMNLTSRLSIPSAKSPRGISGLHLPGYESYPENTDLQRPTVLESLIRRHVSIIHLAEQHISMDLTQGIREVLLTEAFCGPVSSLHTFEKPAEPHAGSAIEAVCNWYVENIVKDVSGAGILFAPIHRCFKSTRPVGGYFAEAVTDLRELQAFVRAFGGYGVDRLDRMMKEHTAALLNCIDTSLRANREVLEAISGSIHSGDRIEREANVRQIIDVETVIGFCIQAGQAIAFDSLLAEAGGVVLAEGAPLIHSLLTGVATHLPVEVPEKKEIRRMRRVANSVQVVADHDSEWVREIFEDVGGASDNSWSLLPYLFSTFMTSNIWNTTAFNVDTGGFNTNIHCLAREHQQRQLYSNGHAGDTVEPEMPDHLSVESNIKSTMHLFVKICAGIALDSWNEIDSSLELHVPYAILRSIYSQYYGNSPSNPLSLLSASPRSSPAVSMGHASPAIRHPYGDSTPQSSHDSGYFKAASAHSQDQTYDNDKGHTRSTDHKHRNTRRSGPLDYSSSQKVKLVEGSTSGSRGPSPLPRFAVSRSGPISYK >KVI08486 pep supercontig:CcrdV1:scaffold_475:241883:243051:1 gene:Ccrd_013140 transcript:KVI08486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSYRLQKNKKESKFSNTSENPVDVDDESNEVAILNVGQAKQRATYCSTRRGKICKRPPKSMEDSKDDDDFEIQDQNIXKKVKRXKEDTKGREDNGKGTIKTHLPLRTRTSPKPLYTMIQNLSPSQIECVKEMGFEGXLNMKTDGIPAKLGYYVVDSFDSKNMMIKLENGVIPXTVKKIHEMXXAPIGGAQLDSLVNDNCGVSVVQQWKSRYSKPSLRVTDIVSEIITSDNGDWNFKLDFIMVFCNVMGQSKSNGICDLSVLSYISQQTCFEKIDWCDYIFKCLKMSKSTWKPGPIECYYSGPLTTLT >KVI08482 pep supercontig:CcrdV1:scaffold_475:148982:172581:1 gene:Ccrd_013144 transcript:KVI08482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSCSISNNPSMAFATGFRFIRCYNQLSLPIYPARLSRHKCSVDGLRFLSATHRPTRLTSVSSKSSATEGVEEGGESGNGGLMTVATSSDGDARNGRIVLTELHKEATEAYMSYAMSVLLGRALPDVRDGLKPVHRRILYALHELGLSSRKPHKKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSLRCPLVSGHGNFGSVDGDNPAAMRYTECRLEALAETMLLSDLDQDTVDFVPNFDSSLKEPSLLPARIPNLLLNGSSGIAVGMATNIPPHNLGELVDALCVLIHNPDATLQELMEYMPGPDFPTGGIIMGNLGILEAYRTGRGRVIVRGKTEIELSDSRTKSAAIVVKEIPYQTNKASLVMKIADLIQNKTLEGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRMTALQSGFSCNMVGPSWILDAWWLKDGQSLSLHMHETDIILLRKATSNSMAAADLRRKFNLSEKQAEAILDINLRRLTLLERSKFINEGKTLMEQISNLEELLSSRRQILQLIEQEATEIKNKFSTPRRSTLEDANDGMLDEIDVIPNEEMLLAISEKGYVKRMKPDTFNLQNRGTIGKSVGKLRVNDTMSDFLVCRAHDLLLYFSDKGIVYSARAYKIPECSRAAAGTPLIQILSLSDGERITSIVPVSEFAEDQYLLMLTANGYIKKASLMYFSSIRPSGIIALQLVPGDELKWVRHCTNKDAVAMASQNGMVILSSCETIRALGRNTRGGVAMRLREGDRMACVDIIPAATHDESVKGAHGPWLLFVSENGVGKRVPLSEFRESRLNRVGLIGCKFYSEDRLAAVFVVGFTSAEDGESDEQIVLVSQSGTVNRIKVRDIPIMKRFARGVILMRLEHAGKIQSASLISASETEVDEVEAATVV >KVI08478 pep supercontig:CcrdV1:scaffold_475:39067:40947:-1 gene:Ccrd_013151 transcript:KVI08478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEEMGFYGNLDFLSASQCEGERPHETEQEAPGEEDYSDEEMDVDELERRMWRDRMLLRRLKDQNKGKEVDNAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPENGKPVSGASDNLRAWWKEKVRDYDVDGVEDDQTVELEECKPQPRDVNNLYSMGLMGPADRLMVPQLAQVKGELIDVPSPDNFVQKRKQPSDEQQPAMMEQKIFTCEFPQCPYSDIRVGFQDRFSRNNHQMSCPYRSTPNPNHNPSRGGTLNFQMNNHIQKEPVFTIPAFAQPKITTAPPVNQDSAPSYGGLNSQLGLPGDGQRAISDLMSFYDTKHQQTNRSLNSGNLDVIGDHQNQKQKFPIQLDDFFGHGVETNIPSNQSTFLPPGFQFDNKTPYNSGFDANNLNTNENNLDFRFVSQSPFTLGGVDFSGDPNPNADINMWYL >KVI08488 pep supercontig:CcrdV1:scaffold_475:200329:219431:1 gene:Ccrd_013142 transcript:KVI08488 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MASSSTHSSDSGPQSALTRRSSRSAATTTFTMEVFDNEVVPSSLQQIAPILRVANEIQHDRPRVAYLCRFYAFEKAHRLDQSSSGRGVRQFKTALLQRLERENASSLAARVKKTDAREIESYYKQYYEKYVMALDQGEKGDRAQLGKAYQVAGVLFEVLCSVNKSEKDEEVPADIIESARDIEAKQGIYAPYNILPLDSAGESQCIMQFEEIKAAVSALRNTSGLNWPASADPQRQRSGQLDLLDWLRGMFGFQACGLCTLILFSDDADCLDILDDRAVDGVMTKLFKNYKTWCKYLGKKHSLRLPKGHQEVQQRKLLYMGLYLLIWGEAANVRFMPECLCYIFHNMAYELHGLLTGNVSVVTGENIKPSYGGDEEAFLRKVITPIYHVIDQETKRSRNGKAPHSDWCNYDDLNEYFWSPDCFSLGWPMRNDGEFFKSTRLMTQGKLSSRQQAGSMRKSYFVETRSFWHNFRSFDRLWTFLILVLQVMFIIAWDNTSVLEIFDKHVLYKISSIFITAAFLRLVQSKPRTFSGPVGSPEKLPSPMMWLALSLECSVPDDCQNNVWRLSDGCHLLVSHGPIHGVKAPCTATHFLIQIKLVQYAVVLLGPVSGCHGISDVVGPNMAVLSHLLECIIAAMVGFNECFSHNNVLQELHPFETALLRKQVLGILDLILNFPGYRRWRFTDLMRIVLKIIGSIGWSIVLPLCYAQQADPNALIFGQLKNYISFLDQLKGIPPLYLMAVALYLLPNLLAAVLFIFPMLRRWIENSDWLIVRFFLWWSQPRIYVGRGMHESQFALIKYTLFWVVLLCSKFAFSFFVQIKPLVDPTKDIMQIRRVQYAWHEFFPQAKNNLGAVAALWMPVILVYFMDTQIWYAIYATLCGGVIGAFDRLGEIRTLIMLRSRFQSIPGAFNANLVPSDTKKKGFSLSKRFVEVTPNRRSEAAKFAQLWNEVVASFREEDLIISYFREMDLMLVPYSSDPSLKVVQWPPFLLASKIPVAIDMAVQFRYKDSDLWKRICADEYMKCAVIECYESFKNVLNTVVVGQAEQRIIGTIIKEVESNISRNSFLTNFRMGSLPTLCNQFVKLVEMLKNADESKHNVVVLLLQDMLEVVTRDMMVNEIRELAELGQDSGRQLFEKATDSKPAIAFPPPVTSQWEEQIKRLYLLLTVKESAMDVPKNLEAQRRIMFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKSDLDMENEDGISIKFYLRKIFPDEWENFMERINCKEDSEIFDTDENILQLRHWVSLRGQTLCRTVRGMMYYRRALKLQAFLDMATEKGKNIYLSIR >KVI08479 pep supercontig:CcrdV1:scaffold_475:64129:67136:-1 gene:Ccrd_013149 transcript:KVI08479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MGEMTVLRELKLEEDEDEFRSCCADEEDLDREETVKQGLHNIIDDDDEEFLDEFSVRMYFKGVSIADPGNNGFDGSGIGVVMERVNKVPMIQVQKKLEFFVDELVADYLALMDGLSEATRNNIKRVFAFTDSAILFDQNPLLMALKQRILEHAENLQHFVLKCVHNIDLEEPLRLAQVAIGIFRIPIKGDELVQTCSICCEEKPTPMTITMKCSHKFCSCCIKAYVDEEVQLSRVPIRCPSLNCRYYISAPEFKLFLPVASYALLENALSEPNTLAADKFYCPFSDCAVLLDPRCNSNQSENNCVECPVCQRFICVKCGVPWHSSMSCDEFQECPPLGRGVGDAVENRRWRHCQMCGHEFCYSCGVEYRDGEQTCECAMLDVDERGEHDDFTLTQNFNSSPVLEEWAWDSCGSLSNLMDAYSDQERSQLALIQRFLAGGFSLGDHHTYPYQSQSPPHPCTDSGSSYLDNTIKDLHQLPWLERFVSVISDNYYDEYTQ >KVI08484 pep supercontig:CcrdV1:scaffold_475:122780:127947:1 gene:Ccrd_013146 transcript:KVI08484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKTDEIKKSFIHKMLSMRLNNGDEGKALKNSFDPTEIPVGRRIGALDCSNLLGNSMAVSHDVQSLSVFTATWNVGGKSPTSDLNLDNLLQVHCQSDIYILGFQEIVPLNAGNVLVIEDNGSAAKWLSLISQSLNKSSNTDYYPPNRTNTNSSSFERKHSGSGSLFLQRTSLRAASRAFRSESRRRFKSCNCSIVESERKSYGRESCFTCQKSKSNNFDDPSSSEEDDTLTTNAVAADVSTPAPTGKNQHLRYSLIKSKQMGCISVSMTFKQTSFCFVCSHLASGEKEGDELRRNLDVIEILKSTQFPKICRTPNPNVPDKILEHDRIIWLGDLNYRIALSYHETKKFLEQNNWDPLLNKDQLKIEREGGRVFDGWKEGRISFPPTYKYSYNSDSYAGETIKSKSKRRTPAW >KVI08485 pep supercontig:CcrdV1:scaffold_475:226008:227970:1 gene:Ccrd_013141 transcript:KVI08485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MHRRRPIHHPISTTRLVLISMAIISILFISLLLFISPAASRHRRIHPPSRKDDPSSSDLIRQACKATRYPQLCQSSLTNSPKPNPMEIIQSALSVSSHNLRAAQSMAQSILKAAAGNPNQTNAANNCIQHLRNSEYRLKSTADALPRGMMKDGRAWTGAGLAYQYDCWSALKYVNTSSMINETMSFLNTLIDHTSNALSLMMAYDLYGDQISSWVPPKTERDGFWEAGGSGGGGGGPQLGVPTGLKADVTVCKGGGCDHATVQEAVNAAPDWGYGRRFVIWVKAGVYEETVRVGLEKQNVVVLGDGMGKTVITGSLNVGPPGISTYNTATFGVVGDGFMASGLTFENTAGPDAHQAVAFVSDSDQSIIENCEFLGNQDTLYAHTLRQFYKSCRIEGNVDFIFGNSASIFQDCTILVRPRQLKPEKGENNAVTAHGRIDPAQPTGFVFQNCLVNGTEEYMRLYYSNPKVHKNYLGRPWKEFSRTVFIDCQMEALVTAQGWMPWAGDFALTTLYYGEFGNSGKGSDLSGRVAWSSRIPTEHVNVYSVGNFIQGDQWKVSSS >KVI08483 pep supercontig:CcrdV1:scaffold_475:84775:89219:-1 gene:Ccrd_013147 transcript:KVI08483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MSRSQPETSEALPPPPPLIPPNFVPSRSHGSTRTPMARKGIGTKGQKISLVSNHFNVKFSSSSDHFYQYSVALFYEDGNPVEAKGIGRRVLDVAYKTYNSEMGGKGFAYDGEKTLFTVGALPATKLEFTVVLESLLSNRMLRGAGGSPNGSPNESGSKRSRRPPQCKSYKLHINYATKIPIQAIFKALEGKDSEQFHEAIRVLDVLLRQHAAKQGCLLVRQSFFHNDAKNFVNIGGGVFCCRGFHSSFRATQSGLSLNMDVSSTMIVQPGKVTDFLLQNQNVRSLRDIDWLKAKRSLKSLRVKTFPSNLEHKVIGLSERSCREQRFSLRSRNQRDGHNSPGEAIEITVYEYFVMHKRIELEYSADFPCLDVGKPKRPVYIPLEFCELVSLQRYTKSLSNLQRASLVEKSRQKPQERMRALTGALRQSNYEADPLINSTGISISTAFTQVEGRVLEPPKLKFGNGGDLFPRGGRWNFNNKTLVEPTKIFEWAIVNFSARCDMNYLRRELPKCSHAKGIDLDQPYAVIDENPQFRRNPAPVRVDKMFELIKQKLPGPPRFLLCILPERKNSDIYGPWKRKCLVDFGIVTQCMAPTRINDQYLTNIILKINAKMGGINSLLSMEFSRCMPVVSRTPTIIIGMDVSHGSPGRSDVPSIAAVVGSRNWPLVSRYRASVRTQSSRVEMIDGLFKPVSDDKDEGMIRELLEDFYRSTPKLKPQNIIIFRDGVSESQFSQVLNIELEQIMEACKFLDGDWNPKFLVIVAQKTHHTKFFQPNNEANVPPGTIIDNKVCHPKNNDFYLCAQNGPIGTSRPTHYHVLLDQIGFSTDDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAAAQMAQFVKFDDLSDVASSHSGGGSSAGGFTQIPKLHDKVSSSMFFC >KVI08487 pep supercontig:CcrdV1:scaffold_475:179959:187938:-1 gene:Ccrd_013143 transcript:KVI08487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MADRQSTSASSAQPIRPSPSPSRRRLQKYRSIKDIIDNPDVVRQDYNDTVCDQCGSGDRDHQLLLCDKCDKAYHMLCLRPIVVRVPIGPWYCPACSDHPPPLKSFTQTKIFDFFKIKKCTASSVKRISPQDSSRRRRRTGAIVHHKRRRRLLPYTPSEDTKIRLEQMRSLASALTYLSMEFSDDLTYSFDMAPRGANLAMFEDGGMQVLSKEDTKTLEYCRAMLKRGECPPLLVVYDSCEGYTVEADGPIKDMTLITEYTGDVDFIKNREHDDCDSMMTLLLASNPSKSLVICPDKRGNIARFINGINNFT >KVI08481 pep supercontig:CcrdV1:scaffold_475:133909:138979:1 gene:Ccrd_013145 transcript:KVI08481 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEIVNRHRWTGRFEAHLWDKSTWNSIQNKKGKQIKRITGGFGDNVVGLTFDRCNFVGAYDSEEAAARTYDLAALKYWGPETTLNFQVPREKDFMDTYKKEIEEMEKLSKDEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTYSTQEEAAAAYDLAAIEYRGAKAVTNFDISIYADRLKNGVPQIEANPESNELVASPENEQNEVVHHDVHFQQEQQLELVEKQEPLPEIHNLDFPPSVEDEHPWSLCLDSNYNLLPVPHIPLDKSGEMLDLFDDTGFEDNIDIIFDGPFLNGNELEKVHACITSPSSSSSLSSSSTTTSISYPISHG >KVH46984 pep supercontig:CcrdV1:scaffold_4752:35625:37546:-1 gene:Ccrd_025729 transcript:KVH46984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MEGVEKSAFRFWWVLWVLVICMLRSGGGLVVGQEAGDGGDVVVPAMFVFGDSLIDNGNNNDLTSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIGDQMLHGVNFASAASGILDITGRNFVGRIPFNQQIDNFENSLDRITDNSGATDVAQMLSRSIFFVGMGSNDYLNNYLMPNYPTRNEYNARKFVLAGIGMMGCIPSILAQGTTGKCSEDVNKLVLPFNANMRNMIDNLSTNLPGSKFVFIDVHNMFQDILSNARSYGFRVLNRGCCGIGRNRGQITCLPFQTPCPNRNEYLFWDAFHPTEAVNVLMGKKAFSGTPDVVYPINIQQLANI >KVH46983 pep supercontig:CcrdV1:scaffold_4752:27376:29411:1 gene:Ccrd_025728 transcript:KVH46983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MGWTMREFGLWVVAACFLWSVAKGWSQLEPQVPCFFIFGDSLVDNGNNNGLLTLARANYRPYGIDFPQGSSGRFTNGRTFVDALTQLLGFRNNIPPYARTRGRAILGGLNFASGAAGIRDETGNNLGDHISMNQQVKNFESIVQQMRRYFREDMNAVGDHLGKCIFYSGMGSNDYLNNYFMHDFYNTGTQFTAQAYANALIHDYSSQLTALYNLGARKVVVTAVGQVGCIPYELARYNGSNSRCNEEINNAIILFNSGLRKLVDRFNKGELQGAKFIYLDSYYAIKDLTLNAQSYGFEVMDKGCCGVGRNNGQVTCLPLQQACRDRSKYIFWDAFHPTEAANIVTAKSSYSSSSYTYPMNIQQLASL >KVH46568 pep supercontig:CcrdV1:scaffold_4754:3746:9065:-1 gene:Ccrd_025730 transcript:KVH46568 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGCRASKVDDLPLVIRCRERKKLIKAAAEARYDFSSSHLAYFHSLKDIGYALSRFVDEQLVIPSPTPSSPVLTLPSDLGKTNNGSMSSGSISHIHSIDDNENSESDLSSSSDGHIHIHDGGSENKPPASSFNHPRDYNKMNPNDPYVINGGSRPYQPPWGSDQPNWGDPYVINRGPQPYQPSWGDPYGVNVNPSAFPDRPSWGPNQSTLGEGGPYVMDPSASQYQPPWGTYQEDFDSYSVYGNSNHYASYMKKSAPASRTVIQHAEARDFQETGQWVDPPHYDPYQSGGIYEFSYGGPPPPQEPKRPKSPPPPPPPVESDYFNFFDAYGNGYGFGYGSMGSSPDSSEVREREGIPDLEEETETESHHEEVLKGNTFNRRRNSCESTSRSVAKEDTQGREAPNSTEGSSRRIPPRKSGGSLSSVPSENNEGAQSVNSEVDKHSPETVVSQSMGKGYENKKGESFDIDEVSTHEFESSILSSLTTLSAHGSRDLQEVVNEIKDEFDSAFGYGKEVALMLEAGKLPYQSRFAVLKVVLSRILYPLGPPFASSDHPIQSARSCSRVMKLAGSYNVDAVPDVGFTNLSSTLEKLYVWEKRLYKEVKDEERLRVMYEKMCKRLNELDAHGAESSKIDAAQTSIRRLSTKLNVSIKAIDAISSEIHKVRDKELQPQVSELIYGLIRMWQSVVKCHRKQFEAFMESRSRTLRANTSLVSLRAVLELQTVLITWCQHFNDWIDAQKSYVDSLNGWLQHCIDHEPEVTIDGEIPYSPGRIGAPPIFVICNDWHREISGISQDRVSKAIHGFASNLRQLLERQDNIQRLMLKKEYLAKDFARKHQNVGKKTRDSLADKTGGSMVPSDSGVDLDSLRKVEEEWERHKEAAMKLVDKGSSTSLQGGVIPIFKALENFTCDALKAHEQVRLQQQS >KVH46399 pep supercontig:CcrdV1:scaffold_4756:10889:40726:-1 gene:Ccrd_025731 transcript:KVH46399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MEERWFQKEDRWKDRALCVQHRLRDRFRVAIDKHRRRQRSNGYVSSVMERWLQRFRHFRSETLANSSTFYRKRGPWRGSGQPQLTRSPWNSQPNRLPNWAYWSPLPVHIPPTLDGPLLGLDLLCQPGPHLTAHLHVAIINGFDTPEDSVLTQMLQAVAVPIIGNVCHVFMHGLNHVQIYGGEKLQQAFNRPEKTPLITVSNHIASMDDPLVIAALLPSSVLLHARNLRWTLCASDRCFRNPVTSAFFKCVKVLPVSRGEGIYQKGMDVAITKLNRGGWVHIFPEGSRSRDGGKTMGSVKRGAASHCLQRPSKPKSVLILDLGAGHNLESMPLLETRSVIHAEGYVQIVSDFLKQEFDTTKSRMECKTTTNRTYQLSAPTTIAQKPASLIMILLSTSMTNDFFVVNNWFPFQSSLDVLGNVGNPNKELILDADNAPMVVPFVHAGMQEIMPIGATFPRVGKMVTILVGDPIDFKDLRELEQDNNVPRGNLYDAVSSRISDRLMKLKAQVDKLVELRTDKQDVLMAEHFDTGYEEDNRYFRVGFSCQGSGRITMDPTELMGFAARGLFMNQRMKENVQPSKAWNSFWKQSHGSISMLACAN >KVI04288 pep supercontig:CcrdV1:scaffold_476:14133:14747:-1 gene:Ccrd_017394 transcript:KVI04288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 MNVLIGTTTTTLNDEPKQPLLEEKPTKTVALRKAFKLTAHLANLLPTGSVLVFQILSPIFTHEGKCRTQVSRTLTSTLLSLCAISCFFLCFTDSFRDSAGKVRYGMATFSGLWVIDGLAKVPPEKVCEYKVKVIDVFHGVLSVTVFVVIAIFDKNVVNCFYPEPSEEMAELLWMLPIGVGVLCSLLFAAFPTTRHGIGFPLSKK >KVI04294 pep supercontig:CcrdV1:scaffold_476:173802:177467:-1 gene:Ccrd_017402 transcript:KVI04294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MENGSTLKKKWGFQPNPELNTASEITIRGVLEMLISNLNQSDVRHVIPLGHGDPSAFPCFRTTQIAEDAIVDAVRSAKFNGYSPTVGVLPARRAVAEYLSQRLPNKLSPDDVFLTVGCIQAIQTIITVLANSKANILLPRPGFPYYEAVAQSCHLEARHFDLLPDKDWEVDLDAVEALADENTVAMVIINPGNPCGNVFTYQHLQKVAETAKKLGILVISDEVYDHITFGKNPFVPMGVFGSFTPVVTLGSISKRWIVPGWRLGWLVINDPNGILKEHGIIECITGYLNISCDPPTFIQGAVSDILGKTQDDFFAKIVNLIKEDANTCYEGIKDIPGIICPSKPAGSMFVMVKLDLSAFEDIEDDLEFCVKLVKEESLIILPGVVVGLKNWIRITYAIEPSYLQDGIKRLKAFCERHSKKA >KVI04295 pep supercontig:CcrdV1:scaffold_476:218902:219564:1 gene:Ccrd_017403 transcript:KVI04295 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVMKNETAKPLTAARKVDAVNEVHYRGVRKRPWGRYAAEIRDPGTKTRVWLGTFDTAEAAARAYDAAAINFRGSKAKINFPLSLDSAAGQNDSRSSTVESSSRDSEHNAPVELDLMRCVVGEATAVGGCQFFVNGNQQTVALLPHAQPLMPFGVRPGMTMNRGHPYPFHQTEQHFNGGYGGRAASESGSSNAADYIPRDTSYSKRELNLDLNLAPPTVEV >KVI04286 pep supercontig:CcrdV1:scaffold_476:89389:90254:-1 gene:Ccrd_017398 transcript:KVI04286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDSTLPKKWGFEGNSEFKPEPHTTIRGALNLLESNLNQSDNRPVIPMAHCDPSAFPCFNTTEIAADAIADAARSAKFNGPPPTVGILPARKAKGVQCRVVKESGGTQVQIGGEDGRDWNR >KVI04293 pep supercontig:CcrdV1:scaffold_476:154987:157261:-1 gene:Ccrd_017401 transcript:KVI04293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MENGSTKKKKWAFQGNPELNTASEITIRAVLAMLKTHLNQSDSRPVIPLGHGDPSSFSCFRTSPIAEDAIANALRSAKFTGYSPTVGVLPARRAVAEYLSQDLPNRISPDDVFLTVGCTQAIQTIITVLADSKANILLPKPGYPYYEAFAQSCHLEVRHFDLLPDKDWEVDLVAVEALADENTAAMVIINPGNPCGNVFTFQHLQKVAETARKLGILVIADEVYGHIAFGKNPFVPMGVFGSITPVITLGSISKRWIVPGWRLGWLIINDPNGVLKKHRIIECITQYLNISSDPPTFIQGAVPDILGKTKEDFFLKIINTIKEAANSCYEGIQDIPGVICPSEPEGSMFVMVKLDLSILENIKDDLDFCVKLAKEESIIILPGISVGLKNWLRLTFAIEPSSLEDGIKRIKAFCRRHSKKA >KVI04290 pep supercontig:CcrdV1:scaffold_476:37327:43083:-1 gene:Ccrd_017396 transcript:KVI04290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGESMEKQEWGFKENHELHAAAAFTIRHILQAIIENIDEPQTGKSVIHLGHGDPSAFPLFRTPTVVEDALIASIRSAHFNSGANHAIEIILTVLARPGANILFPRPNYPIYEARARFSPLEVRHYDLIPDKGWEVDLDGVKALANDKTVAIVLINPGNPCGNVFTFEHMKKIAETARQLGILVIADEVYAHQVFGEKPFIPMGVFGDIAPVVTLGSLSKRWVVPADPPTVIQVKLNIPGFDDVVDDTDFCMKLAKEESLIVFPGDAAGLKNWVRVSFAAEPEVLKDAIRRMKAFCLRHAKHY >KVI04292 pep supercontig:CcrdV1:scaffold_476:135569:147125:1 gene:Ccrd_017400 transcript:KVI04292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLACYKSHIVIFNPTASIIEQWGAMENSSTLLKKWGFMRNSEQKTESDTDIDRVLTLLMSSLNISDSRPIIPMAHGEPSAFSCFSKSQFAADAIAEAVQSAKFNGYSPTGGVLSGRRMAVEDAIPLIQFRTQGYEIVTNYKYMNTVLIFYHISLYRAVAEYLSQDLPEKLSPDDVFLTMGCKQAAQTILTVLAGTKSNILFPKPGFPYYEVFAQSCHLETRHFDLLPEKDWEIDLDSVVAVADENTVAMVIINPGNPCGNVFTHQHIKKVAETARKLGILVIADEVYDHLAFGKNPFVPMGVFGSITPVITLGSLSKRWAIPGWRLGWLVTHDPNGILKEHGIIECIKGYFHVFSNLPTFIQGALPEILGKSKEGYPSETINTIKEAANSCYKGIIDIPGVTCPSKPEGSIFTMVKLDLSVFEDIEDDLDFCLKLAKEESVLILPGISVGLKNWLRVTFVMDQSSLQEGITRIQDFCRRHSHKA >KVI04291 pep supercontig:CcrdV1:scaffold_476:65149:72534:1 gene:Ccrd_017397 transcript:KVI04291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MENNSTLPKKWGFRGNSELKPERETTIRGAVTLLQSNINQSDNRPVISMSHSQPSVFPYFNTTQIAADAVGYCPTLSPDDVFLTMGCKQAAQSILQVLAGSKSNILLPKPTFPYYDLFARSSNLEVRHFDLLPEKDWEIDLDSVVAVADENTVAMFIINPGNPCGNVFTYQHLKKVAETARKLGILVLSDEVYGHLTFGKNPFVPMGVFGSITPVITLGSISKMWIVPGWRIGWLVTHDPNGILKEHGIIESIKGYIDMSSEPPSFIQGALPDILSKTKEDFFSKIVNIIKETANSCYEAIKDVPGLTCPTEPEGSMCLMAKLDLSVFEGIKDDFDFCLMLAKEESVIILPGICVGYEGWLRITFAIDPSSVQDGIKRLKAFCERHFKKA >KVI04289 pep supercontig:CcrdV1:scaffold_476:27970:35756:1 gene:Ccrd_017395 transcript:KVI04289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MKYPGLQMFMDNLKQLIYCNHNLVINNPLILSQRPQFQLLYQELGSMIQILLDVHENHHLYELEKVENLMRRFKDAVEEAQDIIDLFLSAVHSRSRGLFTRPDVFKTSLDLENAMRCIESIKVEFMTMINIGNLNPTPRIDRLKTQSADAGTRNLSGTKKPLEEVVVGLDRDAELIRDKLVEDTKQLDVLSIVGMGGLGKTTLATKLFNDRFIVYHFHVRAWATVSQTYIKRDFLIQILTSIGVQHDLEEANDSKLREKLHKHLMGRRYLIVIDDIWSSEAWDDLKLFFPHENTGSRILLTSRLNEVALHAKPHGFVHSLPYLTEAQSWELLCQKVFHGDECPGWLIKPGMQIARKCQGLPLSVVVMAGILAKETTRSYLWENIACSVGSYILSDQELGCLETLALSYHHLPHHLRECFLYLGGFPEDFRINAKRLTWLWVAEGFIEEDGNRSAEETASTYLMDLVDRSLVIVAERKFNSEIKACKLHDLVRELCQQKAKEERFFIKIDSPPFSSLLGVIKPYEVVMPYKQRFVFTNQDINIVNIAHPPTPSIRSLFGFHKDSRLIYDIAKYFHSFALLRVLNLGKCKLHYFPQGMTLLVHLRYLAICYSCEFPCSICNLWSLQTLILERNFGVVHLPHNISDLVNLRHLWSTREIFLLTIEKPMNLHSISKVRLRDGAGNFGKCFPSIKKLGYTLISGEEGRFESLPYLETLKLSSKMHGPNVRLNHIRFPATLKKLTLVECHQPWSNISIIQSLPNLEVLKIKYGAFEGTQWNAGEQQFGQLKYLSLEVLDIKQWEAYNTSFPCLKRLAVRSCRNLEEIPLEIGEIATLELIEIYECVNSALMKSAERILKEQHDQGNTELKITEDGKELSIYLCEHEGSESE >KVI04287 pep supercontig:CcrdV1:scaffold_476:105732:110077:-1 gene:Ccrd_017399 transcript:KVI04287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MENNSTLPKKWGFRGNSEFKPEPHTTIRGALNLLESKLNQSDNRPVIPMAHSEPSAFPCFNTTEIAVDAIADAVRSAKFNGHSPTVGILPARRAVAEYLSQDLPDKLSPDDVFLTMGCKQAGQGILQVLAGSKSNILLPKPGFPYYGLFAQLYNLEVRYFDLLPEKNWEVDXDSVVAVADENTVAMLIINPGNPCANVAETARKLGILVISDEVYGHLTFGENPFVPMGVFASITPVITLGSISKRWIVPGWRMGWLVTHDPHGILKEHGVLSLSKIYIDTYALRKLIYELCSFIIDGIRGWGSLDESTKPPTFIQGALPDILGKTKEDFFSKIVNLIKEAANSCYEGINDIQGLTCPTKPEGSMCLM >KVH96752 pep supercontig:CcrdV1:scaffold_4762:9016:12271:-1 gene:Ccrd_001157 transcript:KVH96752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGSKGVFIVAARQASLLIKIKKFLASIFIAFLVGGNPHKGFNKLWIPFFAVNNHMSLDHHGAYIEQQESKFVCGINDISKGMSEDTKLEFMYSDMSIHRDFFYLEGVLDSEAVADNAVEASSNNHENVYIVLEDRSLISYRDVSFFVCFRLHQALYERLGKGQAIASNHCQDEVDNKLLNLYASEEMRESRRFLDEVYDAYPRMTVTANRMFLFEC >KVH96971 pep supercontig:CcrdV1:scaffold_4764:14325:19777:1 gene:Ccrd_000935 transcript:KVH96971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain MSFDTFSVNGEESTTIPSPGQFDEGYFDSNNSYSNFSTADTPSYHGEQVSHQSIGNTDPFGFGDPDPNPHSQSGSSPFDASSIPISNGNGSQDYNVGVDADGIFTSDGPVLPPPSEMKRDEGFALREWRRLNAIRLEEKENQEKELRSQIIEEGEVYKRAFHEKRLHNVETNKITNREGEKLYVAKQETFHKEANKQYWKAIAELVPREVPNIEKRGKKDKDKKPSITFIQGPKPGKPTDLSRLRQILVKLKQTPPAHMVPPPPAAKDDKKVSKDVKDAATAGETVSVAANGPVEVSAAPTDQTATAATTVAAT >KVH95005 pep supercontig:CcrdV1:scaffold_477:204649:208976:-1 gene:Ccrd_002932 transcript:KVH95005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MQSTKSWIYALFYLTLITILLYLMQVWILSDKLMVSHHRITSKKHPNLPLRFNFDGTFKILQVADMHYGTGLTRCRDVLSSEFQWCSDLNTTLFLKRMIDAEKPDFIAFTGDNIFGSSTDDAAESLFKAFGPAMDSRLPWAAVLGNHDQESTMTREELMSFISLMDYSLSQPNPSTEDTSDPAKQQVITDIDGFGNYDLRVWGAPGSYLANQTILNLFFLDSGDRAVVNGGHKQENHQSEDIPMVPTPPPALAFFHIPIPEIRYGPFMEIFGEYHENVACSVVNSGVLQTFVSMGDVKAVFIGHDHLNDFCGNLDGIWFCYGGGFGYHGYGKAGLSRRARVILAELKKGKNEWMGVETIKTWKRIDDKKLTKIDEQILWTSS >KVH95003 pep supercontig:CcrdV1:scaffold_477:189600:198019:-1 gene:Ccrd_002931 transcript:KVH95003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MVKIQSLQSSCKSEIKKREALEFTTNTLKSGVFFDLKQLRWKWNLGPQKKSFKRFGSQSNQGQKFKRVGRCHVCGETRHYARECKDRKSGPPAANSFEGIQHLVANLHMEEIDMISEASTRIMAVRGGCRDSLAQKSANESTISQLHQDLVAHKNHVEALAKRLDRVHSDVEMTCELLHQLEMQDLKHRLMMEQEEKNGLNRKFADSRKG >KVH95001 pep supercontig:CcrdV1:scaffold_477:104271:126015:1 gene:Ccrd_002927 transcript:KVH95001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MVSEISKIIFFDYTKPENKRHDQKIIGTRGEQWKSSGKLGEEGFRIDIFTVVETRDIKKGNIWCLIYLTDQLMRVQVVNDLNKDFVIEALRSIAELITYGDQHDTNFFEFFMEKQVMGEFVRILKISKNVIVSVQLLQTMSIMIQNLKNYLFSNEHINFLITYSFDFRNEELLSYYISFLRAISGKLNKNTISLLVKTENEEIVAFPLYVEAIRFAFHEEGMIRTAVRALTLNVYHVGDVSVNKYIASIPHADYFLNLVKFFREHCISLNGMVSRTTESQGLESTSSILSAVDEIEDNLYYFNDVVSAGIPDVGTLITDTMLKLLIFPLLLPSLRIEVSGGKKFSSVTSLYLLCCILRILKIKDLANTVAVALICSIEGHLPNSEAKLNGFRADHDETHESHVDDEKPRGNLDSSSIIVSVPSLSISPSVQHNCWGSASVLRDALISYITSGDDVQVVGSLSVLATFLQTKELDESMLDALGILPQRKQHKKLLLKALVGEDSGEEQLFASENCTSKDGSDGELNIYLQRLKDQYEVSCSYQEVGESPRVLDALISLFCRTNISADTLWDGGWLLRQLLPYSETEFNNHHLQSLKDSYKKSCSQLLDEARGTWPDLLIQVLCDEWRKCKRAIEASSPRKEPKSILFPTKKYLPSDVMPAESSFAAGETMSECIKVFVLLHQLQIFSAGRSLPDQLPILPPADFPENSRAKSAGLNVIGPKLSTELNLVPCRIAFERGKERHFSFLAISMGTSGWIILAEESPQKPRYGTVRVVAPLAGCKPRIDDRHSRWLHLRIRPSTLPLTETAKNAANLKLKSKSLVDGRWTLAFRDEPSCKSALTMILEEMESQSSEVERRLRHTISEEET >KVH95006 pep supercontig:CcrdV1:scaffold_477:215587:224854:-1 gene:Ccrd_002933 transcript:KVH95006 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MASKVPSGNGIAAETPKTEAESEIHATAAIETERKVEEETSPAKEAEPAADHSTALAEKKWPGWPGDCVFRLIVPVLKVGSIIGRKGDLIKKMCEETKARIRVLEGPVGNPDRIVLISGKEEKEAPLSPAMDAVIRVFKRVNGFPENEDDGVASVPFCSIRLLVPSMQAMSLIGKQGSSIKTIQETSGCSVRVVSSDEVSTLYANSDDKVVDLQGEAVKVLKALEAVVGHLRKFLVDHSVLPLFEKTHNATATQERQVEPWAETSMLHTSSHPRVGGDYPLPMKRESLFANREPQRESQFSSSRLSFYGRDPGLSTTRSPALGGTSGPFVTQVAHTMQIPLVYAEDIIGVGGTNIAYIRRTSGAILTVQESKGLPDEITVEIKGTSSQVQTAQQLIQAR >KVH94998 pep supercontig:CcrdV1:scaffold_477:147527:158750:1 gene:Ccrd_002928 transcript:KVH94998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGSIMKEVPLGTIMALREKGPYDEEEDWQVLLKKAEKFELKELQRIIVKREQLLVLGKLLNDAYVIRRPKPSEYEHRKDLIRVFNEIAKEIYGNSTGFPVVEAFGSFSMDLFTTGSDLDLSINFRSSSLVFPRDQKIKTLRKFARKFYALQNGGHVRGVQPIMSAKVPILKVVDAGTGVECDLSVENRDGISKSAIIRFITSIDERFQKLSFLMKAWAKAHNINSSKDRTLNSLSIILLVAFHLQTREPPILPPFSAILKDGEDLASVKKSVRNFQNYGVRNTESLGELFVSFLIQLASVEKLWPKGLCASPYQAHWTSKTWDTKIASMSDLKVAAYDSATTLQVEDFTDRTQNVARAVGKSEVEEIYKCIHLTIRNLASFMSGEIQESELKRALFGIDKTLHHPVHAKPNVQPVTILKRRENLGTNRHVMAPNRVLEPRATQGWATRPPSLGYWDGTQRRSMTTGPDTSWVEQPFDHQSTKRMRAAEGVQRTQGWGSAYGGPGWSQGWGGASTVGWGGTHGAASMHNPRKRSQEMQPAKDPWGRTLLHPPAGNYYATPS >KVH95002 pep supercontig:CcrdV1:scaffold_477:66671:71709:-1 gene:Ccrd_002926 transcript:KVH95002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase-like, alpha/beta/alpha MGSTGFSWKLADHPKLPKGKLVAMVVLDGWGEASPDKYNCIHVAETPTMDSLKNGAPEKWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIFEDEGFNYIKECFANNTLHLIGLMSDGGVHSRLDQLQLLLRGASERGAKKIRVHVLTDGRDVLDGSSVGFAETLEAELSDLRSKGIDAQVASGGGRMYVTMDRYENDWEVVKRGWDAQVLGEAPHKFKNVVEAVNKLREVPGANDQYLPPFVIADESGKPVGPIVDGDAVVTFNFRADRMTMLAQALEYEKFDKFDRVRVPKIRYAGMLQYDGELKLPNHYLVSPPLIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNLELEEYVEIPSDSGITFNEQPKMKALEIGEKTRDAILSGKFDQVRVNIPNGDMVGHTGDVEATVVACKAADEAVKMILDAVEQVGGIYVVTADHGNAEDMVKRNKKGEPILKDGKVQILTSHTLQPVPIAIGGPGLIAGAGFRKDVPSGGLANVAATVMNLHGFVAPDDYETTLIEVVD >KVH95004 pep supercontig:CcrdV1:scaffold_477:231833:237826:-1 gene:Ccrd_002934 transcript:KVH95004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFPSHQLNNGLYVSGRPEPPKEKAPTMSSTAVPYTGGDIKKSGELGKMFDVDHNSSRPRKSGHLANAPLRTGSLGGGNTSHSGQTSSHSVNRMSSLSGGVPGSASMKKTSSGPLNKHGEPIRKSSGPQGGGMISASRQNSGPLPPVLPATGLLTSGPILSGPLNSSGAPRKSSGPLDSSGSIKSRSTSMVKNQAVTHLSQEDRNSFFRSFPKLVFWSILLLFVMGFIAAVFILAAVGNPVLLIVVVVLFAAVSLAFTWNACRGRKAVIKFISERSADQENLDFLKVVTCGNVPLESSFQRVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGLRSMERHVVDFYISDFQSGLRALVKTGYGARVTPYVDESAVIDIDQSNKDMSPQFIRWYIKEGSSVSVMGVVQRNDNVLMIIPPPEPVPTGCQWATCMLPASLDGIVLRCEDSSNLDVIPV >KVH95000 pep supercontig:CcrdV1:scaffold_477:45213:48588:-1 gene:Ccrd_002924 transcript:KVH95000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINHWDELQPHAKFSSDSTKYGFLSYHPCIYIAIKGPFTKHLLVFVLKRARMIKKGSCDSNVANEGVIGILLFLSNDLVQVVAKGFSVKESFC >KVH95007 pep supercontig:CcrdV1:scaffold_477:175550:188954:1 gene:Ccrd_002930 transcript:KVH95007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSPINCISKTKPSRTGLVSICTIWCLISPTATMVKAVVGEEIRLKSIEDSLSDSRLSCQVGLVIGKISTSLDRGFVFDLVPTPANDAGDAASWITESKDDDDDKKKGGSKSKPKSKSPSSFLSIDKDWVAEHARQVSRMLVGGMKVVGIYIWMNESLFKNSTLVLCQTVKGVADAAPFRESDWDERLLIHISYSPRRWTCRNCSLSSNITSSSLRPCDFKMGRALSTLQKFRCIYNFDIRFPIFHENVSSSGKFAEILRQVISSHAEELKGAKALIDGNLVNVDEQFVADSVHEVEFLIPFMQHTSIQAYSQEEEKEVVGILAFTGTLCSFAYSNSKEPISQALADLKGDIISSLHDRLDIICDEADGQLDSVADVGGDLVKEASTKMPISQLRLQLLRKQCSLSFPRRVFVPWLDDTYICDYIQPSETLEVLRDHCVELMSMEAPIDASMILEPEAESTSSITLSTGSFWETAMAPLSSEFRPSSLDNSRGDESVAKEQSKKSSNVSIMAALLVLILSVLVGVMIFMFRP >KVH94997 pep supercontig:CcrdV1:scaffold_477:164898:172049:1 gene:Ccrd_002929 transcript:KVH94997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVNSLRMSLDSLDIKAENTSLSLEEIASRKDILMKIKALDEENVKDLKQKARLRWVVDGEENSSFFYGIVNSNRRSNFIHGISSNGVWVTDPSEVKQVAFNFFSERFRSRSVIRPPFLCNNFKHLSPEQVSSLESNISMEEVKKAIWDCGSDKALGPDGFSFAFLRSQWDGIWRNILKIHKEFNKLNLPFADWFEREQRPNGIDFSWRWALDPHGTFSVSSLRAAYDDRSLDQLKAKWSSLLEDVEVVPARRISYGGSTGGEHKMTAVKVKEKAARWRTKIEILKWQRLRETVDWNRGVSWGCVWWIRAWEWAFKTAVLEHNYGLVTMVDGVISMAKENGWRGMDPIASWTSKRGQIIQGSGERIVGEVGKKGNDGVGA >KVH94999 pep supercontig:CcrdV1:scaffold_477:52428:65249:1 gene:Ccrd_002925 transcript:KVH94999 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain-containing protein MEGFGSGGASSRRITMASSSGSGNSRPLPQCGGRRRVVATKKRDRTNLLSVNNSVNKIQRREISSKRHRSFSNTNSIHRFRNIRLTEQYDTHDPKGHSSFVLPFLMKRAKVIEIVAARGIVFALASSGVCAAFSRDTNKRICFMNIFPDEVIRSLFYNKNNESLITVSVYASDNFSSLKCRSTQIEYIQRGKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTLLYSIADKNVEEIKISPGIMLLIFSRALSHVPLKILSIEDGTVLKDFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAEVKEVGRTEFMTPSAFIFLYENQLFLTFRNRTVSVWNFRGELVTSFEDHVLWHSDCNTNNIYITSEQDLIISFCKDDSDDQWTDGKAGSINISNIWTGKRIGKINSSNATPNEDDNHQRKIGGNTVAEALEDITALYYDEESNEIYTVWFVV >KVI06693 pep supercontig:CcrdV1:scaffold_4773:35167:37289:1 gene:Ccrd_014952 transcript:KVI06693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAASTAAASLGVSKMRGNPLNLSGASRTAPSASSPATFKTVALFQKKKPAPKAKPAPVTPASDELAKWYGPERRIFLPEGLLDRSEIPEYLNGEVAGDYGYDPFGLGKKPEDFEKYQAFELIHARWAMLGAAGCIIPEAFNKYGANCGPEAVWFKDFENKLHPGGPFDPLGLAKDPDQFALLKVKEIKNGRLAMFSMFAFFIQAYVTGQGPVENLASHLSDPFGNNLLTVISGNIERAPTL >KVI06692 pep supercontig:CcrdV1:scaffold_4773:37770:41290:-1 gene:Ccrd_014953 transcript:KVI06692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MEEIKWLHFWLSRGQILCKAHAQSYLCKGLVSVQRYWEALEIINSSLKLAHNTLTTDKKEELRSLGAQIAYNIDGPTNGWDCARYIVSQNPYSFAAWNCYYKIMSRLDKHSKFLHEKSIKHEDCIPVNLIKGHHFTMISQHQAAARYYLKAYKLMPENALINLCVGTALINLALGLRLHNKHQCVLQGLAFLYNNLRLSGNSQEALYNLARAYHHVGLITLAVTYYEKVLAIHQKDHPIPKLPNDGSEAMDDLKPGYCDLRREAAYNLHIIYKSSGAMGLARQVLRDHCSL >KVH45300 pep supercontig:CcrdV1:scaffold_4774:4210:9066:-1 gene:Ccrd_025734 transcript:KVH45300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSFVLRNGVEFRLKSRSSPPSACYSRNGDISLEVSDISIHFSVETNGFCAGSEFNGEKDGENHQLAVVKGEVGLGLQAELCKNQAVNPHGSSLAGFHMKEKDEDLQMLSGSLKNMQVIFSHVDGTHDGLGEGLCPMDITLGIHMLSIEIKECPVNTLKGVSFKPCDNGLGGNILEGNEDMGGITSTPTFNLEPATAVMLHSISAQSQVDQPPAGFEGAVEILISAQKVCTTWRKICKDPPMWKVIDLHQSFEFDAWDVDHDIDNLARQAVLRSCWELIDISIGCFGTDDLFEYISRW >KVH45299 pep supercontig:CcrdV1:scaffold_4774:1732:2262:-1 gene:Ccrd_025733 transcript:KVH45299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGLTQVLKRLPHLETLHLVNISIHSDDIEVIGRSCPQLKSFMKKTHYIEYGADALAIANNMAALHHLQLFGCAIPDDCLHPILHGCPHLESFDICGCFYLLLDGNLEEMCIERIKDFKFMLYNLPIDKYEFSDIDLYDYKDCDSSAMDLSDYEDYEFSGSSAISAEEDSDYYFL >KVI01733 pep supercontig:CcrdV1:scaffold_4776:17983:19279:-1 gene:Ccrd_019988 transcript:KVI01733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRRATPKSVLELMDVKDLTLSHVKSHLQGFAIGSAALVSLALFGAFVSRAGIETVDVLTPKVFIGLLIGAMLRY >KVI01732 pep supercontig:CcrdV1:scaffold_4776:17337:17967:-1 gene:Ccrd_019989 transcript:KVI01732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MKSVGSAALKMVEEVWRQFNTIPGLMEGTTKPDYATCVKISTDASLKEMIPPGALVMITPLVAGTLFGVESLAGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGGSEHARTLGPKGSEAHKAAVIGDTMGDPLKDTLGPSLKWF >KVI01734 pep supercontig:CcrdV1:scaffold_4776:23045:30185:-1 gene:Ccrd_019987 transcript:KVI01734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MGNQPTTRIAEDRKKTIKGRVVLEKKNFLVFEVTDLGASFLDRVHELLGKNISFQLISADHHESSGTSFVHLGFLRYHWFTKIAPLKIKELTYEVSFDWNEEMGIPGAFMVKNNHHSEFYLKTLTLEDVPGHGQLHFVCNSWVYPSKQLVILRGTGSGMLQKWDRVYDYAFYNDLGNPDDNVESARPVLGGSSEYPYPRRGRTGRPPTKSDPKTESRLPTFFKGLEIYVPKDEQFGALKLSGFLGYGLKSAVQFSVSKFWAQFDGTRDEFDSFEDVLKIYDGGLKLPDGVREKYRNILPLEMLKALAPSDDISNGIGSDDKSAWRSDEEFAREMLAGVNPVCIRLLEEFPPTSNLDLELYGNQNSSIKPSHIEQNLNGLQIKEAIKSNRLFILDHHDSLMPYVRRINATPTKIYATRTLLFLQKDGTLKPLAIELSLPHPDGDQLGAISKVYTPAKHGAEESVWHLAKAYVAVNDSGVHQLISHWLNTHAVIEPFVIATNRQLSVLHPIHKLLKPHFRDTMSVNAFGRQVLLNDGGLVEKTVFPGRYAMEMSSFIYKNWVLTDHALPVDLVKRGMAIEDSNSPHGLRLLIEDYPYAVDGLEIWFAIKTWVEDYCTFYYKNDEMVLKDLELESWWKELIEQGHGDKKHEPWWPTLDSCHNLIDTCTTIIWVASALHAAVNFGQYSYAGYFPNRPTISRRLMPEPHTKEYDEVEENLDNVLLKTMTAQHPALMGISLIELLSRHPSDEVYLGHREPNWTMDLEPLKAFEKFGKELKDIEERIEKRNGDKSRRNRVGVVDVRYTLLQPYSQEGLTGKGIPNSVSI >KVH45090 pep supercontig:CcrdV1:scaffold_4779:25175:29166:-1 gene:Ccrd_025735 transcript:KVH45090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVEEKVLRSLGWSHLVNLDFTKIQPTETGRVEMVYEFGIFSTWYVGKEMPNCVGDRRWKGSSISFTIPSSPCNLRGLNFFCVFTSGEFITNDGEFLAYIPHIRISNITKMCTWIYDVPGFFEGSREGITFSSHWMFGKNEMEDGDQIAISIILGPPYPSIRNTMESGVSLVYDEDGDEEDVLGYYKSWNHIIGGDLSPFQTTTPGEYCLQSYRFIGVQTSWPDYSYVDRGNASTITSLLVSYFNTMHLSFPKLLTHLLLKFV >KVH93312 pep supercontig:CcrdV1:scaffold_478:98242:99772:-1 gene:Ccrd_004638 transcript:KVH93312 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNC-50-like protein MRKGFCNCVFHSSSSSAETKNQWARDDPAFIVVCILLLAVTTLAYCATFLTNTYLLEETPNSHVVEQRVEWQVHELTFPLFFSVNILANWNFENVLPTGCTHSMCTVIPSSLLHFFLSPLLVAHGFIPLLLSNVILMVAVSYYHYLKLFRHIFCAVLPFLEKTTLFLYPIGVYGLNLAVILSGFNPSRYFLNVYFSKR >KVH93301 pep supercontig:CcrdV1:scaffold_478:195701:199192:-1 gene:Ccrd_004648 transcript:KVH93301 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MTTHGIHGNLLEVTVVSCNKLKDTEWISRQDPYVCVEYGSNRSRTRTCTDGGKNPTFQEKFVYTLIEGLRELNVNVWNSNTLKHDNFIGSGKVQLAKAISQGFDDSTWPLQSKSGRHSGEVRMIIHYSSAHKPANNHAQSAQPFGTPQASLYSAPPPSVSYPPPASAAPYPPAGGYPAPSPYPSYPPNSAAYPPSPYPNSGAYPSSSSPYPPQASPYPQPYPPNSAYPPQPYGSHYPPGTPYPPAYPPRFNVEFRVDLPSSKRNQEGISRIPSLFVCGRWSRWRFEGDP >KVH93309 pep supercontig:CcrdV1:scaffold_478:165123:166486:1 gene:Ccrd_004644 transcript:KVH93309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWLVVMVEASNEANDGCVLESGDGCVIVGENEDTTTSIPGLICSFDAFQDTLYLHSNCQFYRDRGVTSTMDFIFGHSAVVLQNCKIKPWQPLPNQFVTITAQGKKDPNEDSGISIHKCDITPLDYLTAPTYLGRPWKDYRQL >KVH93307 pep supercontig:CcrdV1:scaffold_478:168890:170029:-1 gene:Ccrd_004646 transcript:KVH93307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSTTVFFFLLLLSTSAAATTTTLASDVSALKAIKSAINPTTIPSYSCLASWNFTSDPCSNPHLTHFLCGLSCSGNRVTQLTFDRAGYSGTLSSFVSKLTQLLTIDLSDNKFSGPIPTALFFLPNLQTLILRSNSFSGTIPPAISSLTSIQTLDVSHNSLTGSLPDTLSSLTLTRLDLSFNKLTGPIPKLPKNLLELAIKSNLLSGYLSKTSFNELTQLEVVELSDNSLTGTIPGWFFLQPSIQQVNLANNGFTGIEILKPTDSNLIAVDIGFNKIAGYLSANFSAYPMLSSLSLRYNKLHGPIPSEYSQKATLRRLFLDGNYLSGLPPKEFFSGKSSVSGSLGDNCLRSCPTSSQLCLKSQKPSSICQRAYGGKVKPKS >KVH93311 pep supercontig:CcrdV1:scaffold_478:104768:108527:1 gene:Ccrd_004639 transcript:KVH93311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MGEIGRVSVYDALGGGAVADTLLWRKCYGGVVVLIVSTVIWFLFERAGYNFLAFVANALLLVVVILFFWAKSASLLNRPLPPIPDLDISEESVLATADEMRVWVNNALSTMHEIAVDGNLRTLILVAFGLWLISYIGSLFNFLTLIYIGILLSLSVPFLYDTFQPQVDDKLLVVHKNLSTVFKKADLILQNIPMSRKKEKKIE >KVH93314 pep supercontig:CcrdV1:scaffold_478:71770:73161:-1 gene:Ccrd_004636 transcript:KVH93314 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSICEGNQHTSVLLVTLSAQGHINPILRLGNRLVSKGLHVTLATNDSALNRNIPSDVNSVGRLHLEFFSDGLPINHKAVDRDSYMDTLGKFGPINLLALIRSHTRKFACIIHTPFVPWVADVAAEVGVPSAMLWIQPCTLYQIYYHYYNRLEEFPTQSNPNMSVKLPGLPVLEAEELPSFVLPSNXFRSLDGVLNTVFHNMHKVKWVLGNSFMELEKDVITSLNDAGRVFWPVGPMVPATLXGEEEDGGFDLFKSDDKSNCMEWLDKQEPTSVVYXSFGTLLFLSQKEIESIAIGLXKTKRPFLWVINPSENQERAELGFLDEIKEQGLIVRWSPQTAVLSHPSVGCFVSHCGWNSLMESLVAGVPIIACPQWTDQPTNAKMVTDVWGVGVKLKKNSGGVYDGEEMERCVEQVMSGPQFRKKAVELKRAAREAXAAGGSSDENIQMFVNEMMSSCSCNSKQK >KVH93308 pep supercontig:CcrdV1:scaffold_478:186540:190621:-1 gene:Ccrd_004647 transcript:KVH93308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MSTSSPSSSSFFDTLFYCEEQQPADHHPWQWHHQQYYDHDFLWEDEELVSLFTKQQQTLSFLRTDPSLLIARKQAVDWILKVKSHFGFSHLTAILSMNYLDRFLSSLHFQKDKPWMIQLLAVTCLSLAAKTEQTQVPSLLDLQVEETQYMFEAKTIQKMELLVMSTLKWKMNPVTPISFLDHIVRRLGLMSHHHHHLHWDCFFKKCEDIILSLVSDSRFVGYEPSVMATATMLHVIEEVDLFNSVDYQNQLLDVLKTTKDLVSQYPIRVQSTCSTVTQNQPCRTVSISITVEVRRRWRCGRDRCWFLRKVGWDEGGRRYGNGCAR >KVH93302 pep supercontig:CcrdV1:scaffold_478:218768:219745:1 gene:Ccrd_004649 transcript:KVH93302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVAGVNFNGYNFSGPHLTLSELILGLKDIAFFHANSNGFLGTIPKNISQLQYLYELDLSNNKLSGSFPNAVLGATKLVFLDLRYNNFYGKVPPQVFQLDVDLLFINNNRFIQTLPATLGSTPALYLTLANNKFIGEIPRTIGNASNTLLEVLFLNNQLTGCLPYEIGLLRKATVFDVGGNSLTGPIPSSFQCLEKMELLNLAHNKFSGIVPEAVCSLPKLSNFTLSYNYFTEVGPQCRKLIKTGVLDMKMNCIIDLPNQRSPADCRKFYSTPPLYCPYAKYLTVNCPKRYTLESSDLQSTAPATAPAPGPASRGQTYGALSPH >KVH93310 pep supercontig:CcrdV1:scaffold_478:166489:166899:1 gene:Ccrd_004645 transcript:KVH93310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRIGGILHPLGWLEWDKVKEAHGRPETEAEWRKRKELQSFQPVGGEEKTSGEAKECDGC >KVH93316 pep supercontig:CcrdV1:scaffold_478:11653:13083:-1 gene:Ccrd_004634 transcript:KVH93316 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MVAQQNLVHVFLVTFQGQGHVNPLLRLGKLLASKGNLLVTFAATKSIGKKMKKADAAVSGDPTPVGNCGGMIRFEFFDDGCSEDNDDERHDRATYLPKLEAYGKKAIAAILDRHAQDGRPVSCLVNSPFIPWVSDLGEELNIPSAMLWVQSCACFSTYYHYTNSLIPFPSEKQPDIDVHLPNMPMLKSDEIPSFLHPATPYPSLKAAILKQFRNLPKTFCVLMETFEELEDDLIKYMSEICPIRPVGPLFNYPLLETTSNISGDLIKVEKCLEWLDSQQPSSVVYISFGSVVSLSQEQVTEMAYGVLNSGVSFLWVVRTTATSTGVPGRLPKGFMEDVGERGMVVHWSPQAEVLSHPAVSCFVTHCGWNSTMEALSSGVPVVAFPQWGDQVTDAKYLVDEWKVGIRMCRGEAEERVIGREEIAKCLKEATSGAKATEMKKNALKWKKSAAEAVAEGGTSHRSIQEFVHDVKKIGVQ >KVH93306 pep supercontig:CcrdV1:scaffold_478:123835:136895:1 gene:Ccrd_004641 transcript:KVH93306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein of unknown function DUF303, acetylesterase MIHLFFFFFFFFISSISALNSDGILLLSFKYSVLSDPFSVLDNWNYDDLTPCSWQGVTCEPTLQFDSNVVSLVLPDSHLLGSIPQDLGMLPHLRSLDLSNNSLNGTLPPSIFNSSVLESLSLSSNVISGELPELISGLHSLKVLNLSDNAFAGKLPEALASMNNLTAVSLKNNYFSGSIPGGFDHVEVLDLSFNLFNETLPLDFGGERLRYLNLSNNKLSGSVSPEFAGKIQAIATIDLSFNNLTGEIPQLLSLSNQKTEFFAGNLDLCGKPLDRMCTIPSSLSTPPNVTANGSASAAIAAIPKNIDSSPSSVNTPGTSAMADNHGGPKVKPAKIAAIVAGDLAGIGLLAILFLYAYKLRKKRMNQNQNPTTKIEESKISKDTTSTRPLSTTFSCLNGVTGDESSETATGSDSDHENDNLTIDVKQKCLVMVDGETELEIETLLKASAYVLGSSGSSIVYKAVLGGGSGGGGMAFAVRRIGDSGVERMREFENTIRIMAKLRHPNLLRVRGFYWGEDEKLVIYDYVSNGSLAGAGYSKLLLLPPSLHSIPFEVRLKIAKGVAMGLAYIHEKKHVHGNIKPSNILLTSEMDPVISDFGLEWLISGKHNYKTKGSNRHFGSKRSISSREEMMIHHDYHHSASSSPYMAPATGLLGCTSPYHAPESMKSLKLNPKWDVYSFGIVLLELISGKVFSERELGLWNTASSDIEDENSILKLVDMSIRSDIDGRRDATLACFKLGFKFGDPQVATMGITSSTQKDDQPTTTTTKKQIFILSGQSNMAGRGGVKNKHWDGIVPRDCQPDPSIIHRLNANLIWETAQEPLHADIDTKKICGVGPGMSFANAVKDYINGVIDLVPCAVGGTAIKEWGKGEKLYEDMVRRAKSAASSGGEIKAMLWYQGESDTSSKNVAESYKTNMETLIHNVRSDLGLPSLPIIQVAIASGDAKYMEVVREAQKAIDLPNVVCVDAKGLELKEDNLHLTTESQVQLGHMLADAYLAHFG >KVH93313 pep supercontig:CcrdV1:scaffold_478:94398:94769:1 gene:Ccrd_004637 transcript:KVH93313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSVAFIDGPMVEKFVEDSETFEKCIDERFSMLDVNSDGVLSRDELQKEMNDPSSVESELQSKEEVDSLYDALFEKFDTEKKGTLDRQEFGSLMKEVMLARAHGFGNTPVCIILQEDSLLQKVV >KVH93305 pep supercontig:CcrdV1:scaffold_478:109294:113873:-1 gene:Ccrd_004640 transcript:KVH93305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3611 MQSFLLPAARSGSAPPPLAVNRPSLPNLHRPISGLNIPSLPTHPSLFFTPSSPFSPHIYRNQIKYPNKRASAPICAANDSPNVDLDKAKLAQVAKRLESTSRYFKRLGSLGFWGQLVCTVVAAVILSFSVVITGKITSPATFYSTVGGIVAAFLSVFWSFGYIRLSDRLRRTVNDPSKAPPRGEVVKRLKNGITLNLLGIGAAILGMQATVGMLVAKALTTSANPYYVGIPPGNSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTVPPTDSVPVPRTAAA >KVH93304 pep supercontig:CcrdV1:scaffold_478:155734:158757:-1 gene:Ccrd_004643 transcript:KVH93304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSSKKDETKHSDRKFEKKLEFYSKVKETVALGAQKGIKKKKKLRSRQKKLKAYNLTSLAEFLPDIEPSKQPTPADFKVTTKSKQKLVMKETNQLKTVLNDPEFKADPLAALHQHLQSTQPVPDEKPARKKETGKKGRKKKKKKKGSKTPTETQSMEE >KVH93315 pep supercontig:CcrdV1:scaffold_478:47053:48522:1 gene:Ccrd_004635 transcript:KVH93315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEDLVHVFLVTFPAQGHVNPLLRLGKLIASKGNLLVTFSATKYIGNKMKKAGAAVSGDPTPVGNRGGMIRFEFFNDGCSEDDDEEIHDLDTYLPKLEAYGKRSLTVIINHHAQNGRPVSCLINNPFVPWVSDLGEELNIPSAMLWVQSCACFSSFYHYENLLEPFPSEKQPDIEVQLPNMPVLKSDEVPTFLHPSTPYPFLKRAILGQFKNLSKTFCVLMETFQELEDDLIKYMSQICPIRPVGPLFKHPLLETSSNISGNLIKADDCLEWLDSKQPSSVVYISFGSVVNLSQEQLTEMAYGVLNSGVSFLWVMRKGDTFNGVKSGRLPEGFSEAAGDKGMVVQWSPQTQVLSHPAVSCFVTHCGWNSTMEALSSGVPVVAFPQWGDQVTDAKYLVDEWKVGIRMCRGEAENRVIGREEIGQCLKEATNGVKAAEMKRNALKWKKAAEAAVEEGGTSDRNIQEFVDDIMKIRRSTKTPVDQLQTAT >KVH93303 pep supercontig:CcrdV1:scaffold_478:148945:152657:1 gene:Ccrd_004642 transcript:KVH93303 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MAASASSSLISSRSPLKLTSLSSLSWKFPVSVSLPRHQRRRASQVFIVLSMDAKPTVLVAEKLGEAGLDLLKDYANVDCSYNLSPEELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLGAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASIKAGRLFLLCYVVDFIVQVHENVIDAGKWQRNKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMHVIAHDPYAPADRAHAIGVDLVSFDEAIATADFLSLHMPLTPATSKILNDENFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFTVEPPPKDSKLIQHENVTVTPHLGASTMEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVLAELKPYVTLSEKLGRLAVQLVAGGSGVKSVKVTYASARAPDDLDTRLLRAMVTKGLIEPISSVFVNLVNADFTAKQRGVRISEERVILDGSPESPLESIQVQIANVESKFASAISESGDITVEGKVKDGVPHLTKVGAFEVDVSLEGNIILCRQVDQPGMIGTVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDEQPSKSALKRIGDIPAIEEFVFLAL >KVH93595 pep supercontig:CcrdV1:scaffold_4781:9196:17843:-1 gene:Ccrd_004353 transcript:KVH93595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Redoxin MAYAVLRRSSMMKSMATGIGESWRRFASVAVGTDLVAAAPNVSLQKARSWDEGVSSQFSTTTLSDIFVGKKVVIFGLPGAFTGVCSAQHVPSYKKNIDKFKAKGIDSVICVSVNDPYTMNGWAEKLEAKEVIEFYGDFDGKFHKSLELELDLSSALLGHRSTRWSAFVEDGKIKVLNVENVPSDFKVSGGEVILGQI >KVH45088 pep supercontig:CcrdV1:scaffold_4782:16045:18491:-1 gene:Ccrd_025736 transcript:KVH45088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLAGNKVNNIDVVYTSWQNLKKTPSMDVGQVGFHNNKAVRTVRVEKRINEVVNRLNRTKVERKPDLKAEREAVNAAERAERKQQLRDKKRREEMERLEKERQAEVRSYKNLMVADKMTSNKDIASTHKSLQELEEDFM >KVH45066 pep supercontig:CcrdV1:scaffold_4783:4111:4707:-1 gene:Ccrd_025737 transcript:KVH45066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MEQTKFLSLFSLIFMAMAILVVVPASSSSTKTTKTYTNFVKTSCNSTTYPSICLTYLLPYATAVKSNPQRLVKHALSATLKSAATTRVTVLKLAKAKNITKGDAAVLKDCVEEIQDSIDEIKNSLKAISSLKSSANKKFVISNAQTWTSAAITDENTCIDEFSEENVSPAIKSKIRNSIIRIARLSSNALYLINHLNI >KVH96476 pep supercontig:CcrdV1:scaffold_4784:11799:12824:1 gene:Ccrd_001438 transcript:KVH96476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MGVTTYDMEVETAVPAAKLYQSFILDGDTLVPKVAPQAMKSVDILEGDGGAGTVKLITFGSQFKSVKHKIDEVDKDNLSYSYSIIEGDILVDPLESISYHIKLVPTDQGGCILKHKSIYTCKGDVKIAEEDIKNGKEKAQGLFKAIEAYLLAN >KVI06827 pep supercontig:CcrdV1:scaffold_4785:37123:38094:-1 gene:Ccrd_014818 transcript:KVI06827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAINHRKLLSVSDSGETTDCSNCSTTCPYDCDYAQIYWPPEPPQLPPSTTTSHDSVTTHLSPYLIVILAVIGSAVLFISYYLIMIRYCTRFRLPSATQVNEELQDSMNEDHRPEIEHPIWYITTVGLQPAVINSITVVKFKKGDRLIDGTDCSVCLSEFEDDESLRLLPKCNHVFHIPCIDTWLRSHTNCPLCRVAVFSNNPNSISQPNDGNRNHGFGSNQITQMENAENNGDLGTNRVQESGNQENRRETGNGDGVEDGSKDDQDSKRRENETVVSLRRSFSMDLATEIVHMELNLSSEHMRDSRTGRMMMRSFSYGGRSII >KVH89857 pep supercontig:CcrdV1:scaffold_4788:4144:5013:-1 gene:Ccrd_008149 transcript:KVH89857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFSFLTDSDDDRAVEDVLEQAMDHSVLEQLAAINCSSFSTTDNLPSHLETRFRKLKSLPTTTASPAASRFPPSKSRSLRPRSRNDVDLDERHPGFCGSADFERIPDGKKGLESPPSNDSPEEQSDGNRCERKGLESKSKSNLKSDTSPRAEVYKQKDLKAKSGTGSSKSQSDSWSLSSPESDTGTLSPPRRKIGCLWCSPKKEKSVPRKQGKENRQSSSSSWGNDADFLKAFSIKEQKKMMKKAMKEEEKINREAEKIVKWAKQASARMMDVSGVDDELSDIENTK >KVH44674 pep supercontig:CcrdV1:scaffold_4789:4856:7790:1 gene:Ccrd_025739 transcript:KVH44674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MSKAMRNTEMKETGEIERDGEEIEKKDDDHDHLQVSKRLQPWTKQITIRGVIASIILGSIYTVIAMKLNLTTGMTPNLNVSAALLGFVYMKTWTKILQKSGISTVPFTRHENTMIQTCSVASYTIAIGGGFGSYLLALNKKTYEMAGGANSPGTYKELRIGWMTGYSFLICFAGLFVLIPLRKILIVDYKLIFPSGMATAVLINGFHSQGDVMAKKQIKGFAKFFSVSFLWAIFQWFFTGKGECGFAQFPTFGLEAYKNTFYFDFSMTYVGTGMICPHIVSFSLLFGAVISWGIMWPLIEKQKGEWFPSNVPASSMESLNGYKVFISIALLLGDGLYNFIKILYFTYASIYGRLKRENLNLAIGGKNEVTEEERQQNEVFIREAIPMWVGMVGYTSFAILNAFVIPLIFLEVKWYYVIIAYIIAPSLAFCNAYGTGLTDWDMAYNYGKIGLFMMAALAGKHHGVVAGLVGCGLIKSVLYVSSTLMHDLKTGHLTLTSPRTMLISQAIGTAIGCIVSPLTLSLFLKAFDVGNPDGEYKAPFAIVFRNMAIIGVQGFSALPKHCLDLCYGFFAFAVGINIVKDLLPTKIGKWMPLPICMAVPFLVGGYFSIDMCVGSLVVLVWQKLDVKKAELMATSVASGLICGEGLWILPAAVLSLARIKPPICMKFLSS >KVH44673 pep supercontig:CcrdV1:scaffold_4789:36695:38877:1 gene:Ccrd_025741 transcript:KVH44673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MKIRMSMHSLVFWYLCLVHVSCCFCFTNFAFGDSIVDAGNNNYLPSLSKADYSPYGIDFTPSGGKPTGRYTNGFTIVGRIPLRMQIKNFERSRAEMVKTMGENSTRNFLKDAIFSLTIGSNDIITYFLPNLPFRLHELGARKMVIVDVGPLGCIPFVRAIHLLPEGECHEEMNTLIRGYNEKLRVAVHRLNQEIGMDSIFVYANSYDVINGILQNYGDYGFENVNDPCCGGYFPPFFCFRIGDEKEISSSLCEDRSKYLFWDSYHPGQAANFIIAQQMLNGDESICSPINVRQLHSHKL >KVH44675 pep supercontig:CcrdV1:scaffold_4789:1491:4201:1 gene:Ccrd_025738 transcript:KVH44675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTNPTLVYSPKPSIGFKKIQFYNCSNPFRSLCSSRPASFAVKCTVNDNASIGSSGQRNNGGELKNLLSGVVDETVEELLNREENRALLDGLDKATQRVELAKRELAQIEKQEIESKKMKQYINQLETRAAEIEECQKELSEARALVEEAERSLDVGVGDRDATMTETEREAMYKNKERFESVKAASVSAIVGTLASLPISLSQVTETSQLILPSVITLISCALFGVTFRYAVRRDFDNFQLKTGTSAAFGFVKGLATLGGGPPMELEVGSVLSHAFSGAVFISENLLIFLFATVGLDFCIKLGILSPFPIETSVSKTKVD >KVH44676 pep supercontig:CcrdV1:scaffold_4789:27487:30541:-1 gene:Ccrd_025740 transcript:KVH44676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MWEEALVSGGKMGVIGALTMIGKLVNDVLSFVIFSVLDVLDLILCYVYKIIDFFIEAEWKPCYCSSPKEAITGSGKILVSEKGESKKIVCLTSTKLQLEEISDTLYTRPSLVAEVSKTTVKELKRRKISDTTTVISTTSINKSTVRSTFTVNNTIVEMLQEKIGAHKSHPTPRSTKSKYRLFAIDLLGFGKSPKPHDSLYTMKEHLDMIERSVLDEHKVKSFHIVAHSLGCILALALAVKYPNSVKSLTLLAPPYFPTPKGEQATQYMMRKVAPRRVWPLIAFGASLACWYEHVSRTICLLICKNHRIWEFLTKLITRNRIKTYLIKGFCCHTHNAAWHTLHNIICGTAGKIEGYLDTVQNQLNCSVNVFHGEDDELIPVECSANVKTKVPRAHVKVVKKKDHITIVVGRQEAFARELEEIWRNSKTS >KVH96193 pep supercontig:CcrdV1:scaffold_479:193756:196938:-1 gene:Ccrd_001720 transcript:KVH96193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLGVSAMGGLVLISLSLCLISGEAVDLSEKSQLLELKNAIVDPHNLLSTWKSTNPNHCSWFGITCNLHGRVSELRLPGGNFAKSGSCFSSKYSDLALHGFGIVRDCSSFKKGKSLGGKLSNVIGKFSELMVLSLPFNQIGGELPTGIWGLKNLEVIDVEGNSMTANLSMIDFTHLKNLKVLNLGFNRLFGEIPNSLSDCQDLSVLNLAGNRIEGIVPAFLGNFVKLKGINLSLNRFMGSLMDGFWSNCHVLEHVDLSSNFLNGKIPKSFGNCSKLRTMLLFSNSFSGNLPFELGNLRMLEILDVSRNNLGGIIPTSIGNCLNLSILVLSTDFSDHHRDGSIKDGNFFESSVPLQVAMLPNLKLIWAPNANLDGEFPRNWGDCQALRMVNLAGNHLKGEISGVFENCPNLRFLNVSSNRISGVLDEKLHLGSMTMFDVSRNLMFGSIPEFTIAPFCGLNSLHRFDHETVYLSYFAHKSRLENHLPFSRFTRAMIHDFSDNNFTGSIPLFPMISEFTSLGRTGIDYAFLAGGNMLSGGLFHGNLSKICNNLNGLFINVSNNIISGEIPTNVGVNWKCLKFLDASKNQISGQIPVSLVNLRNLTVLLLNNNQLSGEVPLGRLDLKSGFKFNFSFNNLSGSIAMDRDAINCNRLIGNPLLRRCQFVSLSSVPTQEPWAVGLESLNSTVSSNSNGGKKGMSSFELVLIIVPSVIVIILIALIIVYLYLRKRKPNSAVSGSSAAAGMSSRPPPPPRSSGQPEPLVVFKEIGVELTLDSVVQATGNFTSRNCIGSGGFGSTYRAEISPGTTVAVKRLTIEMCQGLPQFNAEIRSLGRIRHPNLVTLIGYYASSSEMFLVYNYLAGGNLEKFILERSIRVIGFKILHKIALDVANALYFLHDQCKPRILHRDVKPSNILLDEDFNAYLSDFGLARLLDDFETHVTTGVAGTFGYVAPEYALTCHASEKADVYSYGVMLLELISDKRALDSSFSSQENGYTIVSWAVMLRQEGRAQEVFAAGLWEAGAEDILVELLHLGLQCTAESVAMRPTMRQVVRKLKQIQPASEC >KVH96191 pep supercontig:CcrdV1:scaffold_479:215322:221052:-1 gene:Ccrd_001722 transcript:KVH96191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGAQPIPPRRPPMEPLRQQGNGDFTAIITVLFAFIVICSMVLIPSSSPNLKNSLTILHQVPEGHVGVYWLGGALQKTTTTPDVTVRLLWILGFHLKLPLITHYEPVQVTLQTDLVRDIPCGTKGGVMINFDRIEVVNRLNKESVYETLLNYGVEYDHTWIYDKIHHEINQFCSVHSLQEVYIDMFDQIDETMKDALQADCTRYAPGIEILSVRVTKPRIPESVRRNFEQMEEERTKVLVSVERQRVAEKEAETQKKIAITEAEKNAHVSKIIMEQKLMEKDSSRMQEEISNAMYVAREKSLADAKYYQTMKEAEANKLKLTPEFLELKFIEAIANNSKMFFGNKNMPKF >KVH96195 pep supercontig:CcrdV1:scaffold_479:240111:242322:-1 gene:Ccrd_001724 transcript:KVH96195 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MAFLAIFMLHLLAMAAYSNAAYCVCNTALSDSTLQKNIDYACGAGADCSQISQNGPCFNPNTVKDHCNFAVNSYYQKKGQVDGSCVFSGTASVVPTPPSGANSACFSGNPRGDLVFADVERCVDEMLML >KVH96206 pep supercontig:CcrdV1:scaffold_479:20674:49598:1 gene:Ccrd_001708 transcript:KVH96206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Creatinase MKSAAAIMSGLSLSTTPRPSAYLSPATTLSSHRCLRFFSLSLPIYRSLNFTKRPPIHVNHSNPMLIIRNSSSSSSGSYSITAKPSSEMHKNQNGNSRGMEQDQKLIALREQFGKPGINIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAIVTRHKAALWTDGRYFLQAEKQLSSSWTLMRAGNWGVPNTTEWLNDVLAPGGRIGIDPFLFSSDAVNELKEAVAKSSHELVYLYDVNLVDEVWKEARPMPPNEPIRVHDHKYAGVDVSTKLSNLRSELTDAGSSAIVISMLDEIAWLLNLRGNDVPHSPVFYAYLIVEIDAAKLFVDNSKVTPEVMDYLKKAGIELRSYESILSEIESLAARGAHLWLDTTSVNAAIASTYAIACNKFTENLGSKSKGKDFATRGSNRLSSAPCALCKSSPVSLAKAVKNHAELEGMRNSHLRDAAALAQFWSWLEEAVNKNVILTEVEVADKLLEFRSSQVGFVDTSFDTISGSGANGAIIHYRAEPDSCSIVDAHKLFLLDSGAQYLDGTTDVTRTVHFGEPSERQKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSSLWKVGLDYRHGTGHGVGAALNVHEGPQSISFRFGNMTPMLKGMIVSNEPGYYEDHAFGIRIEVFAPVWDCMGKLFQKNNLLFVKEIDTPNHFGGITYIGFEKLTFVPIQTKLVDLSLLSAAEIDWLNDYHSQVWEKVSSLVDGSAQHGTCEPFMVVVDLLCKILCTNTNSVDNQNRFILLSAGWSISTMTRPNQTNLTAVLSSGGICQKVTDLKDVRRLFNQQNRLGYFVFGRDRYETLDKSYLKHILRS >KVH96200 pep supercontig:CcrdV1:scaffold_479:109938:110188:-1 gene:Ccrd_001711 transcript:KVH96200 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein TFSGDFKRGQSSSSSSSTSNEPTSPKAPFVVKPPEKKHRLPSAYNRFM >KVH96194 pep supercontig:CcrdV1:scaffold_479:200597:212573:-1 gene:Ccrd_001721 transcript:KVH96194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MSARSLWVWPWPWLLLNILLFLPKIPSSHLPPPVPTCRDKCGNLTIKYPFGSDFGCGHPDFARYIKCTSGELRFSSGTGKYTVSTIDYTTSTLVLTDLFMSTCSSMQNSGSFRLDRASPFTLSSQDIFILLGCSRTSPVFDPDEDLCDSGSGLHLCRGLYSCEGVTGIGLKPNGPISSCCVYQPMIDLGPGYWLDLPKLQCSSYASMYGFGGDETDPMKWQFGISLEYNDSYYTETCKNCEASGGFCGNNEKHDYAGILNGTIHVVAGGVQRGIQVIGLLAILCSIGGVVSHGDQPFAKISLNETLFVLDAAAFVKASPLVLGLKYKYANYSSPNYKDTGEASLKFQLINQRSDFSFALFTGGLSKMTITWTSGYGVDEAEPFVEWARRGEDKRRSPAATLTIDRNSLCGAPARTVGWRDPGFIHTGFLKELWPNSVYTYKLGHKLLNDTLIWSRVYQFRSSPYPGQDSLQRVIIFGDMGKDEADGSNEYNNYQRGSLNTTKELIGDLKNYDIVFHIGDICYANGYLSQWDQFTSQVEPIASAVPYMIARYSTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASVDRQKQPWLVFLAHRVLGYSSTSFYAADGSFGEPMGRESLQKLWQKYKVDIAIYGHAHNYERTCPVYENICTSNEKHAYKGSLNGTIHVVAGGGGASLTEFANINTTWSLVKDVDYGFLKLTAFDHSNLLFEYKKSSNGQVYDSFSISRDYKDILACTIGSCPTTTLAS >KVH96207 pep supercontig:CcrdV1:scaffold_479:60101:72496:-1 gene:Ccrd_001709 transcript:KVH96207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-type fold MTLARAAVEAFKSSALVSWRSTGKLQQTIGGCIEKTGRALHSGNQSTVRIWPELSGKGRYFDFCSRLIPASIDFVEESPLCTTLRKDGHSVRTVEHLLSALEGTGVDNCRIEILNSDHNDPSVEVPIFDGSAKEWVEAIEQVGLTVAMDRNGRSCDKLAPYLTHPVLVSKGDSVISAFPSKEINISYGINFPQVSAISLQWFSSVVCTDSFFSKQIAPSRTFCIYEEVEKMRNAGLIKGGSIENAVVFSTSKGLLNPPLRSPEEPCRHKILDLIGDVSLFARDGSQGIPVANIVAYKGSHALHAAFVRQLSGIS >KVH96203 pep supercontig:CcrdV1:scaffold_479:150243:153321:-1 gene:Ccrd_001716 transcript:KVH96203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGGSSGGGQSSLGYLFGSGEAPKPAPKATQAAPCETPAANNVTAAKPDPVSPPVDIAKQVPAGINSNPSNNYFRADGQNTGNFITERPSTKVHNAPGGGSSLGYLFGSGGGGSN >KVH96192 pep supercontig:CcrdV1:scaffold_479:230801:237768:1 gene:Ccrd_001723 transcript:KVH96192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MFTATTISASFSSMEITKTFNRRTPPRFRASAEVFPSFLPKDVEKIKDPFARKLASRIERLPVELTSSNNCIMSSCVKPMIEASTNPLVLLHGFDSSCLEWRYTLPLLEQAGYETWAIDILGWGFSNLAKLPLCNVDSKRDHLYQFWKSYIRRPMLLVGPSLGAAVAVDFAVKHPEAVDKLILIDASVYAEGTGNLSKLPKFVAYAGVSLLKSLPLRIYATSLTFKSLPFTTCLDWTRIGRLHCLLPWWDEATVDFMNSGGYNVLQKTLIIWGEDDQIIDSKLAVQLHSELPSAIIRQIPECGHIPHVEKPDAVAKLIKDFITTSALPSFAKQEEKELVRQLE >KVH96202 pep supercontig:CcrdV1:scaffold_479:161349:164128:1 gene:Ccrd_001717 transcript:KVH96202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MVRKSRFSKVPSLPSIPKTNTHPYGHRLSAQAVAQAFVERYYQVLHAHPEDAHKFYKDQSILSRPNADGSMRTITTAKGIKDEIMASDIKDWTTKVLSMHAQDSLEDSVFIGVAGALHNKDNATRYFSQNFFLAPQTKGFYVHNDFFQFIDEGIRPKITEDCVQIIMKDKCTSTEDDIDNRNQISADSSDTKNSVLPDDQKISASPIAQSVSCTDQEVVKKVSYASIVAKASSLPSSNAAVKILQNKEKQSHDPPSVVPVKPSSPPKNAEGTITEAAHKVLEKEQNHKTSSTEESSGAKNDDQLVEKNSPDSDGQESANKETYASIVAKPIPPQASRQVLADKPSSSTISTIPPKQGPAPSFVRTVLIKDIPPHLKLNVLTKALRSRFGSLNHKYIQIQEYEDGYRYAFVEFNQPKSARQAVQVGSIKFADWECQIEPKKSKQDSEARGVRGSCGTNRGGNCCSSI >KVH96197 pep supercontig:CcrdV1:scaffold_479:180883:182407:1 gene:Ccrd_001718 transcript:KVH96197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSIQTKSMFPRDLLQRFTVGTSGAGAPRRSDPEEDLGSVSGEEVELNLGLSLGGRFGVDKSNTKLMRSSSIATILPVVRDDDVLGGGHGNPVPGRSYAGLIRTSSLPVETEEEWRKRKELQSLRRLAAKRRRSEKQRNLTKGERDEYVAAMSRVGSSAGPPFRSTKWASGGATDAYSGGGATRGGGGGLSSQTSMESQGSMSEFESRHRQGSSGNGGETSSLQERKSHDATGSNPPEGEAPAKKQGKEIGSCSIEEMPCVFTQGDGPNGRVIEGILYKYGRGEQVKIMCVCHGSFLSPAEFVKHAGGTDVDHPLKHIVVKPSSSFS >KVH96196 pep supercontig:CcrdV1:scaffold_479:186988:189676:-1 gene:Ccrd_001719 transcript:KVH96196 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MATRFARTDTNRSLETLLDLDKSSKKMGGQLPNQKLEPGHGLEFNDLSYSVMKKQKKDGVWITKETFLLNQISGQAMRGEIMAIMGPSGAGKSTFLDALAGRIAQGSLEGSVRIDGKPVSASYMKMISSYVMQDDQLFAMLTVFETFMFAAEVRLPPSLSRSEKKKRVVELLDQLGLTSTAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAFSVVEKVKDIARSGSIVLMTIHQPSFRIQMLLDRITVLARGKLIYLGSPNALSAHLAGFERPVPDNENNIEYLLDVIKEYDESTIGLDPLVMYQRDGLKPDQVAQTPIPKTPRTPYGKTPHSQKHIALRSLQFSSTSTTPRANSTTFDYHEGESEDEFDNSRERKMANTPMNMQSGAYNPRLASQFYKDLSVWIYHGVKGTPHRAPSWTPARGSTPGQTPAGMTPMSTSLNRHRIKTPVFSPASDSYVSYENALEPEVLDEPDLGPKFANPWLREVMVLSWRTALNVVRTPELFLSREIVLAVMGLILASLFENLSHYDFKTINRLLNFYIFSVCLVFFSSNDAVPTFIQERFIFIRETSHNAYRASSYVISSLLVYLPFFAIQAFTFAAITLFILKLNSNLFNFWIILYSSLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKASHIPPYWIWLHYISAIKYPFEAMLVNEFKGTRCYTGDFSELSPGPLGEVKISNLHKHELTKQGVDCLLIGEDVLTSMDIKWENVWYDIGILLLWGVLYRVLFYVVLRFYSKNERK >KVH96201 pep supercontig:CcrdV1:scaffold_479:76496:103448:-1 gene:Ccrd_001710 transcript:KVH96201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Casp domain-containing protein MAIECLVLGAGQEVGKSCVVVSINGKRIMFDCGMHMGYADHRRYPDFSLISKSGDFDDALSCVIITHFHLDHIGALPYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVGRGEEEQFTYENILDCMKKGIKPSFLPFHAGPKKIAIHVLGAAMFYASMGDAAMVYTGDYNMTPDRHLGAAQIDRMQLDVLITESTYATTIRDSKYAREREFLKAVSGSLEFVLFQEFVKSRSQEMNRHRLQHTILPPYQREWMIGMKDLFNFFQVHKCVANGGKVLIPTFALGRAQELCILLDDYWERMNLKVPIYFSAVHSFDRSLLDAPGPCVLFATPGMISGGFSLEVFKHWAPSEANLITLPGYCVAGTVGHKLMSGKPTKVDLDKDTQIDVRCQVPFCAFSPYIHLCLQHKKYMFSCSNATKHLYTNLVLTYSFLFQIHQLSFSPHTDAKGIMDLVKFLSPKHVVLVHGEKPKMDLLKARIKSELGIQCYDPANTETVSFPSTQFVKADASNTFIRSSLTPNFKFLKDEVKAMAPLEVCDERVTEGILAMEKGQKVKILHQDELLTMLGTEKAEVEFGYCFALHICSLKRVLPAIEEISVFDENLLLSVLNMKLSNEVGMQDRVGSLEVNSFRLSICKNDKCPHRRNGDGDGNGDGSSEGIYFCCNWSNLDMKLAWRVISLLKNMDSGCLFCCASPTFGSFKMAEMSTETTTAPLLMPRQAAGESQSGGGARPATLALLLGRASGRRGASMLVRETAARQLEERRADWGYSKPVVALDITWNLAFVAVSVVLLICTLEEKPNVPIRVWICGYALQCAVHVVLVWLEYRRRNRRVQEDERTGESDSNFDDSEDDDGRIGAFLTSNGVSYTKRCENLNTMASFVWWLVGFYWMVSGGEILLHNAPRLYWLTVVFLAFDVFFAIFCVVLACLMGIALCCCLPCIIAILYAVAGQEGASEADLSSLPKYRFQVSNDDEKPDVGAGRMVPVESNVGCLAIERVLLPEDADCCVCLSPYEDGTELHSLPCNHHFHATCIVKWLKMNATCPLCKYNILKGYEQA >KVH96208 pep supercontig:CcrdV1:scaffold_479:19179:20166:1 gene:Ccrd_001707 transcript:KVH96208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MADRRNWNIQEEDVLISILQEIVAAGGRSDNGCFRTGTYEQIVLKMREKIPGLNITSKHIQNKMKRLKDKYSAAYDMLNTSGFGWDDAHQCVTVDAQVLEEYLKSFGTQL >KVH96198 pep supercontig:CcrdV1:scaffold_479:134683:137599:-1 gene:Ccrd_001713 transcript:KVH96198 gene_biotype:protein_coding transcript_biotype:protein_coding description:7, 8-Dihydro-6-hydroxymethylpterin-pyrophosphokinase, HPPK MHNVQYYHYFYLITNLSTAHQDIDKISHKEKGFQFSLHRVTGKPISMHKLRAWMMLDSTHFVLEGGLDQIMMSNAQLLSGINLFPLHYLIQRLVVEGLGFIMSIFKQLLPSRLAYRTSYRASFSFFHSSSDTSIQVHSQEQEVVIALGSNVGDRLNNFNEALTQMKRSGIEITRHACLYETEPAYVTDQPLFLNSAIRAVTKLGPHELLSVLKKIEKEMGRTKGVRYGPRPIDLDILFYGKYRINSEILTVPHERIWERPFVMAPLVDLLGSDVDADTVLCWHSFSKKGLFASWQELGGESLIGKDGLRRVLPVDNRLWDWSKKTSVMGILNLTPDSFSDGGKFDSVGSAISQVRKMISEGADIIDLGAQSTRPMASRISVQEELDRLIPVLEKTLELPEIEGKLLSVDTFYSEVASEAVSKGAHIVNDVSGGQLDSEMLRVVAGLNVPYIAMHMRGNPSTMQNSENLKYDDVCKEVGDELYECVRRAELCGVPAWRMVLDPGIGFSKKTEDNLAILMGLKRIRSEIGRKSLGVACAPVLIGPSRKRFLGEVCDRGSAVERDPGTVAAVTSGVLGGANIVRVHNVRDNVDAVKLCDSMLDQVGRSCTR >KVH96205 pep supercontig:CcrdV1:scaffold_479:135527:141407:1 gene:Ccrd_001714 transcript:KVH96205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MTEVFLDQSHKRLSTGNTLLSPSFPIKDSPPNSCQDAKSPFFEKECQHRTVSASTSDPNKWHWNLSLSSSINLVQLPDVRLRGRFVVTATPRKKVAEKTSDGEEDDSETKTTRKRAPSRRKKKAVPEENLVLESSISNTDEETSMASESTEVTRRRRSKKTIDDTIDEGSETELSEREDDTDSEKELEFDAFGGEDISNTYLWPPLVCCFGSVKPAFVPSGRRANRLINHEANERMEEALWIPEKFVRAPGSCVGNVAVCLARLGNNAALMSKIGNDDCGQAMLYHLNDSKVQTRSVRIDAKRMTAMSRMKMSKRGGLRMTCINPCAEDMLTKSEINIDVLKEKKMRASALQAIKISKQLGGAIFFDLNLPLPLWNSREETQSIIQEAWELADVIEVTKQELEFLCGIVPSERFDTKDNHPSKFVHYGLDVVAPILHDNLKVLFVTNGTSMIHYYTKEHNRMVLGTEDIPITAFTSDMSAAGDGIVAGLLSKLVAQPHLMTDKEYLVHIIEYAINCGVKEQWAQSRKLGHPPKAGVDDEDILFDPNGYRSISEEAFRTYYPPPSDDFDGDEDEDEEVVINDSEEEDEGDKMDEYDSEDEPMPKLTQPIAA >KVH96199 pep supercontig:CcrdV1:scaffold_479:130704:134352:1 gene:Ccrd_001712 transcript:KVH96199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait AVLKNISTPAFLPLRKVSRTQRKTFQSPPLLCSIDRMNQQVQKNTLYVGGLAEEANETILHSAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPIWADADTWFERQQQEEEMQRIQAENRASMQAAEELHRKKLADEREGEKDEADTKDDPMAMAEAEAVKQNDS >KVH96204 pep supercontig:CcrdV1:scaffold_479:142933:145903:-1 gene:Ccrd_001715 transcript:KVH96204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANIRICKVESKEKLLQKLFLPLNLVFFGLQFQMMNPKLARSLRNLVPFIRRDASHHNQHRFHHFQSHSFTLSNPSFSSSFLVFGFRRTLCFPLVFSSTFDFSFPSLHRYSTTTTTTTNASSCEDIEGENPQELAQCDVVLQNCPWGEKVLSIARDVLLQFGDGIEIFAFKTSPRGYIYVRLDKLCNEYGCPSMEDIQSYSQEYKRRLDEAGVSGDIPSDLALEVSSPGADRLLRIPNDLERFKEMAMRVKYVERDDTRSVEKEGVFFLESMEAESGSCMWRLADVKENRDPSSKGRPFTRKQKDWRLELPFEMIKQVTLYLDYE >KVH93524 pep supercontig:CcrdV1:scaffold_4791:13058:14550:-1 gene:Ccrd_004425 transcript:KVH93524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D family MSTERLISKGSLQSHHGSLSRHSSLYCPEPIRIFDELPKATILSVSRADVSDIGPLLLSYTIQLEYKQASQTANFKWCLLKKASQLIYLHFALKKRAIVEEIYEKQEQVKDWLQHIGIGDQTAIVHDNDEPDDGAIPVHNEDSTKKR >KVH93522 pep supercontig:CcrdV1:scaffold_4791:30841:32480:1 gene:Ccrd_004427 transcript:KVH93522 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MVDAAFVIGIVGELSTLRLSSRFWHAANAEKYEYFGFKCLFVHAGNVISILTFTSPISQFREIVKRKSAENYNGTPYIVTLLSCSLWILYGLLDPDDGLLIVTVNAAGVTMQALYLALLFFYSSKEKRVTYFGFVVLDVVCFGVVVAFTLVAFEKGSQRTFTGVLCATFTTMMYAAPLAALKTTITMKSVEYMPILLVFSLFINGCVWFAFALLVTDIFVVVPNALGILLGAVQFCVYLMYRNSPPAVSELELEKGSLEKEIESRGIDIQDFVSQDINGINMKANTVSSQKRGLSRQDAFAIVRSPSLSPNRSNSVQHEDGGVN >KVH93520 pep supercontig:CcrdV1:scaffold_4791:32102:39570:-1 gene:Ccrd_004428 transcript:KVH93520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLILSLLTDDLLERVLDCLSNDSDRKSFRATCKVFHGVESGHRTRLKFLRPEFIPGLLRNYTRADTLDFSVCPRICDGTISALLNNISCYGWAQRVKSVVLCRTTSLRSPGLEVLVGSCPGLQSVDVSHCYQFGDREAAALSCGAELREVKMDKCLRVTDVGLAKIAIGCDKLEKISLKWCLEITDLGIDLLSKKCLHLKHLSISYLKISNESLRSISNLKELEKLLMVGCGLVDDGGLHFLGNGCPSLQVLDITRCEHVSSSGLISVIRGCKGLQNLNAGYYFLELSPDVFHNLKDLKYLKTIKVNGARVADSFFQIISANCLFLVEVGLSKCEGVNDVGIMQLVYGRPNLKMLDLTCCDDITDVAISAIASSCRKLLCLKLESCSLLTEKSFSYLGSSCHLLEELDLTECHVNDIGLDNLSKCSELRCLKLGICTDISDTGLSYIASHCKIEELDLYRCSNVGDDGLRFLATGCKKMRKLNLSYCTKVTDKGMVYLGLLEELSDLELRSNGNVTDTGLIALASGCRKLSELDIKNCQNIGDAGFWSLAFYSWNLQQINLSYCSVSDVGLCMMMGNLTRLQDAKLVNLAKVSAKGYEVALRACCARLKKVKLIGSVRPLLTQELLQTLAANGCRVRWD >KVH93523 pep supercontig:CcrdV1:scaffold_4791:3887:13049:-1 gene:Ccrd_004424 transcript:KVH93523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D family MDAYSEHFPPPTKFSIVCSFHICQCRSVPTRAALAIIRPSIRRTTVLERAKVAMQNYLNHFLGNIDIVNSREVCQFLEVSKLSFSPEYGPKLKEGYILVQHLSHIQSNDADAGCFMCCCCRNNWQKVWAVLKPGFLALLEDSFSTKLLDIIVFDALPPSNGEKEDQVLLAEEVKERNPLRYAFKVFLSHFTISVTCGNRSTKIRSTSKAKVRNWITAINNTGQKPIEGWCNSHRFGSFAPQRGLTDDGSQAQWFVDGQVAFEAIALSIANAKSEVQYYVFTLNSGIPCIMEQQIYILMYKEVAIALKINSLYSQKRLLNIHENVKVLRYPDHFAAGIYLSHHEKIVVVDHQICFLGGLDLCFGRYDTIEHKVSDCPPFIWPGKDYYNPRESEPNSWVDTMTDELDRRKYPRMPWHDVHCALWGPPCRDVARHFVQRWNHAKRNKAPNEQTIPLLMPQQHMVLPHYMGRSQKLDIESKPTDGNQIDIMQGSSSYESPSQDIHFLLPQEADVIDSSNANNTLNGLDQRNQLDLSHDEHLNHAVQTVDSADDFDSMDRQHENSTGSTSFGSEISDEGCEKQEVIRSVCHWSGGTSQTEDSIHKAYCSLIEKAEKFVYIENQFFISGLSDDDVIQNRVLEALYRLDDRITLIGSSNINDRSLLGHRDSEIGILIKDREFTESTMNGEPWNAGKFANSLRLSLWSEHLGLHGDEIREIRDPIADKTYKDLWSATARANSQIYQDVYAFRQSMNFWKEKLGHTTIDLGVAPEKLESLTSMEILKQVRGFLVSFPLQFMCQEDLRPMFSESEFYASPQVFY >KVH93521 pep supercontig:CcrdV1:scaffold_4791:18309:28731:-1 gene:Ccrd_004426 transcript:KVH93521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MEKGETLVQPTLPILLHSSIQQRELWEEIDSGKYLIVVRQKIERCRDGGGDNRLRRRWRRPMTEMETVEATGDEDGGCDRGRSPVAAATAVFLIYPIGQGSFSDVLCNINEHGTRVESHVEYPVVPWVCAHQFEYAINYQMIDNLFFI >KVH43968 pep supercontig:CcrdV1:scaffold_4799:4060:14922:-1 gene:Ccrd_025742 transcript:KVH43968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3550/UPF0682 MADDAVPQTFRALVEGAERKFAKVRDAPAYGRGPNHYFPKVFKAYMKLWDYQQKNRSRLVESGLQRWEIGEIASRIGQLYFVQYMRTSEARFLLESYIFYEAILNRGYFEGSSFKALKDRGLRFKELRFYARFLLVALILNRWEMVKLLLDRFKALVDDSKAKFPDTTFKEWKVVMQEMVRFMKVDAAFSNTRPLRYCAMFDSYPNSLPYVARFHAKKVLKLRDALLMSYHRNEVKFAELTTDTFRMLQCLEWEPSGSFYQKQPVESYDNGVSTDQSGTSGLIDINLVADMTDPSLPPNPKKAVLYRPSVIQLLAVLAAICDELPPDSVMLIYIAASGDSGQTTVPHKHISGSVRTSLKLNTVHERSNFMPENLVNGKGGSSHPFESSVWLGPTRSGGSNNLYPGDLIPFTRRPAFFIIDSDNSHSFKAERGEPAALLLSPLRPTFKNQSGGDIVQNGSQFTLFLTAPLLWAQVLSEPLLRRLILRFIFCRSVLTLFRRQGDNARYLPVCLPELPDSVSPHSEIVQSAVLRLAEHLKNQ >KVH89452 pep supercontig:CcrdV1:scaffold_48:303349:309367:-1 gene:Ccrd_008569 transcript:KVH89452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTCSLHVNCGGDDLMVTQSNGQSVLYEGDANVDGGAAKLYETTTRNWGFSSTGDFMDDNIYQNTRYIDSIQGNTTLPPLYTTARLSPMTLTYFSYCLENGEYGVDLHFAEIQFTNDSTDFNIEDEAHGFGRSVVIPFNASVTNNILEIRFYWAGKGTTRFPKRGVYGPLVSAIDVNPHFKTCSAGGKKASKGVYIGVGIAVPCLVLLILVFLWRKKCFRGRKTNDKDFEGIELKTLSFSLKQLKSATNNFNASNKVGEGGFGAGTLPDGTVIAVKQLSSHSKQGNREFLNEMGVISCLQHPNLVKLHGCCIEGDQLLLVYELMLDWPTRFKICIGIAKGLAFLHDESRLKIVHRDIKATNVLLDKDLNPKISDFGLARLNEDEKTHACRLETSKQYEELFDERLESKINKLEAETVVKVALLCTNGSPSVRPTMSEVVNMLEGKTCVPEIVPAASGYSEDLRFRAMRDFRNQMQGEGSQKEGQTENSNTNRTDTNCSLTSSDDAFEIHSVDTRSY >KVH89464 pep supercontig:CcrdV1:scaffold_48:654773:662120:1 gene:Ccrd_008544 transcript:KVH89464 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MGKQGSKQGDLSSPFASFQYQFRYQKMVQGSDPTAGKKFELLHGLRSNSMKFGSFKGAYVGKRHTWIRKHFSSIVFTVALMGFLFLLDSFLGSIFEPSVLESSPTPAKLSSERELKQDESKFWEESYRQASVWSPCADRKELISAEKLQSNNGYILVSANGGLNQQRVAVCNAVAVASLLNATLVIPRFLYSNDEVNIVKDLPPHLKSLDFKEMGSLITDSDISKEATPAEYIEKILPLLSKNGVVHFLGYGNRLGFDPLPSELQRLRCKCNYHALKFVPKIQEIGSLLIRRIRNYDGPRNKLDKRLLGNFITDGRLNGNDMDRRPLKYLALHLRFEVDMVAYSLCNFGGGQVEKAELQAYREDHFPLLMQRLKKSKPVSAEELRSSGRCPLTPEEAALVLAALGFTSDTYIYLAGSQIYGGESRMSPLTNLYPRLITKEDLLSPSEIAPFKNLSSQRTYEENVEDALSNKVPEFSLDFIACATADVFAITDSGSQLSSLVSGFRTYYGGGHSPTLRPSKKRLADILSTNQTIRWTDFEARVTKMITESQTVRMRGWGRSIYRQPRCPECMCKFQ >KVH89428 pep supercontig:CcrdV1:scaffold_48:285901:291071:-1 gene:Ccrd_008570 transcript:KVH89428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MKVVNICRFVYVAATFAFENMANLALAVNLVTYFNTVMHYDIADAANHLTDFMGTGYILSIVMACLADAYIGRFRTVLIAAFIEFVVSLTSIYINAFKHFDFVDDHGLALLTLQAHYPKLKPPLCNIFLPTSNCEKVNGGNAVLLFLAIYLLAVGGAGVKAALPSHGADQFDEKYPKEATQMSTYFNWLLLGVAVGGSVSLTCFVWVQDNKGWDWGFGLSTIAMFLGALIFVFGLPQWLDKAAIVSDDSESPSPWKLCRVTQVENAKILLAMVPVFLCSIIMTLCLAQLQTWSVQQGVTMDIKLTKSFNMPPASLPIIPVAFLVIMIPIYDQIFVPLMRKLTGIPTGIRYLQRVGVGLILSSLSMAIAGVMEVKRKNVAKDHDMLDAIPLLQPLPISVFWLSFQYFIFGIADMFTYVGLLEFFYSQAPKPIKSISSCFLWSSMAIGYFMSSIMVKIVNHATKGATKSGGWLAGNNINRNHLEFFYWMLAILSLINFAVYLLVAMRYKYRPQSLDVEHEYEEHQLKTLKPSSTMEDL >KVH89437 pep supercontig:CcrdV1:scaffold_48:136069:149446:-1 gene:Ccrd_008580 transcript:KVH89437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein VYVVYLRHNDDNTEKTIHEIEQYHLSYLFSVKQFEEEAKSSLLYSYKRSINGFAASLTVDEASQLSDMEEVVMVIPSHEREYSLHTTRTWKFVGLDEELKPKQLDKEDLLLKSQYGKDVIVGMMDSGVWPESESFNDRGMGPIPVSWKGTCQTGPTFKKSHCNRKLIGARYYLKGYEARYGHLNTTNDSRSPRDMDGHGTHTASTVGGQGVPNVSALGGFSSGTAFGGAPLARLAIYKVCWPVPGKGKEEGNTCLFEDMLAAFDDAIRDGVHILSISIGSSRPINYTHDGLALGALQATKNNILVVCSGGNEGPAPSTVVNTAPWILTVAASSIDRTFVAPVQLGNGKKIKGQSATPYKLPQRKMYQLVYAADVVNADVPKTYIAGQCLPGSLSSIKAKGKIVFCLRGNGTRVGKGMEVKRAGGIGYILGNSPANGAELTVDAHVLPATAVTSEDAIQILKYINSTRTSTAYIYPGRTVLQAKPAPSMAAFSSRGPSAVSPEILKPDIAAPGLNILAAWTEGNSPTKIESDHRRVKYNILSGTSMACPHVSAAAALLKAIHPDWSSAAIKSALITSAGLLNNEGKQITDASGNQADTFQFGSGHFRPEKAADPGLVYDASYNDYLLFLCSHGDLGANTPFLCPKTTPSTQNLNYPSFGFPKLNGRVTVRRTVTNVGGKGSVYFATVEPPSGISVKVSPPIMYFKHVGEKKSFELTVEAKEDRQIGTVKKSMYSFGWLTWSDGIHIVRSPIAASPV >KVH89442 pep supercontig:CcrdV1:scaffold_48:582897:591392:1 gene:Ccrd_008552 transcript:KVH89442 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MAEASVKDTNATPAGRSQVRRLRGHKAIATCCIASRDRPGIIASSAEDGRVCWFDMRCKDLLHTIDVAQNPISSLCFKPGNEDIIYASSENEVKVFDVHMLASWKPLESYNYNKEEINQITCNSKSSFLAAADDGGDIKIIDIRQKCLYKTLRAAHESICSSVQFLPWRPWEVITGGLDSKLIMWDFSKGRPFKDSSSQYQDGVSKGKYDAESSNDVQCFNPAFVHAITVPEVDMLDKFDKICVVARGDGVVDVINIESEFSAAKSKGSSKPRKGGQSRSNGSASSPNSENQDQNQRTRAFSMFGEKGRYIISGGNDKAVKAWNWSRFFEADQSKLAVHHPD >KVH89439 pep supercontig:CcrdV1:scaffold_48:96997:105253:1 gene:Ccrd_008582 transcript:KVH89439 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease, C-terminal domain-containing protein MGIQGLLPLLKSIMVPIHMKDLEGCSVAVDTYSWLHKGALSCSKELCNLLPTSKYTCVLLLISNYISYNGFNLFCLMFGFSVCYIVLHVDYCMHRVNLLRHYGIKPVLVFDGGHLPMKNEQEIKRARSRKENLVRAIEHDSCGNASAAYECYQKAVDISPAIAYELIQLYFNVDVFILKVLKQENISYVVAPYEADAQMTFLAISKHVDAVITEDSDLIPFGCPRIIYKMDKFGQGVEFQHSKLQHNKDLNLTGFTKQMILEMCILSGCDYLQSLPGMGLKKANALIKKFKSYDKVIKHLKFSGIAVPLLYEESFRKAIMTFQHQRVYDPLTEDIVHLSDLSDNIDEDLDFLGPYPCIAILDMCLKVLSSFDKQGESVKAEPLLDGIYNLKSFKSGDANKRLDLPAQKNLLTNYFCILKNTCFASLEAKRKFRAPRVSPKHSTPTNATPQIDICEEIVDTIAARKTSMLLELPSHDDKRNVKHSKHPIHPCIASQQKDLESNSSFDAIDGKTRVQKKTVVRSCYFQHKNVEENDLANTKTNPSVCDDEVTVVRQNPTSDGEPKSGLGSAEVKTRVENRKTNVSRYFSHINQETTNERHVETNNYEGSTMKRKFSNFEDGAEKENMNQKYMRASRSPADQGLCTSELDQMQVTKDKEEKFGCDISHLGKYSDIAEKSMDRFISVISSFSCTSSGSRASGLRAPLKDVRNTFTKKSSCINDITKFAYTPRQTKPLPSQRP >KVH89447 pep supercontig:CcrdV1:scaffold_48:471353:473915:1 gene:Ccrd_008557 transcript:KVH89447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTVRVQMNLLIDPSLLYRFQLSCPPRRATRSVVLSHKISTPPACWPGESKFSSGQLSTQSSAKKHSRITQCTLLVPSQ >KVH89427 pep supercontig:CcrdV1:scaffold_48:156053:163221:-1 gene:Ccrd_008579 transcript:KVH89427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MQKLNSSDEISILEHDLERELRRSLKSYNDDVHSNGAIDGNIEDGRTISSKTEILPTEEELVNGVAPNEAVRNGCERHDRRHSRQRLLVVANRLPVSAIRKGEACWQLEVSVGGLVSALLGITEFETRWVGWAGVNVPDEIGRKSLTESLAAKRCIPVFLDEDLVHLYYNGYCNNILWPLFHYLGLPHEDWLATICSFQSQFDAYKKANQMFADVVKEHYQEGDIVWCHDYHLMFLPKCLKEQNSNIKVGWFLHTPFPSSEIHRTMPSRSELLTAVLAADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDQGRLTRVAAFPIGIDSDRFLRAIQLPQVKNYIKEFEERFGGRKVMLGVDRLDMIKGIPQKLLAFEKFLEENPNWHDKVVLLQIAVPTRTDVPEYQKLTCQVHEIVGRINGRFGTLTTVPIHHLDRSLDFHALCALYAITGAILVNPWNVTEVAASIAYALNMPSDERNKRHNHNFMHVTTHTSQAWAETFVSELNDTVVEAQLRTTQIPPVLQTEHAQMSIMDIIICRPLWDRNFIEKTQLFHEIWYDRVVFMSQGFNATLTEPVNSSNKRVDQFKDMELKLHPDLEEPLKRLCNDPKTTVVVLSGSHRSVLDKNFGDYNIWLAAEHGVFVRTANKKWIQNLPENIHMDWVESVKHVFEYFTERTPRSYFELRETSLVWNYKYADIEFGRLQAKDMLQHLCTGPISNASVEVVQGGRSVEVRANGVSKGAAISGILGQIIHDKDMKTPIDYVLCVGHFLPKDEDICTFFEPELPSAATPTQSSIGSPNNGFSSNHTEERTRSEKHSISTSSDSDNGWTITDNATMDASNKGSSVLDLQGDNYFSCAVGRKQSTARYSLGSSTDVVALLKKLAAD >KVH89443 pep supercontig:CcrdV1:scaffold_48:575341:577103:-1 gene:Ccrd_008553 transcript:KVH89443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKVYEPWWCWSSLAVLISNESIIPFLSRNDPESSNVVHCFNPAFVHAIAVPEVDMLDKFDKICFVARGDGVVDVINIKSDFSTAKSNGFRNREKVNWLCTIPTDSENLVVCDTSKVAKFYTVA >KVH89459 pep supercontig:CcrdV1:scaffold_48:333277:343032:-1 gene:Ccrd_008566 transcript:KVH89459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein LIAELLATYFLVFAGCGVITVNIDKNNVVGQPGIAIVWGAAVMVPGYIVAQILASILASGTLRLIFSGPHDHFVGNVPIGSDIRSFVMEIIITFYLMFVITAVATDNRATGELAGVAIGGTILLNAMFAGPISGASMNPARSIGPALVWNQYKGLWVYVVGPMVGAIGGAWAYNTIRFTDKPLSEITKGCGVVVVDVEKDNMIGQTGISIVWGLVVMVMIYSVGHISGAHFNPAVTIAFASCKRFPLKNVPAYVCAQIMGSTLASVSLRLIFNWKHNNLGATVPSGSDVQSLVLEFIITFYLMFVIAGVATDNRAVRASMNPARTLGPAVVSSQYKGLWVYILGPVAGAVAGAWAYNIIRFTTKPLHEIVKGASFLQRTNPM >KVH89466 pep supercontig:CcrdV1:scaffold_48:663882:667825:-1 gene:Ccrd_008543 transcript:KVH89466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAEAPKAEDITHLPTDQLQGLEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTFLVPLMGAVVGGSWAFMVPIISIIHDPSLASIVDSHMRFLATMRAIQGALIVASSVQIILGYSQLWAICSRFFSPVGMVPVIALAGFGLFDRGFPVVGRCVEFGIPMLILFVTFSQYLKQFQAKQLPLLERFALLLTIAVIWAYAHLLTASGAYKHHSEQTQMHCRTDKADLISSAPWIKIPYPLQWGAPTFEMGHAFGMMAAVLVSLVEGIGILLSGLFGTATGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFIVGVSFFLGLSIPEYFREYTAGALHGPSHTKAEWFNDFLNTIFFSSPTVALMVSVFLDNTLEFKDSAKDRGMPWWAKFRAFKGDSRNEEFYTLPFNLN >KVH89458 pep supercontig:CcrdV1:scaffold_48:329041:331565:1 gene:Ccrd_008567 transcript:KVH89458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adipose-regulatory protein, Seipin MEQQSSNLISQDDTSKAIGGHEAEFHDPLEEFPFVDASNSFESEQQSLSSSELDTNKHEDSTVSVLSEHTTDSPSSPSSAGLRHRRLVSQRSDKGFSQSQFFKNNPDGLIDFDPHGAIVSPRKKHERSWSLKDDEKLNGKLDSGTVHLSSGGDGGQLKDETDESSVITSVNSGERVNDEYITSSDPPVSIAYLLYTLAELVIKAVGFQSNFLATSVTFPMWSMQSLYMFATDPFGIMMYGRNCILAIVSWIINLWTEKSDSRWKLCFRVGWGLLWLAYCGFILICLLVPAFLLGGIMMKWIVQEPLRITEQLTFDYSRDAPEAVVPIISCPDSSFLELSEKSKIGKTDESRVIPFGHELQATVSLTLPESDYNRNLGIFQVRVDFLSGDGKRLASIRQPSMLQFKSEPIRLVSTVLNLAPLLTGYSSETQTLNIKFKGYTEKDIPTSCSRVVLEKRAEFERGGGIPELYAASVKLESQLPFLKRMLWHWRIMIYLWISMMMFTVELLFTLLFCTPIVFPWLRSSNNNAYHNTPPGRS >KVH89455 pep supercontig:CcrdV1:scaffold_48:401255:402262:-1 gene:Ccrd_008562 transcript:KVH89455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MMSWGRKKTFRTTSSASGFSLNTWLLRFKKKRDDAKPVSGNGRRKWNKNPVSPMDDGFYWRISFDEERYEDEVVLQNSDYQLNVSPVSSRSFKKMASDGRKMREFIGEEGRFERVRRKGKVGSPEAVEKLVSESKPEDGGRVATVDNSRTWQSKLNSDLQTIVEDYAIEDSNMEEEWQKLKNMKLNEIKLKSEKQRKSVDVSKEMHRKRSKQRRKVNAFSPRTLTRVECRIKALEDMKRTRMKMKEMNKEKAVKDAFRTGLDSFAIVKSSYDPQRDFRDSMIEMIIEKGIRQRDELEELLACYLTLNCDEYHHLIIKVFQQVWLELNHFDPYSRF >KVH89446 pep supercontig:CcrdV1:scaffold_48:491956:492498:-1 gene:Ccrd_008556 transcript:KVH89446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLIPTIEMSDNIIIPSSPPRPPSPFKVQLVSGSTSERLLVKFADVSEFGFDYSQSGLWSPPVRRTVFLSSPGKILTPDQMLQKLESMARQRRRYTYCLNALLCSPKR >KVH89449 pep supercontig:CcrdV1:scaffold_48:496374:497835:1 gene:Ccrd_008555 transcript:KVH89449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MGKQEFDIEAQKHYPSQNEDPQLRWGFIRKVYSILTLQLLLTVLVASIVVVTPRINQFFHTRTGFLVYIIIAIFTMITKRGYDFNFLGPFLFVTLILVLLFSMIQIFFPMGSLVRMIISFVIALIYCGFIIYDTDNMIKRCSYDQYIMAAAMLYIDMIQLFIALLQILGFIDG >KVH89436 pep supercontig:CcrdV1:scaffold_48:121713:122120:-1 gene:Ccrd_008581 transcript:KVH89436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MAEEFEESDVVFTGNNDYEDGDHHCFVKCFGDSDSEESRGAKRKKIDKKISTKKAKAKAKAVNIPEIFSWFHVMEPSLFEHEEGEMIPPHVMVLRRRVAEKMAFSVCSGMGRTLKGRDLSEVRNCVLRMTGFLET >KVH89461 pep supercontig:CcrdV1:scaffold_48:389414:391430:1 gene:Ccrd_008564 transcript:KVH89461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDAQSTANSLPPFIAKTYEMVDDPLTDSIVSWSHNNRSFVVWNPPEFSGELLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLLKNIHRRKPVHSHSMQNLHIHGASSTSSPLTESERNRYKEEIYWLRHEKESLFLEFQTHQQEQQEIESAARALTDRLKIAVKRQNDILCVLDTTQILETNDRKRRLSAETNNDQASPFNFPISGTRNTDTLLALDVEMVEQLESSLMFWEDLSTDVREALVQQKWQPELDQNAGNCAESPVICYPTINLEMGSNDCETAMNSEVVKGVPTDEEQVGRKSVNDGFWEQFLTENPGGSTEDNDGRGWGQFGKFWWNMKSVNGLATQMGQLERT >KVH89457 pep supercontig:CcrdV1:scaffold_48:419098:420203:1 gene:Ccrd_008560 transcript:KVH89457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVKSSNVVNDQETACFRVPAHNRPNRLRKPRPWRHNRPITGEQLTNMRNMFWHNAPHFGGRQEIWSALRAAAESDLPYAQAIIDNNGIRVENPYMTVCYDEGGARYELPLYVLAEPTNLEGSVRRPFVVNRPNIIEETLRRR >KVH89445 pep supercontig:CcrdV1:scaffold_48:595297:600365:1 gene:Ccrd_008551 transcript:KVH89445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MMKPEMPNCNTCGEQVGFQSDGIGVFVGCHECNFPICKACLDYEIKEGITACLQCGTPYDGIILLFYLYCESPFSGFLFFDFHMNLEFEIWSLTTLDVAEKEHVTHTTMAGHLNSSQDAGLHARNISTVSTVDSDMIDEGGNPIWKNRVESWKDKKNKKKKAVSKAAKEVQIPIEQQMDEKQESAGAMQPLSQIVPVPKSQITPYRVVIILRLIILGLFFNYRITNPVESSYGLWLTSVICEIWFAISWVLDQFPKWYPINRVTFTDELSARYEREGQPSELAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLSFESLVETAEFARKWVPFCKRFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPDEGWTMQDGTPWPGNNTRDHPGMIQVFLGHSGAHDIEGNELPRLVYVSREKRPGYQHHKKAGAENALAVREAMCFLMDPQVGRDVCYIQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFYRQALYGYGPQSLPRLPKPSSSSSSCCCGPKKPKKDLEEFQRDSRRDDLNAAIFNLKEIESYDEYERSLLISQMSFEKTFGMSSVFIESTLMEHGGLAESANPATMINEAIHVISCGYEEKTAWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGWGGGRLKLLQRLAYINTIVYPFTSLPLVAYCTLPAICLLTGKFIIPTLSNLAAVWFLGLFLSIITTSVLEIRWSGVSIEELWRNEQFWVIGGVSAHLFAVFQGFLKMLAGVDTNFTVTTKAADDLEFGELYMIKWTTVLIPPTTLLVLNLVGVVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSLVWVKIDPFVSKGDTNATQGCIAIDC >KVH89465 pep supercontig:CcrdV1:scaffold_48:628384:640401:-1 gene:Ccrd_008546 transcript:KVH89465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MTRSKDHRRLSGHPLDKYASASVLATIETEVGATTTEMSAPVVDGKEAEAGHIISTTIAGKNGEPKKTVSYMAERIVGNGSFGTVFQAKCIETGETVAIKKVLQDRRYKNRELQLMRMMDHPNVVSLKHCFFSTTSRDEVFLNLVMEYVPGTIFRVSKHYSDMRQTMPLIYVKLYTYQVRIKLLTSERTLQIFRGLAYMHSVAGVCHRDLKPQNVLVDTVTQQVKICDFGSAKILMTGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCILAELLLGQPLFPGENAVDQLVEIIKFLTVSLSGFGHANSRRNSLYESELHRFQDGKTLFPEESEFYSLARNTGKVLALHYRRTEPSTEGSMSKR >KVH89440 pep supercontig:CcrdV1:scaffold_48:47392:51812:1 gene:Ccrd_008585 transcript:KVH89440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MASSSKPLPRCHESLKELAKELKMEVPHRYVQEQREPTFVSSGSLPLPSIPVIDMNDLIKIVLGSDDAVDELNKLRSVCHEWGIFQLVNHGVDKLLVEKMKEAMVEFFQIPEEEKLRYKLKEGEYEGYGQTILHDQDQKLQRLAMTLFHLIGQAVDIDKQEMSDVFEDGMQSVRMTYYPPCPKPDLVIGLTPHSDAAGITILLQVNDIEGLQVKKDGIWIPVNFLPNAFVVNVGDVLEIMSNGAYNSIEHRATVHATKERISLAMFFNPKFQADVGPAKSLLKNTRNPPLYKTLVMEQYLKEFFSGKLNGKTFLKKMKIENEEACET >KVH89462 pep supercontig:CcrdV1:scaffold_48:609503:612700:-1 gene:Ccrd_008548 transcript:KVH89462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 MEMGGGVYDNSMEIEVRGMDFLLLSNRASERKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQIGA >KVH89430 pep supercontig:CcrdV1:scaffold_48:278979:280716:1 gene:Ccrd_008572 transcript:KVH89430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1264 MASTYPTDGTGAAQKNGVPPGKPLSVGSQMLDKGAQMLQSLKPIKQMTQHACTFALYGQDMSRQIQTHHFMTRINEDFLQCAVYDSDDSSGRLIGRVEYIVSDRIFDTLPPDEQKLYNQLPIGPPSLMMSPQSMDLGVVKSELVKKRDDKYNISTDAIRGSRSGITGPERLNTMADYWRDHKKCLAIEVKSAEMKKMTIFP >KVH89429 pep supercontig:CcrdV1:scaffold_48:281889:284252:-1 gene:Ccrd_008571 transcript:KVH89429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1264 MASSDKAPDVMPVGDGSVPPGKPMTVGQQVIDKSSQLMQTLKPIKNMSLHVCTFALYGHDMSRQIETHHYVTRLNQDFLQCAVYDSDDPSARLIGVEYIVSDRIFDTLATDEQKLWHSHDHEVTSGLWINPGDRLPLGAPALMMSPQLVNMGVIKPDLVKLRDNKYKISTDELRASRANIPVSKPGIARASDYWMQTGKGFAVDIKPAEMKSVGGVVLPNLV >KVH89433 pep supercontig:CcrdV1:scaffold_48:258668:258976:1 gene:Ccrd_008575 transcript:KVH89433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MSIVKTLVAENPLVIFSKTSCSISHSIKTLIRNFGANPTVYELDEISDGEQVEGELLELGYSPSVPVVYIGKKLVGGANEVMSLNVRSKLKALLIEANAIWV >KVH89453 pep supercontig:CcrdV1:scaffold_48:310055:315271:-1 gene:Ccrd_008568 transcript:KVH89453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MAAKKPEFILFLTLNFVVLLRFAESVVPQQEEQAVGNILAAMNATSWRFNGDSCNLETISEVAKPTQEANASVGCDCTVGNDSDCHVVRIDVAYNYLQGTIPPEWGLTQLQDISLLGNRLTGEIPPELGNITTLTKLILSSNRLTGRLPTALGQLGNLTNLRISDITGPTQGFPPLNNATGLIRLQDVLPCAEDIECPRCEYSSSLNP >KVH89434 pep supercontig:CcrdV1:scaffold_48:231195:251142:-1 gene:Ccrd_008576 transcript:KVH89434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MESVPPIRFTLGRQSSMAPDRGSGSDSEDEEIDGLDAIDPRVRLMFFSSEGNLDGIKELLDSGTDVNFKDIDNRTALHVAACQGFCDVAELLLEGGAEVDPKDRWGSTPLADAIHYKNYDMIKLLEKHGAKPLVSSYACCVLYKLLTVSYNSLSFMPPMAPMHVKNAREVPEYEIAAEELDFTDSVDITKAIIAVVPSPLSNILLLRSLLVGTFTIASWRGTKVAVKKLGDELFTDKDKVRAFRDELELLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRPYLKRKGALKPFTAVKYAMDIARGMNYLHENKPEPIIHRDLEPSNILRADSGHLKVADFGISELVKVTEKVKKEKLMSYSDTTWRYVAPEVFRNEDYDTKVDVFSFALILQEMIEGCQPFFMMSEDEVPKAYVANQRPPFNAPSKCYAHGLKELIEECWHENPEERPTFRKIITRLESTYYSINRRRRWKVRPLRWFQKMEMMWKKEDSERSSRDRSSHI >KVH89432 pep supercontig:CcrdV1:scaffold_48:264340:272549:-1 gene:Ccrd_008574 transcript:KVH89432 gene_biotype:protein_coding transcript_biotype:protein_coding description:2',3'-cyclic-nucleotide 3'-phosphodiesterase MDVQVSEAAQPPKKDVYSVWALPPEDVTARVKKLMVGLRSEFGGPEFEPHVTVVGAISLTEDEARDKLKKACEGLKAYNVTVEKVATGTFFYQCVFLLLHPTTEANALDGSVKSLSFPITRLALYKTDTEDKTLKSWQKVTEITLQPNYGVTATDFSA >KVH89431 pep supercontig:CcrdV1:scaffold_48:268490:272576:1 gene:Ccrd_008573 transcript:KVH89431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MTTVMSLLSENPVVIFSKTTCCISHSIIALIRKFGANPTIYELDELPNGQVIERELMGFGCSPSVPAVFVGKKFVGGANEIISWRVISVTFCQDLRVLSSVSVLYKARRIYLSRWMEQQKNTLIKESSGGHLLDGDIISFQTLTSLLELVTCLILRQADRPNNSNVRLKLRPTKLRSETNHQFLHPRRHIFRRKRPHRINILLGWLCCFTDLYIHGHRNEKER >KVH89463 pep supercontig:CcrdV1:scaffold_48:647046:649611:-1 gene:Ccrd_008545 transcript:KVH89463 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGESNESSVDAMEMVEEGNNQPRISPKRMKVEEIMEEKEEDRISVLPDCLLLEILSRLPSTKSAIRTGTLSKRWKYLWTSVPTLIFKHSDDNHPRSDFVSFVNKTLTQCRQLKLKKFGVYTSYDIRFESEVNNWIRYAISCNVEELDLTLWNLELEAEFLLNEYFFNNSCFTDLTLAGCVFNPTGTISWRRLRNLCISFGNLSEGLIENILSGSPLLETLVLDNCYGYKRLDLTSKSLKNLVFTGYMVPDDEFDDLADIIEINAPNLLSLTIQDDLLLWKLVLLNMSSLVEANLDYTKGGHYETTPKEAEEEMLKGFIQSLRHVKELKIGVFCCKVLSRLEARGFIFPSNVKFPDFTSAFYSDNDSLGQGDWSDSDSVESGDWEVLLLDQGTKSD >KVH89448 pep supercontig:CcrdV1:scaffold_48:505753:507544:-1 gene:Ccrd_008554 transcript:KVH89448 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29280 [Source:Projected from Arabidopsis thaliana (AT1G29280) UniProtKB/TrEMBL;Acc:Q0V866] KHRKERRWSICVKKDLGANRSWKSDFIRSLFIKKTTKTHRKTAMIHRLPLCSTTPKQHLHPLLNEGTQKRVVQVPIKDVEGSRLKGETNAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPTMVMVTYSCEHNHPWPASRNNNHHHHNAPASPPSTTTTEPATSNIDDQVEVEPPTFTRQSEPELEREPEPEPDQKFTSLEVGSCGPFAATTDQYRWFSDLDSTSSTMLESPLMARDIVEDSDMAMIFSIREDEESFFADLGELPECTTVFRRKVVEEHRRNLAPWCGTTG >KVH89444 pep supercontig:CcrdV1:scaffold_48:601680:602975:1 gene:Ccrd_008550 transcript:KVH89444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASTIATTFFLLHLLLLYPTATVPAPAISTKKTLQAQPPSSSTTSSTLDPEQLRALQFLHIPTTHDPCTTTTCDTATTTFRHLLSLRLTNCSSDLHLSTTALSSLSTLTSITFLNCHTPVVHFPTSLSNNLRYFTSVNSLQRLTGVFLSRLHNLTELYISGDPIKASGIHIITSNMKSLTKITLSNTHLTGYLPKDWNPNLTHIDFSQNKLKGSIPTSLTRLENLKVLNFSSNNLNGILPDSFGNLVSLKNLSLSSNSLSGPIPGSISAIPGLVYMDLGSNQFNGAIPEFISEMKELKYLNLENNHFHGILPFNASFIKRLDVFKINGNDDLCYNHSTISEDVKLGIAACDKHGMPVLPPPATNEPPSSVDDAGSGGGDDDGEGGGGDDNVEKKNQVHVDRGPSKVVLGVAIGLSAAVFLIIFLVLLFKC >KVH89426 pep supercontig:CcrdV1:scaffold_48:190876:195651:1 gene:Ccrd_008578 transcript:KVH89426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MLKSNQQSSNILDHISKTLANLIMNLITLVVLLAVLLVHSQAIDIPKLRLFAAKNNVSCIYVFGDSSVDPGNNNNLETDQKVNFLPYGKDFYHGQPTGRFSNGRLPTDLIADALGYTRAIPAYLDPNLTSEQLLHGVSFASGGSGYDDLTAHLSNVISLSKQLEYFREYKAKLGRLVGKKKAEQIVTNGVFLLSMGTNDFLQNYFVEPTRVKQFTVDKYQDFLISAITKYIKAMHAEGARRLAVVGMEPFGCIPLIKALRGVSECDEGYNKVALTFNSKVKALMATLQQSLGIKSFYTDIYGLILDTVNNPTKYGNILRHPHLFKLIII >KVH89441 pep supercontig:CcrdV1:scaffold_48:74625:79251:1 gene:Ccrd_008584 transcript:KVH89441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAFTPSLVGHGSVQELAKELNLTVPHHYVQEHQEPTFVPNGSSPTKSIPVIDMNDFIVGGSDIKLQLENLRSVCHEWGIFQLVNHGVDRSLVERMKKEMVEFFKIPIEEKLRYKLKGGEYEGYGQTILHGHDQKVDWADRFYMITNPLHRRKSNLLPEFPPLLRDTLEKYLLEVQKLGMSLFGLIGQAVDIDNKEMFEIFEDGMQSVRMTYYPPCPQPDLVIGLTPHSDAAGITILLQVNDVDGLQVKKDGIWIPVNFRPDAFIVNVGDILEILSNGVCNSIEHRAIVNATKERMSLAMFFNPKMEADVGPSKGLLTSTRNPPLYKTLVMEQYLKEFFSRKLNGKAFLKKMKIKDEEGYET >KVH89450 pep supercontig:CcrdV1:scaffold_48:462828:463112:-1 gene:Ccrd_008558 transcript:KVH89450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Precursor of CEP14 [Source:Projected from Arabidopsis thaliana (AT1G29290) UniProtKB/Swiss-Prot;Acc:Q52K95] MARTSLALFMLLLLFTSSTNGRKLLNNPDSGSKNEASPVEFMSLYLTSLPKGTVPASAPSKKGHASTTDEKLITRHLITVDRILRSVPSPGVGH >KVH89438 pep supercontig:CcrdV1:scaffold_48:84909:92664:-1 gene:Ccrd_008583 transcript:KVH89438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation Initiation factor eIF-4e MVEEVEKSEDQRTKDANKHKGVRSDGEDDERPKEGGENVGDGDTFPRPAKDIKRHPLEHSWTFWFDNPSAKSKQVTWGSWIRLIYTFSIVEEFWSLYNNLHRPSRLTAGAALHCFKNRIEPKWEDPLLAMIGEQFDFEDEICGAVVNVRLRQEKIALWTKTAANEAAQMSIGKQWKEFLDYNDVIGFIFHEDAKKLDRGAKNKYST >KVH89454 pep supercontig:CcrdV1:scaffold_48:397332:398942:1 gene:Ccrd_008563 transcript:KVH89454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAAIIFPPPPAILSFTEMATSISELRQSHAHMLKTGLIHDPYSAARLISSAASMSPTSSHSLLYPHSIFTYIQNPNSYSYNTLIRAYANSSTPESSFTLFRNMLFDDGVLPDKYTFTFVLKACSVLNSVSVGKQVHGHAIKFGIERDVYICNTLIHMYAKGGFFEIARNLLDRMSERDVISWNAILSAYVDMGMMGLAQGLFDEMPERNAESWNFMISGFVKDGLIIEARRIFDDMPVKDVVSWNVIITGYAHEGRFEEVFMLFEEMQNAGMMPDDYTLVNVLSSCARVSALSQGEWIHAYIDKNRIEVCGFLATALVDMYAKCGCLEKALEVFLKTSKKDISTWNSMISGLSLHGSGESAIKLFYELLAEGFKPNEVTFVSVLSACSRSGLLDEGHKMFELMVHGHGIKPKIEHYGCMVDLLGRFGLLDEAEKLATKVPFKESQVLWESLLSACRNHNDIEMAEHVTKKLLELDPQDSAGYVQLSNVHASKGRFIDATELRRKMKAQGVSKDPGCSIIEVDGIVHEFLAGEGLVL >KVH89451 pep supercontig:CcrdV1:scaffold_48:426144:427487:1 gene:Ccrd_008559 transcript:KVH89451 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF641, plant MASTTSAAPQSRTKLSKTFQRVITFKKSTKSLSNNGFCLHLPNDKFKNSDRHFDKQLVDEAEVRNRAAMDAFVAKLFATVSSLKAAYAELQAAQFPYDGGAIQSADQVVVDELKSLSELKRSFMKKQIDASPPHVTLLLSEIQEQQSLMKMYHITMSKMEKQIKSKDSEISSLENVLMAANSTNKSIESRLSSSRCFPLLDNKNLSDLTITNFIGVLDYALRSIQDFVKLLICDMEAANWDIDAAVKAIEPDEIFPKSSYKCFAFESYVTREIFEGFNVRSDEDEEDRFQNFYQFKKLKSLTTTHLLKQNPRSPFAKFTRAKYMRLVHPKMEFSFYGNLSQRKTLNAWQFPETPFFGAFAEMARRVWILRCLALSFHEEVSVFRATKGCRFSNLYMESVMDDEIGDELRVAFTVVPGFKIGKMVVQSQVYVSPVRRLSRQNEGPRGF >KVH89435 pep supercontig:CcrdV1:scaffold_48:211384:218960:-1 gene:Ccrd_008577 transcript:KVH89435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MENSDAIELDFSCIDRSMSSFPNDSGDMSPQRKQGKGKIEIKRIENTTNRQVTFCKRRSGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNRNIMGESLTDMPVKDLKNLEGKLEKAISRIRSKKIAENERAQQQQMSLMPGSSDYELVPPHQPFDGRNYLQPNELQSDNNYSCQDQTPLQLV >KVH89460 pep supercontig:CcrdV1:scaffold_48:364645:368835:-1 gene:Ccrd_008565 transcript:KVH89460 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRVYGNYKLPKHCDNNEVLKALCNEAGWIVEEDGTTYRKGCKPTARVEATGGSASASPCSSYQPSPNGSYSLNPSSSSFPSSISSLYAANGNPDPNSLIPWLKNLSSGSSPSSNFPHHLYIPGGSISAPVTPPLSSPTCRTPRMTDIDDHQTTVPAWAGQHYPFLLSSTPQSPSHQTPTDSGWVSGVQTPIEGPSSPTFSLVASNPFAVSGTGSRMWTPGQSGTCSPAITASFDQTADVPMSDAISSEFAFGSHMKGLVKPWEGERIHEECVSDDLELTLGNPSTR >KVH89456 pep supercontig:CcrdV1:scaffold_48:405800:407983:-1 gene:Ccrd_008561 transcript:KVH89456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MASAAIFSSLRRSRSPSLDAFLSPVDLDDNDVVGLLNTLTAVASDLILSFSDKTPPFQKRNSRSLLRRIELFVVLLDSVRDSGEWWSNLPSTLVLCFKELYLLLYRSKILLDYCTQSSKLWLLLQNPSISGHFHDLNQEISTLLDVFPMDKLNSLVTDDVREQIDLLQKQSRRDKLFIDKHDESLRLKFFNLLNEIGKGNIPNSEDFHEFFVGKLGILNARACRVEIEFLEEQIANHECDLEPSASVLGGFIAMIRYCRFLLFGFEEDEAEMIMGKRFRRMKRRGLISKEIADTFRTIQKDFCCPISLDLMMDPVIISTGQTFDRGSISRWIEEGHCNCPKTGQVLVHKKLVPNRALRNLIMQWCMAHKIPYSPPESSDLVAESFPAAPASRAAVGANKATVRLLIQQLENGLDCGKAIAAREIRFLAKTGRENRGFIAESGVIPHLKALLSSRSAVAQENAVTAMLNLSIYDKNKSRIVEEDGCLRAIVGVLRFGHTIESRENAAATLFSLSAVHDYKKRIADEDGALETLAGLLTDGTPRGKKDAVTAVFNLSTHTANCVKMIEYGVVRALVTALKCDGIAEEAAGALALIVRQPVGAEAVGNEEAAVVGLIGMMRCGTPRGKENAVAALLELCRSGGAHTTERVLKAPSLAGLIQSLLFTGTKRARRKAASLARVFQRCHYDSLHFNGLRLGYGFAGNSTAGNLDSGFPAETVSVSMSMSVSVS >KVH93683 pep supercontig:CcrdV1:scaffold_480:158877:162588:1 gene:Ccrd_004267 transcript:KVH93683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35 MASSTFFNCTSLLTPVKPLRTNPSCNTTVCLSQFAKKTTPLNLSSSQTISVFSPLLSNRALIVSSPNRKPQSLTIVSAKGYKMKTHKASAKRFRVTGSGKIMRRRAGKQHLLRKKNAKRRTRLSKSLQVDRCDYNNVIGALPYLKVNRAN >KVH93679 pep supercontig:CcrdV1:scaffold_480:27434:29048:-1 gene:Ccrd_004264 transcript:KVH93679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKASKGKLHKAKGDKKKKEEKVLPTVIEIEVETPEESEVTLKGISTDKILDVRKLLAVHVEACHLTNYSLSHEV >KVH93681 pep supercontig:CcrdV1:scaffold_480:215357:215614:-1 gene:Ccrd_004268 transcript:KVH93681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSLFPSVPVLPSSSAIILSPIKSLSRKAPSSPTALKLSLSATSPRLPTALLGGSSVAISPRRFFTPPASNHTPGREIGSFIF >KVH93684 pep supercontig:CcrdV1:scaffold_480:8920:19422:-1 gene:Ccrd_004263 transcript:KVH93684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MSRDGRRRSTSKLILYFWEEYTEDLAIAHVRRLLDIVACTTAFGGSSTTPKNAASPNSRSAPNRPDSSDGETGQDKNSIGGAKSPKTEVSISSDQSEKGDTTTDIYPPPRLGQFYDFFSFSHLTPPIQYIRRSARPFLEDKTDDDFFQMDVRVCSGKPMTIVASRKGFYPAGKRILLSHSLVGLLRQISRIFDGAYKALMKAFIEHNKFGNLPYGFRANTWAVPPVVADNPSLFPPLPVEDENWGGNGGGQGRDGKHDHRQWAKEFSILAAMPCKTPEERQVRDRKAFLLHCIFVDVSVLKAVATIKNLAESSNCSSNGSTNSIVHEEKVGDLLIRITRDVPDASTKLDGKNDGSHVLGLSPEELAKRNLLKGITADESATVHDTSTLGIVVVRHCGYTAVVKVETEVKWDGKPILEDIDIEDQPEGGANALNVNSLRILLHTTSTPSASGAVQRVQGADVEESHSAKVLVRKVLEESLQKMQEEDSTNTKSIRWELGACWVQHLQNQTSGKSDSKTAAEAKVEPAVKGLGKGLLKEIKKKTDDKSSKVEQEVATSNGPDTNSKSDDSGNREAAKLDEEKEMMWRKLLSEAAYLRLKESDTGLHLKVGLADKLPHVQSLCVHEMVVRAYKHILQAVVAAVDNIADLAESIASCLNVLLGTPVARNAASELGNDDDLKWKWIELFLSKRFAWQWTNECRTDLRKFSILRGLCHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQTKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYIAPDADMKAREAQRKQARAKLLKVKQGQNGESVTDEQVLSPRHSVPENSSDKEIKSESRTYSVDRKPDLVQPEQQIEKEPISNVVEADNSDEGWQEAFSKAGRKSSSSRRPNLAKINTNFTSVPQSSKYRPKPANFTSPRTNTSESATSAGGVTKKFVKSGGFSPKLINPSSTTQGSGSASDKPSSPASNDQVVKRSPVISSISVKEAGKLFSYKEVAIAAPGSIVKAVAEQLPKDPSPVEIETEAENEKLDKEIVQASEDEQKTTIDEKKEETEVVKESEAKKAQADTVNPDSSKNPESDALEVDKPNESLHDSVQDDLKQVAPEKDDKTPNDGDDQSKTENGKEITKKLSAAAPPFNPSNTPVLGSIPIPLKDHGGILPPPVSIPTMVTVNPARRSPHQSATARVPYGPRLSGGYNRSANRVPRSKPIFHSGGDLVVDGNLLSPPRIMNPHAAEFVPGQPWVPNGYLVSPEGYPLSPNGYPLAINGFQTGYPVDSVGSPVSSVESPTIITPEIGAETPNQGGVAVESVVESQEKTATDTVAVTESPETVATNETSNDEKPIKHWGDYSDGEAEIVEVAG >KVH93680 pep supercontig:CcrdV1:scaffold_480:88373:89854:-1 gene:Ccrd_004265 transcript:KVH93680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEADYHLRGDLSDSISALAVSERHIGSDNQVNRKPMEEDDLQQIEEQEREIIQLRKQLAEYTVKEAQIQNEKQVLEKRITSMYNAFDQQQQDLFAATSKAISYRQDIVEENIHLRYALQVAQDERSIFISSLVPLLSDLSLHPAALDAYSIVSSLRILFKHTKERLTMAEEKLRESQYQPLLLHSHREDSPLDSSPPTWPHSSFEPSPETVHMGVERRNNGEGVVNSPYLPSIFEEEPSSSHLEADDSEEDDNGSGDYDDEDTNKPLPTIEGLQILGEAFPGHEIQASGYSRNGTTHCGFEWVRHLEDGSVHYIGARQPTYTVTADDVETYLAVEVQPLDDRQRKGELVKRFANDNRKITCHPDMIREIEKILSIGHAIFKLFVWKGSLDTWEAAALEIKKSSYSIKINGPNSSVVVDEKYAPTTVISLPAEIPSEFSILSPGGDEQYLRADYSSSDVSCSRDTIVLTMRLFIKRAVDKKLGKKKRRVLFFK >KVH93682 pep supercontig:CcrdV1:scaffold_480:149626:150727:-1 gene:Ccrd_004266 transcript:KVH93682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MKIFGFHNASDPLDKLRFLSVMNPSLLGDADELEILSKPDLEKGTNTISFGRDIDHGTIAQSSTSKFLNALKFIGGCLKSFFHEGVTVSIRSPKAIANMTSLSQVGFRI >KVI06831 pep supercontig:CcrdV1:scaffold_4800:4962:5644:1 gene:Ccrd_014814 transcript:KVI06831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MTINGVGFVLLIVLLSCGMPCLAKVYTVGDSSGWSLGVDYKTWTSGKTFQVGDTLSFNYGSTHSVDEVSSGDYGTCTAGNAIASYTSGPTTIALNTTGTHYFICGVAGHCSGGMKVSVPVTAASSAPSGTAKPTPTPSTSTTTPASSAAFSPAVPLVCSLVLFIFNLVVS >KVH43966 pep supercontig:CcrdV1:scaffold_4801:17091:27070:-1 gene:Ccrd_025743 transcript:KVH43966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MKHTGIQLASIDEQTPLSQKQQQEINLPVVSPQLNLPRQDLLAVLTLPRYYVKGPRENYIKVGIPLYEASIRGDWKAAKAILDEDKELVRSSITENHETALHVAASAKRTKRVEEFVENLVDMMKDEDLELQNSSYNTALCLAAAAGNVKMVKIMVKKNKNLLTIPGSQKMMPLYMAALFGDHDMVKYLYDNSKLYGDGWTPQNRGWLLLKCVESDLFDIALRILNDCPELASYGSVLGVLARKPDAFIETKSNIIWGKIRSIFAVVGLKVGVPEKESEALQLLRIIWKTIAKKPKNVIDDIIRGPADSSKQGEKPASQKEDQTLQLLKLISDNIVKMPVEIHNLFNGPAATTSKVTRTPLMGNTKQKHSSRILFVAAEMGNTKFVVELIRQYPDLIWKVNDNNQSIFHIAVKHRHEGIYNLLYEIGSMKDLITPLKDHNDNNMLHLVGKSAKTKRLQDVSGVALQMQRELLWFKEVEAMIPPSYRERRNKEDLTPHELFTKDHKDLVSEGEKWMKGTASQCMVVATLIATIVFSAAFTVPGGYNQDDGIPFFYRKITFMVFVVADAISLFSSSASVLMFLSILTSRYAERDFLESLPKKLMLGLTTLFLSITAMTVAFSVSFFVLYHNDLIWIPIVIGLLAAMPVLLFAWLQYPLLADVIHSTYGSRYLFRPKKLILYYENPKY >KVH43919 pep supercontig:CcrdV1:scaffold_4802:31605:38435:1 gene:Ccrd_025744 transcript:KVH43919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVSAHEIHYTANRAVAEEMRRKTFLPYKSGLLGGGSPSSNMFDECVAHLLDDLFQGYNGTVIAYRQPLRAYRCIDFNCSIDLDGDTSHPLLNTSRIQFSKNKEKDGKRLNPGNSLYISGLYTRPTHDELEEHFSKEGK >KVH43675 pep supercontig:CcrdV1:scaffold_4803:41264:41833:-1 gene:Ccrd_025745 transcript:KVH43675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MESSSSFLFTTLFLSLCVCSVISTTKFDQLFQPYCAADHFSFNGEALNMKLDNFSGAGFWSKSKYMFGKFNIQIKLVEDDFVGTVTAFYMSSDDPKHHEFDFEFLGNTTGEPCFGLSICEPFFLSFASSVP >KVI00811 pep supercontig:CcrdV1:scaffold_4805:13840:20312:1 gene:Ccrd_020935 transcript:KVI00811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, epsilon subunit MAAAPDLLFGLRNNFYLGAYQAAINSGDVQNLSEEDAIERDCLIYRSYIALGSYQLVVNEVDSSAATPLQAVKLLALYLLSTDNKETVISSIREWLSDAAVGNNPILRLIAGIIFMHEQDNNEALKYTNVGGTMELTALNVQIFLKMHRSDYAEKQLRIMQQIDEDHTLTQLATAWLNLAVGGSKIQEAYLIFQDFSEKYQMTSLILNGKAVCCIHMGNFDEAESFLLEALNKDAKDPETLANLVVCSLHLGKPSSRFLSQLKLSHPDHMLIKRSLTAEESFDRAIQTI >KVH43383 pep supercontig:CcrdV1:scaffold_4806:17146:27838:1 gene:Ccrd_025747 transcript:KVH43383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEFGFRILTRCTHGNMQRTVDRNRNRTRKACVYLVHGFRETIEIVNGVGGAIGDNVECWISSLPVAGDDKDGRRTGSIDLLLKGLQEMPGRHGLVDGQVILLSLLVGVSLIEGNFDFQQQLFLHQWWAFGKVGPIGCLHSSDSTKEERNEVRGQEAGKKVDSIRLDDREKQNEIRIWPKKKQHYGYHDRSPSIKKNISKSMPSCLLWA >KVH43382 pep supercontig:CcrdV1:scaffold_4806:16844:19531:-1 gene:Ccrd_025746 transcript:KVH43382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extradiol aromatic ring-opening dioxygenase, DODA type MAMKIQDTFYISHGSPTLSIDETMPARHFLQSFQQKVYAPRPSSILVISGHWETTYPTLNVVSDGPSDTIYDFYGFPKSMYQLKYPAPGAPKLANRVKELLMSSGFKRVDQEKNRGLDHGAWVPLMLMYPEADIPVCQLSVQTDQDATYHYNMGKALAPLKDEGVLIIGSGATTHNLKMLRNTTSVQPWAQEFDMWLKQALLEGRYEDVKEYKEKAPHATVAHPWPDHFYPLHVAMGASGQDSKAELIHHSWGLSSLSYASYRFKTIA >KVH43353 pep supercontig:CcrdV1:scaffold_4808:10119:10718:1 gene:Ccrd_025748 transcript:KVH43353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYLYFLIIAAAALLSTISGRPLSAKELEANANLGGSFGIGAGANMGQGGLLGGAVPGMNTGVAGGIGGSIGTGFGGLPGGVGGQTGGVGGDGGGLGQGFGGGGVYGGGGARGGQGFGSGGAAGGSGGDQGFGGGGGLGRSIGIGGGIGGDTGLGNAGMGAGIGGRIGGGGPGGFGNGGIGGGSMEGQAETYGGGLP >KVH96158 pep supercontig:CcrdV1:scaffold_481:12180:20341:-1 gene:Ccrd_001755 transcript:KVH96158 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS, C-terminal MKFGKELKQEKVPEWTEAYMDYNGLKRILQEIQQFKQHERPPSPLRTSQKRLPLYRPFSGVDVRASFEESTSDVEDRVIAVETVKEDDTREVYSTNILMSPKGGGQSEITFFQKLDEELNKVNTFYRDKVEEVIEEAASLNKQMKALIALQIKVEPPDIDECHIQRNRSMDSGSESSKFTSPSRVEMLGERHLVEEHQIEMNNWKQLKGSSAYPTEDRHMDSKFDVDRINHCGPGESGSPVNRGASINSAACGNGPSSDKYKSDPLDVLDHVKINNTLESPMSTIRSVLNDSKDKDLSFTKEELKEAEGRLKVAFIEFYRKLHLLKHYRCYVNLLAFSKIMKKYEKIALRRAARSYMKIVDESYIGSSDELPFTELAELDEAVTSFLRRILFWLLNRSPDCRGLTNTSKESNGQRGAYHVYGKCFPSLQVVLAIFSCPFNIFYKSSRFFLIRCVLRCICAPLYKVSLADFFLADQLTSQVQALRCIEFYICYYGMRWYQKEEKCHSLDLYNFFYIIVAVIPFWIRFLQCVRRLFEEKDWMHLCNGSRYFLTIIAVVVRTVFELKMDRTWKVLALVSSVAAILFNTYWDIVVDWGLLQRNSKNLFLRDKLAVSRRSVYFVVMVLDVILRLTWLQLVLKFNLRSLKGTAISSLFSCLEIFRRGVWMFFRLENEHLNNVGKYRAFKSVPLPFSYYEEDDDNKDD >KVH96156 pep supercontig:CcrdV1:scaffold_481:36405:37811:1 gene:Ccrd_001757 transcript:KVH96156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase, five-bladed beta-propellor domain-containing protein MEITATINTTLLFPTTPRSRNPSIIPSKRPNLFDLYPAFSNPKNPHKNPICLTHCSTKPTISDDKDNVIKDQDSTISSDSNFNIQNPQKPSSNQEKSPPNSSISRGLVLDLGSEGSWDSIEIGSPVVKRFLSDEEERWYMWYHGRSGGNLDPGSVGLAVSSNGIHWERGKGAVQSGSNVGLVLKSSSDWWSFDTNGVMPGEVVIMSSSKIRASNAVYWLYYTGFSNEKIELLDNSLEFSLENPENGGKGKVCRSLIGLAMSQDGRHWARIEGEHHSGSLFDLGSEGEWDSMFIASPQVVFHASGDLRMYYHSLDLEKGHFGIGMARSRDGIRWVKLGKIMGGGRIGNFDECGVINPCVVRNKKDGGYLMVYEGVGVDGERFIGLALSSDGLKDWRRVEDGPILKRAEENGCWDDGGVGSPCLVHMDGEDDEWRLYYTGIGRDGHTGIGMAVSEGKDVKNFRRWTGFHL >KVH96151 pep supercontig:CcrdV1:scaffold_481:113904:118711:-1 gene:Ccrd_001765 transcript:KVH96151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MLGINLFKRIPEIIRESQRRRFANVEIVDKIIKLYEEWKTHQFELEQFRKDFNKINKEVARLRIAGEDASSLIKDTEENKQLTAKKEAQVQEARRALHSNLDLVGNIVHDSVPVSNDEADNEIVRSWGEKREEANLRNHVELVELLGMAELKRGANVAGGRGYYLKGDGVRLNQALVNFGLNFLEKKGYTLLQTPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHMDDWIHPTQLPLRYAAYSSCFRKEAGSHGRDTLGIFRVHQFEKLEQFCLTSPNGNDSWDMHEEMMRNSEEFYQMLKLPYQVVSVVSGALNDAAAKKYDLEAWFPASSTYRELVSCSNCTDYQSRKLEIRYGQKKSNEETKQYCHLLNATLTATERTMCCILENYQKDDGVEVPKVLQGFMGGKTFLPFQFSPTKAKKSKA >KVH96157 pep supercontig:CcrdV1:scaffold_481:24835:31412:1 gene:Ccrd_001756 transcript:KVH96157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTKGDLLTRTRKLVNGLAKVKPVWLKAMEKSPPAVFPRAEKKVERICLPEDAYINKFYKKHPESLHEDPINIRDFDPTPSRIFGCRVLELKEHGTEYRLQKKAKKKAYKRLKEIARIRGTKPPPNPYPSAVKQIQAEEKRYVHDRFFNPRILEIADKLKDQQAAEMQDRGRPGGF >KVH96147 pep supercontig:CcrdV1:scaffold_481:215294:223050:-1 gene:Ccrd_001769 transcript:KVH96147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin homology MSSNQKKRNFQIEAFKHKVVVDPRYADKTWRLLEHAINEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYTGLVSTMTSHLMAMSGCIENSHGEMFLEELNTKWTDHNKALQMIRDILMYMDRTYVPSSRKTPVHELGLNLWRDNVIHLSGIQTRLQNTLLQLIHKERTGEVINRGLMRNIIKMLMDLGPSVYQVDFERLFLEISADFYRVKSQEFIECCDCGDYLQKAERRLNEEIDRVSHYLDTKSEIKITNVVEKEMIMNHMTRLVHMENSGLINMLVDDKYEDLRRMYNLFHRIPNGLSTIREVMTSYLREIGKQLVSDPEKLKDPVEFVQCLLNEKDKYDKIITLAFNNDKTFQNALNSSFEFFINLNPRSPEFISLFVDDKLRKGLKGVSEEDVEVVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKDVSDDAERSLVLKLKTECGYQFTSKLEGMFTDMKTSQDTMQVFYTDHGADLGDGPTLVVQVLTTGSWPTQPSVTCNLPSELTSLCEKFRSYYLGTHTGRRLSWQTNMGTGDVKAYFDKGQKHELIVSTYQMCVLMLFNNADRLTYKEIEQATEIPSTDLKRCLQSMACVKGKNILRKEPMSKDIGEDDVFLVNEKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEATIVRIMKARRVLDHNNIIAEVIKQLQSRFLANPSEIKKRIESLIERDFLERDGSDRKLYQYLA >KVH96149 pep supercontig:CcrdV1:scaffold_481:140276:144984:1 gene:Ccrd_001767 transcript:KVH96149 gene_biotype:protein_coding transcript_biotype:protein_coding description:ESCRT-II complex, vps25 subunit MQKLGELKLPPFFNYPPYFTLQPVRETREKQIQLWKELILDYCRTQKIFVVGLEEDFPLFSNPAIERSLNHEARVAFLSAIVSDGRAEWMDKGHRRCLILWHRIQDWADIILRFVKENGLEDSVMTVEEMRSGIESRGTDVHGMDRTVLMRALKLLENKGKLAIFKGTSTDDEGVKFSV >KVH96154 pep supercontig:CcrdV1:scaffold_481:55878:56549:1 gene:Ccrd_001760 transcript:KVH96154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 MEQSDEIGVRIYTPSPQLNIDRHPPPPPPTMPPPDSTQTSGGRKRRAMANGVQKTLSKTSMLVNFLPTGTLLTFEMVLPSIYGKGECTAVTTLMINVLLGICTLSCFFFHFTDSFRGTDGKTYYGFVSPWGLKVFKSSPPGVEVPKDERYNLGFTDFIHAMMSSMVFVAIAFSDHRVTNCLFPRHTKEMDEAMQSFPLMVGIVCSGLFLVFPNTRYGIGCLSA >KVH96153 pep supercontig:CcrdV1:scaffold_481:99248:105685:1 gene:Ccrd_001763 transcript:KVH96153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MRRSNARVAAAAAVDHSDLTTENNKMNKSIKLKFRPDQLIRSTNLFSRNHKQYHHSKSKLFVIGFLILVLVSSCYLYLSSRKFVLKRYRIVIDGGSTGSRIHVFEYVIEDRAPVFDFGGKNCLGSMRISPGLSAFAEDPEGAGVSLLELLTFARKRVPEKEWRKTEVRLMATAGLRMLDLGVQELILESCRNVLRGSGFEFRDGWASVISGSDEGVYAWVVANYALGTLGGDPEETTGIIELGGASAQVCIILVTFVSREPIPAEFSQRVKFGKLSYNLYSHSLLHFGQNIAFDLLQESIVADGTRLVDPCSPKGYEHNVMTEKLAPTLVKNDQLSSLQASGNFSECISASLSLLQKGKDECAYEKCYIGSTFIPKLEGKFLATENFFHTSKFFGLSPRTFLYEMKVAGQKFCEEDWSNLKMKYPGFPEEDLHRYCFSSAYIVALLHDSLGIALDDERIGYANKVNDVPLDWALGAFILQSAGGMDGDPLDSRSSVFGGEESSSTLLGLLAFVLLLLFAAYFVSKWRKPEMKTIYDLEKGKYIVTRVAR >KVH96150 pep supercontig:CcrdV1:scaffold_481:111060:113457:1 gene:Ccrd_001764 transcript:KVH96150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3675 MGDHLVLDVNRPIKRSEEMAGPSSSNTVAVVPEENGGSYDEEAPLLTMAECRICQEEDSIDSLETPCACNGSLKPYQPGYVAPPPRPCLEETTIDIGGAWQISEAERQYLEAEYDDYNATNASGAAFCRSAVLILMALLLLRHASSVPDSEGDGDGDEDASTFLTLFLLRVAGFLLPCYIMVWAISILQRRRQRQEAAALAAAQFAFVLQAGQRRGLHFTVASLGPAPAAQAPAPAAQAPAPAPTVTPTAAPQDENV >KVH96160 pep supercontig:CcrdV1:scaffold_481:41488:46253:1 gene:Ccrd_001758 transcript:KVH96160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKMSERNEGFKDLNLVDNDPKVEVNDEPSTEEVPKHLEEVEDSPMPSPAQEGSQKSKGPLEALRPKLQVLDSFFRIDDGSPNLTATDDQGSELDSISDNKCRSEDENHPN >KVH96155 pep supercontig:CcrdV1:scaffold_481:57879:71927:-1 gene:Ccrd_001761 transcript:KVH96155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like, N-terminal MSRLSFRPRPLDYNKKLPIVKSIKDFEDDETPTSTRTQMLRLVAEADNETQVHQVASKKTVSEIPTPEYVIVDTYERDYSPTFNQPASYLRARGARAEIGDFVEYDLDNEDEDWLEEFNKERTILPAEKFETILFKLEVLDHKARERAGVITSTLGSPIPVLLTFDAASEALQALSIQYGVFQSIYGYWKEKRERWLKPVLRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRVRRNLDQAKNLLEALIKYLLDEKSLIQREEKKRDVMDSEVSLQRIQMKYKNETELLEDSLALPEMPSFQSKFVSSEDEILDTDDFPHNRLRFQPHGLIDSRLVLPSGGGMKREIRRRPVPFTWLHKLDPLEPVFLFTKPLVPEKLAAARIVPPVGSRGHNFRGRIGRGGRIVFDRWNPLMHTPIECSEYMPPKPRSATHN >KVH96159 pep supercontig:CcrdV1:scaffold_481:46720:50528:1 gene:Ccrd_001759 transcript:KVH96159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGASPLLFLPSSPIQPSSFDHLKIKLNLNLSRRSATTKSIQNIAKSPCSKGNSSRNRICLCGRRPFLATISTALIPIHHSNGSDSLADDPMAVLDRVHPPRPDWYEEFYAAAMDKTMKSYEAEIAGYKSQLFANLIGKAEKILEIGIGTGPNLKYYGSATSGASVVGVDPNRKMEKYAQAAAEASGLPRKNFKFIHAVAEALPVDDASMDAVVGTLVLCSVKDVNKTLQEVKRVLKPGGFYIFVEHVAAKDGTVLKVMQRVLDPLQQTVADGCHLTRETGDNISAAGFSNVDMKTAFLSSASLINPHAYGIACK >KVH96152 pep supercontig:CcrdV1:scaffold_481:78194:79790:-1 gene:Ccrd_001762 transcript:KVH96152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDTNNVERMESQNQHPQSHDPPPTKGTTFLRTCFNGVNTLSGVGILSVPYALSEGGWLSLLLLLLVAVLCFYTGLLLRRCMDSDPVIRTYPDIGQVAFGRKGRMVISTFMYLELFLVAVEFLIMEGDNLHKLFPKESFDVLGMKISGKQGFVLMTAFVVLPTTWLRSLGALAYVSAGGVMASVILVLAVLWGGAFDGIGFHGKGELWNWNGLPTAISLFTFCYCGHAVFPTLCHSMKDKSQFSKVLLVCFILSTISYGSMAMLGYLMFGENIMSQVTLNLPTKNISSKIAIYTTLINPVTKYALVVAPIATSVEETFPFQESRVMSCLIRTCLVISTVFVALMVPFFGYVMAFIGAFLSITVSILFPCLCYLKIVVGFKRFGGELMVILVIILIGTFVAVVGTYTALSAILKEVQHK >KVH96148 pep supercontig:CcrdV1:scaffold_481:127425:130023:-1 gene:Ccrd_001766 transcript:KVH96148 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR22 [Source:Projected from Arabidopsis thaliana (AT1G11290) UniProtKB/TrEMBL;Acc:A0A178WFR8] MGSQVLIASLSTTPQSSIASSSSRIQHTLAQRNHIPAHVYKHPAAILLELCTSMEELHQIIPLIVKNGLYEEHLFQTKLVSLFCRYGSLTEATRVFDSIEDKNDAVYHTMLKGYAQNSSIFDGFSFFCRMMDDGVQPVVYNFTYLLKGCGESCLVRKGKEVHAQLILNGHGGDVYAMTCIVNMYAKCRLIDDAYKVFVRFPERDLVCWNTIIAGYAQNGLAGRAIELVSQMQGEGLRPDPITIISVLPAVGNIGHLRVGKSIHGYVFRCGYERHANVSTALVDMYLKCGSLCIGRVIFDKMCGRNVVSWNIMIDGYAQNGDSAEALMLFEKMLDDGVKPTGVTIMAALHACADSVDLERGQFIHRLVNELGLHPDVSVMNSLISMYCKCKRVDIAAEIFKNLKGRTLVSWNAMILGYAQNGRVIDALNHFRHMKLQNIEPDSFTMVSIIAAVSELSILCQGKWIHGLVTRTCLDGNVFVKTALVDMYAKCGAISTARKLFDLMDERHVTTWNAMIDGYGTHGCGRDAIELFREMENGDVKPNNITFLCIISACSHSGFVEEGIRYFSIMKEKYGIESTMDHYGAMVDLLGRSGRLNEAWNFILNMPVEPEINVFGAMLGASKIHKNVELGEMAANRLFKLNPNDGGYYVLLANMYASASISWHSHSKKIYAFLETLIDRIKAAGYVSDTDSIHDVEDDLQEQMVNTHSEKLAIAFGLLNTRPGTPIHIRKNLRVCGDCHTATKFISLVEQREIVVRDLHRFHHFKNGTCSCGDYW >KVH96146 pep supercontig:CcrdV1:scaffold_481:201106:201990:1 gene:Ccrd_001768 transcript:KVH96146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDLKHPTTEIPDPESETPLHPQSIKLLSFSNGKTNHHPPPPTVVAYRECLKNHAASIGGHALDGCGEFMPSPTCSPTEPTSLKCAACGCHRNFHRRGSTNDFAATATRSTHFIEFNRHPHQTSTSTSPSPPSPSPQPTNYAYGPHLLLSLSTAADQNHTVATPGTPIPIKIDNAGGRKRFRTKFSQDQKEKMLLFAEKVGWKMQRCGDKMVTDFCNEIGIRRGIFKVWMHNNKNTFRKRDKDINSPTTATATATTTTTNVAAGVVRSNDSTHQENGSGDLHLHQASTNGSCSSS >KVI05672 pep supercontig:CcrdV1:scaffold_4812:29558:32254:-1 gene:Ccrd_015990 transcript:KVI05672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGVLTLETLLLVLMLVATYLNGWVIGLGSMSSIAISYGEYGSAFCSLTSDGSHLVTCYGSNPAIMASTPSLARFTGLTAGNGFVCGLLMNNSKPFCWGTSGFIAMGVPHPMPDFAEFLELSAGDHHLCGLRKPLMGKRRNISLVDCWGYNMTKSHVFQGQIQSVSAGSEFNCGLFSQNRSVFCWGDETSSGVISRVPRNVKFRKISAGGYHVCGIIEGIESNVSCWGTSWESEEEIVVVMARRGQVNVDSAPHDAMLSVVGGRFHACGIKSYSREVVCWGFRVDMSTQPPRGVQLYEIAAGDYFTCGIVADKSLSPVCWGAGFPTSLPLAVPPGVCRSNSCEKGFYEFNNSSSSCKSPGSRICLPCANECPSQMYVKSECTKAADRVCEYNCSSCTSIGCSSNCSYPNSYSNKKNERFWSLQLPVIIGEIVFGVLLVIVVSLTAIFYVRYKLRNCKCSTKGLKLMKNNGFQKDGGKIQPDLDELKIRRAQVFSYDELERATGGFTEESQVGKGSFSCVFKGILKDGVVVAVKRAILSPDMKKNSMEFHNELDLLSRLNHAHLLNLLGYCEEGEQRLLVYEFMANGSLHQHLHGNPKALKEQLDWVKRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPANSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGEIHAILDPILTPPSDLEALKRIANVACKCVRMRGKERPSMDKVTTALERALAMLMGSPSNDQPILPTEVVLGSSRMHKKSSQRSSNRSELEGDVVVETEDQRIEFRAPSWITFPSVASSQRRKSSVSEADIVDPKISENKNFGDGLRSLEEEIGPASPQEHMFLQHNF >KVI05673 pep supercontig:CcrdV1:scaffold_4812:39046:39879:1 gene:Ccrd_015991 transcript:KVI05673 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MYAIGGSASPTINSQGNRFLAPNDHENKEVTKREEAVEDEWKSWNWRSEGDLMLNGAYFTPSGAGASKSYARASSLSARPSSIVGSITANAGVLGCRRGSRC >KVH96906 pep supercontig:CcrdV1:scaffold_4814:20644:28683:-1 gene:Ccrd_001002 transcript:KVH96906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMLLSIPSPSSMKMIDLWSSSNWWEEINESRSWQDGIFFTLCAFYALVSAIALIQLIRIEVRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHMQVFNLPLKVSMLFGSGCYWKFRDYFSFQLILSLSYFGRRYITRQARSLPTDKLRITYVCVNVGVYVVQGCIWIYLWVDDSSTVQFVGKIFIAVVSFMAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFVVRCFVDVVSAFDSEVSLDVLDHPVLNLIFYMVVEILPSALVLYILRKLPPKRVSAQYHPIH >KVH96907 pep supercontig:CcrdV1:scaffold_4814:7654:12602:1 gene:Ccrd_001001 transcript:KVH96907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin MAQVVEEWYKQMPVITRSYLTAAVLTTVGCSLEIISPYNLYLNPRLVIKQYQIWRLITNFLYFRKMGKHSTHAWGRTADFFYMLLFGATILTGIVLVGGMIPYVSESFAKIIFLSNSLTFMMVYVWSKRNPFIHMSFLGLFTFTAAYLPWVSSSCQYFTNITCFVGLLCSCWCKCLGRSTCKSLGMVAGHAYYFLEDVYPRMSGRRPLKTPSFIKSLFGTEPVVVARPGDVIFAAPPMDEMRR >KVH43161 pep supercontig:CcrdV1:scaffold_4815:43363:44923:1 gene:Ccrd_025749 transcript:KVH43161 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDEMQKPHAVCIPFPSQGCINPMLKLAKILHSKGFHITFVNTEFNHRRLLKVQGSDILNHHPSFQFETIPDGLPPPENLDATQSILSLCKSTSETCLEPLKTLLAKLNDTTTIPRVSCMISEGVMSFTLDAAEELGIPKVLFWTTSACGLLAFAHYTTLMEKGFIPLKDSGDLTNGYLDTIVDCIPSMKGIRLKNMPPFLRTTDPDDFMVNFVIQETTRAKKASAIVLNTFDDLEHDVLNELSSIYSNIYSIGPLHILEKNMVNNDLQLLGSSLWKEETECLEWLDSKESNSVVYVNFGSITVMTPEQLVEFSWGLANSNQTFLWIIRPDLVYGDSPMLPPEFLAATNHRGFLTSWCPQEKVLNHPSIGGFLTHCGWNSTMXSISNGVPLICWPFSVEQQTNSWFSCNQWGIAMEIDGDVHRKQVEKMLRMLMVEEKGKEMRGMARVWKKRAESTSALLNMDSLINQVLLGSYPRVRVVTASS >KVI09067 pep supercontig:CcrdV1:scaffold_4818:6155:16872:1 gene:Ccrd_012549 transcript:KVI09067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSYSLDHNSTDYQHGSKGVEVFVGGLARSLTEDKVHKVFSTCGEIMDMRLIKDQKGNLKGFGFVRFATKQAADKALKELSGSMLEGKKIGVLPSAAQDTLFLGNLNKGWSADDFNKIVRQVFPDVVSIDLALPQGGSETASGKKIKNRGFGFVKFSSHATAARAFRAGSKPDFVLGGALHPSVQWAEEDTEANPDEIAKVKIAFIRNLPSSTDESFLKKLFVPFGKVEKVVVSSKGDSSVGFVHFAQRSHLDNAIEELNEKIVQGPKGSPSFKLQVEVARPMEKKRKRVYEDPQSTQPREISSKSKPRSYEPVLNSFDGHEETLQKEPFVADPYEAAVLLLPVAVRERLLRILRLGIATRYDIEVESLSKLAELPESTAISILDQFMLSGAEKHDKVAYLAALISRYQVEKLGMDQQLPSSLLRLGDTNTRESVGLSARVHLPAIDSLGMHVDPSVSWSESYASRYSSLYSDHHRLPTSRAGVVRTEERSPLSLLEFPGSSTTASYSRYLVNSHIPPAYANMEEMSPIPSHRIPGSSSTPYSKIANDPYRTPGPSLAYAKGGADPPHVAASSDRQPTRPQMRFDPFTGQPYKFDPFTGEPIVPEGRR >KVI09071 pep supercontig:CcrdV1:scaffold_4818:20581:20904:1 gene:Ccrd_012550 transcript:KVI09071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPRRLKNFAPRKSLRGSSGSQHRRCRSHHKNSTITTSSSVSDKLEALKNLIPAQEVDGAAPSSADQLFQETADYILLLRTQVSVLQKLVDFYGSSSSSSSLVQQ >KVI09072 pep supercontig:CcrdV1:scaffold_4818:27197:28674:-1 gene:Ccrd_012553 transcript:KVI09072 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MNMEKIHVIAIPYPAQGHVIPLLEFAQRLVKHGIKVTFINTEVTHKLVTSTWLEKDDFSDLMQMVSLPDGLERWEDRNDLAKQTEAIFQTMPAKLVELIKTINKEDDSKITCVIADISMGWALHVAENMEIRRASFWPASAVALASLLSFQTLIDDGIMNHDGVPLNDNIFQLSPTMPPIKPANLSWACIGDLATTKIVFQAIVEAAKAVIKTEWILCNSSHHLESETFSHFPQLLSIGPLLASNRLAKQAGHFWPEDSTCLTWLDQQPACSVIYIAFGSFTILDQAQFEELALGLELTNRPFLWVVRPGITKETTVTYPEGYMDRVGSRGRIVSWAPQQKVLSHPSVACFLSHCGWNSTLEGVNNGVPFMCWPYFADQFQNETYICDIWENGLALKKNKGGVITAEQIKSKVNQLLSHTTFKDNALALKEKAVSSIRNGGSSEKNLNNFIQWIKEKENHLSS >KVI09068 pep supercontig:CcrdV1:scaffold_4818:40211:40483:-1 gene:Ccrd_012555 transcript:KVI09068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase, C-terminal beta-sheet PVVFYDHFYDFGIHDVITELIEARKRAGIHCRSPVKIYHANSDGYVSQIGDTLVMKLGQFDWNPSKEINLDGSWQKFVDKGSDYQLWLRM >KVI09069 pep supercontig:CcrdV1:scaffold_4818:30328:34802:-1 gene:Ccrd_012554 transcript:KVI09069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERQIMFKQWQELQRQKKLVELNDGRQQNVMDQQLSLMHYKQSSGTQYPLLNNGIPVRDASQMFMFGKTNLSNRLAQNQAQHSTGLASQQLDGTAMSNESASLLTKHNSEVNNHDRCASSQESGWPGRFSEKMENVGNNQDLASLDPLEQKILFNMEDNIWGTSFGKHGSVGTESYENTDHSSDFPSLQSGSWSALMQSAVAEASSSDAGLQKEWSGSSFKNAELSNENKPSNIVESEKHSTPWLYNKLPKPELISSFPGFQHVPATHFQNPKIMHSDANHQSRKEAGQGFLPDISKNFIDRSAGFPAPNSTGQASHNMLELLHKVDKECSHGRQSGYTNSAPITEEPKAEPDDAFTSSHDNSSRSQCVGLKLAPPSQRHLVNYFDPSQTTLQAIFMYISIYMQGHPSKPFQDPQSNNNRLQNQNCMTNQSPLAIPSYTGSRHLDFSRDISQETSHLVSVKNEDGQQSTTLEAKQHPMAAEMAQQTIWVDVPVQQNLSGMGPCEPSSTSYAANSRMETASEASKGLDYWKYVKTEKNYLETDAHMNSSQGLDDGRGFASKTHIERETLSGNLETSDGSSLLVQGSNREQQDGNHTLPISPGNLEAFGRSLKQADAPPHNHSLVNLTNYDPSRRAVIKIERVTCDDKIPQATSLAQPNSYGNYMNHPGSSAATGDQLAKSSSQPPLQDTSPNIATYNKAPSWLKTHEASNNEQMSMNDAKIRNNASQHLLVGGPPGSLERNCSLVQVASIDQCGSVGPITGTNDAALRHLPSFYSQHLNVANQNMVVLNSKKRKCSTSERLTWHEEVTEGCLGLQDMSIAEMEWAQSVNRLPEKLKEEVGKVAHSLSVVHPKRRLILTTQLMQLLFRPAPTMAPSEDATAHSDTVTYYAARLALGDACSLADHSRTPHCIFGSSSRTSMIPKSFNHLDLSKTVEGFIDRSKRLEDELLRLEKGESSILDVRVEFQDLDRFSIINRFAKFHGRGPLVTADTASSGRASTVPKRYPQRYVTANPMPRVVPEGLHCLSL >KVI09070 pep supercontig:CcrdV1:scaffold_4818:21203:25180:-1 gene:Ccrd_012551 transcript:KVI09070 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGWAIQVAEKMGIRRASFWPASALALASMLNFHKLIDDGIINHSGVPLNDNTTQPSPTMPPIKPANLSWGCIGDLATTRSKLPRLPQWRNGYYATQVITWRLQRVAIFRSCCQLTSNRLAEQAGHFWPEDSTCLSWLDQQPACSVIYIAFGSFTILDQAHFEELPSSSTASPPTTTTDRQKKISLKLTVTATDRQKKISLKLTVTTTRII >KVI09066 pep supercontig:CcrdV1:scaffold_4818:329:2560:1 gene:Ccrd_012548 transcript:KVI09066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e MATARTVKDVSPHDFVKAYAAHLKRSGKMELPEWTDIVKTATFKELAPYDPDWYYIRAASIVRKIYLRGGLGVGAFQRIYGGHKRNGSSPPHFCRSSGGLVRHILQQLETMKIIEMDTKGGRRITSNGRRDLDQVAGRIVLAP >KVI09073 pep supercontig:CcrdV1:scaffold_4818:25236:27160:-1 gene:Ccrd_012552 transcript:KVI09073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLAAGTRPGFCQLQESHGLYVTCFNQHKHGKDHVVIPYPAQGHVIPLMEFAQHLIKYGIKVTPFINTEVTHKLVTSTWSEKDDFSDLMQMVSIPGGLEPWDDRNNLGKQVEAIFQYMPAKLVKL >KVH93251 pep supercontig:CcrdV1:scaffold_4819:32912:35171:1 gene:Ccrd_004702 transcript:KVH93251 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MKYNEISHFSHPEHGLRYDYTRVPFKCDGCKEAGIGSNYKCTACNYDLHVHCALSPPSISHPFYTKCSFQFLAIPPGSVPRYCNACEKDISGFVYHCKACGFDLHPCCAKLPTMLDDGEVKLYLCRKVGSACHRCGRKGRSWSYRSTCKKYNLHVACAKEMLVESWHDIYYGAVRDGENGDYSGGTYGQSWTLETTIPNLKGTLQSYHRRDKKRKGKMKKCCQIAGLAVQFVISAVLGDPTTLIAGVVGALMSK >KVH93253 pep supercontig:CcrdV1:scaffold_4819:6805:14325:-1 gene:Ccrd_004700 transcript:KVH93253 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MSVFTVKVEDSRAAAGETPSAGPVYRCIYAKDGLMELPPGYDSPWDFFSESVKRNPKNPALGRRQIIDGKAGGYSWMSYQDAFNSAIHIASAIRTRSVNPACNSNGITYVPLYDTLGANAVEYIINHAEISLVFVQESKLPAILSCVPNCSSNLKSKTPPLNKSILQVEVYLQVTKVCVFLCEAIVSFGKLSESQKKEAMELGVDCFSWEEFASMGSLEDELPAKHKTDICTIMYTSGTTGEPKGVILSNGAFMSEVLSMHQLLVETDKPDIRYLIEDLLVLKPTIFCGVPRVYDRIYTGIMAKISSGGAVRKALFDYAYYYKLRNLEKGIQQDKSAPLLDKLVFDKIKQGFGGRVRLMLSGAAPLPKHVEEFLRVTCCTVLSQGYGLTESCGGCFTSIANVYSMIGTVGVPMTTIEARLESVPEMGYDALGSVPRGEICLRGTTLFSGYHKREDLSNAVLVDGWFHTGDIGEWQPDGAMKIIDRKKNIFKLSQGEYVAVENIESTYARCPLVTSIWVYGNSFESFLVAVVVPDITVIEEWAVKNNESGDYESLCKNSNARKYVLDELNSEAQKNKLRGFETLKAVHLEPVPFDFERDLITPTFKLKRQQLLKYYKNCVDQLYNEAKTTKK >KVH93252 pep supercontig:CcrdV1:scaffold_4819:32901:35171:-1 gene:Ccrd_004701 transcript:KVH93252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGIVLVLARASELRSKITNCIHNASSITTNDHATNQEEGADDKEEAEEETESLLNIRDSLEALEAQLSSLQALQQQQWYEKEASLAEIDCSRKKLLQKLKAYKGEDLDVIHEATAFASSTVEKENSDLLLPPYPSRPSSSLASDNGYLSHFSLTPKIPQSEGTIDLPAGEAKGTLHQSERRSSSKGLRQIIGAAAKMAFTLVGFIAVLQLSGFEPRLSRRGGESKVFGMSREQGNDENTEMMVECPPGKVLVVENGETRCLVKERVELPFKSVVTIPDVNYGYG >KVH93250 pep supercontig:CcrdV1:scaffold_4819:35915:39664:-1 gene:Ccrd_004703 transcript:KVH93250 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MRVEGLLCFIGFPLFLMNFNFVFILEVVISRLRGLFCGEQKIYEDLNTVFTAPEHLKMSMEQVRNREERSQFESTQDSLKKEILQLQRELKDQFITRRELEKTTVNRPLLHDPIDNDSLPKPAHDLIKEISILEFEVKHLETYLLSLYRKAFQEYANPVSREPNEESYVHRSHSSLSLRTDPLEKIVHEALDSYHSLPLAMLEHHDTGMSANRLSEEMVKCISAIYCQIADPPLFNHGCLPSPSDSSPRDQFVMWSPQCEGETTWVHDHSEASMEFSESCFDVVEVHGICKDSKRPSNVEHKERIFRSLVSQLEQVDPRNLKPEEKLAFWINVHNALVMHAFLVYGTPHGALKRISLVMKASYNIGGHIISVGDIQRPILGCRLPRPGQWLQSLLFPKQTSKSKDALKDYAIDHPQPLLYFALSSGNHSDPMVRIYTPKSVFQELEVAKEEYIHTNFRIPKGKRLFLPKLVELYAKDSSLCTNGLMDMIEHSVPEFYMRSFKLIRTGKSSKKIEWVPHNFGFRYLIPSELRVNV >KVI01501 pep supercontig:CcrdV1:scaffold_482:53116:61722:-1 gene:Ccrd_020222 transcript:KVI01501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSSSPVLSRSADSNLAAVFLFTLLTKPAHCCFDVLLVSNNKLTGAQSEAVKQSGFKSDSNSFFGVSQQPILCYRFKQTAAAQQIAKQHSEQIASLNSSIHQINTADWTAGFKTELAAPQKVTEVQIYSSLSSYLGLVAAFQLLRKPDLKLL >KVI01497 pep supercontig:CcrdV1:scaffold_482:96745:107579:-1 gene:Ccrd_020224 transcript:KVI01497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MKEDDDAGKAEERAGTTEESEESTGERGTKTYAVSVLFVFKGSETAEIRARMCKEDQQLEMDISLMTFDAPFFRNLHHILEATDDGNSNKPSTAGPTRAYVRDARAMAATPADVKEYLNSYVFIVDMPGLKSGDIKVQVEDDNVLVISGERKREHEQEEKEGVKYVRMERRIGKFMRKFVLPENANTEKISAICQDGVLTVTVEKLPPPEPKKPKLIQVQEGLLKLPTDKALLADPVFRPLVEKYAADEDAFFADYAESHMKLSELGNLVTFADLQRLKDCNERGAEFRSNGLRRQPMAMIKDRDLLKDNGGESNMLEEKIQRLLARGEGWDNKMKRKRSVGTVFTRPMDSNGEQKRIVQNKVVNEHGLQSNDTHPYRSSLYLPLMQAYLDYPYGNI >KVI01505 pep supercontig:CcrdV1:scaffold_482:143652:144333:1 gene:Ccrd_020228 transcript:KVI01505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISLMALDTPFYRNLHHILEATDDGNNKSSTGGPTRAYVRDARAMAATPADVKEYPNSYVFIVDMPGLKSGDIKVQVEEENVLVISGERKREHEQEEKEGVKYVRMERRIGKFMRKFVLPENANTEKISAICQDGVLTVTVEKLPPPEPKKPKLIQVQDPVHLLVLYEIYGNVDGFPASNF >KVI01506 pep supercontig:CcrdV1:scaffold_482:153034:153522:-1 gene:Ccrd_020229 transcript:KVI01506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MDISLMGFDTPFFRNLHHILEVTDDGNSNKSNTAGPTRAYVRDARAMAATPADVKEYPNSYVFIVDMPGLKSGDIKVQVEDENVLVISGERKREHEQEEKEGVKYVRMERRIGKFMRKFVLPENANTEKISAICQDGVLTVTVEKLPPPEPKKPKLIQVQVA >KVI01500 pep supercontig:CcrdV1:scaffold_482:100310:113603:1 gene:Ccrd_020225 transcript:KVI01500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQEDEETRMRIALDRFALGRGERAMSHWELFVKNFTTSSNLNLDQLRLFGLRWREFLNSYCKNSILTDRRYLLRIRILWQYKLPHELTDPSLHPHILYSFLFFLFVFPFPLSTYHQHVIVLHLDFDIAGFQTRHVHDEDVGVEILLHISRSCSHCPCIPDVSASRTGGAWLVAVAVIGGFKDVMEVAEERSVESHQTDIHFELLIFLRISSELKVKKEAFQWWIYRGEEAGEEVGSGKRNEDVLLLQPLHILALISAVSLPLNTNNTDTAYVFVPLSPVLSSLSSVVPALSSALPASSSSFITQQVNHRRLLSHGLMLCKNYGGFGYSKRLLFVKFLTTSSNLNLDQLRLFGLRWRKFLNSYCKNSILTDRRYLLRVRILWQYKLPHDLTDPSLHPHILYSFLLFLFVFPFPLSTYHQHVIVLHLDFDIAGFQTRHVHDEDVRVEILFHISRSCSHCSCIPDVSASRTGGA >KVI01502 pep supercontig:CcrdV1:scaffold_482:80444:81947:1 gene:Ccrd_020223 transcript:KVI01502 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MSLDRQFGLLYGISCTTVSLFIPTFYKVYKKKSXEGFQLAPYVVGLFSAMLWIYYALLKSNVMLLITINSVGCFIETVYICFFLXYAPKKARMESLKLIVLLIVVGFGLIVVLTQFLASGVTRGVIVNSWMDLPPPLGVLRQVIRTKSVEYMPILLSVALTLSAVMWFFYGLLLGDFNIAIPNVLGFTFGIIQMILYLVYXNKKSVSNXKLSSFEAKINEMDEKKVPEINDHKVIDIVKLETL >KVI01503 pep supercontig:CcrdV1:scaffold_482:239885:242284:-1 gene:Ccrd_020231 transcript:KVI01503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDNPNFKKKSAILKPAATDLGDINIRNYVCVKQVKQGAVEEWDEEMPLPGDIIEGIAASDGCTFDNVEDKLFVPTKGKSEVKSLLGRINKRNADGFVWLKVRRGDQTVNLRVCIVQEKRLLHRKFSFRAVSNDKHVAVLDDLDFDQCTELQERSRRAVSVVSRGFNQEAVKYDWRRKVATYLPDHQSTVVNSILFKPLPNEHDVHATTIRTMAWFSAAVSSGTPIVFVNIQTEQIVPLEKCNSTKIPTQIVHGIRLWFLPGTAEIPIQMTPQPTENRLGIDVKRTEEGFMCLYAVTKGSAADRAGLGRLFENATETGHLVVISRLEGKTVMPTMVSSDGLLHCCDHGDIRDALVGAMDQLDTIRLHIMSWPTTTLSTQPVGVASLRPP >KVI01498 pep supercontig:CcrdV1:scaffold_482:113006:113696:-1 gene:Ccrd_020226 transcript:KVI01498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MDISLMGFDTPFFRNLHHILDATDDGNNNNKSSTAGPTRAYVRDARAMAATPADVKEYLNSYVFIVDMPGLKSGDIKVQVEDDNVLVISGERKREHEQEEKEGVKYVRMERRIGKIMRKFVLPENANTEKISAICQDGVLTVTVEKLPPPEPKKPKLIQVQVA >KVI01499 pep supercontig:CcrdV1:scaffold_482:113646:120107:1 gene:Ccrd_020227 transcript:KVI01499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEERGVEAHQTDIHFELLIFLRSSSELKVKKEAFQWWIYSGEEEEEEVGSGRRIEDVLYFEQLVYLLYASYTN >KVI01504 pep supercontig:CcrdV1:scaffold_482:216498:217538:-1 gene:Ccrd_020230 transcript:KVI01504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MSLGVAEDDSGTEIHLPADIDWEMLDKSKFFFLGAALFSGVSGMLYPTVVLKTRQQVLVKDTPCFKMAVSILRHDGFRGFYRGFGTSLMGTIPARALYMGALEITKSNVGCATLKMGFSEAKAAAIANAAAGVTAAMAAQMVWTPIDVVSQRLMVQGGNGAKTTVSGAFKYTGGIDAFRKIIHTDGVRGLYRGFGISILTYAPSNAVWWASYSMAHRAIWNGIGCRLKKEGNGGGGGGGVGFTPDSKAVVAVQATSAAVASGVSALVTMPLDTIKTRLQVLDGEGCNGIGATVRKLMKEGGVSACYRGLGPRWVSMAMSATTMITTYEFLKKLSTKNQDSCGGSLQ >KVH43037 pep supercontig:CcrdV1:scaffold_4820:22613:24915:1 gene:Ccrd_025750 transcript:KVH43037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIIGAMNDLFMIPYEEEEEVYENGVKPRDIDLVMTKVGVSSIAIDVLHWDSRHTMMLPRQFGSQASGLALGLTGPGARMTQMALVRSVHELRWTV >KVH42992 pep supercontig:CcrdV1:scaffold_4822:37259:38252:1 gene:Ccrd_025752 transcript:KVH42992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEHTRCVLRTIILIVIYVSAVHGSSRRHHRRLLLDARDATTLVSDPSLSFENVRIRNAYIALQAWKEVIVSDPHGVTTNWVGSDVCNYTGVFCWESIDNPKERTVAGIDLNHQHLAGHLPDHLGLLYDLGLFHINSNRFCGKLPKSFLNFKILTELDLSNNRFVENFPQFVLELPNLKYLDIRFNEFEGKLPEELFSKNLDAILVNNNRFSSNIPENIGSSPASVIVLANNKFTGCVPSSVMNMSKTLNELVLRDNGLDACIPETIGKLKNLTVLDLSDNRIKGELPVSIEEMRTLDMNITSL >KVH42991 pep supercontig:CcrdV1:scaffold_4822:31344:39007:-1 gene:Ccrd_025751 transcript:KVH42991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WIFDSTKVAGRFEKRGDCGSFDSSHDVVGDNDGNCRDGWDVGVGDGNCRGRGGGDDGDGARDGDGGVGTGRDEGAGEGGSAIRGGDDGDGDGDGDDDGDGDGDGDGDGASVGGDDGDGDGNGGFVGGDDGDVGGEIGIVGGGTTGGGENAGGGWLHVKALQFDGRERNNLHCEFDLWSGLPPKQFFLSLKSATTFKSSKLTISSILTGNSPFILLSLKSNTVKFFNFPIVSGIQASSPLSLSTNSFNVLDIFMTLEGTHPVNLLFARTITEAGELPMFSGMLDENLFIEILAEKFFRKLTFKFVKPNIKILEVWEFQNKLRKVFDKPRPRSYNKPRWSGRWPAKCWWLRSIPATRWVRNECSSISGVQQQSSVVAAAAAMNSRDIYNNEDDCTEDATKQPPPWLSLSISSLLKCFISIFVEEEDDCVMEHCLKTATEKERKQVEAVAKGPTTLLELRLTEEHGVDEDEELDVQMNMLIFRRRIRLLDDVVEMQPVRS >KVH42632 pep supercontig:CcrdV1:scaffold_4826:14900:17438:1 gene:Ccrd_025754 transcript:KVH42632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MDMLVDTQDDIVKMVDSEVLINHLGTNQDAANMISSICENVILRDFYYNQQWNQLYSYYNGYWPKNIARLRRTYFSSPWNFIALVAGIILFGLTAVQTDSLLTAFQRLAFRGFLFLTTFPKFLLPPAFRGYSTILR >KVH42631 pep supercontig:CcrdV1:scaffold_4826:11033:14793:1 gene:Ccrd_025753 transcript:KVH42631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant FRSPSPSAIIPLLPRSSFPPSASTVAVRFRLLWCKLPGCNLKASPHIESRLKTLKKHCDAITNMKDAFENCDQSSLESSSSPACIYLKPRVVAIGPLHKEDEELQDIESHKVTYLLDLFLRLPSMPNQKMDECIQRVYAKVEQIRACYAGEMKDYDDGEVAKMMVIDGCFILEFILRVRNHNHPDDDPIFYNKLVYINVAYDLVLLENQIPFFVLQDIFKCTILEFSPSSSLQSLVFSFLRPIYPFDKSYVTNINDGVDTSYDHILGLLQKFCQPADAMPSEFSVTRFHSVEELTVAGVNFKRN >KVH99466 pep supercontig:CcrdV1:scaffold_4828:6382:7299:1 gene:Ccrd_022300 transcript:KVH99466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MEVVAKPMDFHFNSPANSSAPSTPKRMGDHYFSAPTSPTRLFEFDQLLRLISADHHQNPSPATVPFAWEEKPGVPNSLYKFLEHDFAFDVSGDQLGMDSVIPAEDLFDGGVIRVLPENTKERKNTSMEEKRKRTSSFSSSAGLPSSRSRRTQSFSHKRGWECPWEEEEEESQAAMNAKPQLVSSCSSLSASKGSRKWSFKDLFLFRSASDGRAMDRDPLKKYSAIIRKHDEDFRNSSLRSVGSGSGSKRRGGVSAHELHYKVNRAVANDLKKKTFLPYKQGILGRLAFNPTVHALSNGFGFSHRN >KVI11167 pep supercontig:CcrdV1:scaffold_4829:7253:9777:-1 gene:Ccrd_010425 transcript:KVI11167 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MQCKDKSVVLWSIHDHISTLATEPGLTKSPGASGGKNLKTGGDDDKRTESPVIQARGVFQGHDDTVEDVEKAHNADLHCVDWNSLDENLILTGSADNTVRLFDRRNLTANGVGSPIHIFENHSAAVLCVQIWRMIDLIYHPQQEVITELDKFRSHILTCSSP >KVH88783 pep supercontig:CcrdV1:scaffold_483:178637:182340:-1 gene:Ccrd_025772 transcript:KVH88783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVVIDFLLENLKEILSCSHDFVLEGKDAIKSLYDDLKSITTFLKDPQILTVDLQVMVERFQQMAYAMLDLMDSSAIIAINKKKENRPMPHSSLPRKKKHDVLRVFNPSDSKLLVDFKKEVSSIKKALMDDIDNTKLDNLRLGSGTTTPYASGYQIGGTGSSVYDKDIFVGFEQQEATVKDRLVGVLKKRQIISIVGMGGIGKTTLAKRLYDDSYITYHFHVRGWTCVSQNYQKKDLLLSILESVVDNEDQLHGACENKLAEKLYKCLYGRRYLIVVDDIWDVKAWEDLIRCFPDDNNGSRIMITTRLEKVAMEIAKPEVPPHFMSFLSQDESWDLLQKRVFKRRDECPLELVDLGQQIAAKCHGLALAIVIVGGILEKIERKKERWTEVSERLSSYITTDDAEQQLMAILGQSYDHLPSHLKPCFVYFGAFPEDYEIVVWRLILLWIAEGFVVETGDRSLTYVAEEYLKDLVGRSLVVVSKRSSRKGVKSCRMHDMLRAFCLEKAKEMKFEFRQQIDWYGRVASPATPPILEGPPLCFHSHGYHDGEPCFPHLRSTLSSPISYIYKLLRVLNLWAVYLDTFPEEIFKLFHLRFLEIRVRHLDSLPSEISNLWNLEVLIINKENWGRVCIPRSFWSLVKLRHLSISEEIEFEPPSASHGYPPLLESLQCISALPASKSRMDIKPFFKRTPNLKRVVFYRGPRETKQIILPSLANLIQLDSFKLIDNSYGQPHLIIPRTSSFPQNLKKIALSGGQAEWKEMSKLGKLPNLEILKLRRNSFSGPLWETNDGEFSRLKYLKLSFMDLERWICSTSIHFPNLQQLLIERCQALLEIPSSLGDVATLETIEVSWSSHSVSDSALKIQKEQQNDGNDGLKVLVSNRIGEDDGTGILKSFLEDERRMRQNQSS >KVH88782 pep supercontig:CcrdV1:scaffold_483:181109:183161:1 gene:Ccrd_025773 transcript:KVH88782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINCCSASSVVIYELKRSETSVHRSFFLSIFSRIPPTITIASAKPWHFAAICCPRSTSSRGHSSLLLNTLFCNKSQLSSCDRKDMKFSNRKSITTSTIFSPRVVFRCRGTRGYVAPEWHKKLPRIAKVDVYSFGIVLFEILCCRRSADISLLVEEAILEEWVYGCYEADEVLKLVDDTDVDKRKLDRMIRIGVRCIQEDPSLDSPSFRSQASCSF >KVH88767 pep supercontig:CcrdV1:scaffold_483:79703:82181:1 gene:Ccrd_025764 transcript:KVH88767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MATLEACIKNNISRKRKQRIFEFKSFGKSVIDLSGPFRDNIRSFLDEYGEQIEQQKLTATVSNNVWSTLLLCESNGAVFPLYTIEELINDHSISPFCCHCKSVGQFTLAICMCVFNLYISKLGLLNCDFVNEFEGWGHHFVCKRRYRFMIPPYGDKQPLKDHDQKNSLELDDTHILHGLIHCNGFGHLISINKLELGSSNCLTQTDVMNLWDSICSSLKTRKVSVADVKLERSMELRLIHGVAFENSWFGNWGYKFAHGSFDITDDKYRGAVRFLAELDLDMIINDFKSTCHGRKIQQIICKYRELSETPLTSMNELLRFLLEFKPTAQIETQIIAPSRKIPKYEHSTSDEESQNPKGLNEFLTSLMDADCRWPQRRVEYSLEVIVKLLKQKNNAMSRHELRESARQFVGDTGLIDFVLKSINVLSFENYVIRRMVNSLTKLVEFEIHEVAEDSKTVKFVSSLWKLEPRWPKQRLEKTAKVIANILKEHKILSNGRNGAMSRKDLRNMASKYVGDTGLIDFVLKSIDNSVIGKQIVTRMKNPLTRLMEFEIRDQNCEEFGFEKEGDAYGDVLFLYRNVLLGYPWWNSVSQACRVVLNSKYFVKEWEVGLGNEQLMTLTCRILPSFDELETELTRPLPPGELVMVTPWMTIGQLREVAQCALRDTYCIMDRFEVSQIGGLKGIRDEVVLSCAVEAGAQVWVRGCGLDLGTTLRYEGGDGYAVESRVDCGCDDDVERMVPCDECQVWRHTRCSGIEDDEAAPMEFVCGDSDAKSKSDLLSH >KVH88768 pep supercontig:CcrdV1:scaffold_483:85009:99316:-1 gene:Ccrd_025765 transcript:KVH88768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane protein,Tapt1/CMV receptor MAGLRSGRRKLSFDVLTTADSFFDDDGPFIQRSSSGPPIHTNEDASITKTKRRKRRNKGSKKKKKAVDEFGLNCNNVTSDGNYSRLEMMPTEGVYGEVVVPPEEEIVRTVISSDRGTPELRQSSNVASGGDNLSMVFIDEDVKECIGSSCMSTAATSTEMGSQMNSNGNGNGNGNGNGNGVRKRKEESLDVKQLFAKAKPKAKPKPKHKFSLETLPVKYFMEEMYGASSLRTTTSLADEKERERVYDTIFSMPWRCELLIDVGFFVCLDSFLSVLTIMPMRILTTSWRLLTTWYILLHLKLQFKITSAAELSDLGCCLIMACGVILLQQTDISLIYHMIRGQGTIKLYVVYNVLEIFDRLCQNFGGDVLQTLFNSAHGLANCSSESKRYWLWRFISDEALAVAITLSTCMVAHNNALFALLVSNNFTEIKSNVFKRFSKDNIQQLIQSRGSTFQHFSSLSWLRIFWKLKNALVVHICEMMVDIVKHSFIAKFNEVKPIVYSEFLEDLCKQTLNIEMGNGKKNLTFVPLAPACVVIRALSPIYAAHLPTGPIVWRLVWILILMVTTFVMLTSFKVMIGMALHKHSSWHIKRCQGTKLHSD >KVH88784 pep supercontig:CcrdV1:scaffold_483:176064:178548:1 gene:Ccrd_025771 transcript:KVH88784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEPTNDTFLVEDGSEIRNQAATSQSKDCATTNHIHLESTRPLKSNLLDLTLGFNAMIPEPVGLESGSPTTVLGPAIPRVFSCKYCRRKFYSSQALGGHQNAHKREKMLVKRAMRVGLLSNRYASLASLPLHGSTFRSLGIKAHGSLHQHVVAREIPNFDAVRGGTRFDQRYCGLPMFVEDDEAEMFWPGSFRQIDGVSADSNRDSSTTPDLTLKL >KVH88777 pep supercontig:CcrdV1:scaffold_483:43673:48842:1 gene:Ccrd_025758 transcript:KVH88777 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit MASVVKNILKSIRERGIVNFARDLREDGFLNCLTDGNLLQTKIHNIGATLVGVDKIGNKYYEKLGETQYGRHRWVEYAAKGRYNASQVPAEWHGWLHFVTDYTGDQLLMLKPKRYGLDHKENFTGEGEEHIYHSKGHALNPGQRDWTRYQSWQPTKT >KVH88763 pep supercontig:CcrdV1:scaffold_483:120010:121347:-1 gene:Ccrd_025768 transcript:KVH88763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MISAYRTRRAARNADKIKKSSRSVDLEVEVAVPEHFRCPISLELMKDPVTLSTGITYDRESIEKWIYEDGKHMCPVTGMALTSLETVANHMIRKMIQNWCVQNKSYGIDRIPTPRAPASSYQVSEILSKIVAMRGKGDAEGVMELVVKIKDLAKESERNKRCIVSNGSSRVLSETFEVFSCRENLPVLEEILSGLTLMLPLDQETISYIGSNRSLRSIVSILKHGGLSGRRNAVLALKDVFSSDQNTLHKFVEIEGSIEVLAKLIKEPICPTTTNASLLAIYHLITPTSSLDQKKTLISRFLEMGLTEKLIEMLVDCTRSVCEKALGVLEGLCSIDEGLEKAYGNALTVPVLVKKLLRVSDTATEFSVSILWNLSKYEKRMAYEEDMAMEALQIGGFQKLLLLLQVGSSLKTKEKAGDLLKGWNSLRGRVECIETMDFKYLKRPF >KVH88776 pep supercontig:CcrdV1:scaffold_483:169846:171069:1 gene:Ccrd_025770 transcript:KVH88776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDDREVPHYFICAISLQIMKDPVTVATGITYDRDSIQRWQFHGQNNTCPVTNQPLPIGSDFTPNHNLRRLIQAWCVSVSDQLPPPNQPSFHKEFAMNLIKNLKLPEMQLESLKRLENLASENQNYRVFLKEVAVVQAMVSIVVSCYQNGTIVGLKEALNVLYLVGFTQDETSSILDEEHDLIIDSLTWVLDFSACDDTTMKFHAIVSLKNIIHNAKSHVLERLKRDFFKTIVLLLRQQEYKKLEQGTKEGLHVMLSTCTWPRNCSMMIEAGAVFELVELELGSLDKPTTELIMAVLFHLCCSADGRAQLLGHAAGIAMITKRIGKVSPKVDERALMIISLISKFSATDGVLNEMVRVGTIEKLMMVLQVNGRHSYPRDKAREILKRHSNVWKDSPCLDATLLTRYPS >KVH88781 pep supercontig:CcrdV1:scaffold_483:184666:187026:1 gene:Ccrd_025774 transcript:KVH88781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MAISMATYIVAISFIFDIAKAQSNITGGLSLTPTGATTSWLSPSRLYAFGFYPQTAGYAVGIYIAGIPERPVVWTARRDILPLSNNATLTFTTDGSLIVEQVAGQPIDITGSTIVGASRASMQDNGNFVLYSSDGITVAWQSFDHPTDTLLAGQKLVPVQRLFSSVSETDQSIGIFKLTMQIDGHLVQFPNVGTDDTTASSYWESGTPGTGPDVTLNLDSDGFLYLLQDSAVFIRNLTQGGGPRSEKAIYWMKIDVDGIFRLYYHDLSNTSKNTSVIWASSTNKCAGRGLCGVNGYCFVMDDVARCKCSPGFEFVNPESWRLGCQRNYSTMESCKDPDAVNSFQMTTLNNANWEDAAYAIPEASTQEECSFVCLNDCICEVALFTGRVCRLQKLPLRYMEVKDSESNVGLIKVYASYVTNGSDPTNIPSIHIKKERPMEILVTGISLISFAVLILLLSGVVVYRAKVWTYRKISENVNVQLFNDVGPRAFTYAELEGTTDGFREELGRGSFGIVYKGIIESSNKLVAVKKLKEELAQEGEREFQTEMKVIGRTHHRNLAKLLGYCCEGPERLLVFEYMTKGSLADILFETKESKPCWEQRIRMAVDIARGILYLHEECETVIIHCDIKPQNILMNEYGCAKISDFGLAKLLEHDQTKTFTLIRGTKGYVAPEWHKKLPITAKVDVYSYGIVLFEILCCRRSVDSSLPVEEAILEEWVYDCYEADEVSKLVYEKDVDKRKLDRMIKIGLWCIQEDPSLRPSMKKVLLMLEGTVKIPEPPNREFSSVV >KVH88769 pep supercontig:CcrdV1:scaffold_483:106702:109215:-1 gene:Ccrd_025766 transcript:KVH88769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MVFRQRTQTTTTALNITQLTFIEYQTTSASTAGATTTTIMSTSDPLLLLLLPLLFLLFASQPSYAQNRSRTSDFPWSPTENRILLSNRSVFVAGFLPLSNSTDRFIFSVYYFNTSGSERTVIWSVNGDSPVNISTPLLITASGELRLGEIPFPGDPKSSNSTTPLLELGDNGELSFGSWSSFLYPTNTIVPNQNISGTRALSVRGGTFLFDGKQLVFNSTYDNQSQYYENSNKFRLLTDLGMVQQENSATFITSDYGDQALRRLTLDDNGNLRIYSLDSSSRQWKVVWQAVAELCRIKGTCGRNSICRYGEDYDSTVCDCPPGFQKNSGTGDECRRKVGLGKDTKFLQLDYVNFSGGSGQGDEWSIKALNFTMCQRECLNDPGCFGFGYKYDGQQFCVLVKKLYYGIWSPATEAAFFLRVDQSERDVTNFTGLTSVLETTCPVRVSLPLPPEESKSTTRNIAIISTLFAAELISGVAFFWAFLKKYVKYRDMARTFGLEFLPAGGPKRFSYAELKTATNDFSTTNVVGKGGFGDVYKGVLTDHRIVAVKCLKNVTGGDNEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEYVPNGSLDKFLFHSGKVEYTNDDQDVEESRLLERKPILDWGIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGADFCPKISDFGLSKLRKKEDMVSYSRMRGTRGYMAPEWVKSDQITPKADVYSFGMVLLEMVTGVRNFDIQGSKMDSEDWYFPRWAFEKVYKEMNIEDILDNQIKASYDSRMHEEMITRMVKTAIWCLQDRPEMRPSMGKVAKMLEGTVEIIEPKKPTIFFLGDES >KVH88775 pep supercontig:CcrdV1:scaffold_483:213168:225460:-1 gene:Ccrd_025779 transcript:KVH88775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MSMGGSQTLDILGDRQSGQDVRTQNITACQSVSNIVKTSLGPVGLDKMLVDDIGDVTITNDGATILKMLDVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVKNKIHPTSIISGYRLAMREACKYVDEKLAVKVEKLGKDSLVNCAKTSMSSKLLASDSDFFANLVVEAVQSVKMTNARGEIKYPIKGINILKAHGKSAKESYLLKGYALNTGRAAQGMPMRVAPAKIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIEKILKAGANVILTTKGIDDMALKYFVEAGAIAVRRVRKEDLRHVAKATGATAVSTFADMEGEETFDSSFLGYADEVVEERIADDDVIMIKGTKTSSAVSLILRGANDFMLDEMDRALHDALCIVKRTLESNAVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATDLVAKLRAYHHTAQTKADKKHLSSMGLDLVKGTTRNNLEAGVIEPAMSKIKILQFATEAAITILRIDDMVRLVKDESQDGQD >KVH88770 pep supercontig:CcrdV1:scaffold_483:56949:57566:-1 gene:Ccrd_025760 transcript:KVH88770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MYSSMATTSISSCSYGIIVPDMRYFFLVNAEPGQYVDRLIIYTSSTDLRQRASMIQDYNDLISLFNDGPAYTTLRMEFTMDVADHGSVATARVLDGDEEPYEAISMAVARYIIHHESCCASGLIERKTLKRPFEIVRNGKQEDEDEEEEEEEGGDICVICQKEYEAHEMMRRLECKHGYHAECISKWLLRKNVCPICRADTVPWL >KVH88779 pep supercontig:CcrdV1:scaffold_483:199844:200698:1 gene:Ccrd_025776 transcript:KVH88779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A MASLSLISSPPLSSSLLRRHHHHHNARLISFNSPRYLSIKAFSAPSPVQPLTQDDLKKLAADKAVEYVSSGMVLGLGTGSTAAFVVSKIGELLASGQLKDIVGVPTSKRTQEQAASLGIPLSILDDHPKLDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFVVVVDDSKLVSGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGCDAKLRLDGDGKPYVTDNMNYIVDLYFKTPIKDAWSAGKEISSFEGVVEHGLFLDMTTAVIIAGKDGVSVKSK >KVH88773 pep supercontig:CcrdV1:scaffold_483:75310:77940:-1 gene:Ccrd_025763 transcript:KVH88773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADAAVEFLLGNLKQLLVYNAHLISSVKDQVDSLCNDLRLLAAFVKDTTESRSKHAVVKELVRRIRIEVYKAEDIVDMYVVHASVQKSRTSLEKAFHITDYPLKLRTVGKEIHDIRRRVKEIYDNKMFGYEALGRRESVTRSPPAVEEDNVVGFDKEAEKMVGWLKAEMEELDVISVVGMGGLGKTTLVKKVYNDPSIQYEFFIRSWVYVSQVYSRREVFLKILHDVTRENKDTSEWSVNTIADELRIKLQDGRYLIVLDDVWTKQAWDDLKMVFPNTKNGSRILLTSRNKHVALHANTNRPPYQLRFLTPDESWELLGKKVFPRGSHRPKELEDLGKQIARKCYGLPLALVVIAGILRKKDKTRSWWEKVEEKVNTYVAMEPEQCMDVLALSYNHLPYDLKACFLYFGVFPEDFEIPVRKLIHIWVAEGFIQRIGDASAEEMAEENLQDLVDRNLVLVEKRRANGGIKTCRIHDMLHDLCVKQAEEEDFFKEIKGLEPSSYIKSVSPTVFRRLCVHTRVSDYVSSKPDSPHVRSFLCYAKEETVLAPDLITYFPGSFRLLRVLDVRPISFPRFPSIQLVHLRYIALLGTFKVLPAAISNLWNLQTLIVETTFRNIEVQADLWKLLQLRHVYTSAASDLPTPSSKSRKGVKDPLVNENLRTMSKVSPNTCTDVIFARTPNLQKLGVRGNLMSLMEEKKGSCKFDNIAKLTHLETLKLLNDTYPISPLDGKLHGLPGWYKFPPKLKKLTLSDTMLNWEHMSVLGKLPSLEVLKLGDNAFVGECWETPDGSFVRLRVLEIGKTDLAIWKAADDQFPQLQRLSLKHCEQLVEVPWGLGGITTLEVVELSWTSHSAVASAHRIQKNFKLVIFPPDQETQT >KVH88764 pep supercontig:CcrdV1:scaffold_483:230831:237344:-1 gene:Ccrd_025780 transcript:KVH88764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin SPT2 MGGYDLDEYEEYEDEGEDQEEEVGEYEEEDEEDRLPTQEELEYLELRQKLKDSIRKKMKKDSGSGLANLREKKKKMPYDNFGSFFGPSQPVIAQRVIQESKSLLENPHLAARVPKPKNVAKPKAKIQMLKDTRDYSFLLSDDADLPVPIKNPPKSVSAPKPEAHSSQRQLNNSARKVINGRDERRPLPTSSQMRAKAVPQSQRPSTSSKATPTSLESRKQLGRNEGSGPGRPLGQRPLPSKMPVANKQKVLSPSVSRGSIPTGHKPLPSRPLPATHKKPLEHKRDDRGPSKGNIKQQPARPPASAQQKPRPQKRPARPFDDDDEDENAISMIRSIYNPNRYRGANDDDDSDMEAGFDDIMREEKRRWNKIGQN >KVH88761 pep supercontig:CcrdV1:scaffold_483:10206:16043:1 gene:Ccrd_025755 transcript:KVH88761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane attack complex component/perforin (MACPF) domain-containing protein MTQKLIQQAIDSLGKGFDLTSDFRLKYCKGKHRLVLLNENESKDLLVPGFGSFKGASSDIKCDKGDRVRFQSDILDFNQMSEFFNQKCSGPGKIPNGFFNAMFGFQSGSWATDAANTKYLGLDGYFIQLFNVHIDRYPLILSDEVRNAVPTTWDPPALARYEKSSPVFLSHHAYVSYLTTLRSIDHKFIEKYGTHIIVGISIGGQDVILMKQNKSSNLEASQLKNHLENLGDQLLNGACTFSPHQLKSKDHKNKTPLAFSSVFDLEPMFFNGFSSATTKDGLSVMCSKRGGDLMSSTHCEWLLTVPSMPDAINFDFIPITSLLPGVPGKDKPPIEDLEYFLDFQAHKLWAPVHSDLSLGPTTNRAIRSPALNFSLMGPKLYVNTTQVTVAKMPVTGMRLYLEGMKCNRLAIHLQHLSGTPVLFQDRISEALNWRGSEEIGDSRFFEPIQWKKFSHVCTAPVKYDPDWALPGKDASYIVTGAQLHVKNHDSRSILHIRLLYSKVSSSCIVQSSWASGPVYQSQRSGFLSAISQSLSGNPEKELKSSDVVVDSGIYPNGPPVEVPTPKLLKFVDMTQICRGPRDNPGHWLVTGAKLHLDKGKIRLLVKFSLLNIC >KVH88778 pep supercontig:CcrdV1:scaffold_483:199853:200772:-1 gene:Ccrd_025777 transcript:KVH88778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDQDFIYPIFSLALHTNPIFSGDDHSGGHIQKQPVFHHAFKRRYLLPCTPCILNRSLEIQIHNIIHVISNIWLSITIQSQLRITPFLFEQFLKPNQIILPTKLHNLHRHCQPTST >KVH88771 pep supercontig:CcrdV1:scaffold_483:61148:61708:-1 gene:Ccrd_025761 transcript:KVH88771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPTSMSSSYGIIIPDLCHFIIVNAQPGEDLDELIIINSSPTTDHQASTIHDYNHIRSMLFDNGPAYTTLQMEFTTNIHGSVATARFLDDEDEDHEASMTIARFIIHHQSLYGADGISEEKSPVEIVGIGKEEAGGEICVICQKAYEEYEMMGRLLECRHGYHVECINRWLLHKNVCPICRATVSWL >KVH88762 pep supercontig:CcrdV1:scaffold_483:27935:38310:1 gene:Ccrd_025757 transcript:KVH88762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKMNFVLQKSDHFMLKKDRQSINGIKVATISCRARSSELPTINKKNLYQVLSLESQTVGFHELKKAYRAKARQIHPDVVPSSVKEECTKKFVELREAYEILSDPNSRRLYDLSLVESKCNSRMVWEMQLEGLKQRSANRSERRNDIFVKDLMLNKERNSYGIKNRSISCRIQELPIQKKNLYQVLSLESRTVSFDEVKKAYRMKALQLHPDVCPSSIREECTKQFVELQKAYEVLSDPNSRRMYDNELSLIESFGRHGCYDDEQKGNNFVSRKVWEMQLAGLKKRSADRMERMKNEFMERI >KVH88772 pep supercontig:CcrdV1:scaffold_483:64567:73159:1 gene:Ccrd_025762 transcript:KVH88772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEALSLLLKQLLVHINQLRYIKPSVEARDDRTDVDASFDVLMNHLRKMMLGYENKEVQGLFEEIRLQMDRIVALMGISPSGIDALLEACEKLYNIRFGSTMEAEEIVVGFDDEVEILLNQLTGTSTKQLQVIAITGTAGLGKTTLARKLYRDPLVEYFFEFRALTCISQKYLKRDLLLSILSSFINDLTEEIYKMSDEQLGEKLYRFLKGRQYLVVLDDVWDCKALNDLQIYFPDDQTGSRILFTSRHVDLSLHLGSAGPVHDLRLRTEGESWDIFQMKVFRFGICPHFLEELGRVIARKCEGLPLAIIITAGLAKKQLSYTWWSEIAGSLHSLMARDPSQYMDTMALSYNHLPSHLRICFLFLGVFPEDYDIPVRKLIWLWIAQGFIHETGNRTLEDVAEDFMMDLIKRSLLMTAKKGVDGQINACRIHDLLRDLCLRKAEEENFSPHFYRYAHVPASSSTPPALNTHSGFCYPFELGKVLQEGGLVLSETYKSLRILDVESVPISSFPCDVIELDNLRYLAIQARDGSPQPSISNLVNLQMLIISSRKNIVVPKTIWNMINLRHICIKSGENLVEEPCFVQVTDKDGCPNVLKLTLSNTGMDWEEMWIFAWLPNLEVLKLKFHACIGEKWDTGDATFQRLKILKLHGLDLRQWVCWRDNFPGLQHLVVRHCLKLEIIPSDIGKILTLDVIEVRGCSRVGENSTFAVNPVHYQGRTMDDALTLLLKQLFSDMNGAMMGNQLSLVGLQGIPVIERHLNGLINHVKKMMLGYENEEVQSLVKSLEEMARVQNLYGSQNSMINEIVEVIEELCNIRHGSHIGEEPVVGFDDEVETILDQLTGTSAKQLQVISITGMAGLGKTTLARKLYRDPLIEYVFDFRAWTCVSEVYLKRDLLVSILSSFISDLTDEINKMSDEQLGEKLHRLLKGHKYLVVLDDIWDDMAWTDLRFYFPDDKIGSRVLFTSRDIDVSLHVQAARPAHILRLRTADESWDIFQKKVFRMGICPPHLTRIGRMIAKRCKGLPLAIVIVAGLVKTQLSYRWWSEISGSLHSYMVRDPSQYMDTLALSYDHLPPHVRTCFLFLGVFPEDYDIPATKLIWLWVAQGFIHQTENRILEDVAKDFLMDLIKRSLLMIAKKSADGQIKACRIHDLLRDLCLRKAEEENFSPQIYRYVPVQASSSTVTNMLHGMSLNPRPALTNPYGLCYPFEMGKVLQKGGSVLSETYKSLKILDLESIPISLFPCDVIQLDNLRYLAIQAHDGSPRASISNLVNLQMLIILSRKNIVVPKTIWNMVNLRHLSIKSGENVMEEPCFVQNTENDGCPSGLASLLTLSQVSPQSCNNIFSRTPNLRKLGFCGPLMSKLGDLEFPNLRSLQHLQKLKLLNTNPYPEPTRSCNPIMFPENLKKLTLSNTCMDWEEMWTFSLLPNLEVLKLKFNAFVGEKWETSDAEFRPLKVLKLHDLDLRQWVCSRDNFPGLQRLVIYHCSKLNSIPSDVGNILTLDVIEVRGCSRSTWVSAQNIQKEQESQGNSFLKVQGTNNGSGVTFLAFPG >KVH88766 pep supercontig:CcrdV1:scaffold_483:130936:136052:-1 gene:Ccrd_025769 transcript:KVH88766 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHASE-like protein MQGHHHLVAVSLNEQQLGRKRKYTSYIPRTNQGKYLALWIIAFGFVAVHVYRCIDAGHQERIEEGLVSMCDQRARMLQDQFSVSVNHVHALAVLVSTFHYYKNPSVIDQETFAEYTARTAFERPLLSGVAYAQRVMNSDREEFESQHGGTIRTMAKEPSPHRDEYAPVIFAQETVSYLNLLDMMSGEEDRGNILRARSTGKAVLTSPFKLLGSHHLGVVLTIPVYKSKLPPNASVRDRIEATAGYLGGAFDVESLVENLLGQLAGNQEIVVKVYDVTNTYLHKAPISWTAITTATLGYVIVLLAGYMFYTGAIHIVQVEDDFDTMQQLKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQACGRALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGIEVKSGTTPFSFLVCFSNFMISCFSDLIFLEKPWEIKVGCSCIGQSSANCHGGSGKIQTTVVDAKSETCMNGRSEGFSQFKTLSGREVADDRNSWDKFKHLIADEEFPFQVITSDGTSQSVNLMVSVEDTGIGIPIHAQELVFMPFMQADSSTSRHYGGTGIGLSISKCLVELMGGQISFVSRPQIGSTFSFTAAFKRCEKNAVSDPKRCLYDDLPTSFKGLKATVVDAKPVRAAVTRYHLTRLGVLVEVVHSIRMAVSLSGQNGSVRSKNEWQPDMILVEKDVWLSGEDVSNVHLFDCRHNGHVIKSPKMILLATNITGAEFNKAKAAGFSDTLIMKPLRASMVAACLQQVLGTVKKVEQGKVMANGSFYLRSLLCGKKILVVDDNRVNRRVAEGALKKFGADVECADSGKAALELLQLPHSFDACFMDIQMPEMDGFEATRRIRVMESQANEQHTGGSMEGSCDGSTTEWHLPILAMTADVIHATFEECQKSGMDGYVSKPFQEENLYQAVAKFFESKPTTEL >KVH88785 pep supercontig:CcrdV1:scaffold_483:50930:57267:1 gene:Ccrd_025759 transcript:KVH88785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEEALLLSLKRLLIPISCTNMLSAGSLVALDRQQAIRSSLVILLNQLRKMMLGYENEEVQGLLDVIELLVDRKLVAPQFGKSFSDGILEACEKLCNIRFSSIIGAEENVVGFDDEVATLLDQLTSTSTKQLQVISIAGMAGLGKTTLARKLYKDPLIEYVFDIRAWICVSQVYLKRDLLLGMLSSFINDHTEEIYKMSDEQLGEKLYRHLKDRRYLVVLDDIWDCNAWNDLRMYFPDDKTGSRVLFTSRDIDLSLHVRAARPAHVLRLRTYDESWQILQVKVFRTGICPSRLETFGRVITRKCEGLPLAIIITAGLLKNEASDTWWSQIAGSLHSFMVRDPSQYMESLTLSYNHLPPLLRQCFVFVGVFPEDDDIPVTKLIWLWVAQGFIDETGCRILEDVAQDLLMDLIKRSLLMIAKTRADGQIKACRIHDLLRDLCLRKAKEENISPQIYKDAPVPAMTSPSIITNTLHGTSLDPPALLTHSGFYYPFELGEVLLKGGSIIWETYKSLRIIDMETVPISLFPADVIQLGNLRYLAIQARDGNPQASISNLVNLQMLIISSRKNIVVPKTLWSMVNLRHVFIKSGENLMEEPCFVQETEKDGCPSELASLQTLSQISPQSCHNIFSRTPNLRKLGFCGPLISSQGNMEFPNIRSLVHLQKLKLLNTNPCREPTRLLNTMMFPEKLKKLTLSTTGMDWEEMWTISLLPNLEVLKLKFHACVGEMWEMSDTEIQRLKILKLHGLDLRQWVCSKDNFPGLQSLVVHDCLKLESIPSNIGKILTLNLIEITQISPPSSSSSSSSSSSCLPFLTISNGRFRVFLSIKPLAQHDSWCIIYLATAIDIAS >KVH88765 pep supercontig:CcrdV1:scaffold_483:19694:23504:-1 gene:Ccrd_025756 transcript:KVH88765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MVKKSTEIGGLSIAPFLLKCYEMVDDPTTDALISWSPTNDSFIVWNEXEFTSELLPKYFKHNNYSSFQRQLNIYGFRKTDTDRWEFANDGFVKGQKHLLNSINRKKVTQVTAQQKVTQQKAPELAKLIDVSKHEEKLWKEVESLKTDKNMLMQELIKQREHQETSQTKMLVLREQLKGMEKNQHQMLSFIVMAMQSPGFFSQLSPPVQRTWLKSERSSKTILKPVTKDSKRVVHPQEGAIVKYQPPIETPAIILEDPVELDLTCEDLFMDFMPGSTLDDGINSPENLVPFIFHDLPDSENMLDQLLSSPVNKTSKDLIDDGRGTQEIGPDGFQFLTEGFGRSLTVSTKEGNFIV >KVH88780 pep supercontig:CcrdV1:scaffold_483:187237:192179:-1 gene:Ccrd_025775 transcript:KVH88780 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MDYAIPRETFWRTASRITVSAHIFGILANILMLVWLLHYREGLDLDSANPYRVFNVHPFLMFFGFIFLSGEDRITQKFVHGFLNLSALVLGIVGIHAAFKFHNKANIVDMYSLHSWIGIGTFCIFILQWLFGFSLFVFPKASAVTRARLSPWHVFGGRTLLYMAICAAETGLMQKFTFMQLTNNRESYLINFLALAILLFGITTGAGASMAKGGRSFQITAAPVTVFAHLLVISIATLVLVWLIKFREGFAFKSHIKAKIFNATIIVYKTIPAKRQTQKATHLILHFIALVAGILGVYAVFKFHHELYMPDMYTLHSWIGLSTICLFGLQWLLAFFSFVYPGAESARRSRMVPWHVFFGVVIFFMTIVTAETGLTQKFFSLELQRSQEALIINFTGLLILLLGISVGLIIILPRRM >KVH88774 pep supercontig:CcrdV1:scaffold_483:203275:211609:-1 gene:Ccrd_025778 transcript:KVH88774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFTLPQNSSIPLRSSSLPRKTPYLNRISQLLPVNGVTLCGVQLKETTVVPRLCHAISEKNSSSALGVFAKNNNPIIVIDNYDSFTYNLCQYMGELGCNFEVYRNDELTVDELRKKNPRGILISPGPGAPQDSGISLQTVLELGPDIPLFGVCMGLQCIGEAFGGKIVRSPSGVMHGKSSLVYHNEGGEDGLFAGISKTLFASTQYIGFHTLIHISHFLVSPFTVGRYHSLVIEKESFPSDQLEITAWTEDGLIMAARHKVYRHLQGVQFHPESIITTEGRTIVRNFIKLIETKEAVSGN >KVH42608 pep supercontig:CcrdV1:scaffold_4831:5941:15402:1 gene:Ccrd_025781 transcript:KVH42608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MLGKRLFVVSGSILQNFYIRDYNLNFEYLKVETKFEISILRAVHNRTEAVCDSLLRSKVETTSSCYDHSLHHSPLFSKIGDQSNDYKIDIVDDETWQVSTGFANAWKDATAPSLVTNASHAQVANDTPRNSLDRDSKEYEEYNIDFHRRKSSKRKTDQRESKAKENPNSTSGNMVENVSKRKDEIRESKXNGNPRNNYGISMFDEMEKPEGSFIEKKQRVPTFNQLTAPYHEPFCLDIYISKASIRACIVHRATSKVVAVAHSISKDMKFDLGSTRNAAACAAVGKVLAQRAFADDIHNVVYTPRKGEKLEGKLQIVLQSVINDGVRVKVKIKKTKLNKSAAFSAVKSSNFITQHETKVAGQGPDCCVSDNLVSSLTSSYNEVICKFDQWQKQLGLPTSQEKKRFVVELFQPQTFLKLNQIQ >KVH96903 pep supercontig:CcrdV1:scaffold_4836:22383:26644:-1 gene:Ccrd_001005 transcript:KVH96903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNFSLLSKTLTPTSKPSSIVTSNLPFIHSQSLKPIFTRTIPNSIRLRTHRTKAAVDGDYSSRRSSSSEPRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCQYPTYQPKPRKQSKYESKRYVRQRDGPPPEQRRAKQEAASSESPST >KVH96904 pep supercontig:CcrdV1:scaffold_4836:20014:20921:-1 gene:Ccrd_001004 transcript:KVH96904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAYNRLHGLFKNSTATATATGTATGTAVSTTKKIIKEKTKRPKKSPASSTSTTAAAGGTVVSRSKTENLSFEPLPMSQLTTSLANLKMFIDTFKRTSNTGPFRYSYKYYDDAVSHLARAKQHAYIEEILEHQKRYKTDMTKEAFVVRLISLYGKSRMFDHARKLFDEMPELNCPRTVLSANVSQLMSELFHELREELSIELDDVSYNVVVKAFCEIDAIDSALQMADEMEKNGFECNVYTYNTILDALYGKGNILDADKIWDVMKSKNLDPDVRTYNAKLRGLIVANRIT >KVH96905 pep supercontig:CcrdV1:scaffold_4836:19669:19992:-1 gene:Ccrd_001003 transcript:KVH96905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKTKGVNPDVYTYNGLIHGFVKEDDLVAVKRWYTEMVANEIVPDSVTFRIIIPFASKKGDHRFGFELSKAGLLPEMNVGRLNLQGVVDGLVKEAAIDEAKELVELVKA >KVH96893 pep supercontig:CcrdV1:scaffold_4837:1681:2733:1 gene:Ccrd_001015 transcript:KVH96893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESYNFVLDWSLALASLLYCHSVGIFIARGTTRFLVLFPVILLFLYLPLNLNTMFLCGLTFFFMSWLGSFKLILYAFGEGPLSSYPPLPLSHFISTACLPIKIIRNQQHPSHQITKKSPKEYALRFFLLVITIMAHSYKDNFHPLLTTTIYAYYIFFSLELLLAVAASLARTLLGAELEPQFDEPHHATSVQDFWGKRWNLMVSSILRPTVYHPTRAIFSHLVPARWVSVPAVFTTFLVSGIMHEMIFYYLGRFTPTWEVTWFFVIHGVWVGTEIVLKKTMSRRFEPPPLISRSLTLGFVIITSFWLFFPPFLRLNPFARGCREMMAFVGAFRHWHLISPDEYSCPYF >KVI02765 pep supercontig:CcrdV1:scaffold_484:161529:167301:1 gene:Ccrd_018955 transcript:KVI02765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MNRKIHPLQTLLFLFLHHTALLARPIFIFYQSVSASLILFSTHLQIDWQSKNSGYLMSSRLLGVEGDGQGNASLLSMESRFQENPGLTERNYLGLSDCSSVDSSTVSSISEVNKNSLNLRATELRLGLPGSQSPERDPESSLTSFDNLDEKPLFPMLPSKDGLCSSSPKIVVSGNKRVFSDTVDSYSEIKGLSEGNWMFGASGAESDASKSLGQVKFSSNSNVNGMISSGGQIMTIKGTASKMPQERPHPICGTNLNNVNSSNPPAAKAQLVGWPPVRSFRKNILATSSKNSDEVDGKPGPGALFVKVSMDGAPYLRKVDLRGYATYQELSSALEKMFTCFTIGQCGSQGAPGKESLSESKLRDLLHSSEYVLTYEDKDGDWMLVGDVPWDMFISSCKRLKIMKGADAIGLAPRAMEKSKNRK >KVI02759 pep supercontig:CcrdV1:scaffold_484:115631:117162:1 gene:Ccrd_018953 transcript:KVI02759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MPLNPYPICLMMQHPSSQPQGLLEDLLTPIKQSLTTFPNVDPGLFWDTSYNEEDDSLLASSSSSFLQLISSSIEPSFQCSSYTGETVDFPAVLPNLDSFTPGNVSNSSSYGQQVCEYEYEYEHEYENDAKVRSMVSVQEGYPTMVTQEDVDGDDKIHEEQQRVVSDNQIPVVFRSGEKKRSKKVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPRISKMDRTSILGDTIEYMKDLLEKIHNFQDHDMGSDLNSLNLMGSFKDSKTNEVQARNPPKFEVERRNNDTRIQICCSSKPGLLVSTLNTLEALGLDIQQCVISSFSDFSLQASCSEAQENRAITSSEDIKQILFRNAGYGGRCL >KVI02758 pep supercontig:CcrdV1:scaffold_484:221018:224265:1 gene:Ccrd_018958 transcript:KVI02758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase MRKEVVVATAVATVAVAGVVLVRWRKRDERRWRQTQRILRKFAKDCATPVPKLWHVAFDLVSDMQTSLSDQSQTDFVMHPCYTSSLPHGNEEGLYYGVNLREDNFLMLRARLSGKSEPITDMEREEVLIPPEVISGSTKDVFDFIALKLAIFITTNAKENPIAPKGKLGFTVSFPLLEGPASPTKGNVIRWKSFAVNDAVEFSFTLLFIYPYDTILLLLAISLLFFAFVLQAAGKELANEVNAALEKHGIDLQVSALVDATIGDLAGGRYFNKACVAAVTLGLATNAVYVESAHVIPNGNEFKLSEMVIDMQWGNFNTPHLPITEFDMALDSESTNPGSRMFEKLIGGMYLGEVVRRVLLKMAKETAIFGETVPSKLKTPYTLRSPDMAAMHQDTTENRDTVQEKLLEVFGIASSTPSVREIVAEICDIVAERGARLAGACIVGIMKKRGRINEKKSVVKVEGGLYEHYRVFRNYLHSSVWEMLGNSLADNVMIENSHGGSGAGAIFVAAAHSHVHESITPPFSQRNSTVDQLEMRDDHQMASKVGTGQNETAEDDSIFWNDQMESTAETSDQSGSGSSEE >KVI02754 pep supercontig:CcrdV1:scaffold_484:7674:12463:1 gene:Ccrd_018944 transcript:KVI02754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDADVGVIIFSSTNKLYEFSSTSMKSVVQRYNRSKEEINQLVNPMSDVKIRQLMGEELCGLGLEDLQKLENQLSLSLQVIRMKKEESLTNEIQELTRKRVTLVSSKLIALPICIYVNLRIRVCLTYRCVKQFPG >KVI02762 pep supercontig:CcrdV1:scaffold_484:90042:90746:1 gene:Ccrd_018950 transcript:KVI02762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVICYPHPLLVLGTGTQTDDSFQHLLYFFRSSITMCPRASPLTPPHSLSSRDYSLIRCRRSEISQHPLQKEELRRKPSI >KVI02755 pep supercontig:CcrdV1:scaffold_484:24629:28087:-1 gene:Ccrd_018945 transcript:KVI02755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTPQRRSSYFSGCISPPCVPLHDQYERVDPSTHSNGSDLWSRRWRKLINKVVRESKKSIYGSSKPLTFRYDAVSYSQNFDDGNHRCEFYDLHGHRCSQVIADSLQLVFSAYRDSFQLSLANVVNEFCKTSFVG >KVI02761 pep supercontig:CcrdV1:scaffold_484:101592:102442:-1 gene:Ccrd_018951 transcript:KVI02761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDPKYAYPYPPASQGGAGYYQGPPVMAPPQYQYAAAPPPRREPGFLEGCLAALCCCCLLDECCCDPTIIFF >KVI02763 pep supercontig:CcrdV1:scaffold_484:203382:217562:1 gene:Ccrd_018957 transcript:KVI02763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, catalytic domain-containing protein MEVLQRATVPQFTQFSRPKWKPPKHRLVCCFGLESHNSIELHQDRLQSLDDGSERTQNDVWRLFTEAQRNIMYLNKQRVQAIEELEEMKREQKSLLEKIEQLEGQAHASSEEDPSSISSELLLRIDSMVLTGILDTREASGFRGLLTDTKLRVADYFSNMMSKSDSEVLAALRHFSNKRKMKCFHIIHICTEMEPVASVGSLASYVTSLSHVLQKQGNLVEVILPNSCVCLVAMRYSHIQPSISLQQGRKFLTYDIYASLNLEKVKSLREIEAEVFSYFNGQLHRNRIWTGVVNGIGVTFIQPVYYSSFFSNEKIYGYSNDFERFSYFSRASLDYILKSGKQPDVLHIHNWETSIVGPLFWDVFVNQGLAATRILLTCQSFNSQCLEQPDKLAMCGLDPARLHRHDRLQDNNKLHLVNILKAGVVYANKVIVMSSIHSKDQIISTMSHGLEVVLGTHKDKLLIAPFGYDDSNWDPSCDKFLPQSYSADDMGGKAVSKVALQQQLGLPGDVSSILVGCIFSEDSDVDLEILKSLAWDSSRRGVQDRNVRFLDKYDESLSHLIFAGSDIILCTFNDPMVQVPLKAIKHFIDPNSQRTRLSEYIINTFANVPLSQALNEIKNKRSQWDEKIKDAMSKDLSWDGECYDVHVSAYTLLKK >KVI02757 pep supercontig:CcrdV1:scaffold_484:75353:79933:1 gene:Ccrd_018947 transcript:KVI02757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase, catalytic domain-containing protein MDGLFNLVKMLFDIDVVPADGLAPVWNTDVKFYCIKDSSGSPISYFYFDPYSRPSEKQGGAWMDEVFARSRVCSHDNTSVRLPVAHIVCNQMPPVGDKPSLMTFYEVSTIFHEFGHALQHMLTKQDEGLVAGIRGIEWDAIELPSQFMENWCYHRDTLMGIAKHYETGECLPEDIYQKLLAAKTFRAGAYAAGYYSYKALRDTGHRFKETVLALGGGKHPLEVFIEFRGREPSPEPLLRHNGLVQTTA >KVI02756 pep supercontig:CcrdV1:scaffold_484:37074:43367:1 gene:Ccrd_018946 transcript:KVI02756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neurolysin/Thimet oligopeptidase, domain 2 MATLVHTLRSSTPKSRLSSIHLSFRRRSPAVASFSDQVPSSISTTIKDNPLLKDFKFPPFDVIDASHVRPGMRALLKKLDNDLTELEKTVEPTWLKLSEPLERIIDRLSVVWGAVNHLKAVKDTPELRSAIEEIQELAKLSKKYGENIMDATKKYRKLITDKKEIEGLPATARGFAAQTASSEGHENATAENGPWMITLDAPSFVSVIQHAKNRALREEIYRVYVTRASSGDLDNTPIIDQILKLSMATKMATVGKAEELLEKLRSASWNAAVQDMEELKEFVRSQGAPEADELTHWDITFWSERLRESKYEIHEVPFLFIPHTNSQHKFRYLFVI >KVI02760 pep supercontig:CcrdV1:scaffold_484:105004:105639:-1 gene:Ccrd_018952 transcript:KVI02760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDKVKLMCSYGGRIHPRPHDLQLSYFGGHTKILTVNRDINFSNLFSKLQDLSESNFQIQIKYKLPGGQHLEPLISVFDDDDVHHMMFEYDLLRRVSTKPARFRLFLFFPETAKPMATAAWSLNPDFLFGFDKEYSFNYTATVPVEPLEISENPDAVPENVIIGDGAPNYDPGVFMRDSYVYPLALGYQEPVNAGGCFQAGLYNRYRDTGGK >KVI02752 pep supercontig:CcrdV1:scaffold_484:81005:85835:-1 gene:Ccrd_018948 transcript:KVI02752 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSRKYKGGSPDMVIDMVKPMNFTGGLEFMNLTYTVMKKKKTEEGKWVKGEADLLNRITGYAPKGCITAVMGPSGAGKSTLLDGLAGRIASGSLKGKVSLDGVEISPGLIKRTAAYIMQEDRLFPMLTTARNTYIGDEGSRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAQSVIEKIHDIAHTGSTVVLTIHQPSYRMQLLIDHLIVLARGQLMYQGSPKNVGHHLGRMGRKLPKGEDPMEFLIDVIQQYDQSDYGVDALAEFALTGMKPPQLSENETSFSTIPPSPTPPPRRNHDRSDKQSEDKGGSRKRLHLQTAGRPDQLKDFDHSVRSPWNNSKSWSQSGIMQSLKLTPNRPHSDQRTPHPASASPGYYTYSSDIVAGTPTPHSSDYTVNEDDYLTPNNAPNAAKYNHLGPKFANSFFPETWILMRRNFINIRRTPELFLSRLVVLSIMGFMMATLFTKPDRSMQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFVRETSHNAYRASTYTISGLITYLPFLLLQAAMYAGITWFALKLEGPFLYFLLVLYASLLSTNSFVVFVSSVVPNYILGYAAVIAFTALFFLFCGYFLSSQDIPPWWRWMNKVSTMTYPYEALLLNEYQRNEVFGTTLIGTNVTGIDILQSLHIYHENDERWSNIYIMFGWAILYRVLFYIVLRFASKNQRT >KVI02753 pep supercontig:CcrdV1:scaffold_484:89805:92387:-1 gene:Ccrd_018949 transcript:KVI02753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MASAFLHSRNETRSSDISKFTNNSKLNFTRLENIISPNPNPNPNPNPNHFFIGNTSRDVIWKNREQRPSAAPPGVAFTGSSINSAPVNSYGYRYITFRLSSYTRRELKELKKRLISDLERVRALRHWVCSTPVPKKNYHPPQLELPTAPEVALKGKERQKSAVGQKRTKPAPSIRDTKKHCGRVKNNVQRSKVVMRKCGQILAKLMKHKHGWVFNAPVDAAALKLYDYHTIITNPMDLGTIKSKLAKNEYDSPLAFASDVRLTFQNAMVYNGKGSGVFLMAERLLLLFEDMFNQTPQKTLGNQAKKQNRSAKVPVLVEKPVSDAAAVELEIPVSNVTTSNHVAPQVAVLKKSAILGKPMPISRDANKLVMTAEKKSELAASLLNLQLGPEGMDQIMGIIKKGVLGVEQEGDEIELDLGVLDDETLWELHSFIGSSCKKASTTSNMNTNLVSCVQANNCAGSKEVMMEEEGRKRARDGGEEDIDIGEEEMAVSNFPCVEIEKDGVNGSSSCSSSSDSSSSSSGFMDI >KVI02764 pep supercontig:CcrdV1:scaffold_484:188117:190364:1 gene:Ccrd_018956 transcript:KVI02764 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MVLGWRRAFCTSVPQETDSTTISSMEELDEYENGISRSSTTTPKSGSRFAFFTSSSNPSTPRLRSHSVSNPRHCCRTTATVPAPSPSVPISPELHCETKNSPRFFLRSSNPSSPRSPSPFSFLKSSLRLCTSRCGLCLQSVKRGQGMATFTAECSHNFHFPCISDHVKKQGSLACPVCSCLWKDMPLLSVDENSQKHLFVEKEEKTRQKLATSLRDDFVVKNESPKQQLLRPDLKVYNDDEPLASLTPKARFIPIPESDENCDEDSIGEFQGFYGDGGNNTPIVDHARDVEVRLLPEAAVIAASRRHETYAIVLRVKAPEALEKTESRAPVDLVTVVDVSGKLTSENLQMIKRAMRSIVSSLSSLDRLSIVAFSSYSKRLLPLTRMTTSGRRAARRIVEAMAVLEGSSNSKDAVKKAIKVLVDRREKNPVATVIVLSEVLDQSSSVSSTRYSHSRLDIPIHSLKLAVTEDHVFAKYIGNLLSVVVQDLRLHLGFVSGSAPATIAAVYSRTPQPIVLGSGTVRIGELLADEERELLVELKVPSPTARSHRQLSVRCSYKLSSQEIIYRKPLALVVPLPNTVRSSSPAIQRLRNLFITTRALAESRRLTERNDLIGAYHMLISARALMQQSNPAPGNEFMLGLEAELSELQRRRKVQAQAQQLGRRGKVEMAAYADEKGEPLTPTSAWRVADKLAKVAMMRKSVNRVSDLHGFEDARF >KVI02766 pep supercontig:CcrdV1:scaffold_484:130967:131717:-1 gene:Ccrd_018954 transcript:KVI02766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLRFVESPPYFEPPQQHSDSSRPTLGFPLGTALLLIIIFSLSGFFSCCYHWDKLRHLRGSDLDSDDSPSKPNTTFSEKNETEKQSLPVIMPGDRIAKFIALPCPCEPPREVKITVEQVPKPSKPPHIVVPMY >KVH42172 pep supercontig:CcrdV1:scaffold_4840:33705:34407:-1 gene:Ccrd_025782 transcript:KVH42172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKPKYHKYRLXLGHRTENYNRNPFYLAIGALRRSFIDVTYQRGGKLSVPGSPVAGSSGTTRILTKALRMFGGDHIHFGTIVGKLEGEREITLGFVDLLRDDFIEKDRSRGIYFTQDWVSLPGVLPVALGVFTFGICLGGPSHQ >KVH41929 pep supercontig:CcrdV1:scaffold_4843:24002:29883:1 gene:Ccrd_025783 transcript:KVH41929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol-1-phosphate synthase MFIESFKVESPNVKYTPDEIHSLYNYDTTELVHEEKDGAFQWVVKPKTVKYEFKTQTRVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISSMNLADSMARARVLDIDLQKQLRPYMESMVPLPGIFDPDFIAANQGSRADNVIKGTKNEQIQKIIKDIREFKEANKVDKVVVLWTANTERYSNVVVGLNDTMENLFASIDKNEAEISPSTLYAIACILENVPFINGSPQNTFVPGVIDLAIQRNTLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGTNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAESEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMILEYK >KVH41923 pep supercontig:CcrdV1:scaffold_4845:367:5750:-1 gene:Ccrd_025784 transcript:KVH41923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVCRIEGDCIEEERKALLEIKTSLIDSYDLDLDKFLPSWVDDSSIGGECCDWERVTCNTTIGHLTSLNLSRNCLDTNIVNIGLGRLXSLKKLETLDLSRNSIGNETIHSLGALTSLRVLNLGYNNLKGYFPALGIFGKLGDIGSFWKLLYGSFITSLSALPILKSLDLGENGRLYGTSFPVEELAHLTNLEELDLSGNSFNDTPSIQECTKLSRLKKLKSISLRWNKFDKSIISYLSALPSLKTVDLSSGFLSGGLCELKNLQELXLSGNMFDXNLPRCFNSLSSLKVLNISSNQFRGTLPPSLIANLTSFEYVDFSDNKFEGAFSFSSFSRHTKLEIVRFKCNNENFDIKTEEPMVLVLSGCIINKLKESVVPGFLLHQRMLQMIDLSHNTLREQFPNWLIQNNAMSEALNLRNNSFGGTIYMPLHTNANLTWLDMSKNHMIGTIAAYLNLSRNALDGSISSITDLGWLYTLDLSDNEFSGEVPKGLFTNSPDLEILKLSKNLLQGMVLSGNLSYGSVDSLALDNNCFTEKIGNKTSQGHYMENMMIMDISTIPHWISNMDAMFELVARFLAEKHHSRFWISHKFFLRAHLHLGSNRFTGSIPNVFRNLTRVLTLDMGNNSLSGRISIFLGNLSELRIFILRENNFSGSILKQLCQLSN >KVH41924 pep supercontig:CcrdV1:scaffold_4845:28168:32934:-1 gene:Ccrd_025785 transcript:KVH41924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTFHSLRALTSLKVLNLGYNNLKGYFPALGMFLLWKTWRYWISDNHMEGFEQVSLLKKLKILYLWGNNFNVSFITSLSALPILKSLDLGYNSRLHGTSFPVEAQLTNLQELDLSGNRFNDTPSIQECTRLSRLKKLKSITLQGNNFNKNIISCLNVLPSLKTLDLSNGVSSGELLHLSDLEVLLSSNNDFNGTLSMEAFTSLHNLKFLDLSWKNFVGSIPSTINALSPSIGVVSFANNKFNGLILGLCELKHLRELDLSHNMFEGNLPQCFNSLSSLKLLDISSNQFRGTLPPLLIAILTSLEYVDFSDDNFKGAFSFSSFSSHTKLEVVIFKCNNDKFEMETKEPMGCIPMFQLKALVLSGCNLNRPKASVVPRFLLQQRMLWMIDLSHNSLRGQFPNRLIENNTMLEALILRNNSFGGTINLPLHTHANLTWLDMSENQIFRTIPRDIHKFFPYLTYLNLSRNSLNGSILSSIGDLSGLKVLDLSDTEFSGEILKLSKNLLHGMVLSGNLSFGRFPHWISNMSYKFELVARNNSLEDPFPCPKTPFSFLDISQNFSRGPS >KVI08345 pep supercontig:CcrdV1:scaffold_4846:5584:9170:-1 gene:Ccrd_013283 transcript:KVI08345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MEGKVRRIKLGSQGMEVSAIGLGCMGMSTAYGPPKPEEDMIELIHHAVNSGVTHLDSSDFYGPHTNEILIGKALKGLERGKVQVATKFGIKSLEGEGEICGDPEYVRACCEASLKRLDVDYIDLYYVHRIDTRVPIEITMTELKKLVEEGKIKYIGLSEASPSTIRRAHAVHPITAVQLEWSLELGIGIVPFGPLGSGFFASGPKVLEGLSESDFRKYLPRLQGENLEHNEILFERIHEIAQKKGCTPSQLALGWVLHQGSDVSPIPGTTKIHNLNQNIGALSVKLSPEDMAELESIASVDAFKGGRLPPAILAHSNVETPPLSSWK >KVH41719 pep supercontig:CcrdV1:scaffold_4847:23485:28921:1 gene:Ccrd_025786 transcript:KVH41719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MAISMLQTLTTPFLYHNTLRPYTTRPHRHCTIIKASSPSSSNPSLDSNTGFTPKPNNNKRRLLDMGVALLAATMLFSSPFDANATRIEYYATVAEPSCELQFAPSGLGYCDVAVGFGEEVPYSQLINIHYTARFADGTVFDSSYKRGRPLTMRVGVGKVEDVNFKFLRS >KVI08450 pep supercontig:CcrdV1:scaffold_485:224336:226803:1 gene:Ccrd_013179 transcript:KVI08450 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c domain-containing protein MLLLSAISNCHPTFPPALNQGLDFGFLWQQEKGKQGDEAQWVKPRQQLKFLQRLAPPLFAAFLALSPIITPPVSYGQTIDAKRGASLFRHACIGCHDAGGNIIQPGATLFLKDLQRFHCTSFIMVLYLYRNGIDTEEEIYRITYYGKGRMPGFGEMCTPRGQCTFGARLHEDEIKLLADFVKSQADQGWPNIGNSGD >KVI08456 pep supercontig:CcrdV1:scaffold_485:163871:167002:-1 gene:Ccrd_013175 transcript:KVI08456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRIANAQYLTARESPLAKTAATSTMQLEEKIASGDESNPCISKSTRLPRKRFYRARAHSNPLSDSHFPVPISPHHVEYSVHYPHFFPSSDSPPDSKKIEFADVGCGFGGLLISLATLFPETLMIGMELRDKVTEYVKERILALRVANPGQYQNVSVVRTNSMKYIPNYFEKSQLSKMFFLFPDPHFKEKNHRRRVISPFLLDEYAYAIRAGGIIYTITDVEELGDWMKSCLENHPLFAALTEEELEADPVVKLLSSATEEGQKVSRNGGQTFKAVFRRIVPSV >KVI08455 pep supercontig:CcrdV1:scaffold_485:132499:139264:-1 gene:Ccrd_013174 transcript:KVI08455 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MVTDMEVDTNGEDDSKGGMWALEQKIDQPMDEEAGRLKNMYREKKFSAILLLRLAFQSLGVVYGDLGTSPLYVFYNTFPKGIDDTEDIIGALSLIIYSLTLVPLIKYVFIVCRANDNGQGGTFALYSLLCRHAKVSTIPNQHRTDEELTTYSRTTIHENSFAAKTKRWLEGHVFKRNALLLLVLVGTCMAIGDGILTPAISVLSASGGIKIDHPGMSTDVVIVVSVIILLGLFSLQHYGPDKVGWLFAPIVLIWFLLIGGIGIFNIWKYDTGVLRAFSPALFADLAHFPLSAIQLAFTVVVFPCLLLAYSGQAAYLMQNKEHVYDAFYRSIPGTAVVIVMLATTFIMILIMLLVWRCHWILVFIFAALSLIVECTYFSAVLFKIDQGGWVPLVIAAVFLLIMYIWHYGTVKRYEFEMHSKISMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKLFDNLFMFVRLESMMEGGSDSDEYSVYGQQTRRSKDFLLPDTNNNTFSSVVDLTVSSGDSIVPAKSSYHVNNSGMSSGQVSSHTEVDEMEFLTGCRDAGVVHIMGNTVVRARRDSPFYKKICIDYVYAFLRKICRENSVIFNVPHESLLNVGQVFYV >KVI08451 pep supercontig:CcrdV1:scaffold_485:85378:87876:-1 gene:Ccrd_013170 transcript:KVI08451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIRSYYRCRTRASIYLLSTTSPNHCPPCGFFSSLSTSPQLVQEQVSYVVPTSDLDAHICARFLQNCIISSNLALGKTLHCHILKKGGGLDLFGWNILLNLYVRSELLQDACNVFDEMPSRNTVSFVTLIQGYSELFPHVESTKLFVRLHREGHELNAFVFTTLLKLLVNMECAELARNFHGTIYKLGHHSNAFVGTALLDAYSSCGFVDDAREVFDGISNKDMVSWTGMITCYAENDYFEEAFKMFSRMRMLGFNPNNFTLASIFKACLGLEAVEVGKSVHGCAIKTCYEIDAYVSVSLLDMYTKSGDIEDARLAFEEIPKKDVIPWSFMIARYSQSDRSDEAVRLFCRMRRGPTVPNQFTFASVLQACATMENLRFGKQIHCHVQKVGLCLNVYVSNALMDVYAKCGFIEDSVSLFKESKNRNEVSWNTLIVGYVLLGHWNMALTLFSDMLKDHVQLTEVTYSSILRACASLTVLEPGIQIHCLTIKTLYEDDIVVANALIDMYAKCGSIKNARLVFDTINKREVVSWNAMLSGYSMHGLGAEALRIFEMMLKTDVKPDQLTFVGVLSACNNTGMLDEGETYFTSMLQDYSVEPCMEHYTCMVSLFGKLGHLGKAVKLIDQIPSKPSVMIWRALLGACVIHKDVELGELSAQRVLELEPQDESTYVLLSNLYANAKRWDSVALVRKNMKKKRVKKEPGLSWIENQGIVHYFTVGDSSHPDIRLIYGMLEWLNLKSKKVGYISNHDVILLDVEDDEKSRLLWVHSERLALAYGLVSTPSGCSIRIIKNLRICLDCHSVFKFISKIVQRAIIIRDINRFHHFEDGVCSCGDYW >KVI08461 pep supercontig:CcrdV1:scaffold_485:28769:35306:1 gene:Ccrd_013167 transcript:KVI08461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFNKGKTKCLKFCSSPDEKILEEDFKDAKTKHKFGKGCKSSNLEKEIKELGLQYIKKEANSGDS >KVI08457 pep supercontig:CcrdV1:scaffold_485:175204:176251:-1 gene:Ccrd_013176 transcript:KVI08457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MILLALATSSTAVPYYAKRGCNDTCGQVRIPYPFEIGENCSVNRWYTVDCNSSTPYLSALNDLEVLRINLDNQTVTVNVSMISDCRNPVRNSSQILNVNLDGSPFLFSRRYNKFVVQGCGNAVILDEGSTLTGCSTTCPNDSVSEIISNCVGINCCQTTIPYNLKSYSMNLTSLERQVGGDAVCGWALLMDENSQVRSLAKNISHVPISLLWTLTDRDSSLIYCCFVKDNVKVDVGNGKSIMSYKCRSFNDYYEGNYYIRDGCDVSQECQRCISDGGSCSNNNTEYHEDGLVSKENWKCMYWGSVVEEKKSRAVILGKVIPVL >KVI08453 pep supercontig:CcrdV1:scaffold_485:108107:118175:1 gene:Ccrd_013172 transcript:KVI08453 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase RHCPHTILLRYLSLSLSLSLDLHTDRSRERKLTVAAMREPATENNHNNNNNNNASSSPPPPQALLERLKDYGQEDAFAFWDQLSPDQQHFLVQEIENLDLPRIDRMIRCSFQSHGLPAAAIEPVPESFVSTVEDRKLEDRERWWKMGLKAISDGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCLQRLAAQSINEGSASIIPIHWYIMTSPFTDETTRKFFEYHKYFGLEADQVTFFSQGTIPCVSKDGRFVMETPYRVAKAPDGNGGVYSALKYSRLLEDMSMRGVKYLDCYGVDNALVRVGDPTFLGYFIDKGVASAAKVVRKAYPQEKVGVFVRRGKGGPVTVVEYSELDQSLASEINQGTGRLRYCWSNVCLHMFTLEFLNQVANGLEKDSIYHLAEKKIPSIHGQTVGYKLEQFIFDAFPYAPSTALFEVLREEEFAPVKNANGSNFDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYSTGVEVSPLVSYAGENLEAICRGRTFHAPCEITF >KVI08460 pep supercontig:CcrdV1:scaffold_485:82472:83376:-1 gene:Ccrd_013169 transcript:KVI08460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGVIAPVGLNMVALVAQGHVVPFVVLASIHKGRRSLGPQVLKSPTYDTPDATLGMRCKSRGNRVMDPMQKPEMPYSKPDSRFNSQGLSPQM >KVI08452 pep supercontig:CcrdV1:scaffold_485:97637:105240:1 gene:Ccrd_013171 transcript:KVI08452 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MENMNYAEELVREFLFFRGFTSTLQSFEKELATDLGKGFQKDKIFDLIFSVYIPKFQPENLISLLTFFKQCFSSSETVLIATLSKLEISVLRYYIVYAVQSGRTDKIIEFFGVHGDDLLQRNQDWMSWFAIPYLKNPSLDPLFRVYFSKEWFDALQLSVRNFLSEIFNGTHILPTTFWMFCHEVFHYIASPSSDMMLRKFSLTNPRIPALLKISSEKLTVNHLKNDIKHLNTRLSQLQALLEEKEAQLCRSKSNFSTARQLSIGGSNESMCSSPETLSRGVPKEPTVPSRNLGEAHASTTHLSGRQSSDKDVDIPEAQGVSLASSSYGGQSDEKNSSSVVFGGLNCYVLRSNRQSDLTDPAIAIYVIVQVTVNYMATGIDELQQEEDFPEVKVDFQETFLGHTSPISCCRFSTSGDNIASASVDGTVRIWTYDASTSASRNATIYCGAEIMSLEWDCKSDRLLLIGTADGGIKAWNVDAKRVVCDLSTTDAFPSVLDLKCSPVEPIFVSAAASRGYYLPSIFHAKHGTGYIDKLGFASLTVWNMRTWKAMVNSDMSAGLQITGWPAHDSAISSVLFGPDETSIFSLGTDGKIFEWSLQSQGEVLWSRNCNRFCNPQNSQYHRHEMALDANGKRLLVTSGSVRAPIYQVRDHTNGMKSLPHSAAISTVDWHPTLPIFLTGSADNSVRVTSIL >KVI08464 pep supercontig:CcrdV1:scaffold_485:246:4598:1 gene:Ccrd_013164 transcript:KVI08464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVTWEASEEFDVGLSPFKDVQRNCEEIGLVNDIETHVIDDTTSYTSNNEIQNDENPGQGISISPGGTNWYTPVVEEIIKPVIGSVYPSLDVEESIYQKYAETSGFEFSYDLVNNTTFKKQFFKLVWNIHLSRDEFESRWMVQTEIHRAAWTCSIKSVNSNEEAETYIIEHLDKRDEKIAEYKTISMLSSSDLSQQNLHTMDDLPSSDVVSSKNAARKQYI >KVI08449 pep supercontig:CcrdV1:scaffold_485:212838:221594:-1 gene:Ccrd_013178 transcript:KVI08449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad (HIT) protein MADKSSILPSSLGRLKPRSALTLGAFNSFNQLNRIRQIQSSFQPPIRQCTRRAISRVSATHDEEASAKAAATEANTGAPTIFDKIISKEILSTIIYEDEKVLAFRDINPQAPVHVLVIPKLRDGLTELGKAEPRHEDILGHLLHASKIVAEKEGIVDGFRVVINSGASALMGGCRSIGLPSSLARSWRKTDEMATRLI >KVI08462 pep supercontig:CcrdV1:scaffold_485:22489:28583:-1 gene:Ccrd_013166 transcript:KVI08462 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase, WSD1, C-terminal MIFPCVEEILLKEEDLNLWVEDENGGKHWKQVEVKVEDHMIAPRFPDGLSLESYDVYIGDYLSGLGMDPFPKAKPLWEIHVIKYPTSKSSGSLIFKLHHALGDGYTIMGVMLSCLQRADNPSIPLTFPSFRKPPKPDNGMKSILSLVPQVLSGVVNTVLDFGMSILQSSLLEDSSTPIRSAEEGVEFLPTVVTTMTFSLDQIKQIKDILEVTINDIVSGVIFLGTRLYMEATSNESGNARSTSLVLLNTRSIGGYRSVDEMLHNPEAQNLWGNQFAFLQVSLPKLRQAHNSLDPLKFVYEAHNIIKRKRNSSAVYLTGMLLESIRKYRGTEAAAKYVHLTQKNASMGLTNLAGPIEKMSLCNQPIKGLYFMIFNVPQVSSFPNTNQSALYAYSIIDPMPILVDATFWQSYKVTVMSYMNQLRVTLGTQKGFIDPMKLKRCIEEAYDMILKAALNSK >KVI08454 pep supercontig:CcrdV1:scaffold_485:118453:129836:-1 gene:Ccrd_013173 transcript:KVI08454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MLLIKFYTAWHSFENTLFVPSSVDSVMLVYFNFGNLQAKDTEFLQPDPKMKNNESSTSDVINGNWVLKRKRKKISFGPVKSNGNKNDSIPSESHTSSSSKCKSKKENSSDRSPSKKKGHDGSYYECVICDLGGDLLCCDGCPKTYHITCLDPPLKRIPNGKWQCPNCCSENNTVEVVNKLDPTSKRARMKITPGKSKSKNKSAKTDKVSRILRSTKRSSRKAKPSSLGPKEDVSSMDGSQERSSSSSDLKKELEPDFEETKSSSPAKLVMSLSKVMELEKNEEKSNRKHDRGQSKEKKDVPMLEVSTEKRKRKRKVPSDDVQKKPWTDKGMTAGESSRKREKVNLEISGTSKSQRKRKSVKHAKTKSLSKTDMGSKIDDTKLKGKMELEEAANPSHESHKAEKVVELLKNSDHAQDKMQQVDRVLGCRIQGTERKSAVSATETNDMPSQSVAITDNENGASEKTPSCNTTPDVQDAEIVTEGTKNIESSSDQINDMVVENINVPVVNDCMEENAISSMNQATNDPGSTVIDEINKDASTLISKDLSKMIEEIPIGDNADVLLKVHDNTEVTKGADPIPDPETIEDKKTDLEMSANCSPTEESITEQSLTSNEKIVSYEFLVKWVGKSHIHNSWISECLLKALAKRKLDNYKAKYGTTVINICEEQWKLPQRVIALRSSTDGSTEAFVKWTGLPYDECTWERIDEPVIAKSSHLIDLFNQFERRTVEKDASKDEIPRGKGRAQQSDVITLTEQPKELGVWAPNLNVVEYHGCARARALMRQFEWHANDPTCSNKKTTNYKFNVLLTTYEMVLADSTHLRGVPWEVLVVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPSSFPSLSSFEDRFNDLSTAEKVDELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGIPQQSMLNIVMQLRKVCNHPYLIPGTEPESGSAEFLHDMRIKASAKLTLLHSMLKILNKEGHRVLIFSQMTKLLDILEDYLNIEFGPKTFERVDGSVSIADRQMAISRFNKDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFNDSSTRAGKDISENNINKGEESVAAEHKNKRRTGGLGDVYQDKCTDGNTMIVWDESAIVKLLDRSNLDSVSTDNPEGDVENDMLGSVKPIGGWFMGTTFCKFMSLDWNDESTEEQGGAESLVDVIDDSTAQNLEKKEDSSGNVAEVNEWDRLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYAPRPIEMLENGGDEEPEPEPEREYTPAGRALKTKFAKLRARQKQRLAQRDAIREALLTEGPQNSGLMWRSDQPTGEKSSTLRTEDKSMSKVRNRNLLPVLGLCAPNAKLMESSQRNTFKSSSRNKQHGIGLEFPFHLAPCSGTSNDALVPPPGKGAEGSESSADALSHSHERILLPKLPFDGSLLSKLPLPSRNLSHHQPDLFPSLTLGRSIGELSASLQDFCTIPFLPNLRFPQQEMEALALPMLGLGQIPPSFSSFPENHRKVLENIMMRTGSGSGNLLKRKLVKDFWSEEELDFLWIGVRRHGRGGWETMLRDPRLKFSRFRTAEDLAARWEEEQLKVLDMPTKKQSNATKSGKSPAFPNISDGMMKRALHRSRFAAAPPSFHPHLMDMKLGLDGPSTSGLQHMENPDPRGFQQDSFPPIPTWIPDRFRANFSGESSSGHFEPVLLNPFGTSSLGSLDLNGSGVLDLQKREEEQHANGRWKMPNFIDSESQLRNVGNLKEKDEIGGNSSSENTKLPHWLREAVGVPARPQEPQEPQLPPTVSAIAQSVRLLYGDEKPTIPPFIAPGLLPLPPKDPRRILKKKKKCSSSHGGLNQPQSDGGHDASTSGSKAAPPPPLPEFPQSTSGPSWTEPDLNLVPPDNEDMVVAPPSPPNSTPESETSFLESKETPMAMDSKEEEKASGELSNSRLDPAPEVSSEGTVSDDCAGGGGGEDEC >KVI08459 pep supercontig:CcrdV1:scaffold_485:71198:73175:-1 gene:Ccrd_013168 transcript:KVI08459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MVMKLPAWLEGLMGESFFGICGVHENKRKNEKNIYCLDCCQSFCPHCLPTHHSHPLLQVRRYVYQDVVRLDDLEKLIDCSFVQVDHMVYQEEDLSTVLCRFDATDFAFSQFEGLQMDDDGHITSDSVLDDSMQFKDSSGSDSGMGISRISYKPEVERTKKKRNDFLPKFFSFGSRRKGAPQRSPLS >KVI08463 pep supercontig:CcrdV1:scaffold_485:5431:11208:-1 gene:Ccrd_013165 transcript:KVI08463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFSNKERQPIDLDDDVEDDLSKKQVEKNRRGSEIMIRIQKKKEILEYKDCIRSKMDSILAQKQSTEMLIDNAISDFPLDRDLRIMSRELQSMFGQEDTSTGDAVNNETQIHLFQTPKKTAKGSKKRMEKKSRDMEKTPIQLLECKLYQVHPWSIHRSGTVHELIWN >KVI08458 pep supercontig:CcrdV1:scaffold_485:201564:207708:-1 gene:Ccrd_013177 transcript:KVI08458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MILLALTTSSTAVPYYAKRGCNDTCGQVRIPYPFGIGKNCSVNRWYTVDCNSSTPYLSALNDLEVLHINLDNQTVTVNVSMISDCQNPVRNSSQILNVNLDGSPFLFSRRYNKFVVQGCGNAVILDEGSVVTGCSTTCRDDFVSENISNCVGINCCQTIVPYNLKSYSLNLTSLERQVGGDAVCGWAFLMDENSQVRSLAKNISHVPISLLWTLTDSDFYLIDCCYTIDNVKVDVGNGKSVMSFKCRSFPGYYEGNYYIGNGCDVSPELQKCRSNGGSYYSNNTEYNEDGLVSNRNWICTGITDDNLW >KVI08381 pep supercontig:CcrdV1:scaffold_4850:22765:27405:1 gene:Ccrd_013246 transcript:KVI08381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MASSIAGISSVGSLASTSNRVMDKNLSTSSNKLSSFASISSSSLGRGQNLALRRSLRTSQIKAAAKELYFNKDGSAIKKLQIGVNKLADLVGVTLGPKGRNVVLESKYGAPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLITEGVKVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMVAEYENCKLLLVDKKVTNARDLISVLEDAIKNGYPIVIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLTLDKADSSVLGHAAKVVLSKDSTTIVGDGSTQGAVEKRVAQIRNLIEVAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKETLANDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLANDNARYGYNAATDKYEDLMSAGIIDPTKVKKQCTRSYVLFDSCELGVIYLNMHTDDDVVQVVRCCLEHASSVAKTFLMSDCVVVEIKEPEAVPAGNPMDNSGYGY >KVI08382 pep supercontig:CcrdV1:scaffold_4850:33901:36804:-1 gene:Ccrd_013247 transcript:KVI08382 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MIQFLTLILLLLIVSYVFSIVYSNRRSGTTKINLPPGSFGWPFVGESLSLLRAGWEGVPERFVQERVEKHGNPLVFKTSLLGDRMAVFCAPAGNKFLFGNENKLVAAWWPSPVRKLFGRCLITIRGDEAKWMRKMLLSYLGPEAFASHYAATMDIVTRRHIQIHWQGKEEVNVFKTVKLYAFELACRLFMSLEEPTHVSKLASLFNIFLKGIIELPLEIPGTRFYSSKKAAAAIRTHLMIIIKDRKMALAEGKASSSQDLLSHLLSSSDENGKYLTDKEIANNILLLLFAGHDTSTVSITWGFAEMGRHTEDEILVECCIRSYETKPPGHWRLSRGSRGFRLYWSAVSTHKDEANFKDVMRFDPSRFEGTGPRPFTFVPFGGGPRMCLGKEFSRLQVLVFLHNIVTNFKWDLLIPNEKIEYDPMATPAKGLPVRLHPHQV >KVI08384 pep supercontig:CcrdV1:scaffold_4850:5323:6367:-1 gene:Ccrd_013245 transcript:KVI08384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I9 MDQKKGLHCLFKVQRYSRSYLHFKLPSSAPFQCLAKVTLPNPSSSHYVSSQTKICNKTSPPLIILITISSSSQEDARESILYSYKHSFSGFSAKINSSQAANLASMHGTSDISVQEPDTAVAHN >KVI08385 pep supercontig:CcrdV1:scaffold_4850:685:4891:-1 gene:Ccrd_013243 transcript:KVI08385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MHQIPITWRGECVHGEIFNPKKACNLKLIGARYYLKGYEQEHGPLNTSETLEYQSPRDANGHGTHTASTAVGSTVKMAWFSGFGQGTARGGTPRVGLVVYKVCWEDGKCSEDDILTAFDEAIHDGVNVISASFGSPLPLLPLYNSSSDIGSFHVMQKGISVIFLAGNNEPDPSVVQNVAPWSTCVGALSNDQNFPIRVLLDNNLSFKIFHLEAQARFHPDILK >KVI08383 pep supercontig:CcrdV1:scaffold_4850:4615:14044:1 gene:Ccrd_013244 transcript:KVI08383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein VPPLAVPCPNPENQAILTVDPTAVEAVCVPWPLASRGAVFAISVSNKPTVVPSPRDWCKVDVAMERKMTAFCWCKWKPSIYRVSSNTKSELPKVEDSVAKRKEEESRLPSNPEEVEDLRRSSATNPLIVFTFDELRKITGNFRQDHMLGGGGFGSVYKGFLDDLKDTISPIPVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEHRVLIYEYMARGSVENNLFSRVLLPLPWSTRMKIAFGAAKGLAFLHDAEKPVIYRDFKTSNILLDPDYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSYGIVLLELLTGRKSLDKSRPPREQNLADWALPLLKEKKKLLDIADPRLGDYPVKGFHKAAMLSYHCLNRNPKARPLMRDIVDSLEPLQIAPEVLSEGTTLTLVADK >KVH94383 pep supercontig:CcrdV1:scaffold_4852:454:3107:-1 gene:Ccrd_003555 transcript:KVH94383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MVVRAKSCLLFLFSVVVILSATVTLALSDKNADIVVCQLKCEKLPGDQQLSCKQTCEEFHEAKVRNQQDQENRGRRLRLKNWSGDFDEGQRSNNPYVFEDRHFTTRLESQLGNVRVLQKFTDRSELFRGIEEYRVGFLEAEPQTFIIPNHWDADALLFVVNGIKLSSWVLINFTGEGTISLINPKSRQTFNIKRGDIFRVPAGISAYLINRHNNQKLVLAKILRSISVPGELQTFFSVGGENPESSFLNAFSTETNRDEIERLFFGQQVQKGVFKKATAEQIKSLEESRIWPFGEGKGSYNIFSKSASVQNENGQLHEVDSDDLSELRDIDVAVSFFNISQGSMAGPFYNTRATMVLVVPNGEGEFEMACPHLSEQHSRGGFGGRPSYEKVSSQLRRGTVVVVPAGHPVVIEATGNQNLEVIGFGLNSDRNEWFPIAGKDNVMSQWEDEAVELTFGAPGSTVKKVIQKQKRRMFFKGPARRGRAFA >KVH94382 pep supercontig:CcrdV1:scaffold_4852:7668:9321:1 gene:Ccrd_003556 transcript:KVH94382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKFEAFGLIMVMLWGIAMAQSGCTTALVSLSPCLSYVSGNSSAPSSTCCSQLANVVVSQPQCLCPFTGNGGGSPMGLNINQTLALALPGACNIVTPPISRCDESGTKTTPGTSVGTSGGATKNLPFYATFVLFFVATFSSYYIA >KVH94381 pep supercontig:CcrdV1:scaffold_4852:18487:19524:1 gene:Ccrd_003557 transcript:KVH94381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIDGLPDAVAIRCLARVPYYLHPKLEVVCRLWRDAARSTELYKAREEVKSTEEFLCVCAFDPENVWQLYDPNRDIWITLPILPSKVRNLAHFGVVSTAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWAYDPIVRRWAPRAAMIVPRAMFACCVLNGKILVAGGFTTCRKSISKAEIYDPDKDVWVSIPDLHHTHNSACSGLVIGGKVHVVHKGLTTVQVLQNLKEGWRVQEHSWVHGPMTVVKGSLYVMSHGLIYKQEQDSRRVVISASEFKRRIGCAMIGFRDDIYVIGGVIGPEGWNWDIKKMSGIDVLTLGSERPVWQQAAPMTRCRGTILGCAELRI >KVH94380 pep supercontig:CcrdV1:scaffold_4852:31713:33672:1 gene:Ccrd_003558 transcript:KVH94380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF581 MLRNRSRAVTKQSPIMADHQPSSLPSPTPHNPTRSISSFLGSPRFFNGFFLPKKLSDGSPTSILESNKQFSDFAGNPFRFNKNPEKPTKNFDGIKHSSEKFDSEGIALAIVLIEEPNPSGNICKPNLFGSKLKIQIPLLDPYSPNGSPESPGDFGIKTRNSVLSGGATPNGSGSARFSTGPLSLSEMELSEEYTRVISHGPNPKTTHIYDNCVVKSCCGIRVGSIGSEPPLESIVSFCHTCKKNLGEGSDIFMYRGEIGFCSEECRCQEMVLDGLMMNS >KVI11239 pep supercontig:CcrdV1:scaffold_4853:33620:35673:-1 gene:Ccrd_010353 transcript:KVI11239 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MSKIHPSENQSGSCRLLCDHNHVDRATSKHATLTVWKRSSMNFQGSDGFTVYDHHGSLAFRVDNYSRNSHFIRNGWSCSSLAANCGSSGSGSPSGGALVLMDGSGRPLITLKPQIFSIQSQWNGCIYREDDNGSSKFDRRIFTMKKPPSSVLTLGRTYNQQAQCEAEVFFTRKQPEYRIEGSFWNRNCKIRSTGNGEVAAKITRKRTNTIMLNEEVFSLVVKPGFDPQLIMSFVVTFVGCECDMMLAFSF >KVH41273 pep supercontig:CcrdV1:scaffold_4857:3474:36787:1 gene:Ccrd_025787 transcript:KVH41273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MDPLNLGKAEAGVGTSWVKFLDIVVHTFVLLHSIPVVYEKYEDQIDAFAEKAMMEMKKRLVYYSILDQFATVLVLNLADILNVSFSIISFEFQSRSRKQVAGKSSPSFKFKEHLRKKTQDGMKQNINDFTSEGKRLL >KVH95028 pep supercontig:CcrdV1:scaffold_4859:3258:5304:1 gene:Ccrd_002903 transcript:KVH95028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHGFVVVLLFLFMIGSGRSNALNSRKLVTTPDPNGSSNANQDTPLSSPPPDEKKQNPSPVTKPKDAPTTNSSDDESKGMNDANTKASSENSSDKTQDKGKSHDGKEKVENQTQLKTFASKYCKGNPFCSDQEKTMIACIQDIENGKIVSPHSICLSSVL >KVH95027 pep supercontig:CcrdV1:scaffold_4859:29781:37583:-1 gene:Ccrd_002904 transcript:KVH95027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQSSLSSFSVILMAHAAHSFVGRFRTLLFSTTAYIGGLMLFWMFNPYDVKWLVVVALVLLALGTSGANVLRDVLIDLVHDIDKSEDRTKTRSIARATIWLRIAGVLGVISAILWVATDALGGVRPSWRSSFMICIITITTVLMIFCKGHNVYHQDALTERPVEIFFRVFRARIQKLLKVDNSRCSTNTLGQDRSLQQNLGIEMTTNEGIAQERSVLRLNMIGLEDRESSSYKQEDMVVIKRLLRMFPMWGVFMVVCVISATGNTFFLQQYSYLNPDKIPIQIYNLVQDSSSFLIPFLYRAICDIRWISSVGKNEKVKIGVGMIFAIISCVSAWQLEVHRLKAVKTLPEDENTSISFLWLVPQFCMLGCMEGLTGEGLLNFYKSQIKDEPIRSYGEEYIEIVHGFGKLLNIFLILLLKSQREWFGDTINESRVDKYYRLLIYACSTNFIIYCCTAKFFYKDTEQHQNSDSHDLQHDYRSG >KVH91660 pep supercontig:CcrdV1:scaffold_486:151207:152821:1 gene:Ccrd_006323 transcript:KVH91660 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MKLKVLIIGGTGYIGKRLVKASLEQGHDTYVLQRSDIGLHVEKVQMLLSFKKQGARLLIGSFDNHRSLVEAVKQVDIVICTISARMGDAMEQGRAIFDEKMVVRKAIEDAGIPFTYVSANCFAGIFVGGLCQVGRFIPSWESVVLYGDGNRKAVFMDEDDVAAYTIRTIDDPRTVNKTVYLRPPANILSQREVVGIWEKLIGKELKKSSLSEQDILNIMKEKDLAEQGVWSHCYHFFYQGCLANFEVEDDAEEAFLLYPDIKYTKMEDYLKQYI >KVH91663 pep supercontig:CcrdV1:scaffold_486:91469:92884:1 gene:Ccrd_006320 transcript:KVH91663 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MAVKSKVLIIGGTGYLGKRLVKASLEQGHETYVLKRPEIGVDIEKIQMLLSFKKQGARLVTASFDDHRSLVEAVKQVDVVICAVSGVHIRSHQILLQLKLVDAIKEAGNIKRFLPSEFGTDPARMGNAIEPGRVTFDDKMVVRKAIEDAKIPFTYVSANCFADDPRTLNKTVYLRPPVNILSQREVVELWEKLIGKQLNKSSLSEQEFLNIMKGEGYAEQVGLTHYYHIFYHGCLTNFEIGDDAEEASLLYPDIKYTKMDDYLKQYL >KVH91657 pep supercontig:CcrdV1:scaffold_486:176890:188320:-1 gene:Ccrd_006326 transcript:KVH91657 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MSNSKVVYDGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNVVPIKTLVIDGNCRVEDRGLKTQHGHMVYVLSIYNKKEKYHRITMAAFNIQEALIWKEKIESVIDQHQESLVANGNKYHSFEYKSGMDSGRNASSSDQESQYSAAEDEEDSRPSLMRRTTIGNGPPESILDWTQESSTLASQNTNNQAFSRKHWRLLQCQNGLRIFEELLEVDFLPKSCSRAMKAVGVVEASCEEIFELVMSMDGTRSEFDLAFRWDCSFQDGSLVEEVDGHTAILYHRLQLDWFPTFVWPRDLCYVRYWRRNDDGSYVVLFCSREHENCGPQPGYVRGHIESGGFNISPLKPRNGRPRSQVQHLMQIDLKGWGVGYISSFQQHCLLQLLNSVAGLREYFAQTDERIVPPRIPVMVNMASCSVPTKKTQKIHLTSVHNRSQSLDNAAKMMDEYSDEDEDLQIPDEEAYRIEPETKRIAIEEEPVVQIDLSCFSGNLRRDDNENARDCWRISDGNNFRVRSKRYCYDKKSKMPGGKHLMDLVAVDWFKDTKRMDHVARRPGCAAQVPGSTNYSMVFYFVTKELAPGSLLQRFVDGDDEFRNSRMKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGTNYLEVDVDIGSSTVANGVLGLVVGVITSLVVDMAFLVQANTTDELPERLIGAVRVSHLELSSAIVPELETDPESSQ >KVH91650 pep supercontig:CcrdV1:scaffold_486:2780:4123:-1 gene:Ccrd_006312 transcript:KVH91650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPVKLISECYIKPPDDSLSVDANQPIHFTPFELPFLNANYSQKGLLFAKPPPENQDFSVTTYLDELRXSLSATLTQFYPLAARLATRKEKNPPSYVIYIDPENSPGVKFVYATVDLNISDIIMSTHVPSVVHSFFDLNNVINHDGHTLPLLSIQVTELNDGIFIGGSINHLIADGTSFWHFMAAWSETFRSKDQKRCFRRSAIQGYKPIINLPFTHHDQFIERFEHPQFKERFFRFSSATVSRLKEKANAECNTHKISSLQAVTALLWRCVTRARRQSSDSETICKLVINNRRRLNPPLSDDYFGNPIQTVRGTARVEDLMAHGLGWAALRLQQAVANHDHTAVEKSVESWLKKPVIYKLSDLFHSNVVHIGSSPRFDMFGCEFGLGKAVVARSGGANKADGKMTMYPGRNGGGSMEVEACLLPEFMEDLEDDEEFMNALSDHN >KVH91649 pep supercontig:CcrdV1:scaffold_486:33163:39925:1 gene:Ccrd_006314 transcript:KVH91649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLRPGVAMVRTVVHGCVRVLRKVKGIREIRRWCCNNEWVAGEDGLGKEETSENSVVHGGTPIGNTLGNGYHRVAGEDGLGKEETGENYVEEMEVAAPDTVATETASVTGFMSTSHHGIEVAVEFKPDGRIWKERVSAGVQRRADLPVMQEETGGPPEPETPRTKSRPRTNRMILPSISAPEHNILKLLEECHASGM >KVH91664 pep supercontig:CcrdV1:scaffold_486:44494:51479:1 gene:Ccrd_006315 transcript:KVH91664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain-containing protein MAALQYLDSLRSTHPELSDWYNTLADLLVMLSYSYIIISSPTSRLRSTFSSWHILLQYSEKEAAISYLEGVIEKLRATKEMRIEEPILYIKMQIAVFNLEKGDQKECKNLLDDGKSTLDSMTDIDPSVYANYYWVSSQYHKSRQEFAEFYKSSLLYLAYTSVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILEAFNSGDLVRYQELCRVHAASLNAQPALVENEKKLLEKINILCLMEIIFSRPSEERTIPLSIIAERTKLTVEDVEYLLMKSLSVHLIEGIIDQVDGTVYISWVQPRVLGITQIKSLRDRLDNWVGKVHTALISVEAETPDLVAS >KVH91655 pep supercontig:CcrdV1:scaffold_486:219414:220447:-1 gene:Ccrd_006328 transcript:KVH91655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRSPDKVTSLPLLSLSFSSFAFLATGSFSSPFLSSEQSISSITIMDLLDVSMSSFLKSVLSLTELPLPGFYGNGFTFIDHMFQVNFGDDVSVFAMASELTFGSIGGVGAEIAFDGDIRVVSLEPISLILMESDMKIRVDVTNKFMEVFFDLVTEFLGKPLLEDGPYEHKTSHQGS >KVH91661 pep supercontig:CcrdV1:scaffold_486:138809:144069:1 gene:Ccrd_006322 transcript:KVH91661 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MRLKVLIIGGTGYIGKRLVKANIVVDVEKVQILLSFKKQGARLVIGSFNDHCSLVKAVKQVDVVICAISGRFLPSEFGMDPARMADAMEPGRVAFDDKMVVRKAIEDAGIPFMYRFLPSEFGIDPARMGEIMEP >KVH91646 pep supercontig:CcrdV1:scaffold_486:237773:239287:1 gene:Ccrd_006330 transcript:KVH91646 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MMGDMTQLGSVMAGIMFVWAIFRQLFPEEFRRDFRKYTNKVISYIYPYVEITFHEYQVDGWFERSKAFVSIERYLSTNSSTTAKRLKASVVKDTESVVLSMDDYEEVTDEFQGIKIWWTSSKNFPKQQALFSYRGEEEKRYYRLTCKKEHRDIITKVYLKHVLDEGKAIAVRTRQRKLYTNNKSENWYGYKRTTWSHIVFEHPSTFDTLAMNPKKKKDILNDLMTFSKSKDYYKKVGKSWKRGYLLYGPPGTGKSSMIAAMSNLLEYDIYDLELTSVKDNTDLRKLLIDTSSKSIIVIEDIDCSLDLTGQRKEKKEESEEEDKDPVVKKAKGGKDKDDKGSKVTLSGLLNFIDGLWSACGSERLIVFTTNYVEKLDPALVRRGRMDKHIELSYCCYETFKVLAGNYLDLESHELFATISRLLEETNMTPADVAENLMPKSDEENAESCLNNLIKSLELAKEEARLKAVEDAKIKAEEEAGKKNDENGENVQDSDAKLGDGQGKC >KVH91659 pep supercontig:CcrdV1:scaffold_486:158997:168871:1 gene:Ccrd_006324 transcript:KVH91659 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MCCGVTDKECAEQVGWTHYYDIFYKGCLANLEINGGDVKVYSHNHLIRFLPYEFGIDPARMGEIMEPRRISFDDKMVVRKAIEDARIPFTYVSAARPRSSFECGARDEKGNSQATLVADEVDNRSRQKIKVRPWSHSADVAHVKKTMTSLGES >KVH91654 pep supercontig:CcrdV1:scaffold_486:224676:225709:-1 gene:Ccrd_006329 transcript:KVH91654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRSPDKIINIVLEKVSHGGNHARLPSSWRTVKKITSLPRLPHLLVVXFRPAKRLKIIQDILLLLWVHCKCIKGRRVLEYDVTPHRCLAHPPTTFALVVGIQLPLPGLYSNGLAFVEHMLQVNFSNDISVFAMASELVEPSFIIVMVRKERSLLWDGFAGSPPDLDAFELISYFFIIVHTEDDGLAVFDDVCFEAFGSIGGVGAEIAFDGNIRLASLELIALILMESDLEIRVDITKKLMEVFFDMLTELFGKPLPEDGPYEHNAGHHGP >KVH91658 pep supercontig:CcrdV1:scaffold_486:171229:174138:1 gene:Ccrd_006325 transcript:KVH91658 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MRLKVLIIGGTGYIGKRLVKASLQEGHDTYVLQRSDIGVHVEKVQMLLSFKKQGAHLLIGSFNDHCSLVEAVKQVDVVICAISGVHIRTHQILLQLKLVDAIKEAGNIKRFLPSEFGMDPARMADAMEPGRVAFDDKMVVRKAIEDAGIPFTYVSANCFAGYFIGGLCQPGHILPSKDKRSFTKGSCGTLEKLIGKQLKKSSFSEQEFLNIVKDKEYAEQVGWTHYYDIFYKGCLANLEINGVDVEEASLLYPDIKYSKVEDYLRHYL >KVH91651 pep supercontig:CcrdV1:scaffold_486:21144:25322:1 gene:Ccrd_006313 transcript:KVH91651 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MTTFSKTQSDSFSSSSKTSNFEPSQNQLMTHNWYSEESGVYSSRLPRVTLPSDPFCDVVSCVFSHKHNGKTALIDAETGVSISYSELQPLVKSMASGLHHMGVSKGDVVLILLPNSIYYPIVFLGVLYLGAVVTPMNPFSSFSEIKKQTLGSHVGFAFTLHGRANELNSLGIPSIRVPENAKFDSSLVHYAPFYKLISGDYNFVRRSTIRQDDTAAILYSSGTTGGSKGVVLSHRNLICGVELFVRFEASQYSNPSEENVFLAVIPMFHIYGLALFAMGILSLGTTIVVMRKFNLNEMVDFIDRYRVTHLPGVPPLVTALTKMGTGGRMKSLKQVSCGAAPLSTRSIEEFLQCFPRVDFIQGRFLHLYRFFRWSSLSQGALVHLNHPSLTDVVAKMILGVHFQGYGLSESTAVGTRGFNTRDVHNYTSVGLLAPNMEAKVVDWVTGPHLPPGKTGELWLRGPAIMKEYLNNMEATSSTIDKDGWLHTGDVVYFDKDGYLHIVDRVKEIIKYKGFQIAPADLEDVLASHPAILDAAVTGDEEAGEVPVAFVVVRPGAEVSESGIIDFVAKQVVPYKKVRKVMFMNAIPRSAAGKILRRQLKEKLYSRL >KVH91653 pep supercontig:CcrdV1:scaffold_486:72241:78276:-1 gene:Ccrd_006319 transcript:KVH91653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSPLKTAIGDLFLHLQSFNSDYLPLLSNCCLSRIASAPGKVLVTGGYLVLERPNAGIVLSTNARFYAIIKPLYDELKPDSWAWSWTDLKLTSPQMDRETIYKMSLKHFILQCTSRQVSSFQYRNWSMMYFFGALFVSVETRNPFVEYAVQYAVAAAYATFDDAKKDVLQKLLLQGIDITILGSNDFYSYRNQIEARGLPLTPESLASLPPFTSITFNSGQSNGENSKPEVAKTGLGSSAAMTTAVVAALLNYFGVVDLSSYSGAQHQENLDVVHIIAQTAHCMAQGKIGSGFDVSSAVYGSHRYVRFSPEVISSVQDAIRFMPLEEVIAEVLKCKWDHERTKFSLPPLMNLLLGEPGTGGSSTPSMVGAVKKWQKSDPQKSLDMWKKLSEANTTLEAQLNLLSKLAEEHWESYKSVIYSCSMHQSEKWMEQASEAISLEIVKALFGARDAILNIRCHMRQMGQAAGIPIEPESQTRLLDTTMNMPGVLLAGVPGAGGFDAVFAVTVGDSGNNLAKVWSSHNVLALLVKEDPRGVSIENADPRTNQITSGISSVRIA >KVH91647 pep supercontig:CcrdV1:scaffold_486:55048:56514:1 gene:Ccrd_006316 transcript:KVH91647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphthamide synthesis, DPH1/DPH2 MKPNLRSKEQLKTPNNHRIQALIVSPTMELLPSTHTNATTNEEIRRPPPRQPKRFIKNQIPDSITNDPALNAVIAALPSNYNFEIHKSVWRVRSTGAKRVALQFPEGLLMYSLVISDIITTFADVDRCFVLGDVTYGACCVDDLSAAALDADLLIHYGHSCLVPVDNTVIPCLYVFVDIKIDVERLINTVKLNFDTSITTKQLILAGTIQFATAIRASKPELESAGFKVLIPQSKPLSAGEILGCTAPTIPMSQFNKESEVVLVFVADGRFHLEAFMIANPNIKAFRYDPYIGSLFLEEYDHKGMKECRKNAILKSRDAKNWGIVLGTLGRQGNPRILDRLEKKMGEKGLSWTIVLMSELSPARIALFGDSVDAWIQIACPRLSIDWGDAFVKPLLTPFEAEIALGDHPGWWERKCVVLDNSSTNCNDGADCCGKIETCCGNNYGSDLKGGENVADYPMDYYAQDGGEWNSCYSKKPSRPSRRNNVLK >KVH91652 pep supercontig:CcrdV1:scaffold_486:69772:71595:1 gene:Ccrd_006318 transcript:KVH91652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVGTSVHQNLFLPQPQDDHHCRKAIDFSSREQECISLLKKCKNMEELKQSHCVILKLGLVLSPFCTGNLVSTCALSDWGSMDYACLIFKQLDCPNSFEFNTMIRGHIKDMNLEEAVMLYDQMLEMGIKPNNFTYPSLLKGCAYLHRLEEGMQFHGHVFKIGVQDDVFVQNSLISMYGKCGEIKNSCSVFEQMDDTDKSLASWSALIAAHASKEMWSECLSLFNELSSQKCWRAEESVLVSVLSSCTSLGALDLGRSTHGFLIKNLSGLNVIMETSLLDMYLKCGSLTKGLSIFERMNVKNQWSYSVMISGLAFHGCGTKALSVFSEMLEQGFEPDEVVYVGVLTACSHAGLVHEGLQLFEKMKVEHQIEPTIHHYGCLVDLMGKAGKVREAFEFIKNMPMEANDVLWYSLLGACRVHQDVELGEKVAEKLLQLNPQTASAYVMLSNMYAKARKWEHVALTRGEMAQKGLTKTPGFSFIQVKRKVYKFVSNDLLWPQSEGIPDMVYQMEWQLKFEGYSPDTSEVLLDVDEEEKRERLSRHSQKLAIAFGMIHTSQGSPISIVRSMRMCKDCHTYTKMISSIYKRRITVRDRNRFHHFEDGSCSCNDHW >KVH91648 pep supercontig:CcrdV1:scaffold_486:57259:61342:-1 gene:Ccrd_006317 transcript:KVH91648 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit, helical insertion MREMEKNGISLPQGEEDDYDDLELSVALEYMGPQVTYELLKVQPLESRKPVIRVVGSPNQSSRLTASSDSVASDSFSGSPSASPGSIHDQHETDHEARKGPVVTFKTIDRSDKKDVVSYPENVTISRKKKKTKFCYRCGKGKWETKVSCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEVIDESKRMTLGKNSRLLVRLLSPLEVKQIMKAEKESSANQLRPEQLIVNGSPLKPDEMAELLGCPLPPQKLKPEKPDRVVSSNLSFSGKLDPKTSNGNTQVYINGREITKLELKVLRVSLFISLPPDARNLVYLAIVDSIIYTIILYHYILNLFVQLADVQCPRDTHFWVYDDGRYEEEGQNNIKGNIWEKASTRLLCSLLSLPVPHGQPQRETNEARNNATVPNYLEQKNIQKLLLFGLEGSGTSTILKQAKFLYGNRFSDEELQDIKLMIQSNIYKYISILLDGRERFEEEFMSRKNSSESHDETIQTGSIPDGNGTDQCIYSINPRLKTFSDWLLDIIATGDLDAFFPAATREYAPLVEEAVEVSSNEYEPCEQDILYAEGVTQGNGMAFVEFSLDDRSPISEIYSDDPDAQFLPLTRMNAKGMNEGCRWVEMFEDVRVVVFCVALSDYNQMWLPKEASGTSTVLQNKMEQSKELFETMVKHPSFKDIPFVLILNKYDLFEEKLARTPLTTCEWFANFSPVKPYTNHKNLAQQAYYFVAIKFKDLYASLTNRKLFVCQTKARDRATVDVAFKYVREIVKWDDEKEMAYYGAESTSFCSTTDASSTPFNGQG >KVH91656 pep supercontig:CcrdV1:scaffold_486:198227:199935:-1 gene:Ccrd_006327 transcript:KVH91656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MKQEMANEIVFFDLETNVPRKAGQKFWVLEFGAMVVCPRKLVELESYCTLIRPGDLTAVGVKSGRVHGITRGAVLEAPSFEEVADKIFGILNGRIWAGHNIRRFDCVRIREAFKEIDRPAPEPVGLIDSLGVLTEKFGRRAGNMKMASSLDDVRMNLEVLKHCATVLFLESSSMNRQWHQKATPMMTTRSKSKPYGEEISRKSPSSVLTHHRVVPYPTGSLRQ >KVH91662 pep supercontig:CcrdV1:scaffold_486:112656:126626:1 gene:Ccrd_006321 transcript:KVH91662 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MKLKVLIIGGTGYIGKRLVKASLEQGHETYVLQRSDIGVDVEKVQMLLSFKKQGARLLIGSFNDHRSLVEAVKQVDIVICTISGNHQLLLQLKLLVAIKEAGNIKRFLPSEFGANPARMGDAMEQGRAIFDEKMVVRKAIEDAGIPFTYVSANCFAGIFVGGLCQVGRFIPSRESVVLYGDGNQKAVFMDEDDVAAYTIRTIDDPRTVNKTVYLRPPANILSQREVVGIWEKLIGKELKKSSLSEQDILNIMKELRMKLKVLIIGGTGYIGKRLVKASLQQGHETYVLQRSDIGGDVEKVQMLLSFKKQGARLLIGSFNDHRSLVEAVKQVDIVICTISGGHQLLLQLKLRFLPSEFGANPARMGDAMEQGRAIFDDKMVVRKAIEDAGIPFTYVSANCFAGIFVGGLCQVGHFLPSRESVVLYGDGNQKAVFMDEDDVAAYTIRTIDDPRTVNKTVYLRPPANILSQREVVGIWEKLIGKELKKSSLSEQNILNIMKEKDLAEQGVWSHFYHFFYQGCLANFEIEDDAEEAFLLYPDIKYTKMEDYLKQYL >KVI08710 pep supercontig:CcrdV1:scaffold_4862:8579:10728:-1 gene:Ccrd_012914 transcript:KVI08710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGPGTDVWPHCTSLDNGYSRECMPAMIEDEEPDDDLDNVTQPNYAKPPRNGPPVRHSISEALLVDTSNLDKISGPDSPQDLSSASLPAFRSGSCSEIGPKPYMEDEFICVDDLQEHLDAKLDLPLTGAFYGVLTFFKNMIIANAGDSRAVLGKRGRAIELSIDHKPSSTSEKKRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELKEVTLTKEDEFLILGCDGLWDVMSSQYAVTIVRKELMVHNDPGKCSRELVSEALKRNSCDNLTVVVVCFSSEPPPKIEVPKSEKRRTISVEGLDVLKGVLHHI >KVI08709 pep supercontig:CcrdV1:scaffold_4862:22367:26582:1 gene:Ccrd_012915 transcript:KVI08709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MAINLVWALLVLVVDFSGCFTEGLNSTSVSTRPDVINIASILTFDSIIGKVAKIALEAAIEDVNSDPTILNGTMLKITLHDSNYSGFLSMMEALQVMEKETVAVIGPQSSVLAHVISHVANELQVPLLSFTATDPTLSSLQYPFFVRTTHSDLYQMAAIADIVRYYEWRQVTAVYIDDDHGRNGIISLADQLAARGCKISHKAPIRPWATKADISDVLVQVALMESRVIVVHTYANSGLDILDVAKNLGMLESGYVWIATNWLSTVIDISSPLPVKTIGVMQGILTLKSYTKDSKPTRKFASKWRNLTNFGLSTSCLYAYDTIWLLARALDAFFDQGGNISFSRDLKLKGSEGESLNLDSLSIFNGGKLLLRDILKVKMKGLTGVIEFTSDKDLVFPTFEVLNVIGTGVRRIGYWSNFSGLSNIHPDRVYMKPPPKSSNSELLHSVIWPGQTIQKPRGWVFPENGMQLKIGVPNRVSFQEFVGHVKHTDVFKGYCIDVFTSAVNLLPYAVPYKFQSFGDGLQNPSNTKLVYDAAVGDIAITTNRTRLADFSQPFIESGLVVVAPVRRSNSSTWAFLRPFSPLMWCVSGLFFLAVGAVVWTLEHRINDEFRGPPKKQIVTVLWFSFSTLFSSHRENTLSTLXRIVVILWLFVVLIINSSYTASLTSILTVQKLSSPIKGIDGLMASKDPIGYQENSFVRNYLVGELGIHEARLIPLSLPEDYEKALRDGPDNGGVAAVVDERAYIELFLSTRCEFSIVGQEFTKNGWGFAFPRDSPLAADMSTAILKLSENGDLQRIHDKWLMSSACSSQGTTFEVDQLELTSFQGSS >KVI08711 pep supercontig:CcrdV1:scaffold_4862:29260:41047:1 gene:Ccrd_012916 transcript:KVI08711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MLQSKSFVRKTKQGKVVKVVREHYLRDDIYCGASFCQVCDTKGARFSSPGSAILVVDTNVVLNXIDLLENPAIDDVVVLSVVLEEVKNKNLAVYNRLRALCSNSLRRFFVFSNEHHKDTYIKAMAGESPNDRNDRAIRVAAQWYQNHLGGATRILMITNDRENKRKAIDEGISADTVESYVKSLGQPALLDLLVQPHSSEDMDIEDLRXSKKKVIYNEHKPMSEITSGIRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIFGRGNMNRAFDGDIVAVELLPQDQWHNEKSLSIAEEDDEEDEGVHLAPSSADDAPRIANSAQGSVENAHIANSRPSGRVVGIIKRNWHAXCGSLEPMPMPAGNAGIAHALFVSKDRRFPKIRIQTRQLGNLLDKRIIVAVDTWDCQSRYPSGHYVRTIGDIGDRETETEDIDDALNCTSLPNGNFEVGVHIADVTNFVHPGTPLDSEATQRGTSTYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPDAHVISTRFTKSVIKSCAALSYVEAQARMDDRRHPSPTKEMLEPLLRTAASVGLDLDVSSSKAFADSLDRAVGEDPYFNKLIRILATRCMXQAVYFCSGDLSPPEFHHYGLAAPLYTHFTSPIRRYAG >KVI11266 pep supercontig:CcrdV1:scaffold_4864:13992:16210:-1 gene:Ccrd_010326 transcript:KVI11266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAWEGFGLRRGEGVGWGCSAYEVLGERREEADREGKGGRGRKVFEGGVAVGVWRGRRGRVGLASVRSRKCGKREIKVGDKVAGGHGNK >KVH41002 pep supercontig:CcrdV1:scaffold_4865:19623:22372:-1 gene:Ccrd_025788 transcript:KVH41002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate dehydrogenase/glycoside hydrolase, family 4, C-terminal MLGPEQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVIASTDAVEACTDVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAKDCKVLVVANPANTNALILKEFAPSITQKNITCLTRLDHNRALGQISERLSVQVSDVKNVIIWGNHSSTQYPDVNHATVKTQAGEKPVRELVKDDEWLNSAFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVCATPEGYWVSMGVYSDGSYNIPAGLIYSFPVTCCNGEWTIVQGLKIDEFSRKKLDLTAQELTEEKTLAYSCLS >KVH41000 pep supercontig:CcrdV1:scaffold_4867:35308:36295:1 gene:Ccrd_025791 transcript:KVH41000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MFPSIHRHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTVVGQRGTLFWHAHISWLRASLYGPLIILPKLNVPYPFTKPYKEVPIIFGEWFNTDPEAIIAQATQTGGGPNVSDAYTFNGLPGPLYNCSAEDVFKLKVKPGKTYLLRLINAALNDELFFSIANHTLTVVEADAIYVKPFDT >KVH40999 pep supercontig:CcrdV1:scaffold_4867:16187:18401:1 gene:Ccrd_025789 transcript:KVH40999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGGFVGILFSLVAIIVLLPIHSVGGTTRSYEFNIQLKNVTRLCHTKSMVTVNGKFPGPRIVAREGDRLLIKVINHVPNNITIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLFWHAHISWLRASLYGPLIILPKLNVPYPFTKPYKEVPIIFGEWFNTDPEAIIAQATQTGGGPNVSDAYTFNGLPGPLYNCSAEDVFKLKVKPGKTYLLRLINAALNDELFFSIANHTLTVVEADAIYVKPFDTETLILAPGQTTNVLLKTISEFPXANFLMSARPYVTGQGTFDNSTVAGILEYESSIPMKNLPLFKPTLPSLNDTSFVSKFTNRLRSLASARFPANVPQKIDRNLFFTVGLGTNPCPKNRTCQGPNGTRFAASINNVSFVQPSVALLQSHFFDRSKGVYSPYFPISPLDWFNYTGTPPNNTFVSKXTKVMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYNPKEDPKNFNLVDPVERNTGVPSGGWVAIRFIADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLPNDKLLPPPVDLPKC >KVH41001 pep supercontig:CcrdV1:scaffold_4867:31993:35253:1 gene:Ccrd_025790 transcript:KVH41001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRLNFSDEEVKKYRKTIDIERSWQRSIEDFRKACMSRKAFQIKTDRKMGGFVGILFSSVALIELLSIHSVGGTTRSYEFNIQLKNVTRLCHTKSMVTVNGKFPGPRIVAREGDRLLIKVTNHVPNNITIHWYVG >KVH40998 pep supercontig:CcrdV1:scaffold_4868:34240:39938:-1 gene:Ccrd_025792 transcript:KVH40998 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-sulfurylase PUA-like domain-containing protein MSFTIKLRIAPAISHFDLNRKTHKNSTISRTPIYHSNQLSTSLVFRTKKMVSPIIKSSLIEPDGGVLVDLVVPENQRDLKASEADGMVKVQLTKIDLEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRLKNDNGSMVNMSLPIVLAIDDETKERIGGANDVALVGPDQKTIAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVITPAGNWLIGGDLEVLSPIKYNDGLDNYRLSPKQLREEFDRREADAVFAFQLRNPVHNGHALLMNDTRRRLLDMGYKNPILLLHPLGGYTKADDVPLDVRMEQHSKVLEDGVLDPKTTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVAKKMAFFDPSRAKDFLFISGTKMRTFARTGESPPDGFMCPGGWDVLVKYYASLQVEEATPAAVSA >KVH40997 pep supercontig:CcrdV1:scaffold_4869:2361:7016:-1 gene:Ccrd_025793 transcript:KVH40997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MAGTGVFEDIIEGDVFKYYTDGEWKKSASGKSVAIINPTTRTTQYKVQACTQEEVNKVMESAKIAQKQWAKTPLWKRAELLHKAAAILKEHKAPIAECLVVRSGDLISYCAEEGVRILGEGKFLVSDSFPGNERTKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVACLHMVQCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGVAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVNAKVAKLKVGPPEDNCDITPVVSESSANFIEGLAKDAKAKGATFCQEYKREGNLVWPLLLDNVRPDMRIAWEEPFGPIVPVIRINSIEEGIHHCNASNFGLQGCVFTKDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKIKSTVINLPTPSYTMG >KVH40996 pep supercontig:CcrdV1:scaffold_4869:33929:36637:-1 gene:Ccrd_025794 transcript:KVH40996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase-related protein LAVLAGNFLLSRACITLASLKNTEVVSLIATAVEHLVAGCITNSNNSYGLLFAEDILQDCISDIKQLQIHCPSYWANCRGVYVGIRVREKSSTSSSLRKGSLSNIRHGIVTTPILYAMEEFPELRSVVDQGLDDPANVDLALEYLGKSHGIQRTRELAAKHASLASAAIDXLPENDDEDVQRSRRALVELTHRVINRTK >KVH97044 pep supercontig:CcrdV1:scaffold_487:178069:183048:-1 gene:Ccrd_000869 transcript:KVH97044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance SNI1 [Source:Projected from Arabidopsis thaliana (AT4G18470) UniProtKB/Swiss-Prot;Acc:Q9SWA6] MGTKRRRRGDGGMEENTMAILDTSCFSKSTQHVADDRLSFLEAVRSGFLVPENASAPTNKMYKAIFQILKVENSLDLIMSSYQLLLELDKRFPQVSLSVAEKSESSSPSSPQRNELVVVEEAWSPFSFGPDVSSCEKQDLDKVGGSLDAIAFHLLIGDLAKVAEEANTKTLDSKPLRNMLLFQYLVNVLEGDFVPRNLAFSESFDWIYVRESLLNMILVSRKIAYKGLIQDCLSAIFELSQFSMDCSHDVRSPETKLEEVTGKRHTAFALALPEIVKCTCVAVQKLLLMIIELDSSKKAADMEGQTTRADGALDKPRLKLDMIVQYLLKYIPKTSVRTRRSNGSTNDSTFGGVLKCFSNGNSTKSIVKKISTEVAQLLLAQAFQAYISLPSQHSTEYKEDIAGNSLPEICNSMISAFTCLKKTNESKKL >KVH97039 pep supercontig:CcrdV1:scaffold_487:79523:86165:-1 gene:Ccrd_000866 transcript:KVH97039 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMPIT-like protein MGDSTATAGGDNSLSVRNAGEEVSKLVEQGKELQESASTLISRNSQEEASLRQRALALDSNIKMLRSFIASSVKKGNLDSKHAEKLAEELSRASYTLSEGDAATFLPCKSHGRFLRSFLGPINVRANRKDVQLKVKEEYYSFRDRTAYLFLFFPSLLLVLRSWIWNGCFPALPVQLYQAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYCAMGMALISLTWEIERQPDCAQKQKGIQLFLRWAIMQGVAMLLQNRYQRKRLYTRIALGKARRMDVVWGETAGVKGQLWLLLPILFVLQAFEAYVGVLLLKTAMVGVVSEWQVVTCGILLIIMAVGNFANTVQTLVLKSRFKAKMKKGKSKSDLTQVSKDQ >KVH97037 pep supercontig:CcrdV1:scaffold_487:16325:18032:1 gene:Ccrd_000862 transcript:KVH97037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MDVKISQPTTVYPSQQPFTDDHILPLSHLDTDRNMNVPFRYVRAYAAANHHHPHPFDVITVALSTALVKYYPYTGSLHRRKFDGRFELHCKVGGGVTVIPATVDSPLSSVSYLDDADEEFIELLVPNPDQQTRLTHPLMLQVTRFSCGGYTLGASVHHVLCDGLGATLFFNAMAELARGAGEVTVEPVWDRSKLLGPREPARIVFPIEEVLCLDKDFVPYSELDEKVVRECFHVKDEWLDRFKILLQERSGLSFTTFEALGAFLWQARVKASKFPREEKVKFAYAINIRKLVKPPLPAGYWGNGCVPMYVQLTAGELTERPIWETAEMIKKSKRNATNEYVHSFIDFQELNYEKGINAGKRVSAFTDWRHLGHSTVDFGWGGPVTVIPLSRNLLGSVEPCFFLPYSEASQGKKDGFKVLLYLQANAVIGFRGEMEKFGSMEYA >KVH97043 pep supercontig:CcrdV1:scaffold_487:145646:161192:-1 gene:Ccrd_000868 transcript:KVH97043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apoptosis inhibitory 5 MADASGDANDIEKLYEYGERLNEAKDKSQNVDDYKNIIASATSTSIKARQLAAQLIPRFFKFFPGLSGTAVDAHLDLCEAEELGIRVQAIRGLPLFCKDTPEHISKIVDILAQLLIAEENVERDAVHKALLSLLRQDVKASLTALFKHIESVDEPIADDNRERTLIFIRDKVFPIKSELLKPQEQMERHITDLIKKSLQDVTGAEFKMFMDFLKGLSIFGDKAPTERVQELIEIIEGQADLDAQFDVSDGDHIDRLISCLYMALPFFLRGASSSKFLNYLNKNIIPVFDQLPEERKVDLLKNLAESSPYSTPQDSRQILPSVVQLLKKYMPLRKTGEEMNFTYVECLLFTFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSEFYKDFTERKPKSRMPQQVCVRAITFWQLHSHFIQNHLHLLEIRELTYPGKKRSKLWRHQLMQLGHLKVFLTEGEVVHGAADKVEGGVDVVVEGDFGKEC >KVH97038 pep supercontig:CcrdV1:scaffold_487:23932:25247:-1 gene:Ccrd_000863 transcript:KVH97038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAADNGMDFDFGPTAKGKKKDFKFDKMDPDFYLDADFDKLSSFKMDMSDLDISSPPKKPGKSKGRSKETSSGGERRAKRDSFAFPFDFEEFADLDFELTKTKIDEKSNKSKDKERHSNISGSGVSGDLLAKDVDASEDDNISSKHPASLGAAISKIDAQMDKIKDSDPRNEDEHLKSVLDLKPSNAEEKEMHKPISTQEMISCSVEEPVQESHPSEKRPFPQPHAQQVAQDLYDHSLVDSVSTEVTISDVQQEEFRTVVRTVSLSTGAEQNSNVRHVAELVPTRNSSFENSSAHMNSQSQKGEMCMDDNLHIGNIDGDDTRKADSHLE >KVH97040 pep supercontig:CcrdV1:scaffold_487:117784:119757:-1 gene:Ccrd_000867 transcript:KVH97040 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MGSGDWLKNIISLNKARKQKSSSMKESALPEINRFVLKSRSLEKPTNIANAYRRAVGRLAEDTAAIQIQTAFRAFKARKLFFNLKRLARLQMLMEGDFGKKQTSNTLRNLQSWSKIQAQIRTRRLSMVEDCGIKQKKLENQLRLDAKAHDLEVEWSGGSHTMVETLARMKQREEATVKRERAMAYAFSHQWRANSNTNLLPNDSEVATSNWGWSWMERWIAARPWESRALVVSTPKKVNNSPSSKKSPSIKKSNSLKTSPNSKKTPRNRRLSYGSTAKVEDVKSKLEKQATK >KVH97042 pep supercontig:CcrdV1:scaffold_487:66816:68907:-1 gene:Ccrd_000865 transcript:KVH97042 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-containing protein MDSNNNTRSGKLVDLYQRFRQSKSVSPLPDAAESNQSETFKRPNRAAVLICLFEEGNDVHVILTKRSSKLSSYSGEVSLPGGRRDEEDRDDIETALREAKEEIGLDPGLVDVVTVLEPFITKGNVTVVPVIGILWDKQSFNPVPNAEEVESIFYAPLEMFLKNKNRRQEEKEFQGDKYVLHYFDHETNNKVYVIWALTAGILIAAASLIYKRQPDFQPRMPKFWNKNYSRS >KVH97041 pep supercontig:CcrdV1:scaffold_487:51438:54442:1 gene:Ccrd_000864 transcript:KVH97041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLGTSSSAAALLSSRSISFSSSSKASIRALSFNPGHGQGRRFYGGIGVPVKKGRSHLSISNVATEISPAQEQAKKLSKENQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEITVVAADPFNSDPEDPESMGMEVREKLIKGEQLPTIRTKINMERSRFDQNPKEFRETYKADQEKLQEQISAARSCLSAVQMDHELRVKVSKVCAELNVDGLRGDIVTNRAAKALAALKGRDQVTSEDIAVVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >KVI11092 pep supercontig:CcrdV1:scaffold_4872:36453:36909:1 gene:Ccrd_010502 transcript:KVI11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MAIAAVENNLMTYVINEMHISCLPLIYIGFILLSVQAHLLQLKPPKCNMLVDGDQCTTAKGMKALILYLSLYLVALGRGCVKPNMLAHGANQFNKADSKQCKQLSRYFSSAYLFGYCSHLRCLDLNPLGYDTGFRVSAIVMAMGLIC >KVI11093 pep supercontig:CcrdV1:scaffold_4872:12947:16559:1 gene:Ccrd_010501 transcript:KVI11093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MDSGSQDQKGENISDETTVDWKGRPSNPNTHGGMKAAAFVLGVQAFEIMAIAAVGNNLITYLTNEMHFSLSKAANIVTNFIGTVFILSLFGGYLSDSYLGCFWTMVIFGFIELSGFILLSVQAHLPQLKPPQCNMLNDGDRCIEAKGVEATIFFVALYLVALGSGCVKPNMLAHGADQLNKSDPKQAKKLSTYFNAAYFSFSMGELIALTLLVWIQTHSGMDIGFGVSAIVMAMGLICLVSGTLFYRNKPPQGSILAPIAQVFVAATLKRSQVCPSNPHMLHGSKNEHWNNISTMSNETLNLCHTDRFRFLDKGCIRKQEGNNNNAKESPWRLCTVNQVEQVKILISIIPIFACTIVFNTILAQLQTFSVSQGSVMNNQITKSFHIPPASLQAIPYILLIFVVPLYDYFFVPFARKFTGHISGITPLQRIGFGLFFATFSMVSAAIMEKRRRDSFSNSGQTLSIFWLTPQYLIFGLSEMFTAVGLIEFFYKQNLRGMQSFSTAITYCSYSFGFYLSSVLVSVVNKITTRSMDGGWLGGIDLNKSRLDLFYWLLAGLSLINFLNYLFWARWYNNSSNRSSSSKADHDSIEEDFPNTFHPAKAVGDDQIP >KVH98273 pep supercontig:CcrdV1:scaffold_488:100648:101952:-1 gene:Ccrd_023506 transcript:KVH98273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MASKTYEELHGSNNWDGLLDPLNPDLRTLILGYGDLASAAERALNNNEGSKYAGYSHYGKSSFFKGVMLPWAESKYNVTSFIYATAHVDFPLAFIXRDKSRENSEFESNWMGYVAVSNDEFSKSIGRREICVVWRGTVRTYEWINDILYAGPVPADPLLPSANGKSITSLIGAAIDQPKVMEGWLVIYNTSNPNSPLVKTSARTQLLARVRELLVKYKDEKKSITCVGHSLGASLATVSAFDLAANVATPDIKVSAFVFASPQVGNQAFKNKMEELPNLNVLSIKDINDIVPKWPSKIMEWIDENTILXIPXDVLLYVDVGIDILIDSKKSPFLKEKDGSDILHAFDFHNLEGMLHTVAGYDGKDREFNWQSAEERSLPLVNMSADLLKEEYQIPQSWWVEKNKGMVLNESGDWVLSPVDPDDNDLSPTTTTVI >KVH98265 pep supercontig:CcrdV1:scaffold_488:223884:233525:-1 gene:Ccrd_023516 transcript:KVH98265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase MFKKSVEAKSQQRLSGADRKKLKRTIKERFPNASDSDLDTLIPPKVEITVSKHPNRVLIYSLEGGWPMFFDVDSRGTSIFPTVFALWKVPHLLPAFILKGGEVSRYVIGGADLMFPGISIDSEGLPEFLAGETWAVKVPGNPAPIAVGSTCMSSSEALKAGRYVPNDGFLEDVVFEDPSLSTTSQQFELSHADAANHNSDADNGELEAGMDGPDASYPDSSTKMQANPNIAQQVTTDLGDLKVMENVQIMVLAVNRKHLDYTSFKPEKQQVEKTEQTVDPANEADINRMMEVVEIYKPSVHVNPIFTSVGADTRQLYSASEASEVVFAYVEKENLVRPTNKSVVVLDAILCDSLFKGAIKKGSTYPSEIHKKDLAPTFISRMQAHHQVTRGKESVVRKGGLKPLQIMTERRQGNKKVTKLSGMESFLIDAEPLASELQKKFACSTSITELPGKKGYEILVQGGVIDVLARYLVEQYGIPKKYIE >KVH98270 pep supercontig:CcrdV1:scaffold_488:29197:34052:1 gene:Ccrd_023502 transcript:KVH98270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MADGSLTFDMPRVKLGNQGLQVSRLGYGCMGLTGAYNNPLRVEEGIKVLKEAFDRGITFFDTADIYGVEHANEILVGKALKELPREKIQLATKFGIVKMDPTKITVKGGAEYARSCCEGSLKRLGVDYIDLYYIHRIDTIVPIEDTMEELKKMVAEGKIKYIGLSEANADTIRRAHAVHPITALQMEYSIWTREIEEELVPLCRFTGENFMKNKVVYERVESMAKRHECTPAQLALTWLLHQGEDVVPIPGTTKIKNLDENVGSVKVKLTKEDVTEICNVVPINDIAGCKTSEAPLLCLVEIRKFTTKEQQLIILMC >KVH98277 pep supercontig:CcrdV1:scaffold_488:123069:124671:-1 gene:Ccrd_023508 transcript:KVH98277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MASKTYEELHGSNNWDGLLDPLNPDLRTLILGYGDLASAAERALNNNEGSKYAGYCQYGKSSFFKGVMLPLSESKYTVTSFIYATAHVDFPLAFLIHDKSRENSEFESNWMGYVAVSNDEFSKSIGRREICVVWRGTVRTYEWINDIKDAGPVPADPLLPSANGKSITSLIGAAIDQPKVMEGWLIIYNTSNPNSTLVKTSARTQLLARVRELLVKYKDEKISITCVGHSLGASLATVSAFDLAANVATPDIKVSAFVFASPQVGNQAFKNKMEELPNLNVLSIKNINDIVPKWPSKIMDWIDEKTTLVTIPKDVLLYVDVGIDILIDSKKSPFFKEKGGLDILHAFDFHNLEGMLHTVAGYDGKDREFNWKSVEQRRSLGLVNMSADLLKEKYQIPQSWWVEKNKGMVLNESGDWVLSPVDPDDHDLLPTTTTVIYQV >KVH98266 pep supercontig:CcrdV1:scaffold_488:176761:183102:1 gene:Ccrd_023511 transcript:KVH98266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial matrix Mmp37 MKFPPEVQFLFLHRCLSLLFLNLGFQSLSCLQSRIGDRSSMEKELKEVHKVEYDAMKTSLGSLLKILPPVDFCCVYGSSLHPNNNDKSSMEDYILGVSNPQQWHSELNYENIGPVFNICYPSFVLLSTKNLKMNRDHYSSWMVPFGGAKLITKVADQIGVGVHFNPFVSWNNKMYKYGVVHMDDLVHDMLSWERFYLSGRLQKPVRIIVDNMEIENVNLVNLRAASSAALLLLPSTFTERELYAKICSLSYMGDLRMLFAEDKNKVKKIVEGQFELFRNMYKPLVEEYATKQLLRLSSSGDNQMNISQDCSLSATSSIVSSLPESITIGSREQAATCMQKVLRRRVMISSARQAVAGFITVGAVHGFRYLGKKMTKAWKSSA >KVH98275 pep supercontig:CcrdV1:scaffold_488:58402:59682:-1 gene:Ccrd_023504 transcript:KVH98275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MASKTYEELHGSNNWDGLLDPLDPGLRTLILGYGDLSSAAERAFNNDDGSRYAGCSCYGKSSFFQGVMLPWAESKYTVTSFIYTTAHVDFPLSSLISPMSRENSEFESNWMGFLAVSKDEFSKSIGCREICVVWRGTVRTYEWINDIKDVKPVTPDLPIPSGNGGSTTGGTPKIMEGWLIIYNTSNPNSESVKTSARTQLLAQIRGLLVMYKDEKISITCTGHSLGASLAIVSAFDLAANVATPDIKVSAFVFASPRVGNQDFKEKMEELHNLKVMSIKNVNDIVPKWPSKVMEWDDDKIKFMSIPKHVLSYVDVGIDILIDSKNSPYFKEKDGLDVSQVIDFHNLEGMLHLVAGYDGKDREFDWKSIVKRRSLALANMCGDLLKEKYKIPQSWWTEKNKGMVLNDSGDWVLSPIDHDDHDLSPTK >KVH98267 pep supercontig:CcrdV1:scaffold_488:166550:167889:-1 gene:Ccrd_023510 transcript:KVH98267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSLLDALNVRVVGSGKKFLLLAHGLGTDQSVWSRILPYFRSHYKVILYDLVCAGSVNPDYFDFRRYNSLDAYVDDLLQILDSLGVDRCFYVGHSLSSMIGILAAIRRPELFSKLILIGASPRFLNDKDYHGGFEEGEIEKVFLAMEANYEAWVNGFAPLAVGADVPAAVREFSRTLFNVRPDISLFVSRTVFNSDLRGVLGLVKVPCCIIQTAKDVSVPTSVAMYLKEHLGGRNTVEMMNVEGHLPHLSAPALLAHHLNRALSR >KVH98274 pep supercontig:CcrdV1:scaffold_488:115571:116875:1 gene:Ccrd_023507 transcript:KVH98274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MASKTYEELHGSNNWDGLLDPLNPDLRTLILGYGDLASAAERALNNNEGSKYAGYSHYGKSSFFKGVMLPWAESKYNVTSFIYATAHVDFPLAFIXRDKSRENSEFESNWMGYVAVSNDEFSKSIGRREICVVWRGTVRTYEWINDILYAGPVPADPLLPSANGKSITSLIGAAIDQPKVMEGWLVIYNTSNPNSPLVKTSARTQLLARVRELLVKYKDEKXSITCVGHSLGASLATVSAFDLAANVATPDIKVSAFVFASPQVGNQAFKNKMEELPNLNVLSIKDINDIVPKWPSKIMEWIDENTILXIPXDVLLYVDVGIDILIDSKKSPFLKEKDGSDILHAFDFHNLEGMLHTVAGYDGKDREFNWQSAEERSLPLVNMSADLLKEEYQIPQSWWVEKNKGMVLNESGDWVLSPVDPDDHDLSPTTTTVI >KVH98271 pep supercontig:CcrdV1:scaffold_488:35447:50778:1 gene:Ccrd_023503 transcript:KVH98271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSGKISPTPTAMASTEQPPKKRKPFEPPQPVAVPVSAEPEPPSPKTTANPPATTLSQEEIARKRRNQEEIRNFYESYKRLKYCIGQKDAHLMPELEQAYLSLITASRGGVITFFGGFMPWNYFLFLDGQIYAILVAEFVPKYASYCPTALEAAAKVCINIHNWSMSIINRAEDADGFSFETARACIFGLATICQAASSETPTSSVIQGICSAVFLNVLSFFISSVEGQGIFQIVNKDVTKIYDSPKIFAELKQKFSDEEEAASVKLSKCRALCILWIFFTCPRNSLAACFELCSSNSTSAVSNGGQYFLNQVTARLDPCDVVYPLTGNSDGVKLIGSVESSSEVKDVTCDGKVSMDSNLPQDAYYGSKSCLLGLVLDKDPSLRPWIFSRVKSISKSASPNVVSDITSALERIFKSFTEQVKAEDKQVDSDGDDISPSKFIHRQFSVPRTSNQHRASEVSVREGSYPSDAVDQLSGLHPEHHGSITSETDLRSNTSSNNSGGPRSMDLDSVDHRELSRASSSTPRDLSNNLTPSAMPETSLDLGGNLLNGRNHSANTEIHSSRLENDVPALNASSSGVNSTFESPKHHLPSPYPSAGQPSWHFDGDRAAMDVYSASRHLWLGSLGPEASEAHVKFQFERFGPIDNFFCLPFKGFAVIEYKHIMDAIKAREIMRGRSPWGACLLIKFLDIGLGTRGDMNGVAVGSSCHVYVGNIQSQSDKDEILYELRKTLFKGPLAVNDLMSEGAFLMEFGTPEEAATVMAHLRQYRKEKKDFLQTSAVGPVNSMMPVDRSSHGSTSLHVDLRNNNLGNGTASSPHAQTVVGFSHCGKHNTNTMELTSPRINMVNQGAAMQSGYPFQSNWRSFGGQAMLDTGTRKGDTYDARMVMDPSQAGGHISSGAPEQNWTYRKPESVPHSTPGSMACISAPIHGHNFAPSQTMQASSFMRPVYYPPNSSWDGRGMIHHAPINPISSGGMHSNLHNSAVAPPFLPASVTPLAQIQGNPLPQHDQMFYVPPPLTTMPAPQPDLVPPLPPQLNMPPPLPQRNMPPPLPPQLNMPPPLPPHPSLPPPLPMHPELLPPLPPSPPPLLESQPPPPPPPIESLMLGSGGYWQGALSKSGVHYSTVHARKLHSDACNYTDNISEPAEWPTKLDITKRTDFRHVMSTFSSTPPHKTKDGNTSMSGLGFVGGKFGQEGAASQQGHELEQRKREVCQLFPTSAGDHKGFQDFISYLKQRECAGVIKIPATKSVWARLVFILPYSNEICSHLCVAPDTVDCLIALVLPKETNFEWV >KVH98272 pep supercontig:CcrdV1:scaffold_488:23570:24947:1 gene:Ccrd_023501 transcript:KVH98272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MESFGNFFDEEWHSLSRIFVDHDQDSDRFTGHEFMSSEHDDHGLNFEVPSNVFSNEDSFVYVSDLINPNFYHFLSQESSISSSGTSNGTVSLPYPCHDQSFQFFPSNSIVPSPSNDVCDQSIGFCMMDEINNSSLSSPVFSDDVVAEKVKMENSHGKRKLEMLESSDAMEDEVNNEKIDKNPKKKSRVNKNKKNVQPKKNQKIDDTEKSNNNNNNNNGETNGQTSSSCSSGDDLNGSQDLNRNSKTRASRGTATDPQSLYARVSNNVRFLKIRKQHLITLKRRERINERLRILQNLVPNGTKVDISTMLEEAVEYVKFLKLQIQLLSSDDMWMYAPIAYNGMDMGLYQSITPTL >KVH98269 pep supercontig:CcrdV1:scaffold_488:183975:186618:-1 gene:Ccrd_023512 transcript:KVH98269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MEDDYPMLTKLESACSDLKTLLSSSANLQTDLTKLDTNFNGLQETLNVASRRLAPLHSLSIASKALETRINRAVSPALVLIDGFKISESLQRKLVDISSKLSVQKSETRRLRLLIKYVDCVDKLNIAIDLISQEGGPAIQRLQEVVEFLSRTKATDQFRTHRLRETLVTLKMLYETEVDSMKFDGLLDEALLNLQDEFEGILHQLRHHNIGVEDGGDDDGGVAAGVADLGTEMEVEVRYKRAAKALMRLNPVYLRTYNPEEIDQMEWESLETSISLWIQHFELAVKTVFVSEKNLCNRVLGNIMDGVIWQECFVKIADKIMAVFFRFGEGVARSNKEPQKLFKLLDMFDSLEQLKTEFSEIFEGEAGADICSRFRELEKLLVHASTKVYWEFGLQIEGNQDGLPPPQDGSVPKLVRYAINYLKYLTTDNYSEPMAQVLRTEQIWKAGTLLKPTENLLKEAISNVMEALQRNIESKRSFYKDKVLYHVFTMNTYWYIYMRTRNTELGKLLSEHYMRKNYKVVAEEAAYLYEKQAWGGLVRLLDKEGTNDEGIEAGAKGKIEAFLKGFEEIAQRHTSRYSIVEADLREQIKEATVKLIVPAYSDFLDAFSTVLAVKSYSSPESIEGLLGEIFSGNGRNSVMGSRQRELKERSEGRKSISSDIDQMPGRRSVGRFQRNRSNTSDL >KVH98264 pep supercontig:CcrdV1:scaffold_488:207903:211544:-1 gene:Ccrd_023514 transcript:KVH98264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFNLRHLRFSPAFTSSASAYLNFHENLSLCLTKGHLDEALTLFYTSNFSHTQQTYADLLHACARHGCLSQGQALHHHMLNTHNRNGLQNLYVTNHLINMYAKCGCLEYARKVFDEMGERNIVSWTALISGYSQFDRREESCGMFSEMLADCRPNEFAYASVLSCCDCEFGKQVHGHSLKTCFDAYTYVANALITMYSKNDDKSVCKDEPLEAWMVFKFLKFRNLVTWNSMIAAFQTRGKWEEAVNLFLTMRHDCNISFDRATLLSVFSSLLMVRDDGDDAIAECLKFCSQVHCLAIKTWFISEIEVITTLIKAYADLGVEIPDLYSLFLETRGRRDIVSWTAFITIFAERVPEESLRFFSELCQEGLIPDRHTYSIVLKACAGLVTNRPTLAIHSQILKHGFENDTVLANTLIHAYGRSGSLIESVKVFDTILNKDIVSWNSMIKIYGLHGQPRNALKCFAEMNVAPDATTFVALLSACSHAGLVEEGTKVFETMSKTYGIVHQIDHYACMVDILGRSGRILDAQKLINEMPMEPDSVIWSSMLAACRKHGETDLAELAATKLQDLDPKNSLGYVLMSNIHCLAGTFDESVDIRNQMEGFGVKKNRGLSWTEIGTRVHEFAAGGVHHPQREVIFTDLEELVKELKGLGYVPETNLAMRDVEEEDKNRELSHHSEKLAFVFALKHHESNPFSAIRIVKNIRICVDCHNFMKFASELVGREIIVRDSNRFHHFKERDQHLGMQIGTVPTPYQGYRH >KVH98276 pep supercontig:CcrdV1:scaffold_488:72130:73452:-1 gene:Ccrd_023505 transcript:KVH98276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MALTTYEELHGSNNWDGLLDPLNPDLRTLILGYGDLASAAERALNNNENAKYSGYSQYGKSSFFKGVMLPLAESKYNVTSFIYATAHVDISLGFLIHDKSREKSDFESNWMGYVAVSNDEFSKSIGRREICVVWRGTVRTYEWINDIKDAGPVPADPLLPPANDKSITSLIGAAIDKPKVMEGWLIIYNTSNPNSKLVKTSARTQLLARIRELLVKYKDEKITITCVGHSLGGSLATVSAFDLAANVATPDIKVSAFVFASPQVGNQAFKKKMEELPNLKVLSIKNVNDIIPKWPSKIMEWMDGKLNLVKIPKDVMLYVDVGIHLMVDSKKSPFFKEKGGLDIVHALDFHNLEGMLHTVAGYDGKDREFNWKSVEKRRSLALVNMSADLLKEKYQIPQSWWSEKHKGMVLNESGEWVLSPLDRDDHDLSPPTAATVTYQV >KVH98278 pep supercontig:CcrdV1:scaffold_488:143544:144863:-1 gene:Ccrd_023509 transcript:KVH98278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MASKTYEELHGSNNWDGLLDPMNADLRTLILSYGDLASAAGRALNNDADSKYAGYSHYGKSSFFQGVMLPWAESKYNVTSFIYTTAHVDFPLPFLIHGLSRENSEFESNWMGYVAVSNDEYSKSIGRREICVVWRGTVRSYEWINDIKDAGPVPADPLLPPATGKNLISLIGGAINQPKVMEGWLIIYNTGNPDSDLVKTSARTQLLARIKELLVKYKDEKISITCIGHSLGASLATISAFDLAANVATPDIMVSAFVYASPQVGNQAFKAKMEELPNLKVISIKNVHDIVPKWPSKVMDWIDDKTTLVIIPKDVLVYVDVGIEILIDTKRSPFLKEKDGLEILHVADYHNLECMLHTLAGYDGKDREFNWQSVEKRKGIGSVNMWGDLLKDKYQIPQSWWTEKNKGMVLNESGDWVLSPLDRDDHDLSPPTTTVIYQV >KVH98263 pep supercontig:CcrdV1:scaffold_488:219196:221703:-1 gene:Ccrd_023515 transcript:KVH98263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSSDPSCTVYIGNLDERVSDRVLYDILIQAGRVVDLHIPRDKETDRSKGFAFAEYETEEIADYAVRLFTGLVTLYNRTLRFGVSGQDKATPNLQAPSMFTSNYPFKSRLHEDVAMSPLPRHAQG >KVH98268 pep supercontig:CcrdV1:scaffold_488:197511:198371:-1 gene:Ccrd_023513 transcript:KVH98268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFICGSFNNHQDQEDDFDVLWPTTSPSRKTKRHRFCCRRNKSAADNNKKKNPYSNRGLEKFEALLADLDDKKQKIFTQKGSHYISFVRFVYSNSNDVKPIIVRLRDPRKLKHDRKQNSFKETKGGTLSLSLPKKLQASTSFNHHRRNSEPPGAVVTNGMIDAQQDVKPIPSIDQLAKKIRVDQLKWNMRRKLEEWWMPAYNLPLFVILVLAFLTFFGRSLAIICTSIAWYMIPTIDGTLENMTPKPKKMIKNERTSEISIISPKPFLSGPINVRQKRDMKKLTSF >KVH40800 pep supercontig:CcrdV1:scaffold_4882:22903:23210:1 gene:Ccrd_025795 transcript:KVH40800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLRLLPVVEILDLMLPLPQIVVKRFFSSQKVQVCWAQRRLCMQYIPRSFRFIPLFSELKKIVGVIIEMA >KVI01706 pep supercontig:CcrdV1:scaffold_4884:11154:15134:-1 gene:Ccrd_020014 transcript:KVI01706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLSHSATLATWQFVCLIFFLASKLLKTIPQVLSKCDYDGVVAHIFCLRSLPTLYKKDNCRFNIFFCFMMRK >KVI01707 pep supercontig:CcrdV1:scaffold_4884:19004:21119:1 gene:Ccrd_020015 transcript:KVI01707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEKEKEDATSPRGVLEACIRSFDKELSSSDADSIKNEAISQPRSRWFRFFKMWKKSFAKRVPSLPPLAPKPSRRKTMSARENPDVNLCPFKSSWKVFTISELKAATDNFSEDKVIGQGGYADVYKGCLHDGRLVAIKRLNKGTTEEQIIGFLSEIGTIAHVDHPNTAKLIGYGIEGGIHLVMELSPHGSLGSLLRGAKRKLDWGPRYKIIHGTANGLLYLHENCQRRIIHRDIKADNILLTENFEPQICDFGLATWLPREWTHHNVSKFEGTFGYFAPEYFMNGIVDEKTDVFSYGVLVLEIITGRQALDDSQKSLVLWAKPLMEQNAIRELVDPSLGDDYNEHEMNRVILAASLCIEPTPALRPRMSQASLAMLFYPFVLFSSQKYMTPPSILLF >KVH40592 pep supercontig:CcrdV1:scaffold_4885:27744:34677:1 gene:Ccrd_025796 transcript:KVH40592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme, conserved site-containing protein MKKEFHLAHFLMLTSSSSFKRINSLRQLLGGLGDRGDKKNLSVMGGNEDDDNPTIDDQPFTPWIVSVASGHMLLRDPHYNKGLAFTEKERDAHYLRGLLPPAVFTQELQEKRTLHILRQYQVPLHRYIAMMDLQERNERLFYKLLVENVEELLPIVYTPTVGEACQKYGSIYRRPQGLYIIIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSVEYDNLLEEFMTAVKNNYGEKVLIQFEDFANHNAFDLLIKYRTSHLVFNDDIQGTACVVLGGLLSALKLLGGTLSDHTFLFLGAGEVSFICSSHFSFGYSTGIAELISLEILKKGLLVSSRKDSLQHFKQPWAHDHEPVTGLLEAVKAIKPTILIGTSGAGKQFTKEVIEAMASINAKPLIMALSNPTSQSECTAEEAYTWSQGHAIFASGSPFDPVTYDGKEFVPGQANNAYIFPGFGLGLIMCGAIRVHDEFLLAASEALASQVTEEDYAKGIIYPRFKNIRKISAHIAAKVWHLISLGLAILLNSQRVACILQTIVVIVNVCFRLNLFDSFFLLALLIRLKVMCIKNRTMLSR >KVI11245 pep supercontig:CcrdV1:scaffold_4887:5675:6843:-1 gene:Ccrd_010346 transcript:KVI11245 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MMAASIPVSVIGPHFTAPYPFDIIVDTNAGGNLVITDVNHKIILKVQACDTTFHHKRVLLDNNDTPIATLREKIMSNHNRWKVFRGNSTSSSDMIFSTKQPNMIQFKTSLHVFLANKTSSKDVCDFKIKGSWSKRKCTIYTGDSYHSSTKIAQMHKMKPSKNKKFNKGKFTVTIQQNVDYAFVVALIAIIDAMKRTDDEYAEQIIGSVNQVIVATA >KVI11247 pep supercontig:CcrdV1:scaffold_4887:3448:3987:1 gene:Ccrd_010345 transcript:KVI11247 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MRLDRSDLNLLYSTRYSKEIPDAYEWLMLDAIEGERRLFIRSDELDASWSTFTPLLKELEAKKIAPDLYLYGSRGSVGAHYLAANYNVRWGDLTGDN >KVI11246 pep supercontig:CcrdV1:scaffold_4887:29298:34929:-1 gene:Ccrd_010347 transcript:KVI11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILDQKDESFYAGGINGIIRVIDAGNEKIHKQLKVLSGLLIYMGFGGLAIFLPHRYVVNGLISVVTEDRVLVPLSAGSTIPSSIATGSSTASVVVAPQSQPTVAIASPLQPFATDALPTVQPPSRPTTTILTYEED >KVI11244 pep supercontig:CcrdV1:scaffold_4887:36241:36665:-1 gene:Ccrd_010348 transcript:KVI11244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHPNIYFKCLHWILASFNEVEIPEYLTYYAGSYKSIHFSTSNLTSHAFDPDARDGHDLLIGLIRGDVYSVSIRLQLQDVGKKLVGAQHYNRDGCINNRQDQNFFKLLCTSNSLED >KVH40372 pep supercontig:CcrdV1:scaffold_4888:1581:5895:1 gene:Ccrd_025797 transcript:KVH40372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIFRTEVGRVVGRREYFEHLDGRLLSSTVLLGCPLSESIHLELAPASSIF >KVH40371 pep supercontig:CcrdV1:scaffold_4888:32878:35797:1 gene:Ccrd_025798 transcript:KVH40371 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSKQKKPHVLCIPAPAQGHINPFLKLAKILHSKGIRITFVNTEFNHQRLLRAQGSDALNGIPSFCFETIPDGLPTPENLDASQEVVPLCKAMDELFLDPFKALLTKLSASDSPVTYIVADVVLGFTLEAAREMDIPEILFWTGGAASLLCFEQYPNLLDKGLMPLKDSSFLANGYLDTIYDCIPSMPGIRLKDIPPFIRISDPGDEYMVEFFCRQVARAKTATTIIFNTYHELESDIFDTLSSIFPPCYGIGPLNLLEKEIVHNHLESIKSNLWKEEVECLKWLDSKAPSSVIYVNFGSVAVLTPQQLAEFCWGLAKSNYSFLWIVREDLVIGDSSVLPPEFLAETSSRGLLASWCPQEDVLNHESIRGYLTHSGWNSTIESISSGVPMICWPFFSDQQPNCWMSCNMWGVAMEIDMNVKSDEVAKLVIEMMNGEKGKEMRKNAIEWKKKAEEACAFPYGSSMVNMEKLVHMLQTSPK >KVH88760 pep supercontig:CcrdV1:scaffold_489:36440:37037:-1 gene:Ccrd_025799 transcript:KVH88760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTNVVFLLFETALNSLVSCFRFLNTKTDPITIPFVSNRVPIGGVVALSMLWKFLVIKLKNFLLLRMFLQDGESASS >KVI01634 pep supercontig:CcrdV1:scaffold_4892:10106:11495:-1 gene:Ccrd_020089 transcript:KVI01634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II NFCSDKKPTAVNWIEGCAKYVVCEAVITEEVVKKVLKTTVPGLVELNMLKNLTGSAIAGSLGGFNNHAANVVSAVFITTVGGGTQLASQSACLNLLGAKGASLKSPGSNARLLATVVAGSMLAGELSLMSAIAA >KVH39586 pep supercontig:CcrdV1:scaffold_4893:30840:31904:-1 gene:Ccrd_025801 transcript:KVH39586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTDCQICSVRVSNFTVAARREKQQ >KVH98473 pep supercontig:CcrdV1:scaffold_49:13141:17154:1 gene:Ccrd_023323 transcript:KVH98473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQVNVIQDQNEDERISMRSDQENTHLGNHHHLRNPHHGLKEKMKALTQLYEQQKQSSIALRNPSPKPDGTRYSTHPSVDLLAAGGGSSKQESENKKKSRIVENHVMRENSMPISTVTKAYVLPRPPPSPMGAAEEDAKENIAVMEVGGATANGEKIVGFNCPRREPVSTTVARKLSMGSSYTAPDPKGKPGGGGGGAGGGGGGGGCATGDGGDAEVIVKNVAEPEDVTVKQGKIGSRILVFVRLRPLSKKEKEASSRSCVRIVNQKEVYLTEFANENDYLRLKRLRGRHFTFDASFPDSSSQLEVYSTSTSELVEAVLQGRNGSVFCYGATGAGKTFTMLGTVENPGVMVLAIKDLFNKVRQRSWDGNHIVHLSYLEVYNETVRDLLSPGRPLLLREDKQGIVAAGLTQYRAYTTDEVMVLLQQGNRNRTTEPTRANETSSRSHAILQVMVEYRVRDESNNVTNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLSLSSCINALVEGKKHVPYRNSKLTQLLKDSLGGACNTEANEEITQIPNSETNQTKLLLELQKENHDLRAQLARQQQKLLVVQAQSLAAASPTPSTLSSIMTTPPTSCRRKEGRTRPSFLAGNCFTPDTKKKVAKETVKELKQTVKMLEAAMEKMKKDFGLQIKQKDELIREMSQKAANRAVVKPSSRPKEAAAGELKSPSGRFMSPAARDKKRSFWDITTASSPSVVTLNGRKTRSHVSKESPAAPSMLLQPGFARQKPESLRL >KVH98481 pep supercontig:CcrdV1:scaffold_49:560659:563049:-1 gene:Ccrd_023291 transcript:KVH98481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MFGIKKPHFHLHRTGKNNSVDPSHHAPNPFDSDDEFDKKSTLNQTRRTSSEPALLVPDSRASLFDDDEVKGTSGSSGYKLSYASRNKYKNDFVDSGGIENQDVQELEEYAAYKAEETTKTVNSALKIAENIREDATKTMITLHQQDDPALRKGAHLEQREKLGLTTTPTKGQRHSKTPPSEPTNAMQKVEYEKSQQDDALSDLSNILGELKEMAVDMGSEIERQNKALDPLQDDVDELNFRVRGANQRTRRLLGK >KVH98493 pep supercontig:CcrdV1:scaffold_49:274825:275547:1 gene:Ccrd_023310 transcript:KVH98493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MQDIHSIESSGGGGRLFAAGGGDRRLRPHHHQDLKCPRCDSSNTKFCYYNNYNLSQPRHFCKSCRRYWTNGGVLRNVPVGGGIRKAKRSGKPKSKTSVPSSAVAADPSDLEHKSSNSENSSSGSCSRTANTTATATPMTTAEVSGSNSTNSTPPMLLNFNESSTRFLNVADPPILKQSSEIVTFGSLMSSWTDELPQGIQKTENEMQRDWEENEGLFDQSYWSQNQWNDDDDHHLLNYLP >KVH98483 pep supercontig:CcrdV1:scaffold_49:476574:490647:1 gene:Ccrd_023297 transcript:KVH98483 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MEVIPSLTKLDVTKENASQVRNEDYLSPQILGSCGHSGHLHSRRKLLVLDVNGLLVDIVADPDEAYRASADTIIGSKAVFKRPYCDEFLQFCFERFNVGVWTSRTRRNIERVLDFLIKDSQHQLLFCWPYTAIFPYTYCYRNTQDDGLGPEGDLRNYLERLAASENIQKFIEQNPFGQQPISNNDESWKFYLKVISGSSSEADLAAKSLLLRSRKKLIIIDVSGLLVDVVTLPREGYRADAIQGSKAVYKRPYCDEFLQFCFKRFNVGIWTSTTRFNIERLIDFLMRDTQHKLLFCWDHSHCTDTGFRTVENSCKPLVLKELKRLWEKQDPSLPWRKGEYDESNTLLLEHSPYKALLNPVRPEGDLRIYLERLAASENVQKFVEENPFGQRPITKKNLSWGFYHKVIRAFSSEPEADASCLLDAQCNNSSEPEADTTTPSVTRTLSVPKADIITASAAQVLSESKADTITASVPLSEPKADTITASAAQTSSEPKADTITASAAQTSSEPKADTITASAAQTSSEPKADIISASVAQTSSEPKADIITASVAQTSSEPKADIITASVAQTISEPEADSLAATQTLLEPSVANTNLSLNPANDGS >KVH98494 pep supercontig:CcrdV1:scaffold_49:66697:81228:1 gene:Ccrd_023319 transcript:KVH98494 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MVYKSLDSICLSDIQDLGIPPETAAKLHQELTQIIRSYGPGTPHTWKEISTRLLNPDLPFSFHQMMYYGCYIDFGPDPPAWLPDPEDAILTNIGRLLERRGKEFLGPSYKDPISSYSAFQEFSVSNLEVFWKTILEEMNISFSVPPQHILVDEPSEKSQLLHPGGQWLPGAYVNPARNCLSLSSKRSLSDIAVIWRDEGNDEMPVNTMTVEKLRSEVWLVAYALETLGLEKGSAIAIDMPMDVKSVVIYLAIVLAGYVVVSIADSFAPGEISTRLVLSKAKAIFTQDLILRGDRSIPLYSRVVDARSPVAIVIPTRGSSFSMKLRDTDISWHDFLERVKKYRNVEFVAVERPVEAFSNILFSSGTTGEPKAIPWTLATPFKAGADGWCHTDVNKGDVLAWPTNLGWMMGPWLVYASMLNGASLALYNGSPLSFGFAKFVQDAKVTVLGVIPSIVRAWRTKNSTAGCDWSTIRCFGSTGEASSVDEYLWLMGRAKYKPVIEYCGGTEIGGGFITGSLLQPQCLSAFSTPTLGCNLFILGKDGIPIVLRRHGDVFERTPRGCYRAHGLDDTILETAAIGVAPSGGGPERLVIVVVFKDANGSTTPDLNKLKVSGVVTLESLPRTATNKVMRRVLRQQLIQGGQNCKL >KVH98491 pep supercontig:CcrdV1:scaffold_49:230736:235625:1 gene:Ccrd_023312 transcript:KVH98491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSLLGSVLKLSLHFSVVVDSCWRSRGGYLETHDFLQPLERVGNNVAREGNKAEEPQSRKSLPPTPPTTVEHILPGGIGTYSISHISCLNQSQRIPKPEEVAAQSSGSDKNDENSNCSSYTGSGFSLWEESAVKKGKTGKENIAGNRHVIREGGMKIGGVPWMTSMERPSQSSSAHNHPSATISSLSSSRSSSGDSCSPSSAQKNPSFVDMLNSAKSTQEDEDEEEEEEEFVIKKEPTLHYNGVLSVKVDTAHHDQKPNTPRSKHSATEQRRRSKINDRFSMLRELIPHGDQKRDKASFLLEVIDYIHFLQEKVNKYEDSCLRGWNNEPPANAIIPWVIILSTLKCFKTHPDPKGMQFLLLT >KVH98466 pep supercontig:CcrdV1:scaffold_49:438523:442685:1 gene:Ccrd_023301 transcript:KVH98466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGITVMAKMGKEKLRGTAYEFGIENCTDVVSVIKKTLFEDMPTISQLIAANERVFWGGLFVTFGSTFFGGFLYTAVISKLLPPSDNAIVFAIQNDSCTVVNQYRRLQRKHPLTAALFAANTLLYIRPTFLHLILPPIGDVWFNPHLILKKLNLDIDGHLWTVVSSLQSRSRWSPMVSSLHKSFWVDHDLELGLMSPKKIVAQSQY >KVH98479 pep supercontig:CcrdV1:scaffold_49:538089:543047:1 gene:Ccrd_023293 transcript:KVH98479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MAVTSSSIWREFLWGALAGGFGEGMMHPIDTVKTRIQSQAIFTQSQKQKSILQMVRAVWGADGLRGFYRGVVPGVTGSLATGATYFGVIESTKKWIEETHPNLGGHWAHFIAGDTLGSFVYVPCEVIKQRMQVQGTRKYWNSVVLKDAARSNSNASMYGYYSGMFQAGCSIWKDQGPKGLYAGYWSTLARDVPFAGLMVTFYEALKELTEYGKQKWFPNSSFRVNSSFEGLVLGGIAGGASAYLTTPLDVIKTRLQVQGTIVRYNGWLDAFSRIWLIEGPKGLFRGSIPRVIWYVPASALTFMAVEFLRDHFNTPTHKLKELTSLSIDNKKTPFQQQS >KVH98456 pep supercontig:CcrdV1:scaffold_49:612362:615858:-1 gene:Ccrd_023287 transcript:KVH98456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGNDPRQPSSAKPYKPQPVHPDNLPVDYSGFIAIIFGVAGVMFRYKLGSFAVMGMVTNYLSPARAAVKT >KVH98470 pep supercontig:CcrdV1:scaffold_49:285775:286320:-1 gene:Ccrd_023309 transcript:KVH98470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAYTSKLLCFVLVAFLVASVSEARKRNTTNIQFYMHDSPSGPNPSAVRVAGQPVNATVSNNTDASMFGFGSIYVMDNALTATPDINSTLIGRAQGLYAIASQENALSLHMTLTYNFVSGIYNGSSVSVVGQNPVMNEVREMPVVGGTGVFRLARGFALAKTYSMNQWDAIIGYNVTIIPYY >KVH98472 pep supercontig:CcrdV1:scaffold_49:30552:31322:-1 gene:Ccrd_023322 transcript:KVH98472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MAKTCMQDKKHFHWSNKVSNEDEEQYQESPPPPPPLSSFNSCPKSNTTDQPSLTRKKLQAVTIARFRSVVTALTKNRTSLLNGLGPRVVGTLFGSRRGHVYFAFQKNPTSQPAFLIELQTPISGLVKEMASGLVRIALECDKEDKTAVKKVGNTRRLLEEPVWRTYCNGKKCGFAMKRECGEKEWRVLKAVEPISMGAGVLPAPEKKVAGEEEDGGVMYMRAKFERVMGSRDSEAFYMMNPDSNGAPELSVYLLRI >KVH98478 pep supercontig:CcrdV1:scaffold_49:557194:560054:1 gene:Ccrd_023292 transcript:KVH98478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MVPPSSRTEILSLFRSFLRSARQFPDYNIREYAKRRIVDAFHDNKTLSSPSSVAAAFADGKYQLQVAKRQALVYSLYSPKTKSIMDIKY >KVH98455 pep supercontig:CcrdV1:scaffold_49:646946:667969:1 gene:Ccrd_023284 transcript:KVH98455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MKSPTIFLFFFLISTCALLLEASNNGGGGSKEVPDIKRSDFPLGFLFGAATSAYQIEGAYLDDSKSLSNWDVVEEMDRMEISLTIIIIASNNGGGGSKEVPDIKRSDFPLGFLFGAATSAYQIEGAYLDDSKSLSNWDVFCHSVVGCGRNGSNGDIADDHYHRFYNMIIDNLILKGIEPFVTIFHGDFPQELEDKYGSWLNAEMTEEFAHLAEICFKSFGDRVKYWATINEPNIFTDLSYQRGVFPPSRCSEPFGNCIHGNSDVEPLIVMHNFELDRKAAKRALAFNIGWSLDPVIFGEYPEEMREYLGTKLPSFSIEEKNFLKNSTDFIGINHYTTIYTKDCTNSGCSPTANRAIKGFLEMVEERDGVPIGEPTKVKGFSVVPRGMGEIVNYIKIRYNNTPMFITENGYSTPDVDAKGIKELVNDVKRVKFHTAYLASLAESIIGGADVRGYFIWSLMDNFEWLQGYDVKFGLYYIDRRTLTRLPKLSAKWYENFLKNKSDVMKFYNMIIDNLILKGIEPFVTIFHGDFPQELEDKYGSWLNAEMTEEFAHLAEICFKSFGDRVKYWATINEPNMFTELSYERGVFPPSRCSEPFGNCIHGNSDVEPLIVMHNFELDRKAAKRALAFNIGWSLDPVIFGEYPEEMREYLGTKLPSFSIEEKNFLKNSTDFIGINHYTTIYTKDCTNSGCSPTANRAIKGFLEMVEERDGYSTPDVDAKGIKELVNDVKRVKFHAAYLASLAESIRGGADVRGYFIWSLMDNFEWLQGYDAKFGELDRKAAKRALAFNIGWSLDPVIFGEYPEEMHEYLGTKLPSFSVEEKNFLKNSTDFIGINHYTTIYTKDCTNSGCSPTANRAIKGFLEMVEERDGVPIGEPTKVKGFSK >KVH98474 pep supercontig:CcrdV1:scaffold_49:42063:42557:-1 gene:Ccrd_023320 transcript:KVH98474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSTLMAVDQLNQLRDIFNRFDMDSDGSLTHLELAALLRSLGLKPSGDQIYMLFNHMDSNGNGAVEFDELVKAMSPDMMTEQYLINQKQLLQVFHSFDRDGNGFITLAELAKSMAKMGQPLTYRELTEMIEEADTDGDGVISFNEFARVMAKSAAESFGFPVPLP >KVH98462 pep supercontig:CcrdV1:scaffold_49:349660:350884:-1 gene:Ccrd_023305 transcript:KVH98462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMRSKLVAFNSVRARVQVRSPSPRHRKSYSLGRLNEGMKTVAFSGSENSSRELNFDIKHEILKGEAMTENGCANKVMVVVDTSVEAKGALQWALTHTVQDQDTIILLHVASPSKLGFKSSGGVNQKAYEHLCSMKKTGPTIVEAAKQERVSLLVLGQKKQSMMWRIRKMWAAKKSKNQAVDYCIQKADCMTIAVRKKSKKHGGYLITTKRRKNFWLLA >KVH98461 pep supercontig:CcrdV1:scaffold_49:366945:367828:-1 gene:Ccrd_023304 transcript:KVH98461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MFWLRDLEAGIFILYTIFGDSMERILERYERYSCAERQLTATDRESHGSWSLQHAKLKSRIELLQKTQRHIMGEDLDSLSLKELQNLEQQLDTALKHVRLRKV >KVH98460 pep supercontig:CcrdV1:scaffold_49:606968:612219:1 gene:Ccrd_023288 transcript:KVH98460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin-binding site-containing protein GGCSLFNHHLSTLSLRSYRHCHPFRHCLPLFLCFHRHQIPTNLRSITPFFTSSMASFSVPCPKTSALSAPQLNPKHNPKHRSTSLALFQSDFKPTRALVSGSSTQGFQLCVRQMRLNLEFLLLLSQVAQGKPLNSSPALEKSEESTKLSSIETTVPDVESITAFMNQVAGLVELVDSRDIMELQLKQEDCEVLIRKKEALAPPPTMMMQSSQPQAMFHSQPPPPQAALASSAPATSASVPALPAPAKPKSSHPPLKCPMAGTFYRSPAPGAPAFVKVTFENIENHMSPSVTYGKKGLLSNPLINKKGISSYSLVGDKVQKGQVICIIEAMKLMNEIEADQSGTVVDIIAEDGKPVSLDTALAIAVWHPDHESLSVPTDTNLPATATYFPSPQTYVTFWVYV >KVH98471 pep supercontig:CcrdV1:scaffold_49:2640:4286:1 gene:Ccrd_023324 transcript:KVH98471 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVLFLIAFSALFVFYLFHLSKFKRYLLTKTHLPLPPGPAGLPIIGNLHQLETSNLSDHLWRLSKDYGPLMSLRLGHVQTLVVSSAEMAKEILKTHDLVFCTRPVLTGQQKLSYNNKDVALSPYSEYWRQMKKICTLHLFSAKQVNSFRSVREEEVFDMIDTIKSRIATKQVVNLSETVTILTSNMISRVAFGKRTYGYIDEQKEVKRFQELLMECQALLAKFYYRDHFPLMGWLDKLNGSIDRLEKNFNDLDEIYQELIDEHLNPNRPNKTQDDMVDILLKVKQEYSNSGMELTFDHIKAVLMNILFGGTETSASAVVWAMTLLIKNPKSLKKAQQEVRNVMGNKGKVQEDDLQKLYYLKAVIKETLRLYPVAPLLVPRESRDRCILDRYEIPKGTLVYVNAWAVGRDPKCWENPEEFEPERFMGSSIDYKGMDFELIPFGSGRRGCPGMLLGATTVELTLSNLVYSFDWELPDGTTEIDTLTTPGTVSHKKTALRLVANVHDYDRLGFEAF >KVH98457 pep supercontig:CcrdV1:scaffold_49:612578:617242:1 gene:Ccrd_023286 transcript:KVH98457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKHNRFQNQNMGTIVTQPKLRRIDLKGKPSYQVFTAALAGLSTKLLPQISADQFSITYSEHNAGDAEDDRDETRVINGEIIRVNWLRLVWLGRRRLTGIIAGRRHRCRSVFFLGSLASWISSLHIRITLGRNNKKRLYDGDLGELQELGIIEENYDKLKGLKRDMGEEVYKAVTTAFTEINDYNPRYVFPGSTLSDLVLRFNNIPQVKSHAMLEYQCPQLSKKDWALVMLFLFCGSSVVLPATAHILLRLVKAEFCSSKYLGKS >KVH98477 pep supercontig:CcrdV1:scaffold_49:462493:465122:1 gene:Ccrd_023299 transcript:KVH98477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MYRHIMSPGWTLGWNWAKKEVIWSMVGAQTTEQGDCSKFKGGNQPHCCKRNPVVVDLLPGVPYNQQISNCCKGGVVSSWGQDPSAAVSAFQVSVGLSGTSNKTVKLPKNFTLLAPGPGYTCGPATIVPSTVYLTPDRRRKTQALMTWNVTCTYSQFLVSKHPRCCVSFSSFYNQTITPCPSCSCGCQNKDRCIKSDSKKLSMVGINTPRKDNEPLLQCTHHMCPIRVHWHVKQNYKDYWRVKMAVTNFNYRLNYTQWTLVAQHPNLNNVTQFYNDLLMEAGPTGNVQSEVLMQKDKNTFTFKQGWAFPRKVYFNGEECRLPPPEEYPSLPNSATTESIMISAMAASAFWFLQVFIW >KVH98468 pep supercontig:CcrdV1:scaffold_49:385493:385717:-1 gene:Ccrd_023303 transcript:KVH98468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGRVTLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDADVALIIFSTKGKLCEFATDASYDSLSLSLSDFL >KVH98459 pep supercontig:CcrdV1:scaffold_49:594858:596300:-1 gene:Ccrd_023289 transcript:KVH98459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCLGAGYVGGPTMAVIALKCPSIEVVVVDISVSRITAWNSDQLPIYEPGLDEVVKSCRGNNLFFSSDVEKHVAEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGVKYQILSNPEFLAEGTAIQDLFAPDRVLIGGRETPDGRRAIKALKDVYAHWVPEERILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVSHAVGKDTRIGHKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKNRFVNRIVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCQGLLGDKARLSIYDPQVTEEQIQRDLSMNKFDWDHPVHLQPMSPSSVKQVSVVWDAYEAAKGAHGLCILTEWDEFKNLDYKKIYDNMPKPAFVFDGRNVVNPQKLREIGFIVYSIGKPLDSWLKDMPAVA >KVH98492 pep supercontig:CcrdV1:scaffold_49:239289:242486:-1 gene:Ccrd_023311 transcript:KVH98492 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MNGRDMYRVEDKDKKRRFGIGIGFGIGIGIGIGIGRMGWKSLGEGRVEKFKANARSPKMKLWIIRATTMVLLWTCLVQLTALGDTWGPRVLKGWPSSFTQDSHSATALDVKFLPTAPARVLPPKRVYQNNGYLMVSCNGGLNQMRSAICDMVAIARFLNFEDIFDVNHFITSLRDEVRILKQLPPRLKKRVESGIVHTMPPVSWSDISYYHNQFCPHEMQILPLIKKHKVVHLNRTDARLANNGQPLELQKLRCRVNFNALRFTPQIEYLGRRVVQLLRRNGPFLVLHLRYEMDMLAFSGCTQGCKTDEVEELTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALTLRALNIDSDIQIYVAAGEIYGGQRRMAGLASAFPKLNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTILLDRKLVVELIDRYTSGSLSWDEFSGAVKAAHTAARMGSPKKRVVLPERPKEEDYFYANPEECLQPLESEPLSILR >KVH98490 pep supercontig:CcrdV1:scaffold_49:186616:215527:1 gene:Ccrd_023313 transcript:KVH98490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MAEVASNEQRKAEGETTDAGKSVFNLGAVVGDLTVEEDASSNDISLEGLQQELEECKTDEVVANILSKGVKLREYTKGVENNLRQVELDSIQEYITESDNLVSLHDQIRDCDVILSQMETLLGGFQVEIGSISSDIKILQEKSLDMGLKLKNRKVAESKLAKFVEDIIVPPRMIDVIVDGEVNDEYMRTLEILSKKLKFVEVDSMVKTSNALKDVQPELEKLRQKATSKVFEFMIQKLYALRKPKTNIQILQQSILLKYKYVISFLKEHGKEIYTEVRAAYTDTMNKVLSAHFRAYIQALEKLQLDIAGPTDLIGVDTRSTSLFSRGREPLKNRSAIFALGERINILKEIDEPSLIPHIAEASSKKYPYEVLFRSLHKLLMDTATSEYVFCGDFFGEESVFYEIFSGPFAVMDEHFSTVLPNSFDAIGIMLMIRITHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMIFDMHIHSLRNANVKSLWEDDVHPHYVMRRYAEFTASLIQLNVDYGDGQLDLNMERLKMAVDDLLIKLAKTFTRPKLQTVFLINNYDMIIAVLKEAGPEGGKIQLHFEEFLKNNTAVYVEELLLEHFGNLIKFVKTRASEDLSSGSEKPITVAEVEPLVKDFASRWKAAIELMHGDVMTSFSNFLCGMEILRAALTQLLLYYTRLSDCMKRIAGGSALNKDLVSISSIMYEIRKYSRTF >KVH98480 pep supercontig:CcrdV1:scaffold_49:584351:589665:-1 gene:Ccrd_023290 transcript:KVH98480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGCIVNKTADAKADRLSRWSATGISFPDEVLELDRSVRTLDLTHNKLADIPEEISRLTNMQRLILADNVIERLPSNVGKLQSLKFLILDQNRVTILPDEMGQLVRLERLSILGNQLTGLPDTIGSLRNLLLLNVSKNQLKFLPESIGSCFSLEELQANENSIEELPPSIPPSLLKSCKALQNISLHGNPISMDQFQTMEGFQEFEARRKRKFDKQIDSNVMIGSKGLDEGVDLMSRGLEAACMINDDGLWLWTSARPSLQLW >KVH98495 pep supercontig:CcrdV1:scaffold_49:82037:84250:1 gene:Ccrd_023318 transcript:KVH98495 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Nhp2, eukaryote MGGSDSETEKSAHKEKEKKKLLALAPIAKPLAGKKLSKRTLKLVRKAAEHKCVKRGVKEVVKSIRRGNKGVCVIAGNINPIDVITHVPVLCEEADIPYVYVSSKEDLANAGATKRPTCCILVLPKPTKGELGEEDQKKLKTEYDEVASEITSLAASMF >KVH98454 pep supercontig:CcrdV1:scaffold_49:620111:628588:-1 gene:Ccrd_023285 transcript:KVH98454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNGVGDRVHNFFAQENLSQGQHSHAVGGNSPSDNNLWVSNQKQIGSPSLHTKAYDPEQSEVEPRHDGLRVPVSNGLNFMQATARAEFTKNQSQSRHQIMNRYMYGHDVLQPRPNEAVLLGLDRDSTQDNVNTRGFLVHELQQGGVFEQISNSNRSNEIDAHGSLNLFGGQHQTNSQHPGLLQRVQQQQSGFSGIALQRQLMLRKMQELQRQKDMRQLNTRQHSSLNQASSFARQASGSHPHGLVNGTPTSDSSGYAWNELAAGNTNWLQRASPAMQGSSSGLAFSPEQGQPQRSMGFVQQQVDQSLYGVPVSSSRGLLNSYPYASTDEVSTQQMPTSGNSFPGNHNAGIREQVISHDGTLVSRQGVPGKSLFGHMSGQGSNSWIKMEQIQQFTSTNQTGSEQEFQGPLDLIGPSQMAQDEPAIEASHMEASLDPEEEKILFGSDENIWDAFGSDRNMGGGASSLLYDNNEFASGLPSIQSGSWSALMQSAVAEASGTGIGLQEKWTPLNFQNLEHPSARHPSTYEGSGKQTPLADVNLSNASAMSFGVGGATMKDKHQRNAGIQHDDKQSPYENDVRPLSNSSERMNQYSSGGSKWLNGGPLQKEEIGQGDGIWRVNALPNSIVDPESTNTSMGSPQISGEGFTVNNAVATPNLSNMQGASHFGQFPINSHQLSYWKRVESSVRSKGTGNLRKPQGRLNKGSQVSESSFNSSDKEDLKMHEIESRSKRENSNDSYQSSSSCQVTTARLRENSSSDAGDFRALPATKQHSSNQSGRMTSGQRKFQYHPMGNLDEEVKMPYGKLQSTNTQASSLQNFRRLRGQGQGNVGHINISGQVPKSSAEMEKGHVSDLEGITKGSHGIRSKNMIGGHDIFASFDRSVGLSTSEKDSQSSQNMLELFHKVDQSRYLGNARHLNSSEHDLSSEMHVPDCSDGSLGGLQRSQSSNSQGFSLQLGPPSLRSPLPDNTSKFLRQPEAFCNLRDRGQALLPSFQETPHGGFKNNRIDSSEQNTTEISLHKMTANLSSSLGAVFPVSRSQLQNHQMVSARGLALTNNPDNESLNTLTDETCNGPHTGQSAEGCMSNKASFGQYTDAASSHRRVKASQISGGEKLLATLPQTSSSMSEHCRSSKMLLNESTDLSSQQQDFTTQTCGVQLNLCKSSQLNIVESTSIGLQNLEDHEAEKRGNLFPTFCTSSLNSQGLASAGVRSTKDSFSSQNVLCGEVDGAQTMNGSQKEFHANPATSEIDLEAFGHSLKPKHFYQNNSLMNQMRATRNMDNDPCIRVTKRMKVSDNVLDGKQVAPSSGQPNGHNDMVGDALTCSTTVLPGDSQMLNFSGSGDNVLRNVPSQPGYVPSVDVLASCQNESQSSVPSNSMTPVKAEHSHISPQMAPSWFDRYGTFKNGQSLPERVGTVKSIEQPFSVQRLSGGLETHNTKGQASSAVDTSQIGAIWKNPAPPSVALEQSSSLLPRNAGIQHLITLRPKKRRLTAAGLHPWFLEVSASFKNLQGIWSVDDDGDVIEDVLPVTRSKRRLIFTSLLMQQLLHPPPEAILSIDARSIYESVVYYMARLALGDACNLVSFARSYSSIFVSGANCLSDQCEEPERIDDHCLSKVIEDFMSKAQKLEDDFSRLDKIASVVDLKVEFGDLERFSVVNHFARFHGRMQADGAETSSIISPRRYVTAVAFPAILPDA >KVH98475 pep supercontig:CcrdV1:scaffold_49:37890:40553:-1 gene:Ccrd_023321 transcript:KVH98475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MEPIDIVGKTKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCSREDKRTIAPEHVLKALDVLGFGEYIEEVYAAYEQHKLETMETVRGGKCTNRAEMTEEEALAEQQRMFAEARARMNGVANVGKQAEVDPSLEAKS >KVH98476 pep supercontig:CcrdV1:scaffold_49:465139:473018:1 gene:Ccrd_023298 transcript:KVH98476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MAIVVAYDLFDPTSNITITWDVVSWTPDGYVAVVKMNNYQMYRQITSPGWTLGWTWAKKEIIWSMVGAQADDQGECKEFQSNIPHSCEKNPRIIDMHVGAPYNQQFPNCCKGGIVTSLGQDPANSLAAFQLSVGNSGKTYRTVSLPKNFSLLGPNQGYTCSSVSIVPSSVSFSSDGRRKTRALMKLVLSKLSVLKFQEHTYRMVENLEPKPSDLVISRAVRRSPQSEGTATMKQCTQHMCPIRVHWHVKRNYKAFWRVRITITNFNYNLNYTKWTLVAQHPNLNNVATVNSFIYKPLLVYESIKKLENDLLLQAGSNGSVYSEMILRKDEKIFTLNHGWAFPRKVYFNGDECIMPLPVSYPSLPNSVLPVSDIRRLKSREMVFEAATIPVFKFSLWAILLFMFSSNSMAFDPLDPFGNITIKWDVIFWTADGYVATMTVNNFQMYRHIMSPGWTLGWNWAKKEVIWSMVGAQTTEQGDCSKFKGGNQPHCCKRNPVVVDLLPGVPYNQQISNCCKGGVVSSWGQDPSAAVSAFQVSVGLSGTSNKTVKLPKNFTLLAPGPGYTCGPATIVPSTVYLTPDRRRKTQALSK >KVH98464 pep supercontig:CcrdV1:scaffold_49:316320:317421:-1 gene:Ccrd_023307 transcript:KVH98464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MSTSPTPPTTPELKEDAEYNKXCEKHQHLIQTLRKGNGWMVQHLYNYNGFWLSTYFIKNILLLNAFFKPQSSDVFLASFMKSGTTWLKALIFEKLSTLEVNKNGVEKFGKLMVVEKAAFFRKGEVGDWKNHLSEDMRQRIDGITDEKLKDSGLILGARV >KVH98486 pep supercontig:CcrdV1:scaffold_49:84439:88855:-1 gene:Ccrd_023317 transcript:KVH98486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase MSEENSLIAESPRRRSGLLRDQVQLVKKKDSSRYEIAPIQDPLSFEKGFFVVIRACQLLVQKNEGILLVGVAGPSGAGKTVFTDKVLNFMPSIAVITMDNYNDATRIVDGNFDDPRLTDYNTLLENIHGLKEGRTVEVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRVGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPSYILKEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHIFFDEKVCVKTDWLEQLNRTYVQTLPDDLKTKLSIDDDLVSSPKEAGGAHSLSTRERNLSKLTKLSINSRRFDGRTPDSPAPVANQGVITHLSEQISTLNERMDDFTSRIEELTSKFSERRVPSHQNMAMAGQAESCNGSATSLFMAGLGNGSLTGQLLPNSASSNQLARESPLMEEVLLIARGQRQVMHQIDNLSNLLREYGVERSQQDRGAEKRNRLMEIESFGIPFIVTLTIGGLGFLLCRSLYSQK >KVH98489 pep supercontig:CcrdV1:scaffold_49:176018:177421:-1 gene:Ccrd_023314 transcript:KVH98489 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAIAGSHILVFPYPAQGHMLSLLDLTHQLAIRGLFITILVTPNNLPTISNLLSAHPTTITALLLPLPPHPSIPHGVENVKDLPADGFQAMMKALGDLHDPLLDWFNNHPTPPVAIISDMFLGWTHHLACHLGIRRYMFSPSGAFALSVIYSLWRYLPKRNDPENENEEISFPKIPNSPKYPWWQLSPLYRTYKEGDPTTEFIKDGLFANMVSWGVVINSFTELERVYIDHLKQEIGHDRVFAVGPLLPPGDKTIERGGPSSNEVLPWLDTCPPQSVVYVCFGSQAVLTNEQMEMVALGLERSQIKFVWSVKEPTVGHVAGKYGRIPSGFEDRVAGRGLVVRGWAPQVAILSHESVGAFLTHCGWNSIMEAVVAEVLMLTWPMSADQYSNATLLHELNVGMKACEGAETVPESGELAELFRKSVSEETRVERERAREFGRAAKEAMGENGSSLKELNRLVANLSLVEE >KVH98484 pep supercontig:CcrdV1:scaffold_49:518587:522302:-1 gene:Ccrd_023294 transcript:KVH98484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAKSVTPEAISVILSNPSPDSSAVHPEIVVQVLHIKSAGNRYTFTVSDGKMKLKAILQSSLSSEVINGNIQNLGLIRVIDYTLNDIPNKNEKFLLTPFGVVSFRYLIVTKCEAVSPPLEAEYKVEVKSEGAGILLKPKQEVITKSAAQIINEQNGNMAPSARMAMTRRVHPLASLNPYQGNWTIKVRLTSKGNMRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAARKFFDVFQTGKVYYISKGTLKVANKQFKTVQNDYEMTLNENSQVEEAINEAAFIPETKFNFVPIDELGPYVGQRELVDVIGVVQNVSPTMSIRRKIDNEAIPKRDIIIADATLATYSLVQCLYSPFVTYVELETCMSFRKKTVVVSLWNVLATDIGQKLLDMADESPIVAIKSLRVSDFQGVSLSTLGKSIVEINPDIPESNKLRSWYCSEGKETSMESVGAGLSPSLKSGGRSMYSDRVTLDHITSNPSLGSDKPMFYSTRACMSLIKTDQTMSYRACKTCNKKVTEAIGSGYWYILAAKFSDASGGAWFSVFNNEAEKIIGCSADELDKMKSQGDGTDFQLQLKKATWVPHLFRVSVAPREYNNEKRQRITVRAVAPVDFAAESKFLLEEISSMKL >KVH98463 pep supercontig:CcrdV1:scaffold_49:342986:346897:-1 gene:Ccrd_023306 transcript:KVH98463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MRRRAADYRRRVRRRLPIWIWVFLVLFSVAGFVLIFVQHNYQDQDQDRVKQPVLEQNARKVKVTHKKLNLTKEIASANSYARQLLEQMTLAKAYVVIAKEHNNLHLAWQLSSNIRSCQFLLSKAAMRAEAITQDEAEPLISSLSALILKSQDAHYDIATTMMTMKSHIQALEERANAATIQSAVFGQLAAESLPKNLHCVNVKLLADWITKKKIQELAEEKNNSPRLLDNNLYHFCLFSDNLLAVSAVVNSTVSNADHPKQLVFHVVTNGANYAAMQAWFVTNDFKGSMIEVQKVEDFTWLNASYSPVVRKLSENNFQGYPLEGSQDFLNPKYISLLHHLQFYIPEIYPHLEKVVFLDDDVIVQKDITPLFSLDLHGNINGAVETCLEAFHRLYKYLNFSNPIVSSKIDPQACGWAFGLNVFDLVAWRNANVTARYHYWQEQNADGNVWKLGSLPAGLLAFYGRTEPLDRRWHVLGLGYDVNIDSRLIESAAVIHFNGNLKPWLKFGIARYKPLWQRYVNRTHPYLLDCVTS >KVH98465 pep supercontig:CcrdV1:scaffold_49:448492:452834:1 gene:Ccrd_023300 transcript:KVH98465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MGKVAIVTGGARGIGGATATLLAENGAHVIVADVLDEVGASLAKSIGGRFIHCDVSKESDIEYVVQFALSWKGKLDIIFNNAGIIDNGRSIANIDMEDVANMINVNVKGVVHGIKHAARAMISVGSGGSIICSSSSAAIMGGLASHIYTLTKAAILGISRSTSCELGVYGIRVNCVSPHGIPSEMLVKAYRDHLGQENMTVEEVSDRISQNGSLLQGKCGSFEDVAQAVLFLASDESGFMTGHNLVIDGGSSVLRTEGHGSQPINFDIWEETTGTELSSSTTMAMVVAIPAPTPSTIDQHHHPHPYPVPLHPFFLLAIQNHSVGKDFASDGSLSTSDAFGKDQIGSMGWEVKPPFVFENNMGLFPNEINIQNQVFGDMDFPPLMINSLFNPVMAASQNAFSEENDSSSKLESNSRESGLFDLKLGRVIDPKEVQNYNPSKIAHNLSSSEVSMPSKRPREAGFGSSIPFCKVYGCNKNLSNCKDYHRRHKVCEAHSKTSKVIVNGIEQRFCQQCSRFHLLREFDDGKRSCRKRLAGHNERRRKPHPGALAERYGRLLPSYNVGVEGNESKGSTRAISSFHIPDHLRSPYQPKHETDGRWQTNLEENMAHDLQSISCITDEQLHSKSFHPYHFETDPRFDGVLNAPIGLSGIASSSECALSLLSSDHQSRSSCNSSRIPTSTNMFPASHNHQDSGPLDTNPMVGFEGGLLKFGSKDMNYDDLVFPNEGCTIDLLQLSSQLQRVDDHQKRRSQMKLANGVSVGLSMT >KVH98487 pep supercontig:CcrdV1:scaffold_49:97931:102918:1 gene:Ccrd_023316 transcript:KVH98487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGCSFSGLNALYDSAAGDVWINEHRFKIIRKLGEGGFAYVFLVKEVINESSDGGVSKKFKDPSHISDDGTYAMKKVLIQNNEQLQFVKEEIRISSLFNHPNLLPLLDHAIISVKGTPEQTWTHEAYLLFPVHLDGTLLDHAKAMQAKKESFSTSDVLEIFRQLCAGLKHMHNLETPYAHNDVKPGNVLLTHRKGQPPLAVLMDFGSARPARRQIRSRSEALQLQEWAAEHVSAPFRSPELWDCPSHADIDERTDVWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIINAQIKWPTTPKPSYPEALHQFVSWMIQPQATVRPRIDDILIHVDKLITKFS >KVH98485 pep supercontig:CcrdV1:scaffold_49:517338:518009:1 gene:Ccrd_023295 transcript:KVH98485 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MAGGVDRSATGVGEHVTRRPRGRPAGSKNKPKPPIIITRDSANTLRAHAMEISPGCDVGESLATFARRKQRGICVLSAAGCVTNVTLRQPSPSSTTGPIVTLHGHFEILSLIGSVLPPPAPPGVTGLDIYLAGPQGQVVGGAVSGPLIASGPVIIMAATFMNATFDRLPIDKDEAAAVTTVAHNQHLISVSDVYGIPPNLLSNTTPPSAGIYTWAAARPLSKT >KVH98458 pep supercontig:CcrdV1:scaffold_49:675578:685918:-1 gene:Ccrd_023283 transcript:KVH98458 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MRSASFSRVKIVNDSSEGDNGGELSSDKVSEKAKIPDHEVKARRRIDRGGGLEVRGNGEKMIWFGWRQTMTQPSVVLATASYDHTIRFWEAKSGRCYRTIQYPESVSSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSLRSLTVMWDGSLVVAANNRGTCYVWRLLRGTQTMTNFEPLHKLQAHDGYILKCLLSPEFCEPQRYLATASSDSTVKIWNVDGFTLEKTLVASSDTTARLWSMSTGEDIRVYQGHHKATVCCALHDGAETTAA >KVH98488 pep supercontig:CcrdV1:scaffold_49:105233:106796:-1 gene:Ccrd_023315 transcript:KVH98488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAEVSYLQPHGNDDDEDDDDDDEEYDDRDEVVVSFHPDNNCSCDLDGFDLYPSDLDCLSFDGFLCHTDRNPTRISPNEDDSVLFDRENQMNFVIDMFHQRVEQSQSQSQSHSASPVIIDSDSGNLDRSLGPIGGNEGPDANDLGLGLGFPVEVNNERNNGDDNSGFMLADCGDDFFVSRRTLNARSESENPSNRSVGPEYIMEGFRVPDLGSDTDVAGENDIDFATDAGRDVESNLRLSWDAFQLEDDNDRGNQDFEWEEVDDRIDDREILNMIFESEADDDLSVLPDIPIATHELEEQQPDTSSLEWEVLLNVHNLEGTQDLAGGHYDEYNYAEYDMLFEQFADADVSSLGRPPAARTVVENLLSVVMTELDLEKNDALCAVCKDEIGVGLMGKQLPCGHRYHGDCIVPWLSIRNTCPVCRHELPTDDLDYERRRAERGARDR >KVH98469 pep supercontig:CcrdV1:scaffold_49:298203:299204:-1 gene:Ccrd_023308 transcript:KVH98469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MSTPPTPPQSKEDAEYNKICEEHQHLIQITLPKGNGWMVQHLCNYNGFWLNTYIIKNILLLNAFFKPRSSDIFLASFMKSGTTWLKALMFSTLNRHRYTFSDHHLLRHHPQSTFPYLDSECHPIADFTHIPSPRLFATHYPRLLLPSSMTSCKFVYVCRDPKDVLISKWPFACKIRSKDLMPLTFDEAFELFSEGVSECGPYWDHVLSYWKASLESPDTILFLKYEEMKRQPAVELRKLAXFMGKPFTVDEEENGEVEKIVELCSFEKLSTLEVNKNGVEKLGKLAVVEKADFFRKGEVXDWKNHLSEEMRQRIDGITDEKLKDSGLILGARV >KVH98467 pep supercontig:CcrdV1:scaffold_49:404627:407831:-1 gene:Ccrd_023302 transcript:KVH98467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSRYITFFLLSFFIMDYVIMVKTLEKYHSCSYGSLKASQPENESQYNYHEYLRLKARVEVLQRSQRYNIDSRPYRNLLGEDLAPLNTKELEHLEHQLEMSLRKIRSTKTQCMLDQLADLQRKEQVLAETNKALRKKLEESAQEFPVRQMWEGAQTIPYNPLPTHSEDFFQPLGLNSAMHNSFNGFRYNPIGSDEMNVAGVNANHPNGLFPGWML >KVH98482 pep supercontig:CcrdV1:scaffold_49:497642:500616:1 gene:Ccrd_023296 transcript:KVH98482 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MHYVIDRRPQQMKHQSLDLRDVVKDSMYREARGLSHRTTMGKKAPDVANYRESHYVLAQLREANWYGDEPRELSRSKSCQYEDGFSLSISKDCRRFSYDGRETDRLSIRSGDTAKTTTPKQLKELPRLSLDSRERSIRTLSSVSLVPVKPFDSKSNLPSRNPKIDCDKGLIQTRPPSVVAKLMGLETFPDSVSTSDEKLDVGPIKSHHVEDLNSLSKSSEATDFCESINMHNSSRSSMRQPTSPCWKNPDLKPISRVPIEPAPWKQRDGTQGLQKPAPCVIRSSNKIHSPVSSVYCEVDKRLKNLEFLQSGKDLRALKQILEAMQAVEAKKSEKLNTVDRPSDHEMSLYDHQNPPSANRGSNVSRANESHIVIMKPAKLVGKGFRNDKACKDPITESTCGERQSRPSTLSDSSKPRKQSNKQQSESMSPSRRRRLSNFQQSDDQNKEISSELRKLSCNKTQSSQRLDGGRVSKMDAEVNASEYSEETNSRQNYTTQNPAEKIVTDQVGATNDRVSSPINLEKLQQVEHLVQKLKRFNSSHDEAHIDYIAYLCENTNPDDRYISELFLASGLLLRDLESFEFHSSGHPFNPDLFLVLERTKFSNLQKEKFHRKLIFDAVNVILEEKLQSACRTMQDPRNLLRELCLEIDQLQMQKKRERCGFGEDDDDDDGLKSILWEDVLKRSENWTGFHGESPVITGEVERLIFKDLINEVVAVLDRRSGDFSGKAT >KVI02900 pep supercontig:CcrdV1:scaffold_490:81576:85783:1 gene:Ccrd_018809 transcript:KVI02900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 VLAKKFGAAVVTLEHRYYGKSSPFKTLTTENLKFLSSKQALFDLAAFRQFYQASCGYLTCNRTDESLNLKLNRANVENPWFVFGISYAGALSAWFRLKFPHLTCGSLASSGVVHAILNFTEYDQQIGKSAGPECKAVLQEVTRLVELRLTSDGEALKTIFGASAGAYAKYVNEYYVGSFGVNIQSYTQEYLKKTTLSDSESGDRLWWFQVCTEVAFFQVAPANDSIRSSKIDIGYMTKTHFLICSQIVFTNGSQDPWRHASKQNSSPGRNSKNCSSPDAVNKVRQQMIEQIDLWLSQCHAAGRSSM >KVI02893 pep supercontig:CcrdV1:scaffold_490:122657:128784:1 gene:Ccrd_018813 transcript:KVI02893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKDVMNVVQKSDDAGVMFRMSFLVIVVNTLAKCSIVGVCNLGFLSRECIIMMGERIADLCSTRRETDMMLQAYVERFPGDRCFDQFKQELASMFKGSIWESRKDEGQPSDKELSLVHVTPPKMTTTYDPVILPPLSQFWTSPTVIAEVDRASNERAAITAKGVGCNTDPKLLEKVKMTSLEALLKSVGRVRSRSIDECEPRTSKLRRRAQTDIEAPAFDLGISPSKEEVIACIDSSKAIGGQENVRSAMPKRDPKLSFKLRSPYVTRAVTFEVSSDERKLQDWILRGIGGIFMDAIIRDEDLNANKRYDRFRKNIMSCMNNDKELISMRNVDLVFFPVLEPSFYYIVVFDLKRPSIAIIDSQTRDGKVDDIYGSSIVGLQDMMIMHLLKEGHGAWKVYAEMDQDHIKTRWQFHEIQLTLVLC >KVI02898 pep supercontig:CcrdV1:scaffold_490:194163:203675:1 gene:Ccrd_018814 transcript:KVI02898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plus-3 MEGQNLGGEGQSVDKSQPIGDDSKLVRGAMDVVQAVADGGGKVELGSGGGGGGGGGEVVKKKRGRPPKAQAKHPPAKKNKEEEEEEDVCFICFDGGSLVLCDRRGCPKAYHPTCIKRDEAFFQSAARWNCGYCLNIGEVLLNKKIYMEATKSEIFDQLCSPLEKLGLWSLHRGRLLKLFDELMRRRPSLQNMLMDKGWHICSLCQKAAHHMCYTCTFSLCKACIKKSDYVGVRNDKGFCTICMKTIMLIENNGQGEDGKVEADFDDKLSWEYLFKVYWVFLKGNLSLTVDELIASKKRSNGASTVSSALPSTGVHNRANDLRSITSAASFGNAEANESKRRKIDEQVNILPKETVNTEKVGNDDSLTMVECKEWATKELLDFIAHMKNGDTSVVSQLDVQALMIEYIKINNLHDPRKKNQIICDVRLKNIFGKPRVGHNQMRKLLERHFLIKEDSQKSTVNSNAVQDDANWNHANMLMVSKDRKPRNRSKGEGRVVQNRLDDFAAVDVHNMNLLYLRRDLMEKLLEDTENFHDKVVGSLVRIRISGSDLKHDMYRLVQVVGNNFKLHLQELLQPTSAGTSKVDVPYKVDSKLADIMLEVLNLDKKETISIDTVSDQEFTEGEIQEKAVALQPVKLNDWTEKEILRLNHARDAASEKGHKKRYPFVTISISDKFDVVQSHDNISEISFYIECVEKLQLLNSPDERERRLKEIPVIRSDPKMNPHYESDDTEEYFNKEHGLFDTFQHNDFILYLIFVFIPTVSIQ >KVI02895 pep supercontig:CcrdV1:scaffold_490:96488:104261:-1 gene:Ccrd_018811 transcript:KVI02895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0183 MLQRPRRRCEGTAMGVIVLDLRPGLGIGPFSLGMPICEAFAQIEHQPNIYDVVHVKYFDEDPLKLDIVISFPDHGFHLRFDPWSQVLLLSLILKHRLRLIEIFDVKRLQMRYATSLIGWGGPSTPATFVAVYAVFGPTFPGIYDKDRGVYTLFYPGLSFAFPIPSQYTDCCHNGEAELPLEFPDGTTPVTCRVCIYDSSTDSKVGVGSLMNKASAPPLPAGSLYMEEVHVKLGEELWFTVGGQHIPFGASPQDVWTELGRPDGIHQKQVDQMVIHSASDPRPKTTLCGDYFYNYFGRGFDILFDGQTHKIKKFVLHTNYPGHADFNSYMKCNFIIHATEFDGAFHQDMNSSKSRITPGSKWDQVKEILGDCGRAAIQTQGSTSNPFGSTFVYGYQNVAFEVMKNGYIATVTLFQSY >KVI02896 pep supercontig:CcrdV1:scaffold_490:220303:230790:1 gene:Ccrd_018816 transcript:KVI02896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF726 MAEGTRTRVLEDSVKTVQEAQVSMQKHLDGVVSAIDSLQKTMNELLLRLAAPAIASGVGALAPTLGTIVPVIGAGGFAAVASAAGSVAGSVAVAASFGAAGAGLTGTKMAKRTGSVDEFEFKAIGENHNQGRLAVEIMVSGFVFEEDDFLRPWEGQTDHSERYALQWESEHLIAVSTAIQDFITSKLALELMKQGAMMTVLSSLVAALALPATLLTITDIIDSKWSIAVDRSDKAGKLLTEVLINGLQGNRPVTLVGFSLGARAIFKCLQHLAETDHSGVVERVVLLGAPVAIKDENWEAVRKVVSGRLVNAYSTNDWMLGVAFRASLLSQGLAGIQPVDVPGIENVDVTEAIEGHSSYLWGTREILDRLDLDGYYPVFKIANTHH >KVI02897 pep supercontig:CcrdV1:scaffold_490:234800:237551:-1 gene:Ccrd_018817 transcript:KVI02897 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDVLQLQGLLHPMPILFYVALPLLTFFLLSSFRRKPLPPGPRGWPLIGNMLMMDQLTHRGLASLADKYGGLLHLKMGFSHTVAVSSPEMARQVLQVQDNVFANRPATIAITYLTYDREDMAFANYGPFWRQMRKLCVMKLFSRKRAESWDSVRDEVVSMIKITAASSGSAVNLGELVFGLTHDIIYRAAFGSISHEGKEEFIRILQEYTKLFGAFNLADFIPWLGFIDPAGLNTRLPKARGELDGFIDKIIDEHLRKEKKTGDDADNDMVDEMLAFYSEEGKVNEGEDLQNAIRLTRNNIKAIIMDVMFGGTETVASAIEWALTELMHTPEALKRAQQELADVVGLDRRVEESDFEKLTYFKCIIKETLRLHPPIPVLLHQSSEATEVAGYHIPKGTRVMVNAYAINRDKNAWKDPNTFNPSRFLENGAPDFKGSNYEFLPFGSGRRSCPGMQLGLYAMEMAVAHLLHSFTWQLPDGMKPSEIDMTDVFGLTAPKATRLVAVPTPRLLCPLY >KVI02892 pep supercontig:CcrdV1:scaffold_490:105962:106204:1 gene:Ccrd_018812 transcript:KVI02892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30, ferredoxin-like fold domain-containing protein MEYGLLGQQGLGKFGIICVEDLIHEILTVGPHFNEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDFINELIRRMN >KVI02901 pep supercontig:CcrdV1:scaffold_490:4197:6501:-1 gene:Ccrd_018808 transcript:KVI02901 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDTMDQSSCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLIERCRIGYEEQTEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYEKLRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPQASNLNQPSFIYILKKCSLTTEEGWVVCRAFKKRSTGQTKHVEGWESNFFYDDSSRVSPAMDPVDYITNQPPSSVFSQSFMCKQELEAAESLNFVHCDQFVQLPQLESPSLPSTKRPISSISLVSSSENNDQEDDLAGSGGRNINNNSKNNKENANKVTDWRALDKFVASQLSHEDRYGVGEGLSSSFEGKEHSDLAYMFMEGGREEEDGGGGGKLNGLLSSISQDHCDIGICIFDK >KVI02899 pep supercontig:CcrdV1:scaffold_490:207001:211530:1 gene:Ccrd_018815 transcript:KVI02899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF726 MSSTLMRTKKRAAAGLFALALNQVQIHQTRPLGNVISADSFSNERRLWVHESSGLLRPVFRFLDIDSAAWPDLETTATTSFVKRHVGSFLRELAEESDAPSPEVSDQELALSKAVDAMVSSIEKSPEQFEHTKEKHRVYAHEWREKFAVNESMAETKEHLQKKQQKDCKSPKAEQVPTASSKEVEEKTFEEAGMIDNKRKVAVLYELLSACLADTPKDDKKSERPPKGYDARHRAALRLLTTWFDIKWINMEAIEVVISCSAMAVLKQDGEKQNENISDESWEKWKRGGLIGAAAITGGTLMAITGGMLLIFL >KVI02894 pep supercontig:CcrdV1:scaffold_490:86467:88434:-1 gene:Ccrd_018810 transcript:KVI02894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MASTFLFQSSPAILDKSEWVKGQTLRQPSVSVVRFSPGAPSALTVRASYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTPPGLGNYISGAILFEETLYQSTVDGKKIVDILVEQGIVPGIKVDKGLVPLTGSNDESWRTVVSIPNGPTALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAKQVWAEVFFYLAQNNVLFEGILLKPSMVTPGADCKERATPQQVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRASANSLAQLGKYTGEGESEEAKKGMFVKGYVY >KVH38510 pep supercontig:CcrdV1:scaffold_4905:13553:15010:1 gene:Ccrd_025802 transcript:KVH38510 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK, C-terminal MVSDSELVGRLREFLSTSDLTTTTRPSIRRQLEQDFEIDLKNKKAFIREQVDLYLESQQQNEEDEEEEAAMAKCGDLGTKISEDILFGINFLTFCVKDFGSSGMSSIGSPLGASIAGSKSVVHFVGSL >KVH38509 pep supercontig:CcrdV1:scaffold_4905:14818:20070:-1 gene:Ccrd_025803 transcript:KVH38509 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MWSFFSFNVFIYTSLLFLGAAFLFIRFRTRTPPTTIKKLPPSPPRLPIIGNLHQLTELVHHSFFSLARRYGDSLMLLYIGSVPCLVVSSTEAAREIMKTHDIAFASRPNTRMFRTISYDLKEITAAPYGEYWRQAKSILTLQFLSNKKVQTFAGLREKVINECVHKITHGFLSNKPVDLSDLFSSLTNDITCMATFGRTYNEGEIGRKFKKVLQEFSEVLGSFYFEDSIPQLAVVDRIRGLSAKVDRVAVDFDEFLQGVVDETLSKRSRNPNPVGEDVVETFIEALLNVQKEDIIGITIDADVIKALLLDAYVAGTDTSSSVLEWAMTELLLHPDSLKKVQNEVREVLNGKKDITDDDLEMMPYLKAVIKETTRLHPPLPILPPRVARHDVKVMGYDIAEGTRVYVNIYAIMRDPKVWDRPDTFLPERFLDSPIDFVKHNFELLTFGAGRRGCPGRVFAMAINEKVLATVLHKFDWSLPNGVKREDVDMKETFGLANHRKQPRNITSSLIATATSNSDYATTIIDESLDLQSVNDPNNDHSDFLYTHQDLRFIQHHPFDGAEYFLYESNSLDFCRTAGMTLGPYTGYASILKGSKFLKSVQQLLDEICNVDFGVDCGLMTDPSSLKNRRENDMFNKKPPEKDKVLPNKVQGKNLIIIKEPQQEIYNEPTKWTTDFEPAIEAPKGEPIDDIPELPKSLTQKVKKLIPKRISSDILVPKSPNQNEKNSY >KVI08974 pep supercontig:CcrdV1:scaffold_491:55914:64562:1 gene:Ccrd_012633 transcript:KVI08974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSTSSVVQPEAILEWLQKEMDYRPLGPYLASSKASMPSSDAIRRVCRGNMIPIFHFLLKRVKSVKTVRDIRRNILVHGREDNAGGRGSADAAKNGEVGKGSKGGRRKEKLRGTDSESTSAGQGSNRETALQERESAEKEVERLRHTVRRQRKELKARMLEVSREEADRKRMLDERSNYRFVDAVLVVFSKHKQVVLEAYGEQCDVAARIFVEYCKRLCSYVNQVKDAQRLEADSSMELVTSSQVDSEKGTVYSTVKGSKPSEDVVLVETSRERNIRKACELLALKMIEKIHNSFPAYEGSGIHLNPQLETAKLGIDVDGDIPDEVRDVILNCLRSPSQLLLAVTTYTQRLKAMIAKEIERTDVRADAETLRYKYENNKVMDASPDVSAPLQFQLYGNGNTGLDMPSKGTQNQLLERQKAHVQQFVATEDQLNKAAEAKSACQKLLKRLSGSSDVDSLLSLDVGGTSQNMSSLRQLELEVWAKEREAAGLKASLTTLMTEVQRLDMLCKERKEAEDSLKKKWKKIEEFDARRLELNSIYNALMRANTDAAAFWSQQPLAAREHASNTVIPACTVVIGIVKSAKDLIDKEVSAFSRSPDNSLYMLPSTPQALLESMGLNGSTGPEAVAVAEKNAALLTARAGSGDPSAIPSICRVSAALQYHAGFDGSEASLASVLESMEFCLKLRGSEACVLEDLSKAINLVHIRRDLVESGHALLNHAYNAQKEFKRSTSYCLDLASEQEEIIMKKWLPELRNGTVNAQRSLDDCKYVSGLLDEWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLAYYDKELL >KVI08970 pep supercontig:CcrdV1:scaffold_491:117444:126339:1 gene:Ccrd_012639 transcript:KVI08970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSFNYEPSSAAGSVYSCRPESEFYKSISRRTSSVADTFQELESVGEVVTRFELDMACVCEKLANLNLLLMHVEAIESDFEAFVSELNPNPSDLYVKALTFDFLSGFLNSEVTVLENQISDFQMEKTSMQEFLSSSRYLGDTSTEMEDMLHDSEKSLQQSMEQLLEIKARSAMFEKKALNFAEEVTRTEKEKDKDKDKDKDKEKEEDKDDAVVSGNDDLPELKDKMNMHAVEHQRHILRMLEKSLERELEFEKRANESTQIEEALTMRLHSSEQEVLFAEEETVMTLEKLYEADHTSEILMGISKELLKMLQLDHKHSSGFGHQETAKEGGVKMDNSVKCLKARIAEAERRAENAEAKCRGSSEKVVLLEKELRDAKIKLQLRKTRKNSLEDMESASVKENIRVNRNWRTAYHELICFLNPINFLSSWTVRMDAITNPDASSPPVSLHSGGPESELNKSSTSLRNSSSGDTLRDSESTEGIITRFELDIACISEKLNNLNLLLMYVETKESDFEAFVSGMDPDSTVKALEFDLLSGILNSEVRVLETHISDCQTDKANVMEFLSSRKHLGEPLMGMEEMLHDSEKSLEQSMELVLDIKVQSAHFEKNLLRFARDETWKEDYTQSSNNGYFPELKEKMKMQTVEHRRHILRMLERSLKRELDSEKQLSESIQIQEDLTMRLNSSQQEVLYVEAHAEMTLEKFYEADHASALLMAISKELLSTIKMLQFNLKGLVHQEAKLKSDLLALKESIANEKASMEGTMEMKNTIRDLREQAIQAERRVLNAETKCQVLTDSCQELKDTYEKVVLLEKELSDTNSKLQNVEACYEAGEEDKTMLNATIIDMCDVIQDLKEKVSQAKYQTEIMEDKCILLSENNEGLKKELSFVRGRVNCLEESLHQTEETKKATAKDISLRTKLITDLISSLKHENKILVARLKKTEGPAVKVSHGDIASSTDHKLSENDFITMTGVENKESLSTTFKPEKACNETVMGPTGSASELEVARNIDARELKLKGILLVILILIMPLCGLLLHQTQIQ >KVI08978 pep supercontig:CcrdV1:scaffold_491:88252:89574:-1 gene:Ccrd_012637 transcript:KVI08978 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MVVGTGDASDSGSENLPAESTQQTGCSPEEDDGRQLNYFGGSSSRNTSPIGRGLGLRNTSPSRQKVIKTKPRGLDEEMVATFAKAPHPDVHMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDNSFLIFHSQVPSHGPCLLTFWKNSQMPQCSVFSLPLKQWYKIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFRTLICNPLTQSWRILPRYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKVIWIEISRMPPRYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLFDVDKKAWSWIAGCALQSYNSQVCFYEPRFDASIY >KVI08986 pep supercontig:CcrdV1:scaffold_491:157169:158701:-1 gene:Ccrd_012644 transcript:KVI08986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIHLLTKQRHFKSAHQLLEKIAPRDFLSSPAVINALATTCDDQDVNSHVLSWLVIFYANSKMTQDAIQVFEHMRVHGLKPHLPACTVLLNSLVKERLTDTVWKFYKKMAKIGIVPNLHIYNVLIHACCKSLDVEKADELLSEMENKCVSPDLFTYNTLISLYCKKEGKIRDANKLLNEMSEKKVVPDNITCNTLINAYCKIGDMKSALKVRGTMLHAGLKPDAFTYKALIHGFCKAQEMENAKEIVFTMLNDGFSPNYCTYSWLVDLYCNQSNEEMLVKLPDEFYQKGIIVDVSVYRALIRRLCKRERVDHAQKLYNMMQHKGISGDSVIFTSLAYAYLKAGDTTTGLQIFDEMYKKRLMITQKIHKSFTASYAGEEGILQTFWNLAVERGLISRNTLKQIHRAEDYA >KVI08985 pep supercontig:CcrdV1:scaffold_491:163574:165742:-1 gene:Ccrd_012645 transcript:KVI08985 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase MASMVSAIGFEGFEKRLEISFSDNDDSAYPGGRCLRSLTKDQLDEILAPAECTIVSSLSNDSLDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPPILKLSGELSLSVKSVRYTRGSFIFPGAQVYPHRSFTEEVMVLDSHFGKLGMGSEAYVMGGIDKNQKWHVYSASAEAAIPAAPVYTLEMCMTGLNKKKASVFFKSKSSSAAVMTEDSGIRKILPESEICDFDFDPCGYSMNAIEGEAISTIHVTPEDGFSYASFEAVGYDLKTMDLGALIERVLACFEPSEFSVALHGNDLKDVNLDERNHPIVKGYGVEESNFGVLEGGRGGSMVYHGFSRGGSCCGSPRSILRNCWSETETETEDE >KVI08972 pep supercontig:CcrdV1:scaffold_491:32114:34330:1 gene:Ccrd_012631 transcript:KVI08972 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSBA-like thioredoxin domain-containing protein METQMADSYLASVDVFIPTTEPVPCSSSIQQLSSPEVSRYTKLMAQSASNAGKKLIKIDVTSDSVCPWCFVGKKNLDKAVALSKDQYNFEIKWHPFLLNPSAPKEGINKKDYYRSKFGSRADQMHARMSEVFKGHGLEYDLSGLTGSSLDSHRLILFAGKQGSDKQHTLVEELFNGYFTQGKFIGDRAILGNYLLQLSVELQANSMGVLSQINGMHQLSGGQPAEVFLRAFQVVAN >KVI08987 pep supercontig:CcrdV1:scaffold_491:182531:184531:1 gene:Ccrd_012647 transcript:KVI08987 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MCIWLSTQFLYVSLNYIVDKRTEKRKIHKSKGIFGGKVGPKIYTIIVGASFCVVLGIFSFAHPKHHASRHMVVPYSDLVGGIRDGSVVRVQFVENSRKIYYNTKSSGDQDAETPQTQSGSTGLLKASVPKWQYHTRNVGDDKHHLIRMMKDQGITYGSDPELFSGAMKNVLFAMLQLAPYWIMVLLSFYQLNGQLSLGRMTKRKPSKKQSVTFDDVEGVDDAKAELLEIVLCIKGDSKYMKLGAVLPRGVLLAGPPGTGKTLLARAVAGEAGVSFFSISASELVEVFVGTGAARVRDLFREARKSSPSIIFIDEIDALLTEMDGFEKEGNVVVIGATNRPETLDSALMRPGRFSRKVVVGEPDEDGRRKIFGLYLRDVPMEEDKEAICKLVASRTPGLVGADLENIAHESVYNEDGNDNETKAAAYSYGSMAQERVVFGGGSMGFGFSS >KVI08990 pep supercontig:CcrdV1:scaffold_491:234029:237449:1 gene:Ccrd_012652 transcript:KVI08990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 2 [Source:Projected from Arabidopsis thaliana (AT1G67170) UniProtKB/Swiss-Prot;Acc:Q84TD8] MGSKGRLPPHHLRRPLPGPGIGHHDSIPPEMHPQHGGFPPFDMMPHPEVMEQKLAAQHVEMQKLATENQRFAATHGTLRQDLASIQHELQMLHNHVGAVKSEREQQIMGLLDNIGKMESGLQGAEPLKLELHQARANAQSLLSAREELVSKVQQLTEDHQRAHMDLQQVPALMSELEGLRQEYQHCRTTYEYEKKLYNDHLESLQVMEKNYMTMASXVEKLRAELKKHAEIDRRTAGPYAGFAGYSDKEASGHYPVGQNTYDDAYGVPQGRSSLPGGGGAAAVDGNAPVGAQSGATAVRAGYDAYRGPGPAGPGYDVQRGPGPTVPGYDMQRGPGLQKGPGAPGYDPQRGPGGPTYDSQRGPGGPSYETQRGPNAPGYDTQRYDAQRGPAGPGYDSYRGPGGSGFDGQRGPGGPGYDMQRAPAYDARRGSNTDAQRGPTYDGQRGAQYDARGPGYEVHRGSSFESPKGPSGPQGQANNASFVASHGGGGYQGAQPPPPPPAD >KVI08976 pep supercontig:CcrdV1:scaffold_491:72109:75634:-1 gene:Ccrd_012635 transcript:KVI08976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPFKIPFRKDNHGERMEAKFPGKRRIFVQTETGCALGIELDGNDDAHTVKRRLQTTFNVPTEEWLLVLGDQVLNNDLSTVRNGSPVLLTRNFLHRSSSTSCLSPVSKDTEYSDHSESVEILVPSMQFSRTKQLVHDIAKAIESGIAPVPISSGLGGAYYFRNCDGDNVAIVKPTDEEPFAPNNPKGFVGKVLGQPGLKPSVRVGETGFREVAAFLLDHDQSAKVPPTTLVKIRHSIFNINDEVSESMLQNMMHVCKIASLQQYIRHDFDASDHGTISFPVSAVHRIGILDIRILNTDRHAGNILVKKLDSLGSFGQVELVPIDHGLCLPESLEDPYFEWIHWPQASVPFSYDELEYISNLDPVYDSELLRMELPMIREACLRVLVLCTIFLKEAASFGLCLTEIGEMMTREFHGHEEEPSELESICIQARKLLEESESWSPAIRTINKEVFQFDIEYEEDFGNGGNMLSDSVESFETEEEDYLKLNERGGDKMQVQAVSKLSTSMKACSIEGQNWRNPMLTVDVSYTASSSSGNRSMNGQLPRSMSFVTFSDMSQEEWTVFLGHFEGLLHSAFTDSKSRNLGLRQRQRLGTSCQF >KVI08988 pep supercontig:CcrdV1:scaffold_491:168513:172763:-1 gene:Ccrd_012646 transcript:KVI08988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MEKRRVDESVQVPAPPRIDRFGFAKQEHNSPDRLVKSKSAFEYQREERRLRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGVPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFYQQRHGPGQRSLYNVLKAYSVYDREVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYLEGLPLVQQYMFQFDHLMREYLPKIGEHFTQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHFLRNFPDDAMNPDILLPMAYSFKVSKRLEELKQEYEKKNGKVAESQVKQKQARRTA >KVI08975 pep supercontig:CcrdV1:scaffold_491:65407:66655:1 gene:Ccrd_012634 transcript:KVI08975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MWSWVCLKIALILCLSLNDVSEASQDQAEAALVGALVAVECGGDGMEVTGAKPRFQEEVRTDEKGEFRVELPFSVGKRVEGCFVKSVRSSEPDCAVAVSRGSSTIRLKVKTSGNRVFSAGSFAFKPLKRPKQCHTKPRNKNSDDEFSAAEPFPSPARFLIPPVTRGKQTTTGYDDSSDADALGLPFQSNPFQPPFQFQPPPDSMFTPYFQPPPASIFDAMPLQPPPDS >KVI08989 pep supercontig:CcrdV1:scaffold_491:14144:22456:1 gene:Ccrd_012629 transcript:KVI08989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGRVQLKRIENKISRQVTFSKRRTGLLKKAHEISVLCDADVALIVFSTKGKLFEYSTHSSMETILERYERYSYAEKLLTAPETETQASWTLESSKLKAKIEVLEKNIRHYVGEDLEQLSLRELQNVEQQLETALKRIRTKKEKALQERNNSLSKKWTIHRSSHEGGGISSSPSCLLFNDAVVDDPPY >KVI08991 pep supercontig:CcrdV1:scaffold_491:208974:214726:1 gene:Ccrd_012650 transcript:KVI08991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSEKPRRRVAFVLIDGLGDVSIPRFGYKTPLEAAKVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDEKTGVVTSRRADRHFEEEGPILCAALDKMKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLLLEAQALDDTEEAKHTAIVVNELSKEISKILVAHPLNEKRAAEGKNIANIVLLRGCGIRIEVPSFEKNHGLRPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKATAIAKALSAPMQPCPNVFVPGEDEHKPGLSDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDKAIGQLARLLWEAESTGRFQFSLCVTGDHSTPVEYGDHSFEPVPFTLCRLKDYVGAVGGESVVKQTCLDAFPLPIIKAGEDLSSADVVAEEKETSQAFSGDSVWKLNEIVAARGCLGRFPGGQMMGIIKKFLELEL >KVI08982 pep supercontig:CcrdV1:scaffold_491:127545:129989:1 gene:Ccrd_012640 transcript:KVI08982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAVGVRTGRGGGGSVFSSALRNFFSYRIFVSAMFTLLFLATASILFSSHHAHFSENSKMQTTGRAYMHRTFLTLKSDPLKTRLELIHKQASDYIVLVNAYAAYARKFKLEISKQLKMFEDLAQNFSDLGMKPAYHDALFETDGPLDEEVLRQFEKEVKDKVKVARLMIAETKESYDNQLKIQKLKDTVFAVQELLIKAKKNGAFASLIAAKSTPKSLHCLDMRLMGEQIASPEKYRDEEPKPEFEDPSLYHYAIFSDNVIAVSVVVNSAVKNAEEPWKHVFHIVTDKMNLAAMKVWFKMRPVEGGAFVEVKAVEEYTFLNSSYVPVLRQLESANMQKLYFKNEPENTTKDANNNLTFRNPNYMSLLNHLRFYLPEIYPKLHRILLLDDDVVVQKDLTALWKLDMDGKVNGAVETCFGSFHRYAQYLNFSHPLIRDKFNPKACAWAFGMNIFDLDAWRRERLTDRYHYWQDLNEDQTLWQLGTLPPGLITFYSRTKSLDKKWHVLGLGYNPSISMDDISNAAVIHFNGNMKPWLDIAMNQFKHLWTKYVDSEMEFVQMCNFGL >KVI08971 pep supercontig:CcrdV1:scaffold_491:26246:30022:-1 gene:Ccrd_012630 transcript:KVI08971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MAIDEIFGETRPVLAPTKSALYVWGYNQSGQTGRKCKEQNLRIPKQLPPDLFGCPAGANSRWLDLACGREHTAAVASDGSLFIWGANEFGQLGDGTETSRKHPKKLKQLQNEHVISVSCGAHCTAAIAEPRDNDGTISTRRLWVWGQNQGSNYPRVFWGAFSPNTIIRQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGVTCEGLQKARVINAYAKFLEEAPELVKITQVSCGEYHSAAISEDGEVYTWGLGNMGQLGHYSLQSGDKELIPRRVVALNGIFVKDVASGGVHTCAVTTKGALYAWGGGQAGQLGLGPQTGFFSCVASESGGIFRNMPVLVLPSGVKQVACGHCHTLVCTQDGRIHGWGYNSYGQAANQKCTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLADCVTPWNASMIEDVAYRTGSDALVRLCERLREDLPDGGICDC >KVI08973 pep supercontig:CcrdV1:scaffold_491:34755:38506:-1 gene:Ccrd_012632 transcript:KVI08973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKQQNLKHQTSNFQGYISDSALSYTFGYTPSASGTGLHNDSVELRSSLWVLFVAACVMSVKIMLIPTVRYTGTAFVFDAFFRISYI >KVI08969 pep supercontig:CcrdV1:scaffold_491:96231:112787:1 gene:Ccrd_012638 transcript:KVI08969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MNTIVEMNMGSAAKLIVDALLQRFLPLARRRIETTQAQDGQYLQQSDPAYERVLDSLALVARHTPVLLLEALLRWRDIESPKGANDASTFQKKVEYPSLVDLRGLLLDLVAQLLGALSHIRFSSVTARFFMELNTRRIDTSGARSESLSIINGMRHLKLEVKTEGGLSASASFVAKANPLNRAPHKRKSELHHALCNMLSSILAPLAGGGKGQWPPPGVQRALTVWYEAVARVRMQIIHWADKQSKHIPAAYPLVTLLLCLGDPLVFLSNFGPHTEQLYKHLKDKNTRFMALDCLHRVLKIYLSVHGYSQPSNRVWDYLDSVTVQLLTVLRKGMLTQDVQLDKLVEFCVTVGEHNLDFCMNHVILEMLKQDSPSEAKVIGLRALLDISMSPTSEHVGLEIFNAQDLSHYVPKVKSVIESILRSCHRAYNLALLTSSKTTIDAITKEKSQGYLFRSVLKCIPHLIKDVGRSDRITEIIPQHGISIDPGVREEAIQVLNRIMRCLPDRCFAVIRAMVKFILRLPDEFPLLIQTSLGRLLELLHLWRACLSDHSVEQETSDAKHVQINQSFKRSFNPREPVEFHASEIDAIGLVFLSSADSQIRHTALELLRCARAIQNDIENLLSCERSYLTKDEAELILMIDVFEENGDDIVQSCYWDSSRPFDLRRESDVVPPDVTLEAILTESQDKNRWPRCLSELVKYGAELCPRAVQEANGQHSDQDIYNLRLEITKRLALVTPVELGGKASQFQDADNKLDQWLMYAVFACSCPPGREGAGAAATRELFHLIIPSLKSGSEAHVHDTTMALGLSHLEVCEIMFSELASYIDEISLETEGKPKWKSQKSRREELRIHIANVYRTVAGNIWPGMLIHKPVFRLHYLKYIDETTRLISTVPLENFQEMQTLRYALACVLRSLAPEFVDSKSEKFDPKTRKRLFDLFLSWGDDVGNTWSQDGLSDYRREVERYKSSRKTRSKDSIDRSSFDKEVSEQVEAVQWASMNSMASLLYGPCFDFDNARKMSGRIIYWINSLFIESAPRAPFGYSPTDPRAPFYSKHTGCDKSKVGHLRVSLAKIALKNLILTNLDLFPACIDQCYYSDAGVANGYFSVLAEVYTHQEIPNCEIQRLLSLILYKVVDPSRQVRDDALRMLETLSIREWAEDGVEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDRPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLDFWKLQNSGWRERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNIRPILDFLITKGIEDCDSNASAEISGAFATYFTVAKRVSLYLARICPQGTIDHLVYQLAQRMLEDSLEPFRPTANEGDLNGDFVLEFSQGSETAQLARLASVIDNQPRMSPLLVRGSLDGLLRNTSGNLSWKTTAVRGRSASGTLIPIPPEINVTPVTAGRSSQLIPSLVNMSVPLMGIRTSAGNSRSRHISRDSGDYLIDTPNSEADGLHSASGTHGVSAKELHRALQGHPQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQQLLVNLLYSLAGRHLELYNVENSDGENRQQVASLIKYVQSKRGSMMWENEDPTLVKIELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLSCRSHQIYRALRPRVTNDACVSLLWCLHRCLANPVPSVLGFVMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDKTTENVLLSSMPRDELDHSVADTDFQRLEPQNPGVTSPSSGKVPAFEGVQPLVLKGLMSTVSHDISIEVLSRITIHSCDSIFGDPETRLLMHITGLLPWLCLHLNPEAVVGPTSPLLQQHQKARSVSGKLAIWCRAKSLDELGTVFMAYSQGKLKSIDNLLACVSPLLCNKWLPKHSALAVGHLLNLLEKGPNEYQRVVLLILKQLLQHIPKGAVQSPHMYALISQLVESTLCSEALSVLEALLHNDDSMHVSQNYESRLGGTDESLLMARSGPSQQAMGLGFVPGSTSTVKATLTESGISPKELALQNTRLILGRVLDNCALGQRRDYKRLVPFVTTISNP >KVI08992 pep supercontig:CcrdV1:scaffold_491:219611:222291:1 gene:Ccrd_012651 transcript:KVI08992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta chain/beta chain-like protein MAQSLFINPTPTLCFKGQSKNHGNVLFNANKPNPWKSSLAKSTSPRALETEIPTHWYNIIADLPIKPPPPLHPKTFAPLQPQDLSHLFPDELIKQEASDERFIVIPDEVVDIYRLWRPTPLIRAKRLEKLLDTPARIYYKYEGVSPAGSHKPNTAVPQVWYNAQQGVKNVVWQVRASYDQKPYRKLMMQTWGAKVHPSPSNLTESGRKILEMDPLSPGSLGIAISEAVEMAALNEDTKYCLGSVLNHVLLHQTVIGEECIKQMEDLGETPDVIIGCTGGGSNFAGLCFPFIREKLKGKMNPLIRAVEPTACPSLTKGGLRYHGMAPLLSHIYELGFMEAIAIPQTECFRGAIQFARSEGLIPAPEPTHAIAAAIREALHCKESGESKVILMAMCGHDN >KVI08981 pep supercontig:CcrdV1:scaffold_491:132472:137167:1 gene:Ccrd_012641 transcript:KVI08981 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal RSPLSLYTLPLLFPQFHILFFLLCFANQFPDPFSQEKNMADELKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRSTIKVDETVERAKKEGNLPVFGFHDAESFVHSIQKPRVIIMLVKAGAPVDQTIKTLSAFMEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSMMPGGSMEAYKYIEDIVLKVAAQVPDSGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLSNEELYNVFSEWNKGELQSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSIAAPTIAASLDSRFLSGLKDERVEAAKIFKAGGFDDILADEKVDKEKLIDDVRQGLYAAKICSYAQGMNLIRAKSVEKGWNLKLGELARIWKGGCIIRAIFLDRIKQAYDRNPELANLLVDPEFAKEMIERQSAWRRVVCLAINSGISTPGMSTSLAYFDTYRRERLPANLVQAQRDYFGAHTYERTDMDGSFHTEWFKLAKHTKA >KVI08984 pep supercontig:CcrdV1:scaffold_491:150381:152760:-1 gene:Ccrd_012642 transcript:KVI08984 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MLDQSSTLCLKSSTTWARVCDTCRSAPCTIYCRPDAAYLCTACDARIHAANKLQSSQHERVWVCEACEQAPAAFICKADAASLCTTCDADIHSANPLARRHHRVPVMPIPGALYGPQATDPRSVMGLGVESESGFLSHEAEDAIDDEDEDEAASWLLFDALPKNSQNQSGKENGFLFNGEGGDDEYLEFMEFGNDDQAPQQQCYKAAEKMYGGGDADSVVPVQKNHHQFHHQDFQHQKFQLGMEYHETSHAAGGYGYPPLSHSVSMSSLEVGVVPDSTRTDASLSHPRPSKGTIDLFSNPPVQVPTQLNPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTNADVDVDQMFPTNHMVQGGYGIVPSF >KVI08977 pep supercontig:CcrdV1:scaffold_491:80390:86467:1 gene:Ccrd_012636 transcript:KVI08977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYEAWKTSGQRPRPLPPPPARPKSYNIEGWWGWVAESDNEPESTVIELRTNAHKLLWEAIDYMVCVEADVFVPGFDRDGKGRPSFASLVMGHRLYHSATAKSFRLDRKEIAKHMEEINDHLYEANHTWIKSVRNHLSKSLVDGLTEESKASKSLSFLSFPVPECSCLRSESTRPSTSQLPAALRVARSCPAWMGEGVVSRPGEKENDDDLDDDDSGPIGLFFRQTGGSSNRNTVSSGGRGGGEMMSSKEETQMEDQEEMEGGDR >KVI08983 pep supercontig:CcrdV1:scaffold_491:156140:156574:-1 gene:Ccrd_012643 transcript:KVI08983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSQSAEFQAGQTKAQAQEKGSQMMDQASHAAQSAKESTQQAGQQMREKAQEATDAVKNAAGANK >KVI08980 pep supercontig:CcrdV1:scaffold_491:195083:197438:1 gene:Ccrd_012648 transcript:KVI08980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase MAMTTNGLSSKLIRSFLRCFNSSSPSFTTPKFRFAQRTTINTTTSTTTTIFPPPPQPQQTTTTVIDDDKLRRDWVYDFEDTKSLFSSVKTGKLIRSTANLSMASVEPVVDLGMWVMSSRLMQVGFFREVVLSVIKHTVYEHFVAGGHTGEAGQTVKRLWESGLRGMLDYGLEHAVDNESCDKNTQQFIKTVESTQSLPPSSVSFVVVKITAICPISLLKSVSDLLRWEYKNSSSFVLPWKLKTLPIFAECSPLYHTLEQPSPLTPDQEHDLELAHQRLISICNKAFESNVPVVIDAEDTSIQPGIDYFTYSAAIVYNKGQKPLISGTIQAYLKDAGQRLFETKKAADKMGLPMGFKLVRGAYMSSERKLANSLGVESPIHNSINDTHHCFNGCASFMLDEVSSGPGGLIVATHNLESGKLAAQKARDLGIGKDSHKLEFASLYGMADAMSYGLRNAGFGVSKYLPFGPVDEIMPYLLRRAEENKGLLSSSYLDRHLMMKELKRRVTAFIGQGLAERLKCRNIVQ >KVI08979 pep supercontig:CcrdV1:scaffold_491:197582:198584:-1 gene:Ccrd_012649 transcript:KVI08979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein YFLTKIFHPNIATNGEICVNTLKRDWKPSLGLRHVLVVSSYNLVFSFLTEMLYTGIHALKPKPKFKSGAISESTAALNVDQTNASVCSVDPKTAVSGAIVQPTPLAPSLNTMKGGSAQEPSTPALNSMAEIGGVSSGSGAAAPPPTVATQQKEGGLAKAQTYKKKIDARKKSLKRL >KVH93877 pep supercontig:CcrdV1:scaffold_4910:16273:22946:-1 gene:Ccrd_004069 transcript:KVH93877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein PFQREENGNREANLFQRRKRSFPLPLLFLGFLNAVSMEPATANDPMIDDESTPLTILDASSYQLHDLDSIDLPPTLIEIDLTANRLTKLDSRISHLSNLKKLSLRQNLFNDAGVEPISQWDTISGLEELVLRDNQLKKIPDVSIFKTLLVFDVSFNEISAMTGLSKVSSTLKELYVSKNEVTKIEEIEHFHELLILELGSNRLRVIENLQNLTNLQELWLGRNRIKAINLCGLKCIKKLSLQSNRLTSMKGLEECTALEELYLSHNGIVKMEGLLEDLWFNDNQLASLDGIAEAVSGSREKLTTIYLEHNPCAKSTEYLTSLRQIFPNIEQIDSEVFA >KVH93875 pep supercontig:CcrdV1:scaffold_4910:11260:14058:1 gene:Ccrd_004067 transcript:KVH93875 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKI-interacting protein, SKIP MAALKELLPPAKSSSATFYDHSSDPWFKQRYSAPTEQESAEVVKNDLIPLYNTPERLKYRPSKAQDYGDGGAFPEIHYAQYPLDMGRKKEASGPKTLPVTVDNHGNLTFDAIVKQNENALKIVHSQHKDLVPKILRDDEEEEEDDEKQKEIDEITQQTKSALEKIVNVRLSAAQPKNVQTQSQDSKFIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKANGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAASFPPPAGKHNDMDIDEPMRGVHEYEHERVKERDPPRETKEEREERLQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASAGGARGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDKGLFTAQPTLSTLYRPKKDTDNEMYGGAEEQMEKIRKTDRFKPDKGFSGAGEKSGPRDRPVEFEKEVAEEADPFGLDQFLTEVKGGKKALDKVGSGGTMKASGGSSTREGYEGSGRSRIGFERGR >KVH93876 pep supercontig:CcrdV1:scaffold_4910:15787:22657:1 gene:Ccrd_004068 transcript:KVH93876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIALDDCIIFSPYLISGNTPGSQAIGSKINIYLEAGYGVPLRNRLNTGIIEEVLSKRKLFEI >KVI00935 pep supercontig:CcrdV1:scaffold_4912:18954:19766:1 gene:Ccrd_020802 transcript:KVI00935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MTKWGRKKTPSSSISNHVFLKNKQGDARPDEDQLHSTDVGRNTANKISEDDDVDWKVSLEKGRDEEDVKKPWMKMKKDRRVVEDAFSTDFDSFAIVKTSYNPQKDFRESMTEMIMEKQLKKREELEELLACYLTLNCDGFHDLIVNVFRQVWFELNQLHFDQHMEF >KVI00936 pep supercontig:CcrdV1:scaffold_4912:30160:34827:-1 gene:Ccrd_020803 transcript:KVI00936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ysc84 actin-binding domain-containing protein MEFNDADFRPEPGQGRIVDTLNSRVSTFPIGDETTSAVSISQLGCGALKNSERPGFLDEQTTRTKDCGMPAQPARLNDECCDEKSEALNLKAFDHTSQGNNVMTNSLSQHMAETEGSITKSSSERAQVETDENCVPVDEQSAKHEYCDTGSPESIGDKGGDDDSSNSRKILGKYFFYDPPLSEETGVWIPVSVPPMSESEHEEWSRGFSSVGGTFPDGDIEWLNFLEKDKELTMWDVVVEMLLAARGKVNSLASGDNVSWISRHLIDQAWKEMAQTLTEANFGNVQEILETEPPKWLPDSSASACMLCNVRFHPIMCTRHHCRFCGGIFCSECTKGRSLLPVKFRIAETERVCDVCFVRLETVQPYLMDQVSRASQLPTHDLTDLSTLRSWLNFPWGQSMEYEIYKATNTIQGYSKVGSLRPEKSIPDVILRHAKGFAILTIVKVGMMVTYNIGTGLVIARREDGSWSPPSAISTIGVGWGAQARNSYFIRQFSVYISVLQAGGEFADLIIVLRSEEAVKTFSSDVHVSFGAGLSAAIGIVGRTAEADVRAGTGGYAACYTYSCTKGAFIGCSLEGSVVTTRMHENSRFYGKQLISAPEILLGSLPKPPAAATLYRALADIYHKVEI >KVI06033 pep supercontig:CcrdV1:scaffold_4913:12008:16074:1 gene:Ccrd_015626 transcript:KVI06033 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSKVLLHGTLHVTVYEVDKLREGGGPNVFGKLMANIQETVGFGEGTPKIYATIDLEKSRVGRTRMIENEPQNPRWYESFHIYCAHHASNIIFTVKDDNPIGATLLGRAYMPVRELLDGDEVDKWIEIMDEDNNPTPAGSKIHVKLQYFDVTQDRNWDRGIKTGKYPGVPYTFFAQRQGCRVSLYQDAHVPDNFIPKISLAGGKYYEPHRCWEDIFDAISDAKHFIYITGWSVYTQIPLIRDPNRQKPGGDVLLGQLLKKKADEGVRVAMLVWDDRTSVNVFKEDGLMATHDEETENFFKDTDVHCILCPRDPDDGGSIIQDLKVSTMFTHHQKIVVVDHELPRGGSQKRRVMSFVGGIDLCDGRYDSAFHPLFSTLDSAHHDDFHQPNYAGASIAKGGPREPWHDIHSRVEGPIAWDVLFNFEQRWRKQGGKNVLVDLKQLDDILIPPSPVTFPNDQETWNVQLFRSIDGGAAFGFPDTPEEASKSGLVSGKDNIIDRSIQDAYINAIRRAKHFIYIENQYFLGSSFAWKSDDIDVDEVGALHLIPKELSLKIVTKIQEGEKFIVYIVVPMWPEGIPESGSVQAILDWQRRTMEMMYKDIVDALQDKGLDDDPREYLTFFCLGNREAKKSGEYEPTEAPEPDSGYLHAQENRRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYHLATQTPARGHVHGFRMALWYEHLGMLDDSFERPENKDCVNKVNEMADKYWDLYASEDLDRDLPGHLLRYPVGVTRKGDITELPGTECFPDTSARILGAKSDYLPPILTT >KVI06032 pep supercontig:CcrdV1:scaffold_4913:24199:24773:1 gene:Ccrd_015629 transcript:KVI06032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARTYTLGLRVVVTVILLLFVTVSHSISVDEGVVASVQQNKKILGSRPPGCVNKCVNCRPCQATLVIPPHPKMIDYRHQSSNWEDNYYLLSWKCKCGDKLFQP >KVI06034 pep supercontig:CcrdV1:scaffold_4913:12363:28377:-1 gene:Ccrd_015627 transcript:KVI06034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGKGFENIMLETRLPENHNLPPAFMNPRNLGLPSPMAFLKTKHPKPQVTISFPLHISYPIFHSFIHRYGFDFDFDLPFFLLLLRRLAISSAFIGTYGVNYGRIADNIPRPESVVTLMKAAKIMNTRIFDADHEVLKAFKGSGIEITIGLGNEFLKDISVNEDRAMDWVKENVEPFVPGTRIVGVAVGNEVLGNANQELWEVLVPAVKNVHNALVRLRLADKVEVSSPHSAAVFATSYPPSAGAFKESFMPYMKPLLEFFSQIKSPFYINTYPFLAYISDPEHIDLNYALFKKNPGIYDEKTKLHYDNMFEAQIDASYAALEKAGFEKMEVIVSETGWASNGDPNEAAATVTNARTYNMNLRKRLLKKKGTPYRPKMVVKAYVFAMFNENLKPGPTSERNFGLFKADGSVSYRHLVYAIDVCDICCGSGADYIFMTTLNGKENRYMNRMTVSAFVSTIVFQSITLQAQTTYVFKDMGWTRPAIATVPANITLDSASNRYYGCILGTHGQDGQSPVRLSTTIAWSSLSAGGLTTPKSIPPPLKDKQERGGDDGGGGGDGGASVAIESWFSPAEVVVVPSRERKITVPETAMAAANTTTWELQTGGILLIFSSTRILKDRSDRC >KVI06031 pep supercontig:CcrdV1:scaffold_4913:23102:23725:1 gene:Ccrd_015628 transcript:KVI06031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIEKVHFNPNLLVLVLVLVLRIVLFLIRASLPHKYLKHLKMSKFPTSILNLDFQIMGMLNLDIFNALVKKVLGRSLIAAVQ >KVH96717 pep supercontig:CcrdV1:scaffold_4917:5148:15762:1 gene:Ccrd_001192 transcript:KVH96717 gene_biotype:protein_coding transcript_biotype:protein_coding description:HECT-like protein MSFRDSPAIDFVHQRFKRKLEDFDDDDLSSELTFVRMRKDDPNPNPLPSTASGEKGVCLKSVKPKLIEFLNMTAKNDVDRDHPAPHLNIFLSLCAPAALVMLYMSPHEGNKECAEELIRHFIDSSRDMLPKPIYSQCAPVVLEFCKLIGRTAAHDDPLYNLCRGSLASMVEDLRIGRSLRNYDNSSNKPVTNIAVEDIFPFVAELANLLSETLVSSMESLDSISPSPCDVNNFAAFLRPLRAAIKDQPDFGGLIPMPIRYSLPCYSDEIKLLYILFCEVFEKVQMCLDKMEGDLVMEETEDGGWDQYLAILKELRGIAKLYEGAEDFFWANLKRNKVSLSYLILRYATRDEDYKWILEHKELLDFESRRHLVMMLLPEMKDEYEELHEMLIDRSQLLAESFEYITRADPDMLRGGLFMEFKNEEATGPGVLREWFFLVCREIFNPQNALFVACPNDRRRFFPNPASKVDPLHLEYFNFAGRVIALALMHKVQVGILFDRAFFLQLGGTNIALEDIKDADPYLYSSCKKILDMDPLTVDQDALGLTFVREVEELGSMKVVELFADGKNIVVNSRNREEYVELLIQHRFVTSVAEQVNHFARGFADIVGEEKIQKLFFKSLELEDLDGMLHGSESPISVEDWKAHTEYNGYWETDPQIYWFWKIVGEMTAEQQKVLLLFWTSLKHLPVEGFEGLASKLYIYKSNDSLDLLPSSHTCFYRLCFPAYPSMGVMRERLNIITQDHVGCSFGIW >KVH96716 pep supercontig:CcrdV1:scaffold_4917:27675:35334:1 gene:Ccrd_001193 transcript:KVH96716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSKDQGSKIANNEENPSNNSETLVGSSVLPVVGEENGNPNRSSSVARTPFTNLSQVDADLALARTLQDQAYARALQDAEDQEMAARFLALTGINEMVTEEDDDIDDHGGNSQMRRGRTKFMMTNHLDLQEEPLRLQSVLHPPNVVYIEKLKEIEVKGNRMLLTSEFFFRSCNLLVPVGDDKSTLFMARSGDGDDGGCNSDVSVDGGEIMKMVVVRVVAVARDDNRDTWDDVDPDELSYEELLALGEVVGTESRGLSADSIASLRSVVYKTQNSHNGSSETCVICRLDYEDGDNLILLSCKHSYHSECITNWLQINKVCPVCSTEVSTSGSAS >KVH36997 pep supercontig:CcrdV1:scaffold_4919:25314:25846:1 gene:Ccrd_025804 transcript:KVH36997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVPHNSELDYPENHQVQVGFIHQYQYGSGIADLVPKVGSFENGSFSEMVNPFGNLNLECGVLHHLLVETKENALILNSTPLLMVKEKKEMMKKNRELMPIGGGKQMEKQAKENSIDSGGGGPTKDNNYIHVRAKRGQTTNSDSLAER >KVH89386 pep supercontig:CcrdV1:scaffold_492:57885:65007:-1 gene:Ccrd_008626 transcript:KVH89386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin, N-terminal MSQSNTTTSNNNRKRSSATCTSPPPSTTTTGGGGAHFSGMKKAKSQAVSCSLDNKNGFQHHQFDNNSSVDPSSMIEDPTENDAGRASSAGGFTANLSRKKATPPQPAKKLVIKLLKAKPTLPTNFEENTWAILKSAISAIFLKQPDPCDLEKLYQAVNDLCLHKMGGSLYQRIERECEAHISAALQSLVGQSEDLVVFLSLVQKTWQDFCDQMLMIRGIALYLDRTYVKQTPTVRSLWDMGLQLFRKHLSLASEVEHKTVFGLLKMIESESWQGDCIDMIVMLFDTNENNLSLGPTSVFKPNVWKVYSRRPKAH >KVH89382 pep supercontig:CcrdV1:scaffold_492:103510:111436:1 gene:Ccrd_008628 transcript:KVH89382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAELKVEEDDIGGRIEVITSLDNGAFIYLQRKTRTNGGYQKPEPLSIIYSMLSHPNTITHPRSHSLRQMYVLINWNHWQAEKELQFNKSRTLALKLSGIRYIEQRCLKLDHKVSQFIISSLKSLLDRLDSVYLHQIQQFRVLKSEVENLEELEREKDKYFALKVRDVDEFRARSEIFVAQCQRRVEELRDCVNELNSSFIQLQCSAGYSNPSDLAAAEMRKSELEAAKDNLDRRLASNYRTKAQLQKQLMSFLISQKEWRKSSNIMIWKDVRG >KVH89379 pep supercontig:CcrdV1:scaffold_492:221911:223793:1 gene:Ccrd_008632 transcript:KVH89379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVKLPQFKNDPVVKSCTTKLNKNHFTGIPVIDLSRPHDAKNLIVDACQIYGFFKVVNHGVPPLLVAELENEALGFFNMSPSEKNKYCPPNPLGYGSNKIGPNGDIGGLEYLLLASNNFPTNSKIFSLLAKRYVEEVRKLGCEILELMAEGLKIEPRSVLSRMLSDEKADTVMTNGRFRSVRHRVVADRLKSRVSMIYFGGPPLMEKISPLDSLMEPGEESLYDEFTWFEYKSCTYKTRLADDRLSFFYKPLHT >KVH89380 pep supercontig:CcrdV1:scaffold_492:200127:217780:-1 gene:Ccrd_008631 transcript:KVH89380 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLSSIAANLAQIGGSQEDFIAAYSRTLEVLISPQLLQNPSNFSELHKGSSSDLSFQVFFVSQTTMADEPSVTRWSFQDFKMFYDIKFGRKKEVVPKDAAANGQQTNGQASSNGNSSIATSNGSGHPNSTSELSIYEQYRQARENSTPNGVTSSDAEKPRKSLLPAFESAEMRTLAESLSRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGESRSEHESSRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARKAMFEELLPTVPEEETLPYDLLVEKTEGYSGSDIRLLCKEAAMQPLRRVMAILEEHDMLPDDELPKVGPIKPEDVQTALKNTRPSAHLHAPRYERFNSDYGSQILQ >KVH89381 pep supercontig:CcrdV1:scaffold_492:187085:191543:1 gene:Ccrd_008630 transcript:KVH89381 gene_biotype:protein_coding transcript_biotype:protein_coding description:CS domain-containing protein MANDLSLDLDELRHLQSIAKRPRVVSLISSEIRNLEKLSNDAASVVPAPAPAPAPAPVSTNLKVVSDPTLKYTTLGSFSWDQDTDKVKIYVFLEGVDQEKVQTEFNPMSVDVKFHDVQGKNYRCAIPKLNKEIIPEKCKVLVKPTKVIITLVKASKGNWLDLHFKEDKLKPSLDKERDPMAGIMDLMKNMYEEGDDEMKKTIAKAWTDARSGKAADPMKSYR >KVH89387 pep supercontig:CcrdV1:scaffold_492:72729:103751:-1 gene:Ccrd_008627 transcript:KVH89387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTFNSASIVVFFNLPERATLELKYQKLYEPLYSKDAFWHLRFEKYGKEILEDFNIKRGQADEENVE >KVH89384 pep supercontig:CcrdV1:scaffold_492:33665:33901:1 gene:Ccrd_008624 transcript:KVH89384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALTASLIAIVGLALGWITIEIACKPCLEQGREAIDRNLNPDYDPDDDDPTLPLNPNPLPSDHNVSADSSSTVAKIV >KVH89385 pep supercontig:CcrdV1:scaffold_492:42213:50092:-1 gene:Ccrd_008625 transcript:KVH89385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin, conserved site-containing protein MLMDGNRTQDLRRMYTLFSRVNALESLRQALSSYIRKTGQGIVMDEEKDKDMVFSLLEFKVSLDKIWEDSFSKNDVFCNTIKEAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVCLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQVGCVLCSCMVAILSMMFSSHSNHVNGQYVIQTVVLMLFNDAQKLSFQDIKDATSIEDKELRRTLQSLACGKIRVLQKIPKGREVDDNDSFMFNDGFTAPLYRIKVNAIQLKETVEENASTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPVDLKKRIESLIDREYLERDKSNPQIYNYLA >KVH89383 pep supercontig:CcrdV1:scaffold_492:112302:118297:-1 gene:Ccrd_008629 transcript:KVH89383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MPPVRLEEDEEVNYEAVTTAVKKALRLNRAIQAKDGHWPAENAGPMFFTPPLLIAMYISGAIDTHLTKEHKTEMIRYIYNHQNEDGGWGFYIEGHSTMIGSALSYVALRLLGEGPDDGNGAVDRARNWILDHGGAASIPSWGKTYLSVLGVYEWEGCNPLPPEFWLFPEALPYHPAKMWCYCRTTYMPMSYLYGRKFHGPITDLVLQLRQEIHPIPYDEINWNKQRHNCCTEDLYYPHSTVQDLLWDGLHYLSEPILKYWPFTKLRERGLKRAHHLARVPDYLWLAEDGMKMQSFGSQLWDCTLATQAIIASDMVEEYGDSLKKAHFHIKESQVKQNPAGDFTKMCRQFTKGSWTFSDQDQGEKADDERLYEAVNVLLYLQSPISGGFAIWEPPVPQPYLEMLNPSEIFADIVVEKDINYPSPSSLQTLAPWAQGERNRNFCGKSSSFSRGKATYGYWGICFLYGTFFTLGGLVSAGKTYNNSEAVAKAVNFFLSTQNEEGGWGESIRSCPSEVYTPLDGNRTNLVQTSWAMLGLMLGGQAERDPTPLHKAAKILINAQMDNGDFPQQEITGVYMKNCMLHYAEYRNIFPLWALGEYRKRVWVK >KVI08267 pep supercontig:CcrdV1:scaffold_4920:27911:28708:-1 gene:Ccrd_013363 transcript:KVI08267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MISQCCKNRGVRYPSPERSWKEDGLTEVDYDLLLSLLKKLSSSTLAEQKEAARALRSLTKRLPSFRAYFSESIESIPQLLTPLIEPEIDPDLHQDLITTLMNLSTHERNKQIVAKTPMVIPILLDALRSGRMETKSNAAATLFTLSSLSSNKSLIGKADALKPLIDVLEEGQSLAMKDVASTIFNLCIDDENKGHAVENGEVRVILKKIEERVHVDELHVILALLTSHYKAVVEMADLGAVSCLFRLIKETNCERNKEIVSRWFI >KVH98764 pep supercontig:CcrdV1:scaffold_4921:3358:17451:-1 gene:Ccrd_023009 transcript:KVH98764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MDAKDSTTASSTAAPSSTTAPPQYRRPPRLAEDTNDVKPSNQPPIAAQKPEPLSEPKQKKEQERKSAIAIPSKDPDALDSGGGISFLGGSKTARLNYGYASFKGKRASMEDFFETTVSEVDGQMVGFFGVFDGHGGSRTAEYLKNNLFRNLSGHPGFMKDTKTAIVEAFRRTDADYLSGENPQQRDAGSTASTAVMLGDRLLVANVGDSRVVACRAGSAIPLSIDHKPDRTDERERIEQAGGFIIWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEEEIDGVEFIIIASDGLWNVLSNKDAVGIVQEITDAEAACRKLVQESYARGSSDNITCIVVRDMSRVD >KVH91381 pep supercontig:CcrdV1:scaffold_4928:22729:26116:1 gene:Ccrd_006597 transcript:KVH91381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKLKDDKPIRPNDKSTKVVPMAIMFVVLCGFSFYLGGIFCSEKNGFVTEEVVKSVESSQKTVSTPRWMKYGRHRLTFMERHCPAAFDRKECLIPPPDGYKEPIRWPKSKNECWYRNVPYDWINKQKSNQHWLRKEGEKFYFPGGGTMFPNGVSAYVDLMQNLIPGMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVGEQKSDYEKLQELLTSMCFKLYNKKDDIAVWQKSSDNSCYKKLDTPDNYPPRCDDGTEPDSGWYTPLRPCVVVPDPKIKKLSLKSIAKWPERLNVAPERISDVRGGSDGAFKHDDSKWKSRVKHYKKLLPALGTNKIRNVMDMNTAYGGFAAALINDPLWVMNVVSSYAPNTLPVVYDRGLVGTFQDWCEAFSTYPRTYDLLHVDGLFTTESHRCEMKHVLLEMDRILRPNGYAIIRESSYFVDAIATIAKGMKWGCHKEATEYDIETEKVLICQKKLWYSKQSS >KVH36545 pep supercontig:CcrdV1:scaffold_4929:16809:18376:-1 gene:Ccrd_025805 transcript:KVH36545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVSNTPIESNYDRESELKAFDDSKSGVKGLVDAGLTKIPRFFHHPRPIINEPKSSTLQTQVDIPIIDLKETNARAEIVENVRYAAENWGFFQIINHGIPQRVLDEMIDGARGFHEMETEEKIKYYSRDYQKKFFYTSNFHLFTGDAASWNDTFLSVMAPQPPQPEELPPICRNIITEYSDQIMKLGFTLLELLSEALGLKPDHLKSLGCGQGLFVLGHYYPPCPEPELTFGANYHTDSGFLTIVLQDSLGGLQVLHQKQWVNVSPLPGALVLLTNDKFKSVHHRVLAQKGTPRISVAAFLRPFHEGIESIVYRPIKELVSEENPCVYKDASLDEFVRLRRNAEGLDGKSALAPFKLDP >KVH36546 pep supercontig:CcrdV1:scaffold_4929:30714:32110:1 gene:Ccrd_025806 transcript:KVH36546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MQTTMSNNIQEVNASIGSDYDRQTELKAFDDSKSGVKGLVDAGLLKIPRFFIHDPPIINEPNSSTTRTPIDIPVIDLKRTNVRAEIVENVAHASENWGFFQIINHGIPKTVLDEMIDGVRGFHEMETKEKIKYYSRDYQKLFFYVSNFHLFTGDAASWNDSFLSVLAPKPPQSEKLPPICSDILTEYSNHIMKLGFTLLELFSEALGLEPDHLEGMGCGQGLFLLGHYYPPCPQPELTLGTNSHTDAGFFTILVQDLLGGLQILHQNDWVDVSPLPGALVINIGDLLQASLCYHETHLSYAFVLLFKLTRIFFHTQLITNDKFKSVHHRVLAQKGRPRISVASFFRPFHEGIESIIYRPIKELVSEENPGVYRDTNLKEYVTLRSKAVGMNGSSALAPFKVESMEV >KVI11400 pep supercontig:CcrdV1:scaffold_493:211496:216861:-1 gene:Ccrd_010191 transcript:KVI11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARIRSRLSSSAASQPPSPMPNSRTTSRSDPAFNNYVDKLKNIPEFDLPDDLNRPTIADLEYRLIKSKDKKSIQEMLDSAAKYGVFKVSGHGISAEDLQDAFTEAEFCFGLLAERWSRDGDREEFAWSRSAMAVAERRREVKSEEQFQKFRKKMENVANKLEVIANDVTQIMGNNSAKQPRKKIKENETKMTLFKHSNSSLQPHTPRSSHTPRALDGSRRDSSAFAISLHIPTEAGEFRLLSEGGPYSFGTNPSTIVFTVGEQLEEWSYGEFRSAFGEINIEPDIQDEKGAFSIELKCSPSNLNDAVDKHDTISITDQIIFVVIVAILYKLFSFLLS >KVI11399 pep supercontig:CcrdV1:scaffold_493:55289:65938:1 gene:Ccrd_010190 transcript:KVI11399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin--acetyl-CoA-carboxylase ligase MLINSLRTSFHCFLDLQRTFSFTRPFQKKIFNLSLSVATASAKMESQPPCLLVLNGKSASEQELAKSLKENSCLRLADDVKLLTFLQPEMERQLEEDEFNIDTYMGSLMTTCFGRILLWSPRLPSTQDVVSLNYCEIPVGSVCIADVQFKGRGRSQNVWESPKGGLLFSFTIQMEDGRVVPLVQYIGIPPLDVRIKWPNDLYLDGLKVGGILCTSTYRSKKFNVSAGVGLNVDNDKPTTSLNAALRKLTSGYRLRREDIASAFFNKFEYLFHILINQGFQPLEELYYKAWLHSGQRIVVQEKNEDQDLLIENVVTVQGLTPSGYLLAIADSGEMCELHPDGNSF >KVI08077 pep supercontig:CcrdV1:scaffold_4931:23996:32471:-1 gene:Ccrd_013555 transcript:KVI08077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKALSSTQVLQENHTTDPDAVISLTFTHRALSDVSCLAQFKKLERLDLTFNNLSSLEVICSHLNKYSSMEKRRLRGLKPCLNLKWLSVKQNKLRSLKGVEGLACLTVLNAGSNMLQSMEEVKSLVRLRALILNDNEIVSICRTDQMKELNTLVLSRNPIRKIGENLSKANSITKLSLSNCKIECIGSSIKSCTELRELRLAHNEIRTLPSELARSTKIQNLDLGNNLIMRWSDLKILSSLANLKNLNLVGNPVAEKDVLSKKIKKLVPSIQIFNAKPIDKVTKNVIDDNPSTNASVDIAMKKEKTKKPKLNNKNASDDEENTHSTDPNSEKKSKRKSRDLKDGFVTEKETIKRSKKAIHDEETVHLENNENRNEKREEGDTIEKKKKRVKSKARGSSAVQLLSPEAEFGLGGPSAWDLE >KVH36188 pep supercontig:CcrdV1:scaffold_4932:31347:47555:-1 gene:Ccrd_025807 transcript:KVH36188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF647 MKFDRPSNSATSQTLTATTSTTSQDALLLVRETLRISANLASSPPPSVVAPSQTRSQEATSFGLVEDQFVDASLRLICYEEIDGRRFKYLAHNDGKKFKTGSNSIRAVSLQSRQAPADELMSFIRSYVVPEGFPDSVSPSYVPYMTWRALKHFFGGAMGVFTTQALLHSVGVSKNQATSGAVAINWIIKDGSGRIGKMLFSRQGKKFDYDLKQLRLSGDLLMELGAGVELATAAAPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNVADLLGTGLSIIIAKRNPSLFTTFALLSCGYLFSSYREVKSVVLHTLNRARFTVAVESFLKTGRVPTLHEGNMMETVFNFPWCKDRPIILGSRFKDAFQDANSFYDVEPVFEKERYVVAYNPSKGNIYAVLKDQAKSDDILKAAFHAHVLLHIIRTSKHSQITSSDDSALLPTSADLQAHVAESYTMVSALYAPFKNKAKEQGWVMSDSLLNPGRARICEQVK >KVI03160 pep supercontig:CcrdV1:scaffold_4933:19640:34697:-1 gene:Ccrd_018545 transcript:KVI03160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MGGRGRSRRTQRKHFRDGRENVWKNSKSDPQQEENGNNTNKPTHWQPFAVENAFFDEYYKEQGIVRAEEWDSFIEYLRRPLPAAFRINSSSQFCIDIRSQLEDEFMKSLQAEGTDGNEAEGIKPLPWYPDNFAWQSSFSRMQLRKNKNLERFHEFLKQENEIGNLTRQEAVSMVPPLFLDVHQDHFVLDMCAAPGSKTFQLLEMIHRTSEVESLPSGMVIANDVDVQRCNLLIHQTKRMCTANLVVTNHEAQHFPSCHLRKNRVNASELGMTELLFDRVLCDVPCSGDGTLRKAPDIWRKWSVGMANGVHCLQLQIAMRGLALLKIGGRMVYSTCSMNPVENEAVVSEILRRCGDSVELVDVSTELPQLICRPGLKSWKVRDKSMWLASHKDACKHGRTGIVPSMFPSGQAHIDASDIDQKITPGEICDDGNLGNSSNGDDEGEPNDVLVTEVSSLPLERCMRIVPHDQNTGAFFIAVLHKISPLPVFQTKSNKNPRQPHSDNDEKPKQSEDGEEKDNNGMDVDVLDVTNEESVEATAADACVLYNESDDALLDSNPSKKLKGTEPEQVQTPNKVSTRAEKANDKRKLQIQGKWFGVDPVVFFKDDAILNGIKEFYGIPESFPFSNRLITRNSDTNHVKRIYYTSESVKNVVELNFLAGEQLKITSIGLKIISSEGLPLLLPHMTKQIACASPVDFKHLLQYKSIKFPDFVDNGFREKIASVSLGCCVVVLNRGNEGSSDPPKVDKSTIAIGCWKGRSSLSVMVTAIDCQELLERLLVRMETEKGSCLPENKPVSESEVNEDSETIIG >KVI07581 pep supercontig:CcrdV1:scaffold_4934:18595:21030:1 gene:Ccrd_014058 transcript:KVI07581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily AIKVEQLPVPLAAPKRKRVSQGEKKGSGYLEIISSMENEANAKPLGIRFLEYTKKSNLSFKTHQAIVLIITFLAYTTYHATRKTTSIIKSSLDPQSSLLSFLQTSKTLGSGWTPFNGANGTQLLGDLDLAFLFLYAIGMFFSGHIGDRINLRIFLTIGMLGTGVFTSLFGVGYWANIHIFYYYLIVQMVAGLFQSTGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSMGNISGSLMASYFLNYGWGWSMVVPGFIITFVGLLVFLLLPVDPESVQVDRDENKPNKMGLDITKPLLNNTTKKESPVGFMEAWKIPGVAPYACCLFFAKLVAYTFLYWLPFYISHTAIDGEYLSNQAAGNLSTLFDVGGVVGGILAGHISDRLNARAITAATFMYCAIPALYLYRNYGHISVTINVVLMLVTGMFINGPYALITTAVSTDLGTHRSLRGNSRALATVTAIIDGTGSIGAAIGPLLTGYISNQSWTAVFTMLMVAAFVAGLFLTRLVVAEISTKWQDPRRGLTAGISRSPVVEQV >KVI07582 pep supercontig:CcrdV1:scaffold_4934:30825:31547:-1 gene:Ccrd_014059 transcript:KVI07582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIKSSFSFMLGAVCGVYIAQNYNVPNIHKLADYAVLMAKQMEESRDSTLHPRSVEFYIWKISRLLICLALELVVRKKKK >KVH91124 pep supercontig:CcrdV1:scaffold_494:128164:142819:1 gene:Ccrd_006857 transcript:KVH91124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med12 MSFKVYPAIAFSSLIETPSLSNPNNVSSFKNQQRVLDFMMQNMSGEMQRYHATNCTSAVNNSAVGGSLGRDTSRAESSALPANFPLNRRPSQLAPYKLRCEKDPLNSRLPPPDFHPPTTNCPEETLTKDYVLGGYRETVEGLEESREITLSQIPTFTKPVIIKCKEAIRKYHRAINESRAQKRKAGQVYGVPLAGNLLSKSGVFPEQKATGEDFRKKWIEGLSQQHKRLRSLADHVPQGYRRKSPFEVLIRNNVPLLRATWFIKVTYLNLVQAISLVSSWVRPGSSSTSSGSLDKTRFSRSDQWTKDVIEYLQYLLDEFVSKNNSHSTMHSKDRSPQFAYAGSMQHKSDSVMALVDGEEPSLHFKWWYVVRIIQWHHAEGLLLPSLIIDWVIFKALFNHKDLDIRSWIKQMLMPLHRALLKNIEKELLGILQLLLPIIYGVIETIVLSQNYVRTLVGIAVRFIQEPSPGGSDLVDNSRRAYTISAMVEILRYLILAVPDTFVALNCFPLPSCVVSSAANDGSLITRVSGEGGKLKGGSLEAACMLNDKGLEVQGRSLSIDSVVSSIQKCAVNLARAASPGHPGHNVAKAANELDRALIHGDITIAYKCMFEDFCDEAVDKRWIAEVSSYLRSSLKWLGAMRSSFVCSIFFVCEWATCDFRDFRTSPPHGVKFSGKRDFSQIYVATRLLEMKMRNMRSSRKSGRTSAVDVSMKHHGLANNIEVESKSKVAHKKIKDLSQFFQSPGPLHDIIVCWLDQHQTQNGEGFKRVQLLITELIRSGIFYPQAYVRELIVSGIMGKNDSMVDMDRRRRHYKLLKHLPGPYIQDALEEAQIAEVSLISEAMHIYSNERRLLLHELLDHPKNIIIANSSLQKQKNHQKFGKDGSLAPSRDQWKIPSPASDSLSSKNVNRTSKLEELMTSISVLLHFPNSNSTTAGNGFDESQAVKRPIGPMVIRSDPGEGTPGCEECRRAKRQKVIEEKSPYLQGQSLNLSDDEDIWWVRKGLKSLESFRADPPIKPVKQASRGRQKIVRKTQSLAQLAAARIEGSQGASTSHVCDSRVSCPHHRNGSDNDVPKTTDSVKTSHSGDISSIGKILKRMPLDEKRAIVVWLMGIVKQLVDEAGKITETVPKVGQYGRSFPPVDDRGLARWKLGEDELSTILYLMDVCDDLVNAARFLVWLLPKVPINPIPTVHGGRNVMIVPRNVENQLCAVAEAYILSSLRRYENILAAADLIPETLSAMMHRASVVMASNGRVSGSAALVFSRQMLKKYGHVVSVVEWVKNFKSTSDKRLVMELESGRSSDGEFGFPLGVPAGVQDFDEFLRQKISGVRISRVGLGMRDTVQRLVDEAIPSFYGQDKKSFAGGSMKRGTVEKSDDGYQIAQQVVKGLLDCMRQTGGAAQEGDPTLVAFAVSAIVSNVGQVIAKIPDITANTTASSLNFAKQILRIHLTSLSLLKDALGERQSRVFEIALATEASSALAQVFSPAKASRGQLQLSPESRDSNAIDNPNSAGKTTFSKAAKTTGAISALVVGAVLQGIASLERMVTVFRLKEGLNVIQYVRSTRSNSNGNVRSIGDLKMDSLVEVSVHWFRVLVGNCRSVSEGFIVELLGESSIVALSRIQRMLPINLVFPPAFAIFGFLVWRRFILNASVVPRDNIPQLFQSLTLAIGDAIKHLPFRDVCLRECATLYDIVAADSTDSEFAMMLDLNGPDPHSKLTAFVPLRARIFLNAIIDCQLPLEIVNQDDGNWASGHSELKALHVENETKLLDKLVHILDTLQPAKFHWQWVELRLLLNEQAVIEKVDSRDISLAEALRSFSPNNDNGGTSENENNFIQMVLTRLLGIKRKFEMASLEEGEVVDDGTDSKRHSRTFTPVSDVEAFIVGQQHVTEKALVELLLPCIDQSSDDSRITFASDLIKQMNEIEQQISTVTHGGAKQAGTVASGIEGPVSKVNTRKGMRGGSPGLARRPATPVELMLPSPSALRASMESSVRNMRHMLASVVLRLLGSRVVNEDADLSNPASRFSVKREVESMIESSATVDLGESLFDRLLLVLHALLSSSQPYWLRSKFPSKSTAEGTRDSTLYDREVAESLQNELDGMQLPDTIRWRIQTAMPFVFPSVRFSISCQPPSVPLSAISSLQPPTISVPGPHLRSNPSRTTSNGPGKATKPVFSSQPDSDMEIDPWTLLEDGAGSGPSSINAAAIAGSDHANLKASSWLKGAVRVRRMDLTYIGAIDDDS >KVH91128 pep supercontig:CcrdV1:scaffold_494:190125:192637:1 gene:Ccrd_006861 transcript:KVH91128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNNLSLRKKQHEFELRREQEEKEKKAKKLQAKKNKMKVDGSASKNKNKKGSGGFTVGKKKLKTRMTPLAKAKAAQAMEIDN >KVH91130 pep supercontig:CcrdV1:scaffold_494:209786:210310:-1 gene:Ccrd_006863 transcript:KVH91130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MKQSPIIFPILFCILITLFLSSTEAQSCNSNEKLAGKNSLLGRLNDSDRCKNGKFSVASKGSPLVVGNTNSIMTINSFEKGGDGGQPSECDGKYHSDDTLIVALSSKWYNHGQRCFKLINIYYNDKSMQATVVDECDSNKGCRDDIVDASKAVWKALZVPKDEFGETTVTWSDA >KVH91120 pep supercontig:CcrdV1:scaffold_494:162832:165822:-1 gene:Ccrd_006859 transcript:KVH91120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRSPFQTLSSSSFSSSSSSPFQFTPKTSLKFPSKPSQILCSQTPNPRSPPKSITFISILRTIPDWADGIKERRMKQSRPLYKHEDWVRHRSSLRHIRHLLSSLNSRVILSLVPPVIAFTSVATVVASYNTAVLWHLLPEIFPVLRASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWSKVISGTNDFARQVIVSVEKDSSLKTALLQYIVAFPVALKCHLIYESDIGRDLEDLLDDIDLEIVLSIGVCEQIMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCNWIVVPATFVSAASLFCIEE >KVH91132 pep supercontig:CcrdV1:scaffold_494:29805:43082:1 gene:Ccrd_006853 transcript:KVH91132 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MSGSDISKRQMKKSYIWLQTSDGSIEQVEQDMILFSSFIHHMMHAGMGSSKTRPIALPSSVTPIILGVILGYFRFHRLPGRSDLERKFFDEKFFRMETRRLYELTASAYTLQMAPLVELCCDALARQIEGKSIEEIRQALGLPDDTTEEERWKTIKIAPNDPQVRLLNKLHAKKMKEKEKDEAPHADHRSIDELLSFINGENGGMKPVEMQLTGLALGVLQSSMLLNV >KVH91122 pep supercontig:CcrdV1:scaffold_494:15227:20297:-1 gene:Ccrd_006852 transcript:KVH91122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MSLPTPEFGDKAFPPISKCEFSTISHRSVAADLDGTLLKASSAFPYYMLVAIEAGSLLRGLVLLLCFPIIAIAYIFVSEAVAIKMLIFISFSGLKVRDIELASRAVLPRFYAADVRSDSFEVFDRCQRKVVVTANPTVMVDAFVKDYLGGEKVLGTEIEVNPKTKKATGFVVEPGVLVGKWKKLAILKEFGDESPDIGLGDRKSDHDFLSVCKEGYMVPKDESAAIVSPDRLKTRLIFHDGRLVHPPTPINALITYIWLPFGFILSLLRVYFNLPLPERIVRYTYGMLGIKLVIRGNPPPPPSPGTPGNLYVCNHRTALDPIIIAIALGRKPFCVTYSVSKLSRFLSPIPAIALTRDREADAARIKALLQKGDLVVCPEGTTCREPFLLRFSALFAELSDRIVPVAVNLKQNMFHGTTVRGVKFWDPYFYFMNPRPTYEVTFLERLPEEMTVKGGGRSSIEVANHVQKVLGAVLGFECTNLTRKDKYQLLGGNDGKVESMYGKK >KVH91127 pep supercontig:CcrdV1:scaffold_494:168759:180410:-1 gene:Ccrd_006860 transcript:KVH91127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGSLCTKLIPCCLASPEDSSVLEDPNVGNKDEEASDPTAFKEFTLDQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQMRIAVKRFNRSAWPDSRQFMEEAKSVGQLRNVRLANLLGCCCQDDERLLVAEYMPNNTLAKHLFHWESQPMKWAMRLRVVLHLAEALEYCTSKGRALYHDLNAYRVLFDEALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSVVSALVSLQKETEVPSYVLMGIQTTSSFSPRSPLGEACLRMDLTAMHEVMEKLSYKDDEGQTTELSFQMWTDQMQESLNSKKKGDTAFRHKEFSTAIECYTQFIEYGPMVSPTVYARRSLSYLMNDMPQEALGDAMQAQVISPIWHIASYLQAASLFALKMETEANVALKEAATLEAKKNGTTINGQ >KVH91121 pep supercontig:CcrdV1:scaffold_494:6807:14032:1 gene:Ccrd_006851 transcript:KVH91121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEGEETVPRGADWEVVSLTASTYAAAPGPDMGEKPKGGEKGDVVDTNKSETSNALFMSGHFVFPPSQHENLPLEPENTEILDEQGGEGEVSESIKEEGEKSNDKDEDNWNIGKLTESDDFPAIPFFDEKGNRLSISDTDFADRTTLAGKEQSIYDSAKLHSFQNQPIMGEAIIDDEESSDSTLSSDVSNFPKHTEEDNYNGSGLPYAAWWKKQAASLYAHAKEANTFWSIFIAAAVMGFVILGQQFQQERWQVLHHKWHSGVYDEKFGRLVSPLSRFKDAIVGGNRRGFSIRGSTSGDR >KVH91131 pep supercontig:CcrdV1:scaffold_494:151179:158899:-1 gene:Ccrd_006858 transcript:KVH91131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MKKLEDLEEISDLGFDSSPISSVDHDQSPTVDSSCSVNSFAYYRTNSETSAFSELLTDDSNSCSSEIQSPVCWPATGRSPFRPALSRFGRIRHNHKLQKDDKLDDQKPTDLELEMTRERFSKLLLGEDMSGNGKGVSTAVTISNAITNLYVSMFGQHQRLEPLDPDKKTMWKREMTCLLSETLESFQETEFWYEEQGSMLGNSRTGSFRKVPQPQRKEEKWWLPRPCVSTGGLSDTAKKHLRQKRDSANQIHKAAMAINSSILSDMEIPRTYTASLPKSGRTSVGDKIYKYMTSTNTFSPEYLLDCLNISSEYEALELADGIEASMFTWRQKACLNYPKSSWEMIKEHSEDDKNVDVGQAILESYSRVLEGLAFNIVSWIEDVLFVDKSIRNQELGTSSLIN >KVH91129 pep supercontig:CcrdV1:scaffold_494:196689:208331:1 gene:Ccrd_006862 transcript:KVH91129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSRFSSTGFLIFVMFCTTAVTPASLFPAELMDQYEKVEKIGEGTYGVVYKARDRITNKTIALKKIRLEQEDEGVPSTAIREISLLKEMEHENIVRLHDVVHSDKRLYLVFEYLDLDLKKHMDSCPEFSKDPHLVKMFLYQMLRGIAYCHSHRVLHRDLKPQNLLIDHRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSHQYSTPVDVWSIGCIFAEMVNRCPLFPGDSEIDELFKIFKIMGTPKEDTWPGVTSLPDYKSTFPKWPPQDLATMVPNLDKAGLDLLHKMLNLDPCKRITARAALAHEYFKDIGSVP >KVH91123 pep supercontig:CcrdV1:scaffold_494:57821:72220:-1 gene:Ccrd_006855 transcript:KVH91123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAVVNSVSAQGAQRIDQIRYPVVDNSEIMEIRGEIVEETSPREVEDKIYVAVGKDLKESQSILRWALHNSGGRQICILHVHQPAEKIPIMGTKFRINQLEAHQVKTFHDEERQTMHQLIDKYKQICREVGVSVEVQHIEMESIEKGIVELLLQHNSKKAIYVRLHAAASCQIQFICKGKIIFTRQSRLDGLGASISSPSLLPDTNSDSEQSSLRSKSVGGAQNTRLRLNSLTPEYHRGMSDNRGIRRPVITLPNDNLESTPVSRLNAGRASDEWSVISQRSPSIGSRLSTCSSDLVDDSALIPYATIGSGEIRSDYSAVPGFLEDIHRPSPPSVLEGGMNDELYDQLERAMAEAGNSRRDAFEESIRRRKAEKDAMEAKRRVKRSESLYANELRQRREIDEELEKTKEEHEDIKKELDEVAEELRMALEQKSFLESQVADFDQTIQELEQKMFSAVELLQNYKKERDELQVECDDALRSLEELREKQAEESSSSSTSRLYTEFSFSEIKDATCNFDPSLKIGEGGYGSIFRGFLRHTDVAIKMLNSHSLQGSSEFHQEYLSGGSLEDRLNCKDNTPPLSWQNRIRIAAELCSVLIFLHSCDIVHGDLKPANLLLDKNLVSKLSDFGICRVLSQTEFSSNYTSLCCRTSPKGTFVYMDPEFISTGELTSKSDTLLTGKSPLGLTKEVQHALNKENLKNILDPTAGDWPFVQAQQLALLAMNCCDVVRENRPDLASEVWKVLEPMRVSCGLSSFRFGAEGRCQIPHYFNCPIFQEMMQDPVVAADGYTYEAEALRGWLDSGHNTSPMTNLELANSNLVPNRALKSAIQEWLQQS >KVH91125 pep supercontig:CcrdV1:scaffold_494:118545:119955:1 gene:Ccrd_006856 transcript:KVH91125 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MGSSFAKTIEEPESNASDKSGKPSPISVLEPLFSDNEISPASTVSRPIAAAIQPLCIRFEDQEMCSRNCMENEESAFEYVEAVLLASDLNWDEFERRWLSSVQILDSSLFDEVEIFSSRPCHDQRLLFDSTNEILKEVCDCYLNFYLRLPFIKQNIQPVPKGENLVNEVWERIELHLKNNYPLSLDRLVKKDLGISKTWMDLRSHSREIVFEIDERIFEDVMDDTLLSLVNDCIHNES >KVH91126 pep supercontig:CcrdV1:scaffold_494:218762:229792:1 gene:Ccrd_006864 transcript:KVH91126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKKLETLILSCCIQLCMFPEIQTNMDNMVELYLDNTGIKVVPSSFVKYCTNLLSLHLKYCKTLESIEGNFHHLKHLKKFSVAGCKKLKIPAEGLCDVTCLEVLDLSCISFKNLHPGIVSMKFLGFPPSLRRLGLGSCDWINGDFSSVFCELSNLQVLNLQQNDFSRLRCSLLQLCSLKVLNLSYCSDLVELPDLPSSIAVLQAYGCKKLKLVDLPTDLKWLWRISLPMSCILGDVERKVQSMLQGNSIYDNSISLSFHGDKIRLEDFARRRLMLELPRNWYNEFSGFLICVKVEGIPGEIHVITIEDVMGRENEDVLEVSDGTPKEAWDNRCMCYIYVSFGSLRHTLWWKRKSTHTTISFSIQKGSYVKVELVPRSKGDPIERVKHTTNSSEFWDREPIEITHDSKSCIKIDWCPNDMQ >KVH91133 pep supercontig:CcrdV1:scaffold_494:48096:55518:-1 gene:Ccrd_006854 transcript:KVH91133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEIETPTSTTASGSENPPNPSSSSKSDPKQLPPFYGFAAPNGELPMFPIMYPTLVPGIYPPQQGQEQMDHGAGIYAVPTFPNSFMGPIAGFPSNHLIPFTYNVPTSGPSPSEAGTGGEEQGQVGQQQQQQGGQQRQVVVRRFQIAIHLDLLLILKLAAVIFLFNQDGSRKRLVLLIFFASLIYLYQTGALAPLIRWLSQGMQRAAAPPQQPRPAARADNAPAAARQENENAPLVAGAAENENRVAGDVNQGENEREHGGELNGNNRWWGIVKEIQLIVFGFITSLLPGFHNID >KVH35999 pep supercontig:CcrdV1:scaffold_4943:8438:10098:1 gene:Ccrd_025808 transcript:KVH35999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MARRGERSKRGRVEMELQRGEMAIPINSRYGGGHGHGHMIHHESAPHNHIVPSSAAAVQQQPQQIPITNGPTIIVPPPSSSSMEETVIPNSNNSHRMVVVRYRECLKNHAASMGGSATDGCGEFMPSGEEGTLEALTCSACNCHRNFHRKEIEGEAHQLSYDYNYHSAPPHLNRSIGHGNGRKVIFQGHHHRGILGTESFGYNHNNAGMLVPSRAPPRMIMSYNMGIESLPSESDEQEDGGGGGGGGGGGGGGGGDDGGGFMSRHPQPHQMVMKKRFRTKFTQEQKDKMLSFAEKVGWKFQKQQESVVQQFCQELGIKRRVLKVWMHNNKHSLAKKINPIPTDPQNQINNN >KVH35859 pep supercontig:CcrdV1:scaffold_4944:13162:14735:1 gene:Ccrd_025809 transcript:KVH35859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKRVHEKWSCPDMEFLKVYFLCSRP >KVH35857 pep supercontig:CcrdV1:scaffold_4945:14020:22456:1 gene:Ccrd_025811 transcript:KVH35857 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease, alpha-helical arch, N-terminal MMMMDVLEARTVMGMCMAAYNPTTLRSAPSFWCTNHRTKPSAAFIVSSSLRAEGRTAGGLLLQKHDRNCEVKRDDDNDDSIMKKTKKKRIFFLDVNPICYDGSTPSLHSFAHWISLFFSQVSLTDPVIAGKRARLFFKYNLFWIFKVVDGEGAHEYRRQMLPSYKAHRRKFSPWVSSSRISAKVPVRRSHQLISDTLTKCNVPVVKIPAHEADDVVATLVEQVLEKGYRVVIASPDKDFKQLICQDVQLVMPLPELRRWSFYTLEHYIAQYKCHPLSDLSLRCIVGDEADGVPGIQHLVPGFGMKTALKLLKKHGSLENLLNAAAVRTVGKPYVQDALTKHASYFRRNYEVLSLRRDVNIRLQENWLHERRMENDLETLSNFLDLLRRTNNSEW >KVH35856 pep supercontig:CcrdV1:scaffold_4945:6034:16809:-1 gene:Ccrd_025810 transcript:KVH35856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVYIILLEQPKSKSIKVTHQIKQQAQEKIDSLVIANTEFRSCFCNNNPPAVRPSARKEEETIKAALGLVLWFVHQKEGAERRVVGIFFLEFLFILEKRKTLPVWHQKEEFLKALKDNQTLILVGETGSGKTTQIPQFVLEAVDVESADRRKKFMVGCNQPRRVAAMSVSRQVAEEMDVTIGEEVGYIIHFEDCSSARTVLKDLSDLGVIDFDFPQFFIRF >KVH96805 pep supercontig:CcrdV1:scaffold_4946:32050:34272:1 gene:Ccrd_001102 transcript:KVH96805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELNPLFSVLTVLGFILVRILVVVGQPQVPCYFIFGDSLVDNGNNNELRTTAKANYPPYGVDFPEGVSGQRLGFADFIPPYANVTDQEIDIGVNYGSGSAGIRDESGRNLTLYKLGARKVAVIGLGLIGCTPAEIANFGTDGKPCVDSINDAVKRFNDRLKPLVDGLNNDNSDARFTYINITSISTPQEGVSLPNVPCCPVREDGQCVPNSTPCPVRDLSVFFDGYHPTEIANIGLATRSYNALSPMDASPYDINRLAQL >KVH96806 pep supercontig:CcrdV1:scaffold_4946:36258:38101:1 gene:Ccrd_001103 transcript:KVH96806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MARDLSPVFSVLIILGFILVRTLVVAGQPQVPCYFIFGDSLVDSGNNNGLNTTARANYPPYGIDFVPGVTGRFTNGRTIADVLMISSLHSPKHMVQPVHIQ >KVH96807 pep supercontig:CcrdV1:scaffold_4946:9217:11441:1 gene:Ccrd_001101 transcript:KVH96807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELFLVLIVLELIHLLTLVVDSEPQVPCYFLFGDSLVDSGNNNGFRTAAKANYPPYGIDFPQGVSGRFTNGRTYADIIGQFLGFPNFIPPYATVTTGVNYGSASASAGIREESGSHLTLYKLGARKIAVFGLAHIGCTPTVVTRFDTEGKPCIESVNDAVTRFNDGLKPLVDGLNRDNSEARFTFINFTSILELQGGAPLPNFPCCQVREDGQCIPNSIPCPIRASSIFFDGLHPMAIATRPYNALSPMDASPYDINHLAQL >KVH35853 pep supercontig:CcrdV1:scaffold_4947:10712:17951:-1 gene:Ccrd_025812 transcript:KVH35853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MYIHERGDSSHFGIMEQPPKKKMVPVSTSGFQPDYDRKAELTAFDETKAGVKGLVDAGITEVPQIFILPSPENLNSDDQPSRSELILPTIDLAGINEDPERRKEVIEKVKDALESWGFFQMVNHGIPISMLEEMIKGVIRFHEQESEVKKQWYTRDMSGKSRVIYNSNFDLYVAPVTNWRDSLYCTMAPNPPQPHELPPSCRDILVEYSSQVMKLGDCVFELISEALGLNPNHLSDMGCTEGLAILSHYYPSCPQPDLTIGTPNHTDNDFITILLQDQIGGLQVFYQNQWTHVPPIPRALVLITNDKFVSSQHKVLANKVGPRVSVASFFSTGSIQTSKVFEPIKELLLKDNPAKYRATTVKEYVEYYNKKGLDGRSGLLHYQI >KVH88758 pep supercontig:CcrdV1:scaffold_495:216505:218930:-1 gene:Ccrd_025821 transcript:KVH88758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MMHLKETELCLGLPGGGGCEAETLKVTGKRGFSETVDLMLNLQANDQSSSSTDLMNDKKLQNSSKNNKDVIKPPAKAQVVGWPPVRNHRKNLMAQKSHNEETEKVVAPTSTGGSGAAAFVKVSMDGAPYLRKVDLKVYESYQQLSDALAKMFSSFTMGDYGSQGMIDFMNESKLMDLLNSSEYVPSYEDKDGDWMLVGDVPWQMFVDSCKRLRIMKGSDAIGLAPRAMEKCKSRC >KVH88752 pep supercontig:CcrdV1:scaffold_495:36480:51233:-1 gene:Ccrd_025816 transcript:KVH88752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPTRLDSFHSSVYKLHVSTRLHSLRYSSYNPFNKHKVIASYESNSANLNRTPPKKSKIVLRNNKNEVGSIAKSPISQSKPDSGGWLEKWDDTHKQNWPKQAETVFNYRYRDDHENSDYGNSYDSDSDGVHASSSGSTMERIVEKLKKFGYVDDVKEKKKIEIEKGSIEDIFQIEEGVLPNARGGFSPESPLGVEDVFRGSNGKVRFPWEKPSPEDEENRNSVRQKSKTCVAELTLPEAELRRLRNLAYRLKNKTRITGAGVTREMVALIKDKWKSAEVVKLKIEGSGALNMRRMHEILERRTGGLVIWRSGSTVALYRGVGYIDRSIRQKKREYNKEISERSSFSDPDSTTGSMDGLQGHEDNEGTESTAELDYEKEVDKLLEGLGPRYTDWPGCDPLPVDADLLPGTVHGYQPPFRILPYGLWERSSIAKVALKRGVQLTTSERMAEDIKKLTGGILLSRNKDFLVFYRGKDFLSPDVSEALLENERLAKSLQDQEEQARLRAATFLIPRVETQNHSGSAGTLSETLDANARWAKRLDGNHKQKVLQEAETLRQANLIRKLERKLRFVEAFLNPVDNPADPESLTDEERFMFRKLVENMHLHWKYRELVKIIIKTTDFEEVKNIALSLESESNGVLVSVDKVSKGYAIIVFRGKDYSRPSSLRPKNLLTKRKALERSIELQRHEALMNHISTLHTRIRKLQSEIEHVSTVRNEGDEELYDRLDATYSTEDEDSEKKYRIVVEDVLTDQLGDFTLYDK >KVH88757 pep supercontig:CcrdV1:scaffold_495:98897:106226:1 gene:Ccrd_025819 transcript:KVH88757 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase AroB GNGEVTRSRKACLHLPPPLQILHGFTDSSSSPTTSQPPSNYSMAAAATTTSYSTLSPKHNISVSTNNHHRPCKNVLGFISENSIPIRPLSLSWSRPAKVPMKVCATSAATPVIHTSKSSSGVAPTIVDVDLGDRSYPIYIGSGLLNQPDLLQRYCLFFFLSSRHVHGKKVLVVTNTTVAPLYLDKVVSALTVGNPNVTVESVILPDGEKYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGYAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGINHRLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGLAEVIKYGLIRDAPFFEWQEKNMQALMSSLVYVMLLCRDPDAFAYAIKRSCENKAEVVSQDEKESGLRATLNLGHTFGHVSFGLLNYVIFAQIPIFLAFSDCTFLSQAIETSYGYGHWLHGEAVMAVDMSYRLGWIDKSIVERVDDILKQAKLPTSPPEMMTIDMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGDYDRKALDETLRAFCKS >KVH88754 pep supercontig:CcrdV1:scaffold_495:17272:19317:-1 gene:Ccrd_025814 transcript:KVH88754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MDLDLQSCARLLQTITSNVVIKQGKQLHLLFLKRGVLPSSITLANRLLQMYARCGDLFDARKLFDEMPRRNCFTWNSMIEGYVKSGNKEEALHLFYSMPNKNSFTWNMIISGFVKASKLNVARKLFDEMPMKNGVAWNSMIHGYAENGHSVEALRLFKDLKSGCYGPCHVDIYVLATVFGACTDLLALQLGKTIHACIVVGGVKFDPVLGSSIVNMYGKCGDLDSASLVLRSLPYPDDFSLSSLISAYSNNGRVADAKRIFCIKNDPCVALWNSLISGYIVNDMAMEALILFGEMRRNGTKEDASTIAIILSACDCLGFLEYGTQVHAHTCKFGVTRDLVVASVLVDTYAKCGRPDSACELFPELNTYDTILLNSMINVYCTCGRIEDAIQVFQSIPSKSLISWNSMISGLSKNGYPTEALACFGELNTKGFHMDRFSLASVISTCATISSLELGKQLYARAIVIGLESDKVVSTALVDFYCKCGLIDDGRKLFDEMIQSDEASWNSMLMGYATNGYGIEALHLFNDMIKVGVMPTDITFTAVLSACNHCGLVEEGLKWFNAMKHKYSIDPGIEHYSCMIDLFARVGQLEEAIDFLIYMPFEADASMWSTILRGCLANGDTILGKKVAEKITMIDPKNPDAYVQLSSIFATSGDWGRSAQVRKLMIAKRMQKVPGTSWIDR >KVH88756 pep supercontig:CcrdV1:scaffold_495:79157:87364:1 gene:Ccrd_025818 transcript:KVH88756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MLQKAILVRLLDDFAAKKATKDLGYLVAVTTLNKIGEGKVREHSGDVLFPVSFTCLSFKVFRGEVIEGVVHKILKHGVFMRCGPIENLYLSNQKMSDYQYQFADNPCFLSLKTGSRIEKDVTVRCIVIGVKYMEAQKEFQAVVGLEGDYLGPI >KVH88755 pep supercontig:CcrdV1:scaffold_495:29774:38936:1 gene:Ccrd_025815 transcript:KVH88755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19A/S15e MADVEVDVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELEFLIDRFQRGLKRKPMALIKKLRKAKREAPAGEKPELVKTHLRNMIIVPEMIGSVIGVYNGKTFNQIEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFVVLSSNSTMADVEVDVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRFQRGLKRKPMALIKKLRKAKREAPAGEKPELVKTHLRNMIIVPEMIGSVIGVYNGKTFNQIEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KVH88759 pep supercontig:CcrdV1:scaffold_495:107809:110606:-1 gene:Ccrd_025820 transcript:KVH88759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSGLDSIRAAMAVFPGARXMEEGLAEAIDEGRIGPRDDSKNHTGRENPNWKQVFFATTTRRDVGGDGGGGGVLATTLLDHQSINHTLFNNFPTGNCSTNQRKRGIEYVMNQFMSNHHQQHSISSQSSGFASLLSEYLSNLIHQQRDEIEHYLQVQEEELRRKLAEKRQQHYFVLIRAAKESASQMIKGQGGEGVLQADLEARALQLSTEAQVWQAKARVQEAVAAALQAQLQRAIITILNAMYNDSQKFQVLTDNAF >KVH88751 pep supercontig:CcrdV1:scaffold_495:5634:11557:1 gene:Ccrd_025813 transcript:KVH88751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDGYSILLAANILAATTVGLIWAAEATLRRRRKSSSSKPEVVVPRLNLTGSGHVFRLEKFSDYVARQIGFRDGKECPELSKLASDYVRSSKAFNDAMYIYFSECFPNKTEVKLLSEKLVEELERCILGYFAFHWSHAPVMIEQVLSIGSDERKLKNMVLTATRKQRFDKVTKDLKMTRAFSTMVEEMKVIRSTTPKRGDGDGACTEVMEPVAHCDRSPVLLFMGGGMGAGKSTVLKEILKEPFWSEAAPNAVVVEADAFKETNVHQDSTDAASSLLVTALNEGRDVIMDGTLSWEPFVYQTIDMVRSVHKHRYRMGKGYKVSDDGTINETYWEKVENDEDEFKMKKPYKIELVGVVCDPYLAVTRGIRRAIAVKRAVRVNSQLKSHKSFANAFGKYCDLVDDAKLYCTNAIGVPPKLIGLKDRNSNLLVDPDQIKCLEILKEINDQADSICELYADPKTLLDLDSVWNEVVLNPTRIDLQCELKNVIERIEKSKP >KVH88753 pep supercontig:CcrdV1:scaffold_495:62546:63817:-1 gene:Ccrd_025817 transcript:KVH88753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEFCNIFRRQLLTFSVTLLLSMSPTTQQKLALHDLNALRQIMDTLTDVNNGGFFSTWNFTSPENPCSTFTGVTCSAINGQLRITSLFLGTGLSDSPGLSGTIFAPGIYDLTELTQLILYPGIVTGFIPSQLGHHLKNLRVLSLTNNRLTGTIPETISELRNLHTLDLSHNKLTGEIPPTLAAGLPDLKVLVLAYNDLAGEIPEFSNESQLIHLDLRNNMLSGMLPETMPATLRYLSLSSNGLWGPLQSVPSSYLVYLDLSMNHFSGPIPDSLFRSSLSSMYLQRNNLSGHLPPPPPSFESYGPGSTIDLSHNFLSGEIPDFLDGVETLFLNSNHFTGKVPKDYVENVMAGMMRTLYLQHNYITSFPMEKTGDVVLPDGVALCLSYNCMVPPAVGLTACPASAGGPVSRPSGQCSVFNSENSMG >KVH33513 pep supercontig:CcrdV1:scaffold_4951:25651:27375:1 gene:Ccrd_025822 transcript:KVH33513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase domain-containing protein ISGIERFKLFRTDLKRFRAILWRFSKTLHEPTGVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTAEQTPLSALYVSKLCLEDNLNSMLYAFNRQDFLLLAFTGSTETGKIVLGLAAQCNLKPNLVANLLSLCEDVNVDEAVDLAHLALFYN >KVH94631 pep supercontig:CcrdV1:scaffold_4953:7180:9950:1 gene:Ccrd_003301 transcript:KVH94631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MENNNSHIGLKSGHCGLTSIGCHKGMQATVPLFERQFFQAFRRTLSTSSPTQTLLNSRTFRFCTQATDTSESIQVGKEELKYEGRTVDGSQPTREGKEEEEEPKSLCGRIERLARGAPVGSAFQSWMGDGFPIHRGDIFLTINRLRKRKFNKRALEVMEWVIRERPYMPKELDYSYLLEFTAKLHGISQGEKLFSHIPLQFQDELLYNNLVIACLDKGMIRLSLAYMRKMRELGHSISYMVFNRLIILHSSPTRRKSIPKILTQMKADKVARHVSTFNILLKMEANQHNIEGLMKVFGDMNRTNVEPNEITYCIIATAHAVARLYTACETYVEAIEKAMTGNNWSTLDILIILYGYLQKPKDLERIWRTIQEQPHVKSKSYMLAIEALGKIGDISRAEDLWSEMKLKEELKSTEQFNSLISVYCRHGHVSKATGVYREMEKHGCKPNSITFRHLAAGCLKAGLVKEGLKTLELGMDVNTSMSVKRSTPWLETTYSMVEALAEDGNVENAEKLFDELKKANYARYTFVYNALIKCYVKAKIYDPNLLKRMILGGSRPDSETYSLLKVLEQFRR >KVH94632 pep supercontig:CcrdV1:scaffold_4953:36616:38350:-1 gene:Ccrd_003304 transcript:KVH94632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAIPTGLLFVIISLLSVADARIPGNYAGGAWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGACFEIKCTQDPRWCHAGSPSIFVTATNFCPPNFAQPSDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKSGGIRFTVNGFRYFNLVLISNVAGAGDIQKVWVKGTRTNWMSMSRNWGQNWQSNAVLIGQSLSFRVTASDRRTTTSWNIAPANWQFGQTFTGKNFRV >KVH94633 pep supercontig:CcrdV1:scaffold_4953:24047:29772:-1 gene:Ccrd_003303 transcript:KVH94633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFTNGDRRADGDDAVVSRSSARVSWARSLSVASSSVDTTRRSEFDADCNSRDFCDSVGFFEMLSQRRANDLRVFKFAELKSATKGFNRALMIGEGGFGCVYRGVVKAAETVAGGGNDTNGCLDVAIKQLNRNGFQACPFPSFFQGHKEWINEVNFLGVVNHPNLVKLVGYCAEDDERGIQRLLVYELMSNKSLEDHLLGRANSPLSWMTRLRIAQGAARGLAYLHEEMDFQLIFRDLKTSNILLDEDFNPKLSDFGLARQGPAAGLTHVSTVVVGTVGYAAPEYVQTGRLTAKSDVWSFGVVLYELITGRRAVERNLPRNEQKLLEWVKPYISDSKKFHLIVDPRLEGDYSLKSAHKLSSLANKCLTKNPKSRPKMSEVVEMLGNIIDDVLPPPEESEPETAEESEEGPDQFESGKEGGNYMRKVYEFKELVSLRNRSSGRLDWRCWMQGGR >KVH94630 pep supercontig:CcrdV1:scaffold_4953:20320:23373:1 gene:Ccrd_003302 transcript:KVH94630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSQPNAEIVAAEASLIPTRAYNGENLVEVKKETPSSQEEESQMGICLSARIKAESPYYTGVSSRNISTDANDISNSSCSKASSVPPTPRSEGEILQSTNLKSFSYSDLRTATRNFRPDSVLGEGGFGSVFKGWIDEQSFAAAKPGTGVVIAVKRLNQESFQGHREWLAEVNYLGQFSHPNLVHLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHSAETKVIYRDFKTSNVLLDSVCGVVRITMQSSLILGWQRMDQPAIKAMFQPGSWGRHLTTKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHSLVEWAKPYLAHKRKLFRVLDNRLEGQYTIDGAHAAANLSLRCISMDPRFRPNMDEVVKELEQLQDPKGMTRARRHSVNETQGRRRVSRDGGSSGRAGAYPRPSASAVYRK >KVH93605 pep supercontig:CcrdV1:scaffold_4954:32646:33041:-1 gene:Ccrd_004343 transcript:KVH93605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3511 MDDYRSKSYNYSDRDLQLERYNGGFGPKLPDFDRHPPRDFRSYSVSYASSYKLPQSNMDIVVANTENNFKLKRGKSTNGSISKSWSFADPEFQRKKRVAGYKVYSVEGKLKGSFRKSFRWLKDKYTHVIYG >KVH93606 pep supercontig:CcrdV1:scaffold_4954:7938:14194:1 gene:Ccrd_004342 transcript:KVH93606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase, beta-Grasp MAQCLAPSVQWQMRLTKNAMETSSMTSKMWNSLSLKQSKKGALKTSTKFRICASSNGTINRMEDLLNLDVTPFTDKIIAEYIWIGGSGTDVRSKSRTLPKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPQGEPIPTNKRAKAAEIFSDPKVVSEVPWFGIEQEYTLLQPDVKWPLDKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHIWCARYLLEGDWNGAGCHTNYSTLSMREEGGFEVIKKAILNLSLRHTEHISAYGEGNERRLTGKHETASITTFSWGVANRGCSIRVGRDTEKAGKGYLEDRRPASNMDPYTVTGLLAETTLLWAPTLEAEALAAQKLALNV >KVH33246 pep supercontig:CcrdV1:scaffold_4955:9391:36520:1 gene:Ccrd_025824 transcript:KVH33246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPSLAHLLYLRKLKLCYCNIVEVPDSIGGLSCLKYLYLDGNNFTSLPGSLSQLYHLHKLQLFGCKKLEMLPELPPNLNILFAPYCTSLYQHPPSDFNIQSSFAMFFKGCPKSFRNVSIESAVCMCQPPLDLNSSITSHSCRNQISFLQFMEFPSNIREIFGGQDIYHLDIKYHGNRIPQWFTDTRMGNHFQYDLPPNFCYNKLRGFGFCVVLTLKKYFHDHFKYNNIPNYHVDNFDGTSLVDHYPFVYPSTEISKSNIIWFCFQSWISSGWHEAKNFVTFSFEHGDDVEVKECGFRLVFDEDIEEKTNFSLIQELPTPTQKGGAIEMWRNNRRFYLSS >KVH33245 pep supercontig:CcrdV1:scaffold_4955:4808:5283:-1 gene:Ccrd_025823 transcript:KVH33245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERYASIFQKIEATKKRIEKIRRPSMARKRRDTDWEDFMIETLLFHQVKEEEIEKRHYNTLLDIHVFNYGYFGIFVVFVRVLT >KVI11401 pep supercontig:CcrdV1:scaffold_4959:34554:37825:-1 gene:Ccrd_010189 transcript:KVI11401 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting VVSLSLSLSLSLSLSLYIYIYICVWKSLTLKRANQAQRKVAREREREREREREMECRNLELTLNSANDLREVRRFFKMKVYAKVFIGGNKTMEKRTPVDKHGQTNPAWNYTMNYAVGESWVEHHGTMLVIKLYCKRKLGDRYIGEVHRSLKELYDYAYPIGGSAVVRFPLNLGSIESQGQLCFSYRFGEKVSIEKLMLAESIASFLLTGSGGTTPS >KVI03056 pep supercontig:CcrdV1:scaffold_496:88257:92029:-1 gene:Ccrd_018652 transcript:KVI03056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaK MASSTAQIHALGATSFVSTNNSPKTVFFGKGLSKSVSFSHQKSFLKLKKSRTNGPLRVVAEKVVGIDLGTTNSAVGAMEGGKPVIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYTVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAASFKKDEGIDLLKDKQALQRLTETAEKAKMELSTLTQANIRYLNQLEKSRVWYHILYFVLLIYSLFFLRSLPFITATADGPKHIDTTLTRAKFEELCSDLLDRLKRPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQEVVKSLTGKEPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPSDEVERMVSEAEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVEAKLGELREAITGGSTQTIKDAMAALNQEVMQLGQSLYNQPGGPGAGPTPPGAEPSESSSSSEKGPEGDVIDADFTDSK >KVI03051 pep supercontig:CcrdV1:scaffold_496:169455:173566:-1 gene:Ccrd_018657 transcript:KVI03051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MALVLHVGKNNKNAFKTLITAEYAGVEVKWADNFEMGVSNKAPEFLKMNPIGKVPVLETPDGPVFESNAIARYVARLKTDTSLFGSSPIEYGQVEQWIDFSSFEIDSKLMGWLYPRFGYANYVAPVEEAFIDGLKRALGALNTYLASHTFLVGHGVTLADIIMTCNLYLGFKIMMTKSFTSEFPHVERYFWTMINQPNVSKIIGEVEQTKAVPPIVSSKKPAQTKEVKPKVESKKVEKKEAPKPKIEDVQEEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMFDPEGYSLWFCNYKYNDENMVSFVTMNKVGGFLQRMDLARKYAFGKMLIIGSEPPFKVKGLWLFRGPLIPKFVMDECYDMELYEWTKVDISDEAQKERVNQMIEDYEPFEGEPLLDAKCFK >KVI03057 pep supercontig:CcrdV1:scaffold_496:42422:48027:1 gene:Ccrd_018649 transcript:KVI03057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MPLEGIFIEPPPSSSSSNPLPDLSLNISLPNTSSLSSSSIRGNIDSPSKSNTLHQQTYTDLSLTNPSRNLATSLAQRDQDNPQNPFLHHHHLNHHHIYNQQPNSSNTQNLNHLNQGVSLLDVSDCLRPIKGIPVYHNHPFPFLPNLDHSSLEKDPKMCFYPSSSTSTSPYFGASGGHMPFLNPGLNGPSSSGYRVPGGCGSGGGTRFTGLSSSYQHHHHYHNQYGGGGPTSHEVSHGLMRSRFLPKLPAKRSMRAPRMRWTSTLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQVYPQDLWTNKNGTPFYSPQFLGLYLSSSFRLTLRHSRRFDFFLQFLHHFCYFSLILIKFLLRILQMYRTVKTTDKPAASSGQSDGSGEDDISTMGSGGLRRFTDQRGSADLQESDYPNYSATATATTTLWSNSSSNREGWLQTNSDMNDLRPSSTMLRQRSASQQVEECDPSRPKSCLGSSLDQKNPCLEFTLGRPDWLQKERN >KVI03049 pep supercontig:CcrdV1:scaffold_496:157336:166571:1 gene:Ccrd_018655 transcript:KVI03049 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MENRIPAGSYFQYSPTGVHGSLPRSSSPSDRERYLAELLAERQKLAPFMQVMPICSRLLNQEIMKTSGLVSNQNFVDHERMLRDRSYQSFGHKPNGGPMNVEAWPSMQQEDNRLLQRMASFQASAMGWPASPGMATPTVVKKVIRLDVPVEKFPNYNFVGRILGPRGNSLKRIEAMTECRIYIRGRGSVKDSVKEEKLKDKPGYEHLNDPLHLLLEAEFPEGIIDSRLDHAVALLENLLKPVDESMDTYKKHQLRELAMLNGTLREESPSMSPSMSPSMSPFNSNGMKRAKTGK >KVI03055 pep supercontig:CcrdV1:scaffold_496:77128:81518:-1 gene:Ccrd_018650 transcript:KVI03055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) UniProtKB/TrEMBL;Acc:Q9FFZ3] MLIADIPPNQTIDVKNLSQEVKKEGNSSIRMKVKIVWRKLREYVRYDLKEIAFPSSLPDPPHFKKRRKLTWKERYLVLKEATRLYAASWVRDIGPELRPNEYKKKENSGDGPDGVTGSAGKMEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALTSFIKGYQEGIQEVMEKKDNSDENNVMEKKDIPEENNGPKKAT >KVI03058 pep supercontig:CcrdV1:scaffold_496:27929:32592:1 gene:Ccrd_018648 transcript:KVI03058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITIEAVGAEILEACEEKNGGDRRLAGRRRPETWKKGTGDLLEEHDVRWRPETATSLVPKNFSATCNNFGLRKWYDGLHVSPTIAGSRPGSLIARAWATMLSLGREGYLEHTREIMEHQRGYKKDTRMRYALDCCNVVQSKRDPKVVYHWKARYDDCLLAQTIHICVTLQHLLIVDKFLKDVKDFVETVKENPGLVSGGFASIYGAAGKIPDRGMVNKLLVDFVINGSWLSPEVNNI >KVI03052 pep supercontig:CcrdV1:scaffold_496:84134:86919:1 gene:Ccrd_018651 transcript:KVI03052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MEMKNGKYGYDPLDPNGNITIRWDLRQQNDGTQDVIVSIYNHQLYRHVEPPGWKLSWKWSGKEVIWDMRGAEATEQGNCSEYFGKSQLPHSCEREPVIVDLLPGTPYNMQVQNCCKAGVLSSFSQDPGNSMAAFQMNIGTIETMPYDFKIGLSGYTCGNATKVPPTKFVSDNGRRQTQALGTWNITCTYSPFLASSAPKCCVSLSAFYSKNIVPCPTCSCGCRGDPGSNCVRRDQPPPVLQLPRNAQSLPMVQCSQHMCPIRVHWHVKLSYKQYWRVKITINNLNVAKNYSQWNLVVLHPNLQSLTQVFSFYYKPLNQYGSINDSGVFYGIEHYNDMLLQSGDGGNVQTEMLLHKDPGIFTFKEGWAFPRRVIFNGDECVMPSPYDYPALPNRGRVFRPSMLCLVIFMLLLASIL >KVI03050 pep supercontig:CcrdV1:scaffold_496:166783:169143:-1 gene:Ccrd_018656 transcript:KVI03050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISRPRSMTISFFFLFFSILLQFLSGFSDDSNPQENTKVDDRASSRRENGSTIILVCIALAAVVGLSAFLFKLWQKKKREEQYARLLRLFEEDDELELELGLRD >KVI03054 pep supercontig:CcrdV1:scaffold_496:180573:187407:-1 gene:Ccrd_018658 transcript:KVI03054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGCICSKGISANEYIDKESKRSSKRFIGSSRRDEVAVGVDNGGNEATTRLISEGNGENAAASGQTLWDDGERRGAAYEQPLEQLSQKPAKVETEANGGIHQPAMCRVFSIANGVDGAQEAAGWPSWLTAVAGEAIKGWLPRRADSFEKLDKIGQGTYSSVYRARDLETGNIVALKKVRFAKMDPESVRFMAREIIVLRRLDHPNVMKLEGLLTSRVSGNLYLVFEYMDHDLAGLAASPMIKFTEAQIKCYMQQLLCGLEHCHKHGILHRDIKGSNLLIDNNGNLKIGDFGLATIFSSNQRQPLTSRVVTLWYRPPELLLGATDYGVAVDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWRKSKLPHATIFKPQQPYKRCMAETFKDFPPSALALLDSLLAVEPENRGSSSSALHSEFFTTKPLPCHPSSLPKYPPSKEYDAKMRDDDIRRNKASAGKARGVELFRKGTRESRAQPAPDANAELQASVQKRLEQQNPKSSSEKFNHEEDGGSGFLIEPSRGSLQYGIHSGQLKQPGVPERLDERQAGLDSSQHYKSRGAHLSKFSNSLAWHGSSRLDHSKGSSAHWPEDRPNGKYHQLNDAESSHHMLDGPNGSYKKHEHPPGKHERQIQHAVRKARLDKTKTKKGYADNGQRESLLQYSGNV >KVI03053 pep supercontig:CcrdV1:scaffold_496:98884:107577:-1 gene:Ccrd_018653 transcript:KVI03053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MHRFWSENFAKFVKQSLTPLILIRHKMASASLGHGGVGSSRTVGGFNESSSSVDWLGREMLEMRLRDKVDHDDERVSDSEPEIVHGVGAEAGHVIRTTVGGRNGQSKQTVSYIAEHVIGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNVVALKHSFFSTTDKDELYLNLVLDFVPETICRALAYIHNCIGICHRDIKPQNLLASPCDRVCALHDAYVMYLNSKSSLSYTVNPHTHQLKLCDFGSAKVLPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFRFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPSTRLPNGRPLPPLFNFKPQELAGIPGETVQRLIPEHARKQNLFMALNTQ >KVI03048 pep supercontig:CcrdV1:scaffold_496:124782:144213:-1 gene:Ccrd_018654 transcript:KVI03048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGSKVDVGLVLLFVEKSSLARMKGPLDRTKVVLRHLPHTISQSALMEQIDARFSGRYNWFCFRSGKQSLKCQSYSRAYIDFKRPEDVIEFAEFFDGHVFVNEKGTQYKTIVEYAPSQRVPKQWSKKDGREGTIGKDPEYLEFLEFVSKPVENLPSAEIQLERKEAERAGAAKEAPIVTPLMDFIRQKRAAKGGPRDNFLSSVIWYLCILTAFNNLLQRSLPNGKLMRRAGASSSSSSSSIVKRGSEKRMTSTMYVLRDSGKSTNVKDKSAYVKRDDRQVSEKSLSSSAASGSVLQEEERGAFGASDTGKKKILLLKGKEKEIPHVTTGLTPQQNSSSVKLAYGSGAGRQNQRHEASGRIIRSILLNKDTRQSQASAVQSEQNQTLNQDKDRRPPRPQNMPLLKDSNGLPDDKVHGHDLHGFYSEKQDKRSRNRDKPDRGVWTPLRRSDGPHASDESLSSSTSQSTQVLPDSAEGLYLSCGSPVTRGEAKYDLSNERGGHFSADNGSHKHSGRRISSHNAKDADGPSNLSEGKPPKKGVSAGYGSHEVCL >KVI11023 pep supercontig:CcrdV1:scaffold_4961:9227:11659:1 gene:Ccrd_010572 transcript:KVI11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical GEDGDCALALYSSILTRQIILLLSPLSVSTTKISSMAVSWRMISNTSNPNFHYSSSSPFFSTSTFPKNPHASHLFTISTTHNLPRDPKLSTSVSGIWDAVTGGGGSSREALIAIRRGMVLFRQGDVSGSVAEFDNAIKLDSRQKAYLWQRGLSLYYLDRFEEGAEQFRIDVAQNPNDTEESIWCFLCEAQLYGATEARKRFLEVGRDPRPVMREAYNMFKDGGDPEKLVAVFSEGRASEYFYASLYAGLYYESQNEADKAKVHLVASCQSPYGLRSDDYMASLAKVHCACRKWEL >KVI11022 pep supercontig:CcrdV1:scaffold_4961:11068:14045:-1 gene:Ccrd_010571 transcript:KVI11022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGRFSSMFDGLARTFSSGKRGESSGQCGGKEAAEAIAKDAKKNEMILRSSGTINVNGSNNFASVCSKRGEKGVNQDCCIVWEEFGCQEDMMFCGVFDGHGPWGHYVAKRVCDSMPSSLLCNWQEMLVEGSFDPDVDLQSDKKLDRFHLWQHSFEKACADVDQDLEQCRKFDSILVLYWLRLLMMATWYPYNLPSILSQIYLEAERIVECNGRVFSLEDEPGLHRMWLPYEDSPGLAMSRAFGDYCIKNFGLISVPEVVQRHITTRDQFIVLASDGVWDVVSNEEAVEIVSSAADKAKSAKRLVDYAIRAWKHKRKGIATDDISAICIFFHNNNAQPIHHVATPK >KVH95026 pep supercontig:CcrdV1:scaffold_4962:34317:35747:1 gene:Ccrd_002905 transcript:KVH95026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MVTRSGFICMQVLEEVGLLGKAACPVATTTFLVFSKSIISMLFLSHMGKTELAGGALAIGFANVTGLSIMKGLCMGMDPICFQAFGAKRLSVLSQMYIKTCILLLLTSVPVTFLWLNMEPVFQQLGQDRVITKVAAMYLTFSLPELPALAHLLPLRSLLRAQGLNSPASIVATCATILHLPINYFFITYLNLGVKGIAISSTCFTYNMNIGLLIYIFMSKVAIKPWVARSRASLFSIFQGWAPLLSMAIPSLFSVCLEWWWYEIVLFLSGLLENPESSVAATGIIMQTTGAIYVMPFALSLSISQRVGHELGAGQPARARLAAIVGISIAFIYGLVVFGLSITLRNVLGKLYTNDVQILSLLSSALPVTGLAEVGNSPQTAACGALTGSARPKVGVRINIAAFYLIGLPLSIILAFVLKIGYRGLWLGLVASQAACASLMVYTLVKTDWKDQAKRAEEMTLAMNKDDDTELNELVP >KVI04428 pep supercontig:CcrdV1:scaffold_4966:5535:6045:-1 gene:Ccrd_017255 transcript:KVI04428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVINLAVFAAPLSIMRKVIRTKSIEYMPFMLSFSRTLCATSWFFYGFFVNDYFIAVPNVVGFIFGITQMVLYSVYKDSKKQSSSELVEKPNKTENIEVEVVVSDDHQH >KVI04430 pep supercontig:CcrdV1:scaffold_4966:7294:8424:1 gene:Ccrd_017256 transcript:KVI04430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGTGGRMNDATTDKYVLKRVPTEKTPFELSDVKKAIPPHCFNRSLPTSFYYLLRDMIVCYTFYHLASNYIPLLPKPITYIAWPVYWFCQGCSFMGLHNLAHDMGHNSFSEYSWLDDVLGFVIHSSFLTPYFSFKYSHRSHHAHTNSIEYDEVATTAGAQWLFCIYGAPLLVMNGHFMFLTFLQHNHLGLAHYDSTEWDWIRGALSTVDRDYGILNKVFHDVTCAHVVHHLIPTIPHYHTVEVTKAIKPILGDYYKHDDTPVLKAFWREIKECIYVEPDEGAQRTGVYWFRR >KVI04429 pep supercontig:CcrdV1:scaffold_4966:30845:35242:-1 gene:Ccrd_017257 transcript:KVI04429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MVEATVVAAFSLVSPLSTPQLSNFRASSPLFSLHYPSSSAPRLLIRSNNTFNNPCHVNFPFPSRFLMSTHDSFARSSISSSSSSSSSTNSDDVYSSTMDDDDDDDDVGVVLDKFDDPSPPDLPDRWDVLGLGQAMVDFSGIVNDDFLMRLGLEKGTRKVVNHKERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGVHPIEGPALNVAMAGSIGSDPLGGFYRTKLRRANVNFLSPPVKDGTTGTVIVLTTSDAQRTMLAYQGTSSCINYDSSMESIISKTNILVVEGYLFEFPDTIKTIKKICQVARDSGALVAVTASDVTCIETHYDDFWEIMENYADIVFANSDEARAFCHFSSEESPVSSTQYLSHFVPLVSVTDGPRGSYIGVKGEALYIPPSPCVPVDTCGAGDAYASGILYGLLRGASDLKGMGTLAARVAAVVVGQQGTRLRVQDAARLAESFEFTVENSSLQADVGSDISSL >KVH32567 pep supercontig:CcrdV1:scaffold_4967:29482:32728:-1 gene:Ccrd_025825 transcript:KVH32567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MMWLGRWVVCVCLVMVVNGVRVDADDELQPYRTAFHFQPLKNWMNGPMYFNGVYHLFYQYNPYGPLWGNISWGHSISYDLINWFLLQPALTPNQPTYDINGCLSGSTTILNAAKPIILYTGQDLNNTQLQNIAFPKNLSDPLLRHWIKWSGNPILTPINDINPAQFRDPSTAWKGPDGKWRIVIGSEIDGHGTALLYRSTDGINWTRSEKPLHFSSKTGMWECPDFYPVANDGKRGLDTSVQGNNTMHVLKTSYNSREYYVIGNYDAKADRFGVIGNDFTVSSTQLQYDYGRFYASKSFYDNAKQRRVLWGWVNEGDSGSDASFPRSVWLSDTRKQLVQWPVDEIEKLRTKQVNITNRELKGRTLLEIPSITASQVVHLFIYNTCYFVLVLTAHCTNHRITELGFQADVEVSFSLSNLNEADVINSEMVDPQLLCAQKNASVSGSLGPFGLLVLASKNLTERTAVFFRVFKGPNKFLVLMCSDQSKSSIAQEVDKSIYGAFLDLDPHHNIPLRSLIDHSIVESFGGEGLACMTARVYPKLATNEDTKLYVFNNGTQTLSISNLNAWSMNKAKILPLP >KVI02737 pep supercontig:CcrdV1:scaffold_4968:2791:5099:1 gene:Ccrd_018973 transcript:KVI02737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPGNLTRRFTGNGSVPLGGIFHSKSRTSPLLSIALILLVSLKTIMKLARLKGFGRNIFLDLHYSISGDFGCTFDVQKAIPVLMKTYGESMNKVLHVGPDTCSVVSRLKKEAGTEAWGVEPYDIEDADLSCRRLVHKGLVRVADIKFPLPYRAKSFSLVIVSDAVDYLSPKYLNKTLPDLARLSAENLVIFTGYPVHSRAKFQQQQQPKFGRPAKMRSTTWWARFFVQTSLEENEAAAVKFEQAASEFSYRPRCQVFHLNSYH >KVI02732 pep supercontig:CcrdV1:scaffold_4968:35965:37122:-1 gene:Ccrd_018978 transcript:KVI02732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGTTASVDDLSQEILKKDDLRAQTQCDRIHRHTNDQLSNSTKIFVGGLPADLTVDEFRDYFQKFGSMEDVVVMCDKETSRPRGFGFVTFESPETAEKVLKNRFYELKNKRVEVKKAVSKERMSRNFGNYYDTYNAVYNGTTFPFTASSSYDTYSYQSPYYYGNTPYSSKRTHKYRNRTPNVLKDGDDDSNIVIKNAANLVQKLEDLHLGSDGQANGDGDGDGDHTEISEEGLSLDCNGCGDGGGCAVVIDQDLSL >KVI02735 pep supercontig:CcrdV1:scaffold_4968:31825:32664:-1 gene:Ccrd_018977 transcript:KVI02735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MSDQKIVLITGCAKGGIGYEYCKAFAEQNCLVIASDIAQRMDDLSELQEQQIETLELDVLSDKSVSSAVNAIISKHGRIDVLINNAGIGSTGPLAELPLDEIKRAYEINTLGQLRMVQQVVPSMAARNSGIIVNVGSVVGKAPTPWAGSYCSTKAAVHAITHTLRLELKPFGINVVLVIPGAIRSNLGSHNTGRLSNYDWKLYKDFSEDIAERARASQVGKSTDATLFARHIAKKVLSLNPPKQIVFGHMTTLFAFLSVSPLWVRDLFFTKRFNLVKKV >KVI02733 pep supercontig:CcrdV1:scaffold_4968:14528:15313:-1 gene:Ccrd_018975 transcript:KVI02733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLISIFLPPSSPDQKDESCLTHLFQSFQDPIGNLHNWTRPAFSNPCSDFTSNLIGATCNNGRIYKLSLQNLSLRGSISPYISNCTNLQSLDLSNNSLTGPIPGELQSLVNLAVLNLSSNRLSGEIPPSLPMCIYLNVIDLHNNLLSGTIPPQLGSLVRLSAFDVSNNKLSGPIPASLGNRSGNLARFNVSSYSGNKDLYGYPLPPMKSKGLSVLSIVGIGLGSGLLSLALSFTVVCVWLRATEQKTAAEQEVKISRSMPDY >KVI02736 pep supercontig:CcrdV1:scaffold_4968:24585:27924:-1 gene:Ccrd_018976 transcript:KVI02736 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEGKQNAATQPSSSNPTTYFNLPSHFNYPNIYCSGVESENASGLYSAFPIMPLKSDGSLCLMEAITRSQSQGLFLVFLYLNMFPFMLKKFSTMCCLLGMVSSTPPKLENFFGGVTMGTPDFDRGGATMALGLDSSTMYYNQNPDHETLQHNHRHQQHQIQPQHYPDYSGFRALYQTVQQEEVKEAQIAADSCNLHLPSIGEDDDINSMKNWISRNYHSGIGGGDHGGGGGYGDLQSLSLSMSFGCSQSQQQIIPPANVTDCVVLDSKKRGSEKVDQQKQIVHRKSLDTFGQRTSQYRGVTSFVMWVFSESVSLSGIDGLVDMKLICGTTVAKKKAKAGREDKFWCKYDRKMSGYDMEEKAARAYDLAALKYWGPATHINFPLENYEQEVVEMKNMRSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFKPSNDLLSDQITQNGDQPNTTTAATTTTTTANATATTNMLDWKMALYDQNATTGTGLEGDDQSSKLGTHLSNASSLVTSLSSSREDSPERNNNLPMILGTPPSASKFLGNSNSNSSGTWIPTGTTQLRPHIPVFAAWTDA >KVI02734 pep supercontig:CcrdV1:scaffold_4968:5952:7898:-1 gene:Ccrd_018974 transcript:KVI02734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRRISPRELISFYYTYGGSKISLQPSTHFSPTFVAPFTSKSQFLHDISKPHVSPEKDDRWLGLIRLENKSGATPNDQPYDEFSGDVEKVYRILRKFHSRIPKLELALQESGVFVRPGLTERVLNRCGDAGNLGYRFFVWASKQPDYRHTHDVYKSMIRILGKMRQFGAVWALIEEMRKENPQLITPQVFVVLMRRFASARMVNKAVQVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKEAALLFEDMRVRFTPTIMHFTSLLYGWCKEGKLMEAKFVLVQMREAGFEPDIVVYNNLLNGYAVAGKMVDAFDLLQEMRRKGCDPNAISFTVLIQALCCQEKMETAMQVFLDMERSGCEPDVVTYTTLISGFCKRGKTEKGYELLDHMIQKGHTPNQTTYLHIMNSHEKKDELEECLELVNQMKKIGCFPDVNIYNTLIRLAFKLGEVKEGVRVWNQMESEGIGPGIDNFVNMIHGLIDQECLVEACEYFKEMVGRGLLSAFQYGLLKDLLNSLLRGEKLELSKDLWSCIVNKGCKLNVYAWTIWIHALFAKGHVKEACSYCLDMMEAGVMPQPDTFAKLMKGLRKLYNRQIAAEITEKVRQMAAERKITFKMYKRRGERDLKEKAKAKKDGRKTRARRRQWGRAKAGSI >KVI00830 pep supercontig:CcrdV1:scaffold_497:144722:150547:1 gene:Ccrd_020915 transcript:KVI00830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MGEAKSSSDNRLKASSKYSDENQVPLKGKNNNASSNLKPRSIWGSNIVKGFSADKKARSQQGTKKSQLQPVITVSTTNSKNPFVCNRAKRSLIGDLSCSVTSTQVHPQGNHHHCDQNQRLYKSSSSSGSRDLFAELDQLRSLLQESKDREAKLQAELSDFKRKNLKALELQSAAESKTSEIDALKSKIDLLESENTSLSEQLNSHQLQENITKGVVNSVEVDVLKLRRLNAELHLQKRNLCCRISSMESQLADLAKDSENEFVEKIKAEASRLRQTNEDLCKQVEDLQVSRLNEVEELVYLRWVNSCLKNELQNSAFDTSDITSSPASIEWLNGSVSSDESSERGSGQNRLSLIKNMKKWPVLDEETHVSEPPNYKLNLSWLEGRSPGRRHSISGVNCCQEDLVVNKRRQSDGFICSMEMDEVDSVACRKYDLNQGSQLREATKLKTLLDVEKRALRIPNPPPRPSIFTSKEHKEVSCQVAPPPPPPPPPPPPPKFIGRNNAGGVVQRAPQVVEFYHSLMKRDSRKDSLNDGICDASDVTNVRHSMIGEIENRSSHLLAIKEDVETQGEFVNSLIREVNNAVYPDIEDVVAFVKWLDDELCFLVDERAVLKHFDWPEKKADTLREAAFAYRDLKKLENEISDYKDNFHIPCDLALKKMVSLSEKMERIVYNLLRTRDLLMRNCKEFHIPTDWMLDTGILNKIKLGSVKLAKKYMRRVATELQTKGTSEKDSSMDYMLLQGVRFAFRIHQFAGGFDADTMHAFEELRNVALVLNKK >KVI00829 pep supercontig:CcrdV1:scaffold_497:51186:51518:-1 gene:Ccrd_020914 transcript:KVI00829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGMMTIMDMVRTRIQKCQSFGIKRGSLRRCNSDIRSCLGHYEKDKGSLTEMVMVDDRDQKLKRVARKSLEFMCSSLGKEKEIMMAELARKAHEFAKMEDHINNLKA >KVI00832 pep supercontig:CcrdV1:scaffold_497:40993:51985:1 gene:Ccrd_020913 transcript:KVI00832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPQSNKRRLVQMQLHAKILTAHRAFFDVAVEVDSLTDQVAEVQRTTLVELLRRQAVKLDAITAQGDTSAEKILQAIQGFAEPYWTKALSCRWLRRAGKKIKTLPPKAESSYSSVIVDDDGTKSRDLSHPLFISRT >KVI00831 pep supercontig:CcrdV1:scaffold_497:167842:172769:-1 gene:Ccrd_020916 transcript:KVI00831 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MLNFSRSRAQPRPNRSHPLGGMDYADPKKKSGVVGKILLAAGLTALCILMLKQSPSFNTPTAFSRHEVGITHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAVKVLQDLFPEPGRLQFIYADLGDSKSVHKIFSENAFDAVMHFAAVAYVGESTLYPLKYYHNITSNTLGVLEAMAAHNVNTLIYSSTCATYGEPEKMPITEETPQIPINPYGKAKKMAEDIILDFHKNSDMAVMILRYFNVIGSDPEGRLGEAPRAELREHGRISGACFDAAHGITNGLKVRGTDYKTPDGTCIRDYIDVTDLVDAHVKALDKAKPGHVGIYNVGTGKGRSVKEFVEACKKATGAPIKVDFLPRRPGDYAEVFSDRSKILRELNWSAQYTDLEKSLRVAWRWQKSHPNGYGSSTASI >KVH32027 pep supercontig:CcrdV1:scaffold_4972:7214:9290:1 gene:Ccrd_025826 transcript:KVH32027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MLLLPSKSETNVLRQRHATGPEDAPFETLVLPHPDRVISNVRLLHSAFSFIPKTRAPQLMPTGGFTYRKLTHKIFGSCPPMQSFDPAKMLGNHRACSFVCADDQDVEYYRGFWREYRYYSRTSPMKHVLISFCLCLFVEYESWCGGLGINLTAADTVIFYESVWNPTLDLQAMDRAHRLGQTKDASFFLLPLLHLEKFKCHVFQSF >KVH31637 pep supercontig:CcrdV1:scaffold_4974:13215:15030:-1 gene:Ccrd_025828 transcript:KVH31637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MWHTLTSSMQTLVVLIFIISHATAHDFHKSLEAKALRANFWWRRHTVSTHCTWPEITCNKEQGVVKIHVNCKGFCFINDRCGMKWLEFYYFPNLKVLFIDNCSLGGIIPEKIRNLPQLEYLDISYNNFNGILPSQIGSLKNLLALYLSQNGFTGPISSRFGHLNRLKMLTLAKNRINSSIPQCISKLKDVEPLDLSCNDLVAVVPPNLRKLSRLFHLDISSNQLSGVILPSELTRCFALEYLDLSSNNLVGERPNFSNIPSLVFLNLSENHLTEQLKNNSSTRRVLNEGYQQRFQILFYFDIFLFIMVGYVFLVVVYICYHHHKAKKKKIQPEVNRHGDMGSILNYDGRIAYKDFKKATEDFDLKYCIGTGGYGSVYEAKLPNGKTFALKKLHRYEVEQPTFDRSFRNEVLVLTNLRHKNIMKLYGFCFHKKCNFLVYECMENGSLFCALRDVEAVKVDWIMRVNILKEVADALAYMHHDCNPPIIHRDILSNNILLNS >KVH31636 pep supercontig:CcrdV1:scaffold_4976:11408:21110:1 gene:Ccrd_025829 transcript:KVH31636 gene_biotype:protein_coding transcript_biotype:protein_coding description:De-etiolated protein 1, Det1 MFRSNNITARIFERQIRTPSPGTSIHRARRFYENIVPGYTIYDVECPDHSFRKFTEDGKYLISFSRNHQDLIVYRPTWLSFSCKQEDCDTQDLPPKAKKFESFFTQIYSVPLASASELICKDFFLYEETNQFGLFATSTAQIYDAPATGGAIQGVPSIEKITFHLLRLEDGVVLDERVFCNDYINLAHSMGVFLYDDLLAIVSLRYQRIHILQIRDSGSLVDGMSNVDRSAPQHMTMNNAANGPHLEQPAQENPFLTGIKQRLLSFIVRGIRNEEKDETMRIQSLKKKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGAVSRNADNHPAFFAVYNMESTEIIAFYQMSSDELYWLFEQFSDHFHVPSKSPPYMNFISSHSNNIHALEQLRSSKNKATSFSLFVKKVLASLPFNCQSQSPSPYFDQSLYRFDEKLISAADRHRQSTDHPIKFILRRPPHTLKFKIKPGPEAGITDCRTKRISSFLFHPIFPLALSVQQTLFLQPAFVNIHFRR >KVH31635 pep supercontig:CcrdV1:scaffold_4978:6400:27506:-1 gene:Ccrd_025830 transcript:KVH31635 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELK-like protein MALQQHFSDTDNNSVLRTILPEHHHLASAAQSPSSSRSAGKDHWLNSAILRQQGQFGGDGNNNNSNIFLNLQTNNNNSDSAATTSSQHHQQQSGNNQWLSRSILQRNVSDVRGDDGIPQVSNDSIMAATAVNVMSSHNSPDLNNHSRNVAAGQVDNNVGGELGETEVGAGDGGGGALMNWQNARQKAEVLSHPLYEQLLAAHVACLRIATPVDQLPRIDAQLAQSQQVVSKYSALGGHNNLVHDDKELDQFMTHYVLLLCSFKDQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLYDGSLDGHDSMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHAKWPYPTVRRRQGTVGSGNRPTIEADKQLVYQSEEAQLAQ >KVH94795 pep supercontig:CcrdV1:scaffold_498:170643:174239:1 gene:Ccrd_003139 transcript:KVH94795 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MLVLIGSCSGTAASGHQVLASETYTLINSTNLAKPGCPSRCGDVIVPYPFGIGNNTNCSIGHGFNVYCNQTSDPPRATLREEAYTSLKLISDSTLRTTNKVSSRCYLPNGTVSTSFQISLGYADLPYTFSEVNKFIVIGCDDYAWLTSGTQSRNISTGCMVFCSTPEDVVGDQCSGNGCCQSAIPHDIKYYGTQLRTLQNSDDMNNTRTFNPCTYAFVGEENAFKFNGAADLKDTSLSETIEPSVPIVLEWAIGNLSCSDAKAIDGFACQSNSKCVDSKRESGGYRCICSEGYEGNPYLYPGCQDIKECDDKSNISCYGRCSNTEGGYKCTCFPGYIGDATIPEGCQPAPKDSKFPVMVFTLGKPLLG >KVH94787 pep supercontig:CcrdV1:scaffold_498:223010:223765:-1 gene:Ccrd_003137 transcript:KVH94787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLSGYAGCGDIVEAQNMFDQMFKRDVVFWSIMIDGYDKKLDDITRAWSLFDRMHKRDLVSWNTMIDSYAKVGDMVATLELSDEMEHKNVISWSIMIDGYSQH >KVH94794 pep supercontig:CcrdV1:scaffold_498:77618:81872:1 gene:Ccrd_003143 transcript:KVH94794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MDNLSAVRRVGDRDKPINDILEWLSSVFGFQKKKNWNEIKAKGEEEEELEWSADMSQLFIGNKFAMGRHSRIYRGVYKQKDVAVKLISQPEEDPDLASMLEKQFTSEVVLFFRLKHPNIITVNMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIEELKHTKKIDVYSFAVVLWELLTALTPFDDMTPEQAAFAVCQKVGIHIT >KVH94793 pep supercontig:CcrdV1:scaffold_498:101323:107500:1 gene:Ccrd_003142 transcript:KVH94793 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MLSHVQWFNLDNIKGPGFDVYCDTSSDPPRASLESKSNSSIKQISDSTVRISNTVSTRCYSPDGIIQSGVTFSPNFNNTPYTISEVNKLTVIGCNEYAWVTSKMRPTGCLVICYNEEDDIGDDCSGNACCQSSIPEPINYYVTQFYALQDSDFSNNGSRNPNITPRPLLSEVLGMSNRETLNPCTYAFVGDVEAFKFNGTTVLNDTSLAKKIEANVPIVLDWAIGDLSCNEAEAINGTACQSNSMCVNSKKETGGYRCKCNEGYEGNPYLSPGCQDIDECKDKLQFPCYGTCVNIPGNYTCKCKQGYSGDAKIKGGCSRKIPILQLTLGKFYLFLLLL >KVH94790 pep supercontig:CcrdV1:scaffold_498:24503:30072:-1 gene:Ccrd_003146 transcript:KVH94790 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MVYGGNLQSSTTIASGNIAKQGCQTHCGNMTVPYPFGIGVDCALDESFSFFCNSTEEPPKLIYGDNIEVYIISDSEIKISNTIGYTCYNEKGNVTQASDAWTSIPSTDPFTFSRKNKFTVIGNRSCKEATECKGNSSCKDAEIGGYHCICNKGYEGNPYLDPGCQDIDECKNKSNCYGNCINTQGSYNCTCWPGYTGDATTADGCRYVAKESKFLALVLSLVDMIYESVSFPTKASTFILLHHV >KVH94789 pep supercontig:CcrdV1:scaffold_498:37506:41211:1 gene:Ccrd_003145 transcript:KVH94789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase zinc-binding domain-containing protein MSDKRSGGLGIGSLRALNLAMLAKWWWNERSLPRSGTRSCAIATRLKAWRRLIDCVLLPTDDMETEWLKWVPSKANIHLWRTLNNRLATKDNLLKRGIVLNSAECQTCLVTAENLDHVFVTCSTTKVINAHLASWVNWWPANASSARNMWLAISTIGDTYRREVSKSKIEKISRF >KVH94796 pep supercontig:CcrdV1:scaffold_498:208089:219415:1 gene:Ccrd_003138 transcript:KVH94796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFTASDTNNTSETYTLINSTNLAKPGCRSKCGDVIVPYPFGIGIKSNCSIGHGFDVYCNTXTHPPKASFXKTXYTSIKLISDSTXRTXNXVAXXCYFPNGTXSSGXXIXXNFTDWPYTFSEVNRFTVIGCDDYAWLTSETNSRVEANVPIVLEWAIGHLSCREAEPTDDFACHSNSMCVNSSTKPMGTVASAKKAIREIPIYLWVAKISMSVKMWRSSMSRNLC >KVH94792 pep supercontig:CcrdV1:scaffold_498:125029:128633:1 gene:Ccrd_003141 transcript:KVH94792 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding METLVLTWVLLLMFSFAASDTNSTSETYTLIKATNFARPNCQSKCGDLVVPYPFGIGINSGCSIGPGFDVYCNTSSDPPRASLESISYASIKQISDSTLRISNTVSTRCYFPDGNIQSAVNFSPYFNNTPYTISEVNKLTVIGCNEYAWVTSKMKPTGCLVICYEQEDDIGDDCSGNACCQSSIPEPINYYVTQFYALQESNFSNNGSRNIINNITPRPLLGEVLGMSNRETLNPCTYAFVGDVEAFKFNGTTDLKDTSLDKKIEANVPIVLDWAIGDLSCSEAEAINGTACQSNSMCVNSKRETGGYRCKCNEGYEGNPYLSPGCQDIDECKDKLQFPCHGTCVNIPGNYTCKCKQGYSGDAKIKDGCSRKIPILQLTLGKILSVSSTPVNTF >KVH94788 pep supercontig:CcrdV1:scaffold_498:44283:45625:-1 gene:Ccrd_003144 transcript:KVH94788 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MHLLFTLVLCTLPLTMVFGGNHQISTTIASGNIAKQDCQTQCGNVTVSYPFGIGVDCALDGSFNFFCNSTEEPPKLIYGENIEVYSISDSEINISTSIGYTCYNKEGIITDTFDAWTSIPSTDPFTFSRKNKFTVIGCDDFANISSTXFSSGCWGTCNEANEVPXGYCSGIGCCQTSIPKGLKDYTVTLNSFDDHKRVQSFNPCGXAFLGEEDSFKFLGAEDXYNYTEFYDRTLSKVPIVLDWVIGSNRSCKEATECKGNSSCKDAEXGGYHCICNEGYEGNPYLDPGCQDIDECKDKSHCYGNCINIQGSYNCTCWPGYTGDAMTADGCRYIAKKSKILAFVLSLGNSSCNC >KVH94791 pep supercontig:CcrdV1:scaffold_498:139239:150878:1 gene:Ccrd_003140 transcript:KVH94791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLVLMWALLLMFSFTASETYTPRLPQPMQRCNCPQPFCHRQTPTVSSICYLPNGKVSIGLRTSLGLCRLALHLLGSCMTICSTLDDVVADGCTGSGCCQSSIPHDINYYTTRLDTLRDPGNISYTRSFNPCTYAFVGEENSFNFSGITDLNDTSLKEKIEANVSIVLEWGIGNLSCIDARKIDGFACQSNSECTSMSATIKVTSAAMVIALILKGVTTVHVYQDTLVMQQHQRAVNLLLKTSNSQLYYSL >KVH31238 pep supercontig:CcrdV1:scaffold_4980:7432:8446:1 gene:Ccrd_025831 transcript:KVH31238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MTWTIKFKLNDISQNGTYKLRLALASAQVSDLQVRVNDPNKELPLFSTGIIGGVNAIARHGIQGLYWLFNIDIPGTNLNSDGENAIYLTQEIIETPFRGVMYDYIRLEGPPSSQSISHVCIN >KVH31060 pep supercontig:CcrdV1:scaffold_4981:34317:36043:-1 gene:Ccrd_025832 transcript:KVH31060 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, conserved site-containing protein MASALSSRLLPLGLCFIVLFNGCFAQLERSQQQKQSRLRGRSDCQIDRISAREPNRVLQSEAGVSEFWVSDENDELDCAGVEVARHTINTKGLFLPFYANAPELVYVVRGSGIQGSAFPGCPETFESSSEGAGRGKEQFLDRHQKVFRYKEGDILALPAGAVHWTYNDGDTQIVLIVLRDTSNVANQLDRNFRKFFLAGNPQSQQVGYGQPEREHAGGSGGWGKQKLHEQESSNIFNGFDDQILQEVFKVEFNIVNQLKGQKDNRGFIVRADNFDVLIPEKQEYQRSSPRNGIEENICSTELRANIADPDRADVYNPRGGRLSSLNGHKLPILNWLQLSAEKGVLYKNAVLAPNYNLNSHSIIYVTSGNSRLQIVRNDGTAVFDGFVQQGQLIVVPQNFAVVKKAGEQGCEWVAFKTNDNAITTQLAGRFSFLRALPEEVVANSYEISREQAKELKYNRQEGVVLSPTSGSTRQKGMNALLNVLFS >KVI08009 pep supercontig:CcrdV1:scaffold_4983:23912:31724:1 gene:Ccrd_013625 transcript:KVI08009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRKEKNGNERRMEDFEKKVRISEGMDVDSNGSSHFQTVSLLRKFLAVQQSRAEAYAKLKKGFTDLGDSAYQQLCNEITVEFSDCSKQVLELESIFLSPSYCRSDLAALLRSVQVQEKQKLHLTATIQVLKKAGRPSERLVSHEKCKFRNDMQQHECVHVHEITEAAGTEEAEADAEYDNAMKEAIQGVQDAVTTINDHLEEIRYEIAALEADGCKN >KVH89632 pep supercontig:CcrdV1:scaffold_499:26987:33272:1 gene:Ccrd_008376 transcript:KVH89632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFIRVQERFSHVLTPRIRASLEYIYLFIAITLFCILVVMHANYVQQPGCSSELTGVATTEAQLIQIKITSAGLWSQSEPQYDAKSILDKETEANVKVAEQNVDESTSLDAQFWSNWVSLSGRSGKSSLKFWKSDNELLESQPETSTSSDNVEDTFRKTKKDESRSRFPMSAKESLKAAIFRIVRKWHGRFSFLWKHSTRILGSLWVSGHSIL >KVH89629 pep supercontig:CcrdV1:scaffold_499:90827:92510:-1 gene:Ccrd_008380 transcript:KVH89629 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MTTLMSIVVTMIAIMFRCSNGLSMNYYMMNCPMAEFIIQNSVRSALTSDPTLAAGLIRMHFHDCFIQGCDASILLDSTDDNKAEKDSPANLSLRGYEIIDDAKQQLENQCPGVVSCADIIAMAAREAARGPYYDIPKGRKDGTRSKIEDTRNLPPPTLNTSELIKMFGQHGGHTLGVARCSSFKNRLKNFDSKNDVDPSIDSQFVKMLARTCNAGDNAEQPFDMTRNMFDNGYYNALQRQAGVLSSDQTLMADPRTRPIVNGYAMNQAMFFMDFQRAMVKMGLLDVKETGQVRQNCHKNN >KVH89633 pep supercontig:CcrdV1:scaffold_499:35665:53977:1 gene:Ccrd_008377 transcript:KVH89633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membralin MAAYDMDNCISDDETIIEDQISYVYHEEDVPVDEEIENLIMDIAGIHLNIDVNKCLRILHLEKINSLAVQWLETRSKAFEPTYLYTMEKGYFLLPERAKSQHNIRTVNISISARDSCFGNRWQQLLINRLVGYDTILMNSLLNSPGQGYLYNYQTKEFYNLTYAREQPEGSARLGDYFATKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYTHGFSYLALSTTAAFMQHLILYFWNRFEVRTF >KVH89631 pep supercontig:CcrdV1:scaffold_499:75793:84880:-1 gene:Ccrd_008379 transcript:KVH89631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Got1/SFT2-like protein MDKMSQAMEKVRMLVGIEVEDDQRRVDEESSFAFMDDFNRNCTLSTKQRLYGFAICLVAGLTCTLMSMLVFFNPIKFGIAFTFGNLLSLGSTGFLIGPKRQVNMMLDPVRIYATALYIASILLALLCALYVHNKLLTLLAIILEFGALIWYSMSYIPFARAMVSKIMVACFDTEF >KVH89630 pep supercontig:CcrdV1:scaffold_499:72228:72698:1 gene:Ccrd_008378 transcript:KVH89630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNRRSQFQQQPDFHITSSTILASTLHITRLNPLRNLTAPPINTTNPTSGPGLRGGQQPIQTNEMPENPETTQTQPGNENMNRVGNHLQAAVQPDGGGSNPGAMNSFSSLLLWILGGASSEGLSSFLSMFRDVRDQGGQAYAESPRQENRSTQTSQ >KVH89628 pep supercontig:CcrdV1:scaffold_499:147512:148252:-1 gene:Ccrd_008381 transcript:KVH89628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPISGRSLLTTISSPRLSKPFLGGYFLSPTYLFSQRLPHPLLQISNFLFPSMRALLCSGMQESQSESIKVDLGWKALVRLVEWFYSGKLLPKPKYGCLWHNLNEKEKFDEVIPYVELYCLSDSWLLEDLHKECLRVIAACLDSVNMSIKIIQIVVVCFQWDLVELAAKFIAPHYQHLHISGDLLELNEELVDVIHVASVRLSQQGDHI >KVH29766 pep supercontig:CcrdV1:scaffold_4996:37968:39683:-1 gene:Ccrd_025834 transcript:KVH29766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAVATTVNYVNHRCQRFSSANDNKNLRRVTAYFNDVAINDGDKRPNKSTRLAKSISNLLHLYIEKPSLRNFLQRDESDAVSQAEAKLETPTMSPKEDISRIWRDIHGGSDWESLLDPLHPLVRREIIKYGEFAQATYDAFDFDSFSEYCGSCLFNRRKLFEKLGLTKHGYDVSKYLYAMSQFEIPRWLEKSYVTDTWSKDSNWMGYVAVSDDVESRRIGRRDIVVSWRGTVLPSEWYEDMQQDLEPLGHGEAKVERGFLSIYKSKSISTRYNKKSASEQVMEEVKRLMTFYKEKGEQISLTITGHSLGGALALLNAYEAAMTFPTLPISVISFGAPRVGNIAFRDEMHHMSVKTLRVTIKQDLVPRMPGIVFNETLQKFDDLTGTLEWVYHHVGAELKLDVRASPFLKRGSNFIGIHHPETYLHLVDGYVSSTSSFRSDAKRDVALVNKYVDMLVNDLRIPPCWYQLSNKGLVSNKFGRWVRPKREPENIPSPIQEESYDL >KVI08231 pep supercontig:CcrdV1:scaffold_4999:10781:12163:1 gene:Ccrd_013399 transcript:KVI08231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3049 MIHKQGIVSILGSDGHEKTTKAASLRRTLSADMSSRRWLAENGVPSAPMMKKIASSEQIAWSEQIVNDSSSSEEDEEECEANRKGEDNPRRVDIWTAIMSQKAQDELVKLPPPYVHPLVKKSASSLSEKSLKVCTESLGSETGSDVFSSYLSSKTSTDENKGDEPQEEVQEMREVEGEESKLVVKPAAACLTTKKAPQARSFPPPLPSLAAAHTEGPSLHMHSRRVDGRLVLEAVSVPPQKYFEAQRQDGRFLLTLINNPKEEQASKINISEEEISQDNYNDIDDEDEDEIGSDINFDSDYKEMGIFKELQPSKTTGGKVMDLQRSTVVVKRMTERDNRIKLNTKAVNLAKLEAVEEEEGCVMAAPPPIMQSLPRTTGLPPLPPPAAATSFNSYQYFWRAKPTVASVIDPLITQHLPPTKTKDLVLLRGNKADCLLPSLKGCKEPRRSLLMWEPFCIATS >KVI02246 pep supercontig:CcrdV1:scaffold_5:948557:949174:1 gene:Ccrd_019530 transcript:KVI02246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MQETDQEYRRVNEAKGTRDKNSMAIVFLGIGISMLTLFAEPLTHNVQIFSESVNLPPLYISLVLLPWAAHYRTAIAAIQAARKKRHHMTSSTFSEIYHKVMMNNLMGFALLLCVMIYRGLTWHFSAEVLTLVIVCGIVGILTGFNSKFPNWTLLIAFPLYPLSLIFFILVNIYFR >KVI02176 pep supercontig:CcrdV1:scaffold_5:1122811:1138870:-1 gene:Ccrd_019550 transcript:KVI02176 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase MAALATRRRRPLEADRLLPSTSADGYTKVDKPPASLGAEVEKGLSWTFPIFALGLLRYLSATTNIIHDCDEVFNYWEPLHFLLYKSGFQTWEYSSQFALRSYLYILFHYLVGWPASWCYNWLEEEKVRVFYAVRIFLGLLSVVTEAALVVALSRKFGKRLALYTLAMLCLTSGCFFASTSLLPSSFSMYAISLSSAMFLFGMHAMAVAVAATGVILGWPFSILAFLPVTVYSLHRRFKQAFLSGVLISLTLLALSVVVDYHYYGKWTSSVMNLLVYNVLGGGESHLYGTEGPLYYLKNGFNNFNFALIFALFFVATLPVTKKKYAPDLLIVVSPVYIWLAFMSLQPHKEERFLYPIYPLICVAAAAMAKYVRPLLIGLILCVSHSRTFSIINGYAAPLEIYKHFEHHYDAGSGAVVCVGSEWHRFPSSFFIPDYVSEVRWIDDGFRGLLPFPFNSTLGGTSAAPPYFNNKNKASPDQFVVAALPYLDRELSPPLHRSFFIPYVWEEKNIFGIYKLLKRHKGQQ >KVI02262 pep supercontig:CcrdV1:scaffold_5:654517:657735:-1 gene:Ccrd_019506 transcript:KVI02262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MIRLKEIKSFHTEKRWAFPLAITSIVCLFLFATCFNMGLLPPLYKFNSFFPLFNSRTASNLTIPHFAEEKIKSPPPPPSAPRSSTPRFAYLISGSKGDLNKLWRTLRALYHPWNHYILHLDLESEPQERMELASRVEKDPMFATVGNVYMITKANMVTYRGPTMVSNTLHACAILLKRNKDWDWFINLNLISTFRGLKREWNFIEHTSQLGWKEDQRAMPLMVDPGLYENKKSDIFWVQPNRPLPTAFKLFTGSAWMVLSRSFVEYCIWGWDNLPRTLLMYYTNFVSSPEGYFQTVVCNVPEFIPTVVNHDMHFISWDNPPKQHPHVLNINDTGKMIKSGAAFARKFDQDTWVLDRIDNHLLHRKNGSFTPGGWCKGDPLCSKVGKPTRIKPGPGAKRLRRLLKKLIQSAEKGNQCR >KVI02177 pep supercontig:CcrdV1:scaffold_5:1127038:1129597:1 gene:Ccrd_019551 transcript:KVI02177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAYGLHGWGDKALEIYKEMVASGLKPDNITFTSLLMTCSHLGLINRGRALFKSMSQIYGISPETEHVACMVDMLARGGYLEEAREMTNMYTRTHGATAKSSEALFGACYSHRDVDMGAKLGGVLKVLDPQHEMSYVVLSNLYCASEKWKEAELVRKAMADHGVKKMPGCSWIEVKNKVMAFVAGPSLQIFFNFVFELLPPITRASHFFLFKAALHLSISIGQEAPSSSDASFHVDIFLFARESEVSYFADAAVALMVVYNDNSVTTSKHTTIVGGGTEDDVNPTGVAENHIRRAETYVMVVGGTEVVNPIGVGGFIICRALSKGNHEPH >KVI02184 pep supercontig:CcrdV1:scaffold_5:891868:897366:1 gene:Ccrd_019524 transcript:KVI02184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MEGPNDAFKRKNIEGFPRNFPGFYRTPGSSSSVATSEGTMMDATSYGAHNDIRLGMEGGLNRGGRNRATGVMGFDHVGVHNNNRLIHGGQPTQTVPTPLLDQHGANGAFPWNRAHGVPYLQGCINGDGMEAANMGIHGYQVATMSRNSSAYMHPPPITSHQGSHNLYHPPPLPPMPPPVQAQNMDVHLHLASTSHRHSISTSTGNPFQHMVDPGPRFVVPTPPNGLRIYEAHRRELMIESTARHRSFPNLRVLPEDELLALGEQIGNVGSGLSETSISDHLKTRTFRSCNPEDPLPADEEHNFCVICQTEFEDQEKIGVLDCRHDYHSECIKKWLTVKNTCPICKSTAIALITN >KVI02221 pep supercontig:CcrdV1:scaffold_5:823059:830575:1 gene:Ccrd_019519 transcript:KVI02221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like domain-containing protein MEVLKMANSRVSLLRHSFNSFLPPSPTSTFSLSILPTRRFIVSASISSKESLVDSISSSSQEAAIPSTDSANPNYRWKPMCLYYTQDKCTMMQDSTHLQKFNHSFSLKPALNAGGYKNKHSKHLDFFLVLDLEGKVEILEFPVLMIDAKTMDVVAFFHRVWHDTAIPFEEVIVQFEEWLAKHSLFSEELGGPLNRAAFVTCGNWDIKTKIPQQCQAAGMRTMMNELKIPMLGSHHLGIDDTKNIARVLQHMLTDGAVLKITARRDPRSPESVEFLFVNRIK >KVI02260 pep supercontig:CcrdV1:scaffold_5:684118:690863:1 gene:Ccrd_019508 transcript:KVI02260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MATSNGILTTPTKTMANDRTSSSSSASRKHHHHHQKITDSSSSFKARFEAYNRLQAAAVAFGEQLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPTALDPRCRFQEEDSEEYGSPIMLASAVADTIKSRTDALLKKTKTAVSSKPIVIRAEYAHCPNLTIIDTPGFVLKAKKGEPESTPEEILSMVKSLASPTHRILVFLQQSSVEWCSSLWLDAIREIDPTFRRTVIVVSKFDNRLKEFSERREVDSYLSASGYLGENTQPFFVALPKDKTTVSNDEFRRQIAQVDVDILHHLRDSVHGGFDEEKYRSQIGFGRLRDYLESELQKRYKEAAPATLALLEQRCNEVTADLNSMDSKIQATSDIAHLRRSSMLFASAICNHLGALIDGAVDPDPEQWGKTTEDERSESGIGSWPGLTADIKPPNGSLRLYGGAAFERVIHEFRCATYSIECPSVSREKVANIILAHAGRGGGRGIVEAAAEIARSAARSWLAPLLDTACERLAFVLRNLFHLAMERNHLCHADYAKKTVDMDGYVGFHAALRHSYNCFIRDLAKECKQLVRHHLDSVTSPYSQVCYDSDILSTSGGNPYTYQASVSSISLEFSEHAAKGQENIPPHKNGQEDTTPGKPVEAREAYMTVPETPSPDQPSEGNYVIKKELGNYIEGGAKKRHSRIQGNCKVLDNLRVQNGGLNANSRSGSSYSEICSLAAQHFARIREVLVERSVTSTLNSGFLTPCRERLMVALGLDLFAVNDEKFMDMFVAPGAIDVLQNERQSLQKRQKILHTCLSEFKSVARAL >KVI02245 pep supercontig:CcrdV1:scaffold_5:969862:971669:1 gene:Ccrd_019533 transcript:KVI02245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVTIIASYSTFFLLLLAEKAVVGRHLQYDVAAELQVSDGVNDFVVREEEPLLHFKGMDSSEAHCQQMYGFLPCSSNVPSHIFLIVIYEYLLHHGESYAGGDGRISPSGLTCSKEKAQDYIVTGAGLLAGSNELSCQSYVLFAHTVSSHPTTERVWFIVF >KVI02216 pep supercontig:CcrdV1:scaffold_5:201367:206096:1 gene:Ccrd_019466 transcript:KVI02216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVYYSMYGHVAKLAEEIKKGAASVEGVEAKLWQVPETLNDEVLAKMSAPPKSDVPIITPNELPEADGFIFGFPTRYGMMAAQFKSFFDATGGLWRTQQLASKPAGGSPYGAGTYAGDGSRQPSELELQQAFHQGKHIATITKKLKGT >KVI02186 pep supercontig:CcrdV1:scaffold_5:909656:914956:1 gene:Ccrd_019526 transcript:KVI02186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase MTPKASDFPSEDSDYQSGFGNHFSSEAIAGALPHRQNSPLVCPLGLYAEQISGTSFTAPRKLNHRSWLYRIKPSVTHEPFKPKVPKHDKLVSEFSQVNSSINPTQIRWKPVDIPDEPTDFVDGLYSVCGAGSSFLRHGFAIHMYTANKSMENCAFCNADGDFLIVPQKGRLWITTECGKSQVSPGEVVVLPQGFRFAIDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLASPRDFLVPKASFEQESRPGYTIIQKFGGELFTAKQDFSPFNVVAWHGNYVPYKVQIYSLGASYREVMHSRKPLAVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIHGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATIARGNDAGPHRITDTMAFMFESCLMPRICPWALESPSVDHDYYQCWIGLKSHFINNPNGNSETEP >KVI02196 pep supercontig:CcrdV1:scaffold_5:448871:450712:-1 gene:Ccrd_019483 transcript:KVI02196 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGVPVPVTDPMTQLKLPPGFRFYPTDEELMVKYLCRKVAGHDFALQIIGDVDLYKFDPWELPSKAMFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVIISEGRKVGIKKALVFYVGKAPKGSKTNWIMHEYRLSEPPKKNNSSRKNSAAEKTISGGPSTDQSHNSSSSSSSQFDDVLDSLPAIDDKFLNFPANNTMKSFQEEDQKVDVQRYDYGNYEWTTNVTVFGSQDGINNNNPPNAIPIDPTFNMHMKYGRSPESEAVTGIRSQRMENSGYFFSQSLMNTTDPFAIRYPTQPSSSGYRL >KVI02258 pep supercontig:CcrdV1:scaffold_5:369358:373347:-1 gene:Ccrd_019478 transcript:KVI02258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MLTVLFRSNRVGKRICLISLVLGFQAASNIYDRTLKMNHKEQILIGSAACVMITITLSLKLLIDHLLHWKKPKEQKAIVVIILMAPIYAIDSYVGLLVFRGNETFFELLDSIKECYEGLVMAKFLALLYTYLDISMSMNISHQYLPDVAHIQQAMQNGLVIVEMIFFSIFQMYAYSADPYKDKVVKQKKKD >KVI02252 pep supercontig:CcrdV1:scaffold_5:271561:282876:-1 gene:Ccrd_019470 transcript:KVI02252 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA (guanine-N(7))-methyltransferase domain-containing protein MEGGGNGGDAFLEDESTKIFAKKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYAKRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIADGSIEDCRTRYNGDADHHQRRKKFTFPARLLSGDCFEVTNSVDLISRARRALANVSSLLRPGGIFIGTMPDANVIVKKLRAAEGLAFGNSVYWIHFDDEFSEKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHVFKSLAEERGQPTEQNRANSRRDKGKMHLEKEDITFIGS >KVI02236 pep supercontig:CcrdV1:scaffold_5:598676:599428:1 gene:Ccrd_019497 transcript:KVI02236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTGGDWKEKQVIQYYSSSDRKSTPEELQLAAIAVSLNVRLRSADMPIAMQERALRLARSLFDSGEEFWIVRNTLAGRIRILFCGFVFFSSIQNRGSFDLQRSTTALPSMNFSVYYTFRRLDTYQINRPFQANL >KVI02200 pep supercontig:CcrdV1:scaffold_5:1043432:1046690:-1 gene:Ccrd_019543 transcript:KVI02200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEERIETQRDFPIFRDIRRYTCEYCGIVRSKKTIISAHIQSHHQDEIKEKEGDGEVEKKGKKMIVCEECGASFRKPAYLRQHMQSHSLEVGGPPEKLHQVSPNHALKYERPFTCSVDDCNSSYRRKDHLTRHLLQHQGKLFVCPVENCNSKFSIQGNMSRHVKEIHDDSGSISDDVKDPKQYPCLEPGCGKVFKYPSKLRKHEDSHVRLETIEAFCAEPGCMKYFTNEQCLKAHLQSCHQHINCEICGSKQLRKNIKRHLRTHEEVVSDQRIKCSFDGCDLTFSTGSNLRQHVKSAHFQQKPFVCSIPGCEMRFSFKHVRDKHEKSGLHVYTLGDFVEADEQFQSRPRGGRKRKLPAMIESLMRKRVLPPTESENVQQGHDYVSRLFSPGDED >KVI02193 pep supercontig:CcrdV1:scaffold_5:469544:487460:1 gene:Ccrd_019484 transcript:KVI02193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MEDDMSVDLGKNGRDFSTFRPDLKLIVYIPKISLVESGIRIATFASIQPSTMADEDSNCKKKKINPISKDPIFHMGAYLEDAKSLSNWDVFCHSVVGCAEDGDNGDVADDHYHQFTVQLLLKYLICLCFISTMEDIEMMHSLGGRFGEVNPAGIMFYNKIIDNLILKGIEPFVTIFHNDFPQELEERYGSWLNPEMKEEFVHMAEICFKWFGDRVKYWITINEPNVFTEYAYESGLFPPSRCSEPFGNCVAGNSDVEPLIVMHNLLLAHGMAAKLYHDKFQPKQGGFIGLVMHCYMFEPLTDSELDQYPEEMHEYLGSTLPSFSVDEKNFLKNSMDFIGINHYSTAYTKDCTNSSCSPTANRAIRGFLDIALERDGVPIGEPTGIEGLTVVPRGMGEIVNYIKIRYNNKPMFITENGKSHNSQIEQIMNILLVMPSSNISVAGYASLDVQGARINELVNDVKRVQFHTAFPPCSIMKLLSLTIFLFIFLVSASALLLEAFNNGGGRFKLEEKEDSHIKRSDFPPGFLFGTATSAYQIEGAYLEDSKSLSNWDVFCHSVGCAENGENGDIADDHYHRFLEDIDLMHSLGVKAYRFSISWARILPKGRFGEVNPAGIMFYNKIIDNLTLKGIEPFVTLFHNDFPQELEERYGSWLNPEIKEDFVHMAEVCFKSFGDRVKYWITINEPNVFVEFAYKTGRFPPSRCSEPFGNCLAGNSDVEPLIAMHNMSFDPVIFGDYPEEMHEYLGSELPNFSLDEKRFMKNSIDFIGINHYSTKYAKDCTNSSCSPTANHAIQGFLELVGERDGVPIGEPTAIEGLSVVPSGMGKIVDYIKIQGGADVRGYFVWSLLDNYEWLYGR >KVI02263 pep supercontig:CcrdV1:scaffold_5:653184:654241:1 gene:Ccrd_019505 transcript:KVI02263 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MQGVSVCTIKTNANVCCVQFPSDTGNSLAFGSADHRIYFYDLRNPSGPLYTLVGHERTVSYVRFLDSTTLVSSSTDNTLKLWDLSECSSEVLDNEVDDTEQFVSSVCWRNQTSSLVAANSMGDVKLLEMV >KVI02212 pep supercontig:CcrdV1:scaffold_5:150815:151909:-1 gene:Ccrd_019462 transcript:KVI02212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKRCELCTNVARMYCQSDNASLCYDCDQNVHSANFLVAKHSRTLLCHKCQSPTPWNASGLNLGRTASVCVNCLDEDSSQRRVLMEGGTSHRGNDGLEDNCVVHDDLREEGYGETDDDDTEHSDESEGEDVDEDEDEDAENQVVPWSIVASAPITASSSSEEFSSSRLSSDDTRSGTKRERLDAYIDSEVRISYFSQKRTIQYVHRKDPTVKLERRSMTPFHSDPLDQFRSDRVGDHGEMLQKRTGNSFDHSPFVGNDELMIDGHRYGCDQITLFSVQVGLMDCSSISSMFCSFRLKKRPLGMDGMVFR >KVI02215 pep supercontig:CcrdV1:scaffold_5:190595:190954:1 gene:Ccrd_019465 transcript:KVI02215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAESKNQSEFQDFLPLMAEKLGGDGLIGELCNGFRLLMDAEKGVITFDSLKNNSSVLGLQDLSDEDLLSMLREGDFDGDGALNQMEFCVLMFRLSPDLMDQSEVLLEEALELELNNSHS >KVI02232 pep supercontig:CcrdV1:scaffold_5:560517:567403:1 gene:Ccrd_019493 transcript:KVI02232 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MVKKKERSVNVSGKPKHSLDVNRDAGHKNKSGGTARTSATVRRLKMYKTRPKRDAKGTILKHELQSKELPSTRIQPDRRWFELEFFREELQSRLSSNYNVILKERKLPMSLLNDHQKQARVHLLDTEPFADAFGPKGKRKRPKLMVSDYESLVKKADGSQDAFEEKHGDAPADAGEDGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVIQVLDARDPQGTRCYHLEKHLKEHCKHKHMILLLNKCDLTPPWVTKGWLRVLSREYPTLAFHASINKSYGKNSLLSVLRQFSRLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYGSKDTETDIVLKGVVRVTNLHDATEHIGEVLKRVKREHLERAYKIKEWEDDNDFLVQLCKLSGKLLRGGEPDLMTAAKMVLHDWQRGKIPFFVPPPKQEGTTDEPKDANVVEKDVAVDDNKELAARRTIEXIVSSQQLKDVPVQEDLFTKNELEGEDANEQEX >KVI02223 pep supercontig:CcrdV1:scaffold_5:778162:778794:1 gene:Ccrd_019516 transcript:KVI02223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHRRQKAHSTGDMFTFPAISQDIDFDSELADQLFSNGNYGRSQRWQFIAPVPVLNRRNSLKKRKGGNGKQDCGVRKGICRRFLGWLVSTCQECHAIEPSKRLKVSM >KVI02172 pep supercontig:CcrdV1:scaffold_5:37712:39321:1 gene:Ccrd_019453 transcript:KVI02172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MPTVWFSLKKSLHCKSEPSDVHDPKSKKHLATILTRKPGRSGCSRSIANLKDVIHGGSKRHSEKPVSCSPRSIGSSEFLNPITHEVILSDSRCELKITGFGGGFHDGSGGGGGGGGAAASGGDGSTFVGTLMPGTPGPGGGHPTMHNFKNQQRRTLSNSLDGNGIANSGHFTGKNGIGMPQKPRVSLETESYGSSAGTVTCHKCGKQFGKWENLETHHLSKHAVTELMEGDSSRKIVEIICRSSWLKSENSSVRIEKVLKVHNMQKTLARFEEYRELVKTKASKLPKKHPRCLADGNELLRFYGTTIACSLGINGDSSLCISDKCCVCRIIRNGFSTTKELKGGVGVFTTSTSARAFESIEVSDDGPDTRRALMVCRVIAGRVHRPLENIQEIAGQSGFDSLAGKVGLHLNIEDLYLLSPKALLPCFVVICKL >KVI02235 pep supercontig:CcrdV1:scaffold_5:571406:577807:-1 gene:Ccrd_019494 transcript:KVI02235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin-alpha, importin-beta-binding domain-containing protein MAKDRGDSSDDDRVLKGASSKRGPHHHHKSKRKSEDLPDTESDLSSGSDHGSKSSGSRSSRKKRSRRSRTKSRRDYSDDDSSDSDTESESESETGSSEYSSEHESESEEERRRRKRKERKRREEKEKRRRREKEKKRRRKEREEDKLKKKKKKKKKDKKKEKVKKGAVTDSWGKYGIIRETDMWNKRPEFTAWLAEVKQVNLESLPNWEEKQMFKQFMEDHNTATFPSKKYYSLDAYHRRKIEKAMKKGSMKAAKTERVVFDDEEQRRLELQQEREKQKEAEVEALKRSMQSGMAQAMKEQAQLREEMNYLFKIGNIEAATAIQRRLDPDLPM >KVI02197 pep supercontig:CcrdV1:scaffold_5:402447:412080:1 gene:Ccrd_019481 transcript:KVI02197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MMISPAKTCNNLAMAVSLSSSISSPKVSINVAVNPSASRRYCVSTRSMILFSRSPARSLQRKLISCIFSKLEHLTECSGHQKIITKLYVPALERGVMRLGATISVILVIIQLTSPVPLPGWDPSFLPPAKAVLYSPDTKVPRTGELALRKAIPANTNMKSIQNSLEELSYLLRIPQRKPYGTMEGNVKKALKIAVDEKESILASIPADQKENGLAIYASLIDGKGGLQTLLGYIKDKDPDKVSVALASSLDSIAQLELLQVHCELLGYPSCCLRSTRIILGKSSPRSFIFSICIKPGVGILHKPSCTSRMLTGRAIVEFVVEKGDGSMFTPQAGGVPIKAATIQVVLDGYSAPLTTGNFAKLVVDGAYDGMKLKCTDQAVLSDSGINKSIGYSVPLEIMPSGQFEPLYKTTLSIQDGELPVLPLSVYGAVAMAHDDSGLGGLSFDEGQFSVFGYVFKPFALIIYSGSYTTIGRDVLPQIKTGDIVRSAKLVDGQDNLVLPSERL >KVI02169 pep supercontig:CcrdV1:scaffold_5:53912:65953:-1 gene:Ccrd_019456 transcript:KVI02169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKERSFGLIIGISIGVVIGGLLALAGILCFRFHRKRPQIGNSSSRRAATIPIRTNGADTCTILSDSSMGTESSRTSVQNGIPLWFGGMKKGHVVAASGILEYSYKDLQKATYNFTSLIGQGAFGPVYKAQMTAGEAVAVKVLATDSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLASHLYSKWSSNFVIISK >KVI02255 pep supercontig:CcrdV1:scaffold_5:318156:330480:1 gene:Ccrd_019475 transcript:KVI02255 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Mre11 MVSIWFSDVEETGFLVLLFKFPPLFSLCRRNSTVSEFIQRFIDPAYRDDNSNTLRILVATDCHLGYMEKDEVRRHDSFQAFEEICSIAEQKKVDFLLLGGDLFHENKPSRTTLVKAIEILRQYCLNDKPVQFQVVSDQTINFANVFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVGSTSVALYGLGNIRDERLNRMFQTPHAVQWMRPEAQENCQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLVEIKGNQYRPTKILLHSVRPFEYKEAGLYIVLKDEPDIDPNDQNSILQHLDNVVSSLIEKGSRRDARNSETILPLVRVKVDYSGFMTINPQKFGQKYVGKVANPQDILIFSKASKRAQGEAKIKDTERLRPEELNQQNIEALVAESQLERVKGRSKSKEDQLFTSSAQSMENMVNRTPGGIGSAVSFSDDEESTQFVGSKSTARGKKGSLQQFKSARDVSEVGKTSRGRGRGRGRGSSSLKQTTLDTAMMFRRSERSASVAASASVQSIAADEENLDSASSDEPVQYGMNELHDSSGRKRGAPRGRGRGSTPAKRGRKSDNSSSSIQQLMMSKDDDDDDDDAV >KVI02167 pep supercontig:CcrdV1:scaffold_5:42647:45174:-1 gene:Ccrd_019454 transcript:KVI02167 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEQKKTDPGGGGGGRTYCVTGATGYIGSWLVKSLLERGFSVHAAVRNPDNYVQSDIIDPSIRGALNILKSCLRSKSVKRVVFTSSISTMTARNDHGEWLPVVHESCRTSVDVIWNRKSSGWVYVLSKRLTEDAAFQFANENGIRLVSIITTTVAGPFLTSTVPLSIRVLLSPLTEWARLLWFIRKTYAMLTCTLWSTIEPKGDTSAAPIAAQSLNWFII >KVI02231 pep supercontig:CcrdV1:scaffold_5:541315:542774:1 gene:Ccrd_019490 transcript:KVI02231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDAHMESEEDEYVMLDLDSIGEYDETIGTCIVLSEDGELKHRKELQNLYEKLYLLTDACFADAPPVIHEETGPSETNLFAGKSIIDSNQVLKKQIKPVCQLQKILRFKLLSEEAQTDIVQDSTIEPRTIKRS >KVI02213 pep supercontig:CcrdV1:scaffold_5:157305:174321:-1 gene:Ccrd_019463 transcript:KVI02213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MTTTTMAEQERNITSFHLSILVLYALITCTTAADTIAADQTIKGNETILSPQQTFELGFFSPENSTNRYVGIWYKKISPGTVMWVANRNSPLSNNSGELSLTLQGILVLRNSTGDVIWSSANSSSSLPSVRNPVAQLLDTGNFIIREENGSPENPIWQSFDFPSDTFVPGMKFGKDLVTGLERYFTSWKSENDPSIGDHSVWIETKGYPQLIVRDGRKIRFRAGPWNGLRFSGEPNLRPNSIYRFGFVLDQREMYYHFDLINSSVVTRVVLKPSGSLERLLWIENRREWLLYLTPQTDSCDRYGLCGPFGSCDINNSLSCECLEGFEPASPDQWNVADWTQGCRRSTPLDCGSGDGFRKYSNLKLPDTQESWFNQSMNLDECKMVCEKNCNCSAYTTQNITGSGSGCLLWFGTLKGAYGDDPGDENSKDDLELPLIDFSILRKATNNFSDNNKLGEGGFGPVYKGVLGNGQEVAVKRLSKTSTQGLDEFKNEVICISKLQHRNLVKLLGCCIKGAEKMLVYEYMPNKGLDSFIFASLDESISLSEAIRLIHVSLLCVQQRPEDRPTMSSVILMLESDGKLPPPKLPASTAINTIAVNQTIRDGDTIVSADETFRLGFFSPGRSANRYVGIWYKKISEFTVVWVANREAPLANRSGVLTLDPSGTLVVRNTTSAIIWSSNSSRPATNPMARLLDSGNLVIGDNNPENPIWQIEVNAEGYPQGMQWQGEAIQFRLGPWDGLKWSGMPNLKENPIFGFEFVLNQSDIYYKYELKSSSIISRMTLAADGNFNRMNWINRAQGWYFYSSTAPDNCARYRLCGAYGSCNINNIPLCECIDGFEPRKPEEWSMADWSSGCERRNPLNCKSGDGFRKYSNLKFPDSRGSWFNQTMNLQECETVCLNNCSCTAYSNSDIRGGGRGCILWFGDLIDIIAFDHNGDDLYIRMASLDIRYLEQVYENENQNEELELPSFNLSTFLEATNNFSVDFKLGEGGFGPVYKYKFARGTIRFPKTNCDSPAQQLQGRLITGQEIAVKRLSKNSGQGLEEFKNEIHLISKLQHRNLVRVLGYCLEGDERMLAYEYLPNRSLDYFIFGKALQLADSVLEESFVESQMLKFIQVALLCTQKFPKDRPTMSSVVLMLCNDGVTLPPPKQPGFFLEGDFMETDMSFGEENYFSRNLVTITAVEAELPATIASFAGHLHSYYRSPSPVAIAIAGHLHSFAGRHQILIQKGAVFSETRYEERTKW >KVI02237 pep supercontig:CcrdV1:scaffold_5:591566:593802:-1 gene:Ccrd_019496 transcript:KVI02237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAGVLETLTVPRASVLPSASLCPIVSSPVSRLSGHRNFVNLPESRGLKIQSFSSSGSVILRSKFGRRGARIACQAQEAVQVLPVTDATWESLVMESTLPVMVEFWAPWCGPCRMIHPVIDELAKEYSGKLSCYKVNTDESPAIASRYGIRSIPTVIIFKDGEKKDAVIGAVPRSTLCTCIEKFL >KVI02264 pep supercontig:CcrdV1:scaffold_5:649465:653097:1 gene:Ccrd_019504 transcript:KVI02264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MENSSEFGCQISGSSTGLNFNRNPRSILTGTVRFSGDSDHDSGIRLDHVRTQFGRFGEGGGEVIRPVERKDVSLRQWLDDGKRAVDDVECLHIFMQILEIVNSAHSKKIVVHNLRPSRFTISPLNLVLFLESASCSDPDSDSSRNVLNIQKSGFEAQNHGNVSETVSDLVNLEETKERKRSFPVKQILEMETDWYRSPEEAAGDPNEKNASMASLRHRVFPPQFLLKWPKEAILSTISEVLQSEFLTELRDNLDQRVAAIELQQRIHDHELLQEFLLIMQRRKLEAANNLHSIVSVLTSDLEEVVKLQSSITKKSGSTSDLRDPPLDFPSNNDSASSGSRKRIRSVIQTHNSEESNVQQDGFQKSENLENAISKTPRLMSNFRKLESAYFLTRRRAFKPERSSANGFSSRERLAGRQTRWIDSFLDGLRKYLSFNKLKVKADLKQADLLSSSNHVCSLSFDRDGEFFATGMNKKIKVFEYDSILNGNRDVQYPIVEMASRSKLSSHERRTWSVDFSSADPTLLASGSDDGSVKLWNINQAN >KVI02183 pep supercontig:CcrdV1:scaffold_5:899801:903707:-1 gene:Ccrd_019525 transcript:KVI02183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MAAYRADDDYDYLFKLVLIGDSGVGKSNLLSRFAKNEFNLESKSTIGVEFATRSIRVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDTSRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVPTEEAKTFAEKENTYFMETSALEALNVETAFTEVLTQIHHVVSRKALDAGDDPSALPKGQSINVGSKDDVSAVKKVGCCSA >KVI02253 pep supercontig:CcrdV1:scaffold_5:337916:349777:-1 gene:Ccrd_019477 transcript:KVI02253 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MISVTPSLVVRSSLEEMLDSLQRKEDIKKPTDLPPALPARPASKARLPKRPVPAMFGVGDVVLPEVGDIRRQDFKGGGGGGGSFGRKKVLETAVGESPYTMAAVHEHRLAENGGAHLATPPPPPPSLYSGWDNSIDYFIKKQLRVWCLLQNGQWELGKIQSASGGKASVTLLDGTVMVVSTGELLPANMEILDGVDNLVGLGYLNEPSVLCNLQYRYARDTMAGPVLLAINPFKDVSISGSDVITAYREKILDSPHVYAVAEAAYSDMRRAIQYLVGAGGENSEIASKIRQTGCILEAFGNAKTSRNRSSSRFGKLIDIHYNAKGIVYGAYIHTHKLNLRMASEYKFLSRSGCLKIHGVDDAQNFKKLMEALDALQISYEDQEPSRSAARLMGCKVKDLMLALSTNRNQSDMPQNFTLPQEYESEGIDWKKVEFIDNQECLNLFEKKTVGIISILDEASNTSECTDLIFANKVRQNSSSYLSFNGERGAFRVRHYAGELENSKPHFIRCIRPNAKQLHGMYEKDIVLQQLRCGGVLETVRISKSRYPTCMTHQEFATRFGCLLSENTLCQDPLSISVAILQQYHVGVLEKRRQEVMQGTYKAENGCSVHRDFHELMSGIVTLQSFVRGENSRRGCNVLKKSSHQIAPRSPDEHLTAAVHIQSVIRGWLARRNFNHLQSWKSSADSPKTRQKSRSLVSEVKNMSQENIQILPSNVEELQKLVMKAEVSLSQREQENSALREQVRQFEVRWSEHEIKMKSVEETWQRQMASLQKSLGAAKKSLGADDDDSEDNTPKSQNPDGNTNVESGNNSSTVDELAKELERKKRNFEDDAKAIVEVKSGNMPYSKQMEEFKRVKQRFDTWKKEYKNRLRETRAKLGKGVNGEGGGSEKRARQSWWGKLSKRI >KVI02174 pep supercontig:CcrdV1:scaffold_5:94693:102442:1 gene:Ccrd_019459 transcript:KVI02174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRLLVVANVRRAAHSLLPSCKSCFSEVNNQGARLAVGFPHSFYRQYSQYKVLGRGLTCCTFHEAKGSLWSSRDFRRFYFISASTKVSRRAHIAWRRVSQICTYSVPSQPMIRIARAVSFALSRSQLIAPGILAFVVGELACTQRTWAEAEGFSYKNDLYTHAQDGHVYLTTFVFSLLEVLILFLRAVYLACLFAPCIAMAPFANSLGIEFRKTWLQCVHNTLEKAGPAFIKWGQWAASRPDLFPNDLCTELAELQTKAPAHSYAHTKNTIEKAFGRLLPEIFENFEEEPVASGSIAQVHRATLKFRHPGQRIKPILVAVKVRHPGVSERIRRDFILLNLFAKVSTFIPTLKWLRLDESIQQFAVFMMSQVDLAREAAHLSRFIYNFRGWKDVSFPRPLYPLVHPAILVETFEHGESILHYVDELEGNVGIKTGLAHIGTHALLKMLLVDNFIHADMHPGNILVRVTQGKASDTGLFKSKPHVIFLDVGMTAELCKKDRVNLIEFFKAVALQDGRAAAEHTLKFSKHQSCPNPTAFIKVRLLHFWNPDVEESFMSWRSAEGDSIHPAECMQQLLEQVRHHRVNIDGNVCTVIVTTLVLESWQRKLDPEYDVMNTLQNLLFKTDLVESLFYTIEGLMAP >KVI02239 pep supercontig:CcrdV1:scaffold_5:4788:6689:1 gene:Ccrd_019448 transcript:KVI02239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAGRGKSIGATAVSKKSTSRSSKAGLQFPVGRIARFLRTGKYADRIGGGAPVYLAAVMEYLAAEVLELAGNAARDNKKTRVVPRHIQLAVRNDEELSRLLGXVTIANGGVMPSIHAHLLPKKSSIASSKAGGSMRSDLPSWWSPSTFYILSSDNGATLFGYETIGDG >KVI02233 pep supercontig:CcrdV1:scaffold_5:547596:557673:-1 gene:Ccrd_019492 transcript:KVI02233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDRLLKAARASGSLNLSNRSLKEVPDQLYKSLDAVGEGENWWEAVELQKLILAHNDIELLKEDIRNLPMLSVLNISHNKLSHLPAAIGELKSLKALDVSYNLLHEIPEEVGSAASLIKFDCSSNQLKNLPCSLGNCLDLSDLKASNNCLTSLPEDLGNCSKLSKLDVEGNKLTVLSEKSVASWTLLMELNAGMSHAFSKNALSDIPESVGNLSRLIRLDLHQNSEYQVPSRISSIPSSIRGCSSLAEFYMGNNSLTSLPTVIGELTNLGTFDLHSNQLTEFPVEACKLRLSGLDLSNNSLSGLPPEIGKSPVHKIEGEYSLFLHQMRIECLMTTLRKLVLTGNPIRTLRSSLVSGPTPALLKFLRSRLPAEEEGGTSGNKKEVIAMASRLSLGSKELSLGGHGLNVVPPQVWETSGITKVDLSKNSIEVLPVELSSCASLETLILSRNKIKEWPSAILESLCNLVCLKLDSNPLRQIPLDGFQAASKLQVLDLSGNAGCLPEYPAFSFLLQVQELYLRRMQISEIRGDILSLPKLRVLDMSQNSLQLIPEGFKDATSLQELRLSDNNISALPPELGLLEPTLNVLQLDGNPLRSYIEVPEG >KVI02180 pep supercontig:CcrdV1:scaffold_5:836287:849579:1 gene:Ccrd_019520 transcript:KVI02180 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MRLSRAAILSKRRKDQRVIRAKQKHKRLDAICEKTYTQNRVKIESPILSEVNGDASEVRRSSRVRRAPLVLDASPPPPKKRRRISEAGGDGSSKRVGDRVSVGLKTESPCSTTLGLEEEESGEWKFRLRARGRKVSFMLENSSPRSKKKLFGHSDDVKEQSDLVRPRVNGKKGGLVGETLMVVQSKRPGRIKASNVVSFGREEIGLGNSDKNGEDTRALQAVKDKNKGSLLEEVVTDNGATVELLEQGNEDPISFNSNDNANNMLESAEQSMPVEQLESGDRENQSFHQGVICAPENQVGDGTLHANHLQDENTKKVGEDKYSPTHRHHKPRIKKGRCCGLCGGGTDGKPPKRLVQDGAGSDNEAYSGSSSSEEPTYDIWDGFGDEPGWLGQLLGPINDRFGIAGIWVHQHCAVWSPEVYFAGLGCLKNVRSALCRGKVLKCSRCGRRGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRHLFQPHGGKSIDRLKKIKSKKMKLELRKLSNDAWRKDHEAEEKWLENCGEDEEFLKRESKRLHRDLSRIAPVYIGGSTTESQMPFQGWESVGGLQDVIQSLKEVVILPLLYPEFFNNIGLTPPRGVLLHGYPGTGKTLVVRSLIGSCARGDRRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKSQPSIIFFDEIDGLAPSRTRQQDQTHNSVVSTLLALLDGLKSRGSVVVIGATNRPDAIDPALRRPGRFDREIYFPLPSAKDREAILSLHTQKWPTPVAGSLLKLIARRTVGFAGADLQALCTQTAIIALKRRCPWDKLLSAAEDKAPYGKRPVLPTFAVQERDWLEALSSAPPPCSQREAGMAANDIVSSPLPVHLFPCMLQPLSRLLVSLHLDERVCLPPSLSKAAATIKTVIVSALDRKKENSDCWWSQVQDLLKEADVASDVESNLVRVNVLVGNSTFSGLDAFDDDTNDEGMKTSGASKLHNGFLQNNLVHGVSLQLGKKPGFCLLISGSPRSGQRHLASCILHSFAGNAVLQKVDLATMLQEGGGDMVQGLTHILVRCANVGPCMIFMPRIDLWALDACHQVDEEENCFSSKTIGSSKADPSRLIQHEVADEEKESRPKLCTSLENMGKSQDPVLLASDLWNSFVEQAESILISASLMILATTEVSFELLPSRIKDFFGRNKPNPGLLHHTESTVPRFSVHVDWNFNRDMVIGSSATKLSNDVARYFVELIHHKTHIHESLFKANKSFDIVDADVNMVQLNRDSDTAIEYRSKIQSSSLPPNKKEEKGKSNLLLAISTFGYQILQYPHFAELCWVTSKLKEGPSAEIDGPWKGWPFNSCIVRPSNTSEKVAVVSGSSNVKSKEKYGLVHGLVAVGLSAYRGVYSSLREVSADVRKVLELLTSQINAKVEGGKDRYQFFRLLSQVAYLEDLVNSWVYSLQSLEAPPQLTEAIPKLDESKTWKNALVQGDDCIEKPSQSESIDVLYSKEGDKCTVVDESNVIIETQESTTFKDQTNDHLVTEPNGVGVESAKLQSVENHSELRSMEHSNGFTCEESCALPDDGLCSPASLDGVKSCNAGNGFDVPSKINSDDKGKILDIDIPTDQVSRFPATPTGVCLYRFCSKCLFNLHSVMQRTLESQWDKKSSNLTTEDVHDVVTSLSLNLHTSVREFCLSASLDVSKNPYVKNIKTFFGGQEVGTCECEVSGNRADMGMECGCHSESGSINGVRGVDLELIYKNGVLAMLDRETDVSFHCKFQTLCLCSLIEYIVMTKQPSG >KVI02238 pep supercontig:CcrdV1:scaffold_5:951913:957560:1 gene:Ccrd_019531 transcript:KVI02238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEIEGWWVVAGRVHYDAAAAHQLLLSDGTSVISDHEEDSFLHDHLKGMGMGMDSSEGNSCHQMYGFLPCSTNLPGHIFLIVIYEFLLYCGESYVSRDALVWGFCTIFGRRKFDYERSKNDHWLRRLLTGSGVVTDSETSLRARFMLVSLMPFIVLLIPMAYGVSFDDQKSVLASSLLVALLCLVYYFFAQHADDSIQKRTLEYAEIERKIEMRVAQLLLEEKISLIKLISGMVRHDLLNNLDEAQRKLAINRIFEEMDRDGSSSIDPSELKHFLETEQKDKPVNEEVVEMIMAHLDVDGNGNIDRKEFDNGLAEWAWEIEQHNSRNHDADKNRRDEEAKGRRDGKSKAIGFVGIGMLMLGFFAEPLTHSVQIFSESISILPFYISFVFLPVATQARTAFAAFGAAKQKRHHTTSSTFSEMYHKVTMNNLMGFALLLCVMIYRELTWHFSAEVLTLVIVCGIVGILTGFNSKFPNWTLIIAFPLYPLSLIFFSHINFYFQ >KVI02249 pep supercontig:CcrdV1:scaffold_5:286834:302119:-1 gene:Ccrd_019473 transcript:KVI02249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSGWIQDWNRVFLLVCTTGLFIDPLFFYTFSISESCMCVFVDGWFAVTVTVLRCVTDALHLWNMWLGFKMSRWWRSPRHHRGLSHDDDVARITAARIITKAKKSFFFDLFVILPIPQVVLWVVIPALLKRGSTTEIMTVALIMFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNLLAYFVASHAVGACWYLLGTQRAAKCLREKCMEANGRCVARFLTCQDPIYYGSNNFVKDQTRLLWGLNKEARSTCLENDDNFSYGAYKWTLQLSTTDWLEVVFIIIVLTTGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRRRHLPQGFRQRVRNYERQRWAAMRGVDECDMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDSLVLENICDRVKSLIFTKGETIAREGDPVQRMLFIVRGHLQSNQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFALEADDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLTSLSFIRPRRPLSRCSSLGEDRLRLYAALHEFSDGYSDTSDEDSGEETAEDEPTKKTIYGTFDPGVLQAWDQVYVVVCTIGLFIDPIFFYSLSISDSWMCVFLDGWFAATVTVVRCMTDALHVANMWLQFKWNRWYRHDVGLRPYEKASCHVTNRQVLKQAGRGVLFDLFVILPIPQVVLWVVIPALLKRGLTTEVMTIALITFLFQYLPKIYHSIRLLRRMQNLSGYVFGTVWWGIALNLIAYFVASHAVGACWYLLGMQRVAKCLREKCMEANGRCVSRFLTCQNPIYYGSNDLVRDKTRLLWGLNKAARSTCLENDDDDDYSYGALKWTLQLVANDSRLEKILFPIFWGLMNLRYARRYDGLTLKVKIRVYSCKRGTNVFLHATTSKKQAMQLKMRNIEWWMRRRRLPQEFRQRVRNYERQRWAAMRGVDECEMIRNLPECLRRDIKYHLCLDLVRQVRMPKHVFMEVSKMMKNVSWYIYFHGRRSNQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFALEADDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLTSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNQDDFDF >KVI02166 pep supercontig:CcrdV1:scaffold_5:47311:51523:-1 gene:Ccrd_019455 transcript:KVI02166 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein DIKLYPLRFILHLSIWINALKFGAPPLKQTLTAMAAMAALQSSFTALSLSSKSFLGQRFSPCPCPPLVKTTKVPCPPIQVKLKRWERIKCKPNSLPIVHKMHVKLGDTVKVIAGRDKGKIGEITKIVKHNSTVIVKEINLKTKHVKSKEEGESGQIIKIEAPIHSSNVMLYSKEQNVASRVGRKILEDGKRVRYLLKTGEIIDSVENWKRAIKEREKKTEELTVAS >KVI02189 pep supercontig:CcrdV1:scaffold_5:533415:536255:1 gene:Ccrd_019488 transcript:KVI02189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELLIQRQKRIAERSASTAKTAKNDKPKAELASRGMKRSNKPVIRSSTIDRLSAARVVNPKVLPTESKHGNKPTKVITKENGEPKPGKKPMKVATKENGESKPTKKPMKVTTKENGRSEPGKRPAKVLTKENGGSKPAKKPMKVFTKENGESKPPKKPMKVITKENGESKPPKKPMKVITKENGESKPPKTPMKVTTKNGISSTLFSQRTVGVGKREAKPQKVEHLDKDIGLKNSNGPKKKIVVLTNAKQLPRTSPIKKIGNGVAGIGLSEPPNYRSSQSDNKKGVSKTLHKKASSALQEDIALSGGNNGSTNKAKNSVSFSISEDISAKERRVVKANDEMMEISTPPPGKGSPERSNSRKKWSNIGTSSKALSGFRKLLSFGKRTRGEMSWNRCTWKRWYQDRRKARTGCNITYSSRKKSQLRYRRSCSKDDLVLGRFTENCPRNPNLLLIHKPSMEDAFILSVTKDLNGVTNVEDDGVRHAFCRHPSSAIEELEPGDHVVEYERECTHVCVSFNTEC >KVI02210 pep supercontig:CcrdV1:scaffold_5:105661:109173:-1 gene:Ccrd_019460 transcript:KVI02210 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b6-f complex Fe-S subunit MAAFTLSPATSSQLRSSNNGLFSLPAPQGLMARSGKIHHLAGRERGMKVTCQAASIPADRVPDMEKRKLLNLLLLGAIGLPTTGMLLPYTYFFVPPGGGSSGGGTLAKDALGNDIVAEEWLKTHGPGDRTLSQGLKGDPTYLVVENDRTLATYGINAVCTHLGCVVPWNKAENKFMCPCHGSQYNNQGKVVRGPAPLSLALAHADIDDGKVLFVPWTETDFRTGDAPWWS >KVI02201 pep supercontig:CcrdV1:scaffold_5:1033544:1045100:1 gene:Ccrd_019542 transcript:KVI02201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MEHCSVYSKPKPSATSSAHTQHSSQISEVKELEMKGNCNKSLKMLLSRGIEDLHDDHFEGSLDEDTIFRDVFFGHEESGRNTKRCLVTAAIHFENDDNKPKDASFLSNSEHSVMTIQEDFQNKEGRLSEEFIRNDPGVEVKRRKVSSVLEHSTTKSYLEKVVNSVTPPKEVNSCLCHPASIVTCRLVESSSQGVKPSCYLLKGNLGDRDAIKCRLCCSESTYLNGNDARKDYASPVSQESQSSPETIQCFKKRGKDSSFLELDEDENFFPPKDSTMDPKSLEEDENLVVLIDKSLHYLKEGKRVKAERSVADGSYLKHDTLSPLADVIATGNQIPERLGEESSSLVPIFGMDSVLKENSHKKSERIYDIKLTKSNITMNSIEANLDNHESCRKKMLCSFEEQNKKSKSKFKKSDRHSKSPKISVKCEKDHKKDREGNSHIGDDDDLLLSSISKNHSATKSSGVKRKSRVRNVLKKYKNRKSSCRLLPRSLAKGGQHHVEENRSSLGVRTVLSWLIDFGVIHLKEVMQYRNPRDNVVVKDGLVTRDGILCRCCDKVLSVSKFKSHAGFSLKCPCLNLFMESGKSFSLCQLEGWSTEYTVREGAIKTAKVEEVDQNDDSCGLCGDGGELICCDNCPSTFHQACLCMQELPEGDWYCSRCSCWSCGNVVCNIENPISGVLKCLQCKHKYHKECIKETRIESGSIHLGLDSRVGLMNPISDGFSWMVLRCTHGDQTVLSDQHFVALKVECNLKLAVALAIMEESFLPMMDPRTGIDMIPHDSWDGRCRDAPHCNIQQISAPWNLLKSLKVSKLVLSAIPSLVKTWMEGFGFTHLEAEEKKRLRKTNLMVFPGTVWLKKAIYKPMEAINLEQDVINCKELLQKDNDDDNGGLSHSRFEGRRSFEMGTRKVYPGAEEDGASSDLSYDN >KVI02240 pep supercontig:CcrdV1:scaffold_5:993436:998914:-1 gene:Ccrd_019537 transcript:KVI02240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate dehydrogenase/glycoside hydrolase, family 4, C-terminal MRSATMLRSMLKNSSSSSLLRRTYSSESAPARKVAVLGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINTRSEVVGYMGEENLGKALEGADVVIIPAGALVNMISNPVNSTVPIASEVFKKAGVNVPVVGGHAGITILPLFSQATPTANDLSAEEITALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSNITELPFFASKVLLVRLGKNGVEEVLGLGSLSDYEKQGLEALLPELKSSIEKGIKFANQN >KVI02234 pep supercontig:CcrdV1:scaffold_5:582718:586686:-1 gene:Ccrd_019495 transcript:KVI02234 gene_biotype:protein_coding transcript_biotype:protein_coding description:RMA3 [Source:Projected from Arabidopsis thaliana (AT4G27470) UniProtKB/TrEMBL;Acc:A0A178V4H3] MKSDSENSTGFCECNICLDSARDPVVTLCGHLYCWPCIYKWLHVQNASLESDKHPKCPVCKSPISNSSLIPLYGRGNLPSSDPESESRSNPEWRTTHQSELVIPSRPSAPGGSILHLNQPFHPGPFQPQTQPQPQIMNHPHPFGSYAFGPSNLGGPMAMTPTGFSNPFVGMVGEMVCAMIFRSSDSGFFAAYPYRSYQNPYLVPGTGSPRVRRQVMQVEKSLNRLTIFFFCCFMLCLLLF >KVI02225 pep supercontig:CcrdV1:scaffold_5:712886:718468:-1 gene:Ccrd_019510 transcript:KVI02225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aldolase/adducin N-terminal MAAANGMKIATTSQAYLEGSHVKQTKSLIAELCRQFYNLGWVSGTGGSITVKVHDDSVCKSDQLIVMSPSGVQKERMVEDDMYVLSPSGSILFSPLPKPYPHKPPKCSDCAPLFMKMTHVLVIFQAYDRRNAGAVIHSHGMESCLVTMIDPLAREFRVTHMEMIKGIQGHGYYDELVVPIIENTAHERELTESLAAAIEAYPKTTAVLVRNHGIYIWGDSWVSAKTQAECYHYLFDAAIKLHQLGLDWSTPSHGPIQNSKGVLGRRTTNTSPKAGKLTLSNEAGTTPRCVVLDIEGTTTPISFVTDVLFPYARNNVRMHLEETYDTGVVGAVPIPSDDAGKEEVIASLVANVEGMIKADRKITSLKQLQVYIYSSGSRLAQRLLFGYTNYGDLRKYLCGFFDTSVGNKKEAKSLEVTISLRPGNGPLPDNHGFTTVKSFTEIPF >KVI02243 pep supercontig:CcrdV1:scaffold_5:979281:982184:1 gene:Ccrd_019535 transcript:KVI02243 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MGVCNWFKKISGNKRKKEISPVKLEIQPDSIDSKDAKDTYSTGNKSGFLASGREVIGRKIGTKEIAATRIQSAFRAYKARKALRYLNGISRFQALVDMDALTKQASNALEILHFWSKIQAEISARRLSATEGQIKQMKLGDQLKVESKLHELEWRANSNRYFGQAYYDLSKESWGWKWIERWIAVCRCEAHVVVRPVVSPRAGPKPKPKKVLVAMGMEKVQQKQAIVLPEERC >KVI02202 pep supercontig:CcrdV1:scaffold_5:1024856:1029352:-1 gene:Ccrd_019541 transcript:KVI02202 gene_biotype:protein_coding transcript_biotype:protein_coding description:DJ-1-like protein RKRVPDSAAHPCYRPETLLDRVEKAEPRARNCRRFLEIHNQQVKMALSHLTPLSPFTTLARRLPPFPALSSATSRRHNRISFSVMASAVRKVLVPIANGTEPMEAVITVDVLRRAGADVTVASVENQLRVDACHGVKIVADALITDCVDTVFDLISLPAVGTLTNNHFFLQFAVMLGGMPGASTLKDNKTLENIVKKQASEGRLYAAICAAPAVALGSWGLLKGLKATCYPSFMEQLASSATTVESRVQQDGKAVTSRGPGTAMEYSVALVEQLFGKEKADEVSGPLVRSSFSCLSLFILMPSKHTDQFIVAELNKLQWTSSSEPKILVPIADGSEEMEAVMIIDVLRRAKAEVVVASVGDKLETVASRKVKLVADMLLDEAAKLSYDLIVLPGGLGGAQAFASSETLVNLLKKQSESDRYYGAICASPALVLEPHGLLKVFIFTTFYHIFIPLHPSEAESRVVIDGKLITSRGPGTSLEFSLAIVEKLLGREKALELAKTMLVM >KVI02251 pep supercontig:CcrdV1:scaffold_5:282854:284838:1 gene:Ccrd_019471 transcript:KVI02251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVITEIIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFVFCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGSFARASGDYAIVISHNPDNGTTRVKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATASKADK >KVI02259 pep supercontig:CcrdV1:scaffold_5:704099:704800:1 gene:Ccrd_019509 transcript:KVI02259 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MEITSRLHERENPSPANSPTSHASSSNSNGHVAGGGATVQTPPLTPRPVSRSEPSPYPTTFVQADTTNFKQVVQMLTGSSETAKHASSKPTQQPDPAPAKVSIPPIKTGQQKKQGFKLYERRNSLKNGLMINPNSVPKFGNGHGSSPRFHEILSPSILDFPSLVLSPVTPLIEDPFNKSSPSVGNSSEEDRAIAEKGFYLHPSPRAATPRGAAEPQLLPLFPVSSPRVNGSPS >KVI02208 pep supercontig:CcrdV1:scaffold_5:1113693:1118368:-1 gene:Ccrd_019549 transcript:KVI02208 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MASSTERENFIYVAKLAEQAERYDEMVDAMKKVAKLDVELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEESRGNEVNVKRIKEYRQKVETELSDICSDIMIVIDEHLIPSSSAGESTVFYYKMKGDYYRYLAEFKFGNDKKEAADQSLKAYQLASTTAEADLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDSLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDQKMEITKSGAEDAE >KVI02229 pep supercontig:CcrdV1:scaffold_5:607768:608598:-1 gene:Ccrd_019498 transcript:KVI02229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2921 MVLISDTFSCIFIARLLFHVKKRPQMVPSVSVLMMLILALGYMVPPVLNFEGMFSTIRMQNDLSLRTIGGWLEIIEMVVRTVTMVAFILQLHLLHLIWTAKPNNNDGNQKNPWISEMQTFIICLPVYILGGLTMWLVNWKNNNYTIASQGSIWGDLRSYAGLTLDGFLLPQLVLNVLQISKGNALSHSFYIGTTFVRLLPHAYDLYRGPKNISQQFDRLYIYANPRTNFYSPSCDIVICCGGIVFAVIVFLQQRIGGRFMFPKRFQESAKSITNCL >KVI02205 pep supercontig:CcrdV1:scaffold_5:1084323:1086886:-1 gene:Ccrd_019546 transcript:KVI02205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MVAASLRDEESTYFTLLSIFHIKFSRKSKSIQKETIFSSIQALLSAPNPDDPLSENIAKHWKTDEADAVETAKEWTHLYASGT >KVI02218 pep supercontig:CcrdV1:scaffold_5:218778:220135:-1 gene:Ccrd_019468 transcript:KVI02218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNWWDSSSRTRPCLDSVSVTSSIDLFQDTHDHTTTAAATTTATATATTSLHMMGLGLSSQSLPQSLDWNQALLRGDQKSNQSGYRNLLQDQDNNLSSNTNTFQLENNQWRSQKMYSANSQDSASDFKQINVVRGFQLDQPMHDSDNESIITCQGLNSSFQSMDLYGSPASTIMQSLFGSDNNNQQQDSGFDQNQGMSYSLYQSSYGSMNMPGGGGGEFAINAHPKVQLPIADIGGNQLHFSNNARFWNASGGGVNDIRSGFFPSLQMQLPSSSFEDKPKVTKPANPNEKELGFQ >KVI02173 pep supercontig:CcrdV1:scaffold_5:31734:32560:-1 gene:Ccrd_019452 transcript:KVI02173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAGRGKTLGSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKVGGSSKPSADED >KVI02204 pep supercontig:CcrdV1:scaffold_5:1096875:1100707:-1 gene:Ccrd_019547 transcript:KVI02204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLR >KVI02265 pep supercontig:CcrdV1:scaffold_5:643422:643856:-1 gene:Ccrd_019503 transcript:KVI02265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGFFSICMIYSIVALSCGTLMMFYSHEVFAFSHGNETAIKLLGSTPHDQLLIQTSDSFSGLLLFAVGILLFMVAFVKDRDFQSFFAKGCVLLHIAMAVWRIYFERKLEELGRDWLRLVLGDFILALSWVLFLVYSWREKYD >KVI02209 pep supercontig:CcrdV1:scaffold_5:1102527:1103768:-1 gene:Ccrd_019548 transcript:KVI02209 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLTRSVANDVLTVGKELRTLADEFIPREYQDYYIHEMQKLLRKNDNEFTIVIDEYQPQLNTADEMFKAVETYLGTKVNESCIRKVNVCKNKETLAVTMNVNEEIIDDFDGVQVKWRSTTEQPGLRCYELTFHNKHRFKILESYLPYILERSDAIKAEESGLKLHMIHDGYPEPKTIILDNPMTFKTLAIEPELKKTIIEDLNNFKNGKGYYRRIGKAWKRGYLIYGPSGTGKSSLIAAMANYLNYDIYDMDLTKVDSDSQLKNIMLKTPSKSILVFEDFDQLQNPIVDDTTGKLSPKKTFSRLLNFMDGIWSCCREEQIFVFTTKCIDKLDPTLLRPGRMDMHIHLSHCTFSAFKQLAFNYLELEDHKLFERIKELLQSANATPAEVAGELMKYTTNSTLLLERLIAYLDNKS >KVI02199 pep supercontig:CcrdV1:scaffold_5:734864:735802:-1 gene:Ccrd_019513 transcript:KVI02199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MSIMAFQKSFWFLMAIFITFISSSHARTFEVGGKDGWTLNPSENYNNWSGRLRFLINDTLHFKYNGGSDSVLVVNKGDYDICNLNSPIMKMEGGDSLFKFDRSGPFYFISGNKSNCDQNQKLIVVVLALRNRSPPPAAATPPSPSSLPPAPAVSPVVPPSPSSPSPAAISPGSPSPAAVSPGSPSPAAVSPGSPPPAAVSPGSPTSTPSGTPVLNPPATSPGGGSSSSPGGATGESPGSNNPADVNSPPPPSPSASPVALSGTVAASLATMIFALWSIN >KVI02261 pep supercontig:CcrdV1:scaffold_5:671537:682963:1 gene:Ccrd_019507 transcript:KVI02261 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding S4 domain-containing protein MGIDGVESELAHVAVENGKKEIGLNGHVDENESGTHGNESVRVETTPDTSFPKDAVDEWPEAKVFHSVYFVKYRTVEDQNLKAKLDLADKELRKLNQARDPITEKLRAKRTERAQVIGQLKALVEEKNHFRTIMDDKRKEIEPLQQALGKLRGPRDANRDNRSFICSSEGELNDVIKSLQYRIQHESITLNEEKQIIREIKQFEGTRDKVIANAAMRAEVQKSVGEKDAIQDQVKLIGVGLDGVRKDQQAIKAKVKTLEAEKEAINSVIASLEEELHGLVEKRDKVYEKIRELRNKREEGNSCFYQNRSLLNDARRLAANKDSKAFREDYEKRILQSLDIRQLTKDGRMRNPGEKPLISQEIPTPVPVEIEVVAKPKVKQTKEEPAPPPVAIIEEEKDGKNAKETNGTVKGKKEKKEEEEVFRVEKKPTKTKEVDESKLKEIKREEEIAKAKQALERKKKLAEKAAAKAQKKAEKEAEKKLKEITLDREKKEKKKMQASAPAADPEEATTEEAAAEAPEEEKIEENVKITAKKSFPKENTRVRSRNVRGKGPESISKVILKRKKSNNYMYYYAAAASAIVVVILAIGQTENSIYDGLEEKKGFKIITVRRTNNNPAATRHRRPTAMASMSFAAVVQPLLKRAVQAIPNSSFTIVNHKFPPSLFHAPLISSGITIGICHLAQAVKGDNEILLKGVGEKGYNEEAKRASLRREVLHTDFLTPPVVKESMMIIEKLADVKTLVQGGYPEAERCRLSVGHPEALTTEPDIGEKGAHVLVIPELVDFLTISLDKMPLIALEYEPPRTKTFKTVEASMRIDSIASAGFKISRSKLVGLISDGDVRVNWVTVTKNNTTIRSGDMISIGEVNETKKGKFAVELIRFL >KVI02219 pep supercontig:CcrdV1:scaffold_5:260944:264065:1 gene:Ccrd_019469 transcript:KVI02219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MVMKRHRRHKPPPPPPPPPPPYIHRSTTMPEAPKNSRDQSSTTMATMDYSLTEKNKKSLQFIEDVTSNPDDVQLRILSDILTQNADVEYLHRHGFSGQTDRETFKKLIPVVTYEDLQPDITRIANGDKSPILSSHPISEFLTSSGTSGGERKLMPTIEAELERRSLLYSLLMPVMNQFVPGLDKGKGMYFLFVKSEAKTPGGLLARPVLTSYYKSNHFKERPYDPYTNYTSPNEAILCPDSYQSMYSQMLCGLCLNQQVLRVGAVFASGFIRAIRFLEKHWSILCEDLRTGTVNPVITDPVVREAVLRILKPDPEMADFVANECSKQSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPNEVSYTLIPTMAYFEFLPVNGDQEQQLIDLSDNVALSIDSDKTDEVELHQAIEKASSHLVPFDATLIEYTSFADTTTIPGHYVIFWELNQNGSAQIPPSVFEDCCFAIEESLNSVYRQGRASDKSIGPLEIRIVENGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLSSKVVASYLSPKCPKWVPGHKQWNKNNVDDQ >KVI02214 pep supercontig:CcrdV1:scaffold_5:188163:189164:1 gene:Ccrd_019464 transcript:KVI02214 gene_biotype:protein_coding transcript_biotype:protein_coding description:D123-like protein MKEEEVNRCQIQEWYPRFKSASIKTVIHELPESFIEYLLDDSGPFLLPLSISNDDALPNRIHKPEEEEDYVISEEPSDDDSEQTSPPPSFPELESQINQSIETLGGAIFPKLNWSSPKDSAWISPNGSLKCTSFSEITLLLKSSDSLVHDLCHAYDSCSDCSIRRPTRFFLALRKWYPSLHPEMEFRCFIHNRILVGISQREVTGFYPILTEQKHELEPVIKIFYTGEVSTRFESESYAFDVYVKRNGDVKLLDFNPWCEFTLPLLFTWEELESEQLSREMEFRIVESQCGVRPGLKTAVPYDYLDTSEGSGWDQFLRNADEELRRQTRSAGG >KVI02250 pep supercontig:CcrdV1:scaffold_5:285871:286185:1 gene:Ccrd_019472 transcript:KVI02250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function wound-induced MSGETVRKACVVAASIGAVEALKDQGVARWNRPLRELHHHAETNIVSSYWKITVDRPTRLPFTSTISSWLAVAMNGKHKVKRTKEESMKRVVETSCFGPNTVRF >KVI02171 pep supercontig:CcrdV1:scaffold_5:26059:26457:1 gene:Ccrd_019450 transcript:KVI02171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAGRGKSIGSAAVTKKSTSRSSKAGLQFPVGRIARFLRSGKYSERIGGGAPVYLAAVMEYLAAEVLELAGNAARDNKKTRVVPRHIQLAVRNDEELSRLLGAVTIANGGVMPNIHAHLLPKKSPVASSKGDD >KVI02267 pep supercontig:CcrdV1:scaffold_5:618040:629932:-1 gene:Ccrd_019501 transcript:KVI02267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSSGSGASSSHHEHGHIMEDVKVDQDSGIVGSCSKLGNVLRKDENFKKFDIVEDISDHHYAARRPASMLQASPMKTWARKIREEWRILNKDLPEGTPYHDGLFFFDVCFPSNYPFSPPHFEGFVIAHFRDRAHAILMSGKAYCKGVRVGCVVDKGEGRSRRFKSDVEQCMKMLAREFEQIGVKGMEEFKRGDVDDSLTRKIRAKRVFPGSSSSMEAKLEVSSPPVNRNLKRKKMSKQNEGGISSALGGIVELDSDAGVSNSYDRKDYMDFYADDYIYKDEDEDAILKSYFDNIDLPTGVEASLPGFYDSIKMKNKMTSAVQDTFSSNGFMQSTHPDYARLNLSSMGSPNLDTASHKHNVERLPLRLSGERTKGEHATVGFSSSACPSLQLRRDAKKVKHVKGSLEAGDHRMSSGSGASSSHNTWLSAVAVYPQVVDPKVEVNEFVDVPEDGEIVSMEDAMLDQDPGVAGSSSSMGNLGNDDDDVLRKDQKFKKFDIVKDHSCHHYAAQNSSMMQASEVIVELHFEDLVIEHFRDRAHAILMACKAYRKGVRVGCVADDKGKDKQEGYSWVFRSDVERCMKMLVEEFKKIGVKDMEES >KVI02222 pep supercontig:CcrdV1:scaffold_5:741271:746543:-1 gene:Ccrd_019514 transcript:KVI02222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MSSENTLPLITKAEGGGGDGSWWKKVLDWDEAKDQILFSLPMILTNVAYYCIPLVSVMFAGHLGEVELAASNLANSWATVTGLSFMVGLSGALETLCGQGFGAKLYRMLGIYLQASCWISISFSILISVIWFFTEPILILLHQDPQIAKLAALYIKYLIPGLFAYGLLNNMLRFLQTQSVVAPLVLCSVAPLILHFGIAYVLVQRTSLGFVGAPLAASISLWISAIMLALYVLFDKKFKETWHGFSMESFRHVFATLKLALPSAAMVCLEYWAFELLVLLAGIMPNSEITTSLIAMCVNTEAIAYMLTYGLSAAASTRVSNELGARNIDKAKNAMGVTLKLSVLLALIVVLALGFGHDIWAGFFSSSPVIINHYASMTPLGGEGVRLATSGCVHQLRNVLSYRHADCSCPRVSRETICEGVVDWLNMRSGQSDRWSATTHVSQEMDASGTRLKQLFCRHGSLEYQHPRSSVDKLQNYPLPLFCGSTYLLREVTRDLKEPNACFLGSFK >KVI02228 pep supercontig:CcrdV1:scaffold_5:612060:614759:-1 gene:Ccrd_019499 transcript:KVI02228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2921 MNPSSPILLITIIFFFFFFTQTISTLTISYSQHCNSFAPEATPTQQVFTRHPFLEPLTSHYTGGQKILGHDSASHTAILLKATRNLFKTNITDTYKIQARLTFFPSNHSQLDRVWIDRHQLVFFLDGFWSVSTNMLCMVGSARWFLKEGNPLRLDVVLKLKMARYITLKTSLVNGILESLASRDDSDYFEPIRMIGFPQVAPFKYNYTLVSSDECNKSNSNTRQDSAISMQSIDVCSRFKSQTRTYQLEYPSSCRDEKNCTPFSQDSRFLPSFVALNGIQCSSADKKLRFLVEFQDRRYTPGSQSFNPNITLIGEGTWDGTKDELCIVACRILDQNDPTGSAHVGDCSIRLTLWYPAVRSIKNTHTTKGQIWTTKTADDIGYFETIKFQSFDHSLENYGSNYEYTQTEKVKRVCPTEKHGNRQGDVYPSGSGYNQDMTFDMSVKHNNMASTGFAVPIFVGNRVYHSGTGVDFNQRQAAQPPMTARSTTSHNTPLTISYEIGFTLNSTSGSGISSLKLSSTNNGRVDISAEGFYDDQKGQVCMIGCRKLHSFGKNKNSINTSFDCDIMVRFQLPRTNGDGGGFMKGSIESLRKETDVLYFEHLDVLSLTYTTTGATKSLWRMDLEIIMVLISDTFSCIFIARLLFHVKKRPEMVSSISVLMMLILASGHMVPLVLNFEAMFSNTRIQKDIPLGTTGGWLEMNEIVVRTVTMVSFILQFHLLHLTWSAKLRNDDGNRKNPWIAEIQTLIICLPIYIVGGSMPLLVNWKNNNYTIPSQGSIWGDLRSYAGLILDGFLLPQLVLNILQISKGNALSHSFYFGTTFVRLLPHAYDLYWGPSNISHQFDRLYIYANPRADFYSPSWDIVICCGGIVFAVIVFLQQRFGGRFMFPKYEMVPAASNE >KVI02182 pep supercontig:CcrdV1:scaffold_5:866586:871970:-1 gene:Ccrd_019522 transcript:KVI02182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF599 MGWTWKEEYLDLILVPFGFFIMCLYHLFLLYRYLKHPETTAIGYENHNKNAWVKKMLQFDAKDRGFGISVLNSHLSASTSLCSISLVLCSLIGALLGNSSSTLLTSSFILGDTSKSTNSIKYIAILSCFLLAFACFVQTTRHFVHACFLISMPTGDVPLDCIQKAVIRGSNFWALGLRALYFATTLLLWIFGPIPMVVGSIAAVMILYFLDINKDPMIRYGGNKNGGHFLQKIEQEITSVVGPTTNFLKTNFNHKVKPISPNRLYATSIHKQKTVKRNQMGWIWKEEHLDLILVPFGLLIMFVYHLYLLHKYLNDCKDTALVYENNNKKGWVQKILQVEQKDRGFAVAVLNGQLSASTSLSSISLVLCSLIGALLGNSSNNFITSSMILGDTSKSTNSVKYVAILSCFLLAFSCFVQTTRHFIHASFLICMPTGDVPVKCIQKAVIRGSNFWAVGLRALYFATTLLLWIFGPIPMFIGSVAMVIVLHFLDITKEPMIQYEPDKKIAQKTSIVGALDQNGRP >KVI02227 pep supercontig:CcrdV1:scaffold_5:730843:731142:-1 gene:Ccrd_019512 transcript:KVI02227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MANCVAFLIIWVLTFAIASSMNLPFEPDWLVVDPKDEMMAEPESVRRVLAGRGYISYGAMQKNNVPCNQRGQSYYDCKSRGKANPYSRGCNVITRCGGR >KVI02247 pep supercontig:CcrdV1:scaffold_5:1002420:1010067:-1 gene:Ccrd_019538 transcript:KVI02247 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MNIVIGRFSMSYLRLSYAPPELFLFPPSTLSFLVHRRHCCRRRLFSSFRCSASANSKKEKVIVISGPTGAGKSRLALELCKKLNGEIISADSVQPLAYVVDHCFSPQANKLFQPDYSAGNFYEDGRQATKDILNRGSAPIVTGGTVESELANFERNYDWDAAVEWVTKAGDPSAQSLPANDWYRLRRKLEIVKSTGLPPSAFPVPYETFKEQIDSHTKDLDIDISTEGILAEKQKDLDYDFICFFLSTSRLDLYRSIDFRCEDMISGNDGILSEARWLLDMGLQPNSNSATRAIGYRQAMEYLLKCEEQGGRSSERDFYAFLSEFQKASRNFAKRQLTWFRNEPIYHWVDASRPLEEVLEFIYNAYHDQTGKLAVPRSLSMKKDMSDRREIAQLKIYRPQRGLFTSHEACSDVLNWVSNNQRQKTELIAK >KVI02224 pep supercontig:CcrdV1:scaffold_5:782186:786000:-1 gene:Ccrd_019517 transcript:KVI02224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYC/MYB N-terminal MAGSGSTDRGKEAIGMMALHETLRNLCINSDWTYSVFWTIRPRPRLRGGNGCKVGDDNGSLMLMWEDGFCRGRVDEMESGEDQVKKSFSKMSIQLYNYGEGWVLGRLMGKVASDKCHKWVFKEPSESEQNISNYWQSSFDAIPPEWTEQFDSGIQIPEDLHFVLRMRHTFESLGYQSGFYLSQLFASTRGNIPSPSSSAIPLKQPPIPTRPPPLFNWAPPRTMPSPNFHNPAQLGYPPGPSKDETHMFLLPHSSEPQMENMMGGPDHHVQNDIKWPNGLSFFNALTARSDDAKILFNSDGLGNKPEGWHPSPEMKNPNDFLSLDNTNSDHGVGKVDHNKYKRSFTLPERMATSSSSSSSLDHHKHNQNGEYRNPEASMYSDVMETFLE >KVI02185 pep supercontig:CcrdV1:scaffold_5:920382:922198:1 gene:Ccrd_019527 transcript:KVI02185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MAQLPPKAPIMAQKWPSFAYQTMPMPSSASQPPTWMDDFIDFSSARRNSHRRSTSDPIAFIEAPPFINECRNSHVIDKSLMPCSNNNGFERLDDEQLSSMFSDDFTANLQSTRLLSMTSDQNSDDDEAKPTQPPEQQPQQLKHELGEVEDGGDYEQETESVKPHITFSSDGGTVVDPKRVKRILANRQSAQRSRVRKLHYISELERNGGIDTIATCSIFGSSAIDFKPHQEALKKEIERLRRVYHEQNMKKAETTTATTTGSPA >KVI02168 pep supercontig:CcrdV1:scaffold_5:80595:85904:1 gene:Ccrd_019457 transcript:KVI02168 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MSSHTIYSSPENLRSPLIPPENKTHLAGNDEQPIERLCIDDMLRKHCGEFGYWQLKHFVLTCLGWALEAFHTMVMIFADRQPDWSCLPNSDCVVAADKGVCELQPDTWQWDGGPATSTVAEWGLICGQKYKVGLVQALFFGGCMIGKSLICYLFYSTTEKNTAARAGIFGHLSDSKLGRKGSLTVVCILNAFFGLLTSLSPNYLTYTLLRFLTGFSTGGVGLCAFVLATEPVGPSSRGMAGMSTFYFFSGGIALLSGIAYIFQTWRSLYVASSIPSLLFVFLILPFISESPRWYLIRGQTDNAMKIMRAIAVTNGRSLPDNVYVSRDEEVNDNDQKGNEERSREVVTGSVIDALKSPLTRIRLILVVGINFACSVVYYGLSLNVVNLETNLYVNVLVNAIAEMPAFLLTAILIDRFGRKPLGVGTQWFSGAFCIAGSFMDGKGAWKVIRMFCGVLGIFGMAGTYNLLFIYAMELFPTVVRNAALGCATQAAQLGAILAPFVVVMGGGLPFLVFGVCGIVGGFLTFYLPETLNKPLYDTMNGMADGENEV >KVI02257 pep supercontig:CcrdV1:scaffold_5:375328:377775:-1 gene:Ccrd_019479 transcript:KVI02257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSFLNLGFRVLLVLISLYLHSSSSSFIPDDNYLISCGSSQNITFLGQIYVPDSSFHLKTPQNSNEIESNSTVPLPIHQSARVFTAISSYEFEIRKQGRHWIRLHFYPIPGHHLDSASFTVVTESFVLLNSYSFKSHNGSSFVSKEFSINVTSNTLLLNFVPSNNSIAFVNAIEVVSIPDNLIPDQAIGLSPSSPVNGLAELAFETVYRLNMGGPKLSPQNDTLGRTWENDEKYLHVNSSAANVSINPSFVKYPDDLTPDIAPNWVYATAQTMGDANVANLNFNVTWVLPVDPDFAYFVRVHFCDIVSTSLNTLVFNLYINSDNAYASLDLSSLTGNLDVPVYKDFVVNSTDNSNTLSVSVGPDADADEANAILNGLEILKISNGARSLDGVSVVGDLVVFPASRKKKIGVVVAAVLGLVVVVILLGLCYCWKAGRGSKKTDRTKPWLPLPLYGNSVTMTKMSTTASCISLASCNLGRNFSFQEIMEATNKFDESLLLGVGGFGRVYKGTMEDGTLVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGGNLDQIMDQNLVGKVNPASLKKYGETAEKCLAEYGADRPSMGDVLWTLEYALQLEETSSALLEPEDSSMNHIPGIVLSPLEPLDNSVSMIDGDDFRTNNGGAD >KVI02178 pep supercontig:CcrdV1:scaffold_5:1140820:1146539:-1 gene:Ccrd_019552 transcript:KVI02178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase MANRNLEKLASIDAQLRLLVPGKVSEDDKLIEYDALLLDKFLDILQDLHGEDLKETVQECYELSAEYEGKHDPKKLEELGNVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFVDEANATTESDIEETLKKLVHKLKKSPEEVFDALKNQTLYAKDITPDDKQELDEALHREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSQIEDLMFEMSMWRCSDELRVRAEELYRSSSKRDVKHYIEFWKQVPPTEPYRVILGDVRDKLYNTRERSRHLLAHDFLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITQHLEIGSYREWSEEKRQEWLLAELSGKRPLFGPDLPKTEEIADVLDTFHVLAELPADCFGAYIISMATSPSDVLAVELLQRECHVKQPLRVVPLFEKLADLDAAPAAVARLFSIEWYRNRIDGKQEVMVGYSDSGKDAGRLSAAWQLYKAQEELIXLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFCAATLEHGMNPPISPRPEWRALMDEIAVYATEQYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHAIEKDIKNLHMLQEMYKTWPFFRVTIDLVEMVFAKGDPGIAALNDKLLIAGHKDLLEGDPYLRQRLRLRDSYITTLNVCQAYTLKRIRDPNYHVTLRPHISKEYAEPSKPADELIKLNPKSEYAPGLEDTLILTMKGIAAGMQNTG >KVI02198 pep supercontig:CcrdV1:scaffold_5:766794:767842:1 gene:Ccrd_019515 transcript:KVI02198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MDSRNRSPRNPTIVLKFKNPSMAMEQLAEDDYLDDDAEESGQGYLQFGANGGIKKKKMVDSGAGGGGSGKRETAAINGGRMTPSCCQVDSCAADLAVAKRYHRRHKVCEVHSKAPVVVVAGILQRFHEVSEFDDARRSCRSRLAGHNERRRKSSSEATSVDIQGSSLGQVGDRRSRTPVALGGERFRIG >KVI02207 pep supercontig:CcrdV1:scaffold_5:1050294:1056463:-1 gene:Ccrd_019544 transcript:KVI02207 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2296 MGEEDDNTDKKTKRSFFSRVWNVLFRLHADDFEKRLQYISKEEATLLARMKRRSSSWKATTRNLIIVSVFLEVIVVVYAIITRSEDLEWQMRAIQKYDSDPAAKAAAASVLASKLCADSGLKVFLEEGFQFYTEDSGKSNDFEPVKPSGLRKRFTSESASKGGNFMPQFDKEMVQYGVENEVVSEVPPKKQLVVEHHDTITPVSQDGGWFARVAALLVGADPSQSYALICGHCHMHNGLVSKEDFPYITYFCPHCDALNKPRTSGENSPGTSTIGIRSPTNEGASANLIKRSSEPMKSSTLFGDGIKKEDNGRERS >KVI02241 pep supercontig:CcrdV1:scaffold_5:988073:992348:1 gene:Ccrd_019536 transcript:KVI02241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTLDADKISKEKSKWGGGNKVYTRRPKKGIKNAAATATVSVTAVSSATTIPSSPVTTATAVSPITTANNEQTLTPDDANLRRQQTLRRVAEASDDSSGQDLRQVVAEEAIVPTGNGVVRPVVTFVDDRVKIHLEASTSKNDIKELREKLTAELDQVRSLVQRLEDKEAELTNNSTLLGAAGIDEAYSHSQYPGNVMIDRRALLRVNSEMGADYMDRRALARVHSEMRSDTIDRRALMRVNSEVGSLANQDTRFRQLNVSVVDNNSLGAGEFVEKEKRTPKANQYYRNSDFLLGKDRLPPESNKRLKSNGGRKYSRESDYMIGLERQKNQVFRSCSNLLQRLMKHKHGWVFNEPVNAKQLGLHDYHDIIKHPMDLGTIKSRLAQNFYKNPSEFAEDVRLTFHNAMTYNPKGQDVHVMAEQLSNIFEERWTVIESEYNRDWRYGTIHGAGTPTPTSRKVHHFAHAPIRTLDRSEPMTLPYVSRPKPSNFAPSSRTPVQTKPKAKDPNKRNMTYEEKQKLSANLQSLPSEKLDNIVQIIKKSNTSLSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHRRKAELAQQARALAGNANRTMLENPATSIPEAPTEKRAAEKSLAAIPANQGDIRGDNVSRSSSSSSSSSDSGSSSSDSDSDSSSADGSDAGHSPRYVLAYLFIVNMNLRNYRISNDSDYEKIICALPGNENLLFLGYVSL >KVI02220 pep supercontig:CcrdV1:scaffold_5:806491:819514:1 gene:Ccrd_019518 transcript:KVI02220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MENGNGGVNMKTEPNLSIKGILRMLMANIDDEKNMRVISLGMGDPTAFSCFTTTSIAEDAVLDALTSQKFNGYSPTVGLPQTRRYLSGCTQAIELAISILATPNANILIPRPGFPIYDICAAFRNVEMRHFDLLPEKGWEVDLDAIDALADENTVAIVVINPGNPYEVYGHLAFGSNPFVPMGVFGSTVPVLTLGSLSKRWIVPGWRLGWLVTADPNGILKNAEIVERLKKYVDICGGPATFIQAAVPQILKETSDVFFTRTLGILKHSADLCVKKIKDIACLTCPTKPQGAMTVMVKLNVLMLKDIIDDTDFCFKLAKEESVILLPGVTVGLKNWVRITFAVEPSSLVEALERVKTFCHTHSYEPKASLHSVKKMENGNMKMETPKNITIKGILGMLMANLDDEKKRRVISLGMGDPTAFSCFTTTSVAENAVLDALTCQKFNGYSPTVGLPQTRSEYLSIDLPYKLSPDDVYITAGCTQAIEVAISILATPNANILVPRPGFPIYDLCAGFRNVEIRHFDLLPENGWEVDLDAVDALADENTVAIVVINPGNPCGNVYSFQHLKKIAETAKKHKIVVIADEVYGHLVFGPNPFVPMGVFGSMVPVLTLGSLSKRWIVPGWRLGWFVTTDPNGIFKNAKTVERLKKYFDICGGPATFIQVDISDDIDFCFKLAREESVILLPEPSSLEEALERVKIFCHRHSYEPKGSA >KVI02191 pep supercontig:CcrdV1:scaffold_5:527099:528841:-1 gene:Ccrd_019486 transcript:KVI02191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTKEVEIIGRIKSDFDGMSILRLLQTDYDGYSLLAIILARVGEILLHNHSTTIVDVGWKLEGNHFMAASNRNRNRHNHIIPSFSEVVRTVDPRGFQAEGTRVVFTNHRADTLQIAGSRLPNCMRACGSCLPCRLVRVRFGCSLGPTEAEACPVAYRCMCSNKAYHVP >KVI02179 pep supercontig:CcrdV1:scaffold_5:857025:857955:1 gene:Ccrd_019521 transcript:KVI02179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGAIFCVLAKTFGDYPFETLFQISQETENKPTLPMSTCCSNPSLMGQNQEILAKESSNEEQGASFLMRKGNPGRGLIREASMMNLGSGNGREDDIEDDESEFTMGRLIRQASLDSSRSSSLPRYPLQKPEANQEKMRNRSKNSMKMGKKAPTIPAGWVDKGSSEDMKEQIKFWARAVAFNVHQDRY >KVI02181 pep supercontig:CcrdV1:scaffold_5:879506:881449:-1 gene:Ccrd_019523 transcript:KVI02181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDRPPPHRTTSTSSTTTTTSDLFICFTSRHHHSSSSSTSSMKISSKSILSPGRHTSRDPPISLSTSLSRRLRTSRSIKGGASPAMFPTSGKKKGGGFENPEPSSPKVTCIGQVRVKSKKKQGKRLRTLSRRHSTGDVSFRKLDHNHHSRDGFSKSQNLGSNFQQGCNSFGSNQENLPEKLHNPRWVHLPLTICEALRALGSEFSCLFPCRSSCFSPATEREKEEKTDQRQGSGSGSCAAVFTRWLVAFQDGDDGGGRGGRREIELVVGGDDDDEGDDEIRSIRTSRRHVFDDLEIVNDRIEGCYKDEARLSICIPPKNALLLMRCRSDPLKMEALANRLWEPTIDDYEEEEDEDEYHIPLKTEAFDQEHEANQETILKRSLQEEDQETHNEYQETHEEDQEITFFGSLFDELVDQDQESDEMEDAKEELFEEEIQETEMESKIEKVKGFEEEVTQFGDRSETVDESKEREAGVLEREGLPECLLLMMCEPKLSMEVSKETWVSSKDFVRRHSSRKKPPAPPQLQPPIKPTNGQNESKVSSTTTTKGLASTTKYQNHVTAEDAAAAAVQPGRSSCSLPAPPSMAEMLEQKLVNAVGYEPFVLTRCKSEPMRTAAAKLAPDSCFWKNRKLEPLRRASFGVGAAGVGF >KVI02188 pep supercontig:CcrdV1:scaffold_5:927273:933326:1 gene:Ccrd_019528 transcript:KVI02188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGKLVVSTAASCFVFLLFLSSGKAAGRVHYDNNNDQLLLSDGTVSDHGDGHDSFLHDHLKRMESETEGNSCHQMFGVLPCSTTVVGTMFLVAVYDYLLYLGESLVSHDARDLLLGNGFCSAVFFQLLDSSPEPLVLAASVYWSENEAVEEHLAIAVGLLVGSPILLLTTVWAYCLLFGSQKFGYEEKSTNIIHGLRQQWTGSGVVTDSETSLRARFMLVSLIPFAVILIPMAVGVSSAYENLVLILAVLVTVAQLLREEKLSLMELITGMVPHHLPDDETQRKLAIDRLFGLMDRDGNSSISPSELKWFLGESKQKDKTVDEEVFEIIMTHLDVDGDGNIDLEEFKIGMTSWFWQIEQDYESQNHEIDHENRSWMNDAKEIFLLVVGISMLIIFAEPLTQSVQAFSESANFSPLYISLMLLPMVKHHRTAREAINEAARKKRHHMISSTFSEIYHRVLMNNLMGFSLLLCVMIYRGLTWHFSAEVLTLVIVCAIVGLLAGFNSKFPRRWTFIIALLYPLSFSFFIWVNIHFR >KVI02170 pep supercontig:CcrdV1:scaffold_5:27516:30579:1 gene:Ccrd_019451 transcript:KVI02170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSMAEEEVTDFWFLMVVLCALITCTTAADTISANQTIRNEEAIISAQQTFELGFFNPENSTKWYVGIWYKRIPPTSRTQTVMWVANRNSPLSDNSGELSLTIHGILVLRNTTGNVIWSSSNSSLPSMRKPVAQLLDTGNFVIREENGNPENSIWQSFDIPTDTFVARMKLGKDLVTGLERYLTSWKSENDPSPGDYTLWIDTKGYPQMIVRYGSKIIFRAGPWNGLRFSGLPEMRPNPVYKFGFVLNQREMYYHFDDVNSSEVSRLVMHPTGRLERMIWKESRQEWLGYSTPSTENCNSYGLCGSFGSCNITNLAALWVFGRVRADITGPVECGGCQRSTPLDCGPRESFRKYSNLKLPDTQESWFNQSMNLDNCEMVCKKNCICTAYTTQNITGTGSGCLLWFGNLIDIQTLPNSKDDVELPLIDFSTLRKTTNNFSDNNKLGEGGFGPVYKVANELRIIHRDLKVSNILLDHDMIPKISDFGLARSFGGNQIEANTVVGSDLEHSEIHCTYHLTNLLFLELNNKFAAMAIWHQTSVDESICSSEVIRSLCVQPRPEDRPTMYSVILMFESDYELPPPKFPDFFYKNTTTDTPTYGTNSNNELTVTIC >KVI02254 pep supercontig:CcrdV1:scaffold_5:332672:336070:-1 gene:Ccrd_019476 transcript:KVI02254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTNRKMALSAIDGGGKIIENEVDVVKNTDSMDHWDFLEEIEAPMWADLRLCDLINDEIDDPWFDISHQFHQCSSSQLLSRVFHSGKAGGSNSISVQEPSSPKLPSSVSKSRGKSYKTKEWGQRRCMATSNKQHPVKALISKSSRSIESSNTEKPVSRTGKVKENEGLKACSSCESGLTDTSRPKFVKSSSCSLARQEQEQENSSQSTVTFDRSERQENKGLEVSFQTSSQTSGLLSSLRISLRRSCATRPAARVVANGGRQSEGCKSSSNKSSVGSPLDQGSVSKKITIGDAQNKLITRNRINVARVSQPPRNKSKAPNATKAPASNVRNLSNRQGNKTLASKVTTKGKVQQHTSVGKVLMPHKVNEQRQMVDKEAGKVGVGRRTTFLTGIKETASATMATSQKTKSSDKAAQSMGHVQRASKQSLTQKNGGIKLIGLKETTNNRSKDKDTANPARKVYFR >KVI02266 pep supercontig:CcrdV1:scaffold_5:635504:636261:-1 gene:Ccrd_019502 transcript:KVI02266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine alpha-hairpin motif superfamily MATQMENAGNEVSCPWKSTAYCLYSAISMVNTGELVIMSGLQTQDFPSTLRLTKTPKDQGPTVSESKPKKKICCACPDTKKLRDECIVEHGETACSKWIEAHRLCLRSEGFNV >KVI02211 pep supercontig:CcrdV1:scaffold_5:111431:124025:-1 gene:Ccrd_019461 transcript:KVI02211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MEMELLRTNLSKVRIPEPTNRIYKQECCISFDTPKSEGGLFVDMNTFLAFGKDYVGWNFEKTGNPVYLHIKQTKKPIPEDRPLKKPTLLAIGIDGGFDNQELEYDETYNIVILPDYVTFPFPSVALPEKVFLCFYFMVLHLSFLCSFLIWEIFSSRLVQSIQRLILVSAQVRLAVDAILIAEGAERKEQVASWTADKKQTSEYALTLKQIDNGVIIPPSGWKCAKCDKTDNLWLNLTDGMILCGRKLWDGSGGNNHAIDHYNETRYPLAVKLGTITSDLEGADVFSYPEDESVTDPLLAEHLAFFGIDFSSLQKTEMTTAEKELDQNTNFDWNRIQESGQEVEPLVGPGYTGLVNLGNSCYLAATMQVVFSTHSFCSRTKLANGLLSGKYSVPAVQKDDVANAADSSQDAKQEGVRPRMFKADALEFFLHLIDQVERVNAGKPELDPGRSFKFGIEERLQCPSGKVAYNKRHDYILSLSIPLEKATNKKELEEFHKLKAREEAEGKEMSSDEIVRPRVPLSDCLNIFSAPEEVPGFYSTALNAKTTAIKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVDDIIDISHMRSKGLQPGEELLPEGGPGGQEESEKLLPNEDIVSQLASMGFNHLHCQKAAINTSNAGVEEAMNWLLSHMDDPEAESNEVDPSKVATLVSFGFEEEIARKALKASGGDIEKATDWIFNPTASGPSDMDATSSSGPTVDATLPDGDGKYRLFGLVSHIGTSTQCGHYVAHVYKEGRWVIFNDDKVGISKNPPKDMAYLYFYERIRN >KVI02175 pep supercontig:CcrdV1:scaffold_5:86979:90806:-1 gene:Ccrd_019458 transcript:KVI02175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAMAMAMRTATTVAAAGPRGLRSLFSTLSTNFPFPSQPANQPQRPQAEPSTNLFVSGLSKRTTDEGLRECFAKFGEVVHARVVKDRASGWSKGFGFVRYTTLEGAAAGIEGMDGKFLDGWVIFAEYARPRDPSPPPPPSYGNNQYGQQ >KVI02194 pep supercontig:CcrdV1:scaffold_5:497172:527061:1 gene:Ccrd_019485 transcript:KVI02194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MKLKSPTIYLFFFLISASALLLEASNNGGVVKLPEKEDPHIKISDFPPGFLFGAASSAYQVEGAYLEDGKSLSNWDVFCHSVGGRFGEVNPAGIMFYNKIIDNLILKGIEPFVTLYHGDFPHELEERYGSWLNAEMKDDFVHMAEICFKSFGDRVKYWITINEPNLVTQYAYGTGIFPPSRCSEPFGNCLAGNSDVEPLIAMHNMLLAHGSKQGGSIGLALHCDMFEPLTESELDRNAAKRAFAFNIGWSLDPLIFGEYPEEMQEYLGSALPSFSVDEKNLVKNSIDFIGINHYTTTYTKDCTNSSCSPTADRAIQGFLDTTGERSGGRFGEVNPNGVLFYNKILDNLILRETCFKYFGDRVKHWTTINEPNLFTQMAYINGKYPPARCSQPFGDCSAGNSDIEPLIVMHNLLLAHGKAYLGNQLPRFSVDERKFMADSIDYIAVNHYSTAFVKDCIHSSCSLTANRAISGFVDVTRERDGVQIGEPGILNQKGKKYKFKIYEKTDGADVRGYFVWTLMDDFEWILGYSVRFGLYYIDRQTLDRIPKLSARWYQDFLKNDTKAPAIRISDLLPTMAVEGSNSKKKKMPTSKDPIFHLGIEPFVTLFHDDLPQELEDRYGSWLNPEMKDGFVHMAEVCFKSFGDRVKHWITINEPNIVASYAYETGLFPPARCSEPFGNCLVGNSDVEPLVHKQGGSLGLTVHCFMFEPFSDSELDHDAVERAFAFNVRWALDPVIFGDYPEEMREYLGSELPSFSLDEKNLMNNSIDFIGVNHYSTIYAKDCTNSSCSATADRSIQGYSPPDVQAERVNELVNDVKRVGYHTSGGADVWGYFLWSLMDNYEWLQGYKVKFGLLHVDRKTLTRTPKLSAR >KVI02206 pep supercontig:CcrdV1:scaffold_5:1071501:1075890:1 gene:Ccrd_019545 transcript:KVI02206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MADSGKPISELGLLHTLPGRLKLLVSDADNTNKPDFRQLDLGSPVSPLRPRPAISSSSSSSGSGSGSGGNGNGSHFLSTSRRSDSGELSADSSPTSSVGGSCSKKSTHFRSYSAGGTGGHPLIYSGGSSATSPTTNVLPTGNICPSGKILKTGMMANRSSKTDVLSFGTCNYGHGSIMRGGSATKTAVAGGGTETPFPNPRSSRRSSIDPEELKRKGNEEYKRGHFIEALSYYDRAIAISPENAAYHCNRGAALMSLKRLTDAVKECDEAIKLDSGYVRAHHRLGSLLISLGQVENARRHLCFPGSQPDPNELKRLQTVESHLSKCTTSRRVRDWARVLRECDAAILFACKAEALLKLSRLDDADLSLSSMRKFEASCSLSFSQQKFFGMAPQAFENAVTTIEKSGQIDPRNVEIAVLLQNVRSVSRARACGNDFFKSERFKEACSAYGEGLRLDPSNPVLYCNRAACWFKLGQLERSLDDCNQALLIHPNYTKALLRRAAIYTKLDRWAESLRDYEVLKRELPNNNEIAESLFHAQVALKKSRGEEVYNMKFGGASVVLFKSSSEPRCKQISPFLDTLCTRYPSITFLKELLLIQIVLYPKVDVEENQKIAKSENVGIVPTIKIYKKGNRAKEMVNPSQEALESSVKPYSS >KVI02244 pep supercontig:CcrdV1:scaffold_5:960775:966534:1 gene:Ccrd_019532 transcript:KVI02244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGKLVARTAFYSSFFLFLLNTVAGGRSLQHEQQVSDGRHDHEAVSTFLLNIKETSDDSEENCEQMYGFLPCSRNLPGHLFLIVVYEYLLYHGESYAGGDGRIFGVLGNNFFGATSGLTTSTEKAQDYVVTGAGLLAGSSILLLTVLWGICFICGRTKFYVKPGSKPRNQAFQLLTGSGVVTDAETSYHAKVMFFSLIPFLVILLPSVFGLSYSSQEYKTVILVSLSAAVICLFAYFYYQIFDDRIQNRRLEYAEVERKIELHVPFYEVQALMLDREKHLLIRQKDMEKTLKYPENNDDRTMTRGEFYDTFEEWLDVTRILIDDPYSLDKSGTEYNQNKLIEHISLMMERASGQKLLKENGARDESAIDRFFERIDSNHDGFITQSELKNFIMEVNYEEIVLMEDEIADIIIRHLDIDGNGDIDKHEFKSGVMRWLKEIDHLASRKHKLQRNQLTAITLLIVGIFMLTVLAEPLVESVRKFSESVRIKTFYVSFILVPLATNARTAIAAIRAASQKRHQTTSLTFSEIYHKVFMNNILGFSVLVSVIYFRGLTWHFSAEILVVIIVCVVMGILASSRSKFPNWTLFVAFPLYPLSLVVVYFVDDTFRIT >KVI02226 pep supercontig:CcrdV1:scaffold_5:722225:729369:1 gene:Ccrd_019511 transcript:KVI02226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MGKGTNPFVKKKLFEDQFQFLRQYIGKPLAFLLDIPVQLVQAPFLIANDISGSAPRGFGIPEFISKLSYSAIFAVAALGTCDIALELGKKVICQRNCQTCNGWNALRCTMCRGTGKVMYQVKNYRLRSGEKPTAEAIADAITENRAELVHLPATMDLNVPLPTKDCETCDGSGVMKCYECKDKLQVRISADDIIEPPWKAYNIMKKMDYPYEHIIDSMKDPSIAAFWLITMPQIMGGFEYDDEVKQKIWWQYKENMRYDQLREAVAKRKPGWEYLQEGLISMDPERARSDPVIVKNIPYYKAKNALETEVMKLDPPPRPQNWGELNVPLSASSWSEEDLKDPKKLYEKTVLLNAQREIAEKILDDQWETTWRQEKLNKMLEEKLQPFIQEIDNGVLPHPILAGSQKNDQKRSHRSRRWWLF >KVI02203 pep supercontig:CcrdV1:scaffold_5:1021768:1023573:1 gene:Ccrd_019540 transcript:KVI02203 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MELPVRSPVTGDGRKTSYKIETKNLAYKSSNFSGEWKWGCLQKHFKKSPKFILNDVSCEARPGDLTAVAGPSGAGKTTLLEILAGNITSGQVSGHVLINNHPINTKRFRRLAGYVTQDDALFPSLTVEETLMYSAFLRLRCGRKEAVDRVKILINELGLERVSSSRIGEGSNHGISGGERRRVSIGVELVHDPAVILIDEPTSGLDSGSAFGVVSLLKSMAANRGKTIMLTIHQPGFRILELFDRLVLLSNGFVLHNGSLKSLEHRLKVSGHCIPPRVNVLEFAIDVTTTLIIPTPKTAIEALENHEKPETQREFPYTNSHFEEVRILSKRFFKNIFRTKQLFLTRIIQAILSGLILGTIFVNMSTNKGKLALQARLGFFAFTLTFLLSSSTEGLPIFLQERRILMRETSRGAYRVSSYVISNTLIFLPFLLMIGILYTIPVYWLVGLRPEIERFFYFGLVVWMVILMSNSFTACFSALVPNFIMGTSVISGLMGCFFLFSGYFIAKDSIPKYWIFMHYMSLFKYPFECFMINEYGGEEGRKMCVEMDGGDCRMYGDGFLEQQDIKETQKWSNLGVMLGFIIGYRLLCFFILWFRCHKTRN >KVI02187 pep supercontig:CcrdV1:scaffold_5:937045:940413:1 gene:Ccrd_019529 transcript:KVI02187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLVVSRRSASCFVFLVLVLSAGKVAGRVHYDADAIDQLLLSDGSVSGHEEDSILHDHLKGMDYCEGKSCHQMYGFLPCSTNLPGHIFLIVIYEYLLYCGELCVTRLSHNEAAEEHLAIAVGLFVGSSILLLTLVWGCCIFVGQRKFDDVGSGVVIDAWICLDAQFMLLSLLPFIVILIPMAVGVSSASERFVLSLSLLVAVLCLFTYFFSQSSFGDHFRNENYRSLEYTDIERKMEMHVPFYEVQELMRHRSKHLMSMGMEMEEKIMGRDVTFDFKEWFYQKIHSMDDPYFSYKSNQVARLLLEEKHSLMGLLVDRKVSHDVHTDTEKAIDSLFENIVTDGIEQSELKQYLESQYKPDKPELYKEVVRMIRTYLDATEEDGNVDPKEFKCEMKARLWEIEHYKSPNHPLTHSVQIFSESVNIPPFYISFVFLPLATRYRTALAAIGAAKKKRSRTTSSTFSEIYRQVLMNNLIGFALLLRVMTYRGLTWHFSAEVLTLVIVCGIMGIFASSSSKFPNWTLIIALPLYPLSFIFLILVNAHFR >KVI02248 pep supercontig:CcrdV1:scaffold_5:1011897:1015390:-1 gene:Ccrd_019539 transcript:KVI02248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRYNTQNWVGNMYQRFEAVCQEVDEFVHQDKVKYVESHMQTVGESVKKFYSGVVQDILPPLADPVQHVAQLIPSKRIDLVETYTSTVVGIKENTVDSNTNKSDNAALVPTEKCHKPSSTGLCHSVQISGEISGYPIGEADANSFFQQEGDNNINKTSAKTIQVHLNSSTSSQEDFVVDRSLCTDLSSSKDHKDSSEAIIRIRCSDEKCDDDHSVKITRQSSEDHLDNKSFEEEGILCNMSSKIDASVFEDADWGIENIFDLNLGIDEKINGGPSPPCPRPSEDVNSSADKILFPSVEEKSEKVSMETLVSGLGISCSQEKMTLLNSSIDEFESDSNTSSDFKSSIMWDENKEEKDCHIFAGDLLPEKLYDSSSEHDRCNLAPFEPSVFCSKIGEAEGSMVDDVEDLNMQAIDLSDKKQKMIQDAFMSRKRLTKEYKQLVIWYGDIEKEFSEPTEASNMGATPKSPARDLCDSEWEIL >KVI02230 pep supercontig:CcrdV1:scaffold_5:544407:545672:1 gene:Ccrd_019491 transcript:KVI02230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MDSTTQSKPPWPELLGSNNWKDLLDPFDINLRNLILRCGDFCQATYDAFNNDKNSKFAGSSRYGKQSFFHKVMLQSASDYQIATFLYATAKVTVPEAFFLHSLSREAWDRESNWIGYIATTTDEVSKSLGRREIYVVWRGTSRGYEWINVLGAKSESAEPILRQKKWRTTATATGDLSSSSSDSDEDEGIPKVMKGWLSVYISEDPNSSFTKLSARTQLMKNIKQLVSKYENEEISIIITGHSLGASLAILSAFDLAENGITNIPISAFVFGSPQVGNKAFNDRLNQFSNVKILHIKNKIDVIPLYPSGLLGYVDSGVLFEIDTRKSGSLKKSTNTGDWHNLQGMLHVVAGWNGVDGEFELKVERSLALVNKSSEFLKDELLIPGSWWIEKNKGMIMDENGGWVLEPPAEEDVPVPENLIE >KVI02195 pep supercontig:CcrdV1:scaffold_5:423124:426473:-1 gene:Ccrd_019482 transcript:KVI02195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSTSSQQPQLPPGFRFHPTDEELVVHYLKKKAAAIPLPVAIIAEVDLYKFDPWELPEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTAGGTQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLIDNKSICKPPGCDPAKHKGSLRRPMEGDHHGGSTGGDLTINGMLQVSPPPCRLLNHHQGIIKPTGAGGCTGYNNIILENHHHDQHNNISLFDNMLNSNVDDDNDNINNNNNNIASTSNLLSSSSPAAYTKMFLTTNSISGGSPMGAQPTATTEENNNGSMGSLVLPQLHQQTMVMGPGESIFRQPYQLPDMNWYA >KVI02190 pep supercontig:CcrdV1:scaffold_5:535866:537823:-1 gene:Ccrd_019489 transcript:KVI02190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVVSARVETLATSGIHQIPKEYIRPQHELKTITNIFHDQNDPNAPQLPTIDLNHIHSQDLETRQKCRDELTKAATVWGVMHLVNHGISGDLIRRVKAAGESFFDQPVEEKEKYCNDIASGKIQGYGSKLANNACGQLEWEDYFFHLPFIFDPATTEYAKQLRALATKILSVLSLGLGLEEGRLEKEVGGMEELLLQLKINYYPKCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYGGRWVTAKCVPDSIILHIGDTIEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKDKIILKPLPETVSEEEEPLFPPRTFHQHMEHKLFRKNNDAVDPK >KVI02256 pep supercontig:CcrdV1:scaffold_5:312653:318326:-1 gene:Ccrd_019474 transcript:KVI02256 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, beta subunit MSENEDERTSPISRINETLDEFRNSGIPTTQGEQWRELEEQNQETHDLTDPAPATTLAHFDAAIVLSRGLTAKEQVKQTLQRYKELQDITAILGLDELSEDDRLTVARARKIEEKRVKDEYNEYKYKNISSFTKNVGI >KVI02192 pep supercontig:CcrdV1:scaffold_5:528611:531931:1 gene:Ccrd_019487 transcript:KVI02192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVAVACCHKVVAFELPTNINNGGGVIMKSRMDSRSRLDYALFQLTPTRTRCDLVICAGDFKEKLASGLLEPFIAHLKFAKDQISKGGYSITLSASDSSWFTKSTLERSLVVIAVIFGFVRFVNTPEHEGNTSDAIHEEDSKNRIHLQRVLETRKAVLQKEQAMVYARAIVAGFETDNLEDLIFYFII >KVI02242 pep supercontig:CcrdV1:scaffold_5:972094:975781:1 gene:Ccrd_019534 transcript:KVI02242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGYAKVEEKVEMHVPFYEVQSLMLDREKHLHIRQKEMEKMLNDPETNNETMTKKEFYGTFKEWLDVTRQLMDDPYSLDRSGTKYNKVDTDKSGSITEIELKNFIMEVNYKEVVMEDDIAEIMMRHLDIDGNKDIDKEEFKLGIAKWVKEINHILVVVIVCIIMGILTSFRSKFPNWTLLIAFPLYLLSLVVIYFVDDTFQFT >KVI02217 pep supercontig:CcrdV1:scaffold_5:216154:218705:-1 gene:Ccrd_019467 transcript:KVI02217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MTSKTVKESSSESSSNKRPRTETQSPLAAFSKVKKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVSTVDKSVIGPIRQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGTFR >KVH92478 pep supercontig:CcrdV1:scaffold_50:360073:364267:1 gene:Ccrd_005501 transcript:KVH92478 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKFMKLGSKPDLFQSNAEDNRYVATDLASDMIVSVGDIKFYLHKFPLLSKSARLQKMVAVSDTGNNEELEIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVLARCAAEYLEMYETVDKGNLIYKIEVFLNSSIFRTWKDSIIVFQTTKSLLPWSEELKVVSHCLDSIASKVSVEASKVEWSYTYNRKNESSCYDGVKKQLMVPKDWWVEDLCDLSSDLYKRVMITIKTKGKASSDVLGESLRAYLQKRLSWFGKKDGNVGRDDAKTRSLIEMIIGLLPDEKTSVSCGFLVHLLHACVLLNCAETRKNDLMRRIGQQLQNAAVAEITILDVDLVQELVAIFMMRDESSENEFSEVKHVDSAAKVSVAKIVDGYLAEIAKDPNLPLLKFADLADMEHPGISKREKKRICRLMDCRKLSPDACRHVVQNERLPLRVVVQVLFFEQLRSTITSGTNGEVPAEPTMALPLVGSNGSSRTATTNTEEEWGSVPTSEELKTLKGELEKDVMKTNKAKGMIMSKRTFMKVFSSKDKDSDNGSSDTSEETKSSSRSRRQSLS >KVH92460 pep supercontig:CcrdV1:scaffold_50:623142:634139:-1 gene:Ccrd_005484 transcript:KVH92460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLLPHNSCPIRTHTHSLHPHHSLYKRSIQGDVPPSTSPFQRSLALKRDDSVPKGTIQAIDNPRVYKRSILSSLAPTAPLPHDSYDCSYSPLDSYTPLEDSTLDSSPPTNEYTSYKIIQRSLSFFFPPNNDSDSEAEIQTSPLLCRSSILEEVKSPFFRSRRSGAASPSPSPVVIVALHPSISASPRLPLTVAVGVAVAAGAALLQQSRPALHQVQHKITCYLGEERISAK >KVH92441 pep supercontig:CcrdV1:scaffold_50:498577:504512:-1 gene:Ccrd_005490 transcript:KVH92441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MKLSSCSRLGKNWNQIRGRSVVESSVMEISLLKMLLKNISSFFHLPCHEHRNSEIVEKYYHKIEEILKLIKPVLESIVDAEVASDESLQKEFAGLSQSVDELRELLEDCHPLMSKVYFVCLCDQQFKVMQVETLFAKVRVQSLELFELLKSSSKGLPAELSSASLEGSGPNADSRSKIADFLSLRSNQELLIEAVALEKLKENAEQAEKIVDLECIEEMIALVTHMNDCLIEAKQSQSCNPVPIPPDFCCPLSLELMTDPVIVASGQTYERAYIHNWIDLGLTVCPKTMQTLGHTNLIPNYTVKALIANWCESNNVKLPDLAKITSLNKPSSPRSTWTVGSPKNLIVSSATNQEEASMVHHVHSSSEDSITGNRHELNNKNDNLAESEERSLDSGGPSASGGVGEGSLEGHKRSTSTSGSPISRHFSQEITHDANEESSPDSAAANNGDASGEVAAEPQPAITTAIPPQREHEYSPRLGHRSRNQIWRRPSERFGPRVVSSSATETRPDLSGVETQVKKLVEDLSSTSLDAVKDATGELRLLARHNNDNRVVIANCGAITLLISLLRSADQKVQANAVTALLNLSINDNNKTAIANADAIEPLIHVLETGSSEAKENSAATLFSLSVIEDNKIRIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRYLVELMDPAAGMVDKAVAVLSNLATIPEGRAAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSSRFCNMVLQEGAAQSLLSYFRNQRHGNGGR >KVH92443 pep supercontig:CcrdV1:scaffold_50:483132:483695:1 gene:Ccrd_005492 transcript:KVH92443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MLLQGNFSLPFRSPLSLRSPTVHHHPRRFSFAAAQHQYAISDEDLESRGFTLRRTIEDLNLDHLNSVFVAVGFPKRDTDKIKVALEHTDSLLWVEYEKTKRPVAFARATGDGVFNAIIWDVVVDPTFQGIGLGKAVIERVVEELLQKGITNIALYSEPRVLGFYRPLGFVSDPEGIRGMVYSRKKKK >KVH92455 pep supercontig:CcrdV1:scaffold_50:91349:92824:-1 gene:Ccrd_005519 transcript:KVH92455 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MYASTVPWSECSCGEDSSSAEVTLCDRLFSPGLSIRGGQEDEVSSTCPKVNVFVRVPRASIVEVMQGLEMSLPDELWRWILKLGVESSCLSYKDLCCLSMSCRRLNHLSNEETVWSKLLSSDYPAHPSSYTDHPSPKSLYQIRFEKDRVKKLLAERRAVLRIESQILEHERKLQEIQLQLVEEKEKNKTAIIELNNLRKVREASTALKVWQPQVIRSRQKQIVEHCSVPVDFRMNALEMEIKLCMQQISGFLKARKDEKSRLEATKERLSKVKYRPLQSFEGGSKSSLDDESRNRGMNSNKSKRVKQK >KVH92437 pep supercontig:CcrdV1:scaffold_50:468743:472344:1 gene:Ccrd_005494 transcript:KVH92437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPRCLSNKIKISEPTRHVVLIPPILTKPNFTPPPQNLNQPPTMGVVEEAHNVKILGSGHRTIVLAHGFGTDQSVWKHLVPHLVEDYKVVLYDNMGAGTTNPEYFDFERYATLEGYAYDVIGILEELRVSSCIFVGHSVSAMIGAVASITRPDLFSKIVMISASPRYLNDVDYFGGFEREDLDQLFEAIQSNFKAWCSGFAPLAIGGDMDSVSVQEFSRTLFNMRPDIALSVAQTIFQSDMRELLCHVLVPCHIIQSMKDLAVPVVVSEYLHQNLGGESIVEVMSTEGHLPQLSSPDVVVPVLLRHIRCDIAV >KVH92444 pep supercontig:CcrdV1:scaffold_50:479604:480440:1 gene:Ccrd_005493 transcript:KVH92444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRTAVAFAFICAIVAAVGAQSPAAAPTNAPPSTVQTPAATPVSSPPPAKAPVAAPPTVAVPAPEVSTPPAVTPVSSPPAAVPVSSPPPVIESPPAPVPVSSPTPVTAPTPEASSPAPAPSKKKTKKHNAPSPAPSSDSLAPSDAPGPSTDSPSSSPSADVADESGADRLKMVVGSLVLGLVACSWF >KVH92474 pep supercontig:CcrdV1:scaffold_50:313188:321021:1 gene:Ccrd_005505 transcript:KVH92474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, N-terminal autoinhibitory domain-containing protein MTNEARSEQGNIGKKQGERRKEKEERIDSDLFWGLILVELISFVFESESGFGEGKMMDNYLNENFGTVKPKHSSEEALQRWRDMCVLVKNPKRRFRFTANIPKRNEAEAMRRSNQKLRVAVLVSKAAFQFQQGAEASDHTISDEIKAAGFGISADEAGSIVENHDSKRLKSHGGVDGLAKKLKTSTTNGLAMDDKDLSCRQKLFGTNEFTEREQQSFWVFVWEALQDMTLMVLAVCAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTRNGYRQKLSIYELLPGDIVHLAIGDQVPADGLFLSGFAVSIDESSLTGESEPVKVNTENPYLLSGTKVQDGSCKMLVLTVGMRTQWGKLMATLSEGGHDETPLQVKLNGVATVIGKIGLCFAVVTFAVLVQKLLAVKITEGTHWIWSGDDALMLLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNVKDGIKQETSEIPDGALKILLQSIFTNTGGEVVINQQGKREILGTPTETAILEFGLSLGGDFQSERQASKVLRVEPFNSTKKRMGVVLELPEGVVRAHCKGASEIILAACDKMINANGEVVPLDQASIKHLKSTIESFADEALRTLCLAYIDIKSDVSAETPIPASGYTCIGIVGIKDPVRPGVKESVALCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSLEELLVLIPRIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEFQLTVNIVALIVNFTSAWSAPLTAVQLLWVNMIMDTLGALALATEPPNEELMKRAPVGRKGNFITNVMWRNIFGQAVYQFAVIWLLQSKGKSFFGLDGDDSDLILNTLIFNSFLFNEVNSREMEKEDVLDGILENKVFVSVIAATVIFQVIIIEYLGTFANTSPLTTTQWLFSIFTGFLSMPIAVFLKKIPVGGNMKI >KVH92458 pep supercontig:CcrdV1:scaffold_50:563295:589817:-1 gene:Ccrd_005486 transcript:KVH92458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein strawberry notch-like protein MGQPPVSPSLPLAPPPPPPPPSRPPPFLSSAVTGGCQVRCAGCRMILTVGPGLTEFVCPTCQLPQMLPPELMRPPSQVPAHGIDPSKIQLPCANCKAILNVPHGLSRFACPQCKMELAVDLSRIKQFYPPPPEEVNEVAIEVEREEDGGGVVGETFTDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPTYDLRTKDYLETSKALSCLQIETLVYACQRHLQHLENGTRAGFFLGDGAGVGKGRTIAGLIWENWHHGRRKALWISVGSDLKFDARRDLDDVGATCIEVHALNKLPYSKLDSKSVGIRDGVVFLTYSSLIASSEKGRSRLQQLVQWCGLDYDGLIVFDECHKAKNLVPEAGGQPTRTGEAVLEIQLRFGQPKAPPDRGVPARLPEARVVYCSATGASEPRNLGYMVRLGLWGAGTSFEDFREFLGEGFPGCMFFSNQYDVATKHGTTVACVKFYSDKELETMKGALDKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEVLEVPLEAKMMEIYKKAAEFWAELRVELLSASAFLGDEKPSSSQLWRLYWASHQRFFRYVCMSAKVPAVVGLAKQALMENKCVVIGLQSTGEARTEDAVTRYGIELDDFISGPRELLLKFVEENYPLPEKPESLPGEDSVKELQRKRHSATPDVSFKGRVRKAARWKAASDDESDEESELADSGRESVESDDEFQICNICNSEAERKKLLQCSCCGQLVHPACVVPPVTDAISANWSCHSCTEKTEEYLQARHIYVAELLRRYEGAIERKAKILDIIRTFDLPNNPLDDIIDQLGGPDNVAEITGRRGMLVRASSGKGVTYQARNTKDVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRALNQELGQHIACSENSLCMVNVMKRRVHVTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSSYGKKALLMMYRGIMEQDSLPVVPPNCSSENSATIRDFIEKAKAALVSVGIVRDTVVGNGKDSGKFSGRIVDSDMHDVGRFLNRLLGLPPEIQNRLFELFVSILDHLLQNARFEGQLDTGIVDMKANTIELQGTPKTVHSDHMSGASTVLFTFVMDRGITWEAASALLSEKQRDVSGSSANGFYESKREWLGRRHFLLAIEGSSGMFKIFRPAVGEALREMSTAELKDKYRKTSLEKAHSGWKDEYEVSSKQCMHGPNCKLGSFCTVGRRIQEVNVLGGLILPIWGTIEKALSKQARQSHRRLRVVRIETTTDNQRIVGLLVPSAVVGSVLQDLAWVQDIDD >KVH92470 pep supercontig:CcrdV1:scaffold_50:20683:24696:1 gene:Ccrd_005523 transcript:KVH92470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MVTPTKPELDNFKEPELEKQSLKFVEAKKSSASSSKEKIVTQTSSMDYQQVLKYPLVTEAAMHKIIEENTLVFMVDVRANKKDIRNAFENMLKIKTKKINTLINYDGTKKAYIQLTSDNQAVTVAKKMKILA >KVH92479 pep supercontig:CcrdV1:scaffold_50:281998:283206:-1 gene:Ccrd_005508 transcript:KVH92479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDHHQQYFSPPPHHHDSVSANTWPSVLLMEAAHAVAEKNHHRLKHLMWLLNELSSPYGDTNQKLSAYFLQSLFTCIMDSGDRCYQILSSAADKMLSFESTRNMELKFQEVSPWTTFGHVACNGAIMEAFNGKTKLHIIDVSTTYCTQWPTFMDAIATGTDQTPHLRLTTVIAAKFCGAGVQKVMREISHRMEKFARLMGVPFKLNVLHHSGDLSELNMKRLDIQDDEALAINLNGTFRSVCSHRRDHLLSMFHGLNPNILTIVEEEADLNMGSFNGFEFLKGFQECLRWFRVYFEALDESFPTTSSQRLMLERSAGRAVMNLVACSPEESVERRETAAKWSSRLHACGFSPVRCRDEVSDDVVALLRRYREGWSTNTAETVAGIFLRWKETPVVWASAWKPI >KVH92471 pep supercontig:CcrdV1:scaffold_50:299440:304620:-1 gene:Ccrd_005506 transcript:KVH92471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic porin/Tom40 MNTGPGLFSDIGKKAKDLLTRDYLTDQKISVSTSSVTGVHIKYGATHCSLGLGYVATVLRHTLQHKSSRGRKVVKAITSSATKKGGLSTGDVGAVYKYNNILVDIATTLTFTELVPSTKTIASFKVPDFNSAKVEVQYFHCHATLASAVALSQTPTIDVSATIGTPKFVIGAEAGYETSSGRLTKYTAGDTLRASYIHHLDQSKKTAAVGEIIRRFSLNDNTFTIGGSYAVDHLTVVKAKLNNQGKLGALLQHEIIPKSLVTLSSELDTKALDKTPKFGLALALKP >KVH92442 pep supercontig:CcrdV1:scaffold_50:494658:495490:1 gene:Ccrd_005491 transcript:KVH92442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MKLSPFTVVIIIALLFTSAIAISPSAAPSGFGCDNKCEVRCSRSGWKDRCLKYCGICCGKCNGCVPSGPYASKAQCPCYRDMKNPKGRDKCP >KVH92459 pep supercontig:CcrdV1:scaffold_50:603342:620706:-1 gene:Ccrd_005485 transcript:KVH92459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, core VQEIRDLCWDGIPSRGASWLRELPYENTWEHIILIPTCGGLVVSLLNMLRSALDAPKEENSADSLKAVLKPILKAVAAAVTLGTGNSLGPEGPSVEIGASVAKGVGSLFDRDAQRELSLRAAGSAAGISSGFNAAVAGCFFAVESVLWPSPAESYLSLTNTTSTVILSAVIASVVSEIGLGSEPAFTVPDYDFRSPSELPLYLLLGIFCGLVSLSFSWCTSLMMVVTDKIQKTFAMPKAVFPVVGGFTIGLIALIYPEVLYWGFENVDTLLESRPLVKGLSVDLLLQLIAIKIAATSFCRACGLVGGYYAPSLFIGAATGMVYGKIISFMISQLNPIFHLSGIEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSWITSRSIKRTDGADYKLLEEIEPRTQQPDTFLCDSNLCASNDPHAVEGPEGSLDELSPVSYSFDGNTEYIAKKLLVSQAMRSRYVTVLMSTMLTEVVALMLEEKQSCAMIVDDNNLLIGLLTLGDIQEFCKLSEERNKIPEVSLSLHYIHVGGWLQEVIVSELCSLNGFCKFPPTVTPKMNLYSAELIMNTHGTTRLPVVSEYAADQRALPVGILDRECINIACRALATRERLVWFSTLNNPRT >KVH92463 pep supercontig:CcrdV1:scaffold_50:512464:518774:-1 gene:Ccrd_005489 transcript:KVH92463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MSRFTVLLISSILLVLYHPRYVYPLKDDSTTIILRPPHAGSNRHTMFLPLFPFPPNSSRISGDEISRRHLQKSDTPRPNARMALHDDLLLNGYYTTRLWIGSPPQRFALIVDTGSTVTYVPCSTCEQCGKHQDPKFDPELSSTYKPVKCNIDCTCDNNREQCVYERQYAEMSSSSGALGEDIISFGNQSDLHPQRAVFGCENVETGDLYSQHADGIMGLGRGDLSIVDQLVDGGVISDSFSLCYGGMDVGGGAMVLGGISPPAEMVYSHSDPVRRYKTSMFFLTKIHLSRDGNSPYYNIELKELHVAGKRLPLNPSVFDGKHGTVLDSGTTYAYLPEAAFLAFKQAIMKELHGVRQIKGPDPSYNDICFSGTKAVIVPGELLVQALESYWSILSWDFSERKGSDYSFRRCFTLHPLYCSLSALSPQCWFRFMFLVSFVFCCFKVYLYLASLSAIRLLCMTANMKRSDFGKLTVLMYGKDFIYLIHHLKVLLHQMDQVHLTNYTSKGNDYLTSWSISPPKSIDHMSKETASSIISRIAEGGVHLPDSYGKYRLYNWDIQPPTKRRRQQSSLQYKPVDLDVPEQELQPL >KVH92454 pep supercontig:CcrdV1:scaffold_50:163628:165064:-1 gene:Ccrd_005516 transcript:KVH92454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MPVFSSRIDGADGQVLDLDTAVKDGLLGGGGGVGFGGGFAEKLDLKKMIEELNLPEIPSVFICPISLEPMEDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSLTPNNTLHRLVHTWFSQKYFQMKKKSEDVSGMASEILDTLKKVKGQARVLSLKELRRVVTAHAITRKTVVDNGGVSLLSSLLGPYTSHAIGSEVVSILVHLKLDSSSRLNLMQPAKISLMVDMLNEGSIETKINCTRLIELLMEEENFQVEIVSSHSLLVALMKMVRDKRLPNGNLPGLSLLKSICLHKQVRFLIVSIGAIPQLVELLPELNPNCLELALFILDTLSTIREGKLALSNCSKTIPNMVRVLMRVSENCTQHALSILWSVCKLSPQEYSLIAVDVGLAAKLLLVIQSGCNPSLKQQSAELLKLCSLNYSDSIFISKCKLTRTIQ >KVH92440 pep supercontig:CcrdV1:scaffold_50:409767:411405:1 gene:Ccrd_005497 transcript:KVH92440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSVQTYDKECMKMAMLKHEQTFKEQVYELHRLYQIQKMLMKNMQDQENICFNYQQQKNKLDLEQPATKDYAQDHVTETLNDQEIEEDECEIELTLGPTSFNRRRRTTNKPESSDSVPSFSSSSTGSSHIKRIERITRENSSFLSGNRRNNSSSSDRSLKQPPWMYQVLSLNMT >KVH92457 pep supercontig:CcrdV1:scaffold_50:531518:534939:-1 gene:Ccrd_005487 transcript:KVH92457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MSSHKKDSWMLKRRSHRTIHKGFQKIYSSFTHSGGQKKAKFAMPSDEHQRLLGSDDAETAYDPTEKVHIVGVDDNDDDYSTVTPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAIGLLVQLLSARLGVATGRHLAELCREEYPNWAGKLLWIMAELALIGADIQEVIGSAIALKILTNGFLPLWAGVLITAFDCFIFLFLENYGVRKLEALFAVLIAVMAISFAWMFGETKPNAKELLVGLVVPKLNSKTIQQAVGVVGCIIMPHNVFLHSALVQSRDVDPRKTGRVREALRYYSIESGIALAISFIINLFVTTVFAKAFFGTAIADTIGLGNAGQFLEERFGGGLVPILYIWAVGLLAAGQSSTITGTYAGQFIMGGFLDLRLKKWARALITRSCAIIPTLVVALIFDSSEDTMDVLNEWLNVLQAVQIPFALIPLLCLVAKEDLMGVFAIGPVLKTISWLVAALVIAINGYLLQQFFAEEVSGTTFTSIVVAFTVAYVAFIVYLIWRSITVSTFGLFKSRSQAT >KVH92447 pep supercontig:CcrdV1:scaffold_50:237992:245892:-1 gene:Ccrd_005511 transcript:KVH92447 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase ubiquinone Fe-S protein 4, mitochondrial MASSLHRLGSHSSNADRFVFRQLISRFSTNALSDEYKAGEKRTVCSIPDENIRWRAGRTASRSLCTATGTQTQKLERIADEILSLTKLERNDYAVLLRYKMGFNNYGPAVTGIGSASSGPAAAGPGSDAKADEKVVFDVKLEKYDAASKIKIIKEVRAFTDLGLKEAKDLVEKVPVVVKKGITKEDAESIMAKLKDLVFNHQRLASDFRTSAAIRMHLLLGKKMASSLRRLGSHSSNAHGFVFPQLISRFSTDALSVEYKAGEIGTVSGIPDEHLRRRVLIYSPARTASQQGSGKVGRWKINFLSTQKWENPLMGWTSTGDPYANVGDSALSFESEADARGFAERHGWDYTVKKHQTPLLKVKAYSDNFKWKGLPKTAEN >KVH92465 pep supercontig:CcrdV1:scaffold_50:11285:11857:1 gene:Ccrd_005524 transcript:KVH92465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSRRFRMLSGQNSTTTAVTEPPPEPDNVDSDFVVILAALLCALICVLGLVAVARCTWIRRISGIVIVGRTDQSPPAAANKGLKKKILKTLPKLTYSTETMAEKFSDCAICLTEFVAGDEIRVLPLCGHGFHVTCIDTWFGSHSSCPSCRQILVASPRCKKCGELPAAELTGAQTSTTAGETSASIDRFLP >KVH92467 pep supercontig:CcrdV1:scaffold_50:89280:90921:1 gene:Ccrd_005520 transcript:KVH92467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRRRFLSLPMVIGAVVIGIVSGKAIFGPPVDEYWKKKLDQEAAAAAAAAAAKDTDSPSSS >KVH92453 pep supercontig:CcrdV1:scaffold_50:149980:151416:-1 gene:Ccrd_005517 transcript:KVH92453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MPVFSSRIDGADGQVLDLDTAVKDGLLGGGGGVGFGGGFAEKLDLKKMIEELNLPEIPSVFICPISLEPMEDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSLTPNNTLHRLVHTWFSQKYFQMKKKSEDVSGMASEILDTLKKVKGQARVLSLKELRRVVTAHAITRKTVVDNGGVSLLSSLLGPYTSHAIGSEVVSILVHLKLDSSSRLNLMQPAKISLMVDMLNEGSIETKINCTRLIELLMEEENFQVEIVSSHSLLVALMKMVRDKRLPNGNLPGLSLLKSICLHKQVRFLIVSIGAXXQLVELLPELNPNCLELALFILDTLSTIREGKLAXSNCSKTIPNMVRVLMRVSENCTQHALSILWSVCKLSPQEYSLIAVDVGLAAKLLLVIQSGCNPSLKQQSAELLKLCSLNYSDSIFISKCKLTRTIQ >KVH92450 pep supercontig:CcrdV1:scaffold_50:226588:237952:1 gene:Ccrd_005512 transcript:KVH92450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MFVSKSNPYFPLRHRDSWWNTAECGGRALRGFGIGKIWTKLVLNCKVNDEYCRRYDHCCTNTGEGGGGDGDRCEPKYVGRYRITDPDSLKAAMDSAGRICLMIQAKLSPGPSLYDIRRHGDNTQLHHSKRGVVEGVDYEATGEVKKIDVSRMRERLDNDSIVILSNLGYSIATACALALEAEKLICIIDGPILDESDRLIRFLSLEDADELIRKKAKHGEVAANYVKAIGDCYGATFQNGVGFDNGNGFAIGGLERLSRSNGYLSELAAAAFVCRELFQSDGIGTMVASNLYEGIRKAKPADFPAIRRILKPLEDSGALFRWTDEKICTKCQIIASAALFSFHEDKCREVAAIAFSSEYIEKKASFIGLQRLFWVTTHTADWFMRRGFSECSIEFIPEERRKRINFPPKSKYYMKQLLPDTSGSH >KVH92439 pep supercontig:CcrdV1:scaffold_50:416676:420981:-1 gene:Ccrd_005496 transcript:KVH92439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTCDWMFSQEIPSDVTVNAGGVSFPLHKFPLVSKCGYIRKLVSDLKDVDLLVVEIPDVPGGSEGFELAAKFCYGVNFELSTENIAIVRCVAEFLEMTEDHAIGNLVSRSEAYLNEVGLKTLAGSVTILQSSTNFLPMAEKVKLINRCIDTIAFIVTKESQFCSPGSTEGSSTLISSTSSSSSSSYPKAVVDWWAEDLIVLRIDIFQRVLLAMISRGFKQYALGPILMLYAQKCLRGLEIFGNSKKKINPEQEHEKRMVLETIVSLLPRERNAMSVSFISMLLRAAIYLETTMACRLDLEKRMGLQLGQAVLDDILIPSYHFDGDTLFDVDTIQRALMNYLEYELEGNCDHEEYVSSPQSDMEKVGKLMESYLAEIASDRNLLVSKFMNFAEHIPEQAKVTEDGMYRAIDIFLKAHPTLSDTERKKVCSLMDCQKLSRGACAHAAQNDRLPVQTVVQVLYYEQQRLRETMDGGPIAVEPTSKLDSLTTNQPLDDELSRLKKENQDLKFELLKMKTRLKEVERPSSADKSAVNSPVGGTRYSADKPPLPRKSFISSVSKKLGKLTPFLRADGILPSSTRGKNKPSKDRRHSIS >KVH92451 pep supercontig:CcrdV1:scaffold_50:206458:207834:1 gene:Ccrd_005515 transcript:KVH92451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase MKSPEIYQSQFSAPMARNNGGGRRSSLSIASDAFIFFSGAVAALLLVWTFSTFSNPQPQPTPNFETRIPDPKSSVIDNAGCAGSSSGFNLQYDPPDPTFYDDPTIGYTLDKPIKNWDEKRREWLKQHPSFEPGSDERILVISGSQSGPCSNPIGDHLLLRFFKNKVDYCRRHGYDILYNNVLLHPKMHTYWAKIPSVRAAMLAHPEAEWIWWVDSDAAFTDMDFKLPLKRYKDHNFVVHGWPKLIYEKKSWTSLNAGVFLIRNSQWALDFMDVWSGMGPQTPNYEKWGXILRETCKDKFFPESDDQTGLVYLLLKEKEKWGNKIYVEGEYYFEGYWLEIVETLENITAKYNGVEREVRRLRRRHGEKVSDGYSALWEEYLKDAGFGKFSWRRPFITHFTGCQPCSGQHNQMYSGQSCWDAMQKVLNFADNQVLRNYGFVHRDLLDSASVSPLPFNYPA >KVH92469 pep supercontig:CcrdV1:scaffold_50:30079:30594:-1 gene:Ccrd_005522 transcript:KVH92469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MSSSFFSSTTGDHLSFTGFLDDILLDFPPQNVNSSVIVFSGSDDNNNNNSTPKTNTSSSGSNDGEKNLNPVDEQLRRRRKISNRESARRSRLRKQKHLETLRNRLSGYETGNRELMKRLMFVNHHGQIFRRENQRLRSESVMLQQKLCYLHQALLELHHHPLSASAWPCNY >KVH92445 pep supercontig:CcrdV1:scaffold_50:383658:385996:1 gene:Ccrd_005498 transcript:KVH92445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator Hfi1/Transcriptional adapter 1 IDNSALRQQVKFDFDSRVKEEIEKSLGSQKAEKYFNLLTRYLSLNLRKSEFNKLCFGLIGRENIHLHNELIMAIVKNATVSKIPPQKHVKSDGPVTLKLPNGTNPRTGLQSLCRDAFPRSPRKGRTPNLRERKFKDRASPIGLHEKTRTSEDLAATKIQEQQSATELLSLGSKPPVEVNSVEDGEEVEQGVISPGVHSRSPVRAPLGISIHSKETRKVLCSGSDSAYYTETCHYNGRLPATNSLKNRLKHNLKTESLDISNDCVNLLNNGLDSFLKMVIKPSLELARSRSSQRAGTSYSTSMLDFRVATQINPKILGEFSHLQHKSLVSVSGSLMAAWILFGRFAGWRAMSSPYSWILIQPRDYSYHNILQDFSKKTVGFGAVGYILYTIRYLWRN >KVH92446 pep supercontig:CcrdV1:scaffold_50:376830:381744:1 gene:Ccrd_005499 transcript:KVH92446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2419 MEEVRASSAWVASHSSHVTVDSEGIEKVVESVENSIPKVEWNYEGIHYFDNGPLTVQYLFVLDALNFCFWPDKELNYDDLASGLKAALQNDPSVFDADRLQKYTGPELRELLKWPRPLPLEDERVRLMHELERSFGGKASNIVESCEKSAVKLVAIIARHFPGFRDHTVYKGRQVFLYKRAQIFAADLWGAFKGQRYGEFSDIGSITIFADYIVPAVLQQLGVLRYSLTLANRIEANKEIGSGSEEEVELRACSIYAVLSVELDLWLWAFGVSNPSLQHHRTLSIYY >KVH92468 pep supercontig:CcrdV1:scaffold_50:86513:87255:1 gene:Ccrd_005521 transcript:KVH92468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine MNRATTLCFMLALLLSSMLSFATSSIPTSLPNPTITKSENKGVEESCEGVGREECLMRRILADAHLDYIYTQDKNP >KVH92448 pep supercontig:CcrdV1:scaffold_50:251163:255021:1 gene:Ccrd_005510 transcript:KVH92448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFKPFQLPFISLLLFTSVFTHKNSSVFGSPFVQNRSFNRPDPLRHLRLYKGGYDIRNRHYWASAAFTGIHGYAMAGIWMIFGLGFGSYLMVKGFNGGFHPFLDHPSSYCLCFALIAVFTSIAISKNLMDTIFGAASIMQQTIEAVIQGLLKIQTLLQPYDRPTSKLLNQITKQMRKETTSIQNFVQEAKQASNHAMKTVYIANLVFVTANLVVLVAGCGDTCSAFENFEQSQSPGNNNIMSIMSSCSNSSTSDKFMAQIGYTVHKYITEILSTLTCEKDTPTETCIAEGRFFPESSYGKTMAYIESITNLIATYPDLQSLARCTPVKQAISDIALHQCKPFRTSVRLLWAFILSLSIDMMILTFFWIVKAYQEKGKHFSLCSVVPRHHSHEVSRIDQQSL >KVH92466 pep supercontig:CcrdV1:scaffold_50:5103:8233:1 gene:Ccrd_005525 transcript:KVH92466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MDFGMTTFDNTPPLLNHDEKTNTINNHGSGFTKQERSEPDPPPDDQQLFLRTSKSSRTDYFDQPINKTMPMRSDSLLSVSAADAGVSNHMLSFSSSSSSSSTNTVKDGNQTSLFSFYQPSPAYIRNTGYGSGGLQAGMQMPVSRYKGPFTPSQWMELEHQALIYKHFVANVPVPSHLLNPLRKFLNTFLFPGSSSSTSYAPNSCMYNGWGTFHLGFSGNTDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEGQNGHAVSGPAAPKVVPVVSSSSVPVSGSRASTTALGGAAIGNQFKTLHHHNATIASSTETTPINRIQESQAFAIPKQNNPVEESSDSLMYPTNYSSFLDQGAPNPNPLPHFVDQWSKVQSGRTSWPEEPKPDWTQLSMSIPMASSDFSSSSNSPTQEKLAVAPLGLSNEFDLDPTHKQASNWIPISWGNSMGGPLGEVLNRTSSSNGVRSKNASSPASEMWDHVNYQLGSSPTGVLQKTTFVSLSNSSSGSSPKGNHGGGGGSGGGGLCDELLLSTLAS >KVH92462 pep supercontig:CcrdV1:scaffold_50:661295:662530:1 gene:Ccrd_005482 transcript:KVH92462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MGRFSGENFAISLGSCLIFTTTHGLRSRREEGMVQGQKPSLSISLLDMVLFSPTTQIINDGDTIGGQEEGEQPTPPEGGKPNPPECKIPPKDKLAAEELRRDINNNFDEPISIAKICEEPSKTWFGKCLDTLKCDKQCIEWEGARHGACHERESKYMCFCYVSCDASDKRPPVPPDCPPVGPKKYVDGRLSS >KVH92472 pep supercontig:CcrdV1:scaffold_50:289642:292858:-1 gene:Ccrd_005507 transcript:KVH92472 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MESEVVELGWPNLRLVETIYEENSGDDASSSTTSPSSPPPPSSPSATSLRSRVKVWSLNNGVETNVVVHVQGSIFHLHKDPLARCSGYLKRQLTESSEVIISPELKITPHTFTLVADYCYGGHLFITPFNVAPLLLASELLEMTDDVDGDNNVENLRRKTESYFCRTVVVDCEYAVVVLQSCMALLPDVETRTGLVSRCIEALISLKRDVAAGDVLTWFDGVQELSGEEFCLVVGSLHQRLTGSKICNYIDCTNLSSPVLMHAVQNPRMPIRFVVQAMFIEQLNTRRSVFSAAQTLKSNNHHRHPIKQPPDATSVTLGAILQRDAALRQVAHLRATMECTSSRIQSLEEEINGMRNILNKSDTGQVKSESFRFSSERKVERGQRGSVSSGGFRVVEMENELDYSGDPGSPKRFGKRVMNGLKSAFRISVLGRTRIETKMEKKKN >KVH92480 pep supercontig:CcrdV1:scaffold_50:271548:276969:1 gene:Ccrd_005509 transcript:KVH92480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMHIDDANYTKASVGVAIGNSPTGPFEYIKSMRPHGFESRDMTIFKDDDGVAYLVYSSEDNSELHIGPLTRDYLDVTNVMRRILVGQHREAPALFKHEGTYYMVTSGCTGWAPNEALAHAAESVMGPWETMGNPCVGGNKIFRLTTFFAQSTFVIPLQGLPGVFIFMADRWNPADLRDSRYVWLPLLVGGPVDRPLDYSFGFPLWPRVSVYWHKRWKLPYKWSGKK >KVH92464 pep supercontig:CcrdV1:scaffold_50:520286:523391:-1 gene:Ccrd_005488 transcript:KVH92464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MADVDLNMAFNLTPSLLEQLGKALVELEAHKDGAADTVSFAEISEHFRDLEAKMMKKYSELEDREKAFKQEELDGHSLLAEKEAAVAAKEQDMFDRIQVLKDAAVAVIAETRANHPSPSFYTDDIADDTENKVSCSLDETNASLTGPKGESSGKTGGNNGGAGESVTFLQELTQFCEQMDSKGLLSFVMENRKNSTVLCEELSHALKSSTEPGRLILDSLDGFYPPPDGQEDNKEDTAAALQGLRQSSINLMEALNAMLARAGLGADHLLNHEIKQQAKAIADQWRPKLAHDATIDAANGKSLEAEAFLQLLATFRIASEFNEDELCKLAFAVCERRQAPELCRSLGLAHKMPGWCVIEELISNGKLISAVHFVHAFDLAGRFPTVPLLKTYLKDLRRNSQGKRGHHSESAQNDGNARELAGLRNVVYCVQKYDLEADYPLEALHRRLGQLERANVDKKRFRDSPTKPSHGKKPRANGGGGGGGIQVYGYRSPAAAPLAVSGRQPPAQVYMDRPHYSAPPSSERYPPHAAMNDPYNYQPPPPPAQPTYSQQVYEQGAYYYQQDDRSAAAAAAAAAAAAAAPPPAYGGYAGNNVQPSYQPYMFASHEDEQEEEATKAA >KVH92475 pep supercontig:CcrdV1:scaffold_50:351410:352560:1 gene:Ccrd_005502 transcript:KVH92475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MMLKMVILLAPLFFFFLCSSVLGQELLQILTLYRHDSSPVASIPTMGVYVDEEKLNEVSNSVLMAEKWLRIHVLSRYPSNNINSIVIANNLLCNEEKTRNREEIAGLTLHSMKNIYYSLTRWGLEKEIKVSVSISSNCFHQSHLRSVFGFLEEINSTYTINSPQFSNEIVKLLISELKSMKELGVFQSEMVDVIFPVSKQTRPQSRKLSFIDPLWWSVTPPSQVSYTFSPLTGIPSLAPEIQPPIMSPASSPAPHYGFNLPPCNPYQAPPPVVGSTGRSMAKPPTSRSGYGQEQLWCVAKPSVPSEKLQEAMDYACGAGGADCGPITPTGSCYSPDSVVAHASYAFNSYWQKNKNNGGVC >KVH92477 pep supercontig:CcrdV1:scaffold_50:364879:373599:-1 gene:Ccrd_005500 transcript:KVH92477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MFFLDKKINKKQKSEKAEINRIAISILLSTVVVDNTCSPLMAVRPPLQFTASSSSSSSVTGIQFPFYSSAISPFSTALLRCFASAATRVAGGPPQQHHSGKQKQKRNNRHRYSHQQTLNQPLISSSYPLYCLRPTSSLKETLAQKIGKAFRHPGAPSKARVYTDVNVTRPKEYWDYESLTVQWGEQDDYEVSRKVGRGKYSEVFEGFHITNDEKCIIKILKPIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLALDFCHSQGIMHRDVKPHNVMIDHSQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFFGQDNHDQLVKIAKVLGTDELNTYLQRYLLELDPHLSALVGRHNRKPWTKFVNADNQHLAVAEAIDFLDQLLKYDHQERPTAKEAMAHRYFSPIRSAESSRTRA >KVH92438 pep supercontig:CcrdV1:scaffold_50:425607:431944:-1 gene:Ccrd_005495 transcript:KVH92438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 13 [Source:Projected from Arabidopsis thaliana (AT2G23096) UniProtKB/Swiss-Prot;Acc:F4ILF8] MKSRGKIGGGGVFGGWSSLGLPAVLLLCIFFFFLGFFGSSLLSQQVETESSLHPRPRVLKEEEYDPLPRGDSGDDSFTTIPFQILSWRPRALYFPGFATAKQCESIIKMAKVKLAPSTLALRKGETAENTKGIRTSSGMFISSSEDKTGILALIEKKIERATMIPRSNGEAFNVLRYEIGQRYNSHYDAFNPTEYGPQKSQRIASFLLYLSDVEEGGETMFPFENGENADANYDFRKCIGLKVRPRRGDGLLFYSLYPNGTIDPSSLHGSCPVIKGQKWVATKWLRNEEEYD >KVH92473 pep supercontig:CcrdV1:scaffold_50:321505:329488:-1 gene:Ccrd_005504 transcript:KVH92473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEKRLRSSLKSSADEFLSSAAKLGFRSVKPSLKTLIYSLRPSSDVVSSLPLSLHNSISQSITRFQDLSGSGTTAASNSSLTPPTKRVRKSSRRPESNKNDDKDDVSVADDQQAKLEAKTNISENLQIYAYAAYLCVTHPENVFSASDLLPAVQELHDNLVVFELESNLLSDIANLCEEWWKRELPGREGLITQSLPFLLSKSLTLKKKVDVHKINALRDAFALFDFEDESIEDLKLLLIRCLISPLYLKMDEGRRFLAFLFGLSRQLVKESLAMIRSQIPFGRKSMLEAYADIVFRAWKAAEGESREEIENEFLQALIDSSIHASSGSFAASIRRILGGFISQRTTDGVEKLIFNLAEPVIFRSLQAANSNVRQNALHLLIDVFPLEDPDATREVKDILLHKQFFLIEKLLMDACPDIRAVAVEGSCRILHMFWEIIPSSTITKLITKILDDMAHDVCNVVRLSTVNGIIYLLGNPHSHEILKVTLPRLGHLIMDSALSIRCATIDLLLLLKGIHNFQFHKVQILCKLQLLMERLYSSTSSTVYLWNPYICFLQVVHLDVLLSTLANDQAVVGQKITKLLLPSYFPTTVTPEEACQRCVTLIRRSPLAGARFCEFALSEGASPQLLSRLFKVLITLVLSRANMGADQIDGILMAAANICNNLATKDIYKTSFKDELTAKKLMCLFTAANTGHAQASVCNIVSTVVPEAADVLRQECMALVTRCIGLSDNKERQAQVRMVHKMMLSCGWIDYMLEAFTALLVKAANGCVGKDDTERTRCNSLSGKKRKGKQGTKVSAKCKRISGKKRSDIAKSSFYEDYTVSVGIAWQLKDLLTYSNTRKAVLGSRSIESVYHALEVISEFSIMMCLQHDYINPAPVLAYTSLSLHMSVENLKLNDSNNHGSKVTDSAGSTSLASELQQTLLERTIEHLVHCTDKVYKSARRKSCKVPPSFIHTAKKHSEPHQDYLNHKDNGSPFSEQKRISNLVKLSTSILKSIIDSSTVDCTNKHQERCLSFTRQYVEFVVTNLRQHSHGQLEFNVDDLKETFLCLKSSFTYAAKLLNLVLTSSTEASSPSIEVHNLANDLFDLIISVEEHLGSRYGSLLFSSVKLWLPDLILALGSLQIQKPSSSSSSAFTQSKFGFPSWIVILAKIEIFDNQEDDSEDEKVILASKFSLFRKSVEAMVQLLRANHSVLDAVGEFLLAGSVVGLNKKDFELVYGILHFVCMKLVKHENGEWMGRKLMLESLQQMYPQMERMGKELRNGHEKEQLEKAIELVEPIWRCYGDKTSKDPMETGSGDS >KVH92456 pep supercontig:CcrdV1:scaffold_50:94363:99510:-1 gene:Ccrd_005518 transcript:KVH92456 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD-like protein AFNWLIENTDSELLTTPSDAEAACVQVTHNRIYPIPVFRLNYFRRRSETSDSTMSWFARSIANTLQLDIDDDEDDEQHNNTDRDLSTDQKGEQPVEEDDSSLSPGRGVKEDLSEITKTLTSKFWGVASFLAPPPPAEHSNEQSDPSDPEDASPEAISGIRRDFAEIGGRFRSGISKLSTNIDVSEITKMASSFLQLSPEGDDYVLSKDADAIGVTDVVLAFVRDITMHPETWLDFPLPEDEDDDEDFELSDAQQEHALAVESLAPRLAALRIELCPGYMSESSFWKIYFVLLHPRLEPHAAELLSTPEIVKARASLTRELKGRSNSQTKEEEVTRINSASSLDEHPSVPSGTQSESVPLEISTSQPTATSTISADSETEKHPIPNEEVQIVDKSVIQEEPRNETKTDESKDEDDADDWLKEDSSENVTGTTTIPIENDEDVSFSDLEEDDGDAPTSYKKTAAYGSDSSTKDSRDWVQLGRSSADSSSTGGAGQVSAHNSEMKESNDWLDVDDIDVA >KVH92452 pep supercontig:CcrdV1:scaffold_50:211014:212348:-1 gene:Ccrd_005514 transcript:KVH92452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase MPNRRGSSFAANGIPIIAGTTVSLILLWAFWPGISFSTIHRHRITNYRTGSINSFRIFSGGIRNTTVTVRRFNLTHDPPEPTFYDDPDLTYTINKPIENWDEKRREWLWLHPSFLPGLEERVLLITGSQPNPCQNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNVQFHPKMFTYWAKIPSVRAAMLAHPEAEWIWWVDSDAVFTDMDFKLPLQRYKNHNFVVHGWPKLIYEKKSWTSLNAGVFLIRNSQWXLDFMDVWSGMGPQTPNYEKWGXILRETCKDKFFPESDDQTGLVYLLLKEKEKWGNKIYVEDGYYFEGYWMEIVGKLVNITARYNGVEKRERRLRRRHAEKLREGYSALWEEYLKLEGARYGVDGWRRPFMTHFTGCQPCSGRHNELYTMQGCWDAMQMVLNFADNQVLRSYGFVHPSLGDSASVVPLPFDYPA >KVH92449 pep supercontig:CcrdV1:scaffold_50:218807:219257:1 gene:Ccrd_005513 transcript:KVH92449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNCQASDAATVVIVHPGKKVERMFRSVIAREIMDSNPSHYVALVVTSAVVKSVNGSPVKQLKLLRPDDTLLIGQVYRLISFEDVLKEFAAKKCLKLGKLINERGLLRLEKKKKDVAGAPSVPPSVK >KVH92476 pep supercontig:CcrdV1:scaffold_50:346471:350568:1 gene:Ccrd_005503 transcript:KVH92476 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MNFSINHSPFLTHFIGQILSEIQKFNPPLLQVDHWWRTTADEEHEGLEIMGSSYEESPVVVAKDAPVPPPLPSASSTTTMKTHRTTFGRRSCCVSAAMSESWDRLFDDAYRADSRSNRPFSVPIRGVPAEAVRIFIRFFYEEYQMEEHILSLLVLSHAYVVPRLKRECEHRLEHKFLNIDNVVDVFQLALLCDAPRLSIICHRIILKNFKAASSSEAWKAMKTSHPILEKELLETVADEANRQKEKAKKVKERKIYLELYEAMEALVHICRDGCRTIGPHDKVLNEHQEPCSYEACKGLESLIRHFAACKLRVPGGCIHCKRMWQLLELHSRLCIDSNTCKVPLCKNLKEKTKKQKKKDDIMWKILVKKILRSKSITGAPYFSLSATM >KVH92461 pep supercontig:CcrdV1:scaffold_50:635376:637083:1 gene:Ccrd_005483 transcript:KVH92461 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II accessory factor, Cdc73 MDPLTALREMTMRNELDKIVRFDNEYRFGSSYSFPCTIETAYRSKQGNLYTLETLVYFIKNVNVKHHDYIRNAGTQKIPAVTLLDRKPLIEYLEGRISSTDAIEFLPPQPQHQNFENHEYRIGDYPNLNVVPGDELDVENQEPRVGVSENPMDLVRAIERPLKDREMILECRHMDFYSVLTAATKRDEERQRLGSQQRKDGLVAKNRIDRGFGDDLGLLDGAPRPKMHLKGSKIGDGVPIILVPSASQTLITIYNVKEFLEDGVFIPTDVKMKQMKGPKPECVTVMKKFSSRDRVTTAYEVRDKPSALKAEEWGRVVAVFVLGKEWQFKDWPFKDHVEIFNKILGFYMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALDVWDRLEEFVRSRSRS >KVI04460 pep supercontig:CcrdV1:scaffold_500:170737:214028:1 gene:Ccrd_017223 transcript:KVI04460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer delta subunit MALNKSRSLTPYHRRSSIPSQSPTDGHYPQAHRLVDINGQSIIRSVNSRLLGRPSSAVSAPSKGMGMQLGKSQRANQFLESLKDEGEVIVEDVRPSANPRRASAPPPTDPITLTTEEKLNVTLKRDGDVTTSFDSLTGDCTPSQFFVNWNSKQQEPDSSNRFNKASFSKASIDHPRLNSSCATVLRKQLHSNSFTATAGLKQQSETETGYQPNCVIDMFVQLFLYQ >KVI04459 pep supercontig:CcrdV1:scaffold_500:21425:28731:1 gene:Ccrd_017226 transcript:KVI04459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MRYISGLPLSVSLNKDLANSILPTTSQTFRGEDNIVQNLEEMTLHCSCNKFIRDGILCRHVFKVLLNEDVDYIPDKYIMHKWKLGLIPVEIPHIHARYVKTSVVGDNLERWKTEFDADMYVNLSAKDKEDAIRDCFIVDQPEDVDILPPTDGIRNKGRGAVKRLVGGDREGYIKKQQA >KVI04458 pep supercontig:CcrdV1:scaffold_500:46268:49630:1 gene:Ccrd_017225 transcript:KVI04458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGLLCSRLRACLRCGRTTSAAGELEDADDDRHQSWDLFFDLPTLQAATNFFSESNELGHGGFGPVYKGLLPNGQEVAIKKLSLTSRQGVREFTNEVKLLLKIQHRNLVMLLGCCVDGPEKMLVYEYLPNKSLDYILFDKEKSRSLHWTQRFQIIIGVLRGLLYLHEEAPIRIIHRDIKASNILLDEKLNPKISDFGLARLFPGDDTHLNTGYMAPEYAIHGYLSVKADVFSFGILVLEIVSGRRTWNLFQTGKQLELVDESLDACNPSEAAMCIQLGLLCCQATVSDRPDMNALHLMLSNDSFTLPKPGRPGLQGRGGPWTTTTSPALTTTNATTTLTNHTKQSISSSVDYSRNSISVSSMNEGR >KVI04457 pep supercontig:CcrdV1:scaffold_500:109778:135739:-1 gene:Ccrd_017224 transcript:KVI04457 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP, C-terminal METSQGGSEREKSNPSSTSAPVPSVANFWREFDLEKERSILDEQGLRIAENQENSQKNRRKLAESTRDFKKASADDKLNLFNSLLRGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPVVASVAEKDLKISDLESENRKMKVELEEFRTEATHLKNQQATIRRLEERTRQLEQQMEEKVKEIVEMKQRSLAEENQKTLEVLKEREQLLQDQLRQAQDSVSNMQKLHELAQSKLFEYRAQSEEDRAAKQSEVNLLMDEVERAQTRLLSLEREKGVLRSQLQSANEDNDTKRSDDADTNSILENSLSAKEKIISELNTELHSIETTLSNEREQHINEIKKLNAMLNEKEVALEEIKKELQERPTEKLVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKLESLLLDKNRKMEHQLTQLKVQLSEKTSLAETSESKILELTSKINEQQKLIQKLEDDILKGYNSKDRKGNMFDDWDLSESGAKDISENADHKHVSSDQDQNSMLKVICNQRDRFRARLRETEETKADNVKLYGKIRYVQDYNLEKVVSRGSRKQSVEDLESGFSSDVESKYKKIYEEDINPFAAFSKKEKDQRYKELGLRDKITLTSGRFLLGNKYARTFAFFYTIGLHILVFSCLYRMSALSNLSHGPEEFVGNEKITNLPHAI >KVH29552 pep supercontig:CcrdV1:scaffold_5001:30791:34012:1 gene:Ccrd_025835 transcript:KVH29552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MTKRFADNPESDQCWQNERRQRTRPSLASAIGEVVKMNFVQNFCTALEPMLRRVVNEEVDNGLRRRIPSYARSNSLLIKALGPSTMQLKFRKLLTLPIFTGTKIVDEDGNPLEIYLVDINNNQESIISCAIKLQIVVVDGDFPSANSNIWTSDEFEKNIVKERRGKRPLLAGDVSVTMRDGVVTVGDIELTDNSSWIRSRKFRIGARVVQGETPGIVIREAMTDAFVVKDHRGELYKKHHPPMLQDDVWRLEKIGKDGAFHKKLTSNGIKTVQDFLKLSVVNEPKLRKILGLGMPDKMWEATLKHARTCVPENKFYISRGPNHTIYLNPICQVVKAVINGDTFFGKDLSSVNRKWNSLEVVDGVMNETPLLTQGDMAYQYPHNNTMTMAMYEGQVFPAGQTTELAFVSNKDYVGVVGSTYFLAPTEAYNFAESSSEGEFGATYNFIK >KVH29266 pep supercontig:CcrdV1:scaffold_5008:4822:8758:1 gene:Ccrd_025836 transcript:KVH29266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MGSIPIPDPGESTQTPSFDEFQRQTSLMTSCTLLWKELSDHFTSLEQTLLKKSDAIKEKIKILDTETKASLFSLEERETTIENSVSIALQKVEAAVQAAAAAAGTSRCDDGEDNPEVDDSEGLLLRLKSFCVKMDSLGFWGFVASRKKEMDEMRAQIPLALAECADPARFVLEAISEVFPVDKRTECVNDLSWACVLILESLIPVMVDPILGNSRVLVTPSVKKSAKEIAETWKESLDQRGGIENMKAPDVHTFFQHLVTFGIVKDEDLDLYRKLVVGSAWRKQMPKLAVSIGLGDKMPDMIEELISRGQQVDAVHFTQEAGLVDRFSPVCLLKAFLKDAKKAATSILEDPNNSGRAVHLAARKEQSALRAVIKCIEEYKLEAEFPPENLKKRLEQLEKVKMEKKRPAAVGPANKRTRASNVGPMPPAKAGRITNAYVSSFPAPPTFVRSPSHTQYPAAGYSVPPPIYGHGSRSPPTNPYGAYSPEAAAPLSPPLSYPGTPPMNYPPAAYGGYGNAYQQAYYR >KVI11685 pep supercontig:CcrdV1:scaffold_501:62281:64302:-1 gene:Ccrd_009903 transcript:KVI11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4413 MLVNMEMQLEPVYLGMQSHNVETPPSKRRKKKSMVWEHFTIETVGVGCRRACCKQCKQSFAYSTGSKVAGTSHLKRHIAKGSCPVVLRNQQQDLLSPFSAPSKVGGDSAAPTTDTPKRMPGRICLTLDLWNSCQTTGYVFVTGQFVDSEWKIHRKLLNVVMEPYPESDSAFSHAVSACLSDWNIEGRLFSLTINQPLSEIGIDSLRNLLSEKNPSILSGQLLLDHCLARSLSGIAEEALTACQETVKKVRDCVKYVKTSELLEEKFLELKQQLQVPSMKTLALDDQTRWNTTYEMLLAASELKEVFSCLDTSDPDYSKGPTTEEWKQVENMCTYLKLLFDTANLLTSSTVPTTNNFFHEAWKIQLELARAATSEDAAIANIAKPMQVSFDKYWKSCCLMLAIAVVMDPRFKMKLVEFSFTKIYGDEAATYINFVDEGIHQLFLEGGGDSGEMMKALVKMEFPI >KVI11681 pep supercontig:CcrdV1:scaffold_501:200368:218681:1 gene:Ccrd_009907 transcript:KVI11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3548 MFETEIYDLSDDADYAAASQQGPSSMAGHDISNRSYSSEPENAEIVYLKDNVTIHPTQYATERISGRLKLIKQDSLLFMSWIPYKGQSSNARLSERDKNLYTIRAVSFADIRSIRRHTPTIGWQYVIVVLSSGLAFPPLYFYNGGVREFIATVKQHIFIVRSSEDANVFLVNNLQDPLQRTLSSLELPRTISIAKSASSSSFTDSPSDGNLERLDGGARKKGSDTARDISIQVLEKFSLVTRFARETTSQLFRESLVDDFGTNENKKRNQSQNRPREIASNDVHVTRSEVPVPPDPLEIEVSSKFIGEASIEMQTVLFKQKNLCREENHDEAATYVGTFELINYKEFDKLSLVWGKPRQPPLGPEEWATFLDSEGRVEDLNALRKRIFYGGVEHSLRKEIWAFLLGYHAHDSTYAERQHVMTVKKSEYETIKNQWQSISPEQAKRFTKFRERKGLIERDAVRTDRSLLFYEGDENPNVYLLRDILLTYSFYNFDLGYCQGMSDLLSPIVFVMEDESESFWCFVSLMERLGPNFNRDQNGMHSQLFALEFEFDKILRLWEVLWTHHVSEHLHLYICIAILKRHRAKIMREQMSFDTLLKFINELSGCIDLDAVVCDAEALCVCAGENGVTSIPPGTPPSLPVEDESIYQQDNEAL >KVI11682 pep supercontig:CcrdV1:scaffold_501:111842:124889:-1 gene:Ccrd_009904 transcript:KVI11682 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MSAVVCGKRSSFFEDHNVPSSSSASPPISKRIRCSSSSPVRYSSTTSSRFIAIDRLILLFPQMDKQLLEKALNECGDDIETAIKSLTELRLGTVENFASVVNSAAGNESNLQFQTQANGVANGDAVPVEHSALQSQPVDGADWVNLFVREMLSASNMDDARTRASRALELLEKSITERDNVMMKEQLQVVIQENALLKRAVTIQHERRKEFEDRDQELHNLKQMVSQYQEQLRTLEVNNYSLTMNLKQAQQGNSIPGRFHPDVF >KVI11684 pep supercontig:CcrdV1:scaffold_501:36665:38056:1 gene:Ccrd_009902 transcript:KVI11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MESSKKLSALFFISLFLVSSATPVLDCGPCDKPKPPTKKPPTKKPPVVKPPTKVPPKVKPPIKVPPVKPPVVLPPVKPPVVLPPVKPPVVLPPVKPPVELPPVKPPVELPPVTIPPVKPPVELPPVKPPVELPPVKPPVELPPVTIPPVKPPIELPPVIPPITIPPLVKPPVVTPPVVTPPVVTPPGGKPCPPPMFTPVPPATCPIDTLKLGACVDLLGGLVHIGLGDPAENKCCAVLAGLAEIEAAVCLCTTLKVNLLNLNIYLPIALQLLATCPCSGGIDEIQSPGEDVYWDESLVNLE >KVI11680 pep supercontig:CcrdV1:scaffold_501:173211:176131:-1 gene:Ccrd_009906 transcript:KVI11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MTCSSILLYALRYRKIISFRVDSENHSTKNAPMMFVPSNTLITTLPLAISYLLYMLVSMESVRGVSVPMYTTLRRTTVAFTMLVEYVLARQRYSLGIVGSVGIIILGAFIAGARDLSFDSYAYIVIFSANICSAVYLASIARIGLICTPVLLLWTWVCGDLEVTANFSHLLSPGFQVVMLLSCVMAFLLNYVVFLNTTLNSALTQTICGNLKDLFTIGFGWLLFGGLPFDPLNVMGQSLGFLGSCIYAFCKIKGL >KVI11686 pep supercontig:CcrdV1:scaffold_501:20373:20981:1 gene:Ccrd_009901 transcript:KVI11686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MESSSKKLSALFFVSVFLVSSAAHRLDCGSCDNSEPPVTYLPLSFAQVVKPPVASPPIVTPAVPTPSVGTPPVASPPIVTPPVASPSLATPPVASPTIAIPPVAFPPIASAPGTPPATCPIDTIKLGACVDLLGGLIHIGLGDPAENKCCSVLAGLAEVEAAVCLCTTLKVNLLNLNIYLPIALELLATCGKSPPPGYTCSI >KVI11683 pep supercontig:CcrdV1:scaffold_501:147189:149757:1 gene:Ccrd_009905 transcript:KVI11683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MCAPHEPQNSSDPNPNQELAQSDKKPCQLLQFSFHELKSATGNFRPDSILGEGGFGFVFKGWIEENGTAPAKPGSGLTVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIATGAAKGLAFLHGGTEPIIYRDFKTSNILLDTEYNAKLSDFGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVMWARPYLADKRKVYQLVDPRLELNYSVKGVQKVSQIAYSCLSRDSKARPSMDEVIKALSPLQDLNDFAILSYHARMSQQSRRKKKQPEGAQNATRNQSKWYNEPPRNSGKQHCK >KVI11021 pep supercontig:CcrdV1:scaffold_5010:22964:27885:-1 gene:Ccrd_010573 transcript:KVI11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MAKGNRVGVKGSNLRRIQQRGQDSEESDEEYKVTEDEDCDESDECYSLDGDESEEILADFEESRRVVRSRSTRRTSSGRKGDEIKKPRKKRRVLHTKDEVEDDDDDEEEDEEFNDEDDAEFMSLDRKSDHIKKPREKKRISYTELDDEEEEDYEELVPSGRRRNLRKKHQEKKRVLDTEDDEDDDAEFMHLGRKVSQEKKLREKRKVLYTEDDDEDADADEDEDDSEFMCTSRKGNLTKETREKRTVSYKEDNQEEEDENDAEFVLSEGDFVDYDDESPKMKKNESISRHHPQKRSVGGGRKKSKKSKIKKPTRPKQRNRRVGQKSGSSKERPATNKNQVEKRSKKIPRRRKQRVTAESDSDFVSSPSLDHEYTISEEEREQMREASVYCANLRAKLRSSSCGKHEEEPVFEQRKNPVRKGKEKVEDMKDEVGKQVCGICLSEEGKRTVRGVLNCCRHYFCFSCIMEWSKVESRCPLCKQRFATITKAAKSDTGFDLRTVVVPVPECDQVYQPSEEELRGYLDPYESVICTECHHGGDDALMLLCDICDSPAHTFCVGLGREVPEGNWYCEGCRPTVFGSLSSQRPTPTSDRRSSGIASDLPSPTAGGFDLNELYVPETPLTQQSRVLPEPRLPGGDFMPAPAGTVATTLRDRRRIHRQMHHRLLTNNRMSDLVARSSRTPPSSSGIRLFGSQLDQARDSTPQRNVHPHASFNGREDHVMSSMHDINLLSPRVDQFGVQVQDSSLPAVDVSLQAEHSGSGMAFDSRLGFAQLHPCTNRSSIGSDASLSPYACREVSHFNLAIGSSRTSGGGFHAPY >KVH28980 pep supercontig:CcrdV1:scaffold_5012:6610:26185:-1 gene:Ccrd_025837 transcript:KVH28980 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MKGGGLSIKQRWRLLWIKQNWWREVRVSRNYDPNLRTQEKAVEYVRALNAAKLDKVFARPFIGAMDGHIDAISCMAKNPSRLKGLFSGSMDGDIRLWDIASRKTVCQYPGHQGAVRGLTVSTDGRILVSCGSDCTVKQWRVPLASGIESNDSSDSSDKLLASYVWKNAFWAVDHQWEGDLFATAGAQVDIWDHNRSVPVNSFEWGNDTVISVRFNPGEPNILATSGSDRSITLYDLRTSSPARKLIMRTKTNSIAWNPMEPMNFTAANENCNCYSFDARKLEEAKCVHKDHVSAVLDIDYSPTGREFVTGSYDRTFSCDASYVISGSDDTNLRLWKAKASEQLGVILPRERKKHEYMEAVKNRYKHLPEVKRILRHRHLPKPIYKAGLLRRTMADAEKRKEDRRRAHSAPGSLMPHKSVRKNRIIQEIE >KVI06165 pep supercontig:CcrdV1:scaffold_5014:22941:23519:-1 gene:Ccrd_015491 transcript:KVI06165 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4408 MDPLRIQAYKIHAIKKHKRQQFMGTILLYTISTLACTLLCSSPLWYPPFCATFNVVFFLFLPKICSFFFTSKVLFVVGNLIVVFLVGESKFFASKSTPANSHASYSDVCYDKYKCKTQNPQVLPKKGTAKAKSFSINDDEKRLETEGEENVEEVEHEDLEVNLGAEELNKLADDFIARINRQRRLEAEVTRG >KVI06166 pep supercontig:CcrdV1:scaffold_5014:13174:15581:-1 gene:Ccrd_015490 transcript:KVI06166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MDLINGFLNLVAPPFTFFALLLFLPPWIFFKFCLGILRSIFTENVYGKVVLITGASSGIGEHLAYEYASRRACLALSARRESRLREVANRCRQIGSPDVIVIRADVSNAHDLDHLVNNAGIAQVCMLEDADDITNLRPVMVNSTVVYYFSQDINFWGSVYTTKFAAPYLRNSRGRIIVLSSSASWMPVPRMSLYNASKAALFQFYETLRVEFGSDIGITIVTPGFIESELTQGKFLSHEGKMIVDYDARDVQVNLTPVGRVAGCARGIVKRAMRGDRYVTEPRWMKMTYVWKVLWPEAVEWTNQLMCMTKVGGESRHDTFGKKILDITGVQNILYPENIQSSEMKSD >KVI06168 pep supercontig:CcrdV1:scaffold_5014:9341:9950:1 gene:Ccrd_015489 transcript:KVI06168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSSSLRADQSSHGFCSQSPQFLAPIATIAALFFLTTPYIVLKLLCHIARSISKENVAGKVVLIVGASSGIGELEERSLREVADAALSLGAPEAIAIPADVMIVSD >KVI06167 pep supercontig:CcrdV1:scaffold_5014:36742:37526:-1 gene:Ccrd_015492 transcript:KVI06167 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein TRQTSVFRCPNLHHPSTHVIILFPSYIWPHATPEVHLYLIPSSPKTPFHPTFFTFLISSHSKMNRFWTLMSGLHQLAGPVTMLLYPLYASVVAIESSSKQDDQQWLSYWILYSFLTLMEMLLAPLLEWVPIWYDVKLIAVAWLVLPQFRGAAFIYDKFVREKVIKRYYPGIGGGEFKLSSPNGNGKMKNKLVDLMTTKKSS >KVH28555 pep supercontig:CcrdV1:scaffold_5015:36670:39605:-1 gene:Ccrd_025838 transcript:KVH28555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal VSLVINYDLPNNRELYIHRIGRSGRFGRKNSTDCMMLFVVNPVYQINNGATFVKVDIIYSKRDNSSALLFLGTVFDVFHFQIDGYNVSLDDGGGKDPEGIWKCMSLGYSTKKTNSTIGQCNYREVFSFNIFREEIEKKDDDHDHLQVSKRQQRWTKQITIRGVVISIILRSIYTVIAMKLNLTTGMTPNLNVSAALLGFMYMKTWTKILQKYGISTVPFTKH >KVH28556 pep supercontig:CcrdV1:scaffold_5015:39034:39297:1 gene:Ccrd_025839 transcript:KVH28556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVXPAFAKRSVINHHEYLPGASIITSDAIGIEDDQVSTMEGRLIEFDYLVIATGHIGTGYVTKVEKLRQYEAGNFGCSAHSAFWHGA >KVH98813 pep supercontig:CcrdV1:scaffold_5016:16949:20005:-1 gene:Ccrd_022957 transcript:KVH98813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sep15/SelM redox MFAPSDLIFSALLEVVEAKGQHADLEDLTKKIQNCCLIAENVVLRTLMTPLARSQCYTFCNRTHIVYSGAVLEVCMRKLLFYPEIVSFIEDEKDKFPSVKVHYAFNAPPKLIMLDDAGQRKEIIRQVLLCIAAMYCYLLTKLAFQGLDVI >KVH98814 pep supercontig:CcrdV1:scaffold_5016:30659:31228:-1 gene:Ccrd_022958 transcript:KVH98814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPPRTFQAVARSANDAIVPTHLLETLQPNTRLFFIKLTVFFRSSGATTRHTLEMSAPCQHVSELNRLVLADIIRFLDQVGSPREALSEVIFRVTICAGEMMADESNAGRRVLPLEVFLLFTCPAAELGPPTLVRVNVEERLANCSVCLEELEVGSEAGRLPCNHHFHVDCINRWVTYHRSCPNCRLELG >KVI11238 pep supercontig:CcrdV1:scaffold_5018:21587:37586:-1 gene:Ccrd_010354 transcript:KVI11238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLALFKTLASSTPILPQNISPKISDPFIIRLSKTKTQNTQNLIANLMGTIIRLSKSKTPIPRTSFTSTTSSSISSTNTVNASHEFKITGYESSKGMGVGKYIASESFTVGGHTWAIYFYPDGKSPEDNSTYVSLFIALASDATDVRALFELSLMDQSGKGRHKVHTHFGRVLDAGPYTLKYRGSMGYKRFLRRTVLETSDYLKDDCLLVKCTVGVVKSCTEGPQIFSICSPPSDICQHFGHLLESGELTDVNLEVDGEVFRAHKLVLAARSPVFKAQLFGPMKDNNTESVMQSDGFDYLTQSCPCVITELLEYVARIREHSVTSYGRGTGNDDGSGADGRRVRQRIF >KVH96751 pep supercontig:CcrdV1:scaffold_502:20686:39717:-1 gene:Ccrd_001158 transcript:KVH96751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF490 MSSRLHNPFVGVPFQFTVKPRNNTSFGYLGEYKAPRKACYKFIPRAKKNDWISHGIRFSQSCGENVEILWKNIGLRSGFVVKSVKEPFTRSKVLVRSLSTVWDEGLLLFRCSVFCAVISGVCLLLWYGQLKAKTLVETKLLPSVCTALSDYIQRDLHFGKVRRISPLSITLESCSIGPHKEEFSCGEVPTLKLRVHPFSSLRRGKIVIDAVLSNPTLLVAQKRNYTWLGIPFSDGIPQKHLSTEEGIDNRTKIRRVAREQTAAQMYRERDDAAREAAQMGYIVSNRGSNASEGTDVLKKNALNSAGLSTSELVFSMDEKLRWQDHHCMDAGVEYDMKHADLEKSFGVNIPIAGVKFWSRIIPGPLKRKLKRKANGRNTFTTGMAAKRRILERSASAAHTYFLDPSLGTVHGSVQGDPSLVDKEDQNQIRKLRTRSDSGDHKLEYVDPYLLRPKVLVSEKLQINASPEEHLGSKSLLEDEDIDTRSQCYRPESKQQLEVPSQGSTYTSDRGMGDPWSYLIVGPFQKIKSQLVPKVEGIVAELVEGANGEKTAGIERTPPVILDSVHFKGGTLLLLAYGDNEPREMENASGHVKFQNNYSRVHVQLSGSCKMWRSDITSEDGGWLSTDVFVDTVEQKWHANLKVVNLFAPLFERILEIPIAWYEGRASGEVHICMSEGESFPNLHGQLDVTGLAFQISDAPSSFSDVSASLCFRAQRIFLHNASGWFGKVPLEASGDFGIEPEEGEFHLMCQLAGSVTAVFNCQGPLDAPVFVGSGLVSRKISSSVADVPTSAAYEAIMKNKEAGAVAAFDRVPFSYVSANFTFNTDNCIYMGSEQVLLMEERFVGQGTLGFVRRRFDIKWTAPKAEGSFGDARGDIIIAHEYITISSSSIAFELFTKIQTSYPYEDWLNKNLFDVRPAMPVIVEGVELDLRMRGFEFFNLVSSYAFDSLRPIHLKATGRIKFHGKVVKPISTTDEHLSNAQKNVIGVPLKDTEESKILAGDVSITGLKLNQLMLAPQLAGVLNISRARIKLDATGRPDESLAVEVIGPLRSIGEENIIGNMLSFSLQKGHLRANVCYQPFQSANLEAEIQLNFQKRRGHGILSLLHPKFSGVLGEALDVAARWSGDVITVEKAVLEQSSSQYEIQGEYVLPGNRTGKERGNLLRRAMAGHLGSVISSMGRWRMRLEVPRAEIAEMLPLARLVSRSTDPALQFRSKDLFIQSIQMVGVDAGSLQKLLEEIRGHSTSSDEVILEELSLPGLAELKGRWRGSLDASGGGNGDTMADFDFQGEEWEWGTYKTQRVLAAGAYSNDDGLRLEKMFIQRDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTLGQVLESSANEVVHSLRQLLAPIKGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQNGHVHIQGSIPVTLVQNNFSDEENLEKDKTEVSWAPGWVKGKNGSMDESNDKKLSRNEEGWDTQLAESLKGLHWNVLDVGEVRVDADVKDGGMMLLTALSPYANWLNGSAEVTLQVRGTVEQPMLDGSASFHRATISSPILRKPLTNFGGIILMDSNRLCIRSLESRVSRRGKLSVKGNLPLRRTEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQVTGSILQPNICGNIKLSHGEAYLPHEKGGGAPSISREASDSSSMPSGGYNQVVASKYVSRFLNLKPATSNTPFQQPSGKQAEAKKGMGQVSSKPKLDIQLTDLKLVLGPELRIVYPLILNFAVSGELELNGIAHPKLLKPKGVLMFENGDVNLVATQVRLKREHLNTAKFEADNGLDPMLDLALVGSEWQFRIQSRATKWQDNLVVTSTRSVEQDVLSPSEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGHARWRLVYAPQIPSLLSVDPTVDPLKSLASNISFGTEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRLLLQSAPSKRMLFEYSTTSQD >KVH96750 pep supercontig:CcrdV1:scaffold_502:79019:86883:-1 gene:Ccrd_001159 transcript:KVH96750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding module family 25 MEVALHVQSCRNTVLNEINPLKIKPFVGVFPTRRPNMFSQFIHKDSMVVGVSHQIIASSDFSSRRRRKYVNARSKHAAPKGYLPKPNNQRRMGEDLKGSKVKEYVSSNQNGIDTKFIVDQVHKAEMEKDIGALIDDVSMEEHLWKEQVEAKIHKQEMEEKLRKQDMDEFLRKKATKAKIRKQQVDRLVIENVLRNEKVFSYPEVLRPDRGIEIFLNKNASTLINETDVLIIGAFNDWRYKSFTEKLSKTHLTGEWWSCKIHVPKEAYKIDFVFFNGKDVYENNDMKDFWVPVEGGMGAVEFEELLLEEKRQDLEKLAKEEAEMEKQKEEEREIEAEKAASETDRAEARAQVNKRREKLEQVLNKTAKSFDNLWYIEPNEFKGKDTVRLYYNKGLGPLAKVKDLWIHGGYNNWQDGLSYVTKLVKAEENNGDWWYVDVFVPTRALLLDWVFADAPPQHAIVYDNDLQNDFHAIVPASMPQDLYWEEEERIIYKKLHDERKLREEETRAKAQKTQRMKAETKRRSMKTFLLSQKNIVYTEPLDVQAREPVTVFYNPANSVLNGKPEIWFRCSFNRWTHRIGLLPPQKMLPADDGLHVKISGKFVSFIGISCNNQKQFLDHLFLCCDVVKVPLDAYMMDFVFSDRESDDGAFDNKNGMDYHIPVFGGVAKEPPMHIVHIAVEMAPIAKVGGLADVVTSLSRAVQDLNHQVKKLGFHRSYFWGGTEIKVWLGEVEGLSVYFLEPQNGLFWAGCIYGCRNDEERFRFFSHAALEFLLQRGSLPDVIHCHDWSSAPVAWLLKDHYMHHSLGKASVVFTIHNLEFGAHLIGKAMAYADKATTVSPTYSHEVAGNPVIAPHLHKFHGILNGIDPDIWDPYNDKFLPVSYSADNVVEGKRAAKEALQHKLGLKKADLPLVGIITRLTHQKGIHLIKHAIWRTLDRGGQVVLLGSAPDPSIQNDFVNLASQLHSLHNERARLCLTFDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSVPIVRKTGGLYDTVFDVDDDKDRAQSQGLKPNGFSFEVADIAGVDYAINRQSDIWLVRWSRLV >KVI00741 pep supercontig:CcrdV1:scaffold_5022:33306:34514:1 gene:Ccrd_021006 transcript:KVI00741 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MQRVRVSSQQVPVQKLGDSQMTLSPKFKLAAIQSRLLDPFVESELSLRGEPLVPGLPDDIALSCLLRLPVASHSATRAVCKRWYQLFANKERFFTRRKEMGFQDPWLFVFSFHKCTGKIQWDVLDLTHFSWHTIPSMPCIDKVCPHGFRSVSFPFEGSLFVCGGVVFDADCPLNLVMKFEVQKNSWTVMRKMITPRSFFASGVINGKIYVAGGNSTDLFELNSAEVMDPKKGIWHPIANMGTNMASYDAAVLNGKLLVTEGWFWPFYVVPRGQIYDPRTDKWENMADGLREGWTGSSVVVYGHLFVVTEHERTKLKVYDMNNDSWKTVDGPPLPEQICKPFSVNCCNSRIYVVGRNLHVAVGRIFSLNGSEKNPSFLVQWQVIEAPEAFANLTPSSAQVLFA >KVH28341 pep supercontig:CcrdV1:scaffold_5024:26369:27005:1 gene:Ccrd_025840 transcript:KVH28341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MELFEKIIFRRPQEASNFNTGLIYAILFEVDDRETIGGSAYGGQISICCTSNLAKLGACKEGEDIHRLSAINPGWPEVFGVSFDVNEEISSMKPRCVQITRTGMYNLYFNHCEHRLGDIVVEGKTIFKNPSGYVTGRMVPLLNFYGFISLAFLVLGIFWFSQYARY >KVI07044 pep supercontig:CcrdV1:scaffold_5025:20930:26677:1 gene:Ccrd_014597 transcript:KVI07044 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MALTESSNPNRALTDTRFSDLNPPLSDEVIDVLSSSGFEFCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRTTSAKPQEVLGIIISPTRELSSQIFHVAQPFISSLPDIKPVLLVGGTEVKVDMKKIEDEGANLLIGTPGRLHDIMDRVDILDFRNLEILILDEADRLLDMGFQKQINSIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLGCSSSGHVASSKTPSGLHIEASDKKSSQLVDFFIKNKSKKIIVYFMTCACVDYWGVVLPRLAALKGLSLISLHGKMKQTAREKALASFTSLSDGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRRGSAIVFLLPKEEAYVEFLRIKRIPLEEKTCSEDALDVVPHLRAAAKKDRDVMEKGLRAFVSYIRAYKEHHCNYILRWKDLEIGKLGMGYGLLQLPSMSEVKQNSLSTDGFIAAEDINLEEIKFKDKSREKQRKKNLQVKKDVQQEPKTHKAKSTAKNTPAVMKKKTAKQRRAAQSAEDDDELAREYRLLKKLKKGAINEAEYAKLTGTEELL >KVI07045 pep supercontig:CcrdV1:scaffold_5025:10603:11701:1 gene:Ccrd_014596 transcript:KVI07045 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MGQPSSTAMDSSRKRKSRSRREGPKGVAETLAKWKEFNNKIDSLDEKAKPTRKVPAKGSKKGCMKGKGGPENSRCNFRGVRQRTWGKWVAEIREPNRGSRLWLGTFGSAVEAALAYDEAARVMYGPCARLNLPSCRSMSDYSQMVSNASTAGSSCDSTTTCSHSEGESKRETVALKDEGESNSPCDPKHEDGQLSMVKVEVKEEPMEEEEEEEVRVKEEADNHVDEMFDMDELLEMMEQRSPHTKQERGTTAYEQDKQEQDGVGYGYEWCMAEDGFREPPWFEKEPVSPADKQQQEEDGYGFDFLMPGRPEECNFTLEELGLDLGGDLGV >KVI07043 pep supercontig:CcrdV1:scaffold_5025:27388:32385:-1 gene:Ccrd_014598 transcript:KVI07043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MAFSGIAEIISSVTSRFSAPKSSTSPYASSGLPPLGGPVHGFGFPGSRQKNLLRLSSSLQDFSAYRRLDPEDGNPSPGIENSSPNMNQHHFSRENGGTSFSKEKSLLQTTVRRKWVRVIMVLFCLLLLASLIGLLFFYSNWSQGSARFYVVLDCGSTGTRVYVYQASINHKKSGSLPILLKSIPEDLQSKPSSQSGRAYNRMETEPGFDKLVHNASGLRSAIKPLLSWAEKQIPKHAHKTTSVFLYATAGVRRLPASDSDWLLKNAWSIMKNSSFLCRREWIKIISGMEEAYYGWIALNHYAHVLGARPKKETFGALDLGGSSLQVTFESKDYQNNDTSLDLRIGSLNHHLSAYSLAGYGLNDAFDKSVVHLLKKSPQTSKANILKGKAVIRHPCLQSGYKERYICSQCQSAFQADGRPNSRKVLGKGGKSGIPVQLIGVPKWEECSALAKTTVNLSEWSDRSPGIDCDLYPCALQNNLPRPYGHFYAMSGFYVVYRFFNLSADAALDDVLEKGREFCEKAWDVAKKSVPPQPFIEQYCFRAPYTVLLLREGLHITDRQVNIGSGGITWTTGVALLEAGNAISSRARLYNYRLFQMQMNPVVISVVLFVSLCLVVCALSCAGNCVPRFFRRLYLPLFRHNSTSGTSVLNISSPFNFRRWSPIISGEGRVKMPLSPVASAQNRPFGPDIQLAESSLYPSSSSVAHSFSSSSLGQAQFDNNSSNMSAFYPGPHRGQMRLQSRRSQSREDLNCSVADAHLVKV >KVI07795 pep supercontig:CcrdV1:scaffold_503:22150:27925:1 gene:Ccrd_013842 transcript:KVI07795 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein PRVSAAYSTAEKQRTSPATETNTIGSFHNGNSFSLYDVLGIQMGADIREVKSAYRRLARVLHPDVGSLDSSADEFMKVHSAYTTLADLARRADYDRSLLQRRMGCSSPVISAGGYRGRRWETDQFGRSRISAGYATAERSSTATETIRSFRSASPSSLYDVLGIRIGADTSEVKAAYRRLARVLHPDVGSGDSSADEFMKVHSAYITLVDPEKRADYDRSVVQLRAGGSSPMRSGGGYRSRRWETDQCW >KVI07794 pep supercontig:CcrdV1:scaffold_503:76312:78401:1 gene:Ccrd_013841 transcript:KVI07794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MAKLLLTISIFILSIAQFFLQGVISSATFTFVNKCDKTVWPGILSNAGIAPLQSTGFALQKGESKVLNAPSSWGGRFWGRTHCSEDSDGKFSCGTGDCGSGKMECAGAGATPPATLAEFTLDGDGGMDFFDVSLVDGYNLPMMVTPSGGSGHNCTYTGCMTDLNGACPAALKVMNSDGNGVACKSACEAFGQQQYCCSGEYGTPDTCKPSSYSLLFKTACPRAYSYAYDDKTSTFTCNGADYQVTFCPPPTTSGMVFEGANAMENSGAPSRNTYIHMAIMAAVMTVVSL >KVI07803 pep supercontig:CcrdV1:scaffold_503:228814:237202:-1 gene:Ccrd_013833 transcript:KVI07803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein KVGGSVKNGSEKNVWPQNPNRKKDALKTAKAAAKKKCEICLKVNDSHSVTLCPPQSLVDVRRTKSEVYEGFSYVFCAESSQREVYERMVNPLVYDFLKGKSGMLAAMGPSGSGKTHTVFGSAREPGMLSLALRQIFSVKESNGAKYSWTFYLSMFEIYSERGKGEKIMDLSQDGGDLYMQQSNIKGLQEAVIHDAQQAESFIASGIQKRSTAMTNSNIQSRSHELEFEIVFEFKVTTIE >KVI07802 pep supercontig:CcrdV1:scaffold_503:195640:200198:-1 gene:Ccrd_013836 transcript:KVI07802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPTLDSVGQGSVLPSVVKNEISFFSERDQSEQEEKEVTVLISLSNGEGLKCIENPQALDPEIADELSVCPEDVSSQGCNASKLQEESSVQHCQVSNAVKPKRRLRPASSVMLRNVHILDIDDASEMPKGKRGEKEDDRKRTQGSISLLRLLKQNIHR >KVI07796 pep supercontig:CcrdV1:scaffold_503:1162:6791:1 gene:Ccrd_013843 transcript:KVI07796 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAISTSSSFFTSPNFIFNSHPSSQSPSTSLRFGRAPISASYATAERTTTATEPIRSFRNPNPSSLYEXLGIRIGADVREVKAAYRRLARVLHPDVGSCDSSADEFMKVHSAYTTLTDPAKRADYDRSLVQRRAGVPSPMRSAGSYRSQRWETDQCW >KVI07793 pep supercontig:CcrdV1:scaffold_503:136596:138312:1 gene:Ccrd_013840 transcript:KVI07793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MATHSFVSCSCYVTFVFLTISKGILGGTFTFKNRCDYMVWPGILSSAGSTPLDSTGFELSPGESRSFQASPGWSGRFWGRTGCTFDASGQGSCATGDCGSNQMSCNGAGATPPATLAEFTIGSGSGPSTQDFYDVSLVDGYNLPMAVDASGGTGACGTTGCVTDLNRMCPTELRAGDGQACKSACEAFGNPEYCCSGSFGSPDTCRPSVYSEMFKSACPRSYSYAYDDATIKNLPRIHRYRPQPEQQRMAHQIRMGHHGCQVSPLEDHQDQIPIMPSY >KVI07801 pep supercontig:CcrdV1:scaffold_503:187391:189045:-1 gene:Ccrd_013837 transcript:KVI07801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MSNKVEGIAVGIDLGTTYSCVGAWLQAQNRVEIIPNEQGNKITPSCVAWNGTEMLVGEAAKNQINRNPKNTVFDVKRLIGSRFSDSTVQKDIESWAFKVIEGSEDKPVILLEHESEDKKLLPEEISSMILKNLKESAEAYLGTTVADAVITVPAYFNDKQRQATKDAATLAGLNVIRLLSEPTAAAIAYGVDKISVNCPKEKNVFIFDMGGGTFDVSLLNISNVGNVNVKAVGGDTHLGGEDFDXXMVNHCVQEFKKREMKDVSKNAKAMARLKGACEKAKRDLSSTTQTAIEVDSLYKGIDFSMRFSRAKFEELNAGFFKKCIEHVENCLKDGKMQKNDVDDIVIVGGSTRIPKVQQMLMEFFDWKPLCKNINADEAIAHGAALLAANLSGYGNKIVQDLILLDVTPLSLGVAVGVVDDMSVVIPRNTPIPTIKEHCYVTLFDNQVSAGIRVYQGESKKVKENIFLDSFILNGIPKAPAGEEEINVWFNIDANGILNVSAEVVSTGNKRCITIEKRGTVVQG >KVI07797 pep supercontig:CcrdV1:scaffold_503:153736:154373:-1 gene:Ccrd_013839 transcript:KVI07797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIS, N-terminal MTLEDFFTLTELRDGLTTPTRVNELLTVMQKEKDCVVKNVGEATRQWSAVACTIATTENKDCLDLFIQLDGLWFIDRWLKDAQKFGNDTENRFLEELIIALLRALERLQVDNHRSISSGIDKTVQDLLGHSSSMVREKAKALCEGWTPIQDIDVAPTDVKMIEAIGNATECPAVAISNEENMEQSSDQKMLKEEMPTQETIMESASTNQDPV >KVI07798 pep supercontig:CcrdV1:scaffold_503:174709:176455:-1 gene:Ccrd_013838 transcript:KVI07798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein LHPLILVVISAPKSIHSHIPFFVVYLDSIKCFKMSNKVEGIAVGIDLGTTYSCVGAWLQAQNRVEIIPNEQGNKITPSCVAWNGTEMLVGEAAKNQINRNPKNTVFDVKRLIGSRFSDSIVQKDIESWAFKVIEGSEDKPVILLEHESEDKKLLPEEISSMILKKLKESAEAYLGTTVADAVITVPASFNDKQRQATKDAATLAGLNVMRLLSEPTAAAIAYGVDKIAVNCPKEKNVFIFYMGGGTFDVSLLNISNVGNVNVKAVGGDTHLGGEDFDMAMVNHCVQEFKKREMKDVNGKMHKNNVDVIVIVGGSTRIPKVQQMLMEFFDWKPLCKNINADEAIAHGAALLAANLSGYGNKIVQDLILYDVTPLSLGILVRVDDMSVVIPRNTPIPTTKKQTYCTQFDNQECVGIYVFQGESKKTEENIFLDKFILYGIPEAPACEEEINVWFNIDANGILNVSAEVVSTGNKRCITIEKRGTVVQG >KVI07800 pep supercontig:CcrdV1:scaffold_503:215918:220961:-1 gene:Ccrd_013834 transcript:KVI07800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKLLIVLHVFFFFHLHFSNGFSDLDALLKLKASMVVPPTASRLDDWEPPQPNSNVPDSHCSFSGVSCDENSRVTSLIISNVPLYGTIPPEIGILNKLVXLTLVSAXLXGELPVEMCNLTSIKFINLSXNSLIGVFPGEIXTTMTELQVLDVYNNNFTGKLPLEFVKLKNLKKLFLGGSFFSGEIPDVYSEFLSLQSLGLQGNQLSGRIPWSLSRLSTLEELLLGYDNSYDGGIPPEFGSFKSLKLLDIGGSNLTGQIPESLGNLKMLHTLFLQCNNFTGEIPPTLAGLVSLKSLDLSNNNLTGGIPESFSELKNLTLLNLFLNHLAGPLPPFIGDLPNLEVLQLWENNFTFSLPENLGRNGKLLELDSLEKIRIMKNSFNGTIPAGLFNLPALTLLELDDNYFSNELPMEMYSQSLQRISISNNQITGNIPPGIXGLXNLTTVSLQSNSLVGGIPXEIFNLKKLYSINLSDNKLTDVIPVFNGNCSELISVDFSRNGLVGEIPGGILSLPNLNILNVSRNQLFGVIPSKLGEMKSLTVLDLSYNHFSGRVPSNGLLKDFSDSIFAGNPNLRTLHVAHSPVKSKFKSHSITKSKLMILIFSIIAIISVITVVVTVVFIRIRKNKRIEKSETWKLIAFQRLDFKIEDVLGSLKEENVIGKGGAGIVYRGSMPNGVDVAIKRLITRKHGFDAEIQTLGRIKHRNIVRLLGHASNQESNILLYEYMPHGSLGEILHGSEGVHLQWEKRYKIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDSDYEAHVADFGLAKYLRAVLDSRLKGYILSSVIDMFKIAMMCVEDERRARPTMKEVVYVLTKPSTESILLANTLNEYASGGEDVLYHGQTV >KVI07799 pep supercontig:CcrdV1:scaffold_503:206489:211991:-1 gene:Ccrd_013835 transcript:KVI07799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MEMKKSPPPCPNTVTVRRNPPRRAKPTPASVVPFSLPPSSKKPSAVRSFPIQDILSIDVPDVENPKQEITESSSPKESSDSEKLKVFLRIRPLVTQQKVGGSVKNGGQKNVWPQNPNRKKDALKTAKAAAKKKSEICLKVNDSHSVTLCPPQSLVDVRRTKSEVYEGFSHVFCAESSQREVYERMVNPLVDDFLKGKSGMLAAMGPSGSGKTHTVFGSAREPGMVSLALRQIFSVKESNGAKYSRTFYLSMFEIYSERGKGEKIMDLSQDGGDLYMQQSNIKGLQEAVIHDAQQAESLIASGMLKRSTAMTNSNIQSSRSQCIINIRNDLDDIDEDAGTQSCTAFLTIVDLAGAEREKRTGNQGARLLESNFINNTSMVFGLCLRSLLEHQKNPKKPFHKHFQNSLLTKYLREFLEGKKRMALILTAKPGEEDYHDTSYLLRQASPYMNIKFENVEEQPGNALCNKRRTQTLPKAEQGKRMKFSSNEVCMLTAQQVREVKSNGSSVACSSIIDIQANENTFVDENIIDSAKRARENHILLNFSRALWNVLKEYKNKLE >KVH99465 pep supercontig:CcrdV1:scaffold_5031:10971:11693:-1 gene:Ccrd_022301 transcript:KVH99465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFICGSSGNQEDDFEVLWPSQRKTTRRHSFCSRSNKDSKNPYSNRGLDKFEALLADLDGKRQKIFTQKGSEDISFVRFVYSNSNDVKPIVVKIKDQRKQDQKLKAENPKTAELSPPHPPNSNKTGGGTRVEGAKPSIDLCKKKDQWRRKVGDWWKPWFCLPLFVILILVFLVFFGRSFAILCTSLGWYLVPATSEINPKRQKKMVKKEYARKSSEKMIISPRSILNSEPMNNQPHRKSF >KVI06795 pep supercontig:CcrdV1:scaffold_5035:27060:38332:-1 gene:Ccrd_014851 transcript:KVI06795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MKWRRFVSLPILAVLILMEMVYYGTVFIFLDDWIGLQSSAGWLNAVIFTSLASLTLFSFLVCVLTDPGGVPSGYFPDIENNDGSDQESRKAEALQKRCDKCSAYKPPRAHHCRVCRRCVLKMDHHCTWINNCVGQRNYKAFFLLVVYATISSFHSLVLIIGCGIQKDWEFARTTRLKTFYILGPSMLKWLCPTALSHVKDGTSFPTVRDTL >KVI06794 pep supercontig:CcrdV1:scaffold_5035:5345:9510:-1 gene:Ccrd_014850 transcript:KVI06794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MSNAIRLLTISPLQSAKSLYLPSHRLAFSSFGVSDFCDCLKRKSNVNGVRTKVFTTISQQGSIPGLDYSSSPVSIELKPILNESQFDLVIAEAEQLDESVVILWMAKWCRKCIYLKPKLEKLAADYHPRVRFYCIDVNNVPYKLVVRAGVTKMPTVQVWKNSKKQAEVIGGHKAYLVVNEVREMIENENEP >KVH95025 pep supercontig:CcrdV1:scaffold_5037:9519:12279:1 gene:Ccrd_002906 transcript:KVH95025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTSSESKDDIPEVSHRLYAYASDCDLEKYDGQFLLPPLIPQRKRRRKRCKFFCDVVGHAGEDEEDANNSEPKYPWEGTDRDYFYEELLSRVFHILRENNPELAGDRRRTVIRPPQVLREGTKKTVFVNFMDLCRTMHRQPDHVMTFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYISKSCSLLLLPKQRFLHNPLCMNMSYAMAAEVRIQFFQRRICGSGRSVAPIKTGFVARVGRRKAGS >KVH95024 pep supercontig:CcrdV1:scaffold_5037:12980:16575:-1 gene:Ccrd_002907 transcript:KVH95024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MASSTMLSSTTLKLCSNFICFSPSKPTLPNNIFPFTPHLRRNPLKLNASFNEISDDLLENTLHLDQFPIFKSGYAQFQRITEDLPETEKWGVLAFAGFVWIYLTARPGVLIGAIDSYILAPAQKVFDGLSGRRSLKRTDFLIGDKLGEGSFGVVYSGVVIPKNVTVEESARMTGSRRRQLEKDPRFKEKVILKQVKVGVEGAIECGDFEEWFNYRLSRAAPETCAEFLGSFVSDKTNSRFTKGEKWLIWKFEGDRDLGDYMKDRIFPLNLESLMFGRVLQGLESIERNALIIKQIMRQIISSLKKIHDTGIVHRDVKPANLVVTKKGQIKLIDFGAATDLRIGKNYVPNRGLLDPDYCPPELYVLPEETPSPPPEPVAALLSPILWQLNSPDLFDMYSAGIVLMQMSIPTLRSSAGLKNFNLELKKVDYDLKRWREKTRSRPDITVLDLDSGRGWDLATKLISERGSLRRGRLSASDALRHPYFLLGGDQAASVLSKLSLSK >KVI10841 pep supercontig:CcrdV1:scaffold_5039:3943:5311:-1 gene:Ccrd_010755 transcript:KVI10841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MSDLPLVPDPPADDAVIDSLIASEPHHLPSPDYILRCQRRSIHLTHRQDSINWILSAHAHYGFKPATAILSVNYFDRFLSSASLPGNNIWAFQLLSVTCLSLAAKMEELHIPSLLDLQVSEPRFIFESKTIQNMELLVMANLNWRLRSITPFDFLHYFIFKIPVSCRPDNDDPHGSFRSACSDLIVSTTRVIDFLRFPSSVIAAAAVMVAAGGVDVPESFYEKVNKEMVGSCHQLMEEFLVDTCPSANQIKMKLRIAEQSRAQPPSSPDRVLDAAACVSCYTCSNDGGRIPPSGDGSFGNNVSQAEPPNKRLRSFVHEEQP >KVI10842 pep supercontig:CcrdV1:scaffold_5039:22123:23886:1 gene:Ccrd_010756 transcript:KVI10842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTATLHKDTRHQRTHNRAILLKAIHNNSILLSTPHSTQLLLLSSKNKAVSGCPVLLLSLGCMLLEEGLNWYIGVSSYRKLDSRTWTFVLLLVITCDLKRDSRMYLSHRSFVLLFVIW >KVH89328 pep supercontig:CcrdV1:scaffold_504:41146:42429:-1 gene:Ccrd_008680 transcript:KVH89328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPCNLPRSATHLTEIPNCTSTSMNYRPRSQLSVKSSWNSDSGQTRVASRVAKLGQKWREYQGIKNWEGLLDPLDDGLRHEILRYGDFVEAAYRSFEFDTSSADYATCKYSKNSMLERCGLGGSGYKVTKNLHATCGVQLPGWINRVPSCTSVNSTWIGYVAVCNDEEEIARLGRRDVVIAFRGTATCLEWIENLRATLTSIPNDVAPESKRAMVQKGFLSMYTSATTTCPSLRDMVREEISSVIDKYGDEPLSVTITGHSLGAALATLTAYDITSTFERSPMVTVVSFGGPRVGNRNFRSQLENSGTRILRIVNSTDVITKVPGFLADDSNDMTNRGIPVAGLPEWLQKRVAESQWFRYADIGKELRLSSDASPYLTKSDFATCHDLKTYLHLVDGFVSSTCPFRTKAKRLLAGTKHEKQQIFVR >KVH89325 pep supercontig:CcrdV1:scaffold_504:218143:229408:-1 gene:Ccrd_008688 transcript:KVH89325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MKGGGRRKSISSNPPPPPPQVHNNLVSPGNVDAEYYKRNGEVQQQLLLLNDGGDKYEDEEEDEYDEEYDDQFADQENEGGEGEGEGEGEDGEERPKLAEGFYEIESVRKKRSRKSKVQYLIKWRGWPEAANTWEPVENLMSCSDVIDAFEDRMRSGKQRSSRKHKRKNAVAPQPQAKKKKKQQQQSSPAATYDVPSVKIKIIDGPLSHPSGKDPTCSKWVENNVGVVGNVKTTKHLSDNGSLLVPQQIGEMNETNELNVKLCELKDTSSTDKENVNEFVIHTEEDRSGEGGSPSNGISNVNGPRSVWASRSVGAKRRKSGAVKRFKQDINPIVTNEAHDGIERITNVNGVVVEHGTENFDQVGNCFGSMNMVDTSRSMYGITKIIKPVEYSISTLNDTQDILVTFSVLSELERNRRRKRKEENERRWELKKDNVTSSRSDGKEVMVDNRYLKTNYPLLLINFYEQHIQYISPTSE >KVH89331 pep supercontig:CcrdV1:scaffold_504:171816:178042:-1 gene:Ccrd_008687 transcript:KVH89331 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, delta/epsilon subunit RTVALSRRAKGYFPQSLLHFLFPILLLTRNPKAGEEINGLRLIPSSPDLRPCRPSDPNTMIRQVASRFLTRPTAAAAVVTIRRPFSTEVTDSSSGDTAFVEAWKKVVPNIDPPRTPSQYMQPRPPTPSTLPTKLTVNFVLPYASELSGKEVDMVIVPATTGQMGILPGHVPTIAELKPGLLSVHEGNEVKKYFISSGFAFVHPNSYADILAVEAVPLDKVDPAEVQAGLNKFTQKLSSASTELEKAEAQIGVDVHSALNFALTG >KVH89329 pep supercontig:CcrdV1:scaffold_504:92588:107692:1 gene:Ccrd_008681 transcript:KVH89329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEYPDSLKKFFNELETRRTLLTTITETHNKLINNFISLDETLTKKSQTLDTQIQNFKKHTEKTLEALRVREDDIPQKEVTLAARVEQLKDSGIRYIEKGNNLDPEDETTSGLLRIWFRRMDSTGLVKFLLAKRKESVAVRAEIMAATEEAVDLLAFVLDAVEEFVELKLSGLKVKGMADRRWACGILIQAGLPLRSSQIXGGGVATSLKERATKVLEVWKGVLGGGEGSGGVGSGEATMFLQMVIGFGLKLKFDEEFLRQLVMEFASRREMAKLAVALGFGDKMKDMIEELVKTGKEIEAVYFASEAGLTDQFSPDFKLESHFTSDNLQKRVNELEKIKSDRKRSGPASTSKPSSKRHRSERSGGGSSRPPKSVRLAMSARQRNHPAPPTHQSSAARFSAPYSYTNPSVYEGTPNSAAYGVGYGSYGVAPSQSPARYGVQYANPGQDYGSYDYGAAAAAAAAPLVPTYPATYQH >KVH89332 pep supercontig:CcrdV1:scaffold_504:160793:165338:1 gene:Ccrd_008685 transcript:KVH89332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steadiness box MVHPPPSSTAQYTQQFLSSVLSQRGPASLPYSEDVKWLIRQHLVSLSETYPSLQPKTATFTHNDGRSVNLLQSDGTIPMVFQNVTYNIPVVIWLMETYPRHPPLVFVNPTRDMIIKRQHAFVNPSGLVSIPYLQNWVYPSSNLVDLARNLSHYFGLDPPLYSQRRPNPTPNPSFNPSVSSMVSPSGSVSSGSIRPAIPPRSYPPSPYGATAGSGRIPSGSATEDPGEVYKRNAITKLVENVHTDVEELRKKRQTEMEGMFVAQAVLRQREEELLQGMREMQYEKEALEQQLQMVLMNTDVLEGWVRENDGKLGGNAANVNADDAFEPSDSLSKQMLDCTSSDLAIEDVIYALDKAVQEGSIPFDLYLRNVRLLSREQFFQRATTAKVRAAQMQAQVTNMASRAPPYGI >KVH89330 pep supercontig:CcrdV1:scaffold_504:166231:168550:-1 gene:Ccrd_008686 transcript:KVH89330 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MHKKKIEVQIGKDSSGVSSDFNPIPTISCSISDHPSPSSSLFLVLPSTSPDDNSNNYNFATTVRDVNPNHQHEIVIDDVDLHPNPKSTPHKRPIFPQTTTITQSQAYPFSPETHFSKRFCGTKAVAIHLLHRLRHLRRLQTHIRLILLLSLPFFYFLVSHPSQSFVLDFLSALAFSTALLFSLNLGLPQLPSIRTLLTRSFPINLYSSTHSSKPPLPVFWSIGSKPKGNRRVNSGCWVQAYSNGDVYEGEFHKGKCSGCGVYYYYMSGRYEGDWVNGMYDGYGVETWVKGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGRSHGCGVHTCEDGSRYAGELKWGVKHGLGCYHFRNRDTYAGEYFADKMHGFGVYSFANGHRYEGSWHEGRRQGFGVYTFRNGETQSGHWQNGVLDVPSSKNAMCVIDHHRSKVLNAVQKAYDAAGKMEERVNNVVTSANRAANAARVAAIKAVQKQMHQRRNSDELPIPMVQNLHHLPTQLTV >KVH89333 pep supercontig:CcrdV1:scaffold_504:8131:11042:-1 gene:Ccrd_008679 transcript:KVH89333 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MESLQIRSVLRPSSSSQYPLVQTAVASRHGEVEFSRVRGWKTIRTELSSVKFERANHLQSGKLSIDENVHTQFHQHQRQKTRFQANVVSEFSHDAQDSGAVGPQINVKSLVATLGVIYRYSRAYTVNGTPIRLAFFEQALSILSISLLAVQKLSDFKPSFFLGVFQAIIGGCLANLYVVGINQLSDIEIDKVNKPYLPLASGELSVKTGVLLTSLYAILRNHHHQHSHMESYELTVFQCFQGFFLGWSVNSWPLKLGLFLWYAFGTAYSVHIPLLRWKRIPALAALCIWSVQGAIIPILFHLHAQRYANVRPLFLSKHAIFVCGFMSIYAVVIALFKDVPDVEGDKINGITSFASQVGKKRVSLKICICHILFVPIRKKSSNVFWICIWLLEMAYGMAMLIGLSSTRFWIRSIMVIGHCILGFILWEKANSIDLKSNEAIESFYLFIWKLYYAEYLLVPILRF >KVH89327 pep supercontig:CcrdV1:scaffold_504:128564:130094:1 gene:Ccrd_008683 transcript:KVH89327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MSMVAYFLISILAIATPATYAVQHIVGDSSGWTNSGDYTTWAASKTFNVGDTLLFNYGSSHGVDSLSKADYDNCATGNAINSYTGGATTIKLTKPGPMFFACPSFGHCSLGMKLAINVVSQTTTTPSSDNNQSPPSSTTPSTPATSSPDGEGGSTGGTSMVVVGLSMILAPMIVFMC >KVH89326 pep supercontig:CcrdV1:scaffold_504:119102:123144:1 gene:Ccrd_008682 transcript:KVH89326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MTKDYVINPDRFKNFFNDLDTRRTLLTTVTDLNTKLFNHFASLEESLNKKFLTLDSQIEKFKEDTENALESLRIRESLIPEKEATFAARVQQLKDSGVTDIERGSSSDAKYETMSELLKMWFRRMDWKGLMEYMLANRKKLVELRVETAMAAGEAVDLLGFVLEAVEEFVELKVSGKQIVGLAPTRCACGILVQAAFPIPSGGEPFSLTAAVGGVSRSLKERAAMVLDKWKGVLNRGGGDANDGVSSGEANMFVTMVIGFGLKDRYDGGFLMSLVLEVGSKRDMAKLAVALGSAQKMGGVIEELVKTGKEIDAVYIASEAGLTDLFPPAKLLKSCLANSQKIASNKDAPSMDDIINELTTVKTIMKLIEDHKLESEFNISNLKRQIGRLERARSDKKKGIVAPATKPSSTKRPRPRRFGATTSSSSSRPPKSSRGSSGFRQRNRPPPPTHHSSAARYPPASYNPTTPYGAGYTQGPAGYLQYANYPSQATGAGPIHGYGGQMNYGPYDYNAAPSEPTYNV >KVI08200 pep supercontig:CcrdV1:scaffold_5040:9600:24861:1 gene:Ccrd_013431 transcript:KVI08200 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MAIDDDQSKTCVVLGGRSFIGRCLVVRLLKLGNWIVRVADSAQSLQLDPSESKYDSPLNRAFSTGRASYAHVDLRHKSTIINAIEGSEVVFYMDDIDSCNHDFYFGYSIIVRGVKNVVNACRRCKVKRLIYNSTADVVFDNSHDIISGNETLLYSSKFKNLYCELKSQAEAHVLLANDIDGLLTCALRPSNVFGPGDKFLLPSLIEVAKSGWAKFIIGSDQTISDFTYVENVAHALVCAEVALASRMFIVSGKVFFITNFEPARSWQFALCMLEGLGYYRPIIKLPAVVVRLIVFLIKWMHSNMNFRDLKHVSVHNVIQLMSHTRTYNCSAAERHIEYSPIVSLDDGITLTVKSFTHLAKDLPSTRLGDLIEQSKMEELLGSGEVADILLWRDERRSFLCFLGVGFLYYWFCVCERRIISSTAYLLLLLMVVLSGYARLSPKVYGCSRMSPRVSVCSISRTIPCFEVSEMCMRSSVRTVANIWNAVGHVARSLAEGSDWSLFFKVVVSIYLFKLLIVNFFPTSLGLGLAFSFILFFVYEQYDVEIDGLIGIVFEIIRQCVVCVTSRIPVPTPSPLCINTNKPAKLKDQS >KVH27901 pep supercontig:CcrdV1:scaffold_5049:29613:36270:-1 gene:Ccrd_025842 transcript:KVH27901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTLGDSQEWFPLWAWRKYEKKQLKELMMVCTIEERDEEAAERTLKVALCCVQYRPETRPVMSIVVKMLEGALEVPEPLNPFSHLISGVNEAGDSLARMAWNVGGSDWSTSEVVTKSTVALCCIRYRPETRPMMSIVVEMXEGALEVPEPLNPFPHLFSELSESGGALTRIAWNGGGSNWSSSEMVTKSTM >KVH27900 pep supercontig:CcrdV1:scaffold_5049:12804:19075:1 gene:Ccrd_025841 transcript:KVH27900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRYIRTGSFKRLFSMKRQSLEDLPKECIFMKQEEAYNNGETESFKKPTWKCFSFEEIYVATNGFCSENMVGKGGYAEVYKGVLEDGQTIAVKRLTQISCDERKEKEFLTEIGTLGHVNHPNVSSLLGCCIDNGLYLIFQFSSKGSVASLLHDENLSIMDWNTRYKIAIGTARGLHYLHKVCPRRIIHRDIKASNVLVTKDFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFMHGVVDEKTDVFAFGVFLLEILSGKKSVDGSHQSLHGWAKPILNQGEVEKIVDPRLEGAYDFSQLSRLAFAASLCIRASSTWRPTMSEVLEVMFEEEIDKNRWKMPDEKLEEHDEFWGFEDLEFESCDSSTFSVFLQDSVSTNSN >KVH92164 pep supercontig:CcrdV1:scaffold_505:110433:127068:-1 gene:Ccrd_005800 transcript:KVH92164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase MDMAIVSVGRIIHSENPMGGGVGGILLDSSVILDSTSNDDETATLRTGADYLLRKLRHSNIPTGISYAVGLSEAKVSLLEKLICVYSFEHFIYNPSSLDDTVNAVSLAWDNNGAIILHVVSKYNEGLVPKSFSSGWMNVFVNVDGDDASRNPTGIFIEKLEELPLTVCELNRKSSEGNLVVGYIMKPSREEDFAKRGAFPLNPTQNGLIFLPLTFDLPILTQLKKVEIVIHKATDEISSIERSNSSDCSSKIIYTRGMLELQRCIGELSDCCVIDPFDNIFPIVDRLKIQEILLGLEDLKTESQCKIRGPYYLKVDSFDDLELEQRLCGAKLSLPSIVKPQVACGAIVFKAADFTGLSVPLPAVVQEYVDHSSTLFKFYVLGEKIQEGTRDHVIVDVNYLPSFKEVPDEIAIPAFWDAIKMKYLSKKGKNG >KVH92173 pep supercontig:CcrdV1:scaffold_505:30623:31979:-1 gene:Ccrd_005794 transcript:KVH92173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGATDQIMFLPKRIILVRHGQSEGNIDGSAYTTTPDYKIPLTQQGISQAKHAGTRIRHIISDSGTNNNWKVYFYVSPYERSRSSLREIGRSFPKTTVIGIREECRIREQDFGNFQITERMKVIKETRDRFGRFFYRFPEGESAADVYDRVSSFLESLWRDIDMNRLNHEPSNELNLIIVSHGLASRVFLMKWFKWTVEQFEHLNNLNNCEFRVMQLGVGGEYSLAVYHSDKEMEGWGLLPEMIADQKWRAHAMRGDWNEKCPWYLDVFFDDLGNDSDDDDKDKTV >KVH92170 pep supercontig:CcrdV1:scaffold_505:60299:69019:-1 gene:Ccrd_005797 transcript:KVH92170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MASNRPLPGPFFRSPISAGPDLSSPTSSKNSDGSQIVNLHPQQRPDTLQNSTSQQSISSNSWRANSVNFGSKGVHYGSRGGAGSEGFSMSMSRREINDEDARLVYVNDPLKTNERFEFAGNSIRTGKYSVLTFLPRNLFEQFHRVAYMYFLVIAILNQLPQLAVFGRGASILPLASVLLVTAVKDAYEDWRRHRSDRIENNRMSSVLMNDRFQEKKWKDIQVGEVIKILANETMPCDIVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETLPRIPEKDMISGLINCEKPNRNIYGFQANMEIDGKRVSLGPSNIVLRGCVLKNTDWAVGVAVYAGRETKAMLNNSGAPSKRSRLETHMNREIILLSSFLVVLCTIVSACAGVWLRRHRDELDIMPFYRRKDYSKQEVENYKYYGWGMEIFFSFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDDKMFDATSNTRFQCRALNINEDLGQIKYIFSDKTGTLTENKMEFQFASISGVNYSGEKTEFLGEEGGYSIQVEGQVWRPKMKVQVDRELLQLSQTRNDTKSKEIYDFFLALAACNTIVPIVVNTSDPTEKLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIQGERQRFNVLGMHEFDSDRKRMSVILGYPDSTVKVFVKGADTTMFKIIDKSLNLDVVKATETHLHSYSSIGLRTLVVGMRGLSISEFEQWQSSYEIASTALMGRAGLLRKVAINLENNLSLLGASAIEDRLQKGVPEAIESLRTASIKVWVLTGDKQETAISIGYSSKLLTSKMVQIIINNNSKVSCRKSLEDALITSRKLINVTRASDDDASSVALIIDGTSLVYILDSELEEQLFELASKCAVVLCANDVSMIQMADVGVGLSGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNALFVLVLFWYVLFTGFTLTTAITEWSSVLYSIIYTAIPTIVIGILDKDLSRRSLMTYPQLYGAGQRQESYNAKLFWLTMADMLWQSVVAFFIPLFAYWKSEIDSSSIGDLWTLAVVFMVNIHLAMDVIRWTWISHASIWGSIIATCICVIVIDAVPVLPGYWAIFNLASSGLFWICLLGILVTAMIPRFVIKMFMQHCKPCDIQIAREAEKFSNRMESTRNGEIEMNPPQR >KVH92162 pep supercontig:CcrdV1:scaffold_505:139804:145954:-1 gene:Ccrd_005802 transcript:KVH92162 gene_biotype:protein_coding transcript_biotype:protein_coding description:3(2),5-bisphosphate nucleotidase HAL2 MAPFIIASARLVHLNPSIKSNPNLLSATKSLFTFKSSYSPIVSSSSSSAVSMSYDKQLIAAKKAASLAARLCQALKVQKGLLESDVQSKSDKSPVTVADYGSQVVVSFVLQRELPSQAFSLVAEEESGDLRKEESQETLGRITKLVNDTIANDGTYNVSPLSEADVLRIIDCGTSEGGSNGQHWVLDPIDGTKGFLRGDQYAIALGLLDEGKVVLGVLACPNLPLESIGSLKDQHALGKAGCLFSAQLSCGTYMESLDGSPPVHVSDTENPEDASFFESYEAAHSSHSLSGAIAKKLGVKAPPVRIDSQAKYGALSRGDEAGGVASDAGGKPLDFSKGRYLDLDTGIIVTNQKLMPALLKAVQDSLKEQALPSL >KVH92172 pep supercontig:CcrdV1:scaffold_505:39234:45120:1 gene:Ccrd_005795 transcript:KVH92172 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MNAPIIDPLQGDFPEVIEEYLEHGIMKCISFNRRGTLLAAGCSDGSCVVWDFETRGIAKELRDKDCVAAITSVCWSKNGHQILVSAADKSLCLWDVVKGEKIFRTTVHQTPLQARLHPGGGSSESTLCLVCPFSSAPMIVDLRNETTTMLPISLSDKGSGLAPPSRNKFSDGAAHFTPTAACFNKYGDLVYVGNSVGEILIINHKDNRVRGVVPISGNAVIKTIVFSRDGRYLLTNSSDRTIRIYDNLLPLKDSLKALDEIHEPTNEVETLKAVGLKCLSLFREFQDSITRVHWKAPCFSGDGEWVVGGSASKGEHKIYIWDRAGHLVKILEGPKEALMDLAWHPVHPIVVSVSLAGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLMPESEKVKESETNEDDEVDIITVEKDSAFSDSDISQDELCFLPADPCPDVPEQDKHAGSTSKLGDSKNSDSPLSEETAVDGRAMNHDSNQIEGNLCSILALQFHRLRFSRRLENSGAEDTAGVTHLKRRRKPSEKVLELQQAQKVRKPLQKAKAAASSGKSSKTKTKFGIEQEHDMNHFADENGIDD >KVH92165 pep supercontig:CcrdV1:scaffold_505:218551:220059:1 gene:Ccrd_005806 transcript:KVH92165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRAPCCDKANVKKGPWAPEEDATLKAYIEEHGTGGNWIALPQKIGRSPSEIPSSIIVSGNDNNCQDPYWPELPVLPPVPYSNQEPCFVNGHASIRKLLIKLGGRFSCDDNGDQSVNMVSHFPIDLPASLHDDQKFIASSTPVSSSSSMLLNSQHDILPIQEGRSGCFPSVFEEMYHNPQKLDGLEFLYGEMCEINTSGSSSVITCRDSMDWGEMSSLISTTTTTSNVMNSSNFRRSIQQGVELQDCAYDDNHHNRVH >KVH92163 pep supercontig:CcrdV1:scaffold_505:132690:132950:1 gene:Ccrd_005801 transcript:KVH92163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATASATGRHKLKSTTIHLMALDGIVNVNSLFTLGFFLWLAVNPTDQRTPLLSPPTPPASCRLPPLKTSSFSMSTLSSFLFSKA >KVH92161 pep supercontig:CcrdV1:scaffold_505:152466:153083:-1 gene:Ccrd_005803 transcript:KVH92161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MYQHIATTVRTGVEETAASPTPSRVTGNKSFDANVVMVLSVLLCALICSLGLNFVIRCVLRCSSMVHSESITDLENTPTKSANIGLNKKALKTFPTVRYWKGLTLPGLDKECVICLSEFVPEELVKILPKCNHGFHARCIDKWLSSHSSCPTCRHSLVETCQKILSGGVCGTATLPQLQGQESQSISTVVIMPLQPEHPIRSYEM >KVH92166 pep supercontig:CcrdV1:scaffold_505:177623:182041:-1 gene:Ccrd_005805 transcript:KVH92166 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein MSESKKTSSPYVVLDNRSLDQWKVTELKEELKRRKLMTKGLKDDLIRRLDEAVRSEVEEANQNHDNGVNDITQPEVPSDDATVETPVAEKTTSIIDDSSANNESLEEEKITETFDKKVSLEKDRLAEKMDSDGSLEKDGLVEKLDNNESSEKHNITENLDDENRDSRDVPVENYGLQPSARKLVTEGEHGIEGFQVLQEVSAETSVLVSEGMVSEELGQQDLQNVEINHEGEVSNLQPEDGESKPESQSPKEEVKPNSYHIGGQVVEVSLVKSDSNSVDTMSITEKNELKDNVIADDVKLELDVKPEMVQPSSSKVVLDDAKTESVVVDEPHEDKLTTEEKDVNNAESINICKKNDTEDVGSPEKLNLDRSSGDDSMDEDALESKQIDSKFNSQDMGDISKKTELPLEEDEPVDVMVEDTPAAKNTESTKDNSDPSVVPIKRKLHDQEAVTNSEVVKRQRRWNSESLKVPEQHSTNPSLSTTPKDAFQASMKHSFSRSDSSVSHEEPKERVGELSLAVLPPSSKPPTTSLRIDRFLRPFTLKAVQELLGKTGTVVSFWMDHIKTHCYVTYSSVEEAVETRNAVYNLQWPVNGGRLLLAEFVDASEVKSRTEASLPSPRTPVTPTVPQPPPAAAQPPQQLPPPPLPLPPPPPFSLPPQSREPNLPPPPPLHEPPIVTLDDLFRKTRATPRIYYLPLSNEQVAAKVKGQGKTAKQ >KVH92174 pep supercontig:CcrdV1:scaffold_505:17211:25297:1 gene:Ccrd_005793 transcript:KVH92174 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase, ATP-binding, conserved site-containing protein MEVRARAPGKIILAGEHAVVHGSTAVASSIGLFTSVSLRFPSPSDNDDTLTLHLTDVGLDFSWPVGRIKEVLPGSVNSIVSSPTTCSPETVKHIATLVEEHKIPEAKTGIASGVIAFLWLYTSIQGNKPATVTVSSKLPLGAGLGSSAAFCVSLSGALLALSDSAKVDSSQEGWIVFGEKEQQLANKWAFEGEKIIHGKPSGLDNTVSTFGNLIKFKSGALTCMKSNMTLKMLITNTKVGRNTKALVAGVSERKNRHPDAMTSVFTAVDSISNELASIIQSSSNNDLDKEQRVEELMEMNQGLLQCMGVSHASIEMVLRTTLKYKLTSKLTGAGGGGCVLTLLPALLSATVIGKVIEELEQCGFQCFIAEIGGNGLEICFDGQS >KVH92167 pep supercontig:CcrdV1:scaffold_505:158526:159137:1 gene:Ccrd_005804 transcript:KVH92167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MYQHIATTVRTGVEETAASPTPSRFTGNKSFDANVVMVLSILLCALICSLGLNFVIRCVLRCSSMVHSESIIGLENTPAKSTNIGLNKKALKTFPTVRYWEGLKLPGLDKECVICLSEFVPEELVKILPKCNHGFHARCIDKWLSSHSSCPTCRHSLVQTCQKILSGGACGTSTLPQLQGQESQSISTIVIMPLQPEHPIRSY >KVH92171 pep supercontig:CcrdV1:scaffold_505:49144:50457:-1 gene:Ccrd_005796 transcript:KVH92171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSSKSDADAKKGGRGKPKSTKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIIPRHIQLAVRNDEELSRLLGSVTIANGGVLPNINQTLLPKKVGKDKGEIGSASQEF >KVH92169 pep supercontig:CcrdV1:scaffold_505:83683:93357:1 gene:Ccrd_005798 transcript:KVH92169 gene_biotype:protein_coding transcript_biotype:protein_coding description:APOBEC/CMP deaminase, zinc-binding MEEANIVETKDGTISVASAFAGHQEVVQDRDHKFLTRAVEEAYKGVDCGDGGPFGAVVVCKDEIVVSCHNMVLKHTDPTAHAEVTAIREACKKLNQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGNGAIIAEQVFENTKSKFSMY >KVH92168 pep supercontig:CcrdV1:scaffold_505:100479:109447:1 gene:Ccrd_005799 transcript:KVH92168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fragile X mental retardation-interacting protein 1, conserved domain-containing protein MNPHHNSNQNPAAASAVQQVNSVINQQLCNGNAGGLYPNTRPIVAPSGFMNFPNQPFPLQNTMGQFPNGIGGFNPQQNFNPFPVNQFNPSQQQQGQFFAHNSMNPNAYNQNVGLPNEQVILQNTIQNICQLLQLQNRDYTQCPPVNFPMFQNQIANVMGHQNPGFHANQQFGLSNANGPVQHANQGQQRFVSPMDGNVSKQLPNMGQQLQGNPFLPHAPGSVQTQQALATVTNFQHQIAQGMGPQNHSYPQNQLFGMANSNGPGQPVNQGQQRFVAPMMDVNASRQIASTGQQGSLLLPHPSASVQKQNPCPTLANFEDNHGNIPANGNAKWTESQRKNFTGHKRNDLSHKGFKSQFQHAKHVKEKFGTYNGNTNKEGNNNAAVNSGPRNSTNQIHSEKKYCVKYTEQEVKQWREARKKHYPSSANVEKKCKKEQALSDVTNQGAMLRRQQLKEILTKQAELGCEVADIPSYYLSVSEKQIPRGKKHEREQYKKGKKFRNKRGTQLEDDDDRITKKTRPGGQDPHKPKQREPSLLQKLLTRDIKRDKTHLLQVFRFIAANSFFTGERHESLRFPSVIVRETNADVASETTSSVCNVSEKAVDVRCDDGKCNGEVLLEEPEEEGEITD >KVI01408 pep supercontig:CcrdV1:scaffold_5053:14652:15200:-1 gene:Ccrd_020321 transcript:KVI01408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQDFRKNQPPFYVLKGQDCSKILSRNSSASLSSRTYYQNTTNEGVPFKWEMQPGTPKNPPRAEVIPPPTPPPAVQSLSLPRLKVVDGGSKDLLTWRSWSWKKLRKNFRFQRHDQKGRHARFTKHEIGTKVSYSDGDSDAEFTGWVCRDSRSSSSSSLSSLGSHTERFERGSPFLCSPWRT >KVI01407 pep supercontig:CcrdV1:scaffold_5053:16313:28863:-1 gene:Ccrd_020322 transcript:KVI01407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Afadin/alpha-actinin-binding MASTDTTNIDLRSSFGVSEYTFADVENLDHCTKYLNQTLVTFGFPASLDLFATDPVSIARTCNCMYSLLQQRQRDIEFRESTNDQRQRLSSDISRLEAKEAKAKAGLKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVMVEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIV >KVH96606 pep supercontig:CcrdV1:scaffold_5056:11200:12448:-1 gene:Ccrd_001305 transcript:KVH96606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSRTPYFDKNGKRKGPWSKEEDDKLRAYIERYGHWNWREIPRWSTIAAKLPGRSDNEIKNYWNSHLRKRSKQDQNVLKNVHDDTPRESNQTKPTKNSMEDPQLGEMDELEALWASLSSETSFADTHEYPYVTQSSESRSISSYSSSAELTSSMFSISECLVSSGDSAVETYGGEYDGNFWTDPIFPDYNSTRSTEYSSDLDVANGLVAPAACHDYMAIGDEFLWSTMDLYSQYHSQFMK >KVI11637 pep supercontig:CcrdV1:scaffold_5059:11423:12181:-1 gene:Ccrd_009951 transcript:KVI11637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase KVRQSYDPCTQQHSLVYFNSPEVHNALHVYQSNNTLRRWEICSDVVEMFWKDSPTFMLDIYHELIMVMDALNLTTINPWHAWYEDDQVIPSILNKYTHLSKIDIGTRTQTYERLTYVTVNGARHEVPLHKPKQALALINSFLAGTSMAPSGQ >KVI11636 pep supercontig:CcrdV1:scaffold_5059:18461:29105:-1 gene:Ccrd_009952 transcript:KVI11636 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b-c1 complex subunit 7 MASSKLVKAILDPKKNWFAALHKKTIATRLSKYGLRYDDLYDPMECLDIKEALARLPREIVDARNQRLLRAMDLSMKHEYLTADLQAQQTPFRSYLTDMLALVCCRWWWWRWPPSGAGGSGGGGGCGCGGHEDGGEWWWLTMVDMVVRRERAEREALGALPLQQRTLP >KVH98675 pep supercontig:CcrdV1:scaffold_506:47358:49672:1 gene:Ccrd_023097 transcript:KVH98675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEKGFASWFQDQQIDWQSTIPNSSIAPFGLRHQLNVPSFGNTTDENPPVFAFAESKPEEPCGWFHGLPRCHPEVNPILKEQLPVPGSRATHEIQKKFLVFDQSNDRTTLIYSSAPIQYHLPKPQLNLNLTKEFSVIEKHEDIGCSNPFHDDGKDEGSEMHEDTDELRALLYSDDDEIDYSEDEEEQSTGHSPSTMTGFDRLESTDENGEEVTSSMGPQKRHKLDSGGYDVVDTASSGKSGINCSGEAEVSSCGGGVADVDVGSESFAVAGKRSRKENLRETIRILENLIPGEKNGKDAMMILDEAINYLRILKVKAKALGLDSF >KVH98674 pep supercontig:CcrdV1:scaffold_506:234998:235875:1 gene:Ccrd_023100 transcript:KVH98674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASKGGGGGGVPNIIQPISSAECCMCGDYGLSEELFRCKICKFRSQHKYCSNQYPKAESYKACNWCLTQKHDSGNSSNSSSSCRNNSGDDRRDHIAVKNKRNPNDRIGHGGLRERRRASEIQLTNTAPIKKLHGSSAEEESPVSTGRKRFGGGVVEKKNHVVLRKSKSANHISHSHGDGGGGGGGGIKTRQVFRNKVRRYKLLDEVSIQ >KVH98677 pep supercontig:CcrdV1:scaffold_506:197624:202185:1 gene:Ccrd_023099 transcript:KVH98677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPLSQFWTSPTVIAEVDRESNERTAITAKGVGCNTDPKLLEKVKMTSLEAQLESVGRVRSRGIDECETWASKLHRPSQTDIDTPAFDLGISPSKEEVIACVGSSKAIGGHENVISTMPKRDPKLSFKLHSLYVTRAVMFEVNSDERKLQDWILRGIGRTLVVSTKIIDVWSMVLNREERLRSNESPRRYFVQTDISMDAIIRDEDLNVNQRYDRFRKNITSCMNNDKELISMRNVDLQDMMIMHLLKEGHGAWKVYAEMDQDHIKTRWQFRENIVDAGVMLIHSWEETQ >KVH98676 pep supercontig:CcrdV1:scaffold_506:129303:157165:1 gene:Ccrd_023098 transcript:KVH98676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MESLSFHDGNITHFIDARSLHVRSDINHSLQLHSSFIRRLSLERELEAHQGCVNTIAWNSKGSLLISGSDDTRVNLWSYHSRKLLHSIDSGHTANIFCTKFVPETSDELVVSAAADSEVRLFNLSRLEGRGVEDSAINPSALFQCHTRRIKKLAVEPGNPNVVWSASEDATLRQHDFRETTACPAAESTHRECRNVLLDLRCGAKKSLADPPKQPLVLKSCDISPTRPHLLLVHFKTLLTCTHLFPSSPQLIATPNACSDAFARLYDRRMLPPLSSCRQKLPPPPCVNYFCPLHLSDHGRQGLHLTHVTFSPNGEELLLNYSGEHVYLMDMCCYRFAVLCAQNVTWVWCCNSSFVQYKSRIFTDSGTSRRTILQQKIPNRKRLEFLRVHANAMRYTSDDAMKFFNPTVILKEVQSKQSVSDFFSNRFPAKRNLAARLDQCRKLLQIAEKSLKEGANYYYGIEACNDVLHGYGQIGHTLMHECLCLRAALLLKRDWKHDDLMAVRDCYRAVKIDPTSFRALHCMAEALLQLGKHKEALEFAIAAQFSAPSNPEAAEMVENIRKCIDTVEAEVSNKAKEGTPSSETRAGRVLSLSDILYRSDASSDTSQPISGREDSDYEEELEVDFETSISGDEAHEVESSNNFQRSLNVRIHRKGDSTINTCHTSSSCGSPKSSPRSDRITRQHERAIDMKQRYVGHCNVGTDIKQASFLGQKGEYIASGSDDGRWFIWEKSTGRLIKMLMGDGAVVNCVQSHPFDCVIWTPLASIPSVIAGGTAGPETANILDTMEGNQRRLSHNRESLLPFEILEGFRMHEFPEGSLRPGIGLQFDILRIWSQPLAFKWREHKFLFHLLRIIEIFHIAIMKIHGGLEGGRIFSGGL >KVH27466 pep supercontig:CcrdV1:scaffold_5060:15447:19626:1 gene:Ccrd_025844 transcript:KVH27466 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase, ATP-binding, conserved site-containing protein MAICHHQPQFGISSSSFPFTTNLSNKSHLHLPSSFRCNISITRNLEPEPVYTCVKSFSPATVANLGPGFDFLGCAVDGIGDYVTLKVDPQVHPGEISITDISGTGNSAKKLSKNPMWNCAGIAAISVMKMLNIRSVGLSLSLEKGLPLGSGLGSSAASAAAAAIAVNEIFGGKLPALDLVMAGLESEAKVSGYHADNIAPAIMGGFVLVRSYDPLELIPLKFPVEKNLWFVLVNPEFEAPTKKMRAALPTEITMSHHIWNSSQAGALVAAVLQGDLIGFGKALSADKIVEPRRAPLIPGMDGVKKAALEAGAYGCTISGAGPTAVAVIDDEEKGREIGEKMVEAFMGEGNLKAVAMVKKLDRVGARLVSHN >KVH27464 pep supercontig:CcrdV1:scaffold_5060:2960:12718:1 gene:Ccrd_025843 transcript:KVH27464 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor MASIQASSRKRKNKGLGEKNKRKKKDQDLSDVRKLGQQLLSSRAHINNLPLLLNFLSPTTSYPPEFLLESLLSLQSFFTPLLPQLPSSSAKPTEDPESIYQIWVRSKFDEFVQCLIQLSVSSTTSEEALRIYLTEYIFKLQSALVWSVTVVELCGRMEIQEVVLDTLMECVKIGNAGKFHSSIYQKFIYSMVYSIAEADTLLNLLVSKYFKYIDVRYFTCISLEKLIRTLDVKDCSVDVFFTSCFCYHCIHNCWSLMIFSPDVRPKTEDTENESPARASGQLLIRNIHHVISKIPTLEQLDEKSEYEVWNESGVFTKEGAKESKKIKRTEGKDGKVKSSKGVSSSVKISKKMKLKFSKAWMAFLGLPLPIDVYKEVLVTLHQAVIPYLSNPIMLCDFLTRSYDIGGVISVMALSSLFVLMTEHGLEYPNLYGKLYALLVPSIFIAKHRAKFLQLLDSCLKSPLLPAYLAASFAKKLSRLALTVPPSGGLVIIALIHNLLRRHPSINCLVHQEDNGEVAKDVSSIKPGIDLFNNEETDILKTSAMRSSLWEIDTLRHHYCPPVSRFVLSLENDLTVRSKTTEVAIKDFSSGSYATIFREELVNGRYDGGLNRFHWLSTRQYQLHCSQRVILLVGVFNQTDNTEAVKSHDFSFFILTFLPLLGVCTIAMKAAVDDVNSHPTILPGRHLNLSIYDANFSGFLCIMGALKYMEIDTVAIIGPPSSERAHVLSNLVNELHVPLLSFTALDPTLSPLQYPYFLQTAPNDLYQTTAVAAILSYFHYSQVTAIFTDDDQFRNSINVLSKQLANKRCRLSGKAPLPPDQAQVNTDVITDVLHKVMSMESRVILVHTYSVTGLKIFEIANSLQMMKNGYVWIATTWLSTVLDSTGIPPKTAASVHGVLTLRPHIPDSYRKRAFERRWKKNLSKGSIGLNSYGLYAYDTVWIIAYAIDRFLKEGGEISFSKDLSFNDLMVTTSLNLKDRSIFNGGKQLLSNILETNKTGLTGPLLFNPDRSLRNPSFDVINILGTQGQLVGYWSNHSGLSVETPESCFEVKPCNQQLGSIVWPGNMKDKPRGWEFSNNGRPLRIGVPLRVSFKEMVMQINGSKKVGGFSIDVFLAAIKLLQYPVPYEFIMFGDGQKNPSYSQLVNQIASNVFDAVVGDISIVSNRTKTVDFTHPYMESGLVVVVHIRKPDSSSWAYLQPFSPPLWVVTAFLFLCVGVVVWLLEHRHNDEFRGPPKRQFVTVLWFTFSTMFFAHRENTVSTLGRVVLFIWLFVVLIINSSYTASLTSILMVQQLQSPINGIESLIASNEIIGFQVGSFAENYLMKEMNIPRSRLVGLGSPEEFAEKLVAGTVAAIVDERPYVDLFLSNHCNFQIVGQKFTKNGLGFAFPRDSPLAVDMSNAILILAENGELGRIHDDWLKKEGGCGAKRLSLVSDHLELESFWGLLVIFGAVCVVAVFIHFGVTLNRFRKESYDSKKPRQRGYRRFLSFSDRKQEVVSTNKLKERE >KVH27465 pep supercontig:CcrdV1:scaffold_5060:20208:23689:-1 gene:Ccrd_025845 transcript:KVH27465 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MKPLFVREEVGKMSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKQEFNLQSNPTIEGLIVAMSLIGATLVTTCSGGLSDWLGRRPMLIISSVLYFVSGLVMLWSPNVYILLLARLLDGLGIGLAVTLVPVYISETAPPEIRGSLNTLPQFTGSGGMFLSYCMVFGMSLMESPNWRLMLGVLSIPSLVYFVLTIFFLPESPRWLVSKGRMHEAKQVLQRLRGKEDVSGKFFLNFLRLFIIMALLVEGLGVGGETSIEEYIIGPANDLEQDLEEAAKIKLYGHDAGVSWIARPVTGQSTLGIASRQGSIINPSVPLMDPLVTLFGSVHEKLPDAAGSKGSMLFPHFGSMFSVTGNQAKHEDWDEESVGDRDGEDYGSDAGGNDSDDNLHSPLISRQTTSIEKDTIQAASHGSILGVRNGSAVQTGGGDQVSSTGIGGGWQLAWQWTEREGQDGKKEGGFKRIYLHQESGTGSQRGSLISVAGGDMHMAPDGDVFQAAALVSQPALYSKDLVDHHPVGPAMVHPSEAAMKGPSWRDLFEPGVKHALFVGVGLQILQQFSGINGVLYYTPQILEEAGVGVLLANLGISSTSSSLLISCITTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLILTLIVLVVGSVINFGSVVNAAISTASVVVYFCTFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYSLPVLLTSIGLSGVFGVYAVVCIISWVFVFLKVPETKGMPLEVITEFFAVGAKQAAAAKSN >KVI10788 pep supercontig:CcrdV1:scaffold_5063:10793:12874:1 gene:Ccrd_010809 transcript:KVI10788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Limkain-b1 MANANASALILSSGSMEQTHVSMQNTNLPQQNRNGSDGPIAILWDMENCPVPSDIRPEDVAGNIRMTLRVHPIINGAVTMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPSGVGVSSALSNAGSFVWDWPSVVRGEGFVPPSRSLAPTRGVGPTEVTSFLMGCQITDNSDFQNEEEAIVYRGVSQSYYNSKDFSLSEYNSASLSVPCFPATSRSYSLPSGLNDVSVRHIASYEQNDLMWVQPGDLIGLKGQLVKLLELFGGCLPLARLPAEYQKSFGRPLYVSEYGTFKLVNLLKKMGDKIAIEGKGQRKFVYLRKCLTRPNSPPLDIGRNDRKGKENMDVGTGVASSDEFSEDERVVVEEQGGMDSGSVIIDERLDQFRYELQEILVSYSCRIFLGCFEAIYQQRYKRPLDYQRFGVSELEELFEKVDDVVVLLEDPVSEKKFLVAAGC >KVI10789 pep supercontig:CcrdV1:scaffold_5063:2616:4890:1 gene:Ccrd_010808 transcript:KVI10789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAIASSSSAYHSLLTRPPSHNRHHFSPPSFSFSSPRQINGFSSLSFHPTKTPSPLRLVSMRRQSKATVVTGQSWEASIMDSKTPVLVEFYASWCGPCQMVHRVIDEIAGEYGGRLKCFVLNADQDPQITEEYEIKAVPIVLLFKNGQQLESVVGTMPKEFYVAAIERVLSSSS >KVI10787 pep supercontig:CcrdV1:scaffold_5063:13665:19042:-1 gene:Ccrd_010810 transcript:KVI10787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKREGKIVNRQKCSKSAASKHIPLSSSLSIKKSRRNQSKHPSPFVRTQPRSHSPLLLRILSTTMVKYRWTLLLLSLLLLAGMQLSTAMAASGCPLNISDYNVSRAAIICSDTKSRASCCRYINALVAVSIVRYANITNNLGVTPELSNICLQTISDTLELHGMTKNATVFCGFGTKIPVNYECLGITTVNQMLQSPKFSNVTLNCRVPFLGEDGCKKCLNAGILYLRNVVGAGNNTTLSTCRDAAFVALASQVDGVSAIEIADCFFGVQGLANAPGSTPSSFSPKSSPSPFVAASPSQLSLSVPNIGKHHSYHLSLVPGPSSMFMKFSYREVKKATNNFSTLIGQGGFGTVYKAQFSDGSTLAVKPPGIAPLSWQTRIQIAIDVANALVIIYPTYRKFLSKLSVNVFLIFVKHSRVLISSSYTQEYLHFYCDPPLCHRDIKSSNILLDENFIAKVADFGLAYASKDGSICFEPVNTEIRGTPESRLGELVDPAIGDSFDLDQLQTVVTIVKLCTQREGRGRPSIKQVLKLLYECADPMHNGFIEAVEDERGRTSKGKMHRRDGMFQSWDGQGPASSSSTSRSYCSRSFLLETGSPQSPSNAPSI >KVH99333 pep supercontig:CcrdV1:scaffold_5065:12880:18027:-1 gene:Ccrd_022435 transcript:KVH99333 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MALFFYSGLKSIVSLRLKGKSAPRFCLFPKVKADYGPGNTPVYLNVYDLTPLNGYAYWAGFGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRRSILIGTTCLDPAQVREFMEHHAANYNGDSYHLIVKNCNHFCNDICYNSVLPDALRVPAVEHNPHNVNEKRKLRSNSFSCLSSISSRQRQLSTSSLLLQSPLKGCLPPWELRRSSSRIPND >KVH99334 pep supercontig:CcrdV1:scaffold_5065:34367:37552:-1 gene:Ccrd_022436 transcript:KVH99334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPVGRRVTNASQSMRGSRIAVAILIGIXLGCVFAVLYPYGLFSDSSNSASHFQSRRVSKSNLQIGSTSCESTERVNMMKSDIADLSNSNDNLKKQVRDLTEKLQAAEQKNGQAEQQVVVVGEPQKAGPFGTVKGIRTNPTVVPDDTVNPRLSKILEKIAVKKELIVALANSNVKAMLEVWVASIKKAGIPNYLVVALDDGIVDFCKENDVSFYTRDPDEGIDSVAKTGGNHAVSGLKFRILREFLQLGYGVLLSDVDIVYIQNPFDHIYRDSDVESMSDGHDNMTAYGYNDVFDEPGMGWARYAHTMRIWVYNSGFFYLRPTLPAIELLDRVAARLSRPPPAWDQAVFNEELFFPSHPGYIGLHASKRTMDRYLFMNSKVLFKEVRKDANLKKLKPVIVHVNYHPDKLPRMKAVVEFYFNGKQDALQPFPDGSD >KVH27288 pep supercontig:CcrdV1:scaffold_5066:15805:23359:-1 gene:Ccrd_025846 transcript:KVH27288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAFKSNSKRSNGSGVGDSPKNNPHNPNPQKQRLRRSLSVSALSRSTQIDISSEFLNKRDNPLYWATGGSPADNTTESSATSAVVAGGGALEPGTSKSSNVAVGQRGRLVKRNSDLRNGGQKEEIVGRRRSLSRVNDNGVGNGNGNDRRGRSVSRARLASGASESDAEQDSGKSVTYGGRSSSIRASNITGKLPVTSVKAFDRRSSHHPSVASPSFDGDDSRKDTALSEIYETVRLEVRRAIADIHDDLQDAIRRNSTAAIASTDVTEMSPKLVKPEAVELSQERARKLRSDLAIEEHRRQELSRILKETLPEPKTSVPHKPRLGRKRSSERKKMSKRLTDEAMSYFDECVSLSTFDSSDFSAAEDPAVNSMIQGDLGSSSTTRSSCDDLITDRVVETAEWERKSRFSFGHKPTEGIELQHDIKNYIKTFEKDTGIDGIVSERSRTNYSIDEYNLLDVHEHLLFDIVLFRNQQESGRLHICSGVAVPFLPLAHLF >KVH91410 pep supercontig:CcrdV1:scaffold_5068:27400:34027:1 gene:Ccrd_006567 transcript:KVH91410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2, blue light photoreceptor, Promotion of flowering tim [Source: Projected from Oryza sativa (Os02g0625000)] MDNSGNCKTIVWFRRDLRIEDNPALAAAARDGSVFAVFIWCPKEEQQFYPGRVSRWWLKQSLIHLGQSLRSLGAHLVFMKAQNTISALIECITAIGATKVVYNQLYDPVSLVRDHNIKQKLGELGVPVQTYNADLLYEPWEVYNGEGYAFTTFNAYWDKCLKMQKNPIIHLPPCRLVQATGLVEDFAIEKLGLEDESEKPSNALLGRGWSPGWINANEALTTFVENHLLDYANNRLKVTGNSTSILSPYIHFGEISVRKVFHSVIMKQILWAKEQNHNGEKSVACFLRSIGFREYSRYICFNFPFTHERSLLSNLKFFPWQTNQAHFKSWRQGRTGYPLVDAGMRELWATGWLHNKIRVITASFLVKCLLLPWQWGMKYFWDTLLDADLESDILGWQYISGSLPDGHELHRLDNPEGFKFDPEGEYVRQWLPELARMPTEWIHHPWNAPTLVLQAAGVELGLNYPKPIIDIELARDNLTSAILLMNEKESASKQQDNCNGTNEVVFDNCDPENPPIPKVLLKKEKAPCPSTSSNDQKVPSMHKDAPLPNNNNNKKRSKPSEGQEPDEYNLQDCKTESEFSKTDDDLCSTAVSSSANKKQATGTSSLGSPFLILK >KVH27281 pep supercontig:CcrdV1:scaffold_5069:12642:26379:1 gene:Ccrd_025847 transcript:KVH27281 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MTPLRKYSNTKFIILSVCFGTDAGVMSVEEESMGQHGVAFDRYDDFDGAADPAGISTPQDKDREFEPHLGLEFAYVDAAKTFYNEYAKRVGFTTRVNQIARPTSDVAVREYSCATSGDGCNAMLRIESKGQNSWAVTKFVKEHNHSITNTCKGHYHRQQRHFSGATKDAAGVMSVEEERMGKHGVAFGRYDDLDGAADPAGISTAQDKDRETEPHLGLEFAYVDAAKTFYNEYAKRVGFTICVNQITRPTSDVAVREFSCATSGDGCNATLRIESKGQNSWVVTKFVKEHNHSVTNTSKVHYHRQRRHVSGATKIAVESYPMVGVVPSGVMYLSMDGNRVPAETNRGSRSASMESNQTIEEFETAWDLILDRYDLRRNDWLQLLVAKFEDDQKAYLVSLNIEELRASCSCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTRNAKCNIALEERADVNGQESLAIRYNQLLREAIKFSEEGAIAPDTFTVAMAALREGGEKVAAVKTNVASFAPPSSQVSVIGYDSRKTTSSAPDMTPLLWPRQDEVTRRFNLNDAGLLAQSVTDLNLPRMAPVSLRRDDGHPDNMVILPCLKSMTWVMENRTLAPANRVAVINLKLQDHRRSPASESEVKFQLSTLTLEPMLRSMAYINEQLSAPANRVAVINLKLQDTDTSSGESEVKFQVSRDTLGAMLRSMAYIREQLSNSNAAESASEIPSKRPRKERTN >KVI11221 pep supercontig:CcrdV1:scaffold_507:61337:63031:-1 gene:Ccrd_010371 transcript:KVI11221 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MDSYDQTTRFRYNPTQGSSEFSGILEIYVHHARNIHNICIYENQDVYAKFSLTYNPDETFSTKIINGGGKNPEFNEKLVIKITQFDAVLKCEMWMLSRAKNYMEDQLLGFSLVPISLVVGKGELTQDFSLSSTDLFHSPAGTVKLSLSFNTNLPFNPSPNSARNSSITSEVVLLDRKISEVALDPIEYSRIEFPDINVVRQNQQMVSEYFGHGSASKPAMVGTGSFLCLGACDHDYEMVANSGSISPEDSIQNSGFFSSTMTSLGDDRNSADSLDKKNTLAAESSNISPSNLDTKENTLREKKELKEESAMQQQIVDMYMRSMQQFTESLAKMKLPMNLEKIEAEDHGDVIQRPENNVEIENKKKDGGARVFYGSRAFF >KVI11320 pep supercontig:CcrdV1:scaffold_5073:30533:32272:-1 gene:Ccrd_010274 transcript:KVI11320 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, subunit 1/F420H2 oxidoreductase subunit H MKMAPSLEKLTPMTEVIYSELWTHLTSITRPLPPIGSFRQVSFEVYTGMPSMVHNNLSSVAYDDFFTIWGIALPFVTIKALFFILKKYEEREYWKQDIALTPGNFVFLISSLAECERLPFDLPEAEEESNRPGLFNTTIKKTAVAAATGAEYATSIQGRIPRRKLSSHSRPM >KVI11316 pep supercontig:CcrdV1:scaffold_5073:15496:15738:1 gene:Ccrd_010273 transcript:KVI11316 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b6/f complex, subunit 5 MTADYIFQIVYTNNPSFPQDSWHRHYLLFSPLKQIEMIEVFLFGIMLGLIPITLVGLFVTRYLQYRRSDQLDL >KVI11321 pep supercontig:CcrdV1:scaffold_5073:33064:33424:1 gene:Ccrd_010275 transcript:KVI11321 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit C MHSSSILLISVPVVFASPDGWSSNKNVSFWWVSLSTNIVNLINIDIIKTFRLYNPIDRVKPAVAAEPGKVYRKDRIRGTLLLSLAFMEXLTIYGLVVALALLFANPFV >KVI11317 pep supercontig:CcrdV1:scaffold_5073:14058:14552:-1 gene:Ccrd_010272 transcript:KVI11317 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 30kDa subunit MIISWLLRTNQIIWFYFLTCSYGTKIKKIEHESYDMLGISYDNHPRLKRILMPESWIGWPLRSNPFILAFVLWWVSEILSTTYVVLKGYLYNPIDCIKPVVDKKSKTNRRKHFFETGIDIYLMNSR >KVI11318 pep supercontig:CcrdV1:scaffold_5073:10869:11520:-1 gene:Ccrd_010270 transcript:KVI11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB RFERHYGIKIPRFSQGLAQDPTTRRIWFGIATAHYLESHDDPTKLNWVQESKKYLWLTDTAHHHLAIAILFLIGIGHGLKDILEAHKGAFTGQGHKGLYEILTTSWHAQLSLNLPMLGSLTIVVAHVVAIPPI >KVI11319 pep supercontig:CcrdV1:scaffold_5073:13389:13689:-1 gene:Ccrd_010271 transcript:KVI11319 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome f MWTYGLTSLDRYKGRCYGIKPVPGEENQFIVYVAYTLDLFGKGPVPGQKYSEITFSILSPYPATKKEIHFLKYPIYIGGNMGIWVVSCHK >KVH27189 pep supercontig:CcrdV1:scaffold_5074:19782:25548:-1 gene:Ccrd_025849 transcript:KVH27189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MKFGGSSVASADRMKEVTELILSFPKKNNVIVLSAMGKTTNKVIVALVYILRTVDELGLDRSLIKDHLDKLEQLLNGIAVLKELTPRVRNYIVSFGECTSTRIFVAYLNKIGTKACQYDVFDIEATYLAVAKRVHGDWIRDPMIPIVTVGKVWKYVDGVLTCDPNIYSGAEPVPYLTFNRIESQQ >KVH27190 pep supercontig:CcrdV1:scaffold_5074:13765:28750:1 gene:Ccrd_025848 transcript:KVH27190 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGCSSSKLDDEEAVQLCKDRKNFIKKAVEHRTRFASGHMAYLRSLKRLSAALKDYVEGDEPREFSLDSFTTPLVPTTKKITQRFVTISPNSFTIEPKVNYLRAGGVNPTVFVQERPPGSPETVRVESFNQSSVYQYATDSFFPMQPQSPPLSIFHSSRPNLPPPSPQRSSQWDFFWNPFSSLDYYGYPATNSDHQSVFDDDINGLQQVREEEGIPELEEETEQEETDNHRVIRRAKVHVNCDHEDEVTVEDVDDDDEFETDGGDETETEHEAESPPLTNKSQNLEVSKAQNLGEVSNNGTAEVVSNQESAKIEGTPGFTVYVNRRPTSMSEILNPVALLRSASSSRSNSSRFLMNSSGISKDEGYASSSDLSDESTYEKKCVQLRNHDIKGDDYSSVHKTRTAIRDLHTQIKVSIHSVEAVSKRIETLMDQELQPQLLELIQGLSRMWKVMAECHQNQKRTLDEAKMLLAGTPSKPKYTPIHSSEPHRLSRSASNLEAELQNWKSCFESWITSQRSYIHAITGWLLRCTGSNSNNTSKFPFSPRRSTGGPPMFGACMQWSRLLDSISEVPVLDGLDFFAAGVGSLYVQKLKEGGGGGSGRTEIGSSRRYGGGSGMEMVESGRPEEEETAEKMAEVAIKVLCAGMSVVVSSLTEFALCSAQGYSDLVKQWESAKCPEGNHSSYQKRTKHNKMSTYFPRIERGRRQSGLQAESREIEDRES >KVH91426 pep supercontig:CcrdV1:scaffold_5078:16027:35696:-1 gene:Ccrd_006552 transcript:KVH91426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II (D/K/N) MAYTSPPPTCVNSLTYPTMADSANNVSIDDSTSASSEPEVVSKNARKKELKNKQKEEERRRKEEEKEKKAASMPKSATQKQSAADDEDMDPTQYFDNRLKALAAHKAAGMNPYPHKFHVSMSILEYIEKYESLNSGDHLEDVQVSLAGRLMNKRSSSSKLFFYDLHGSGGKVQVMADARRSDLDEAEFSKYHSGVKRGDIVGIVGFPGKSKRGELSIFPKSFTVLSHCLHMMPRQKSAAVADNANTKKVDVWTPGSGRNPEAYILKDQETRYRQRYLDLMVNSEVRHIFKTRAKVVSYIRRFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGLERMVKELTGGYVIKYHANGLDNDPIQIDFTPPFRRIDMIGELERMXNLSIPKDLAGDEANKYLAEACTKFDIKCPPPQTTARLLDKLVGHFLEETCVNPAFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELANAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGSSTFPGHETSGRSAPCQRCRNREKLSSSYILLRAGFYQILFRTFHCGTRSFTT >KVH91425 pep supercontig:CcrdV1:scaffold_5078:11586:13511:1 gene:Ccrd_006551 transcript:KVH91425 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MNPLQIPFDLQSAAKTGGRNGKDHSHPRQPSDEFSMLEPQGDAEFWPLSGKPFFYVVISRAHLAKRFQLLIPHKLSEKLPAARVPTTIICHGKTWDLDYMGDQVTKRFQNQSWGGFATDNKLGTGDACVFELMEGGSSSSRIKFRVQILRNKFPAELMENAEGYNMNNPINID >KVH27131 pep supercontig:CcrdV1:scaffold_5079:5551:7838:1 gene:Ccrd_025850 transcript:KVH27131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller GCCGGLHFSDVLVLNLETLAWTNLATTGAGPGPRDSHSAVIVGHMMIIFGGTNGCKKVNDIHILDLLTREWTRPNCKGVAPTPRESHTATVVGHDRLVVFGGSGEGDANYLNDLHILDLRTMRWSSPEPKGDVPVPRDSHSAVAIENKVFVYGGDCGDRYRGDVDVFNVDTMTWSKVFMIGGVGDKQYYNDVWVLDTITCSWTQLEVRGQQPQGRFSHTAIVADSDIAIYGGCGEDERPLNELLILQLGSDRPNDRYNNCICTVFGSQRDQEKRHLLHEHQANTKRSSPFNSEDPMHTKRKRMSNLKACEVIESEPEEHSLSLSQHSSPSHSDQERTLVKQPSNSAAQTLPFFKHQNQISTSSKQIPGTQSNPITILSRATPNLQFVQEQSDHLKNHHATRRDHRNFEALRVQNLIGAEVHGKVDGAFDSGYLMTAMVNGRIFRGVLFPPGPEVVSRGGFRDSHSRRSPTSHMAQRNLHVNRAFIRHSNHAPAHGPEPNHHGYQLQDHAVHRPSPVVRSVPGLSLGREQAKVQNHELHGVLLTLGGPGSGHGGS >KVH91403 pep supercontig:CcrdV1:scaffold_508:8441:112780:1 gene:Ccrd_006575 transcript:KVH91403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase, C-terminal MMVSRSWKMIAAAIVTITFLLDLDLAEMPPNYTFMREATEAPKVSFYDYIVVGGGTTGIPVAATLSENSSVLLLERGGSPYPNPNVTLGANFGTYFFDTSPDSPSQQFVVEGVVNARPRVLGGGTCINAGFYTRAEDRFYTEAGLTDANLIEESYQYAEKVMVFEPVLGGWQTALRAAMLEAGVTPDNGYTFDHLNGRSKPMAYGVVFEDSLGKMHRAYLKSGKKNEIIVSAGALGSPQLLILSGIGPKEQLDALKIKVVVEQPLVGQYMADNPLNGFFIPALVPVERSLVQTVGITEFGSYIEESGGINFLLADTPTYLGYDYQEPEDLEKCVKGLEVMLAAIESDAFSNYRYPNMTAQDILDLNIQYPYNQNENSKTFSTLEQYCKDTKSTIWHYHGGCRIGKVLDEEYKVLGVDGLRVMDGSTFLNSPGTNPQASLMMLGRYMGVTMLAQRYSADKPYADMFELQVLTQLHRFGSCWCREETRTAAATFLTSTILTAVPVLMQWPSKAYINTFIFHLFSISYVLVYHHHSPRIKFRMVSKSWRMIAAAIVTIIFLLDFGVADMPPNYTFMREATEAPKVSFYDYIVVGGGTTGIPLAATLSENSSVLLLERGGSPYPNPNVTLGANFGTYFLDTSPESPSQQFVVEGVVNARPRVLGGGTCINAGFYTRAEDRFYTEAGLTDANLIEESYQYAEKVMVFEPVLGGWQTALRAAMLEAGVTPDNGYIFDHLNGTKTGGSIFDPDGVRHTAADLLQYANPEGISKPVAYGVVFEDSLGKMHRAYLKHGKKNEIIVSAGALGSPQLLILSGIGPKEQLDALKIKVVVEQPLVGQYMADNPLNGLFIPALVPVERSLVQTVGITEFGSYIEESGGDNPNVTFNYFKEPEDLEKCVKGLEVMLAAIVSDAFSNYRYPNMTAQDILDLNIQYPYNLNENSKTFSTLEQYCKDTKSTIWHYHGGCRIGKVLDNEYKVLGVDGLRVMDGSTFLNSPGTNPQASLMMLGRYMGVTMLAQRCATDKPYADM >KVH26694 pep supercontig:CcrdV1:scaffold_5086:4196:15049:-1 gene:Ccrd_025851 transcript:KVH26694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier 1 MASGAGGKVSFKVTLTSDPKLPFKVFSVPEGAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGA >KVH26636 pep supercontig:CcrdV1:scaffold_5089:6341:9743:1 gene:Ccrd_025852 transcript:KVH26636 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MMNQEEAMSNRKQNKQEDDDDHENDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHQETERLQKAEISLCRVYKRAGVEDHPSLPRTLPTTRATSSRSSHGDKKQHSQNLLSFHSFEGQNSNQNDDKLSETSGSSSTDLVGTALGLSSPLNPSYNNFMTSFPPIATTLPPQTSSLIPHCTPTASSSVFPNSVDDLHRIISYQQASVNNPASQAFHIHNYQHKFSNNLSPHFSTSNLLPQPQLQPQPLQPQSQQHLQMQPNSQALLALNNLAGEVQVTFPERLWEWNQMPSEGNKDYTNPFK >KVH88744 pep supercontig:CcrdV1:scaffold_509:203361:209770:1 gene:Ccrd_025865 transcript:KVH88744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLISHLAAKFAFFPPSPPTYQIKKRDDGKPIAVYSSSSIPLTGGGLDDGGGGGAGCSLDVLSINTKRGNKIVAFYLKNPYARLTLLYSHGNAADLGQLFDLFVQLKANLRVNLMGYDYSGYGASTGKPSELNTYADIEAVYECLQTEYGVSQEDLILYGQSVGSGPTLHLAARLPRLRGVVLHSAILSGLRVVCHVKCTLCFDIYKGTEDDVVNWLHGNGLWKMAKDPYEPLWIKGGGHCNLELYPDYIRHLCRFIYEMETITTKTRLTKIKSTLRIPKKSNPDTRSCCCIKPRLPDCLKCPRPRCPSCPECCKPSCCFLNWKCGCCWTMKLPKCPNVKLPKCPNVKLPKCPNVKLPKCPNVKLPKCPNVKLPKCPNVKLPRCPNVKLPKCPDVKLSKCASCLRCGCLACSCKCVNCSCW >KVH88739 pep supercontig:CcrdV1:scaffold_509:12602:40097:1 gene:Ccrd_025854 transcript:KVH88739 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MRRNEDGIHRAMDEWRKAEELSCTKVVFCTASAKISYLHLILLVHTSKVKKQLNEKEEQAKEDQRYYKMGQAFRYSVAITGGWSYPVHNSIFTKTSTFSNAPDTYTAEERKNDFMQFPMSHAFTDPLKFLHSEKVSLDDDGAIEISHWSHGHPLNLSVEPQGNNMPEINCDDPIEVCYGCVRPLSLPYYNCKDGCSFTLHKYCAELPLTLEHHLHPDHPLDLVDTSEDEHFYSCNGCFSRGNKFVYKCETCKFYLDVNCGFLPNTIIHKSHKHPLTQVMDPNQACEACDKTFTGISFACKACNFQLDMYCAIRSPQLLTHRYCKGHEIPLTYPPVEDHPEDFYCDICEKEMHPKLPLYHCHKCKNSFHLDCINQIDLYANVVGWEKAIIESSHHKHPLMCVRRKTTWQPAFGMLSERSPPQGDIQKPKATLMQSCIQMPSSLILLKERMSEIKHFSHEEHSLKLIENWETIVGVTDEMTQLICCYGCREPILGGCVYGCTLCSQFLHEICANLAPVINHHLHPFHPLMLVARDSNNWICDVCDSRGLVKGFSYWCMSCDFDACTKCGLAVALKEEALIEFKHEGHPQHTLTLQSRSASFRCDACQAKDEDLFYQCESCDFWLHKTCASLAPTIDLPHHPNHPLVLVYSLPDNFYNYFYYCEFCNKLIRKNGWLYHCANCRYFAHIKCALNAEQPSIPSNAPDTYTAKGRTNDFMQFPMSHAFTDPLKLLHSEKVSLDDDGAIEISHWSHGHPLNLRVEPRGNNMPDINCDDPIEVCNGCVRPLSLPYYTCKDGCSFTLHKYCAELPLTLEHHLHPDHPLDLVDTNEDKHLHRCNGCFSEGNKFVYKCETCKFYLDVNCGFLPNTIIHKSHKHPLTQVMDPNQXCKACNXXXTGISFACKACNFQLDMYCAIRSPQLLTHRYCKGHEIPLTYPPVEDHPEDFYCDICEEEMHPKSPLYHCHKCKNSFHLHCINRIDLYANVGVEKKRRYKSSHHKHPLMYVRRKTT >KVH88737 pep supercontig:CcrdV1:scaffold_509:70067:70624:-1 gene:Ccrd_025856 transcript:KVH88737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWGSWVSEIRAPNQKTRIWLGSHSTPEAAARAYDAALLCLKGPSANLNFPPHHYNHLHYSTTAMSPKSIQKVAATAAATTEPVTNATTTTASPPLPMHSPSNSSFLSSPTSSSLHLPTSPFDDEILLANDSFDTTIYEPWSWCNLDAPIFNDLMFGEPIFDPSCSWMVEDVDEDGDIPLWSFY >KVH88750 pep supercontig:CcrdV1:scaffold_509:230142:232922:-1 gene:Ccrd_025868 transcript:KVH88750 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MEQEQIETRSLSSFSSSMGNDDNNGERKVKSLALSSKFPLTFWEMAGASGVVLGFAVSLLGIYLTLPDSDYSFLKLPRTLEDLHILRDHLESYTSDYTIQVLVGYCTVYIFMQTFMIPGTVFMSLLAGSLFGVLKGVALVVFAATAGASSCYFLSKLIGRPLILSLWPDKLVFFQDQVAKRRDGLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFLLATSLGIIPAAYVTVKVLIVLKLSFVCFLVFEIT >KVH88742 pep supercontig:CcrdV1:scaffold_509:226086:228555:-1 gene:Ccrd_025867 transcript:KVH88742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosylphosphatidylinositol-mannosyltransferase I, PIG-X/PBN1 MEYRRFQVQFHLRLGIVLSLLAGICYCNHSSSSFSELGSRKYITEAYFQKYESLSDRTFQDFIAYELSNGFCEMLQDKHNFVPKLSVLQRRLIGEGSHRLLTSSIRIESQPEVSSKLPSLFCKAIIVERLPSGVFADPFELEHLTELGVFMDASAFGDTDLELPTVRANRSVVEVHMDLGPSTLFGNKNGWEFNIELPLHARYAPLGEHGYTKAEFGSPDLFVRCIVEGDAHNQSCIFPSTNDGVRSTDAATIWEVPSGIVKHTKVVSMLTFVSAVASAFSIFMACVYHSDIAVHNGHKQS >KVH88741 pep supercontig:CcrdV1:scaffold_509:4175:5928:-1 gene:Ccrd_025853 transcript:KVH88741 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MDEINHFMHQKHSLKFIENLEVIVGIGDDNNDKKGIVHCHGCQEPISDGSAYGCISCQYFLHKKCRELRRVINHHIHSLHPLMLVDHGDLEWTCDVCRKESLVGGFSYYCMQCNFDACTNCDTLPILSVPLMQSNHLFQGTSVAEEHVNDVLQFPMSYAFTDPLKLLHLEKLSLDDDGEAKINHWSHDHPLILNVEPGGNNITNIGCSDPIEVCHGCVRPLSLPYYSCKDGCLFTLHKYCVELPLTLDHQLHPDHSLDLVDTYKDEDYYICNGCFCNGNRFVYTCETCKFCLDVNCAFLPNTIKHISDKHPLIQVIDPITRCKACYKLFDGISFACKSCKFRLDVFCALWSPRFLSHRYCKGHEIPLTYPPVEDHPEDFYCDICEEEMHPKRPQYHCHECKNSFHLKCINRIDCYANVKEEGTITVSYHRHPLTFVRREKTS >KVH88736 pep supercontig:CcrdV1:scaffold_509:117678:122888:-1 gene:Ccrd_025859 transcript:KVH88736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEFFPAQPDLSLRISPPDTQPTSTTWRTSNPNDQDHDLDLGHFWKRALSSQNNHHGSTARSDTSFGLYFPYQTSHLNDSDLTQNMTNSTTNLQQYHHQKLSSELGLLTPIRGVPLYYQNTHPNFQILAQYHHQNNQTSSDSCITATSSSSASLIHGNSINNIARSRFLSSRFPAKRSVRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDHKALLSTGQPEVFDNGSSGDNSYDIILGTENRRTELSTEHGGGRSSVYQDKEFQYGVWSNSSREALLHGKHGDFEANIPSLEKEMSSKGMKNERSSEVSSSSHSEARLKKPNLEFTLGRAF >KVH88747 pep supercontig:CcrdV1:scaffold_509:160592:173303:-1 gene:Ccrd_025862 transcript:KVH88747 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MADYEIPLDLIGEPLERFIRATTSFTKPLSSLPAVDRVLAPPICVDDVAVAAVNAVRETIFWHFYNRRIKIEIILYESFNQSPPPPPHRREPWFQSGVVAKMSSSLSYAAPISRLPSSHSPNSTPLFPSSIRSHVSYPISHTNRLLKFGVKCSYADAGIKDTNSVTIDVEANIKAEKIVVLGGSGFVGSAICKAAVSRGIEVISLSRSGRPTTLSSWEDQVTWITGDVFYVNWDEVLPGATAVISTLGGFGSEEQMQRINGEANVIAVTAAKEYGIPKFILISVHDYNIPSFLLSSGYFTGKRKAESEIMEKVAAVNAVKDDDFFGIFTINQIKEAAGGVKVKMADYEIPLDLIGEPLERFIRATTSFTKPLSSLPAVDRVLAPPICVDDVAVAAVNAVKDDDFFGIFTINQIKEAAGGVKV >KVH88735 pep supercontig:CcrdV1:scaffold_509:103357:108778:1 gene:Ccrd_025858 transcript:KVH88735 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MWFWQVEGRVCFSREDSIKYLKHKRLQRMKPGTVNDAPFVSNLMTRSGGDALRGSASYRDRLFSNLDVYGQPAAGAMHERDAMSKRKVEKFDTNDLDWTAKIPECPVYFPSKEEFDDPLVYLQKIAPEASRFGICKIVSPLSASVPAGIVLMREKVGFKFTTRVQPLRLAEWNTDDKVTFFMSGRNYTFRDYEKMANKVFARRYYSAGCLPATYVEKEFWHEIACGKTESVEYACDVDGSAFSSSPTDQLASSKWNLKVFILFQLFENLDSHLLAFALCVFIIKAKVSRLSKSILRLLETTIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAAKTWYGVPGHAALDFEKVVRQKVYTRDILSTNGEDGAFDVLLGKTTLFPPNILSQHGVPVYKAVQKPGEFVVTFPRAYHAGFSHGFNCSEAVNFAIGDWFLLGSIASHRYALLNRTPLLPHEELLCKESMLLRSTMEYEDQDLSSADVISHRNIKAAFVNLIRFQHRIRWCLMKSREYMGASTHSHGTILCSICKRDCYVAYINCNCYLHPVCLRHEFKLLNLPCGDNFTISVREDILEMEAVARMFEQDKDIVNEVQQQSGIATDMVLLSQLYPLTEDEAYSPYCKLVFGSDVKIFEAENKFEPGFTLKRGGPEICSSSESISLINHVHGDSSSTIIDAPSAYSFEDVTAKIHEALPVIDEAPRGNFATDIRNTYQDSDDSDSEMFRGLKRLKKVQPEGRSRHDSVPECSKAGNTGSFISPSSIHSKEAKESVSKEKFVKGTTAPFSIKYKKIGNEEIAGKNRDHQRDYNSYNELGKSTREPLPLESGPKRLKVKGPSMIIGLENTSL >KVH88749 pep supercontig:CcrdV1:scaffold_509:150825:152109:-1 gene:Ccrd_025860 transcript:KVH88749 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein MKKLSFSLSSSNNSSKPSKSTSYSSQNQHDQSAKKEFVTEFDPSKTLADSTSKTPLIIPPIPNEWKPQNKTENTDPPFKSDDPNLEFEVEPNSTVEPFDPNIIKGLNLRCKKGSGSESSSLIDQLMLKNLKRDLERLPEDRGLEEFDDVSIEEFAPALLKGYGWYEGRGIGKNAKEDVKVVQYTKWNAKEGLGFIERVWMA >KVH88748 pep supercontig:CcrdV1:scaffold_509:153894:157822:1 gene:Ccrd_025861 transcript:KVH88748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGELWDDSALVKAFDDAISKYKIMHGKGGDEDLLKEEKITKGTEENAPIIMNGSNEVKSANVETDNKTTDMTKNITHTREATDSSVAEKCSSEVIDKCTPPSIVVQVDAESAEAYKRLLDQYNAVEEQRQKLLEQFSQYGNWDYQGYGYGYDYGAAYDSQYHPVPAPQPSGPPICSCRPYVCPYSTAPCTSLAAASSCETCVGSTALAHSGSPAPLEDGGFIKAAMRAVDQAIHSFNTQTSGIPEVDKEGKKGQEVETGSTNVAQDRTSQTDLSVVLNAWFSAGFHTGKYLSEQRKPAVSTSSPLTTREEIERVPSKENSTDSSFKEIPKGK >KVH88746 pep supercontig:CcrdV1:scaffold_509:175060:177880:1 gene:Ccrd_025863 transcript:KVH88746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPTTKDDAQALFQSLRSAYSATPTNLKIIDLYVVFAVFTALVQVVYMAIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >KVH88745 pep supercontig:CcrdV1:scaffold_509:179458:192050:1 gene:Ccrd_025864 transcript:KVH88745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate O-acyltransferase, alpha helical bundle, N-terminal MSILSPSHTLFFSTTNPRVSLSPSTSLSSRFRPIFRRFPCLVFSAPPNAAHGMAETVRDNKWSSSSSTQQPHSGSDHGYSRTFIDARSEQDLLSGIQREVEAGTLPKPVAQAMEELYQNYKNAVFQSGDPHAEDIVLSNMRIAFDRMFLDVKEPFEFSPYHEAILEPFNYYMFGQNYIRPLVNFRESYVGNVSLFGVMEEQLKQGENVVLISNHQTEADPAVIALMLETTNPHISENIIYVAGDRVITDPLCKPFSMGRNLLCVYSKKHMNDVPELAEMKKRSNTRSLKEMALLLRGGSKIIWIAPSGGRDRPDPNTNQWFPAPFDATSLDNMRRLVDHAGLVGHIYPLAILCHDIMPPPLQVEKEIGEKRLISFHGTGISVGPEINFQKATASCASPEEAKAAYSQALYDSVCEQYKVLHSAVHGAKGLEASTPSVSLSQPLQFLD >KVH88738 pep supercontig:CcrdV1:scaffold_509:73669:76032:1 gene:Ccrd_025857 transcript:KVH88738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGLHTLRTISQLLLFWLSVTVDLVVAILFDQNICSLRRCFNVFDRIRMAFAAVFIAFYAYRLMKEGSRQEHRWFEKPAVS >KVH88743 pep supercontig:CcrdV1:scaffold_509:217536:223738:1 gene:Ccrd_025866 transcript:KVH88743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MWRLKIAEGGNDAYLSSTNNFVGRQIWEFDPNYGTPEGRAEVEQARADFWNNRHEVKPSSDVLWRMQFLREKQFRQTIPQVKVEDGEEISYEKATTTLRRSVNYFAALQANDGHWPAENAGPIVFPAEHRKEILRYLYCHQNEDGGWGFHIEGHSMMFCTTLSYICMRLLGEGPDGGLNGACTKARKWILDHGSVTILGVCEWAGTNPMPPEFWILPSFLPMYPAKMWCYCRLVYMPMSYLYGKRFVGPITSLILQLRDELYAQPYDEINWKSIRHLCAKEDLYYPHPLLQDLMWDSLYICTEPLLNRWPFNKLRQRALATTMKHIHYEDENSRYITIGSVEKALCMLACWVEDPNGVCFKKHLARIPDYIWVAEDGMKMQSFGSQEWDAGFAVQALLATDLTQEIGYTLRKGHDFIKASQVKDNPSGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSTMPPEIVGEKMEPEQLNDSVNLILSLQSKNGGLAAWEPAGSSEWLEILNPTEFFADIAIVDIRYGNWGVCFTYGTWFALGGLAAIGKTYENCSAIQKAVNFLLETQLEDGGWGESYKSCPEKKYVPLEGGRSNLVHTAWAIMGLIHSRQAERDPTPLHRAAKLLINSQLENGDFPQQVCTKEVQ >KVH88740 pep supercontig:CcrdV1:scaffold_509:47096:50628:-1 gene:Ccrd_025855 transcript:KVH88740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTCASLAPTIDLPHHPNHPLVLVYSLPDNFYNFRYYCEFCNKLILKNGWLYHCANCRYFAHIKCALNADQPSIPSNAPDTYTAEGRTNDFMQFPLSHAFTDPLKFLHSEKVSLDDDGAIEISHWSHGHPLNLSVEPQGNNMPDIGCDDPIEACNGCVRPLSLPYYICKDGCSFTLHKYCAELPLTLEHHLHPDHPLDLVDTSEDEYFYRCNGCFSQCNNFVYKCITCKFYLDVNCGFLPNTIIHKSHKHPLTQVMDPKQRCKACNRIYRGISFACKACNFQLDMYCAIRSPQSLTHRYCKGHEIPLMYPPVEDHPEDFYCDICEKEMHPKFPLYHCHKCKNSFHLHCINRIDYYANVGVEKTIIKSSHHKHPLMYVRRKTTSPTIDLPHHPNQPLVLVYSLPDNFYNFRYYCEFCNKLILKHGWLYHCANCRYFAHIKCALNAEQPSIPSDSLGTSLNETGVDDFLHFPMSDIFTDPLKLLHADKITLDDDGAKQISHWSHQHPLILNVEPQGNNMSNIGCRKPIEVCYGCIRPLSFPYYNCKRGCSFTIHKYCAKLPRTIQHQLHLDHSLDLLDSHANGFNYKCNGCFSWGNTFVYRCETCKFYLDVNCANLPNTIKHKSHKHPLIQVINRESSCKACYKTSTGISFACKSCNFQLDMFCAIRSPNFLTH >KVI08465 pep supercontig:CcrdV1:scaffold_5091:14480:18277:-1 gene:Ccrd_013163 transcript:KVI08465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNNMKHFHVFHLLILISLTASTEAVSYSKRGCKDMCGKVRIPYPFGIGSKCSANEWYVVHCRSSKPYLPAFNNLQVLAVSLENQTVTVNASMISDCQNPIRNSIQILSVNLSESPFVFSKLQNLFIVEGCGNAVIMDHESVLTGCSTTCRNATVKEINNCFGIDCCQTTIPHYLKSYVVDLTGLERLGGDGECGSAFLVDKNSYVEGSVSVARDNVFVPISLLWTLTDHDFDAIHGCWQAHRRRLNLDLGNGISLESTKCECGFAQEGDPYLLDGCKTSEECRRCHRSGRGCYSENILNGDMKLISNTTCHPREIYDSDDSRSSETSLGVVLGVSISIGLIILMATGYGMYQAIKKTKAKRRKRRFFKRNGGLLLKQQQAADDTGLVDHTTILFTSKELEKATDNFNDNRVLGRGGQGTVYKGMLADGRIIAVKKSKVVDESQLEQFINEVVILSQVNHRNVVKLLGCCLETEVPLLVSEFVSNGTLYDLIQDDTGEFPISLNMRLEIATEVAGALSYLHSATSIPIYHRDIKTTNILLDEKYKAKVSDFGTSRFVSIDQTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGIVLLELLTREKPISLTRSGEKRSLATYFMLAMEEGRVMSIFDAMLVKEGSRDELLAMANLAMRCLNFNGKYRPTMKEVAIELEGMRMSHVPSTIETNFGNVYYSEDRSMLMNEESTSTTMSFTKSTNE >KVI04400 pep supercontig:CcrdV1:scaffold_5093:2187:5931:1 gene:Ccrd_017286 transcript:KVI04400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGLTSPSRPTSPPRPPILTQDKLGLIRLPGVETWYGELVINVQRLYELSPAAEVLDCLHVNFCVWFNDTQQCSTAVAVLFSRRWRQDKLGLIRLPRVETWYGELVINVQVMMLVTQIQMKVKDYQLEHINYGEALQLVGDLCLVEVEATIGAGVYIFVGIVAKEQTGPAITISFLIVGIAAELSPLCFAELEEH >KVH26400 pep supercontig:CcrdV1:scaffold_5097:20938:26877:-1 gene:Ccrd_025869 transcript:KVH26400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MQKYENTELQMGICISRASPEIHDRDYGHEHDYGMENGAFGGVFDGHGRNGQIVSKFVRNMLPSLIVNQRNSTIIKGKTVIEHDDEFDFKDFHIWKDACFSAFKVMDKEIKLMEHIDFSYSGITVVIVIKQR >KVH91402 pep supercontig:CcrdV1:scaffold_5098:24308:28339:-1 gene:Ccrd_006576 transcript:KVH91402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSSLEEPLVLDKLPSLSTIHLSRRSSSKRCSTSGDQETGLGNCWIECGSSNSYEEELKEYRQGAFSWRHHTRENDIVWESKGRNFEVHGNRCRSQHIANLQHSSYCNDARPRDITKKIFKGMPKYVKIVEVGPRDGLQNEKNLVSTSVKIELIHRLLSAGLSVVEATSFVSPKWVPQLADAKEVVEAVKNLEAGRLPVLTPNLKGFEAAVAAGAKEIAVFASASESFSKANINCTIEQSLARYRDVIHASTKLSIPVRGYVSCVIGCPVEGSISPSKVAYVAKQLYDMGCCEISLGDTTGIGTPGTVIPMLEAVMEVVPIEKLAVHFHDTYGQSLPNILVSLQMGISVVDASVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLQKLLQTGDFICNYLGRPSGSKVAVASKI >KVH93104 pep supercontig:CcrdV1:scaffold_51:483481:518981:-1 gene:Ccrd_004851 transcript:KVH93104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVKFAKTYVYFALFLLIALTVKVTGRVLRSELISDGTDDDPTREKGMGSSFLHFQGFDLREERCEQMYGFLPCSENLLGHLFLILIYEYLLYHGESYVASGGKRIFQILGPGIFGASAFQVLGFLPESFILLVSGLSNTKDVAQEYVLTGVGLLAGSATLLLTLLWGTCVIIGSRKFPSESGSTPSVIPTQNRYQKLFSFFSDSGVTTDHETSFAAKIMVLSVIPFIFLLIPEIFGLAYLFEGYIIVIALCVTVMFLIIYFIYQVFEPSIQKRRLSYVKHEHLVVDILKHLQEHTAEKVLTDDGLPNLSAIKRLFMMIDQDADSYISFSELKAALEDVKFRRLTWNKEKRVEEIMKEFDTDADSKVNLDEFIDGFTKWLDETKMNATNKRSFRSVRSWNDLYKIIHPWVQTKKKEQEMMKIMVSKIIRHVESFSLEKFYNEDGTPDISAMKRLFERIDLDHDNFISQSELKRLIMEVYSERTPEDVDEATAQLMQDLDTSGDQQIDEHEFINGFKNRLNTSNDEIVPMSPDASQKPWELWTDDGVDRSGWAWTKALMLLILGIAMLALLAEPLIHSVQNISVAANLPSFFVSFILVPVATNARAAISAIQTVNQRNERTISLTFSELYDGVFMNNVLGFSVLLAVIYFRGLTWDFSAEVSVVLIVCSIMGAAASFRLKYPIWTSFIAYLLYPLSDMAHNLLILITVFTSITVAVAGRLITTTTNSSTNLVYDGVHGGYGGVFSRNPLLSLSSSTDESGFCEQTYGFMPCTTSVFGSLFLILVYGYLMFLAATYLSSGSELLLEILGPGIVGGLFLPILGALPDALLILVSGLSGSPETAQEQVSVGMGLLAGSTVMLLTVIWGTCIIVGKCDLQNSIALDNQDTKRFNLSGSGVSTDIWTSYSAMIMAASVLPFLVVQFPQILHSTSGRHLTVLIGLIMSISLLIAYCIYQVYQPKIQKRRLAFAKHKHVRSRILRYMKMNAFGGLLDDQGRPNREVLLFNSVDVNGDQHLSHSELQALVVGMQLNDINLNEDDAVLKLIRDFDTSGDDEIDFEEFVTGISQWLEEARSTKTDSAVAGPDTTKYIHDYYEETKREHYLLGDEGGDDDEGHIVDDPRWTTIKAGLFLLLGTIIAAIFADPLVDAVGDFSAATSIPSFFISFIVLPLATNSSESVSAIIFASRKKQRSASLTFSEFENHVPSLDIFHCIRTLPVLPDSRIHSRLYSRIFCFKRFLITSSSEICEQTYGFLPCTTTAIGNLFLVIVYGYLMYLAATHLSAGSELLLQILGPGLVGGLCLPVLGALPDAMLILVSGLSGSIETAQDQVSVGMGLLAGSTVMLLTSIWGTCIVVGKCDLQNSVAQDNKDTKGFHLMDIWTSYSAMIMAVSVLPFIVVQFPQILHSTSGRHTAVYQPTLQKRHLDFAKHKHVRSRILKFLKMRALGRLLYEINMNEEDAVTKVMKDFDTSENNEVEFDEFVNGIGRWLQEAKGFKRTTTVAGSDSLKYVHDYYEEEEEEGVDDPRTTTIKAVLLLLLGTAIAAAFADPLVDAVNNFSAATSIPSFFISFIVLPLATNSSEAVSAIIFATRKKQRSASLTFSELYGAVTMNNVLCLSVFLALVYVRGLTWDFSSEVLVILIVCIVMGVFGSCRTTFPLWTSFIAFSLYPFSLILVYVLDYVNGQFDNDGNSVQKVWLVFGCTTNQDILLSSYNNTSVFFMLCLHCYKKLIHLILGWKGYKQKEICSEKMELEHFPMKRGMASSCQPSVKNQDQIYKPNNIIYKNIYKKKMNEPRKGGPTHDFDYDFDFDSVSPLQNCNLHHSITYTNYYLRHHHRPHCSCSLAWKRWKQIWGSQHQFWKSKDGDWVVMVVAKGWKMKKLVMA >KVH93144 pep supercontig:CcrdV1:scaffold_51:233890:237029:1 gene:Ccrd_004831 transcript:KVH93144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase KCLTYKLPPPFFLPYHNLYFSFSYKYPINPPLKCVLLLLPLSLQLFNSTMVALSNPTIEQFFVKTCKPVNPLTFPPSIPLIDLSKPESKHLLVKACQDFGFFKVVNHGVPTKFINKLESEAIKFFSSPLSVKQKAGPPSPFGYGSKNIGKNGDVGWVEYLLLNPNPESDYHQLLSIFEEHPDDFQCVVDDYVAEVKKMACEILELMADELKLEPKNVLSKLLMDEQSDSVFRVNYYPPCPELQEHEINGRNLIGFGEHTDPQIISVLRSNNTSGLEISLRDGSWVSVPPDSDSFFINVGDSLQVMTNGRFKSIKHRVVANSKESRVSMIYFGGPPLNQTIAPLPSLLQTDEDSLYKEFTWFEYKTYAFNSALSHDRLGVFEKIPSKI >KVH93119 pep supercontig:CcrdV1:scaffold_51:103041:104950:1 gene:Ccrd_004818 transcript:KVH93119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane protease subunit 1 MRLWFGKWTAIAKESAGRAAIFAKFLCLLHVTNTYIFSPILVMHRFLNLMLCVSVYVVMVVEFSFQVYGPSMLPTLNLAGDVVLSEYISHRLGKVGPGDVVLIQSPENPRKIITKRIVAMEGEVVSFLVDPSRSDRSRTVVVPKGHVWIQGDNIYASNDSRNFGPIPYGLIQGKVCCRILSMIVDKEKHNLFRIDIGTVLAIAVPSTMS >KVH93099 pep supercontig:CcrdV1:scaffold_51:584289:598956:1 gene:Ccrd_004856 transcript:KVH93099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase PSPVTTRRAHGVNFSSIFLRCRHTTGPHLAGEVSESQNAVAICMEKLPYPMDLSQEFHEEEDTNKLKKSKTTKTNRSKIDDGVVVESSKKRNIKGIMLSVTKPSYTLKRGIGRDLRSLRWEHRNRLRYLLRQLVRRQNWDEASGVLSLLLKGTHRENSLSTNRTKYWATLELLEHMGVAKVKRRKIQHVYEIWMKKNFAVTKNRRSSKGRFDVQLEFLLLCLSEGDTDGAYQAVISLLQESEFTSDSIANVVAGLAFSHLWYNAIEKEMHLHDSAESGTPIQSTTMLGPRQSMLIDQSNGQSAIEVQDSGFSIRRDSNTSIRIGKTIDPEVVDRERKVPMEVDDDVKKEIPQYEELHMNSVESDPSGNASQFPHTGNKRYGSIYYARDLEYLLMPLRFPSTNNLEDFVSFQRRIHNDHYKAAVKHLRGAMLLLGDQVKEAIEEVESVVQISDAALPFRLKASLLDHFSHEDEIKLCNCFEDTLRKDPTCSHSVAKLISLHHDGYYSTEKLVEMIGLHLDGTYAECHIWKEFAYCFIRLSQCDEDRMSSCVDSYGNGYRKHTKIALDMFKDAVSRKNWRLRCRWWRTRHFTQTILASEVAAGKMELVSYKAASACHLYGPEFAYVVKACTHLEKEKKREEMFTRNEFSLESKSTIGVEFATRSIRVDDKIIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDTTRHITFENVERWLKELRDHSDSNIVIMLVGNKADLRHLRAVSTEDAKTFAEKENTYFMETSALEALNVENAFTEVLTQIYHVVSRKALDIGNDPAALPQGQTINVGSKDDISAVKKVGCCST >KVH93123 pep supercontig:CcrdV1:scaffold_51:7210:12048:1 gene:Ccrd_004810 transcript:KVH93123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVHRHGLDLADRLTQGFTDLIHRQITPPSFTWPNPDPHKLFDVEFHTQSFMERDFRLLVDNTSHGINGVSAIFDIGSKLGQVGADFGASLNGVVQQFFRRLPMPFRHEEDTVMLRVDAGVQRAADLGINTQVHEDLGTLAKRFKDFGFEETEKGKDGSGGEEVAGVNLKAAGFSGKSQGILNFSTMFDGRTCGVESSLAARGDFWRVEASHGSTTLGNGNSSLFLVQLGPVLFIRDSTLLLPVHLSKQHLLWYGYDRKNSPFLVDCQNGMHSLCPAVWSKHRRWLLMSMLCLNPLACSFMDLQFPNGQVTYVAGEGIFWQNKWGTRITPMVQWPAKSFTLGFEQALAWKRSGLMVRPTVQFSLQPTVGGSNPGVKAEVVHSLNEELSLIGGCCLVSHPCAFASLSVGRSKWNGNVGKSGIVLRAETPLTGVGRPSFSVQLNTGIEF >KVH93109 pep supercontig:CcrdV1:scaffold_51:139710:147231:1 gene:Ccrd_004823 transcript:KVH93109 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MDITEVSIIHHVGIVFLVIWLLTSFNYCNSNDPIVYFVSLIYLYLVRKIYIEFDVDLGLKSWGFSIRFLFALKVHENFSTRFRRKVRFEERRQASQKRVLSDSESVRWLNHMVEKIWPICMENIVSQKVLLPIIPWFLEKYKPWTVKEAMVQKLYMGRSPPMITEMRVCRQSTGDDHLVLELGLNFRTADDMSAILAVKLTKRLGFGMLAKMHLTGMHVLVGVKFLPKWPFLGRVRLCFVEPPYFQMTVKPMFAHGLDVTEVPGIAGWLDKLLTVAFEETLVEPNMLVVDVEKFISPEAETWFSVDAKDPVAYTLVEVVEGTDMKPSDMNGLADPYVKGQIGAYRFRTKIKRKTLTPKWLEEFKIPITSWDSPNILDIEVHGERHDIWLPLRNIKMGRLHLAVRVIELEGKVIEQPCDEDALKSEDEKNSFAVEKGEGTGKLPEKSKQVMADDYEPIDVEGQRETAIWVQRPGDEVAQVWEQRKGKNRVRKDGESLNSSIRSESYPNDSSSTDESLEGNKTKSRNPVKRGFRKIGSLFHRSPKAAEDDKSRTVNEDCDSPRQNVRAVNAKGIGVKLVMPTDPDQDSGVGLEESPEGSDQESPEKRKVKDKVKGILKHTGNSARGMIHALSRKGSDKEKNVDSPVQSDYSGAGSSPSPEFRPKGLVIPDVSDTRRINSSSKSEDEPDQNDASKTATSPFSQTGEVKEEDDPNKITMDAVDVNATDTCDPGTMIQGTADPGAVIQGTADPGAMIQGTPDPGTMIQGTPDSGTMTHETPLEFTGDQILESFSLTSIRPQPVGRNARQQTIKSDTDS >KVH93116 pep supercontig:CcrdV1:scaffold_51:172062:177552:-1 gene:Ccrd_004828 transcript:KVH93116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWDETQPWGYVGGHAFEDASPSWRKKKTKVPTTTRPPITRPQPQVNHDLELGRMSKNSTSTEKDGGMVILAAAGASVATAAVVISTSDGDAGGGDGGGGGCGGGGCGGGCGGGCGDDHEDGTFPDNVPDNYYCPVFGCRLNSRRNKNEGNGQNPTEWEMMKYSSLDVLLVQPSWLCRASEEKRTHSRVIILWRSQVQIDETKERPTTHCNPSLVMVLCYLCGSGRKKKAKVPTTTTPPTTRPQLQTNHDLEIGRRTKSSISMEKDGGMVTLAAAGASVATAAVIISANDGGGGCGGGGGGGCGGGGGGGCGGGGCGG >KVH93139 pep supercontig:CcrdV1:scaffold_51:301603:304930:1 gene:Ccrd_004838 transcript:KVH93139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MKYKLTSDKNLLLLLFFSRFGARSKISRDLWQSSPNANGWKCLNLSESYKLGRSIHRSLRGSLVVSPMAIGANTSQDTDDMYDDMFKKYGKVVYKRNDQKSPTAEVDDDAECLAFAVALAKIASDVKAADIKLLFVKPLVYWTKFFLITTAFSRPQIDAIRTRINDLAETQYGKFSTGDSKPNSWTLLDFGDIVVHIFLPEQRELYNLEEFYANATPIELPFENQQSFNR >KVH93134 pep supercontig:CcrdV1:scaffold_51:390819:393096:1 gene:Ccrd_004842 transcript:KVH93134 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MQVNPTQEITPPTSLPPSPPPPQLQLEINSITIHQPTNLHHDNILIMLKGIVIPIIARFHAGYFRISLSLCWQTLLWKTLSDPPENAHAYRRMLGVMPSAAFLLLWSLSLFILVSLSILYLLRCALLSNKVKDEYLNHISVNYLFAPWISWLLLLQSTPFVAPKTVYYLFLWWVFVVPIFILDVKIYGQWFTKGKRFLSTVANPASQLTVIGNFVGARTAAQMGWKESAMFMFSLGIVHYVVVFVTLYQRLSGKSCMPVMLRPVMFLFIAAPSMASLAWDSISGTFDCSSKMLFYLALFLFFSLVSRPNLFKKSMKKFDVVWWAYSYPLTLLALASTKYAHEMKSTVAHLLMLILSGLSVLVSCVLMVYTALNTNILLPRDDDDLILMTLKPIIIGNTSSDPLTS >KVH93142 pep supercontig:CcrdV1:scaffold_51:292351:297490:-1 gene:Ccrd_004837 transcript:KVH93142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MKPSLLVFGMWVMMMVVVAMAVKGKTGDTTVDSSSSSWRIPRVVNIGALFTVDSVIGRSVKPAIEAAIDDVNSNPTVLAGTRLNLILHDTNCSGFLGTVEALQLMANDVVAAIGPQSSGIAHIISHVVNELHVPLLSFGATDPTLSALQFPFFVRTSQNDYFQMSAVADLVAYFDWQEVIAIFVDDDYGRNGITALGDALSKKRAKISYKASFAPGATQTDITELLVRVNLMESRVYVVHVNPDSGLEIFTAAKKLGMMTNGYVWITTDWLPAVLDSSEFLDPETTEQVQGVVSLRQHTSNSDAKKSFTTKWKGIKDKETSSFNSYALYAYDSVWLLAHSLDKFLKSGKRITFSYDSKLRDTNRSDLRLSALRIFNEGEQLLETVLATSFVGLTGEVKFDPDKNLIHPAYDVVNIGGAGLRTIGFWSNHSGLSVASPESLYTKASNHSAGNQHLYSIIWPGETSTKPRGWVFPNNGKPLRVAVPYRYSYKEVVTKDKSPQGVRGYCIEVFEAAVSLLPYPVPRKYVLFGDGQRNPSYNDLVNAVAEHKYDAAVGDVTIITNRTRIVDFTQPYMESGLVIVVAAKELKPKPWAFLKPFTVEMWVVTGGFFLFVGFVVWILEHRLNHEFLLIINSSYTASLTSILTVQQLSSHIEGIDSLISSNDPIGVQDGTFAYNYLIRELNIAESRIKPLKGEEEYENALQLGPKGGGVAAIVDELPYVELFMHLQQIHDKWLSSASCSTQNNDDVENSLSLNNFWGLFLICGVTCFISLGIFFCRMLCQYRRFNPDEEEAGHEIVEPDSASRSDRRSSRAINFKDLMDFYDKKEAEIKEMLRRNGRQASRDSYDHTDSPS >KVH93120 pep supercontig:CcrdV1:scaffold_51:62076:65490:1 gene:Ccrd_004813 transcript:KVH93120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIQCNVCEVAEATVLCCADEAALCWACDEKVHAANKLASKHQRVPLSNSNNQMPKCDICQETDGYFFCLEDRALLCRKCDVAIHSLNDLVSSHQRFLLTGVKIGVETGDLIASSVKSSACEKIPETAESLCLPTSAPESANSPYNEVLPTQAAGSGDCGSPKLPFGGGSSIQQWQFDEFFGLNDFNQNYNHVELDDEDCLSWVPDSSWAVPQICSPPTASGLCWSKQHHRHQINSSAAFVPD >KVH93114 pep supercontig:CcrdV1:scaffold_51:147084:157980:-1 gene:Ccrd_004824 transcript:KVH93114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase MDHSRARKHTLKVVIAAIWTIVLPIFYSKTRRKYTCYSSQYRSWLGEWCYSSYMLVVAFYLMSNAVNMILFSVPAIGRYIETSNSKISSILSWWTQPRLYVGRGMQESQFSLLKYLLNSFLDVYLVVFVGICREMCVMALFSVKNNAGAIAAIWAPVIMVSEKQMRNGLVKFVVVWNEIINCFREEDLISNREVELMKMPLSSELHSGVVYWPIFLLANKLPMALTVAKDFVGEDANLSKKIRRDVYMYIAIKECYDSLKYVLDILVVGDLDRRIIAGIVDEIEESIRKSTVLKDFDLSELLTLYAKCIDLVELLVEGNKEHHTKVVKVLQDVFEIVTSDMMKNGSRYSNGHTFKPRCTKAYIVFCNVPIHGYTSSSKSAQHAIFQELDEHGKEELRDWASFRGQTLSRTIRGMMYYQKALKLQAFLDMAEDDDILQGYAAIEGGNDTLSAQLDALADLKFTHVEIYRIKLPGSPNIGEGKPENQNHAIIFTRGEALQAIDMNQISVIGIYVFLYGQLYLVLSGLEKALLLEAKVHNIRSLETALASQSFIQLGLLTGLPMVMEIALEKGLLSALKDFVLMQLQLAAVFFTFSLGTKTHYFGRTILHGGAKYRPTGRKVVVFHASFTENYRLYSRSHFMKGFELLLLLIVHDIIRRSYRNHMMYVMITSAIWFLSLTWLFAPFLFNPSGFDWGKIVDDWKDWNKWIKQQGGIGIQQDKSWQSWWYDEQDHLRYSGWGSRIIEILLSLRFFIYQYGLVYHLDIAGSNKTVVVYVLSWVVIVVIFLLVKVVNLGRQYLSDNHQLSFRLFKASLFLSVIATIIALSYICQLSVSDLIVCCLAFLPTGWGLILVSEKLSRICEKMAEKLVLFDFLNPK >KVH93098 pep supercontig:CcrdV1:scaffold_51:601705:608341:-1 gene:Ccrd_004857 transcript:KVH93098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGQRNMLYTGPMLETEHSHLHPEPCVLPYGGMPSFPQPTNNHTILPPPGNRTSFNPQHLPENHGPLPHGITQYNGVDHHRPANGIEYNNIFMTPSGARVFPVAINHGIQDQLPFSGIRGSLERNDAQFMDGLNGAFKRKNAEGFPGNIHYFYPAPGSSSSVAIPMNADGIPDYRGGNDVPLVMEAAVHRSARNRGTGAIGVDPGLAHSNSHLIPGNYGGQPLQAVPAPWLDQQFCGNGSDACAFSWNHAPGIPYLQGIPSNRNSAASAGFVRPPPPITPHQGPHNLHHPPPPPMPPMQGHNMDFHSQLASTSRRVPTNSTTSYPSVNPFQNGIEPGPRYVGPTPPTGLRVYRPHRREMMVEATARHHGFPHLRVLPEDGVAILDISGYHEVGHSVDHHRDMRLDIDHMSYEAKHLKTRVYAASSKSSSDPEDALPADQELNSCVICQTNYDDEEQIGVLDCGHEYHVECVKKWLIVKNTCPVCKSTALAAAQTKES >KVH93126 pep supercontig:CcrdV1:scaffold_51:78438:83924:1 gene:Ccrd_004815 transcript:KVH93126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MGSNAVEDGGYSSLNDFKLEIVNQEGDVNGSFSLCFWLYLPADSSPFPSTLLRQHHSDSSSHAPFLVLTANKEMVVYPIHFVPQEAPIPSDGTEIPSAKTKIEFEFPLNKWVHIGCEMSTDIIRLHINGEIVGETCISLTFDSSRKVSLVGLGANEDDLVDGFVHGVEIFPITSSIKDYFVKASCRRNFSLDVNLMDAFGHSVNKEMEVLKSNRHFSCTISVIASLTYADNGAYVEKPDDAEAPLLTSYDGIELFRIKFNIPKMGRRYPFLEVFSRSIRCISRNRNTRPSTLIWKRPTSAMHSGSGTSWLDGGSVEPVHNIVHEAKPSPSSKRVKLGQGNPFVNLSSNTSFKQGDHEGNKQDDRLNGRISEGRQEMRDGTTHNSSSDSDSSEATNSCKSRSSNGSSVSDLTVFKYCLGTVTEKSLLLKDLANSASEQDLMEFAVQVSQLSGCLHHRNQIRISKRMIEEGTNAWNLISRNNHNGLWDNMILVISELFMKVARCRNRFLTQQESVSRENFEKLWCWLYPVAFGLSQNGLNEMWDSRWIEGLVTKEEAESSLQGPGGGLVDPGTFVLRFPTSRSWPHPDAGNLIVTYVGSDFAIHHRL >KVH93122 pep supercontig:CcrdV1:scaffold_51:13147:23040:-1 gene:Ccrd_004811 transcript:KVH93122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTVEALEAISSRLQYNNWRVARNSCSGGGGLNVTVSLNGEGLIRFGSNVTCNCNSTICHVTHMYESVVLYLVLMEIAGVKLPNESWLGNIMYLIIRIGQVPQLKGLNLTGVLPEEFANLTFLQEIDLSRNYINGTIPARFGQLPLTILSLLGNRLSGSIPREIGDISTLEDLVLEDNLLEGPLPQNLGRLTRLRRLLLSANNFTGTIPESFGNLTNLEDFRIDGSTLSGRIPSFIGNWIRLGRLRITDLNGLSASFPDLRNMSRMIRLHLRNCLLTGPIPEYIGQMNDMKNLDLSFNRLTGQIPDSIARLRYDTFDLSYNNFTRSQQWNCQPSSLNLISSVPSSPATNRDSWCLREGLTCSSNPSQDLTSEQSYFFPSDGWAYSSNGVYVGNDNAPFVTTTTNVTGGDVYRTARLSPTSLRYYGRCLRSGSYKVRLHFAEIMYSDDLTFSNLGRRFFDVSIQGVLSDGSEIAVKQLSSKSKQGNREFVTEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYLENNSLARALFDWTTRKKICLGVAQGLAYLHEESRLKIVHRDIKATNVLLDKELNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWVTSNFSYFDDQQGNLLELVDPVLGSNYPKEEVMRMLNIALLCTNPSPTLRPAMSAVVSMLNGKIPVQPPLVKRNGMDGADMRFRAFELLSQDSQTQTSFMSESTQRPKSMSMEDPLMDSSV >KVH93117 pep supercontig:CcrdV1:scaffold_51:178705:179837:1 gene:Ccrd_004829 transcript:KVH93117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFNLFKLSVLLSLLSDVKLGSWPGLLSQKQTVAVGEFPPQCNRIECPTYDVIHSGDGYEIRVYNSSVWATTSPIDDISFVDGTRIGFLQLFKYIQGQNNYNQQIEMTAPVLTEIAPSDGPFCESSFLVSFYVPKKNQADPPPAEGITIQKWRPTYVAVRQFGGFVKDSDIGVEAAALSTSLSGTVWSDAIKKSHSGEITTGYTVAQYNSPFEFDNRVNEIWFTFDM >KVH93131 pep supercontig:CcrdV1:scaffold_51:428812:433576:1 gene:Ccrd_004847 transcript:KVH93131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate dehydrogenase/glycoside hydrolase, family 4, C-terminal MRTAMLRSIHSSLQKSSSSTLLRRSYSSESAPERKVAVLGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINTRSEVVGFMGEENLGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAIAKYCPHALVNMISNPVNSTVPIASEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKAKVPVAGVNVPVVGGHAGVTILPLFSQATPQANNLSDEEIVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSNVTELPFFASKVRLGKNGVEEVLGLGSLSDYEKQGLEALMPELKSSIEKGIKFANQN >KVH93132 pep supercontig:CcrdV1:scaffold_51:309881:313058:-1 gene:Ccrd_004840 transcript:KVH93132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRRSLHQRQNRPQILTMMWNRKLALRIFFGVGLNWIDLRQGMVGICENAKAKNDGGGGSIRIGATGKVSALMMQELDSNIIKTSSKKPEIGSRRMQTAPVSISCGGSTKRLQRMVSMNGGSSRDARDQVMPEKKNKNKNKKKEVSRQAVQRIPMLDSEDSWIDKTPVRAKEHDKKVARRIVETVDLKCGKHEGDWSIIPIGNQLRRPSFSKILD >KVH93101 pep supercontig:CcrdV1:scaffold_51:561897:563438:1 gene:Ccrd_004854 transcript:KVH93101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular solute-binding protein, family 3 MAKGYHSVYWTEGSGFSETVDDDINGATAYTHSMDNVGQALWPVQPWYARRQHRNLAESSWNRMRVGVPAQSLSKQFVNVEFDPEKNQTVIGGFVIAVFDEMMKQMNLPYDYFPFYVINYLFSFQKFDVIAGDVTILSSRHEYADFTQPYTESGLEMIVPIRSRLSNQPWLFMKPFTSKMWWLIAAITLYNGFIIWLIERTHCDHLQGSIMTQIGIIIWLAFTTLFTFRESGKLKELKDAFLISEKCVDNKSFPNEDESLSPRNFSILFESTVGASTLALAIYIIIGIR >KVH93107 pep supercontig:CcrdV1:scaffold_51:2598:3478:-1 gene:Ccrd_004809 transcript:KVH93107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNECSQQKRCE >KVH93111 pep supercontig:CcrdV1:scaffold_51:123353:128651:-1 gene:Ccrd_004821 transcript:KVH93111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MSESGKDSNQQEYKHHQDQQQPPPHQYGTFQGVRNYPPPPVIGFPQPMPQPGASGGPSVNPYVHGYQAVPGYAVAEGRPVRERRLPCCGIGIGWFLFIVGFFLAAIPWYVGAFILLCARYDDREKPGYVACLIAAIVGTIAVICGVTSG >KVH93137 pep supercontig:CcrdV1:scaffold_51:474542:478001:-1 gene:Ccrd_004849 transcript:KVH93137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTESRIKQKKLQNHLKLESKLHELEVEWCGGPGTMDEIVSKIHQREEASNKRERAMAYAFSHQWRANSNLSFGQAYYDLSKESWGWSWMERWIAICPWETRVVARPTVLNQRKKPKETKTLVAKVGTEKGSSS >KVH93143 pep supercontig:CcrdV1:scaffold_51:273065:290357:-1 gene:Ccrd_004836 transcript:KVH93143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MIVGADAGTVFAYGVTSSGKTHTMHGDCNSPGIIPLAIKDVFSIIQDMPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQRSDHATYSFQGTYVEGVKEEVVLSPGHALSFIASGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVTFSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGNGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKQELDQLKRGMLVNPEEIMTLKQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNKVAGLTDVSDHQRDLSANADDNGSLLLRSDNLVETPSEVSYELKHRRNSSNLSATGSTIMESTHAGEIVNGSSGGAKLLAGGISLDQMDLLVEQVKMLAGEIAFGTSTMKRLMEQSADNPQSSKTQALIMDACCTQIDNLQLEIEEKRRQMRVLEKQIIESNQASISSTSLVDMQQAMMRLMTQCDEKDFELEIKSADNRILQEQLQNKCSENKELQERITLLEQQLAAACSEKPLLSSKQQGSKEYIDELQMKIQIQVLEEKSGLCVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELVAARELVNSGGNRKYGDRKGRHSDQVNGFNNVFHSEDLRRELQARKQQEASLEAALAEKEMIEDEYQKKFDEAKKRESALENDLANMWVLVAQLKKEAAGGRAVPETNIIGRRTTVNHTNSVLKERQARMQEMKEKEVNGDANLHCVNPVLLHVPSVRSAGPRSQIEFLLSLLDIKKC >KVH93127 pep supercontig:CcrdV1:scaffold_51:68887:76119:1 gene:Ccrd_004814 transcript:KVH93127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter MQALSLSSDHGSSLCKTKGGLCSQSQILPFKPDSSRRLNYNYTFTSSTSLSAKSTATQETNLIFPLSTLKWRRRSKTGHFLPPLLCGNTSNTLSANDGSGNISGRSFRGWVEVVGEVISTAFPIWVALGCFLGLVRPNSFNWVQPRWTMMGITLTMLGMGMTLTFDDLKGALAMPKELLAGFFLQYSVMPLTAFFVSKLLNLPSYYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTVSAVVMTPFLTSNLAGQYVAVDAIGLLKSTLQVVLLPVLVGAFLNQYFKGFVKLVSPLMPPVAVATVAILCGNAIAQSSSAILMSGQQVVLAALLLHISGFFFGYLLSRILGIDNSVLGVVLATQHFGNPLTAVPCAVSSVCHSILGSALAGIWRQI >KVH93105 pep supercontig:CcrdV1:scaffold_51:483195:485210:1 gene:Ccrd_004850 transcript:KVH93105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant transcription factor NOZZLE MAQDEHTSRCSNSSSGGAGGGGGGGGGGAGGSSHRSSKKLKHKKVPQRGMGVAQLEKIISEEQQKKDVSVLTSNSIISPSNSSSNLATTIQKVPNFRPSPISSSSIPLPPPLPPNHHPLISKTDAVNPISASTFSKPASMSSGGGGGVGGSNWCRLWSDGDYSFEGEKQNQSQNHNQNHGLDHPRYTAAFPANLGGLPYESNPPIWPPPPPNLMMQRSQHLQQPCSSSMMVGMKRPYPFSLENVPIPSFHCKFPSAYIPSIPRSDESASCSNGGTVSIESAHPIFREPPLSSGAINDTVTKKFIDENQGLTRDFLKLAPPQPHSSSKEKLHSSPCIGEQTQFETLSPHKGQSKGSTHFSGPGGSNPQPFLSFFPAAKTPMGQPGNSNGEAGESVDLNLKL >KVH93141 pep supercontig:CcrdV1:scaffold_51:259103:263632:-1 gene:Ccrd_004834 transcript:KVH93141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALLSSPSQSLIRCNPVSPASSSSPPRCHLRIPSNSSFPVTSLTETKRRRYLVCAVDQDAEDAFKKTVELQKLVVENILAFNEGFWIRLAARSDTCKSDDDKKDYEELASSVMSIVDRVVHKTNEKIESATDVLKEILRPVVDDIEEICWPPRDPNSLNLMKKEVNQREQEGQLDEGFLAEAKEDGDKPGLEAMLQKVLQLYASRILSKRSYAIKGNEVLKAEQCLEEIIKAPESEWNKLLIDHLTAGKGDISPDELYAAIKKRIERTLIRTVCTLSPLYLVPFSRSASIEGGSYQQRILVEFLKGIQSRAEEIALVLQGKQ >KVH93113 pep supercontig:CcrdV1:scaffold_51:167860:169569:1 gene:Ccrd_004827 transcript:KVH93113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MITGYVRAGRMNDAEKLYCSMPMEWRDPFCSNALMNGYLKKGKLEEAVRVYEGMVEKNVVSWTSMVDGYCKLGFIGKARELFDGIPDKNVVTWTAMLDGYMKTMFFEDGFVLFLQMRRENGIKLVSNTLTVIFDGCGRSNRCREGIQVHALAMIMGFDFDTFLGNSVITMYCRLADLDAARNLFNVMETKDVVTWNSLIGGYIQTEDVEEAYKLFRTMPQKDVYSWTTMITGFSSKGLTEKSVELFNMMPQKDDVSWTALISGFVSNGEFEESIRWFIQMLQTSVKPNPLTFSSVLSSSASLATLNQGLQIHTHVLKTGMEFDLSVQNSLVSLYAKCGSVDDAYNIFHSIKTPNSVSYNSMINGFAQNGYGKEALALFTEMEENGVEPNDITFLSVLSACTHVGLVEEGRSYFRSMRSLYKIEPNPDHYACMVDLLGRAGFLDEAFDLINSMPFEPHSGVWGALLGASRSHFRLELAEIAARRISELEPDNATPYVVLSDLYLVLGGKEDEEVVRTAKRVNRIKKSPGCSWIAVKDEVRLFLSGDRSHMNSNEIKTTLGRLVDEMKEVY >KVH93100 pep supercontig:CcrdV1:scaffold_51:566546:574827:-1 gene:Ccrd_004855 transcript:KVH93100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MLDLLPAPITIFGHGRNAATYLINTHKVEAILGLQTLEEVVSVAEVGSEAQVPTFSLFDSVPEWALDRWPFLVQASPSQFAQMKAVVAILKSCEWHRFTFIYEDINSASTQVIPHLIEAIKESGVEMSTIVKLSSLSSSSSSSLLLEELERIKTEQCRVFLVHATLEMGIRLFQNAKNMGMMERGYVWITTTLFTDLLHTVNSSTFSMMEGIVGLGSFFLDTSLQFQDFSTKFQKKFKIEQPEEDNNMPGIFAAQAYDATWIVALALSEKNTSGQKLLERVPSMSLNGNGITGEVQFVDRKPAASHIFPIINVIGKYYRELGFWSEGHGFSEVINRTTNNTSLQSLGYIFWPGRPLHTPRGWDISTNVNPLRVGVPTMAMFKKFVEVIYDSDGNFTCTGYSVELFKEMVKQLPYYLPYEFIPFNGTYDQLVEKVYLKIFDAVVGDVSVVSRRYQYAEFTHPYTETGLVMVVPVASQHGQWLFVKPFTLGMWALTVMIHIYNGFVCYTASLTSMLTVRRLIPKVADFETLKNTNAIVGYGRGAHVVRYLEDVLHFKPSNIRSFKSPEEYARALRSGEIAAVFLEAPFTKLFLSKYCKSFMAAGPTFGDGGFAFALLNISESGTLRHMEQRMIGSEHCVDLESIHDDYESATSTVALAIYVIISLRNHYWIEGRSLVTIISDVHGNKMNDNSKY >KVH93115 pep supercontig:CcrdV1:scaffold_51:158619:159661:-1 gene:Ccrd_004825 transcript:KVH93115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTCSLPRILKAKTIGDRAMIIMACHDLESPLQMFDTPILEDIMSIFITSAVLKLVQGLVLL >KVH93140 pep supercontig:CcrdV1:scaffold_51:270156:271657:1 gene:Ccrd_004835 transcript:KVH93140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIQCDMCEQKEAAVYCTADEASLCHACDRRVHHANKLANKHLRFSLNPSSDEPPRCDICQERRAFLFCKEDRAILCRECDISIHRANEHTQYHDRFLLAGVKLSDSSSCYDKSSDQALCSSNSNGYSEIDSRKSSIVSEDQNARSAKYFTSVNDHCDGASREEGASMAASSISQYLMETLPGWHGYDSGTLPFMTHDSNNSRDLWSEDLGIFLNSSSSSSSSMDHQISKTRSLSKRVFLDEVESLKKLNKDQHTFKPSSIKRPRQDQLW >KVH93133 pep supercontig:CcrdV1:scaffold_51:363676:367064:1 gene:Ccrd_004841 transcript:KVH93133 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MIYLLFTVLYAQLIIILLLQFRSPLQERLLVELDGLKQGRAQLAVKSVTATVFVVMMYILYTVVQIHSRCGDAVDSPNRAILAYLVLEASLIGFSLFLLLIIDGLHQYTKEVNILTKTLSAAQKQNQAYEEGKKKSANEAKSVKEKISRLRTEIKKLELECDRKENEVESRKANSDALKSRLEGLLVEYDGLLADNKDLKDQLHDMNERWSHSGGKKSGFFSWDQWGL >KVH93110 pep supercontig:CcrdV1:scaffold_51:112852:119897:-1 gene:Ccrd_004820 transcript:KVH93110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MVAFQLRLMVTVMMVHGVTVDGGGGGTMTTRPERSFLGLFFLSLYFFHSPSTSDVYIVYLGCNDIQDPILTLNYHLELLSSVLPSQEDARESMLYSYKHSFSGFSAKINSSQAANLASMERVISVFKSQILLLHTTRSWDFMGLNLEPIADQATPLQLTHGDGTIVGLFDSGIADTTHHEKIHDKVGYISCKLYNYYSNESGIWPESKSFHEEPRMHPIPRTWRGECVHGENFNPKKACNLKLIGARYYLKGYEQEHGPLNTSETLEYRSPRDANGHGTHTASTAVGSTVKMASFSGFGQGTARGGAPRARLAVYKVCWEVGKCSEADILAAFDEAIHDGVNVISASFGSPLPLLPLYKWSSDIGSFHAMQKGISVIFSAGNNGPDPSLVKNVAPWSTCVGASSIDRNFPTRVTNLIAFFFCHLFSINHMICRMDMWRNNSAVGTVILCFSTQGQVQIEEAEFAAWIANATGLIFVDSPTRQYVDVDIIPTIRMDMIQGTKMNHYLSQSMMTPPKVHIFPSKTVIKVSPAPVVADFSSRGPSSISPDILKPDISAPGISILAAWPPGISPTSTENDQRSVEWNFQSGTSMACPHVSGVVALLKSVHPNWSPAAIRSALMTTAYNRDTNHDTILAGGSNEESSPFDIGAGHLNPMKAMDPGLVYDMKADDYILFLCNNGYTKDQIKRIIGLPPGTPVICPKEFKTNTNLNYPSITVSSLESTITIKRTVRNVGAKKTTIYFARILSPHGVEITVWPRILFFSYFTCELSYYVTLKPQKVSQGRYDYGEIVWSDGFYTVRSPLVVCVNTVTLTAITDDSSQALDQPLALARA >KVH93146 pep supercontig:CcrdV1:scaffold_51:245389:247046:-1 gene:Ccrd_004833 transcript:KVH93146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVRGSEMPQRVSTRGPAPLRTSSSDSDPPHHRPITDRSPKLGDRRSPRSEPLNQKKLGTRIAGLETQLEQAQGELKILKDQLASAEAAKKEAQKELEKRTTTTNTKPAISEPEPVQDFRSSPSEAHDSNKEMSQDHETPDEIQKETDVFEVPIETVSLKSSVVVESPEKLHFEAAMASKNEEISSLKSKIEEKDNELLAFSQENKNLKHQLSEANVQISSGKAKEEKTNSKLNHVEEELKRSKKDGNELNKKLKEVEGAKEALETEMKKLRVQTEQWRKAADAAAAILSGGVEVNEGRRVSERCGSMDKHYGGVYDGYGGFVGSPGGLGDECEDGKRKGIRMFGDLWKKKGQK >KVH93103 pep supercontig:CcrdV1:scaffold_51:520771:522330:1 gene:Ccrd_004852 transcript:KVH93103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MLIYEASSSSSIIPHLSQAFQQTGGELTHVLPLASSSCSLNDKLKKQKRQVFIIHASLELGIRLFQTAERMEMTGDGYLWLATNEITDLFHSINSTMTSSLKGMVGVTRYLPENIPAFLNFQRKFRSEYPEEEQDEPGIFAVQGYSAVKLLEIDFPENLHNWRPLPATSTEIVSMIGKGYHSVPSRNPVGLKATFSPCVERGFPMPPNM >KVH93112 pep supercontig:CcrdV1:scaffold_51:159785:165678:-1 gene:Ccrd_004826 transcript:KVH93112 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MAEIVVAEPSIASTSSNTGGGYGSNSGTKPFYIRSITSGNDEYVPEPFDSEKLPVTLKSEIQRFLRVANLIDAQEPRVACRFHAFVVAHDLDRNSNGRGVRQFKTALLQRLEQDEEVTYRKRKEKSDVREVRRVYREYKDYIIKHGGESTLENRPMLITTLKEDPSSMIITFFPLITEIKAAVGAVRNVIGIPFVEEFSNHEPHFDLFDWLQHCFGFQIAFDLHSILMGDTILSTGDSFLPSYGRGPESFLVNMVTPIYDVIRKVLHPTTVCYSCAFLILAVEFLIFLIMLLKEAMKNQNGMTDHSTWRNYDDLNEFFWLSPDFKPT >KVH93129 pep supercontig:CcrdV1:scaffold_51:411862:413229:1 gene:Ccrd_004845 transcript:KVH93129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDLAKKRKLEENGVVFPPDSAATTTTITTLSHDDLRKVIEPFTHEQLSQIVLTASLRHPDVLDAVRSIADRDPTQRKLFIRGLGWETTSEKLRSLFATYGDLEEAIVILDKVTGKSKGYGFVTYRHIDGALMALKEPSKKIDGRMTVTQLASAGFTNGASVTANLQTLDVSSRKIYVGNVPYDMPGERLLAHFSSYGEMEEGPLGFDKITGKSRGFALFIYKNVDGARASLVDPVKTIDGHQLNCKLANDNKRGNSGGMAAPPAAAVASAQVQGSGDRVKPEVIRVNLNQQSSMQGSYASQFGGGPGGIYGGNLGGLGLAGPGGISVYGGGGGQVPPLSHSQHPLNSNLGGGAGLSSFGSNGGGPGLSTNGSQAPNSLGGGSGGFSSGLGGGGGGVGVSYGGGAGVGGGGSGFGGSGQRSLYGLPPGSVRMSSGGYPESAHYSLSSGSAYQSQQQ >KVH93128 pep supercontig:CcrdV1:scaffold_51:402460:407823:-1 gene:Ccrd_004844 transcript:KVH93128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSPLNSSAIYTNNSLVPNICQSQYFNRFVECYRLCLRTTQLNSGVSSGLVFVSSSRGLQLRRALHWGTPSEEESNIWEFKELNSSYLEGEPSAKESNLNNFVAETTSDMNKNEILRRKKIGLANKGRIPWNKGKKHTAETRELISQRTKEALKDPKSSFTYNRLYFFQVRKKMSECPRTLSDQTKAKIRTTITRQWRERLKWKKSREKFISQWAESIANAAKKGGIGQQELDWDSYEKIEREIALQHLQRSADVAKAKEMAQIRAERRAKAKAEKAKLTLQKRMAKVKGLAKKKPSKKSKEEKEELAAAEDLKLKERLTKLSSRDHRAWERLDLKFLREDTRKNDKSLADQIRDVKNKKAGVSITGVLTATPPNHQSGQIR >KVH93130 pep supercontig:CcrdV1:scaffold_51:417207:422220:-1 gene:Ccrd_004846 transcript:KVH93130 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MTTLPSVVDRRNQYLFPAALASLLFIGSLRILLDNLKNSQHTRSFFRPPTDRYRPPILVSDDETIEDGCNIFEGKWVCIYGFGRFNALKLLEMLRDKRLMFVGDSVQRSMFDSMVCLVQSVIPEGKKSLRKFYWAPFLVESISDHATKHTVMKRLVRLDSISNHSKQWEGVDILVYESYVWWMYKPTINATLSEYLDIFDVSKVHSRYGNVENVEEYNVTTAYRIAMETWANWIETSIKSHTQKSGDNGNCFGESELIQRPYFGTGSNLDIMGIVKDVLGRLRVNVRLLNITQLSEYRKDGHTSVYGERKGKLLTKEQKSDPKNYADCIHWCLPGVPDTWNEILYGVLLQDYR >KVH93138 pep supercontig:CcrdV1:scaffold_51:306197:308774:1 gene:Ccrd_004839 transcript:KVH93138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 MAASSLTASLHNSQIGSAFLGERHGICVSTVPVTRLGFRRKIVECKESRIGKQPIEVPSNVVITLEGQDMKVKGPLGELALSYPREIAIDRQETIIKISKAMDTRRANQMHGLFRTLTSNMVVGVSKGFEKKLQLVGVGYRATVEGKELVLSLGFSHPVKMTIPDDLKVKVEENTRITVSGYNKSNIGQFAASIRKWRPPEPYKGKGVKYADEIVRRKEGKAGKKK >KVH93147 pep supercontig:CcrdV1:scaffold_51:240712:245036:1 gene:Ccrd_004832 transcript:KVH93147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEEKFRIVRSIGEECIQEEELLNLLTKKPQPICYDGFEPSGRMHIAQGVMKTINVNKLTSAGCKVKIWIADWFAQLNNKMGGDLNKIQTVGRYLIEIWKAAGMNLEGDKVEFLWSSEEINSRAHEYWPLVMDIARRNKLPRIMRLADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLLQGQEKMSKSDSSSAVFMEDEEAEVNLKIKKAYCPPNIVDGNPCLEYIKYIVFPWFNEFKIERKEENGGENAVGTVFYFSVLKVSVR >KVH93125 pep supercontig:CcrdV1:scaffold_51:86114:87253:1 gene:Ccrd_004816 transcript:KVH93125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLSPSLIYSNRFYPPNAKPLNPIRFYRNAVLLVVNYKILHLLLSFIPHQEAILKTLAVTVHSICFLNNGLLFTISNIQSPAYTIPFGNLYNQVPHLLFNLVFFCCHGESRVNISLTRRTVSVGSDLYFNTNNAIRTLKVSRHPYSDTHLGIISSDSVFRLYDLSSALEQPEQEYYLQPVERGRLRNASSICPVDFSFG >KVH93102 pep supercontig:CcrdV1:scaffold_51:525283:561634:1 gene:Ccrd_004853 transcript:KVH93102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MAVLIXINLIFSTITMLSNLLSHLIFNTTAYLLVIAIQGLRVPGEALQSAMEQIADLIRTCIGYVLEVVMEVINGIVGLVFDLVKEGVFGSVSATGAAAVGVVEKMKSGFDGLMEEIPAVVEGVVEMVTTVVSDLWIATGSLTVPPELVKNEKGIARIGAVLGQMSRPRKEAKVSIEIAVQDFNMKTNRSSVLYLQNSLNMPVRAAIAAKKLIDEHGVKAVLGAHKWEEASAIAEVISEADHDDIPVFLSLADMTQLQATNQWPFLVQAVPSQSTQMNAVAAVLQSWGIRQVTLIYETSHLASSSASIISHLSQAFQQTGCELTLILPLSSGSCFLNKELEVLKRQKREVFVIHTSLELGICLFQTAKKMEMTGDGYLWIATNEITDLFHSTNSTMISSLKGMVGIKSYFPENTQDFQDFRKRFRQKFRSDYPEEEHDEPGIFAVQGYNAVKLLEKDSPENFRHRNTIPATTVEIVSVNGKGFHSVYWTKGLGFSETIDNINGATSYTHFIDNVGQALWPVQPWYAHRQRRILAKSSTNKMRVGVPSHSLFKQFVKAEVDLKQNRTSFSGFTISVFEEMMKNMSLLYEYVPFNGSYTDLIKGIGFKMFDAVAGDVTIRIDEFADFTQPYTESGLEMIVPVRSRISNQAWLFLKPFTPEMWWLIAAITIYNGFIIWMAMVIWLFVALIITQSYTASLASMLTAQRLEPTITSFEMLRTMNTTVGYCNGSFIKHYLKEVLHFEEVMVKSYKSTHQYAEALNRGEIAAIFLEVPVAKVFLAQYCKSFIKTGETFKVGGFGFVNANKALIHITESGKLKELEEKFLISEKCVDEEFSPHEESRLSPHSFSVLFGLTGGISTIALASYISIIIRDFKKSTQEHTSFIKLISAFIKDLQHHMRRSSSIVVNVENPRQTNETGITGIGVILGQTSRPGKETKVSIEIAIQDFNIKANWSSVLYFQNSLNKPSRTAFAVKELINDNGVKAILGGHTCEEASAIAEVISEVDDDIPLFLSLADMTPPQATDQWPFLVQTVPSKSIQMNAVAAVLQSWGIHQVTLIYETSHLAYPSSSIISHLSQAFQQTGCELTLILPLSSGPRFLNKEVEVLKRQKSRVFIIHTSLELGIRLFQTAKKMEMTGNEYLWIATNEITDLFHSINSNVISSLKGMVGVKSYFPENTPEFLDFRKRFRQKFSSDYPEEEQDEPGIFAVQGYNAVKLLGEDSPEIFHHWKAIPATTVEIVSVNGKGYLSVYWTEGLGFSETIDGIHRATTYTHSMDEVEQALWPVQPLYAHRRHRNLAESSKNLMTVGVPEHSLFNQFVKVEFNHEKKEYEFSGFVIAVFEEMMRKMNQPFKYQRFNGSYDDLIKEIPKETFDAVAGDVTILSERHKSADFTQPFTESGLQMIVPVRYRISNQAWLFLKPFTAEMWWLSAAITIYNGFIIWLIERKHSEDLRGSIITQIGIVFWLAFTTLFTLRGDRLHSNLSRMAVVMWLFVALIITQSYTANLSSMLTAQRLEPVITSVEKLRNMDATVGYCNGLFIGAYLRDVLDFKNISVKSYNSTHQYAEALNSGEIKAIFLDVPSTKVFLAQYCKRFIRTGETFKVGGFGFAFRKGFNLSDANAALMEMTESRKLKELEDTFLISEKCVDEESSLDKDTRLSPRSFWVLFELTGGTSTVALAIYIIINIREFKKSTEEHTTKELIDEHGVKAILGAHTCEEASAIAEVISEADHDIPLFLSLADTTPLQASDQSQFLVQVVPTQSTQMNAVAAILQARGIQQVTLIYETSHLASPSSSIISHLSQAFRQTGSELAHTLPFTSGSCFLNKELEVLKRQKRQVFIIHTSLELGICLFQTAKKLEMTGDGYLWIATNEITDLFHSINSTMISSLKGMVGVKSYFPENTPDFLDFRKRFRQKFRSDYPEEEQDEPGIFAVQGYNAVKLLEKDSPENFHHRRPIPATTVEIVSVIGKGYHSIYWTKGLGFSETIDDINGATTYTHSMDNVGLWPVQPWYAHRRHRNLAESSKNLMTVGVPGQSLFNQFVKVEVDSERNRTSISGFVVAVFDEMMKNMSQSYVYKPFFGSYDELIEGIHSEKFDAVAGDVTIVSRRHEFADFTQPYTESGLEMIVPVRPRISNQAWLFLKPFTPEMWWLIAAITIYNGFIIWLIERKHSENLRGSVITQTGIVFSLAFTTLFTLPGERLHSNLSRMAMVVWLFVALIITASYTASLASMLTAQRLEPTITSVETLRNMNATVGYCNGSFINYYLKEVLHFEDVKVKSYNSTHRYAEALNGGEIAAIFLEVPAAKVFLAQYCNSFIRTGETFKVGGFGFAFPRDFPRLSDANKALMHVAESGKLKELEDAHLISEKCVDEESSPDEESRLSFRSFSALFMITGGTSTIALAIYIMISIREFKKSTQEHTSFIKLISAFIKDWQHHMRRSSSIVVNVESGRHTRNADQLTQDDMDDRIISLFYLIFLVAFSLPLFLLQPSPTIGSLSAVTEIARIGVILDQASRPGKEAKVAIEIATQDFNINAHQLSVFHFQNSRNKPVHTAIAAKELLDKHSVKAILGGHTWEEASAIAEVISKADHDIPLFLSLADTTPLQASDQWPFLVQAVPTQSTQMNAVAAILQSWGIRQVTLIYETSLLASSSSSIISDLSQAFRQTGCELTHILPLTSGSCVLDEELEILKRQQRKVFVMHTSLELGVRLFQAAKKMEMTGDGYLWIATNRITDLFHSFNSTMTSSLKGMVGVKSYFPENTLEFQDFRKRFRQKFLADYPEEEHQEPGIFAVQGYNAMKLLEKYSPGNFDNRRPLPESTVEIVNVMAKGYHSVYWTEGSGFSETVDGDINGATAYTHSMDNVGQALWPVQPWYARRQHRNLAESSWNRMRVGVPAQSLFKQFVNVEFDSEKNQTVIGGFVIAVFDEMMKQMNLPYDYVPFYGSYDELIRQIPAEKFDAIAGDVTIVSRRHEYADFTQPYTESGLEMIVPIRSRLSNQPWLFMKPFTAKMWWLIAGITLYNGFIIWLIERTHLVVWLFVALIITQSYTASLASMLTAQRLEPAITSVEALRNMNATVGYCNGSFINYYLKEVLDFEDVKVKSYNSTHRYAEALNSGEIAAIFLEVPAAKVFLAQYCKSFIRTGETFKVGGFGFAFPRKFSWLSDANKALMNVSESGMLKELEDAFLISEKCVDDESFPNEDESLSPRSFAILFELTAGASTLVLVMYIIISIRQFHESHPEITNFFQLISAFIADQWHQMRHYLIFSVAFSLPWFLLQPSPAVGSLSSVTEIARIGAILDQASRPGKEAKVAIEIATQDFNINAHQLSVLYLQNSQNKAVHAAIAAKELMDEHNVKAIVGAHTWEEASAIAEVINEADHDIPMFLC >KVH93121 pep supercontig:CcrdV1:scaffold_51:48020:57901:1 gene:Ccrd_004812 transcript:KVH93121 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MGFNHKDLRLFVVVVVVVIMNMVENSEGKTMGCDVFQGNWVIDQSYPPYNASSTCPFVGTEFNGLSFLEKLRGKTIMFVGDSLSKNQWLSLLCMIHSSVPSASYVVKMRGAEGITTYNFTEIENLFDDTDYGVKVVYHHSLYLVDIVREKIGRVLKLDSITSGNLWLGVDYLVFNTFGGGGGGGGGNGVDGDERRCDLFKGRWLWDESDSNPMYTGSECPFINPGLNCQKNGRADNMYLNFRWQPHGCSLPRGKKIMFVGDSLSSNQWQSLACMLYNPTRRSNPSLTHQGQLSTISFPEHGVSIMYLKNGFLVDLEVEKKGRVLKLDSISKGRIWEEADILIFNSYHWNCDGQTEPILGFSYPGKKYAAEQVVKDVLAKMKNPAYLLDITLLTQLRKDGHPSKYAGGMTDCSHWCLAGVPDTWNQILYTILLKD >KVH93106 pep supercontig:CcrdV1:scaffold_51:647851:654914:1 gene:Ccrd_004858 transcript:KVH93106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MRSLLRFKQLKISTTVGSIQGTCYSSHRLGFGIRNIVTSCRAVVLPRFGGAGVLEVRDNVRVPDLKPNEVLVRARAVSVNPLDTRPLHSIISNELSGGVCSAVYVPYFFQMRAGYGRSLFESLLPLVLGRDVSGEVAAVGGSVQTLNVGQEVFGALHPTAVRGTYADYAILAEDQLTPKPSTISHVEACAIPFAALTAWRALKSTARISKGQRVLVVGGGGAVGYSAVQLAVAAGCAVSATCERESIDRLLAAGAEQADLEVILKGQYNAVLDTIGIDPTERLGINLLKRGGHYMTLQGESASLADRYEYWWTYMRTDAEGLDEIRRLTEAGKLKVPVQKTFPITQVREAHDAKDTKIIPGKVVLEID >KVH93145 pep supercontig:CcrdV1:scaffold_51:184207:191457:-1 gene:Ccrd_004830 transcript:KVH93145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 METELMDGWSNSSHETCEKGPPKKSNLVKSRSHSSGSKVHKFAKSTEVSKDQTCLGTENMGEIDDKLDILRMRHAKGNGIISKGFMKMIGLKKSSKHDQVETSEMLFPYEEFLKYFKYEAFDFSPRGLVNCGNSCYANAVVQCLMSTKPLTIYLLHRSHSRTCCAKSWCLMCELEHHVMMLRESGEPLSLSGILLHMRSVNYQIGGGSQEDAHEFLRVLITSMQSICLESLGGEDVVAPKLQETTFIQHTFGGQLRSKVKCLRCHHESDRYENIMDLNLEIYGWVESLEDALTQFTSVEDLDGENMYRCGRCAAYVRARKQLAIHEAPNILTIEGNYGKLNKCITYPDMLDMIPYMTGKDDIPPLYLLYGVVVHLDTMNASFSGHYISSSPRPPRSSTGKPSNHQASTFTKSKPPKSTLDRRQEPTSFYGNRHNYTKPPNLDFSDATSSDWSILSNSDDASFTTESTRDSFSTIDYTDNNTDPVSSIFNTTLYTPHDYLSRNTISCSRFSTSTAHMRFMKDEQTSVLKSYRLHNTEKAYCYPNEAFGSNSRSLYIDGM >KVH93135 pep supercontig:CcrdV1:scaffold_51:397781:400916:1 gene:Ccrd_004843 transcript:KVH93135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVLLRSLISTANQTLITRFYTTTSSPVLRIRPLVAAANNLRHCSLVATRSRAFSTRQTTSSLNDSNPNWSNRPPKETILLDGCDFEHWLVVVEKPEGEPTRDEIIDSYINTLAKVVGRMKIYSVSTRCYYAFGALVSEEDSYKIKELPGVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPRNFDRSRNFERRRENMQSNRPSPGGAMGGPPNNMGGPPNAGGAPSGNMGGPPNAGGAPYGNMGGPPNAGGAWCTIWKHGWTA >KVH93118 pep supercontig:CcrdV1:scaffold_51:105004:110877:-1 gene:Ccrd_004819 transcript:KVH93118 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MLSSVALLAVIGGAWWVWTVMRWVWLRPRAIERCLRKQGLNGPEYRLLHGDMNQMATMARHAAVNSKPMSFSDDFLPTILPFHHHIIQTYGKNSFAWMGPIARINVMDPELIKEVLVNNRVYKKPTPNPLVRFLVSGMTSYEDEKWAKHRKIVAPALTQDKLKHMFSAMYTSCNDILVTEWSKLVSKNGWCELDVQPYIDDFASDVISRNAFGSSYEQGRRIYRLQKEQAVLTRQVLQTVYLPGWRFLPTKTNKRMKQIDNELRSILTKIMEKKERAMISRESDDHEDLLSLLLKSTMKANGDLGMSVDEAIEECKSFYFAGQESSSNLLVTMILYEVLRLYSPATIFTRITYNETKLGDLTVPAGVQFLLPVIFVHHDREIWGEDAKEFNPERFSEGIAKATKNKLAFFPFSWGPRICIGNNFALMEAKLAISTILQHFSFELSPSYTHSPSYVVTLQPRYGAHLILHKI >KVH93124 pep supercontig:CcrdV1:scaffold_51:97788:101600:1 gene:Ccrd_004817 transcript:KVH93124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MMPATCHNLEFGRTSFVDFSACGCSFSSSFPSRNYARKVIRSANRGAGKVISCRRDDNIAKCRVFSTEAPETLLNGTPPTLSGKKESRSLNSPSDLFEVVSDDLLTLNKNLQSIVGAENPVLMSAAEQIFSAGGKRMRPALVFLVSRATLVLAGLEELTREHRRLAEIIEMIHTASLIHDDVLDESDMRRGQETVHQIYGTRVAVLAGDFMFAQSSWYLANLENLEVIKLISQASSLFDCDVELDEYLIKSYYKTASLIAASTKGAAIFSGVGKDVCEQMYQYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKESKLREIIESEFCETGSLDEAIELVMASGGIERAQMLAKQKADAAIQCLNCLPQGAFRLALEGMVKFNLERID >KVH93108 pep supercontig:CcrdV1:scaffold_51:130229:134186:-1 gene:Ccrd_004822 transcript:KVH93108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MLRLRCLWWTSCSSLHLNRRFLPSPIISSSSFRSKMEAVSYSTQSSTPSKKLLFRQLFEKESSTYTYLLADASHPDKPALLVDPVDKTVDRDLSLVKDLGLKLLYAINTHVHADHVTGSGMIKVRATPGHTLGCVTYVTGDDPDQPQPRMAENSVLLLSSVQIFTLPKDTFIYPAHDYKGFTVSTVGEEVLYNPRLTKDEETFKSIMENLKLSYPKMIDVAVPANMVCGLQDLESKAA >KVH93136 pep supercontig:CcrdV1:scaffold_51:469339:471379:1 gene:Ccrd_004848 transcript:KVH93136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP LEREEIGYNRKGLILDPQRNHLHLNQELFNSREEEEEGKEEEEDQRIQIGFHGFHQNHHQLHQNQEIPTSDHRVLHGGLGGPGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKTAIDELAELPAWKPTATTNATTATTTNSTSIADFEQNPDQQNANHHQLSHFEQHPDDNIVDNQMGNSQNSSFLPPSLDSDSIADTIKSFFPMGASSAPPNNISSGMQFHQNFQPPADLLSRTSSRSQDLRLSLQSFQDPILQNHHQTEQNNNIYFDGSGWPDNQSGGFQRMVAWGGVGGGDAVSAGFVFSSQPSPATPFLQPLFGQTTNNQLFNNNSQRGPLQSSNPPSFRAWIDPPPPFTGVAIDQQPTLAFHHPSSMSGFASGLGRFSGFRIPARIQGEEEEHDGISDKPKIAGFLNLDT >KVI03079 pep supercontig:CcrdV1:scaffold_510:9131:27639:-1 gene:Ccrd_018625 transcript:KVI03079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor biosynthesis, MoeB MEERAKSLALIAAGALLGSITATVAVLKLLPPRIATVQYSNGAKSNEMRSILKLKDCPLDILSQRVALPFLGEGKNASFLHYQLGEAVLSAPAAEGNSSLKAEMYEIDHADLLKDEIVSEQLTRNIQFFGFDAQQKVTTSYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRIDVGTPKALCLKKHFSSIYPECHIDAKVLLYDASSEEEILLGDPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRAVRQRLRRDHGIEGGIPVVFSLEKPKAKLLPFKGPNGDEENPSDYQVVPGFRVRIIPVLGTIPAIFGQIMASYVVTRLAELQVHMEPIVNFDMDHYRVLHQRLIEHEELIYGTSIQVQVDVEEVMYVAKELWRGRSARDQTTKVGRGMWRSVNELMLVRWDKSKPASASNLILLKFTEADEHESTTLEDLKEHEPEFFTRVTSTLKRAELDLAL >KVI03080 pep supercontig:CcrdV1:scaffold_510:196590:218534:1 gene:Ccrd_018627 transcript:KVI03080 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4151 MVAVCRLELAVDREEAMEAMEAMKRELEFQNPRSRAMDAQAKKALYRAKLKAQKQDKRIDSPLVRYNESDQPVCRVCDIVLKSESAWSAHQVSAKHREAIKNVKANAAAASRVNNAKSGASTEFDKPKAEVPAESYKVQSGPPQAKSQSVLPSDFFDKPETKRQKNEITNAKPMDNDKKKKVLGSAEPQVTYAIDGENKRGEVYSAKVVETMGEEIQASQTRALPEGFFDDKDADLRARGITPVKLDITDEYKEFEKSIQGDLKEVENRMEEEERKRLELKAARSGDRNGVPQVVEKESNRDESSSDEDSDDAVDWRAKHLSN >KVI03081 pep supercontig:CcrdV1:scaffold_510:73549:78107:1 gene:Ccrd_018626 transcript:KVI03081 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1115 MERSSRKHRDSDRERDKDLSKDYKHRSSKHNDDHHRSKHDKHRDTDGDDRRRRSERERSEDGEDRVKRERSYDREGSKDRELREKSVDNKRYHKRKERGGESDEEDRYYEARDKRIRVSDERKERRRFEDKVAAAEDERDREERKERRKFEDRVKKEDIDVVDDDEDQLPEGNLNGVLKSEPKDESYGGSNANGGALGSRIKAFGTPHQSPLAPSHPPSKVSSISTKHENEGVNSNRSHEVPGKSSTDGSSADAGKSGGISLDALSKAKATLLKRKELAEKMKKIPMLNKAAGLSTERSGQMSTKEGLKAPSSSGILPAPPQSVSSGAGTPTVAHNLFSGATASMQQLGTGLPQLAGLTAPKFEAVKRAQELAAKMGFRQDPEFAPLINMFPGQMPPEVTVQPKPAKAPVLRLDALGREIDEHGNVVNIPKLNNLSTLKVNINKQKKDAFQILKPELEVDPDKNPHFDPRMGIDKTKLLRPKKMTFQFVEEGKWSKEAEIIKLKSQFGEAQAREFKAKQAQLAKAKAEPDINPNLIEVSERIITKEKPKDPIPDVEWWDVPLLDSGTYGNITEGGLAEDILKKEKITLYVEHPRPIEPPAEPAPPPPQPLKLTQKERKKLRTQRRLATEKNRQEMIRQGLLEPPKPKVKMSNLMKVLGSEATQDPTRLEMEIRSAAAEREQAHVDRNIARKLTPAERREKKERKLFDDNNTLETIVSVYKINDLSHPQTRFKVDVNAQENRLTGCAVISEGICVVVVEGGSKSIKRYQKLMLKRINWAAAVKEESQDEDDDEEKPKNNCVLVWQGSVAKSSFHRFTVHECRTENAARKVLSDAGVGHYWDLSVNFSDEW >KVI03165 pep supercontig:CcrdV1:scaffold_5104:24966:25550:1 gene:Ccrd_018540 transcript:KVI03165 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding domain, CaLB MDALKTLSSLHCELRIKNATNIQPPHSNGYLFVRCYLSAGNNKRVRLDSRLVSPNEDFSWDESFSLDCIGTNQSMDMIIHGTIALELRWRSNTVALFGGSRLLGRSEVSWRGVFESPNMELETWVMMKSKKNVIKSPCVRIAMKIEVPSGVDLVERKRKNRWDESCGGCHGDCCSNNTCFDSELFAIGAALDAF >KVI03166 pep supercontig:CcrdV1:scaffold_5104:3492:24074:1 gene:Ccrd_018539 transcript:KVI03166 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold MAHCLKPCDNLFISSSTTVLSSKPKLKSLPFSLFSFKPSKIAHHFSSSSSSNRTQTVGSNACSFTRTTVEPSDVSQKQNVGKRTDIKKIMILGAGPIVIGQACEFDYSGTQACKALKEEGYEVVLINSNPATIMTDPEMADRTYIEPMTPESVEQIIEKERPDAIPPTMRCLKVAPLITKLEAIKKAEDRELFKDAMKNIGLKTPPSGIGTTLEECMEISKSIGEFLLIIRPAFTLGGSGGGIAYNKDEFETMCKSGLAASVTSQVFVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAVIREIGVECGGSNVQFAVNPEDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLFVGYSLDQIPNDITKKTPASFEPSIDYFPGSEAVLTTQMKSVGEYMVVGRTFQESFQKAIRSLECGYSGWGCAPVKELDWDWTELKYSLRVPNPERIHTIYATMKRGMKVYDIHELSLIDKWFLAQFKELKARNSSNLNTYEFYEVKKRGFSDTQIAYATNLIEKEVCLKRSYHPTYKRVDTCAAEFEADTPYMYSSYDFECESAPTKRKKVLILGGGPNRIGQGIEFDYCCCHASFALQEVGYETIMINSNPETVSNDYDTSDHLYFEPLTVEDVLNIIDLERPDGIIVQFGGQTPLELALIIQKYLDEHKPSSASGGRVRIWGTSPDSIDATEDRERFYAILKELQIEQPKGGIAKSEADALAIASEIGYRVVVRPSYVLGGRAMEIVYSDEKLVTYLETAVKVDPDRPVLVDRYLSDAIEIDIDALSDLHGNVGIGGIMEHIEQAGVHSGDSACMIPTKTISPTSLDLIRSWTTKLANTLNVFGLINCQYAITASGEVFLLEANPSASRTAPFVSKAIGWPWAKYASLIMSGMSLHDLGHVAVKEAVLPFEKFQGCDVFLGREMRSTGEIASGPKLPIFRTVFLSLNDLTKPQLGNFARVYLGLGFTIASTSGTAQILELDGIPVERVLKMHEGRPHAGDMIANGQIHLMVVTNTSDDLDQSDGQQLRRMALSYKIPIITTVSGALAAAEAIRSLKSSKIEMISLQDYFLEDRTQIDFSKYSDAKGTLARSRSYSWDDHIRFLKEVVEILTRFITAKHVTQAPYHH >KVI11172 pep supercontig:CcrdV1:scaffold_5106:20795:22963:-1 gene:Ccrd_010420 transcript:KVI11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNNWSYFVLQETSNQLTVITGQAEFRSNGLRRQPVAMMKDRDLLKDNGGESDMLEEKIQRLLLIDEDKHKANATEELEQXPSPKKMITTNGSVVSESNDKSMVQGKAGESEANTSFKQQIIDDGVRGRRDGKGNNSNSSSNRHNASKTPAYIKQVWKDDLNLGQLVISLFELFGEGIMSFIPAPEMSLFL >KVH91446 pep supercontig:CcrdV1:scaffold_5107:12286:25828:-1 gene:Ccrd_006531 transcript:KVH91446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF599 MIEGPVLIAFDQSAWLWFIQHPPPYIYKLGRREGTREVYVRREMEFKKYYLDLMMVPLSFMISISYHLWLWHKVRTQPLSTVIGANAHGRRLWVSTIMKDNEKKNILAIQTIRNTIMGSTLMATTSIVLCCGLAAVVSSSYSVKKPLNDTIYGAQGQFMVALKYVTLLVLFLFSFMCHSLSIRFISQVNFLINCPQDSTLTSTYVSELLEKSFTLSMIGNRLFYSALPVMLWIFGPVLVLLCSSTMVPVLYNLDLLFGDSKNGKMREMEFHKSYLDLILIPLSFSISIGYHIWLWHKIRTQPVSTVIGVNAHGRRLWVSTIMKDNEKKNILAVQTIRNTIMGSTLMATTSILLCSGLAAVISSTYSVKKPLNDTIYGAHGEFMVSLKYVTLLVLFLFSFMCHSLSIRFISQVNYLINCSQDSTVVTSTYVSELLEKSSALNAIGNRIFYSALPVVLWIFGPVLVFLCSLTMVPVLYNLDILNGDSKKGRTSDIGNEV >KVH25823 pep supercontig:CcrdV1:scaffold_5108:15055:17734:-1 gene:Ccrd_025870 transcript:KVH25823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVILSVRLPPSSTNSKTIPHSSFHELKDNSIVQLLELELDSRFRFVKKWQIVMGRQPILLITILQDIVINGGRCDNGSFKSDMYETVVSKMRERIRDISIRSKHMRNKIKQLKDKYFAAYDMLNTSEFGWNDANQCMTVKAPEILEEYLCL >KVH91513 pep supercontig:CcrdV1:scaffold_511:44765:50163:-1 gene:Ccrd_006464 transcript:KVH91513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactokinase MPIREACHVAVQRIQPGKQRLWKHAQARQQSKGQERTPVLQIVSYGSELSNRGPTFDMDLSDFMDAGQPISYEKAKHFFSQDTSQRWAAYVAGTILVLMKELNMRFESSISVLVSSAVPEGKGVSSSASVEVATMSAVASAHGKNIGPRDLALLCQKVENYVVGAPCGVMDQMASACGEANKLLAMVCQQYLHCMLLMIKINCLCYSVGGADYGSVRVGAFMGRKIIKYIASTMASQASSNSNGANPEEFGQHDIGLLKAEASVDYLCNLTPHRFEHIYAKNLPKSLSGEEFLKKYKDHNDSATVIDGKRAYAVKAATRHPIYENFRVKAFKALLTSSPSEEQLIALGELLYQCHYSYSACGLGSDGTDRLIQLVQEMQHSRSTPTEGTLYGAKITGGGSGGTICVLGKNNVQSNQHITQIQKSYQNATGYKPFVFEGSSPGAGKFGYLKIRSRVIPKQNKK >KVH91510 pep supercontig:CcrdV1:scaffold_511:25745:29962:1 gene:Ccrd_006461 transcript:KVH91510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSSSSSVVQSLDMAEEDNPHCPNYGELRTKMQNLTRSGSIGKALEIFHLMRNVSGKPTVYDYNSLINCYLKSNKVGLHDLCGLYFEMKRVELHPNASTFNTFLKGLSLLGESKVAISVIVEMCNYGFTPSFSCLSNLLKKCLDSMELVDGLRVLDLMLGLNYIPTEPKVILLINSLSRCGMTRDACVVFFKLLEIGNFQSPYVYNPILWSLCKSDQISGALAFFCSLKKKGLVHNVCSYTALVYGFGQKGLFKEASGCLRIMEVDGGCYPNIKTYTTIIKCLCDGGRIKEALCALGEMEKKGFDPDIVTYNIILRALSHKNLVLEIYNLYHTIYQKGLSPDNYTATAFSGLLKRGNIGIALNLLRDIVSSDSDVDVAVYNVYLHCLFRAREFKESLFMISCMEKDGIKPNNITFNTILKGICEEKTVDKALEFFERVEWPGKRPDMVSFNTVLSVACKRGDSIMVQTVLNLMEDEGVKLNVVGFTCLMQYFCNIGNINDCLKLFEHMISHGPHPSMVTINTLMVVLCKNRELGAAYQVFSNLKSYGLSPDARTYGILIRAAMNEGNNLLTLKLVEIALEMNLHCNGCIKNVKNCLLKIEDP >KVH91516 pep supercontig:CcrdV1:scaffold_511:100686:115853:-1 gene:Ccrd_006467 transcript:KVH91516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MKEQNHRPNHPMGLDNGHNKAAAGHPDKQFPSLSSSQPPPEFFLHHLFKQTPKMVIIFATVALSCLVLYHSSGDYNPFDAGEHPADSGINLGELKSILENATMDNNTVILTTLNDAWAEPNSMFDLLLESFRIGNQTKKFLKHLVVITLDQKAYARCLKLHPHCYNLSTNGMDFSGEAYFMAVDYLKMMWRRIDFLRTVLDLGYSFVFTFDKDADFQIACDYFKGNPYDLRNLPNGGFNYVKSNKKTVRFYRFWYNSRLTYPGLHDQDVFNKIKFNPFIKNVGLRIVFLDTGYFGGFCQPSKDLNKVCTMHANCCVGLDNKVHDLGIMLGDWRKYTRSLVNQRTMHESPVSWTVPQLCRGSFHRPRAPTKKDGRGRMS >KVH91507 pep supercontig:CcrdV1:scaffold_511:208449:216071:-1 gene:Ccrd_006470 transcript:KVH91507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MERYKIIKEVGTGSFGVVWRALNKQNGEVVAIKKMKRKYYSWEECINLREVKSLRKMNHPNIVKLKEVIRENDILYFVFEYMECSLYQLMKDRLKLFLETEIRNWCFQVFQGLAYMHQRGYFHRDLKPENFLVSKDVIKIADFGLAREIISQPPYTEYVSTRWYRAPEVLLQSPTYGSAVDMWAMGAIMAELFTLRPLFPGSSEADEIYKICSVIGTPTESSWAEGLELASTISYQFPEVSSFLSVVIIIFCQLNAFFSSKRVPLSALIPSASEDGVDLIASLCSWDPCKRPTALEALQHPFFQRCYYVPPSLRPKATTIGRTPPSGVSSGRRGVEQKCSNKRYPLPNSKPVGNIITTSGVQRRLEMNSNSNKQDGVKEKMNKKCARQAAAAAPPPKYQPPMKNNNPRGMYMGKGRGGVGMGETVEKLGNMTIGSARQQQQQQQQMMRMKGGNGNHVLFTGRSQGYSSKVAG >KVH91511 pep supercontig:CcrdV1:scaffold_511:31407:39367:1 gene:Ccrd_006462 transcript:KVH91511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:Projected from Arabidopsis thaliana (AT4G31300) UniProtKB/TrEMBL;Acc:F4JRY2] MDHDATADVNAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADSQIVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLSYSNKNMLQTGIIVGGWDKYEGGKIYGIPLGGTVIEQPFAIGGSGSSYLYGFFDQAWKDGMTKDEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLPLWHDELEAHDSLLDILNASNPEPMST >KVH91512 pep supercontig:CcrdV1:scaffold_511:37911:41549:-1 gene:Ccrd_006463 transcript:KVH91512 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like protein MASANSVPVGVSSGGNASSSCGYNVFVYGSLLADDVVRVLLRRIPQNSPSTLHGYHRFSIKGRVYPAILPVENKKVTGRVLLGITAAELDILDKFEDVEYEKRVVDVSLLDMSDALQAYTYVWANPDDPNLYGEWDFEAWKESKMKDFVNMTMGFVEEHDSKPRVATYESYYNNRHKE >KVH91508 pep supercontig:CcrdV1:scaffold_511:137492:139112:1 gene:Ccrd_006468 transcript:KVH91508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLRRKSSAADLLIAPPSLAATPNRSLTESPKIFNCSSSCFNTPTNSFSSLKEDSRSNNNSSKKPAAEPPTSSSPAPAMSSLNKASSRIADLKEMASSRIDSIKRQIDCSYIGILKDMEVSHSRLHKRYKILTGFGELNGRRNYKL >KVH91515 pep supercontig:CcrdV1:scaffold_511:84186:91186:-1 gene:Ccrd_006466 transcript:KVH91515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFQIDTKWEDVICPICLSFPHNCVLLQCSSYDKGCRPFVCDTDHLHSNCLDRFRIANGMLPGSDLTTEATSIANSVTTTAEATSRSSCPLCRGDVTGWVVVNEARVRLDEKKRCCEDEQCTFTGTYMELRKHAKVEHPHACPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPHGVVLGDYVIEYGDNDSGDEFEDFPGDDGNWWTSCILYQVFDNFRSSRNRRRSRVIESRRESRRLSYDTSNSDEGSVVSTEFAEYRADEAEDEFVTSSSISRGRTGHRRWASFSCVS >KVH91514 pep supercontig:CcrdV1:scaffold_511:67228:81894:-1 gene:Ccrd_006465 transcript:KVH91514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDGAEAASATGKRLVFAYYVTGHGFGHATRGVEVVRHLILAGHDVHVVTGAPDFVFTTQIQSPRLFLRKLVLDCGVVQADALTVDRLASLEKYSETAVAPRDSILATEAEWLKSIKADLVVIACLSFFTYVVPVACRAAADAGISAVCVTNFSWDFIYAEYVVVAGYHHRSIVWQIAEDYSHCQFLIRLPGYCPMPAFRDVVDVPLVVRRPQHSRKEVRRELGISEDKKVVILNFGGQTIGWNLKEEYLPPGWICLVAARILQDAAHGKNYRSDKVSGARRLRDAIVLGFQLQRAPGNDISIPK >KVH91509 pep supercontig:CcrdV1:scaffold_511:192887:199431:1 gene:Ccrd_006469 transcript:KVH91509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MLTCITCSKQQIEDGGEEVGARGTPSSKEAVKSLTAQIKDALKVSGSSKGKPPIGPSSYKKGQRAYPDFETISEGVNYPYMQPGSSSSTPAWDFTSNEPSGGYEPPRQSGHLVLEDEDEPKEWMAQVEPGVQITFVSLPNGGNDLKRIRFNREMFNKWQAQRWWGENYDRIMELYNVQRFNCQALNTPSRSEDGRDSTYSRLGSARESPMMTPSVNKDWNRNYYKSSDQGGNHQFNVGPSAYGGSGPKGEVSSMEASRTTTSSRDEASISVSNASDMESEWIEQDEPGVYITIRQLTDGTRELRRVRFSREKFGEVHAKQWWEENRERIQTQYL >KVI04422 pep supercontig:CcrdV1:scaffold_5110:31757:32931:1 gene:Ccrd_017265 transcript:KVI04422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYIVPTPPVLKYEPNYETICKHVDLLGDEVETXLGLLEKIYIALDHYSPVLQHYPGVLREGNLGYGILVSSVPKESKAFLSLRDTSEVRDFLKTQVKWKEHVDYKN >KVI04421 pep supercontig:CcrdV1:scaffold_5110:10452:26602:-1 gene:Ccrd_017264 transcript:KVI04421 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1605 MPCSAIFVHNYLRLSLNPLRTSSFQLRRRLTLSPRLVRPLPPLSSPALVLTCSSSSHVSSSNLVIKKTGNRDSYSSPYFYQQSLPYSRYAYDEYASEEESDREHLQSSPSLGASTLDNIEEWKWKLTTLIRNKNEQELVSRERKDRRDFEQISALATRMGLHSRQYAKVVVFSKVPLPNYRSDLDDKRPQREVVLSPELHSRVDYHLKSYLSQKSVLGDGYPNNVLPTSSTSSSLVIEEGLHEQNEPLRRSPVAEGILRRKSLQLRNIQQDWKESAEGQKMQELRRSLPAYKEKEALLNAISRNQVIVVSGETGCGKTTQLPQYILESEIDAARGALCSIICTQPRRISAMSVAERVAAERGEKLGDSVGYKVRLEGIKGRDTRLLFCTTGILLRRLLLDRSLRGVTHVIVDEIHERGMNEGAPSSPARTEGFTYPVRSYFLENILEMTGYRLSTYNQIDNYGQEKSWKMQKQTVRKRKSQIASAAEDALEAADLRDFNPRTRDSLSCWNADSIGFNLIENVLCHICRKERPGAVLVFMTGWDDINSLKDQLQSHPLLGDPTKVLLLACHGSMDSSEQKLIFNRPEDGIRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPAWISKASARQRRGRAGRVQPGECYHLYPKSVYDAFAEYQLPELLRTPLQSLCLQIKSLQLGSISEFLSRALQPPEPLSVQNAIEYLKIIGALDDNENLTVLGRKLSVLPVEPKLGKMLILGAIFNCLDPIMTIVAGLSVRDPFLMPFDKKDLAESAKAQFSSRDNSDHLALLRAFDGWKAAERQQSGHEYCWRNFLSSQTLRAIDSLRKQFYHLLRDGGLNKEKSVSLKTMEDGPVLLYSNSVNSQEPTIPYPWLVFNEKVKVNSVFLRDSTAVSDSVLLLFGGNVSRGGLDGHLKMLGGYLEFFMKPGLAGTYLALKRELNELIHMKLLNPKKDIGNDNGLLEALKLLVSGDRCEGRFVYGRKLPPASKSSGKEADKKRASTSGSVAEHDNSKNHLQTLLLRAGHQPPTYKTSQLKNNKFRSTVFFNGLDFVGQPCGGKKLAEKSAASEALQWLTGETQSSQRAIDHISTILKKSKTRNRAHTTRGS >KVI04284 pep supercontig:CcrdV1:scaffold_5115:24966:27080:1 gene:Ccrd_017405 transcript:KVI04284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MVQPLELRRTKMAGASPGAQVASRNSDDNKKGSGKFESTTEMIFEFLEESELSSGSSCNSGNSYDSGELEEEEENSCDSEENRSFWEAQEQLLTDHLFRTSSIESNIRKATKEIIKELKSAAVGCCDCGKTVADGCRRCFQREVSDRLRKLGEHTYIEVLDTSNSKKGVIRVIVELNFRAEFEMVKASQEYIRLISRLPEIYVGKTERLELLIKTLCSASKKCMKDRKMHIAPWRKLKYMQAKWHGVRESESLLSPEILSAVEHSSRLSRPMISLLTNTHNQTKL >KVH99463 pep supercontig:CcrdV1:scaffold_5116:30780:36444:1 gene:Ccrd_022303 transcript:KVH99463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF869, plant YCSDIPQIFALLLPVVLGFNIYFIFFISSQSGNQKYGGDSSCADAYVNTGLKSSASVRWRRGVGCGRGSPLRGVLAKQKVEQDSSRKSLNHTQSPEVTSKISSQNSEVNDSFKSLTEKLSAALVNVGAKEDLVKQHAKVAEEAVAGWEKAENEVTTLRQQLEAAVQQNLALEVRTNHLDGALKECVKELRQAREEQEQRLKEVIEEKTHEPELTKTELEIQLSDLQANKSKYPPPADPNILLKLETLEKENLALKFELSVQSEELEIRTIERDLSTQAAEAASKQQLESIKRVAKLEAECRKLQSLARKSPSINDHKAVSISSFYVDSLTDSQSDSAEKLNALDIDSFKLNKLEHNENEHGCSDSWALALIAELDQFKSGKCVAKNVPPSSVEINNIMDDFLEMERIASLSEGQNEICHCTSEIEDNSLKTELEVMGQRVYELEEKLQKLEAEKTELESALNATKDSLALSNAQLADTKTQMDGLQKELSLVNESKELLKSRLVNMETEARIMSAEVDSIKADIEKERRFSSEMTIKCQRLEKELARKTEEIKLHLAATSSGELKVKQDLEVAAADRLSECQKTISSLARQLESLATLEDFLIDTANLPGFSGGSSVPKTGLELWKLHSNDTFMPKKTLIPTKQTENNCSPSINSDDVESPPSSSSSTSSAVSLNNFGGHSKSKNSFEKLFSRSKNGNQSDSHQ >KVH99464 pep supercontig:CcrdV1:scaffold_5116:8143:23869:1 gene:Ccrd_022302 transcript:KVH99464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEINQNGKVPWVKTEYLAYVCTSNVRSASCFSTLLLLTTITMANLKADSPLCRRIVLSFLDFLNSVEPSSTSDVESLEVAKDCLSEAFKIDSSANRSVAKSDSLVQIFSSQTGHNEIKSDQIHEEYRPDTSHTSCTNNTVDTKIPATPECLDDIGRQDTRTIGSNMDMYEAIFKKCILSLTGVREDELFGQFFGALEKIHYFGSTANGDDEQALDRTTHLFHNALMEMKKSGCEEIDLKNLADTFKVQGNKAMQSKIYSEAIELYTIAIALRDDNAVYYCNRKRVGKHMANEKCYDGAAAYTQTKQYTEATCDCHQAIAIDPNYSKAYSRLGFTYYAQGNYRDAIAKGFMKALQLDPNNESIRGNIQAAEQKLKEEQQRAQRGQGLDEKKLVLMGKYNHGELAVAGSGSHSNQEHSGGGSRSHASVPPFTSMPFNVNGHPFDFGSMLRDMGQGRPGGSSNPTDEPGPRIGVNVGDQVPEEFYGTIRSVMQMFSDGTAAPHVNSQNNSNGN >KVH91269 pep supercontig:CcrdV1:scaffold_5118:8089:9846:1 gene:Ccrd_006712 transcript:KVH91269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-binding, type 1 MKITLLFAGIFLAGIFLPEPVTCQNCKCARNLCCSQYGYCGTGAAYCGKGCQSGPCSLRARVNNASIPGIVTLPFFNRIIAKSARNCPGRGFYTRDAFLKVIRDYPHFARSGSIDDSKREIAAFFAHASFETGYFCYIEEITGRSGKYCDKTNTKYPCNPRKSYHGRGPIQLSWNYNYGAAGKSLGFDGLNNPEIVAKDPVVSFKTALWYWMENAHWDFASGNGFGATIRAINRVECDGGDPKTVSSRVSYYTDYCKQLGVGTGNNLRC >KVH96890 pep supercontig:CcrdV1:scaffold_512:105867:115571:-1 gene:Ccrd_001016 transcript:KVH96890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSLVANGGDIAVGKLPVTSRVSSVYSEVQNSRLDHPLALPSVFAKPFKVVDGPSSSAAGNPDEIAKLFPSLFGQPSAVLEASETSDPGSALKIGVVLSGGQAPGGHNVISGMFDYLQERCKGSTLYGFKGGPAGIMKGKYVVLTPEFIYPYRNQGGFDMICSGRDKIETPEQFKQAEDTAAKLDLDGLVVIGGDDSNTNACLLAEYFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEQIGNVMIDARSTGKYYHCKLIVVRLMGRAASHITLECALQTHPNITLIGEEVAKIETEKMLIQMVETELEQRKQVGQYSRQFKGQSHFFGYEGRCGLPSNFDSSYCYALGYGAGALLQSGKTGLISSVGNLAAPVAEWTVGGTPLTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASKREEWALQNRYISPGPIQFTGPVADISNHTLLLELGVEA >KVH96891 pep supercontig:CcrdV1:scaffold_512:130564:131272:-1 gene:Ccrd_001017 transcript:KVH96891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFFIALGRRTQLFLYVNNFEAVPKPIEGLIRYLVGGSVIYYLQLSSISSYQLYVGQDVAVKILRSEHLNGALEDEFSHKHSKNGSITVLIWGVLPQPKSQIFTNSSACKLKLGDLKGAFLDADFALCEMND >KVH96892 pep supercontig:CcrdV1:scaffold_512:136298:146612:-1 gene:Ccrd_001018 transcript:KVH96892 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MGGGSVGGVIVPSVKSEPPEPSSDRLAVASSPPPPPLSQEPPTQLPAPDSALAVVEVEARGNDRASVDAAALDKDVLCPICMQIIKDAFLTSCGHNFCYMCIATHLQNKSDCPSCAQYLTTNQLFPNFLLNKLLMKASACQIAKGASPVEQVRLAFQQGCDVSIKELDSLLTLLTEKKRKMEQEEAETNLHIMHEFLHCLRRQKLEELNEVQNDLQYIKEDISAVERHRIELHRARERCSLKLRMLSDDSTVKTSWPSLMDKRNSSSMPMGNIVGSGSPQSRLPDLQAPVSSLALQRKDACSGSDSQNTQAGVTVARKRRVHAQFNDLQECYLQKRRHWAKQTQKQEERDSNPVKREGFHTGLKDFQSVLSTFTRYSRLRVIAELRHGDLFHSADIISSIEFDRDDELFATAGVSRRIKVFEFASVVNEQADAQLPVVVEMSTRSKLSCLSWNKYTKSHIASSDYEGIVTVWDVTTRQSVMEYEEHEKRAWSLDFSRTEPTMLVSGSDDCKVKIWCTRQEASVLNIDMKANICSVKYNPGSSFHVAVGSADHHIHYYDLRNVSQPLHVFSGHRKAVSYVKFLSNHELASASTDSTLRLWDVKHSIPLRTFRGHVNEKNFVGLTVNSEFIACGSETNEVFVYHKAISRPAASHGFSSDANEGEEPGSYFTSAVCWKNDSPTMITANSRGTIKVLVLAE >KVI06884 pep supercontig:CcrdV1:scaffold_5120:30017:35573:-1 gene:Ccrd_014761 transcript:KVI06884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase MASSLSTKPFLGALSSDEPSRFGSLRHSDLRSAVLRISTSRQSRPRTLGVGCVVDGCPPRIPLSEADLQVDLDRRRPGQSRITTPRKETDTCHIYSGVAEGFTTGSPIMIKVPNTDQRGKDYSEMSMAYRPSHADATYDFKYGTRSVEGGGRSSARETIGRVAAGAVAKKILKAYAGTEILAYVSQAHKIVLPEGLVDHETLTLDQVESNIVRCPDPEYAEKMIAAIDAVRVRGDSVGGVVTCIVRNVPRGLGSPVFDKLEAELAKAALSLPATKGFEFGSGFAGTFLTGSEHNDEFFMDEHGRVRTRTNRSGGIQGGISNGETIHMRIAFKPTSTIGRKQNTVTRDKKETELIARGRHDPCVVPRAVPMVEASVALVLIDQLLAQYAQCQLFPINPEFQEPLQMPTLEQSGISL >KVI06883 pep supercontig:CcrdV1:scaffold_5120:3304:8544:1 gene:Ccrd_014760 transcript:KVI06883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MASNHPSLEMLQEPSIDTDKLSYEIFSILESKFLFGYDDPKLWKPKQVSPQVSVTPTPVAVGDDNGVQAIKNQRGKICVLSIDGGGMRSILAGKALAYLENALKVKSGNQNARIADYFDVAAGTGVGGVFTAMLFGSRNNSSPIFKAEDTWKFLAEQGKRLYQPKSSSGSGRGEGFLKRILRGGNSSGGVTHATAGFENAMKEAFTVNGQSLTLKNTLKPVLIPCYDLSSSAPFLFSRADALETDSFDFRLWEVCRATTALPGLFEPVSMKSVDGKTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSFGTGQLLEGNYDYNQVKGWKAKDWARPMARISGDTSADMVDHAISMAFGQSRSSNYVRIQANGSDMGRCGVNVDSDCSPSNVKLLTGIADEMLKQKNVESVLFGGKRIGEQSNFEKLDWFAGELVLEHQRRSCRIAPTVAFKQATPKPI >KVH96711 pep supercontig:CcrdV1:scaffold_5124:10285:16715:-1 gene:Ccrd_001198 transcript:KVH96711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MLAVVSPLENNTTRDGRDQGAEMVGFSVGDDFPDFSDDNLLESIDFDDLFEGMDDRDMLPDLEMDPELLAGEFSLSGGEADSSEFNNNSVTSFSGEQKLEDLISRKEEEVSKRDERNEAVATNPARKESDRGRKSSSDKSKNSSQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIGCLTRHNIASHLQKYRSHRKHLLAREAEAASWSQRRQMYGGNTTIGGGGGGSGGGGGKRDMGPWVAPPTMGFPPMTPLPHYRPLHVWGHPSMDRPLMPVWPKHITSLPPTPPPPHSKESIDAAIGDILSKPWQPLPLGLKPPALDSVMVELQRQGIQKIPPTCV >KVH91430 pep supercontig:CcrdV1:scaffold_5129:24946:29691:-1 gene:Ccrd_006547 transcript:KVH91430 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSAKEVDGGGGDWSMKDIVAVDGGYEAAATTTTPANDAERTRDMQLQLSIAKSSSVFPGFRFSPTDNELISYYLKKKLQGSDNCVDIIPEVDFCRHEPWDLPALSIVRSDNEWFFFSARGKKYPNGSQSKRATQSGYWKATGKERNVKSGAVTIGTKRTLVFHTGRAPKGERTEWIMHEYCMTDTTQITSMKLLCIQESLVVCRLRRNSDFRLNESSRGSSDQRNLSAADNGNSGTNEYANTQIEWFDEANAVKTCSKESTSSYRSHSFEQNDSGSESERQLIHESPNGSSSQLKVRFLICLLTAIVASRENSSFSIQSFLYPFKHECDNEDDWFADIINDDIIKLDESASNSFTFHGVLSGNLPLLVHDPTKCNQQETISAHEQGTAFRRIRLRQQKGQTQDVNPDGDQDQKSSGCVISVLAGATNNVARFRSALVFLCLLMLFVFWQRDHLPSYSKQHLGINLKRQL >KVH91429 pep supercontig:CcrdV1:scaffold_5129:32150:33000:1 gene:Ccrd_006548 transcript:KVH91429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CFSVLKTKVLIGISGVRIPLHPLDHKRSRETYHNVITRTRVGRLLSVGYHLCSDKDLQLSKGQTRDDRRSSTDCSTKTMTSVGGLLSXSYHSCSDKDPQLSKGPPTTRITIKKEVYRYTVLCECDEEGMLIYSTGNDEPKTQDYYLSPIYPPLQTQYLEYL >KVI06882 pep supercontig:CcrdV1:scaffold_513:53011:58632:1 gene:Ccrd_014762 transcript:KVI06882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MGGASMKSLLMLLLSSSFFFSLVVARNQHALEEAIPNHYHNLQTTSFLPSSICTLSTKGDRKRGTLEVVHKHGPCSTFSKDMVKPLAVEDILSHDQDRVESIRSRLTITTDGKRDIRGSKATLPAKSGSTIGSGNYIVTVGLGTPKKDLSLIFDTGSDLTWTQCQPCARSCYAQQETIFAPSSSTTYSNISCSASECSGLTSATGNKPGCSASSSACIYGIQYGDQSFSVGFFAKDKLTLTSKDVINDFYFGCGQNNQGLFGGAAGLLGLGRDKLSIVSQSTREYGKVFSYCLPSRASYTGYLTFGKDRAASNAKYTPFSSSKGSSFYGLELQAIFVGGSKLAISPTVFSSSGMIIDSGTVITRLPPTAYSALSKAFRSQMTKYTLTKPLSILDTCYDFSKTTTVTIPVISMLWGGNIKVDIAAQGTLYANGIDQVCLAFAGNGDDSDIGIFGNVQQKTLGVVYDLPAGKVGFAPGGCA >KVH24770 pep supercontig:CcrdV1:scaffold_5130:12142:15301:1 gene:Ccrd_025871 transcript:KVH24770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSSSAPPPSSLSFSSSLSSSSENYRHLHYHRCIHYRIISPRFLFRCAITSASSVAAPKKKKIWRQGEYPGNVPENSSFDMKKRRTPIKNIKKKLDRKDNLNPWVKTVTEALSDSINTKQWLRALEVFEMLKEQPFYQPKEGTYMKLIVLLGRSGQPQHARKVFNTMIEEGLEPTAELYTALLAAYCRSNLIDEAFKILDQMKNLPFCQPDVYTFSILIKACVDASRFDLVESLYEQMGERSITPNTVTQNTVLAGYGKVGKFDQMEKVLLGMLDSSTSKPDVWTMNTILSLFGNMGHVETMEQWYEKFRNFGIDPETRTFNILIGAYGKKKMYDKMSTVMEYMRKLSFPWTTSTYNNVIEAFSDVGDAKNMEYTFDQMRAEGMRADTKTFCCLIRGYANGGLFHKVISVVQLAGKLEIPENTSFHNAVIYACAKADDLMEMERVFKRMKDQQCRPDATTFSMMEEAYKKEGMNDKVYDLEQEKQRMLNSNMIFV >KVI07964 pep supercontig:CcrdV1:scaffold_5131:13926:23227:-1 gene:Ccrd_013670 transcript:KVI07964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKQETMKQLFDKYEKRKKLEPLEAYVPAIILTEMQITELGKTLEANQPQYVACWSLLHAGPTSSLRMNIRAICYLGFKAFGVGGSNIGGGSPKSSSSPPFFSIVDLIL >KVI07965 pep supercontig:CcrdV1:scaffold_5131:75:6725:-1 gene:Ccrd_013669 transcript:KVI07965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin IHSTRFTIKNNCPFGIAPATLTGTGDSVTTGFELAPQASNTITMPVPWSGRVWARFQCSNNGGRFQCNSGDCGSGQVGCNGAGAAPPATLVEFTLSPAGQNDFYDVSLVDGFNLPVSVVPQGGIHSTRFTIRNNCPYRIAPATLTGTGNSVSTGFELAPQASNTITMPVPWSGRVWARFQCSNNGGRFRCNSGDCGSGQVGCNGAGAAPPATLVEFTLSPAGQNDFYDVSLVDGFNLPVSVVPQGGAXCPTTDCPVDINAQCPQELAVXDASGGTIGCKSACLQFNXPEYCCTGNNNXPETCPPTBYSRFFKNLCPKAYSYAYDDTSSTFTCGNGADYLITFCP >KVH95940 pep supercontig:CcrdV1:scaffold_5136:29035:30052:1 gene:Ccrd_001977 transcript:KVH95940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVRAPCFDKHGMKKGAWSQDEDNKLRAYIETCGHSNWRKLPKLAESSSSSSSSSSSYYSTISDPNESSPSSLSVSDANVTPKCSDEVAGSLWIDQFLWDKDHSIMLSSDKMFSPLGVGADDFIFSEDNAMDDVLLWSNLDLYY >KVI06691 pep supercontig:CcrdV1:scaffold_5137:11675:12058:1 gene:Ccrd_014954 transcript:KVI06691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNHFVFTIFLLLLTSLCFNIVARPINVAKSIDETMDIFSLAAIKHGGGPSAGGTGHEFPTVDYFGNIKNSGPSRGGKGHDFTDDTILGDIKNSGPSPGGKGHGFSDAESLGNIKNSGPSAGGKGH >KVH91419 pep supercontig:CcrdV1:scaffold_5139:25980:29814:-1 gene:Ccrd_006559 transcript:KVH91419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNGMSMALGILDESFPTFLDQTHNFPINHTDLTQHFQPDFPSVVNTDEAPSYDAYEHFGFDRMIADYVNTKWDHSNQFFEPPKEHSGFHTQMDSWVSSENNSSLSSDSNGWPSLNLDTDSRISGLLLMDNSKQDHFCNSKSMSSSRSDFDDDRQIQLLSQSHQSGSKRYLTMIQEILSEITTCFLGDVEKTSHKQMDLNDRFEGHNHPELRGLGVKAIRKHLLVLLQQLDPRMHASFALQTISLFRNNLRKRLRNRILAVGPDLNEIDPKESELSSLLRKHWAHQRLRGKGQQLWKPQRGLPEKSVSVLREWIFQNFLRP >KVH91418 pep supercontig:CcrdV1:scaffold_5139:18976:23052:-1 gene:Ccrd_006558 transcript:KVH91418 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase-like, 20kDa subunit DPVQTKQATPLFFFFFPRKQYPSPQTLFLSLRCEILFRVPMALLARQSHRLALLASSQRATASIHTTQPALAEGFSSPAPYSRPGPPSTASAEGLSKTAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKCRSQGG >KVH97875 pep supercontig:CcrdV1:scaffold_514:105055:112501:1 gene:Ccrd_000011 transcript:KVH97875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MDVRMNPESTVVDLIEPTIGEGIQTVSKNDVQASDRSSLCFSDTDVIEESNGRPSKKPKIEEKTLTCALPQGFLDPMNHDERSQSILEYGDSAKAAVPSMSKQFWKAGDYEGSPNNTESISHPDGMDHVRVHPRFLHSNATSHKWALGAFAELLDNSLDEVRTGATYVHVDVLNNERVTHNKMLLVKDLLDSLFFYPRVFISYMMTDNGGGMTPEKMRACMSLGYSVKSKLANTIDGNGFKTSTMRLGADVLVYTRCPGQDGRRCVFISWHLIIGLVSIGYPRLSLSHSHALCISNQIPLLSIFSSTQSIGMLSYTFLMETGKEDIVVPMVRHLTLFLYPCKLVDFEKRGEEWGMMARSSPADWKRNMETLVRWSPYSSEEGLLQQFDFLNDQGTHIIIYNLWEDEEGQLELDFDTDLHDIQIRGVNRDPKKIEMAKDYPNSRHFLTHRHSLRSYASILYLSIPNGFRIILRGEDVIHHKIVDDMMLTEKVMYKPTQPNADGTRKDQNLMVAVVTIGFVKDAKDHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEADFIEPAHDKQGFERTTVLSRLENRLVAIQKKYWFDSLSLDLIWPTINFDRSTNCQEIGYAKRCKSVSDTKCRPSMKDESDRHIAPTGIDTIDARVKLRPIRKLEASKFLECAQNDEIPEAYACTSREKQASTRRLPSEVATTNGDGHASTDYNGDTVAKLREENLVLRQRLEGSERVMINNLLSDLQYERDKVVSLENQNDVMLHGQVLKAQEKIEELDREQISLIDIFSEERQRRDHQEETLRKRWKEAMDTIEDLRGQIKVLESSRVLGSPGLNAGGLEKGGKFGGGYGKDGGCGKGDGGGN >KVH97867 pep supercontig:CcrdV1:scaffold_514:143063:151290:1 gene:Ccrd_000019 transcript:KVH97867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRWSCLYISISLLSILGTVTSFNSSSNIHFSLVVFSLPTQADAGFYKPPSCCQGLVSSEAKACGSNYEDLLICDQRGDHFDFKDLQMTVRDALNSALDEEMAADPNVFIMGEEVGEYQGAYKITKGLLDKYGPERVVDTPITEAGFAGIGVGSAYHGLRPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGPAAGVGAQHSQCYSAWYGSVPGLKVLVPYSSEDARGLLKAAIQDPDPVVFLENELLYGESFPISAEALDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEILEKEEGINAEVINLRSIRPLDRDTINASVRKTNRLITVEEGFPQHGVGAEICASVVEDSFGYLDAGVERIAAADVPTPYAANLERRAFPQIEDIVGAVKRDMLDMKDCYDSLLSAAAATANSAYEFSESLREMGDCLLEKTSLNDDEDSGRVLLMLGKVQFEIQNLVDHYRAHIARTITVPSESLLNELRIVEDMKRQCDEKRILHEEMKIRHKDKRRLGSSKGEYISSHQLRAAQEEFDEDATLFVCRMKSLKGGQSRSLLTQAARHHAAQMCFFRKALKSLEAIEPHVKLVTEQQHIDYQFSGLEDDDRDSVFLTDDEDDEDESDDDHYMHEDGELSFDYQRNDQKNEVSSSENSMEVMLHILYALLASECITYRHLFNLFLFLFLQLDSADLTFPQVASLNSVKENVRRKPLWNSSILDIELNAGSKSAPLSAMNNIEASETFRQMRQSSVRKLNTYVLPTPLEKSPRLDAQGPRPTNDNMWHSSPLEHKYEDILRKEKNSGPTVSNTHSVVKESNKSSKSDPCSSVRHAFSGPLAGSAQPNKSLSYASGPIGTTLQSSGSLSSISILRPASTTKLPLSSNSFVSSPKISELHELPRPPANLASKISLKAGFSAPLVTFKGGSDFNVINKSPASTLAVLPEAIQSIPTESQNFRRAESSNHR >KVH97863 pep supercontig:CcrdV1:scaffold_514:174653:189697:-1 gene:Ccrd_000022 transcript:KVH97863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MHFAKLDDSPMFRKQMQCLEESAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASTLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHILNDRLLQFVNIDLHDVKEARKRFDKASLLYDQAREKFLSLKKGTKSDVATVLEEDLHNARATFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQDYKRQIDRESRWSSNGSSGSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQSSKPSGSGSQISAQRNSSELGHGLLSRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLSCLILFQNLHNLICLSLQAESTLDQMDWIEKITGVIASLLSSQVPERCLSGSPMGSSHHRSASDSSSFESSDFDHTAVEEYASERPTYPNPGRLSRISHQLQSGPKPEKPIDVLRRVCGNDKCADCGASDPDWACLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVITLFQSLGNAFANSVWEELLQSKGAYKTELVPTGFYKSDKPQLLYFCKPSHSDSIAVKEKFIHAKYALKIFVRKPKDHSLVRQHIWEAVGGNDKKAVYRLIVNFEADVNYIYEQGCCNSSLTLAKAMLLQEQSTGGDDNNTGKSCSMVGGTSEGSEEYGMEGCTLLHLACETADVGMIELLLQYGSIINVCDSRGQTPLHRCILRGKAACAKLLLTRGADPQAANGGGKTPLEVAVNSNFRDNEVLSLLSVDSNGSLFDHFPKQENPVSKHKDSFPSATYHAHVHFSLFYLSNSFQQPFHQLISTVGSFSIYIVVKNGIFELRFFLTDSELYLGFVWVMFLITSLLFSMEKKSSKYIFLKFVFVLFHCLYNSCSVQCHGAAIKHIPADPPSPERTPEFRGKLQRVILSILLGGITGFGCAFLCACLVRCIFRYMKRIPILKGPVVFSPEISPKTLESAVANESQVLGSSPNGKYYMTLLDSGLTIAVKKLEPFETEAHQRKSVKRRIQRELEILASLRHRHLMSLRAYVCESYRLCLVYDYVPMGSLEDAMKRAKENELQLGWDARLRIAVGIIKGLQYLHFTLTPRTLHYNLKPSNVMLDADFEPRLGDCGLGKVMQGFNGRASGYNAPECIPNFSKYTEKSDIFSFGVILGVLLTGRDPSDPLFGIGEGSRGDMGMWFRAVLEAGDGREALDKSLLGEEMEEDEMLMAVRIAAVCLSDMPADRPSSDELVPMLTQLHILKPPQQMSTPSIKFKAAASSSSSSSSSDTLPQVDYNSMASSVFPAEACETVGGVACDVEMFPETKLREEAEPKAKVTTSQAVDREYVEYNNPKTVFIAEACDDLGGEFCDAAYQTEPN >KVH97883 pep supercontig:CcrdV1:scaffold_514:5660:8498:-1 gene:Ccrd_000001 transcript:KVH97883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEQGTPFMCPTDWPSSNSLLRYPKSHYYVNSSSKAQGNQFQKSFACLETASPDGEHVEDRPSVSLSELFHGFHMFRTPGKDLFITDLATPKLSTSIEYMMQNETGTTEDELKLVKEKLEKVLSVEGKEYDHNQLSWRNSFTYVGKSRHGSTTAYSGKPSATNNENGVANPLKHYVFGSEVELPETTIVKDHRTSLWELFQKSKKSQEKTEPQSNIEKQKEKKTDKSSMHLIKKILKRRTLHTPSQCSTAAPVGTVDSASADEKLHKILRMLHRLYPEASAISQKSQNMWKYVTGSNFTNQERYRNGNQMLPEDTIIFPYRPMPEKSANHTKSNMSDSTYGGGDTNWNRECWIKSDAESVTPKGKKVSLDRKQRGPIVGYHPLLEQIQIRVAYGLPDIGTVEARTVVWMDAALGLKDRKKFIYW >KVH97878 pep supercontig:CcrdV1:scaffold_514:76202:85134:-1 gene:Ccrd_000009 transcript:KVH97878 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF-like protein MRAPSSLSLGSSADSNLKEAWRSDGSEDKKDWRKTGIEAESGRRWREEERETGLLGRRERRKTDRRVDVGRDTPDNRAPPSDRWLDVGNRSAGHEARRDSKWSSRWGPDEIEKETRTEKRTDAEKEDAHGDTQAHASSTRVVSERDPDSREKWRPRHRMEANSSGPGSFRAAPGFGIEKGRAEGSNMGFTVGRGRSSASVVRPSSASSIEKNEGVPGKPGIYVDMFFYPRGKLLDIYRRQKLDPSFAKMPEKIEEIPSITQITAVEPLAFVAPDAEEECMQCSQAILGDILKGKISSSEVVDNSIKNVGSSENIAGNKDDALPPMEDMFDPNQNPSQTVADSTSRLDGQRTRTREGRDANWDQEQRVPEMVSQMDSYALKTRSNISITGTSHDEASNHDVADFTSMGHQHNDNVHPSSFDISSKLNDSNSLFATPSSEQYWTRDMQPSENSLNEHLTRGIQPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGADLPVRVADAPEEAPFLELGDVMPHLNTRHDYTTSNDPSPNLEISDGFEGNFDARVSVPAPVSEMGCQSALDNQHWQLPEFNGLPIKHAQARIPEHEGPLPLLYEGQNFHDEEIVFPGRPGSSDSSVNYMKNHTVPTDFTEPGIQNKSDNRSHPLGLLWSELEGSSLRNDKASKAPFTGGVQQQLVNPPSQRVSPFNAMPDCIHAADAWPDIYRRNALSDPNLYKDAMDARQLTHMDQEASDFDLSEKLRSHQIQQQLLQQHNLLASHPHLNDLMLDQVQGRGPINPQHLAGQTGQDLDRFLALQLQQQQRRIELHQQHQLNQQQMLLKEQQSRQLLLEQLAQNQMRDGRGQSRADVARSNNALDQIILKHQILNELHQRSQRHPQNVDPSIEHLVQAKYGQLPHQGHPNDLLELIAHAKHGQMPSLEHHMLQHEQFHGRQLPMGLRQRIEMEEERRLGSAWSLDETTQFLRNSGGLHRANSAGLSPLDLYKQQRLSPDELNHLERNLSLQERLQQGAYDPSLLSFERSMPGGGSLMNFDVNSMAQAQKLDMQEFNAPLHHANQVGGFSSGILSHQSQHPMVSNHFHPSHLGAMEGPWSESNGQMPNDWIESRIQQLHVDNGRHKREMEMRRISEDPSLWMSAGTNDDPSKRLLMELLHQKPDSQSNEILGVNNVGPFESAEPFGSYSAMNSNHSFNLLNQEVGLNHPFSVASYGPNSGTGQQARLVDETVLGLEGKDRMLSRSSSGVMHEESPFFSDVNESSQVAYSNSSMVGMSSIERGLFDVEGKRRLLKSEGSMVKGVAAETQEAIIQQCGDTDLDDAEMPNNIGRHASPAIGSGFLLSHVCRVTLTSKRSDNILLKRPPVSRASSSHEGLCELASDSTFRGKNVSSMSVPEGGREILTNQVSENMIGGKKDVRFRRTSSFSDADVPETASFSDMLKSNVKKPPLPDTHATASASEGGAVDGHGGKTGKKKGRKGRQIDPALLGFKVTSNRIMMGEIQRIED >KVH97865 pep supercontig:CcrdV1:scaffold_514:150178:150873:-1 gene:Ccrd_000020 transcript:KVH97865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLSFLLLKNSLGFKMKKGFKNLCSGDGSTSTLNQQINPDHHPTLEEMIMHLDLEEKMARRAKLEDYGDVHHRMSCVNSSDILRSARNALNQYPRFSLDGKDAMYRSSFRNFDHVNLTTRKSIDHGRWIGLPAKVAGERVIWCKPGVVGKLMGLEAMPIPVRLNHRMNSSILRKQNLRRRSSEMERRKLDSNRTCGVGSCSKPATGYCVMKPTAVEISRNEVGWPMRRFL >KVH97868 pep supercontig:CcrdV1:scaffold_514:134835:139766:-1 gene:Ccrd_000018 transcript:KVH97868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGAFWGTRVMEIVKKHDSGGLVWKRIKLTTTRKANAKKRILRVWQKDKQILSCLQCLAFSLFSLHLSSFVCNDSLDELESEDKLNKINAELMGSNLVLVKKSLSILTPPDRCLFLVRRSPSQ >KVH97881 pep supercontig:CcrdV1:scaffold_514:56186:60950:1 gene:Ccrd_000006 transcript:KVH97881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPPSTHCPSSSAYSNNSSLINGSNRLPQRTSFPSILRKRCRSTKLYLHQSSNGVSLSKAVHVQDGAKSMKASPVENDGPVKILKDGILSVPPVTPPEESIDIASFDFNKDKSTVSITVVGASGDLAKKKIFPALFALYYEGCLPEHFTIFGYARSKMTDAELRTMVSKTLTCRIDQRENCGEKMDQFLERCFYHPGQYDSQENFLELDKKLKEHEAGRVANRLFYLSIPPNIFIDAVKCASCSASSANGWTRVIVEKPFGRDSESSAALTRSLKQYLDEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQFIFAEDFGTEGRGGPLRLDDVVTGQYKSHTRGGVKYPAYVDDKTVPSDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHDRRAEIRVQFRHVPGNLYNKNIGTDLDLATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYAARLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEDKKIVPEYYPYGSRGPVGSHYLAARYKVRWGDVGSEQ >KVH97873 pep supercontig:CcrdV1:scaffold_514:114000:115970:-1 gene:Ccrd_000013 transcript:KVH97873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHTGKSSSFIGRHRWRATLDSPRSTFSPKLYHKSTLQLPPDHDIPPDHDHNSYSIPDDVDYDQLLLQIDRFIDELSTAEDKTTLPDIPHHLDTFCKIIKSKTRKSTRSGSAPRNGEMITDQGTFLYESVKRLWKLKTILAPNTPLLDKITKLLHRAMLFMEEELRSLIIIEPRPPPEPKLKVMSLRYSSFNTDRSCSLSEPPTKDDDFPGFSEEKTMRINKIVTTMISVGYKNECSNIYSMGRGNALYDQLKKLDFEKLNAEDVQKLNWDWLEADVSRWIRIIKHCSCVLIPAERLLGEKIFSEHLYVFRGLFSNLIRSVVTSLLDYAAAVAIRKRSAERLFKFLDMHEALNGLREATNDSSDETKDEQSCNDLNAEISSVIDRIGEGVVNMFGDLENSIRNDVAKTPVPGGAVHPLTRYVLNYVTCACEYGDTLEPIFQQNAKLNQATSPEEMEKSPMAAQIMSVMSVLDDNLAVKSTLYKDPSLRYIFLMNNGRYILQKVKGSSEVKKVMGDDWCRRRSSEVRHYHKSYQRETWTRVLQCISQEGIQVKGKVDKKVLKERLKNLNAMLDEIGKTQSTWVVSEEQLLSELRASISGVVIPAYRSFVGRYKHHLEGGVKSIDKYIKYQPEDIETLIESLFEGNLTSMSRRRFYS >KVH97869 pep supercontig:CcrdV1:scaffold_514:132910:134338:1 gene:Ccrd_000017 transcript:KVH97869 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein EIESGLRQTHLSSSPSSSSSSSSSSLYRPQLLYYKDTLILLLTYLHTHLSSSSSSLSLSLSLSLSLYIYIYRYIFISFLMVLKEMDNFGVLRLPPGFRFHPTDEELVVQYLNRKVHSFPLPASIIPEVDVCKSDPWDLPGDLQQERFFFSSREVKYPNGNRSNRSTLSGYWKATGLDKQIVSWRNKQVVGMKKTLVFYKGKPPSGRRTDWIMHEYRLAAASPEAQGMEKWVVCRIFLKKRGKKEEEKQEKNVQKGKSNPIIFYDFMASKRKGDLPLLSSGSSGITTNTNTNTNTSEDDEEEQSSSKCYDVSTFRRKE >KVH97862 pep supercontig:CcrdV1:scaffold_514:200068:217400:-1 gene:Ccrd_000025 transcript:KVH97862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-SET domain-containing protein MLECNEVLFPIKRFGHLPGIDVGYQFYSRAEMVAVGLHSHWLNDIDYMGQSYRKMEEFKGCTFPLAVAIILSGQNEDDLDNSEDVVYTGQGGNNFMGNKHQEMSCGNLALKNSMEQATPVRVVRGHTGGHHSFRLYTYDGLYKVSECWPADGFSGFVADKYRLKRLEGQPKLTRNQVQYSNGRSSRIPIKSPQLVCLDVAEGQEDVYIPAINTIDDATITGFTYTKYNQVASNLNLPPTAGGCECKGNCTNPKTCACARLNGFDFPYVRSNGGRLIEPKDVVFECGPNCGCGPGCINRISQQGIKYQLEVFRTSDRGWAVKTKDFIPSGAPVCEYIGELRRTNELDNVAENDYIFEIDCWQTMKGIGGREPELMGEDMQWPNDEEENLRRLGDVSESVSNELDDQGGLPEAEFCIDAGCVGNVARFINHSCDPNLFVQCVLSWHHDVKLARVVLFACDNIPPMQELTYDYGYELDSVVDNNGSVRMLPCHCGTSECRKRLY >KVH97879 pep supercontig:CcrdV1:scaffold_514:39684:42626:-1 gene:Ccrd_000004 transcript:KVH97879 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MGVIEDSAMLDASLQGSEGLDKQFLAAPVKSAVDKFQLLPEFLKVRGLVKQHLESFNYFVKTEIKKIVRANDLVTSRYDPSVYLRYKDVWIGKPSVVVDGVTDSLTPQKCRLSDMTYAAPIFVNIEYCNGSHGHNTHPQFKKDVVIGRMPIMLRSSSCVLYGKDEDELAKLGLYALEQNESYISLYLYAFEFTFINFS >KVH97866 pep supercontig:CcrdV1:scaffold_514:173705:175225:1 gene:Ccrd_000021 transcript:KVH97866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSTFTGNTYLHSTTIPGQPHVSFDHYSGYLTLDDSKHRAMFCYFVEAQTQARHYVPQLMLQFNKRHNLFNLKGIAVSNAILAEFFWLHGLISDSTYKLFSSTCNYSRLKVEEPVDVCVEDEIIKYLNRRDVQKSLHARLIGVNKWLVCSNILDHELLDVEIPTISILGELVNAGVTVFAYSGDQDSVIPFTGSRKSVHGLAQELKLNTTSSYRVWFAEMQVKFMETYYHLQPSEVHPMKLHFLIPRDRLCFSSHFWKADLCQKHSHDQLQLLMQKHSFK >KVH97874 pep supercontig:CcrdV1:scaffold_514:112043:113277:-1 gene:Ccrd_000012 transcript:KVH97874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MDPKFLLLLLLILLVSVSASPVLASHVMVTGSVFCDVCYNNSFTKLSYFLPGVDVHVQCKFRVNAPTTKEQMVFSVNRTTDRYGVYRLDIPSVDGVDCVEAPATPIQSFCEATLIGNSSVPGCNVPGASATSKKITLKSRSTSNLCVYSLTALTYRPFNNNFTLCANHQEDLNYNSSKFFLPYFPWPQFPPLPPLPSLPPLPPLPSFPPFPSLPPLPQFPPLPSLPPFPPFQFPPPSPLPQPPSLPYPPPNFPPFSRPPAFNPGDPRTLIPNNPSSNPSPPPPFNPSDPRTWIPQNPFLVPPPPPGFDLRDPRTWIPTFPPSPQSHQP >KVH97861 pep supercontig:CcrdV1:scaffold_514:197673:199292:-1 gene:Ccrd_000024 transcript:KVH97861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MALERLTLAPVLLDTADPLPNGAEDINKPPRLPRWTRQELLVLIQGKRVAENRVRRGRTAVLAFGSSQVEPKWSSVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWESQIKEEAESFWLMRNDLRRERKLPGFFDREVYDILDGGGPSSAAGDKSLVLSLAPSNDETENEAVFDSGRTAAADDGLFSDEVLGTPEKDTDAPTPTPTPTPISATHFEPFSPAAATTQDKSSIPNPEEAHEEGRKRKRPATDTDTNTNEVEMSIQNQLIEAMERNGRLLSSQLEIQNTNSQLDREQRKDHANSLFAVLNKLADAMVRIADKL >KVH97882 pep supercontig:CcrdV1:scaffold_514:63550:68160:1 gene:Ccrd_000007 transcript:KVH97882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease domain-containing protein MFRASNLSMTGEQSLVSNSPRSGEHRSTAEQHPKVRNEVKFGTMMGVFIPCLQNILGIIYYIRFSWIVGIAGISQSLLLVSLCGLCTFLTAVSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAIAGAIALVGLFFPAVTGIMAGSNRSASLKDTQHSIPIGTLAATLTTTTMYIISALLFGSVATRDKLLTDRLLTAAIAWPSSAMIYVGIILSTLGAALQSLTGAPRLLAAIANDNILPVLNYFKVPDGDEPHVATLFTAFLCIGCVVIGNIDLISPTTTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSASLFGACLCIVIMFLISWLFTVVALALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGNYHECAEDAKTACKQLAAYTDYRRCEGVAEIIVAPTMSDGFRGIVQTMGLGNLKPNIVVIRYPEIWRRENLTEIPATFVSIINDCIVANKAVVIVKGLDEWPNEYQRQYGMIDLYWIVRDGGLMLLLSQLLLTKEIFESCKVRVFCAAEEDSDAEELKADVKKFLYDLRMQADVIVISMKSWDHRIGGSLAQDESLDAFTEAQGRIKDYLKEMRERAEREGKPLMADGKNVVVNEQQVDKFLYTTLKLNSTIMSHSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRILIVRGYRRDVVTLFT >KVH97880 pep supercontig:CcrdV1:scaffold_514:51622:54463:-1 gene:Ccrd_000005 transcript:KVH97880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLICDSTTSSPVIPWKDPTAAPSSIDTIAAVDLSDEILNAATTTWDDVSGLEDQQKRHLQRLHAKGVLWKHPGNKIPNQCQNQNQEDDTSLPAAVVFRLSHGGDVEADGNCLFTASQKAMGLTEINAKDLRRRTVRRFLEDLGSESGMERANIDAAIKHMYAPDLRSGWGIHVVQEVKLLAKKVDRESLDAAIEELVNLGMQRELAAESIYKERCIAVDNGQNWAKYMSISGSPDDEYNIITLQYTEEGLLTVDENREGHAAAFGDDIAIECLATEFKREIYVVCCXXLLSTCIFFKCVFKCLTWGLMVLVLVQAHGSDAMVDEDNCVFFLPHRPRSEICGPPFFLFMKGTGWCGAGGDHYEPLIAHSSSVVSQEKVALVL >KVH97860 pep supercontig:CcrdV1:scaffold_514:218397:223524:-1 gene:Ccrd_000026 transcript:KVH97860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHKSFANGTLDVSVTKKFGQRVQKKIVSQESPVNKRSSPRLREIPDSKRPYYGPTRKRHSFSTQLHENDAVADNRASQRKPKYSPTICLPNLSQSEIKTTSCLATKINSLGHEKDVGDGESNTPISGLPTPSKRELETYHSAAPSPATEGVASYVVHTRNDKARVKKTLRIYDKYYLHFFQEQNACESNGKQLAKHLDLKKDGMRSIKRPDMKAISK >KVH97871 pep supercontig:CcrdV1:scaffold_514:122979:124510:-1 gene:Ccrd_000015 transcript:KVH97871 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MEVFNVDHPLVFVFGLLGNIISTGVYFAPLPTFIEICKRKSTMGFQSFPYVVSLLSALLWLYYAFIKEGDTFLLISINSLGTFIESLYIIIFLLYATPNTKKQTFKGLSATLVFCLVISLGTLFTLQGETRVLVVGWVCVGISIAVFAAPLTIVFEVVRTQSVEFMPLPLSCFLTLSAMMWFAYGMSLKDICVTVPNILGFILGVVQMGVYAYYKKVASVSDKKPKDQHMMNILSANSEVHPVDSGRSSEADDDVVAAAVDDNEENKKEECVLVNVKQQSLDHIQLVICAT >KVH97870 pep supercontig:CcrdV1:scaffold_514:129844:131525:1 gene:Ccrd_000016 transcript:KVH97870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYTSLEIIDNSPSPVFILRLNTPRRSNALSSQFFQEFPAALSSLDQNPNVAVIILSAKGNNFCAGFDLKALASLTADIHTGSDPARSAERSRRHIKFMQDAISAIEHCRKPVIAAVQGACIGGGVDMITACDMRFCTEDAYFCVKEVDLAITADLGSLQRLPGIVGYGNAMDLALTARTFSGSEAKAMGLVSKTFGSKADMDEGVGAIAEGIAAKSGLAVIGTKRVMITSREMRLNEGLDYVATWNSALFLSNDLKEVAAARAQNRKPSFSKL >KVH97876 pep supercontig:CcrdV1:scaffold_514:92242:94610:-1 gene:Ccrd_000010 transcript:KVH97876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGPFFRSYLNQQPSRSARSVPVHQTQVRSSPKVISVPVHFVSSEQPPTTLSMSKPSAAIKIQRLFRGFLVRKSVKKITSIRNEVSEIEGRIDDIEVADLIRRDAKERLRVNETLMSLLFKLDSIRGFDCGVRELRKAVTRKAIAIQEKVDSIANQTLDSPNDDINLDNVCTSTSEQDTKTSGFPDKVVDGDGDGDGDLIKEVADDCNLIKAADGRGIEDGRNTSPANDSSEDGKEDHTASMEVHEDTGGNCKNGSRELTVKLMADNEKIMKLMMQISERNEMQTRMINSLSRRVEQLEKALMTENLRRKKKTRVALKRRDGIHFLEAMEDGICNCSQAKGWAPTGVRYKKVYDILDYLYVASKEWV >KVH97885 pep supercontig:CcrdV1:scaffold_514:18521:39656:-1 gene:Ccrd_000003 transcript:KVH97885 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MSPLGECPLDPGGYFVVKGNEKASVMSSTEMTKSKTIIRMEKEKVYLVLNAFTSKVPIMLVMKAMGMETDQEVVQMLGRDPQYATLLLPSIEDCASIGIYTQLQALEFLEKKDEGALGVLRDIFIPNIRVGGIKSPVRENNFHLKCVYVVVMLRRMMDAILNKDAMDDKDYIGNKRLELSGQLLSLLFEDLFKSMNDQVKFNVDKILSKPSRSSRFDVSQHLLKESITVGLERSLSTGNWDVSRFKMHRKGMTQIVTRLSFIGAFGSMTKISPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEEEGPIISLCTCFGVEDLSTLSGEELHSPSSYLIILNGLILGKHRRPERFANVMRKLRRAGKVGDFVSIYVNEKQRCVYIASDGGRVCRPLVIADNGVSRIKDHHMRELKDGVRNFDSFLREGLIEYLDVNEENNALIALYEGEATHETTHVEIEPFTILGACAGLIPFPHHNQSPRNTYQCAMGKQAMGNIAYNQSLRSTVSLNEAMSDGYLDLPFGLSSTAATDDENNRAAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKKISAAYQKYDNTSDQFSSPCRSQHDQIQILDNDGLASPGEIIRHQDVYFQKECPIDPKGSMKSHPSQTPYRTSKQTYKGAEGETAVVDRVALCSDKRNNLNVKFMIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADKVEDISETLVKHGFCYNGKDFLYSGITGMPLQAYIFMGPIYYQKLKHMVLDKMHARGMGPRQLITRQPTEGRSRNGGLRVGEMERDCLIAYGTSNLIYERLMISSDPFEVQVCRKCGLLGYINYKSKTRVNFKNISRFLLS >KVH97884 pep supercontig:CcrdV1:scaffold_514:14324:15947:-1 gene:Ccrd_000002 transcript:KVH97884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSLALAPGSNPDPEETTRQPPQQTVVGGIMGSLRVIELQLVAFIMVFSASGLVPLFDLAFPAFTTIYLLLLSRLAFPNSSTTRHRSEIIFQGNRLFRAYVILGTTIGLFLPLAYVLGGFARGDEHAVQSATPHLFLLSCQILTENIISGLSLFSLPVRALVPMLYTVRRIFVVLDWVQDVWLNKTLPVNAEIKDVAWFWFGRVLAVANLGYFSINLFGFLIPKFLPRAFDHYLKQRKEMERKMGEEDKQFEDKKSN >KVH97877 pep supercontig:CcrdV1:scaffold_514:68962:74711:-1 gene:Ccrd_000008 transcript:KVH97877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MATSITSLVETINPSSLIPKKLGKSTLLSSFHSRSLRLASSSKRSIERKSGSLVSSQEVLLQSKVTTKVFFDISIGNPVGKLAGRIVIGLFCMFVDHPFPVYASSSCLLFLSGEKGFAYKGSAFHRGMGGKSIYGRTFKDENFKRPNTNEVNSSYAPQWYASFPITLQFLFQYVEIGHHSVLYYALD >KVH97864 pep supercontig:CcrdV1:scaffold_514:189538:197439:1 gene:Ccrd_000023 transcript:KVH97864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDNDQNSVKPKKDGSLWFDLRISSTKEQVAGLDQTDLLKDVVWNPKKKRMKKKKGVKRKRKSEVKKNPRERERERESRVQESMMVGAGMAISWEDQWEVIYPSALNILTRLGSKVGAPLASHPDVHKIYKDYLFLIIFSLQFAGAVPLFALKPTKPPILRFLLKFTNTQSSSSSSNSMDHSFKDRVDKIFGSISSSSSSSSPWSLTDAQVERRVWNRDKGDKRDDDQTLVSSSFNNFFNCQRNRLQDDEWDIRSFIGLDATLDNEEEEDAYDKMAQGREDAGDRLYMKDVTDHGPYLNSHNILPSSLHDVKRDPRANHDAANTRLKEDIDAVGENPVSSQGIDEVMLNAEEPCATVTASDDGIRIKSILKRKNDDVVKPAKRVRFDSTCKNDDELTNGTQLQGFGLPKVSGSVPDYILNPSKYTQYSIDEFSHDANTEAYLDFLEQVKNSKDVKSTGEMPRSVLFIPKKKKADDEAACNNNKQSSTKAEASCGVVIAAVAVQEGEISQEMQEEADDAVCTKARSQKPGRRQYRTKMGADDNIC >KVH97872 pep supercontig:CcrdV1:scaffold_514:118187:121435:1 gene:Ccrd_000014 transcript:KVH97872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGCFPCFDSREDEKLNPQKVRADRHEVHPSAPSNISRLSSGVDRLKSRNNVSLRRDSSGPKDSPDGQIAAQTFTFRELAAATNNFRPDCFLGEGGFGCVYRGRLQGSGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLARPLFNDRRKFTSLVDPRLEGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQGYDPTTTTAAGPGGSKNERRERNGRRSEWDLEEKEESPKESARMLNRDAERERAVAEAKMWVENRRQSAQGTFDANNA >KVH24344 pep supercontig:CcrdV1:scaffold_5140:20830:33112:1 gene:Ccrd_025872 transcript:KVH24344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARDKDINSWLKQSDSKVLIICGMGGSGKTTLAEYIVSSNRQHFEIISFLKDMGSIHQKQSNIDVLIQQFAKDIVGEGKPQSLDLKTDSLTKMDNLKLLHLNDVHLTGSYEDFSEDLRWLCWHKFNLRAIPSGLFFKSLVAIDMRDSKLKVFELPIVLQLLKTLNLQSSVYLSAIRNIYRLPNIETLILCQCYELVDVCETIGDLMNLALLDMSECRKLFGKRASTSGGGSRQQTSFSLPHSLVWLSLRDCTLDVTEHFSPRFSIQSKLQYLDLGRGWFESLPSYNHLKHLRILILTLSRRLKWLLCLPSALAELYVYDCHSLKKITFESHRFTLHEFGYENCAQLSEVEDFFKLVPVARLDETDLGHLKWLKXYQHHEVFLVGDDQFIENRRQRIQMLYEFGILSTXLPDIKDPNIIPEYISESTSMSFEVPSSPMGKRLKGLNLTFKFTILSGPDCAWFAKIHTNNGVDLMYNPKVFGDPGNGEAGIWLSYWPIGSQLLVGDEVNVSVIVMCDIWKVHECGASLVYADADETMEINMPRIETLGRDLSAFKLMTGAYYLCRRDFFNLMEVGRLAPGWLSILVGDTIDDREVRGWRKTGRPKLPFNPSRIDWSGPSLTEGSETMEGLALDMQLLWNDWHGSKVLQSLKTLNLQGSQSLSEIRNIYRLPNLETLILCHCYELVHFCESIGGLMNLALLNMIGCKSLPHIAASHRRLEASTSHGGSTQQSSLSLPHSLAWLSLRGCNLECSENFPLSFNVQPKLQYVDLGGGWFESLPCYNHLENLRVLDLTLCRRLKWLVCLPSALXELYVYFCYSLEKITFESHQFTLQEFGYQGCIKLSEIEGFIKLVXVAKMDVTDLGHMTWLKEYQNHVVSLVGDDKLITTGRSKRIQMLYEFGIMSTSLPDIRDPNIMPTYISESPSLSFEVPSCPESMRLIGLNVCFKYTISGREWTWFAKISTTNXVDYMYNPHLFGDPGVGKVGIWLSFWPIGSKLVIXDKVNVSIIVMSGVLEVQEVGASLVYVNDDXTLENNTQWDLSAFQLTTGAFYLCRRDLFMLMEVGRLTRGWXSILVGNNIDDTEVRGWRKTGRPYXLDPSFMELQSHGKIQDCNVYIVFYRQIYGLHFKHTHRDKDISEYMHLHLVMYSSIEAGLQYFPTLILHHDHGGDHCLPIEARDFNPQVLEKNGKFYCSDIPRI >KVH93612 pep supercontig:CcrdV1:scaffold_5143:27246:33276:-1 gene:Ccrd_004336 transcript:KVH93612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTTTTTTSTTTGPFSTNQFNSRIIRHSLPQLTSERKSRTFSLRCALFPHERRESRRLVSISLVLFHCVSISRDAMAGSPFDNYVKRKKLEPLEAYVPAIILTEMQITELGKTLEADQPQYAACRSLLRAGPASSLRMNIRAVAQYANEVGNDKTASNDVDQCLSLLQTVPTDVLEKCKAIADAYNAPEEDFAAENLDPNLKQLQSIL >KVH93613 pep supercontig:CcrdV1:scaffold_5143:25069:33123:1 gene:Ccrd_004335 transcript:KVH93613 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, alpha/beta subunit, N-terminal MVTIKADEISIIIRERIEQYNREVKIVNTGTVLQVGDDIARIHGLDEVIAETQWKRTREIETNRLLSRLSWGNNAHLKLKVLDFLSDVSCGRL >KVH24264 pep supercontig:CcrdV1:scaffold_5144:28746:33480:-1 gene:Ccrd_025873 transcript:KVH24264 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MGDQGFAYTLDEALTTIGFGNFQVIILAYAGLGWVAEAMEMMLLSFVGPAIQPEWGLSSSEESLISTVAFAGMLVGAYSWGVVSDSYGRKKGFLGAAIVTSGAGLLSAFAPNYISLLILRCFVGVGLGCGHVFTSWFLEFVPTPNRGAWMVVFSVFWTVGTIIEASLAWWIMPTYGWRWLLGLSAVPSLVALLFYGLVSESPRYLCTQGRLTEARYILEKGADFNHKELPVGLLVSDQIKDMASNGQSSETTELLSSMRTNDSSRRSTSSILMLLSPKLIRTTFLLWFLYFANTFSYYGIILLTSQLSTGQSECDPPTLQLENIKDPSLYINVFITSLAELPGLGIAALILDRLGRKISMEIMIVAGFILLLPLVVHQNPIMTTGFLFGARMFISASFIVVCIYAPEVYPTNLRTRGVGIATAIGRIGGMVCPLIAVGMGSGCHQTLPVILFEVTILVSGISVVLLPFETKGKELADNIDLPVQHVLQVQ >KVH24188 pep supercontig:CcrdV1:scaffold_5146:18867:20657:1 gene:Ccrd_025874 transcript:KVH24188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEKPVHASWVFRSTIHWSFRVLVLAVVLGLMIFWAIDSLDVSDFHKDFLVKFDVNLVKGLKNFSTTNGSDVSINFNLTNQENQDFAGIQEVSPIKPLNDTTDLVNVTGKPPAPVILKWVSAELDPDYSSNLLARWLTPGGEPCKDSSTEDIFIQGLDNLDHQIDLWAGDRHEYVIQALDNSGRPRCLGGDYFETDLSGDAWKSRPPIKDFGDGRYSFSLQVHPDFVGDYNLTIILLFRHYQGLKFSPERFAFDKVLRVFPITFKNSNSSHRLPEIRRCKKSDYTRDAWAGRWTRHGKNDECMISKDGRYRCLQRDYPCKHPWCDGSLGSVESNGWVYSTHCSFKLFDAKAAWRCLNNRWLFFWGDSNHCDTIRNMLNFVLNVEIATVPRLFDMNITNPKNPGQSVRITSVFNGHYNHTGNYQGLNSLYNDAYRDYLKKYFSGEIVPDTLIMNSGLHDGVYWPNLRKFTKGAQDAAAFWAEVLGGVRRRKVVVPEVIYRTTVATGGYARRLVFNPNKMEAFNGVLLDKLRQFGVIDHVVDHFDMTYPWHFDNRCNDGVHYGRAPAKMRWRDGQIGHQYFVDLMLCHVLLNVLCAR >KVI11805 pep supercontig:CcrdV1:scaffold_5147:6123:9667:-1 gene:Ccrd_009778 transcript:KVI11805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin 6, N-terminal MLVANSFDLWQKDIFFSAAEEVQASADIMESAYRTWVRQKREGLASTDLDELCRELQTALATAKWQLDEFQRAVRMSYRNTVDDVRTTRHEQFVSAIASQIAVAEAALKEYFSDEVRKPLRWVNLDEEECDDLAMFLSGCPGSSKSTKDSESDINDSLKKDNLIPNYMASCNDVNNEGCVNRSYVVEMEPIEVLGTSDGSSGQADKKASSRRTWGSRDVGPLKITIDHDNEQKKPLMLNIESTSKDKGLVWRPRCGNYWINQIFRRAAGSQRQLQTSIHLQKSCSFRLMLVLMLTIFLLGKFFIQIFSSEYMIAQMIRLGITRTTVVLFSNSMSAASCVLSSYIAYKQPFIAINFYEPMRSLVQVESINSAIRNAFQLSTILANSRQQDKR >KVI11807 pep supercontig:CcrdV1:scaffold_5147:4944:5843:1 gene:Ccrd_009777 transcript:KVI11807 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein Rad51, C-terminal MRPDSLLLRPANTQYCTLGCPILDAFLGGGIPCNSITELVSESGCGKTQLSLQLLFTAQLPLHLNGLSGSSLYLYSEFPFPNRRFNQLLESFRLSHPILFASSRDPRDYIFTRDCQTAQDLLDVLLLLESRLEKPKETRLDIKLIVIDSIAALFRSEFENNPRDLKRRSSLFFKISSLLKSHAKRFGIAIVLTNQVVDSIEDNEGSSGIRVGNLEWLYTSGRRVWPALGLSWANCVNSRLFLSRYEVTEGVENASPDADYIGFVNRRKTRELHVVFAPHLPYSTCEFVITREGVSGVAR >KVI11808 pep supercontig:CcrdV1:scaffold_5147:2102:3017:1 gene:Ccrd_009776 transcript:KVI11808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLIPASIATQQICSYSLQLLASLATAG >KVI11804 pep supercontig:CcrdV1:scaffold_5147:22519:24006:-1 gene:Ccrd_009779 transcript:KVI11804 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MKEIWTTMASLMGVWAFSQTLLHAVFPPELRFIFIKLFQRLFNCCSSYCYYDITEIEGVNTNELYNAVQLYLSSAASSSCSPASSSRLSLTRGLNSSAITFGLSHNDRLTDVFNGVSVVWEHIVTPRQSQTFSWRPLPEEKRGFTLRINKRDKPYILESYLDYINGKANEIRRKNEDRLLYTNSRGGSLDSRGQPWESVPFKHPSTFETLAMDPVKKAEIMSDLRDFADGQAFYTRTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLELTEVQTNLELRKLLMKTSSKSIIVIEDIDCSINLTNRKKTNGEGGSEMRNGPGGLGGPGDPENSNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVFMSYCSFPSLKILLKNYLGCSPADVETEVLRRLEEVTEAAEMTPADISEVLIKNRRNNRKALKELLQALKAKAEKNKNGLRRIEATEEEKRAVEGGGGAAEERWMGSG >KVI11806 pep supercontig:CcrdV1:scaffold_5147:30304:35134:-1 gene:Ccrd_009780 transcript:KVI11806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yip1 domain-containing protein MSQSERDTTPLNQSSQSDIDEIENLITASVQFGPSTVLPARPPSPTRASIPVSTSPFIPSNLPAPPPKSIAVPAAPPFPSNPNRHDNAGPSRSNLSGFGPPPNTLTEPVWDTVKRDLLRIVSNLKIVVFPNPYREDPGKAMRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDMGALICMMKDNVIMKMMVVCLTLGWSCWAAYPFMSTAVNPRRKALALYPVLLMYVSVAFLIIAID >KVI03771 pep supercontig:CcrdV1:scaffold_515:216488:218370:-1 gene:Ccrd_017928 transcript:KVI03771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MILEQFPLSIFLLTLFVFSIQPHFCLSASQESPTIYQILKSNGLPMGLFPKGVTNFSFDDSGRFQVYLDQACNAKFEDELHYDRNVSGNLTFGQIGELKGISAKDLFLWFSVKEIWVDVPSSGLIYFDVGVVSKQFSLSSFETPRDCLASPVPKILSRKLEHDEPNNQEPSREVL >KVI03786 pep supercontig:CcrdV1:scaffold_515:186224:186967:-1 gene:Ccrd_017926 transcript:KVI03786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MDEFRGNHIPAFGSWDCNNHLPFTQCFESARQAGFIGYGYGYPQDRDLYVAGDLYENNVVTPAMIVVPRRRRKAPMREEKKDAWVMCDYDYVYGYGGKEEPPAVTEQTTTENVRVIKPKAVDEDLYKISPELLRRTPRRVKLFPSLLLTSCLTL >KVI03770 pep supercontig:CcrdV1:scaffold_515:233782:238891:1 gene:Ccrd_017929 transcript:KVI03770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MPSAAISKSWWFFYFNSFVGLLNLAFAGDPFVYYDWTISYTTASPLGVKQQVFLLQILQFKQLGFLGKSIGHQFGPKKFVWRNGLQHRRNSWQDGVLGTNCPIPAGWNWTYVFQVKDQIGSFFYFPSTNFQRAAGGYGGIIINNRDVIPDLRKDVENGVDLGSPDGKTYRFRVHNVGITTSLNFRIQNHNLLLVETEGSYTVQQNYSNMDIHVGQSYSFLVTMDQIASNDYYIVASPRFVNSTKASGVAILHYSNSQNPASGPLPDLPNESDPSSSMNQARSIRMNVSAGAARPNPQGSFKYGEITVTDVFLLQNRPPELINGKRRTTLNGISFFAPSTPLKLAQQFNVLGIYKLDFPNRPMNRPPIVDTSVINGTYRGFIEIILQNNDTTVQSYHLDGYAFFVVGMDYGVFPGAWTALLVYLDNAGLWNLRAQNLDSWYLGQEVYLSVVNPEVTEKTELPLPENTIYCGVLSSLQK >KVI03773 pep supercontig:CcrdV1:scaffold_515:46100:48488:-1 gene:Ccrd_017912 transcript:KVI03773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDFFLNAGLQFPASQPAWKLMTLMPEMNFPVDQSSADGVCGPNWEKPDQYSHFESALNSMVSSPVSGSTVSTDIMAVRELIGKLGSIRSGCGSLSQAAMTAAVPPSSSPLNSSPPPKLNLPIMDHFVKESIPIIGNSMPLNQNLPLIAADPGFAERAAKFSCFGSRSFNGRTNELGLNRNNPEFQLGSSVSPMTGNLKFPRVSSSPALRIDRSPVGFEDCKKNSEESNMKSMCLDKKFSRFSSSAANSNEESSVSEQIPSGEFGFKNQKDSNSRKRKGGSTKKEEDAIGDSNAKRSKKPLENGGKTEENTKAIEAPKDYIHVTGKAPMLDEIINYVQSLQKQVEFLSMKLATVNPSLDFDTININQSNNNHSHQIQSTFYNQNPQTIFNGSVHEFTEPFPQFPGFGQDDLQSIVQMGFGENVDL >KVI03788 pep supercontig:CcrdV1:scaffold_515:160575:165674:1 gene:Ccrd_017924 transcript:KVI03788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLARRCRRSLQCLQPVVSQLQPARAFVGSAPPNRRRSKFTPAALRKTDDKSEWWVVDGEMHEIGENVPPRERFVIPRDNIPNKRRKQLREQFMRRTRLVLKESEHEPWCKKYMELYQELRENWERIYWDEGYSKKLGQERASYDSAEDDDDDFSPYRRKQHHHEETKNHAFGRNGQGDTMEKVGMIRDKFEYDRERRMRDKAFAPMKGGMQFTAPDSPSRNQPFDAKRYLSSNPAMVTYMTNPVVHKGTNASD >KVI03776 pep supercontig:CcrdV1:scaffold_515:30176:34731:1 gene:Ccrd_017911 transcript:KVI03776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MVNLWITCASSISILLIAATVVSAYSRDVTELQISVQHKPTSCTIQAHKGDKIKVHYRGKLTDGKVFDSSFERGTPLEFVLGSGQVIKGGATLIFDTELIAVNGKRPGEGDNGNGEL >KVI03779 pep supercontig:CcrdV1:scaffold_515:97718:107013:-1 gene:Ccrd_017915 transcript:KVI03779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEKDPTDVLKKELKILVQAIIESDAENGFAENIDRAIQILQTLKKGGNQQSSSSTVCPQEFNCPLSKYIMTDPVIVSTGTTYDRSSIQKWLKEGNRTCPVTQQLLSNTDLTPNHLVREMISQWCKNRGVGYPSPERSWKEDWLTEADYDLLLSLLKKLSSSTLAEQKEAARALRSLTKRLPSFREYFSESIESIPQLLTPLTEPKIDPDLHQDLITTLMNLSTHETNKQIVAETPMVIPILLDALRSGRMETKSNAAATLFTLSALNSNKSLIGKAGALKPLIDILDEGHSLAMKDVASAIFNLCIDDENKGRAVENGAVRVVLKKIEERVHVDELLVILALLTSHYKAVMEMVDLGAVSCLFTLIKETNCERNKENCIAVVHRICLRDGSKWKEMREEESRYGTISKIAETGSSRPKRKANGILDRIRIRRSRTTFPWSRSVGSKDLNPNQNVVGLSFRPRTPGFRNLRYCSVMTFFFPHLFPLASVPQIDPMNHRDTILLVPFTIRLLDQPKQARYRPEIRHLHHCLIVAHSLKYARKDGSRFVNERKARAASFCSAKVDDDSFLRSDRRRSISFLKMNSGGNSSTRNQILDFWPAKIPKPNQYTEVGVMTQAEGTLYICLLSLTRTPTHHHHSFFFKTHTSIRFACEDSLKFQHRESDKYSRKNTITLCSTFLGNHTCDKTIVTLLGRSSSKENQNLQRFMRVSLMAETGISNKDLIGDLKSELQRLVESIIEESPSEDETGFALNVDRAIQTLQTLKDVKKRENQRSSSILEPHNRIVGFYPQEFNCPLTKNIMRDPVIVSTGVTYDRCSIQKWLKEGNRACPITQQLLPSPNLIPNHLVQIMISKWCKNRGIRYPSPDHPPLLLALLKTITSATSDAQKEAAWALRSLTKRLPSFRAHFSEYTEFIPQLLSPLTQSEIDPNLHQYLITTLMNLSIHETNKKTVAETPLVIPILLNALRSGTIKTKRNAAATLCTLSALDTNKSLIGKAGALKPLIDLLEEGQSLAMKDVASAIFSLCINDENKGRAVENGAVRVVLKKIEERVHVDELLVILAMLSDHQKAVVEMAELGAVSSLFLLIKETKCEQNKENCIAVVHRICLKDENTWKEMREEEGRYGTISKIVENGSSRAKRKAKGVLDRINMRRAHTA >KVI03780 pep supercontig:CcrdV1:scaffold_515:109423:115576:1 gene:Ccrd_017918 transcript:KVI03780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MEIGGRSGMEVEIGEDDVNSTRWRSKGGGAYQRGKDEGSEKTTSTAHDGRESLTDQLIRRRSRTILSPPILASATTCRPPFRSSLSPFRFRIPFFCPKDLEREKSTYSEGSVMAKGVSSAAVQAEQLKIDGNMYFKKNRFGAAIDAYTEAITLCPDVPIYWTNRALCHRKRNDWTRVEEDCLKAVQLDHKSVKGHYMLGLALLQRQNFTDGIKALEKALDLGRGAHPNSYMVEEIWQELARAKYQEWERDSTKRLSDLQKLEESCEIALKEKHLHDVSQNEGSTDEVPDSTSEQLAALKLVFKKAAETDTPREASLCFLFVPDHLCCKITLDIFRDPVITPSGLTYERSVILDHLEKVGAFDPVTRESLYPWQLVPNLAIKEAVEAYLEKHGWAYKMD >KVI03778 pep supercontig:CcrdV1:scaffold_515:81520:82485:1 gene:Ccrd_017914 transcript:KVI03778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MAVFARTKRVSDRLDDRVKDSIVGRHRREPVYVSSGSEHSATGSGGRNEDSSSSPHLSNLLHCFLEQSEGEEGGGGGGVGCNSDADDDGKEYDSDSDLDCESDRTDAIVEIVVSMLRKQNVDRFRSVLLANVLKGMEIFHSLRPNKQILHRNLMLFLQNVGYNAAICKTKWEGCGGLTAGNYEFIDVVRSDSGVRYYIDLNFAGEFEIARQTNHFDRLLQILPTVFVAKSEDLKQMVKLMSDAARRSLKSRGLLLPPWRKNRFMQNKWFGPYRRTVSYTPTNMSSASAVPANSTPSTVKCRSVGFNAVSNTPLFPAATRTR >KVI03768 pep supercontig:CcrdV1:scaffold_515:6206:8985:-1 gene:Ccrd_017909 transcript:KVI03768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGFIFFIPLFCLLFSPSSAEIAAKPGVNYGQLGNNLPPPSKSVEIIQSLKAGRVKIYDANPKILQALKNTNIQVSIMVPNEKITAIAANQSLADDWVNSNVVPFYPATKIRYLLVGNEILSQPDNVTWFNLVPAMRRIRQSVVRFKLRKIKVGTPLAIDCLEASFPPSSGKFRSGVSESVLKPLLQFIDRTKSFFFIDVYTYFAWISDPINIKLDYALLEPNTSTYTDPVTGLLYTNLLEQMLDALYFAMKRAGHPNTRLFIAETGWPNGGDYDQIGGNIRNSAVYNRNVIKRFTEKPPRGTPLHPTVVLPSFIFALYNENQKTGAGTERNFGLVYPNGTNIYEIDLSGETSEFKKSLPEPTNNEPYKGKIWCVAARGVNKTALGGALTYACGQGNGTCDPIQPGGKCSKPDSLYWHASFAFSSYWAQFRKSGGSCYFNGLAVQTIQNPSLVIFSFLPYPCLVFGFGVFGCFLCLAR >KVI03784 pep supercontig:CcrdV1:scaffold_515:124661:125386:1 gene:Ccrd_017920 transcript:KVI03784 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOMON domain-containing protein MAFLHRQSPFCVFVIALTFLVLLTSPASSLDCSSQKFANNLYANCTDLPTLKSSLHWIYISKTSSLSIAFIAPPPKPDGWIAWAINPTQTGMAGSQALIAFKDANGSMTVKKYNISSYSSIVEGEISFEVPESRAEYSGGSMKIFATVKLPETMTEVNHVWQVGGLVTEGRPTKHEFQPANLKAVGKLHLKLAEKEQNNSTTAGSPIVSPISSPSPSTSSASCNTKMYVYFMLIIGALISN >KVI03787 pep supercontig:CcrdV1:scaffold_515:167113:182306:1 gene:Ccrd_017925 transcript:KVI03787 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAUS augmin-like complex subunit 3 MSGARLCSLLGELGYEGHGALDPDSFEWPFQYEDARPILDWLCSSLRPSNVLSASELSQYEQFIQDGKLLEGEDLDFAYESISAFSTRRDNQEAVFGAEEGLKDIRDSTLAYKAEALELQRQLGHLQSQYDMLSTQSSALIQGKRARVASTSTVNGLLNTIDDSLSARNLEMNAVLGRLASTAQELAHFHSGDEDGIYLAYSDFHPYLLVDSSCMKELNQWFVKQLDTGPYHLVAEEGKSKCSWVNLDDISNTLVRADSQKSQHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILLALKGQVTSDEAHIHLDLHSLRRKHVELVGELSNLHNKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYIQRQKAFISHLINQLARHQFLKLACQFEKKTMLGAYSLLKVIESELQGYLSATKGRVGRCMALIQAASDVQEQGAVDDRDTFLHGVRDLLSIHSNVQGGLSTYVSAPGLVQQISSLQSDLTALQSDLDNSLPEDRSRCINELCTFIQSLQQLLFASSTTAQPILTPRTLMKELDEMEKVNARLSAAVEEVTLEHCKKNEIVKHHSQEMGLQRQVFVDFFCNPDRLRNQVRELTARVRALQAS >KVI03769 pep supercontig:CcrdV1:scaffold_515:2888:5043:-1 gene:Ccrd_017908 transcript:KVI03769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF760 MTPRQIISQCPIIAPKSLSSLTSLTIYTIRLYLSIILINPHFSQYFQLFNYCWMEYCLPSSFNLHNRLIIVSRSLPSPLSNHRRLQAFSGFSGVKRGRSTVVVASATNYGGFGTGGGSYCEFSSLNTPIQPGTPEGRFLSSVLLNERKSFHEAVAETLEKVVVELDEAYARRIASAESSEACLHRRISEVKGRECKNAIEDIMYLLILYKFSEVKVHLIPRLSKCVYNGRLEILPAKDWELESIHSFEVLEMVREHLTSVIGWRADFSVTDNWATTKIKRADLCQIYTASILFGYFLKSATLRHQLEMSLANPIDDMFLNSGNHLPLPELYKFRRRNLVFGHTHTHNTVSTSPFDGKRKQEKLSSYLMGFAPDSLATCAEPNSMEALRLITKHSFALFGDVESDEIISTSFATLKRFVLEAIAFGSFLWDTEEYVKTVYHLEENKNK >KVI03774 pep supercontig:CcrdV1:scaffold_515:66694:68419:1 gene:Ccrd_017913 transcript:KVI03774 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MEASRTTLINKYALACALLASTNSILLGYDIGVMSGAVLFIKDNLKVSSTQIEILVGSLNVCSLIGSFASGRTSDWIGRRYTIVLAAATFFIGALLMGFATNFWFLMAGRVVAGIGVGYSLMIAPVYTAELSPAVTRGLLTSLPEVFITIGILLGYIINYALSGLPSHINWRLMVGFAAVPAVGIAGGVMFMPESPRWLVMKGRVGEAKNVLRKTSDSEEEAHVRLEEITKAALDVVACDPRADTFRGQGVWKEFLKPSPPLRRILIAAIGINFFMQASGNDAVVYYTPEVFKAAGIRHRKQLFGVTIIMGLAKTSCVLISAFFLDRFGRRPLLLLGSMGMAISLAGLGLGSRFLEHLNHKPTWAIGLCVVAVCADVSFFSIGLGPITWVYTSEIFPMRLRAQGSSLAVSVNRLVSGIVSMTFLTISNKITFGGMFFMLSAIMVVATVFFYFFLPETKGRSLEEMGTIFEKKDTEGSKVIEVSEK >KVI03785 pep supercontig:CcrdV1:scaffold_515:209426:209965:1 gene:Ccrd_017927 transcript:KVI03785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IBH1 [Source:Projected from Arabidopsis thaliana (AT2G43060) UniProtKB/Swiss-Prot;Acc:Q9SKX1] MSPSQQPSSHNPTCLIKNRFAHRFVRALNNLNIKNRSPHDENQIYRRSHRVKIAAYAAMASVVGSKRAWSRAVLWKVRNRSRNQGLLVSSRRKRVDCKSKTSNDHHAKVSVVRRRNPNPKREDLDPFRYSGQELKLRKLVPGAATMDSCCLMDETADYIKCLAAQVEVMKTLVDFYTTT >KVI03775 pep supercontig:CcrdV1:scaffold_515:23095:28804:1 gene:Ccrd_017910 transcript:KVI03775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MYITLSQNKPFHFTLMKKMANLSVSSSMKGISILILLIAATLVSAKSGDVTELQIGVKHKPASCAVQAHKGDKIKVHYRGKLTDGTVFDSSFERGTPFEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGDRGSPPKIPGGATLIFDTELIAVNGKGSGEGNIDNSEL >KVI03782 pep supercontig:CcrdV1:scaffold_515:139274:144005:-1 gene:Ccrd_017922 transcript:KVI03782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVLSKYSKRVLLKTILDRADGGLGLVEQRVVVGGWVKSSREMRKNPPPPPTTTQPPVGGGGGDAKEDAGGKDVKCVEIMVDSSIAPPSLLMPTGTCILAEGILQKSSLQGKRIMELKAEKLLHIGIASHAFFQNQGFVHVEVPILTAMDTDGFSEKFQVSTSSVSAKEPKREEPVSMDDTANVSLETIKLSIVEKSKKVEELKRSDSNKEALAAAVHDLQKTNALAAELEARSKSKSKPKPKSKSKSKSSEFFSNEAFLASSGTLHLESCASALGNVYAFGPRFQADKSESKKSLAESWMIETEIAFSELEVVDKTFETKIRWGVALTEEHESYLVNEFYKKPVIIYDHPKELKPFHVRLNDDGKTVAAFDVIVPKVLNERSFLVWNFRDFRICCRINELGLQKEQYEWYLDLRKQGTVKHSGFSVTFDVMVLFATGLNDIQDAVPFPRNHGKLHN >KVI03772 pep supercontig:CcrdV1:scaffold_515:151126:155969:-1 gene:Ccrd_017923 transcript:KVI03772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF159 MCGRCRCSLRPDDIPRSCNLGNRPVRFVDTDRYRPAYNVSPGSNLPVVRRALGADGEEVVVQCMKWGLIPSFTKKTEKPDYYRMFNARSESIGEKASFRRLVPANRCLVVVEGFYEWKKDGSKKQPYYIYLKDERPLVFAALYDSWKNSEGEIQYTFTILTTSSSSALGWLHDRMPVILGNKGSTDEWLDGHSSSKFDSLLKPYEELDLVWYPVTPAMGKPSFDGPECIKEIQIKTNETKPISMFFAKSTKTENQSEPYIITAHKKPAITEKPQSLKEEPATVDCIDHQSHSDKVCDESKSNVSTPTSVSDTSHLKREYDEYRKPSVDEADKQYPSPAKRKAIKSAGDKQKTLFSYFGKG >KVI03777 pep supercontig:CcrdV1:scaffold_515:102957:103508:1 gene:Ccrd_017916 transcript:KVI03777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MISQCCKNHGVRYPSPERSWKEDGLTDANYDLLLSLLKKLSSSTLAEQKEAARALRSLTKRLPSFRAYFSESIESIPQLLTPLTEPEIDPDLHQDLITTLMNLSTHETNKQIVAETPMAIPILLDALRSGRMETKSNAAVTLSTLSSLNSNKSLIGKADALKPLIDVLEEGQSLAMKDVASTI >KVI03781 pep supercontig:CcrdV1:scaffold_515:119692:121593:-1 gene:Ccrd_017919 transcript:KVI03781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e MAVPKLDKKIVKKRVKKFKRPHSDWKICVKENWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >KVI03783 pep supercontig:CcrdV1:scaffold_515:132849:135022:-1 gene:Ccrd_017921 transcript:KVI03783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MEEGEAGEEYLFKIVVIGDSAVGKSNLLSRFARDEFDLHSKATIGVEFQTQVVEIDGKEVKAQVWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFESIKRWLGELNTHCDTAMATMLVGNKSDLENIRDVSVEEGKSLAEEEGLFFIETSALDSTNVTTAFEIVIRAIYDNVRRKVLNSDSYKSEVSLNRVSLVKDGNTKQNYCCSK >KVH23833 pep supercontig:CcrdV1:scaffold_5153:28086:28722:1 gene:Ccrd_025875 transcript:KVH23833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAGLKCVAIFVMVALALQLADAQLPGLQNLINISGSISCSLNGSVIVNATTPTXPFPNALIDVSCGGNVISSAITNGSGMFGITLNPLQFPLSNXLSPNCXVRVVTPLSNCNTTLPSTGSLQSPLQFIGITVRGLLNVLNLVPSTFQLIGI >KVH91276 pep supercontig:CcrdV1:scaffold_5156:9192:22870:1 gene:Ccrd_006705 transcript:KVH91276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-binding, type 1 MKITLLFAGIFLAGMLLPDPVTSQNCNCARNLCCSQYGYCGTGADYCGKGCRSGPCSLPAPINNADVSRIVTWGFFNRIVAKSASNCPGRGFYTRDAFLKVIRDYPQFGRSGSIDDSKREIAAFFAHVTLETGQLLWDPIQLSWNYNYGAAGESLGFDGLNNPEIVARDPVVSFKTALWYWMENVHWDFASSNGFGATIRAINAIECDGGNPNTVSSRVSYYTDYCKQLGVETGNNLSQYGYCGTGADYCGKGCRSGPCSLPAPINNADVSRIVTWGFFNRIVAKSASNCPGRGFYTRDAFLKVLRDYQQFGRSGSIDDSKREIAAFFAHVTLETGQINGRSSKYCDDTNTKYPCNPRKSYHGRGPIQISWNYNYGAAGESLGFDGLNNPEIVARDPVVSFKTALWYWMENVHWDFASGNGFGATIRAINAVECDGGNPNTVNSRVSYYTDYCKQLGVGTGNNLRC >KVH23832 pep supercontig:CcrdV1:scaffold_5158:12146:14637:1 gene:Ccrd_025876 transcript:KVH23832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type SASLYEHETLTIHPQLPSLSPVLLSEPTFSTQKMGAFSRFIAHLYTLFLVFFTVLFLELVILVRSVVGTIGYAAAADDLPMTTAQYLKLIEEKNPASRYRASGVESKECSVCLSVLEDGDEIRRLKCKHTFHKGCVDKWLEQDRATCPICRRLVLPEAIVVRYSQRRQIQPHRRRREFYGGSDEELILLLTSLHGRHRQVKEGFLMMQGLKICTISLLATY >KVH98809 pep supercontig:CcrdV1:scaffold_5159:25887:26572:-1 gene:Ccrd_022963 transcript:KVH98809 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC type transcription factor, LHEQLE-containing domain-containing protein PRYTHISDYKSLCVNFVELKEQLKSGLHLKPYEVQHRLQLRIGAQGKYLQSILEKACKALNDQTITTAGLEAAMEELSELAIKVANDCPLSVTPTPSLTQIATHTENEHPPNSTARLMDHSIDSCLTSTAPPVGLSSEAAALKKRQRAMFTQAEWMAANIG >KVH98810 pep supercontig:CcrdV1:scaffold_5159:20638:23760:-1 gene:Ccrd_022962 transcript:KVH98810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MEGLEDERRLSLGLAIVIDSGRKMKRKRKEVFRASEIVNGVSEGKILSLLETREMMLKKENKRKEANNNGEDGKGLHLIHLLLVSATSLDENKIESAVENLKELYQDVSLNGDSVQRVAAYFADGLAARLLTRRSPFHEMIMKEPTPEDEFLAYIELYKVSPYYQFAHFTANQAIMEAFEREEKDNNRALHVIDLDIAYGFQWPSLMQSLSDKATTGNRVSLLITGFGRTLEHLEETKARLVSFAKTFRNLNFEFEGKLRSCSGLRSITKKKNETVVVNSVFYLNSLHNFTHISETLKSIHILNPSIVVLVEQEGGRSPRTFLSRFMEFLHYYAAMFDSLDDFLPLDNPQRLQIEKNHLGKEIKRLMDLDDDESNSPKYERMETWKGRFENHGFSGMNLSSKSIIQAKLLLKISSHYCPIQFGGENGGFCAFEREEGNAISLAWQDKYPLMS >KVH98834 pep supercontig:CcrdV1:scaffold_516:228883:233602:1 gene:Ccrd_022943 transcript:KVH98834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold MGVKIHFRKHHVVLDNDIVQLKLTNPGGFVTGVKYNNIDNLLEDHNDESDRGYWDLVWSSAGNLGTTGTFERIEGTSCEVIVETDDQVEVSFSRTWDSSLEGKHVPLKIDKRFVLLRGSSGFYCYAIYEHLEGWPGFNLDETRIVFRLRKDKFHYMAMSDDRQRYMPLPDDRLNDRGKPLAYPEAVLLVNPVEPEFKGEVDDKYQYSCETKDLKVHGWISTDPAIGFWQITSSNEFRTGGPRKQELTSHVGPINLAMFVSAHYAGNDLVIKFGEGEQWKKVFGPVFMYLNSTTSMGEDPLTLWNDAKNQMLDEVGKWPYDFPASKDFQQAHERGTLSGRLFVHDKFISDENTPAIGAYIGLAPPGDPGSWQRENKSYQFWSKANDEGHFSIQNILAGSYNLYAWVPGFIGDYKNPTTITITPGCEIEIGDIVYDPPRDGPTLWEIGIPDRSAAEFHIPDADPIYTNQFLVDDPNRFRQYGLWERYTTLYPDSDLLNDVSKNGTYKLRLALASAQVSDLQVRVNDPNKELPLFSTGIIGGDNAIARHGIHGLYWLFNIDIPGTNLNSNGENAIYLTQEIIGTPFRGVMYDYIRLEGPPPSQSISHVCI >KVH98832 pep supercontig:CcrdV1:scaffold_516:185752:192766:-1 gene:Ccrd_022941 transcript:KVH98832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold MPCIGVQLQIDDHHVMIDNGILQVTLSKPEGIVTGIRYNGLDNVLEGLNNESDRGYWDVVWSALDGSGRTGVFEVFILLRGCSGFYTYAIYEHLGSQEWQPFSLGETRVAFKLRKDKFHYMAVADDRRRYMPLPDDRSPGRGQPLAYPEAVVLVNPVEPDFKGEVDDKYQYTCENRNLMVHGWISNDPPLGFWQITPSDEFRTGGPLKQNLCSHVGPTCLAVFVGAHYAGDDLVPKFGEGEPWKKVFGPVFIYLNSLMDGSDPLTLWEDAKRQMTVEVKSWPYSFPASEDFPKSNERGNVYGKLLVRDRFVNDNDMPINGAYIGLAPPGEIGSWQKECKDYQFWTETYENGDFCINNIRTGVYNLYAWVPGFLGDYRNDVTITITPGCQINVGTLVYEPPRSGPTLWDIGIPDRSAAEFHVPDPNPQYVNKLFLDQPHNNFRQYGLWERYGELYPEGDLVFTIGDSDYSKDWFYAQIPRRKADNSYEGTTWQIKFKLEDVQQTAIYKLRIALAGASLAELQVRVNDRNKPRPLFTTGLIGRDNAVARHGIHGLYWLYSVDVSGSLLLEGENTFYFTQPRAQSPFQSIMYDYIRLEGPSSNSKSIV >KVH98829 pep supercontig:CcrdV1:scaffold_516:144604:146388:-1 gene:Ccrd_022937 transcript:KVH98829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVSIKQTHEGFCSFHCVHRKSFRSHRARIRNSVAVGTGSHGKLNDKNGFLNRKIKRVSTVSKSQTFRPNGRAINGEKTLQLNGINEANTRRNFEEFENNNHLRRMIRNGDLEEGFKYLENMVYRGDIPDIIPCTSLIRGFCRSGKTRKATRVMEILEESGAVPDVITYNVLISGYCRAGEIDKALNVFDKMSVAPDVVTYNTILRSLCDKGKLKEAMVVLDRQMQKECYPDVITYTILIEAACKTSGVGQAMKLLDEMKTKGCKPDVVTYNVLINGFCKEGRLDDAIMFLADMPSTGCQPNVVTHNIILRSLCSVGKWMEAEKFVADMLRKGCSPSVVTFNILINFLCRRGFLGRAIDILEKMPQHGCTPNSLSYNPLLHCFCKEKKMDRAIEYLEIMVSRGCYPDIVTYNTLLTALCKDGKVDFAVEILNHLSFEGCSPVLITYNTVIDGLSKIGKTGRAIMLIDEMKQKGLQPDIITYSSVVSGLSREGKVEEAIEFLHDLEGLGVRPNVLTYNAVMLGLCKARKTDRAIDFLEYMVSKGCKPTEGSYTILIEGLAHEGLAEEALELLNELCSRGVVKKRSAEQVVVKL >KVH98830 pep supercontig:CcrdV1:scaffold_516:150503:159523:1 gene:Ccrd_022938 transcript:KVH98830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASDYATNICSHCDRAIPSSNIDLHYAHCSRNLEKCKICDDMIPKKHAEEHYLSTHAPVSCSLCSETMEPEILSLHKGEKCPQRIVTCDYCEFPLPAIDLFEHQEVCGNRTELCHLCNRYIRLRERTSHEVTCNGVPNNAAETSRGREGEREGGRRRPPPEDLSRKKLLFTIAITGIAVVLGSIIFHRKPVSSELH >KVH98831 pep supercontig:CcrdV1:scaffold_516:162128:170473:1 gene:Ccrd_022939 transcript:KVH98831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGGFHVNQHQIRVRKKSERIMKKTYFSNFKNTIDNPVDVNEDSEVGRTNDVQSNEGCSSKGKCLEIQQSTEADVARTNVVTRPPQGSSKGKVLEILRTQEPTEAKVERTTGVTSIEQGSSKGKVLEILDTQKTADLKVTKPIVQQTSKQAARVGKVPEIQLPHDSDPVMLLDVNVQTEVKESNDVMGAPRKSRNEQ >KVH98835 pep supercontig:CcrdV1:scaffold_516:218230:223898:-1 gene:Ccrd_022942 transcript:KVH98835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSNSDDLGGWSSPEKPIAPKLNRSSISSYLSSLEVLLAIPLHPREKGLVAASIAVSSKKEASKLRSLITALRVSICDSRSCALSFSESRWFV >KVH98833 pep supercontig:CcrdV1:scaffold_516:172888:174379:1 gene:Ccrd_022940 transcript:KVH98833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNKEEKMRSNESLRRYFVPTDISMDAIIRDEDLNANQRYDIFRKNITCCMNNDKELISMRNVDLVFFPIVEPSFYYVVVFDLKHPSIAIIDSQIRDGKVDDIYGSSTVGLQDMMIMHLLREGHGAWKVYAEMDQDHIKTRWQLSENTVDAGVMFMRHMETFFGGNVVKWDCGLYKESTKQKRQLKDLRTKYCSKMLLNDVNIRKTSIVYDVERFIAMETSYNARKNGGARQMSRGRK >KVH98828 pep supercontig:CcrdV1:scaffold_516:137565:142998:1 gene:Ccrd_022936 transcript:KVH98828 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAF domain-containing protein MVVRKVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKLLDRGTIIKHKMVDQEELDDRVEFKKTYQVSLNLILTLRLKLTWGKGKEVTDLQSKIDTVLASRTKIYIILEFITGGELFDKIVHHGRLSEKDSRRYFQQLIDGVEYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPAEGVSILRTTCGTPNYVAPEVLSHKGYNGALADVWSCGVILYVLMAGYLPFDEMDLTTLYNKACQNIQLRTLLLINKAEFSCPSSFPVGAKSLIHRILDPNPETRICIEEIRNDEWFKKNYVPARLLEYEDVNLDDVNAVFDDSEVGKYSKESLVLLFSHFHIMEERTDEQHTDEDTGPLSLNAFDMIILSQGLNLSSMFDRGQDSVKHITRFVSQNPAKIVLSSMEVVAQSMGFKTHIRNYKMRVEGLSASKTSHFSVILEVSFCFTRTSATILKISSGNQLSNNRENPRLQKLRASGVRSSYIRPRLLTPFLEVVAVKA >KVI11309 pep supercontig:CcrdV1:scaffold_5162:13360:31653:1 gene:Ccrd_010282 transcript:KVI11309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3594 MEGIQHPIPRTAEEVFNDFKGRRAGLIKALTTDVEKFYQLCDPEKENLCLYGLPNEGWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFRKNERKRLFQMINDLPTVFEVVSGGVKKPKDQTEIQNNGSKSNKSSGKTVRPQKCHGLVLLTCDF >KVH96902 pep supercontig:CcrdV1:scaffold_5163:8217:10395:1 gene:Ccrd_001006 transcript:KVH96902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MPAVWFSLRRSMHCQSGPADVHDPNFQKKNLSKIVTKTKKSSPSTGGKSGCSRSLANLKDVIHGSKRHMEERPSTMGTTTTTTNASPRSIGSSELLNPIAHEVVLSNSNFELKITGFGSLQDGTGGGGGGGGGGGSGFSATNLTKARSSFEGEGRGVFGMVCHKCGEQFVKWGALEFHHLSKHAVTELNEGDSSRKIVELIYKTSWSKSHTNSGGIERILKVHNMQRTLSEFEEHRETVKVRASKLQKKHPRCLADGNELLRFHGTLVECNLGLNGSSSLCQSTNCNVCQILRHGFSIKKNGNNKNVVGSVFTTSTSQRAFEAIDVNNGSNLRKALIVCRVIAGRVHKPLENIQELANQSGFDSLAGKVGIYSNIEELYLLDPKSLLPCFVIICKSHM >KVI11308 pep supercontig:CcrdV1:scaffold_5164:3888:20508:-1 gene:Ccrd_010283 transcript:KVI11308 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase MKAEFVRILPHIFIINATFILLIHGVVFSTSKKFDYPPLVSNXGWLGLLSVARLGGQRALGCGGAIIAQFPNLMRHRVRTAGNRSXGGLRILLPPQGWLIVRSRLEDPWFWKAHESERYVXCATDTSTAGTISMCFDSSEQERFDASESIVLIPLPTRSMLFMISAYDSIAMYLAIEPQSLCFYVIAASKRKSEFSTEAGSKYLILGAFSSGILLFGMKMIHSPRRPSTRAVRVDSIANRRPSATTRSSAADD >KVI11307 pep supercontig:CcrdV1:scaffold_5164:19568:22203:1 gene:Ccrd_010284 transcript:KVI11307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MCRRKPGAVGRSTLWXDQSPGQTNPPPRRIVLCPIERKSCLVIHRLQHVRSLTPRSTAVVSRTDRRLTCTGKSRNFFRLDSDRLKRKVAFLXTLPPWRFSDYPGLELPAPANLPLGQGPGEGTVLAAKRRRSIQSTESPLSLPLGNTGREPLVGADSMGCYFGRACFFLWGFKKGRGTQTFFAQSSITINGWLRDFLWAQASQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQW >KVI01705 pep supercontig:CcrdV1:scaffold_5165:30317:31985:1 gene:Ccrd_020016 transcript:KVI01705 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MLLSCCLWILYGLFDPDESLIIITVNAAGLTLQALYITLFLIYPSNEERVKYFGFVILDVVFFGVVVAVTLVVFQEASRRTFMGVLCATLTVMIELDVATNVLIRFALASKRLVIPLHPDGPEISCHNHLAHLSSATISNRAGHRPHAPNRSRICRPPVYPGSHMRINNLSRGTIHKDMVQVLHRNQARRALIRAACHSSFHEVISGR >KVI02767 pep supercontig:CcrdV1:scaffold_5169:16943:18254:-1 gene:Ccrd_018943 transcript:KVI02767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKISKKKKKNDQCLKKNRVLITVNVIGSPGPLRLLVNEDDTVSTVIESSLKLYARGGRLPILGSDFKNFVLYVSNARSDGSKLKLPFETLSSSEAIGLSGGRIFVMSKKKAGQQTTEGRSDMITRQPDVRSWKSWLNSLNKLSFKVISH >KVI01699 pep supercontig:CcrdV1:scaffold_517:52622:53605:1 gene:Ccrd_020019 transcript:KVI01699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEAPAFDLGISPSKDAVIACIDSSKATGGQKNVRSEIPKRDPKLSFKLRSPYVTRAVTFEVSSDERKLQDWILRGVGGILEPVFMTTKGKAITRQTMQSLVSQCVVGSEIIDVWSMVLNRDERMRSNESLRRYFVPTDISMDAIVRDEDLNSNQRYDRFRKKITCCMNNDKELISMRNVDLVFFPIVEPSFYYVVVFDLKHPSIAIRDSQIREGKVDDIYGSSTVGLVQRL >KVI01700 pep supercontig:CcrdV1:scaffold_517:39117:43322:-1 gene:Ccrd_020018 transcript:KVI01700 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD-linked reductase, dimerization DIKYAVHAHPTLSEVIDELFKSAKPQAREKAEKEGFEISIAKTSFKTNTKALAENEGEGIAKMIYRPDNGEILGVHIFGMHAADLIHEASNAIALGTRIQVCVYIEVSSTVSGMILKKIFIDINWVVDGVLEV >KVI01702 pep supercontig:CcrdV1:scaffold_517:137075:137689:1 gene:Ccrd_020020 transcript:KVI01702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLHSLLFPLIPFVYSSVSSCPKCGTMDVPYPLSTKGSCGNPKYKIHCNKTEGLEFISSDGFHYEIININSTANRLFIMPPLIKEDTCQSLDLPVGGFRIEDDSPFYVSSRNTILLLNCSDNILLSPLNCSSNSICRQFEENESKCMDLLCCSFLKDASMTNHRIRVRVEGCTAYTSVVDMKPGAPFHLWNFGIELQWLSPF >KVI01703 pep supercontig:CcrdV1:scaffold_517:209028:212061:1 gene:Ccrd_020021 transcript:KVI01703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGSILCSQISSVMPLLALESPLLLSASISLVKLLTTRSTLLLTATHLLHLLTLTECCEGNLHHAEFELFLVKIKAFVGQNDLVQLFYVYFVG >KVI01704 pep supercontig:CcrdV1:scaffold_517:213741:222183:-1 gene:Ccrd_020022 transcript:KVI01704 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-diphosphocytidyl-2C-methyl-D-erythritol synthase MAVLQVVSPLLPSSYFFSKISQSKHQIPSKSSLQHYKHPQFSLVSSLSRRNFKQHSSFGRFTITCSAHDSASTTNEKSEFVKEKSVSIVLLAGGQGKRMGASMPKQYLPLLGQPIALYSFYTFSRMPEVKEIVVVCDPSYQDIFEDTREKINVDLKFALPGKERQDSVYSGLQAIDLNSELVCIHDSARPLISSSDVEKVLSDGLRIGASVLGVPAKATIKEANSESFVVKTLDRKTLWEMQTPQVEGLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDLLLAERILNTDSFVPA >KVI01701 pep supercontig:CcrdV1:scaffold_517:38878:49439:1 gene:Ccrd_020017 transcript:KVI01701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGGFHVNQHQIRVRKKSERIMKKTYFSNFKNTIDNPVDVDEDSEVGRTNDVHSNEGCSSKGKCLEIQQSTEADVARSTVVPRPPQGSSKGKVFEILKPQEPTEAEVERTNVVPRPEQGSIKVKEAKVERTTGVPSIAQGSSKGKVLEIL >KVH98827 pep supercontig:CcrdV1:scaffold_5170:9166:12590:-1 gene:Ccrd_022944 transcript:KVH98827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MVADKGKKPKIGEKIVEENAEQIDGELVLSIEKLQEVQDELEKINEEASDKVLEVEQKYNEVRKPVYDKRSDIIKSIPDFWLTAFLSHPVLSDLLSEEDQKIFKHLTSLEVEDCKDVKLGYSISFNFSPNPYFEDTKLTKTFTFLDEGTTKITATSIKWKEGMGVPNGVAHDHHDHKKKGNKRCHEEDRLLTFIFFCWFSGTQQKDVMDEIHDEVAEIIREDLWTNPLTYFNNEADEEDGDEGDEEENGTDDSEEDDEDQEDDDEDEGN >KVH98826 pep supercontig:CcrdV1:scaffold_5170:22756:27039:-1 gene:Ccrd_022945 transcript:KVH98826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2HC5-type METAGQWLEKALLDLCRKTEGLDLDGDLISGLVSYCDLAPPIDAKEYLDRRGYTDTTSTLLDGPTSQLREYVKPPAKEPISVTKKPVKAPKEAAPSTSKEKNIPTQITQPQNQQTGNQSKSKKKKSGKVISIAEASKGSIIFQQGKPCSCEARRHRLVSNCLSCGKIVCEQEGEGPCSFCGALVLREGSTYAGLEEEFGPLSDAEAAAEAYAKRLVDYDRNSAARTTVIDDQSDYYEIEGNSWLSMEELLRKKKEEIEEAERANRNKVVISFDLVGHEASEQLPKSILLRPADDREVNRFKPNPSLEIQPIFIDPGPSKKNADGKANHMNKGIAKGLCLEISGRVQHDTTELKNHDHLDISSF >KVH23381 pep supercontig:CcrdV1:scaffold_5175:5423:11904:-1 gene:Ccrd_025877 transcript:KVH23381 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLISSPDFHFSTVTKNKCTSKQKKCSLEIDRKSSTEKAIRKTRSMNSHFLVDMDELLNTNDHDETFLSATRSSDDRILDAKGVENPHTFVEMDQYNAVSWSKMHLEPTMPIYLKFEDVKYTISSKGKRHDDHNSEKLVLQGITGCVQPGEVLALMGPSGGGKTTLLNLLSGRLKNRSDTLIGGPFSRGISGGERKRVCIGTEILLNPSLLFLDEPTSGLDSTTALRILFLLGKGSFLYAGKASEAMTYFSSIGCSPYIAMNPAEFLIDLANGNMNDKSIPSELENKFIPCSESEPSPMDVHEMPLLTNGECAFQDSGATWFEQFVILFNRGFKERRHVYLSTMRVIQVMATALIVALLWWHPYASGTPNRLHEQAGLLFFVSVFWTFFPLFTAIFTFPMERPVLAKERSVEMYNLSAYLVAKNMSDIPLDLILPLLFVSIVYFLVGLLRSFNAFVVTLFIVFLCVIAAQNVPSFMSWIRYISFNYHTYRLLLKIQSNCFHSDPDSGLCKSGSVMKGNPDWGGVEVGALLIMVFGYRLLAYLFLRRMKLMHN >KVH89751 pep supercontig:CcrdV1:scaffold_5176:29200:31087:1 gene:Ccrd_008255 transcript:KVH89751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTRNETKEDHSGLKNHNSRQNRHVKCNKSCELEKIKKQLMGTMIFM >KVH89753 pep supercontig:CcrdV1:scaffold_5176:13596:25995:1 gene:Ccrd_008253 transcript:KVH89753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MYAPHGSTQNGIMVLLKKPAVGSNQGHSCIGDVKRGIGAAKRRVVEKKSAAAFTTKRNIKFKKVWIEGICWYAKVTDLVQACLGVSKRPFRDSNYQYAFRLTTIKQADALYELAMLTLRLQLKFEIRMASSISSISEDLGELDGRITDFSVNRMERARDYSLLQEDSKNGFQKLEKIKDSGRQSRQLEELTDKMRECKSYVKMKDSCWFLWWFFDRLIKEFEREVKDLDYTSIPHASRMLNERKQSMVKELNSYVELKKKYASNIERKRVGHIEGTEEVSAEDNGLLASSMTNQELVGHGNQMMDETDQAIERSKKVVHDTVNVGSQTAATLKGQTEQMNRIIDDLDSIHFSIKKASRLVKELGKQIATDRCIMSMLFLIVIGVIAIIIVKVRLSYNKYYINLRMAQPVMHPENQDIQDLPGLAPPATSRKLLWFHT >KVH89754 pep supercontig:CcrdV1:scaffold_5176:6502:9784:1 gene:Ccrd_008252 transcript:KVH89754 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MRHKKSGLQIGEQQLSSDLKTPQFPFHDNPNFKSYKLRISHLRIQIPTNLDDFKESPSTSNPTNNPPENRHLLMNPQRKTHNHQGETCHLKPPVCCTSSEAFLATKEIALKLLKFTYLKLFWIKLPPRVLIILCLPPIYFFSLNHYRSLYLYILFVIAFCGLLLSSFNLNSLHASNIPSIRPYIARNFPFLKLSKSDKASKTNPPVVWSIGSKTRFEEHTTSGFLVKVYSNGDVYEGEFYKGKCSGSGVYYYNLNGRYEGDWVDQKYDGYGVETWAKGSRYRGQYRQGLRHGYGIYRFYTGDMYAGEWFKGQCHGCGVHSCEDGSKYCGEFKGGVKHGLGHYRFRNGDTYAGEYFADKMHGFGVYHFANGHRYEGAWHEGRRQGVGSYTFRNGDTQSGHWENGVLGVSASEDAFLPESSTSVSQARVLKAVQDARYIAEKAVGVAEVDERVNRAITAANRAANAARVAAVKAVQNQVQHHDETGDLSLPII >KVH89752 pep supercontig:CcrdV1:scaffold_5176:13668:16128:-1 gene:Ccrd_008254 transcript:KVH89752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KVI06791 pep supercontig:CcrdV1:scaffold_518:10291:14104:1 gene:Ccrd_014852 transcript:KVI06791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGFLYFFILFLAFLHSLSSSYETTILKQTEFFIHMKSSFSGNSFSDWDITSGKNACNYTGVSCNDEGFVVKINLSAWNLSGVFPENVCSYLPQLKSLHLGRNDIHGDFPFSLTNCSVLEELNMTHTNIAGKLPDLSRMTSLRLLDLSFCSFTGKFPMSFINLTNLEVVNFNENVGFELWSLPDDIFRLRKLRSMILSTCMVSGSIPKSIGNMTSLVDLELSGNFLVGPVPREIGLLRNLQQLELYYNQLDGEIPQEIGNVTELTDIDMSVNKLTGSLPESICRLPKLRVLQFYNNSLTGEIPRVLEESKTLSMLSLYSNYLTGEVPRHLGRSSPLMLFDLSENQLTGELPPEICNGGKLVYLLALDNMFSGVLPESYGKCVSIVRFRLSSNRLEGTIPEGILRLPSVSIIDLSYNSLNGSIAQEIGNSRNLSELFLQNNRISGVIPSEISKLFNLVKIDLSNNLLSGPIPQEIGHLKRLNLLLLQGNRLDSYIPDSLSSLSSLNVLDLSRNHFTGGIPETLCDLLPSSMNFSYNHLSGPIPESFIKGGQLESFLGNPKLCISEYPNLSDQNFAICSEVYNQKKVDYIWVILVSVGFVVIGGILFLRRWLSKERDDLKNEETWSSSYCSYSVKSFHRISFDQNEIVEAMIDKNVVGHGGSGTVYRIDLSNGEVVAVKRLWSQKTKDDGSDDQQIMNKELKTEVETLGNIRHKNIVKLYCYFSSLDCNLLVYEYMPNGNLWDALHRGKCLLDWPTRHQIAVGVAQGLVYLHHDLLPPIIHRDIKSTNILLDRNLQPKVADFGIAKYAYSSKATTKCDVYSFGVVLMELITGKKPVEAEFGENKNIIYWISTKVDTKEGAIEVLDKRLSGYFNDEMIKVLRIAIRCTCKTAALRPNMNEIVQLLIEADPCKFESCKSPNKTKEPVKVNV >KVI06792 pep supercontig:CcrdV1:scaffold_518:45490:54517:-1 gene:Ccrd_014853 transcript:KVI06792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVKATVLDSNLNIVYTEVVNFDSELPRYKTKDGVSRDPAINGRIVSSTLILQNSKKLPLEKMAAVSGSAQQHGSVYWKRGSLGILSSLDPNRPLVHQFEDAFSTNESPVWMVNSTTKQCNAIDKALGGAFEHKPQVYGNTERISLVSSFMASILIGGYACIDHTDGAGMNLMISRATTPCLEEKLGKLAPAHAVAGLIAPYYVERLVKLPGLREGDLPVTELPLSSTMSRWQSALGSSQSSVASTPRFKAMDIASQPDSRCPCLAPLPKDNLSTRGHTEKCRMPSPLERIIAMGGASINHAIFSSMASIFGCNVYTAQRPGKIFCYGLCGYVKRRVYPQRYYVELHYGQLMGCCVIKKVGFFPIPCMYKDKLEKTGLGCKLDVIMNDVELVTKYAIMVKKRMEIENWLVEYFVCRGDERYLFL >KVI06793 pep supercontig:CcrdV1:scaffold_518:45989:47648:1 gene:Ccrd_014854 transcript:KVI06793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVPALCRTSETFRFQRHRKAAVTSLLYSLTGKGARQGQRLSGCDAMSMALNRGVDATDDWLLPSADCHLLIVLESGNSVTVRSCQVGTVVC >KVH22997 pep supercontig:CcrdV1:scaffold_5182:11629:32676:1 gene:Ccrd_025878 transcript:KVH22997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal AFDSESLVNQRVRDKSNAISVLDPPYHYPPSSYAAPMKRGFESIEISDDEWSNHDFDSSRILNKPSSKTPPPPIESFAFRGCGDGGPGGRPSTSKPSFDSSSDSSDCVEIIKDGAQHALENLEDDDFGEAPSKTLASRGRRFVVDDEDDDEVEEAIDLGEGEDYESEDLGFGEEVEQEENDDVVGKALHKCANISVELRRELYGSSSVDADRYAEVDGSSVRIVTHDDICEACGAGGSGFEPVLKPYQLVGVNFLMLLYRKKVAGAILADEMGLGKTIQAITYLTLLNHLEDDPGPHLIVCPASVLENWERELKKWCPSFTVLQYHGAGRSAHSKQLNALSKSRLPPPFNVILVCYSLFERHSAQQKDDRKLLKRWKWSCVLMDEAHALKDRNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFETGDVDLKKLLNAEDTGLIARMKSILGPFILRRLKSDVMQQLVPKVQRIEYVCMEMEQIKAYQEAIEEYRAAARARMSKSGEVKSAHLPRRQISNYFVQFRKIANHPLLVRRIYTNKDVVRFAKKLHPKGVFGAECTLDRVIEEIKSYSDFSIHRLLLYYGDATSENLSDDHVMISAKCRALAGLLPALMHGGHRVLIFSQWTSMLDILEWALDVIGVTYRRLDGSTQVTERQTIVDTFNNDTSIFACLLSTRAGGQGLNLTGADTVIIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKDTVDENVYEIAKRKLVLDAAVLENGIEVENEGESSDKTMGEILSTLLLG >KVH22980 pep supercontig:CcrdV1:scaffold_5184:15832:17006:1 gene:Ccrd_025879 transcript:KVH22980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin head, motor domain-containing protein MLVYCHHCSHFGKFVEIQFNQKGRISGVAIRTYLLEISRVCQLSHTKRNYQCFYMLCDAPPEKVGSVYGDFYVEVVHRWFQQSALCDVKALEDCICKRVIVTCDETITKWLDPEFVAVSRDALAKIAYSRLFDWLVDKINSSIGQDSSSKYIIGGWAKASNVYPKDAKAPPCRVDDMTKLAYLHEPGVFK >KVH22981 pep supercontig:CcrdV1:scaffold_5184:24739:25302:1 gene:Ccrd_025880 transcript:KVH22981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MSSSFHSCFSLSLFLFPFIFFSVNGQNIIYDTCKTSSQQDPNVNLQFCTTSLQAAPASHCADLKGLGMMSIRLTRYNLTDTRCYIKQLLKKNTKKLDPYIKSCLDDCFELYSDAIPGIKQAMKSYNSKRYFDANVQISSVMDATTTCEDGFKQKKGVVSPLTKKNDMTFQLSAMSLSIMNILQSGSN >KVH22884 pep supercontig:CcrdV1:scaffold_5185:4439:22343:1 gene:Ccrd_025881 transcript:KVH22884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKLFQVYHLLVCLSLIITPIAPQKYSKPGCLDTCGKVKIPYPFGIGANCSINNWYIVECNSSKPYLTALNHLEVLLVSLHNQTVTVYAQMIYDFQNQVQNRSQVSSIDLGTSPFLFSKLDNKFVLKGCGNALMIDHGSVVAGCSTXCTNDSSVSERNNCFGIMCCQTEIPHYLQSYSMNLTSLERQGGDVAHRSTFLVDKKSYDEGSFFGQSISVENPYVPISLLWTLTNSDRINEPSCCDDGYRRNYTVDMLNGTLVSSWVCWVSQNYEGNPYLPFGCKLNKVCASCKDGESCEYNTNYDGDAFNFTCIEWYKPYKFQSKSSERGVILGVSISMGLLFIMSLIYALYKVIKKTKARRRRERFFKRNGGLLLKQQEEAEPSLVDRTILFTSRELETATDHFNENRILGRGGQGTVYKGMLVDGRIVAVKKSKVVDESQLEQFINEVVILSQISHRNVVRLLGCCLETEVPLLVSEFISNGTSYDRIHNGIDDSPISLKMRLQIATEVAGALAYLHSXTSIPIYHRDIKTTNILLDEKYRAKVSDFGTSRFVSIDQTHLTTLVKLHPEYFQSSQFTEKSDVYSFGVVLVELLTG >KVH22885 pep supercontig:CcrdV1:scaffold_5185:25301:26507:1 gene:Ccrd_025883 transcript:KVH22885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MKLFQLYHLLVCLSLIITPIAPQKYSKPGCLDTCGKVKIPYPFGIGANCSINNWYVVDCNSSKPYLPALNHLEVLLVNLYNHIVTVYAPMIYDFQNQVQNRSQVSSINLGTSPFLFSQLQNKFVLKGCGNALMIDHGIVVAGCSTSCTNDSSVSERNNCFGIMCCQTEIPHYLQSYSLERQGGDVARGSAFLVDKKSYDEGSFFGQSIGVENPYVPISLLWTLTESVLSCCDKIGSPYKFTVDMHNGTSVHSSACAVGNRYEGSPYLPFGCKLNKVCASCKDDEYCEPNINYDGDGFNFTCIEVEGYKFQSKSSQLGVILGNPY >KVH22886 pep supercontig:CcrdV1:scaffold_5185:8581:9270:-1 gene:Ccrd_025882 transcript:KVH22886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MLQSHLLFDFKAVKNNSSGFWFDWHAQELGINALHIKLXATGGNKTKTPGPGAQSALRALVWSGMKIGRIGISFFTFFFLKQVLHYFVGILVKFLSVSDLQSHFYASLMELESRKL >KVI11284 pep supercontig:CcrdV1:scaffold_5186:30994:31863:-1 gene:Ccrd_010307 transcript:KVI11284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MWLSRKNSSNSNQSSPRCRTPPSFNRHSFKNIGDLISDDDKNVATTNDLNKDQQQQQQKHQQPSCSSPTSSPRANAKRHSVFHRVRLANQFSRSLATGRPKQPNPEKLPSVEVNNNSQKWETPALSIRIPGAEKLIVVYMTSLRAVRPTFEACRTVRSILQGFRVAVDERDLSMDSSFKDELKKIMSQGGEAFQNGTVTLPRVFIGGRYVGDAEDLRTMNETGELKKIVEGLPAVSRGVCEVCGDFRFIICHDCNGSRKCYKEKGGFRSCTTCNKNGLIRCHSCWAAKL >KVH93725 pep supercontig:CcrdV1:scaffold_5189:26014:27114:1 gene:Ccrd_004220 transcript:KVH93725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MAENANPIAETTQDVTVVAENRTTPNPAANKKHDPKPSSVKRIMFPLTTISFLLSFPILFCIVWLLYVKQCNCEHLLPLSKLQHGVVFALILLFVVSNSVVFLRSRFLMLGLIVVMVPLIVILTIGLALVGSYTIESRMIPGSPSWLKMMVNDDNNWYNIETCIYNTRTCQDLAVQSIMIKSYDFSMTKLSPIESGCCIPPTICDMEYLNATYWIKKTEVYDDLDGPYDIDCDLWQNNVTKLCYDCYACRKGFINTLRQKWYKLGVFLVVVTILLIVSHLLLFVASMQERYAS >KVH93726 pep supercontig:CcrdV1:scaffold_5189:27426:28751:-1 gene:Ccrd_004221 transcript:KVH93726 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MPPLEEALLVPSSPGRFKIYRPQSHIMNRAFHRCFASTTMVLLWALILIAFTASYLTFVDTGNRYLHHSSFWDHGGIGGIQWEKKVRSSAQILRADGISVLVTGAAGFIGTHVALALKTRGDGVVGVDNFDNYYGPSLKRTRRALLEAHGVFIVDGDINDQRLLAKLFDTVAFTHVMHLAAQVGVRYGVDNPYSYVHSNVAGLVTLLEQCKCAGRQPAVVWASSGSVYGVNNKAPFSESDPANPPASLYASTKKTGEEITHTYNRLYGLSITGLRFFTVYGPWGRPDMVYFSFTRNISEGTPITVYRVKDRVDLARDFIYIDDVVKGCVASLDTSGKSTGSGGKKKGSAPYRIFNLGNSISSPVTVPAMVRILEDSLGMKAKMDVTDVTGNVDFSFPHVNISLAQKELGYNPTTDLQSGLRKFVKWYLWYYGKPSAHLNQF >KVI04508 pep supercontig:CcrdV1:scaffold_519:165265:165620:-1 gene:Ccrd_017175 transcript:KVI04508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIYFIGGFGTVAWVNVKEYEALRPDKIAVDGGEQNLKVSFWFGLVWLISKPLKELLSLETEVDDAALISIDSKGTDVXVRQGAQFNIQRLAFE >KVI04507 pep supercontig:CcrdV1:scaffold_519:106020:109863:-1 gene:Ccrd_017174 transcript:KVI04507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase MNALAATNRNFRHAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRVQHDNSRGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGVTPRDLTLSELERLTRVFTQKIHDLIGVNTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAIVTGKPIDLGGSLGRDAATGRGVVFATEALLAEHGKSIKDMTFAIQGFGNVGSWASRLIQERGGKIVAVSDVTGAVKNLNGIDILALLKHKETTGSLSNFNGGDSMNADELLVYECDVLIPCALGGVLNRENAGEVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNDELKKYMTKAFHNIKSMCQTHECSLRMGAFTLGVNRVARSTLLRGWEA >KVI04514 pep supercontig:CcrdV1:scaffold_519:20941:22993:1 gene:Ccrd_017169 transcript:KVI04514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MVKYLLLIVLNTIVIILPPTISDDRVPIPQDRTQVQRWFKHNVKPLATRKGTLDPALEAAEANPRVIRVMKEGGGDFRTINEAVRTIPIKNEQRVIVFIGPGVYSEKIKMERGKKFVTFIGDHAHMPTLTFNGNAAKYTTNSSPRPDGKMKGAQAAAMRIGGDKSAFYNVRFYGFQDTFCDDHGKHFFKDCYIEGTIDFIFGNAKSIYLVSNYDRDEIFKSWLIILCENRFCGNTEIHCIPGHLEAWITAHARKNAEMDTGYVFVHCPVTGTGQGAFLGRAWKPFSRVVFVYSDLGPVVSPKGWNSNNHAQRNLFFGEFGNIGPGSNVALREPFVKQLKRKDIEPFISLAYIEGSKWLLPPPKLKFRSRIK >KVI04510 pep supercontig:CcrdV1:scaffold_519:23449:39486:-1 gene:Ccrd_017170 transcript:KVI04510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51120) UniProtKB/TrEMBL;Acc:F4KBV3] HHLVSQSSITNSHLSKSQPLNQTTACFCRNRSDVRVMDHTAEQDQEQEHEVYGGDIPDEGEMDADVEMSRNETEGDDNNNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGSVQDDSSGASATQAEKEEADARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVELEAVQNALLLNESELHGRQLKVAAKRTNVPGMKQFRGRRPNPYLGFHSRRPFMPGPPMYPPYGYGRIPRARRPMRYRPY >KVI04513 pep supercontig:CcrdV1:scaffold_519:101504:104300:1 gene:Ccrd_017173 transcript:KVI04513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase MHLVTIIMNALTATNRNFRHAARLLGLDSRLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRVQHDNSRGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVVDIPYGGAKGGIGCTPKELTKSELERLTRVFTQKIHDLIGVNTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAIVTGKPIDLGGSLGRESATGRGVVFATEALLADHGKSVKDMTFAIQGFGNVGSWASRLIHARGGKIAAVSDVTGAVKNPNGIDIPSLLKHKEKTGSLSNFNGGDSMNADELLGYECDVLIPCALGGVLNRENAREVKAKFIIEAANHPTDPEADEVLSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNDELKKYMTKAFHDIKSMCETHECNLRMGAFTLGVDRVARSTILRGWEA >KVI04509 pep supercontig:CcrdV1:scaffold_519:170849:174385:-1 gene:Ccrd_017176 transcript:KVI04509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQSITIMSYISIHRSTSIVIHRSSYSNQCAAARDRSCTLKTVPSNLLYKLPRVILLMLKKNDCLRTVIDALVLDLCSWWNSRRF >KVI04511 pep supercontig:CcrdV1:scaffold_519:39481:42937:1 gene:Ccrd_017171 transcript:KVI04511 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MMMKGNIVIPFITGGIFGIIFRALGRVLVDLLSHLGPKPNSSEQNLHRRRHDGETHDADRWWIIRRRLLSRTVTSVSCSPNIKWCSTAWLLVKKPMTPQIIKAHFRPKKVYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKYIMYPHCIYFLELLQNASFRNAMAHPANKELTHRQQFYYWKNYRNNRLKHMLPRPLPETTAAPPSNALPPPIPPSTSTITAASAPGSAPPVHSPMQYGVPSGPPLAKNDPRSGIDRRKRKKDG >KVI04512 pep supercontig:CcrdV1:scaffold_519:63095:65557:-1 gene:Ccrd_017172 transcript:KVI04512 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MGETSTGSKYWVPSVDVKYKPKVGDSFESVESAEKMYRKYANEAGFDVRLSNKKINKLGRITSRFYVCSKEGRPQSKYFDSLDVLPGGRTIRNSNIKRSGCGACLKIHLVNEPNQYEVYKFVEQHNHILFNKEEMSFPVRKGNSTTLIIRMYFMVIKKKIENSYDCSCNCFVRNGILCRHALKVMLNDEVDRIPDKYILRRWRRDLVPVEWLPAXFRYGEVDAEKERLMSLAYSYFERILGRVRNEKDILTRFVDQLEQWDSKVDIELPLQSHTEETTASIKEFLGVSQPETVDVLPPTGIRNKGCGTGKRLISAAEKAISNRKKQKRKCRLCGQMATHDSRNCPKRDYI >KVI04372 pep supercontig:CcrdV1:scaffold_5196:12094:17794:-1 gene:Ccrd_017315 transcript:KVI04372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation transporter MMIAPTIFVRNTYLCSFLHALLLRVDLLWLQLYYYATLFVLGFFVLANLEPRDPLHRPKNYDLFFTTVSAATVSSMATVEMEVFSNTQLFILAILMFLGGEVFTSMLELQFKKFKLLETKKTHFNSNGCTDLENDLNSLKTNTDLKYNSMKLLGFIILFYILTVHISAFLLVSLYISLVPLAKEVTNSKGVSIPVFSTVTIISTFSNGGFLPTNEGMMVFKKDLGLLLILIPLVLLGNTLYPVILRVILLILGKVSKREELEYILKNHSXMGYNHLLSGVRCRFLVLTSIGFISIQFILLIIMEWKSDIMEGLNPXEKVVGSLFQVVNTRHAGESVFDLSLLSPAIIVLFITMM >KVI05467 pep supercontig:CcrdV1:scaffold_52:602585:604379:1 gene:Ccrd_016244 transcript:KVI05467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MITGHDFYTVMSAMVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISMNNPYAMNFRFIAADTLQKIIMLVVLSLWANFTKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRSLGLGSLPAMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHSDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEESTAPGPLNSPRFGSYPAAAQPSSYPAPNPEITSTVTKTAKALQPPHTPPQPNGSQNKGKNDDKELHMFIWSSSASPVSEGGGLHVFGGNDFGATTEQSGRLDHDDAKEIRMVVSSDHHQYAETKGAGEFRRDDFSFGGGRDGDDERDKEGSAGLNKLGSSSTAEVGPKEMPVGFGNAQNN >KVI05457 pep supercontig:CcrdV1:scaffold_52:465588:468294:-1 gene:Ccrd_016235 transcript:KVI05457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMYKQKKNPAGRGAANRLLISITVIGSAGPIRFVVNEEELVAAVMDTALKSYAREGRLPVLGSNINDFVLYCPIAGTEALSPLETIGSFGVRNFMLCKKPQQVEAVGDGKPVTVGITRKNSGSWKSWFNKSLSLKAGNNINEKDKRPGTNKVLDQNVRANNKNTTKSKYNEKCS >KVI05464 pep supercontig:CcrdV1:scaffold_52:579803:584224:1 gene:Ccrd_016243 transcript:KVI05464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVDQNNGGGGLRKVIVGIKVTGNFETFPFFDQSSEMSRLYDGWNEILKIQKFRRTVGYTIFFSFSALISYAYNSNTTRAGYSRGDQFYASYPAGSELLTDTTKVSGISLFCNELFVVGVQERTELSLYKSALGNCFEEEEWGPIEWSVMSKHFERQGKSPYAYHAQYQAHLASNGHLDGSG >KVI05441 pep supercontig:CcrdV1:scaffold_52:88582:102853:1 gene:Ccrd_016204 transcript:KVI05441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MADALSAIPAAVLRNLSDKLYEKRKNAALEVEGIVKQLTVAGDHDKITAVINLLTHEYTYSPQANHRKGGLIGLAAATVGLSAEAAQHLEQIVPPVINSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNDIFDALCKLSADSDPNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDDLCWTQLTCEDLSLEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRASSPDEFTRWTAITWINEFVKLGGDQLVPYYADILGATLPCIADKEEKIRVVARETNEELLAIEADPAEGFDVGAILSIVKRQLSSEYEATRIESLHWISTLLSRHRPEVLSFLNEIFDTLLKVLSDPSDEVVLLVLEVHACIAEDQYNFRQLVVFLVHKFRTDDALLEKRGALIIRRLCVLLEAERVYRELSTILEGEADLDFASTMVQALNLILLTSSELSDLRDLLKLSLAYHHASCVIQSLTEEDINVRFLVQLDKLIHLLETPIFAYLRLQQSTAFKILRTRLKTVPAYSFDKEPLKRTSSANPSIHTGYMSTGIQLPEDGNMNDISRNMHNGINFAPWLQHFKQMQQQHHVHYKSQARSRNSSTSSKVKDVQKLEELKRPAVPEMSRPPSRLSRKATGQLQL >KVI05429 pep supercontig:CcrdV1:scaffold_52:267062:271976:1 gene:Ccrd_016215 transcript:KVI05429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C54 MKNIPERTDSKNSYTSSTDSPDRICGSICSEAGPSNRRSPKASLWSGFLVSAFSVFDTHSDSRGCHKKETGFVSIGDSKYTSDVNWGCMLRSSQMLVAQALLIHRLGRSWRKPSHQPFDRDYIEILHMFGDSEDSAFSIHNLLQAGEGYALAPGSWVGPYAMCRTWETLARRKIEENEHQDQPFPMAVYVVSGDEDGERGGAPVLCIQDASRHCSEFSRGQLEWSPILLLVPLVLGLDKVNPRYLPLLAATFTFPQSLGILGGRPGASTYIIGVQDDKVFYLDPHEVQQAVNISKDNLEADSSSYHCNVIRQIPLESIDPSLAIGFYCRDKGTNAFSLLRYASEKSRKLILFWLSKSDENGMQFVCSPEDFDDFCSRASELAAESNGAPLFTVTETRHSSGSSRSQEGNSFDASGENAEGSAQDDWQLL >KVI05420 pep supercontig:CcrdV1:scaffold_52:280437:280703:-1 gene:Ccrd_016218 transcript:KVI05420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MEQHKAANIYVIEAADEKNKWITFRMLDGKIMQAFKSVKVTIHVNKKGENSLVTWSFEYEKMKEAIPDPDALIDLANKVTKDVNNHQF >KVI05430 pep supercontig:CcrdV1:scaffold_52:340988:344880:1 gene:Ccrd_016223 transcript:KVI05430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYYAFSFAFLHVLAILFTPLRVVHGSTPTTTFSVCSQTPHPEICTSLIGKTSKQTWFEVRQTTLVSTLAQAQHAHELVSAMDLSSFEPRAKSAWVDCMELYEDSVYQLNRSLGSANSNDIQTWLSSASTNHETCQNGFLDFNLSSHLHTFQSSLSGFSKYLSNSLAINKAVAPLAISPEQIKGRRLLATNKFPKWLSISDRKLLATPSGATSANIVVAQDGSGNYKTISEAVSAVPSLRKGNSRFVIYVKAGVYKENVDIKKTMQNLMFVGDGMGSTIVTSDKNVQGGSTTFRSATFAVSGAGFIARDMTFENTAGPAQHQAVAFRSGSDLSVVYGCAFKGYQDTLYVYSGRQFYRNCQVYGTQDFVFGNAATVLQSCNIYVRKPLGGQKNTITAQSRTDPNQNTGIIIHNSAVMAASDLRGSEGSFGTYLGRPWKQYSRTVFMKCSLDSLINSAGWYPWDGNFALSTLYYGEYMNTGGGAGTGGRVKWPGYHVITSADEATKFTVGNFLDGGSWIPGTGLPFTAGL >KVI05432 pep supercontig:CcrdV1:scaffold_52:325463:326068:1 gene:Ccrd_016221 transcript:KVI05432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MEVQLSTSLFFFLSTTLLLASTTAGHFFSPISDDTDYIRTSCETTRYPQTCFKSLSGYSGAIQHDPGRLARVAIHVALFNATHMANYVSDIPRRNDSGNTLESAALNDCSSVFGDAVDEIRQSYKEMKRLGWTGESVKFQLSNVQTWMSAALTNENTCTDGFEQVADSGVKADVCDRVVTVTEVTSNALALVNSYADKITA >KVI05455 pep supercontig:CcrdV1:scaffold_52:432552:438239:1 gene:Ccrd_016233 transcript:KVI05455 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCP1 family ALISLQNAASSSTAGDGRSIIVTSSAPSRLYFLHLLWPLQSLSNPYTLEVELHRSSALGGCHTIIMPRKPTRRGQLKKPQPAFSRLARSMALVTSARKVKQQSVNSPVHRKVPPKFNGSSKEKHDTTQAKREESHSSSEDEDFSSEDDIEGVVQADFAFFDPKPDDFHGVKVLMQTYLDNKEWDLSGLVDLILQQTTVGTVIKIEDDEDNGVYGFVTALNLQRYKDFKCMMELKEFLLTMCQDTEIKDNLRSYLGEQAVDVGLLISQRVVNLPPQLLPPMYDGLFDEISWATEDEPTKELRKSFCFKYYLLVSKIYKHKNGDQKKKGSSSSEEAIIYLKPEDEIFHNLSAWSFCFPLRTQQIRLVGFLQYLKRQTFTEIW >KVI05443 pep supercontig:CcrdV1:scaffold_52:111023:111637:1 gene:Ccrd_016206 transcript:KVI05443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGLRNLLNRKNKNSKEKTSEKGVVEDTELMNTTPAASRVDSYDAHVRIEEELEQVFKKFDVNGDGKISSSELGSIMGSLGQRPSEDELKKMILDVDADGDGFIDLQEFIELNTKGIDSAELMENLKDAFSVFDIDKNGSITAEELQNVLGSLGEDCSLGECRRMIDGVDQDGDGMISFDEFKVMMMGPRIVAIDHEMTLQHPSN >KVI05434 pep supercontig:CcrdV1:scaffold_52:386786:390006:-1 gene:Ccrd_016227 transcript:KVI05434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MAANKFATMVHKNTNKITLVLIYAVLEWTLIILLLLNSIFSYLIIKFAKFFGLNPPCLWCVRLDRLFEPQNQNSHRDFLCELHSKEVSQLGFCSKHGKLAESHDMCQDCSSGFGEKPKNFVFSKVKKIDLVQSDGEDEVCFKCSCCGVDFEKKTFDDSSYFVINPSWDFLAYSKNGSSIMDLMGSDLETENFGEKQEIQFQMKETEIESTKEDLIQFEKKEDSNSETPPQDLEFFLDYSGNQLVPIESNEEELPNKSEVDDDQEFGDFQKAQVISESKIETVTEELVIETQETISVLQNAAELLSVIEKTEESSKFAELDSMEFEETENSLVFHANLNGFPDEKPAISQPTQTQLETEEHQETEEGDSDHEEAEVSIGTEIPVLDSCDEMKAQDNFSLYSLSHEEPSTSCHDLDFNLEYGYEEAREDEKTGEPQNFNRISNTLLMIERKESESFDGSEMDGGDPVNTTEKLKSVLRAERKALQALYTELEEERSASAVAASETMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKKEKELEVYRKKVLDYEAKERMRFLTSSVKSGTCSASCSHSEDGDGTWVDLNHEPKDEQIFETDGNRDHNTPVDTVLNLDSSFVDFEDERLSILEQLKVLEEKLFTLSDEEERHFSDIRQIEDYFEENGKHLNGNHGFDGRGANGIANGTHYEDRRTISSTGKRLLPLFDAVDTESEDGMSTSNGHGNGNGNGYHPDKLENTAVTRFELQKKRIDMEEEVDQLYVRLQALEADREFLKHCVGSLRKGNKGMELLQEILQHLRDLRNVKNGVLAKRN >KVI05425 pep supercontig:CcrdV1:scaffold_52:194922:215406:1 gene:Ccrd_016211 transcript:KVI05425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MSQLIELKLDALKMLQRMLCFSFILFSITFYFLSVSATNSVIYTECSQLCFTSMTPYESNLNSLFTSIVDSASSSNFNTYEVSPLGSSQSDVVYGLFQCQGDLSFSDCKDCVASGVSQLKTTCSMSTFGTMQLEGCLVKYDNTPFFGVEDNREVNKRCGPTIGFNSDVLSRLDATLTDILAGNGQFFRRGGHGSMHALAQCVLDLSTSECEDCLSEARRRLKSECGLSTWGDMYLGKCYIRYVDQGNDNNADNCDDDDNRRRGKKKKAKIKGQRIGLWFLTNMAGGVLGGGTGYVAYETGYYESYAYDVVKRLFALPLIRVQHCFTPMTPYDFNVNLLFTSLVDSSSVSNFNKFEICLPGSSQSDVVYGLFQCQGDFSFSDCKDCVASAVNQLKTMCPVSTFGTMQLEGCLVKYDNTPFFGVEDKREVFKRCGPSIDYNSDVLTNLDATLTYLIDGNGQYVRRGGHGSMHGMAQCVQDLSIIECGYCLSEASKRLKSECELSTWGDMYLGKCYIRYVDHGNDDNARNCDGNNNRRHDKKRKGNIKAKNIGRWFLTNMAGGVLGGVSGYVAVKVYKNEKGTPYELNVNSVFSSLVNSASISNFNSFKISPSGSAQSNVVYGLFQCQGDLSNSICKDCVANSVSQLKTICPYATGGAIQLQGCFVRYDNTSFLGVQDKTVVLKRCGPSIGYNSDALNRRDSTLAYLTAGNGQFFRGSGSGSVQAVAQCVQDLDVNECQDCLLEASGRLRSECETSTWGDMFMGKCYIRYVDREHHSRNGAGDDEDVDKTLALTIGVITGEQN >KVI05459 pep supercontig:CcrdV1:scaffold_52:492511:498903:1 gene:Ccrd_016237 transcript:KVI05459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MALTGKLIAHVEMNSGGRVLHDLLRHNPHDIASICPHKVHGCDLVSGQRGAVGSTILWHYTHDGKQHSTKELIEEIDEANQKIVFKVIEGELVEEIYKTFKITFQVEPKQDGKQLGTWTFEFEKPNVNVPDPTSLMALSGKLIGHVEISSGGKVLHDLLRHEPNNLSSICPEKVHGCDLISGERGAVGSIILWHYTHDGKRKTAKEIIEAVDETNHTVVFKVIEGEIVEEIYTAFKLSFHVEPKQNGKQLGIWTFEFEKPNVSVPYPTSLMDYLCDLIKDMDDHACTTK >KVI05447 pep supercontig:CcrdV1:scaffold_52:60114:61148:-1 gene:Ccrd_016202 transcript:KVI05447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRILPPSQPLKKPTHCRNGSDELDVFEAARYFSGATEVLSGAHKSVVSGESRNFGNAATQKYCMRPIGRMSLDMPNHRGNSIPLQAMLMDPMMPSSKEKKSKQPSSPGGKLAHFLNSLFNQTSSKKSKSKSTKDEDESSPGGWRRKRRSSISHFRSGSSSSTTTTTTTTTGSATIMSDSKSPFSTSTSSGFRTPPPYHIAVPHTPTKTASYRDPRSYSDLKTPASQITKIPINENLNKIETFNIKTDFSGRKMSFGNAFVEKVKGFDEKQDERRRHPHKYVSRDDIKEFKRFIDDEDEGNESDSSSDLFELTNCDLGYYSSGLPVYETTHMDSIKRGAPISN >KVI05446 pep supercontig:CcrdV1:scaffold_52:77295:86351:1 gene:Ccrd_016203 transcript:KVI05446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like, C-terminal WPPLVEVVDSRELPSVLIERYNAAGGEGTALCGIFPEIRRAWASVDNSLFLWRFDKWDGQCPEYNGEEQAICAVGLVKAKPGIFVEAIQYLLVLATPVELLLIGVCCSGSGDGTDPYAEVSLQPLPEYTIPSDGVTMTSIACTNGGHIFLAGRDGHIYEMHYTTGSGWHKHCRKVCLTAGLGSVVSRWVVPNVFKFGAVDPIVEMVVDNERHILYARTEEMKIQVYSLGPNGDGPLKKVTEEKNLINQRDLHNGGRQSAGSRAPARSAKTSIVCISPLSTVESKWLHLVAILSDGRRMYLSTTKSSGNSGTVGSLGGFNSNLQKPSCLKVVTTRPAPPLGVGGGLSYGAIPLAGRTQHEDLSLKIESAHYSSATLVLSDSSPSTTSSLLIVNRDSTTQSSSSASLGTGVRSSRALRECVSSLPIEGRMLAVAEVLPLPDTAATVQSLYSQLEFCGYDNFGESSEKLAGKLWARGDLPTQHILPRRRIIIFSTMGMMEVVFNRPVDILRRLLESNTPRAILEDFFNRFGAGEAAAMCLMLAARIVHTENFINNVVAEKAAEAFEDPRVVGIPQLEGSGALANTRTAAGGFSMGQVVQEAEPVFSGAHEGLCLCASRLLLPVWELPVMVAGSGLGSSDATTGDGIVGCRLSVEAMQVLEDKLRSLEKFLRSRRNQRRGLFGSVAGFGDMTGSILIGTGADLVTGDRSMARNLFSPYSRNLESSEAGTSNKRQRVPYSSAELASMEVRAMECVRQLLLRCGEALFLLQLLSRHHVTRLLQGFDGNTKQALVQLTFHQLVCSEEGDRLATRLVSALMEYYTGPDGRGTVDDISGRLREGCPSFYKESDYKFYLAVECLERAAAASNNDERETLARDAFSKLSGVPESADLQTVCKRFEDLRCQNCRFYEAVVRLPLQKAQALDPAGDALNEQIDAGVREHALAQRERCYEIITSALCSLKGEVSPREFGSPIRPSAQSSLSPAARKKYICQIIQLGVQSSDRIFHEYLYRMLIDLGLEDELLEFGGPDLVPFLQNAGREPTQEVRAVSALTSGASPLRHSGAPIPSSQTKYFELLARYYVLKRQHVLAAHVLLRLAERRSSGLENFPTLEQRQMSFIVFKQWVEAVGDEDVARALVAACKGAVEPVLNTYDQLLSSGAILLSPSLKLRLLRSVLVVLREWAVSVSARGMGTSSTGASLILRGTFSMDQRTSINHGIRDKITSAANRYVTEVRRLALPQTQTETVYRGFKELEESLLTSFSFDRF >KVI05427 pep supercontig:CcrdV1:scaffold_52:275155:276210:-1 gene:Ccrd_016217 transcript:KVI05427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MSSKQRHTESHQWRNENMEGAEQELERRSRFLSNLIQRKKAADQQNQVNIRVRASDMAIAMQNKAFKSAADHLHSMPPANVKKIDSKRLALALKKEFDGLYGPAWHCIVGTSFGSYVTHSSGGFVYFSIDKVHVLLFKTTVEPMHCPRPRLYRTLKQ >KVI05438 pep supercontig:CcrdV1:scaffold_52:404241:405122:-1 gene:Ccrd_016229 transcript:KVI05438 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR3 [Source:Projected from Arabidopsis thaliana (AT2G01590) UniProtKB/TrEMBL;Acc:A0A178VVT4] MTVMLCLFIWFLESKRESEMIGLSCICINRAPILSSLSDRSNPDTNPQVRRIKTGIPLQPPAGKQQKEKKPSVAEIERAIGAGIFRDRDINRQDYGSSNREKTLFDSILSNSIGRKEGDVEKKLRETGEWIIDQTEAPSRSTGGVLMDPSIVDGIVSCGFWGHKATIHLLIS >KVI05462 pep supercontig:CcrdV1:scaffold_52:555596:570494:-1 gene:Ccrd_016241 transcript:KVI05462 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MHAKNRLPTSGNNSPSPPASPLRSPRPRHARSSKPSARFAHRSFLQRFAWIILSLLVRRQGVFLFAPLLYISSMLLGTVQFDAVAYVNKPSMAIGSVYRSPELYAKLRHEMDADNSTADAMSTVWKRAKVGDWRPCINKSSGGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHFHSIWRDPSKFSDIYDEEFFVKALENDVRVVNTIPGYLMERFDRNMSNVFNFKIKAWAPIGYYRGTVLPRLLEEKVIRISPFANRLSFDAPPAVQRLRCLANYEALRFSNPILSIGETLVARMKDRSANNGGKYISVHLRFEEDMVAFSCCVYDGGRRELEDMVAARERGWKGKFTKPGRVLQPGVNRINGKCPLTPLEVGLMLRGMGFDRSTSIFLASGEIYDSERYMAPLLEMFPLLQTKEMLASAEELAPYKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLFSGHAKTIRPDKRKLALYFDNPNIGWRTFKRHMLGMRAHSDSKGVELKRPNDSVYSFPCPNCMCHTNRTEDSKTPAAP >KVI05431 pep supercontig:CcrdV1:scaffold_52:327947:328192:-1 gene:Ccrd_016222 transcript:KVI05431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase type 2 domain-containing protein MSSNQFSASSLCYLALHVLQHHGHEGVGVVAATLDGVLKSINGVGLVYEVFNESKLEQLTGDNAIGHVRCSTDGQSMLKDV >KVI05453 pep supercontig:CcrdV1:scaffold_52:417057:418530:1 gene:Ccrd_016231 transcript:KVI05453 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L MSFNIPKALPSLPQCKFHPLSTPFNSKQSHVYKPHKSFKLVIVNQKKRDHVFALSKPNLIIQVGALLTTVVSEPAFAVTGVNNEEDIVWVLIQLAIFAFFYLLVSPPFIMNWLRIRWYKRNLLEMYVQFMIVFMFFPGILLWAPFLNFRKFPRDPDMKYPWSVPEDPSKIRNSYRKFPWATLDDYEV >KVI05423 pep supercontig:CcrdV1:scaffold_52:240138:244925:1 gene:Ccrd_016213 transcript:KVI05423 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUB domain-containing protein MGDMKDKMKGFMKKFNNSLSSSSSSSSGKFKGQGRVLGSSSSSISSAPVNSSSNRPTTQVQDPKPVPSPRPSSSTSVVLPKKPISPDQPTKSTEGFDPFDSLITTGKRNKNGYDLRVFECPICSRPFGSEEEVSDHVETCLSNNESESKTDNSHGKEETRRELETCIGTYVSGKPSDGSVEIVLKLLKNIAKEPDNVKFRKIRLGNPKIKEAIADVAGGLDLLECVGFELKEDEGEMWAVMEAASSEKIKLINQAVCLLEPPKTEIPASKTVPAKVVEPEEVKKVERQTRVFFSVPESVAAKIELPDSFYRLSIDEVKREAEMRRKKLAESQLLIPKSYKEKQAKAARKRHAKTVIRIQFPDGVVLQAFFNPREPTTALYEFVSASLKDPSLEFELLHPIVIKRRVIPNFGEQSTTLEEEDLVPSALIKFRPKETDSVVFTGLCNELLEIIEPLVSESAVASQ >KVI05426 pep supercontig:CcrdV1:scaffold_52:273005:275060:1 gene:Ccrd_016216 transcript:KVI05426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MVVNVFIFLLLLPLAVSADQDAFVGVNIGTALSDMPNPTQVAVLLKSQQIRHVRLYDADPAMLFALAGSGIQVTVTVPNQQILAVGQSNATAANWVSRNILPYVSTTNITAISVGSEVLTTIPNAATVLVSAMKYIHSALMSAGLDSKIKVSTAHSSSIILDSFPPSQAFFNRTVEPVMVQLLEFLRSTGSYLMLNVYPYYDYMQSNGVIPLDYALFRPLPPDKEAIDLNTLLHYTNVFDAVVDAAYFAMLYLNYTNIPVVVTESGWPSKGDSSQPDATLDNANTYNSNLIRHVLNNTGTPKHPGVAISTYIYELYNEDLKPGLVSEKNWGLFNADGRPIYVLHLMDSGSVLTNDTAGQTYCVAKNDADKKMLQAALDWACGPGKVNCSMMLQGEPCYLPDTVAAHATYAFDAYYHQMAMADGTCDFNGVATVTTTDPSRDGCTFPGSGGSGSNGTFNNGTASSLAPSSNSTTSGSPLLFGSVSFLKFS >KVI05433 pep supercontig:CcrdV1:scaffold_52:300502:314390:-1 gene:Ccrd_016220 transcript:KVI05433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich LTIYIILSNSSISCFESDISISNVKAPTVNSSFIMYPSLPQDYSPKPPPPEAPPQSYHHQPPPPHATGVPAQYVAPHSVHGNWSSSLCACCSDVPNCCLTCWCPCITFGQIAEIVDKGNTSCGVHGGLYALIEALICCGCISGVNMDYGKLPAMTALFTSVVNYVPCVKSIVSSNIADLTSPSDGKVIWRDRIMEYICHPWLPKECTSCIPTSLHLHQPLHRDMLSRHDHHQTPPMFQLHLSSLRPLGPPDFVTVVSISLIVTCGVHGVRYALINVLTCCGCLYSCTYRTKMRRQQGLPEAPTNDCCVHFCCGPCALCQEYRELQHRGFDMSIGWQESMDRSMPNGIQIPPVTHGGMNHYPHNLPPSPPPSAPPHPPPPPTQYATGIPAHYMAPHPPVNVKWSSSLCGCCSDVPNSCGVHGVNMDCVKPLATIALFIVVANDVLCVKSIVSSNTADMTYPLA >KVI05463 pep supercontig:CcrdV1:scaffold_52:552262:554846:1 gene:Ccrd_016240 transcript:KVI05463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPESTSNTGRALEHVHGVHVVQHSPFELEEISQNGNFQQSTHGRLTIGVNQLLRVHTVQRVWQQRPSCLRPIHCCLHGDRHLGERIANVLTSIPFIAVGFQAPRHVLCNLNCKLYANSLIGVGIASSLYHSSRGRWRKYLRWADYTMIATATVCLSRALRDENPKLLMAASALCLPIQPLMVSAVHTGMMEVAFARRAVKDPELKVVHNVHKLSALLGGAFFVADDLFPEIPYLHAGWHLAAAIGVGACNKLLE >KVI05442 pep supercontig:CcrdV1:scaffold_52:113794:118182:1 gene:Ccrd_016207 transcript:KVI05442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco LS methyltransferase, substrate-binding domain-containing protein MSNLMSSTTSKIMLMATRDYCLTINSSATRVLTFHRRLTCSADATPRLVANPPDLVKWVRREGGFVHPSVRIAESGPYGLGLVASEEIPKGSELIALPEHIPLRFPLVDSDGGDESYSNLVNLARHVPVLNKWCKTGHVEHLAEELWAMKLGLKLLQERAKTGSFWWPYISNLPQTFTVPIFFPGDDIKNLQYAPLLYQVNKRCRFLLDFEKEVRSEVEDVKLVDHPFGGKDVDASSLGWAMSAVSSRAFRLSFQNRNHGDVRMMLPLIDMCNHSFNPNAEIIQEQGSGDPKMLVKACFFNSVVAGMNIKEHDHLTLNYGCLSNDLLLLDYGFVVPSNPYDCVELKYDGGLFDAASMAAGVSNPSFSSPALWQRQILSKLNLEGEQALLKVTLGGPEVVEGRLLAALRSLLASDAETVEKCDLGTLKSLDIESPLGLATETAALRTLIALCVIALSHFPTKIMEDESLLKQGVSTSTELALQFRIQKKSVLIDVMRDLTKRVKLLSSNESIVTQS >KVI05439 pep supercontig:CcrdV1:scaffold_52:395438:403022:-1 gene:Ccrd_016228 transcript:KVI05439 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MTVAAIAISQPIVFFGFLHTREKERRDNRSRYLPICRNTVRSIQREMGTMQTWRKAYGALKDQTTVGLAHVNSDFKDVDVAIVKATNHVECPPKERHIRKILAYTSAIRPRADVQYCLHALARRLAKTRNWTIRLKGAWQGLLFAATTEIVMDNVALKTLIVIHRTLREGDPTFREELQNFQQRGRVLQLANFKDDSSPIAWDCSAWVRTYGLFLEERLECFRILKYDIEAERIPRPAAQGQDKGYSRTRDLESEELLEQLPALQQLLYRLMGCRASYLFIFILVPISCPEGAAVGNYLIQYALALVLKESFKIYCAVNDGIINLIDKFFEMPRHEAIKALDIYKRAGQQAGSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRLVAGPTQPLEFPERLMLTYKPEEDTSPHEDTDSPVDEPKPVLTDEFTASNDVPEPAHPPPPPTFNSQDPDDLLGLNFDAPNGSAIEESNALALAIVPSDPTTTSASGFQGKDFDPTGWELALVTTPSANISSVQERQLAGGLDSLTLNSLYDEGAYRASQQPVYGSPAPNPFEAAADPFGMSAQMTPYQANPFGQYQPAAAYPPQPQQNLMMAPPNPFVDSGFGPFPVNNGSHPQTTNPFGTTLL >KVI05448 pep supercontig:CcrdV1:scaffold_52:166668:168395:1 gene:Ccrd_016209 transcript:KVI05448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCLVSRTGRDLQRYDMGRRLVVGCIPYRFKNGNGELEVLVISSQKGHAMMFPKMKVEEAREVCQSWWMKEALDVFVERLTSTTTFIKPDLLNN >KVI05468 pep supercontig:CcrdV1:scaffold_52:651987:652439:-1 gene:Ccrd_016247 transcript:KVI05468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLYQILSILFFLSISLYSPAPVVAQECPYPCYPPPIGGGGGGGGGGGGNNQPTTTYPPPSQNGYYPPPSTIFPYNPPNPNYYGGGGGGGGGGGPEAPDPIVPWFPFYYKKPPHSPEKTSSSGDRRIQSTVVICLIHLSSFLIFVSFV >KVI05436 pep supercontig:CcrdV1:scaffold_52:371031:371985:-1 gene:Ccrd_016225 transcript:KVI05436 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MAAPAPAPPLSFPHYPELIFAAIDAIDEKDGANKSTISKHIEATYGNLPTAHSTLLSHHLNKMKAAGQLIMVKNNYVKPDPDAPPRRGRGRPPKSKQPLPPDTVLSPPKPRGRPPKPRDPLAPKKVTPSVSGRKRGRPPKLGSSATKGPSPAASGERRGRGRPPKVKASVAAPVGA >KVI05444 pep supercontig:CcrdV1:scaffold_52:49317:52694:-1 gene:Ccrd_016201 transcript:KVI05444 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5, heme-binding site-containing protein MPTLTKLYTMQEASEHNSAGDCWVVVDGKVYDVSSYLEEHPGGDDVLLQATGKDATDEFEDAGHSKSARELMESFCVGELDTSDIPQLEVISEKQTNYISEKLVDLSKQYWAIPVAAIGISVVVTFLYLHKK >KVI05440 pep supercontig:CcrdV1:scaffold_52:103924:106181:-1 gene:Ccrd_016205 transcript:KVI05440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MFMDSSSSKSLRFISHQSFFSAVRSGDLESLKAIVNDDEDSDTASASALMAMQNDAGETALYIAAESNLQDIFTYLLKFCDLQTVKIRSKLDFDAFHIAAKRGHLDTDVSCIKILRKNGKTALHTAARYNKTSMVKTLIERDSSIVAIKDKKGQTALHMAVKGQSTTVVEDLLLADHSILNERDKKGNTAIHIATRKSRSQIVSFLLSFTSINVNAINNAQETALDIADKLNYGPPKLEITEALGEVGAKHARHVGRIDEAMELKRTVSDIKHEVQSQLFQNEQTQRRVSGIAKELKKIHREAVQNTINSLTVVAVLFASIAFLAIFNLPGQYLRTGKEAGEAYIAHTPAFRAFCLFNATSLFISLAVVVVQITLVAWDTRAQRQIVSVVNKLMWAAGISTCGAFCSIAFVVVGKRSSWMAVTITVVGVPILLGTLVSLCYFVFQQHFGFFGSDSQRRIRRPSGSKSFSWSMHSANISDDESDHEMIYAL >KVI05469 pep supercontig:CcrdV1:scaffold_52:644415:650123:1 gene:Ccrd_016246 transcript:KVI05469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIEEPFRFNFQADLLHSGSISFGRFESESLSWERRSSFSHNRYLEEVEKYSKPGSVTEKKAYFEAHFRRKSLLKQSSSEYHDGREFQTSENDDPQDLQDSENGNESKYFTSFGKRPHSSGHSKYDEETDIQKCEKEKIETVWAENDNTGSHVTSFDESYSHLGYEGGEILECEGAELGVSSVAPKDESTINIVDHMVSGSEHLKIKETRQIGTKNVVLVESEENTGETLNDIVVMVDVASQNDSSLTSDTADKDEASANSGPQRKFSSKVRPASKMKQTESKQKVQANAAQVQRTISSEASIGSAKAKTRKSEGLLMKEKQKMSPRPASPITHSGRKTSKSEESSMNTPKARLVPPKKSIVKEYRSEKAAEAKSSVSEKSLPEARQTANRVKQTIGLSKPRINPGVNQGAAGFTFKSDQRAERRKEGKKAAEMTHSQKSLNFKSTPMPSFYKESAHCSDQNKVISTSMRTPNRPRTPCMATRTNRFPSNSTASSADTRPTSSISLTNRNCPSESLRKSHVPERRIQEKKRESSSHKQKQPEATKGPRASRKDTKGVEIGPKMGYAALGVVT >KVI05424 pep supercontig:CcrdV1:scaffold_52:175742:178425:1 gene:Ccrd_016210 transcript:KVI05424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MGDPSTSSSTIARHRPPSLKRSEVPPWVFIMINIAYEECTIHSNAKDNNKFDRFCIDCLGSFCSKCSSNHHGHNYIKGYISNSNKVLFLKKRKPQQQRLAEQQNSRDHRCIVCKLSLTDSSYCSIQCKVSAMSPELATVDNNEKWCS >KVI05435 pep supercontig:CcrdV1:scaffold_52:374275:377272:-1 gene:Ccrd_016226 transcript:KVI05435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MTKFKNFFHQLTKPCKSNCTGTTEDLEKVPAQEQKIFTFQALVSATNNFDNKLGQGGFGPVFKGKLEDGREIAVKKLSQTSKQGKREFTNEAKLLACIQHRNVVSLLGYCAFPEKLLVYEYVLHESLDKLLFKSEKRDVLDWKRRYSIIVGVAQGLLYLHEDSHDRIIHRDIKASNILLDKKWRPKIADFGMARLYPEDKTHVNTRVAGTNGYMAPEYVMHGNLSVKADVYSFGVVVLELISGQKNSTFNLDDCQNLLDWAYKLYEKGKAVEIMDPSLATSADPDQVATCTQIGLLCTQSDPRLRPTMRRVAVMLSKKSSLLDEPTKPGYQGTAGRRPHRHSGSSSSTGISATNSHSSRSTTTTTAVASAASTSGFVGLISNPHRSHPSSSFASSVSDPYGKRPIEC >KVI05458 pep supercontig:CcrdV1:scaffold_52:479654:481475:1 gene:Ccrd_016236 transcript:KVI05458 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEGVLGILKLRVKRGINLAVRDTKSSDPYLVATVDGQKTKTRVVKGNCNPEWDDELTLTLRDPNTPINIAVYDKDSFSNDDNMGVTEIDVKPYIKCLQRGLELKNLPDGTKLERVHPQKTNNLVHESCIVLENGKIVQDMTLRLREVECGEVVIRMELIPLPGRKLCV >KVI05460 pep supercontig:CcrdV1:scaffold_52:507996:509009:-1 gene:Ccrd_016238 transcript:KVI05460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLNGTLVKQVTIKSDGDVFHEIFRQRPHHISEMSPDHIKCVDLHEGEWGVVGSVIGGDILEAYKTFLITVHVETTGEENVVTWTFHYEKLNDKVEDPDSLMDFCLRVTKDIENHHLTNSTEDTENPHLTSSIEDTKEPSPQQVQ >KVI05452 pep supercontig:CcrdV1:scaffold_52:405516:412670:-1 gene:Ccrd_016230 transcript:KVI05452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MIFKTSRRRRIENPHSLNLKLCSARPLVTRVGSSSDSGGTFDDATPQKVSDGSSDFETSSSPISGDGYVGLFIRMLGLDNDPLDREQAVDALWKYSLGGKQCIDAIMKSRGSVFLTVNLLNSESCVACEAAAGLLRMISSVNIYRDLVAESGAIQEISSLLRRSSLSSEVKEQSLCLLSNLSVDEKLRLQIANSDLLPLLIKFLDDEDMRVTEAAGGVLANLALSLTNHKILVEAGVIPPLAKFLRTDFEGSKIIRKEAQSTLLELAKDDYYKILLIEEGMVVVPLVGAAAYKSFRPSLHSWPSLPDGSELNVKQNLKGPSRYGASELLLGLHVEDDKNTKLEEAKKNAIVGRTQQQFLARIGAIETEDESNKTGSPTSDQRFTILPWVDGVARLVLILELEDESAIAKAAESIADSSISEHMRTSFKEAGAVKNLVRLIDHHSESVRLAAIRALERLSVRSLKDSDAFGNSTYMILNILARILDPSKEMKSKFYDGPVNGSKKGWNEARTSTPNLTSSLQTFQEGDLSDPTFLSRLVEILTSSSPVLQTKAATILEFLTASEACREKIISLDIESALDSLFRQKFFNEAGLAVSAASRLLTRLLDSDQFRKVVNSSRLTDSLRNILTSRIPLQNKDWVAACLVKLGSLWGPANLGSENPINTEVMLYETIPRLVEQMKDSYSPEAQEVAVLELNRVISEGVVDSSRVVAGEGGIFPLVKLIEEGNDKVVEAGLAILYNLSMDPENHPAIIAAGVVPTLRRIVLSERPQSVRALHLLRTLPT >KVI05445 pep supercontig:CcrdV1:scaffold_52:44939:47020:1 gene:Ccrd_016200 transcript:KVI05445 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase, DevB-type MALLESKKDRGEVRIHESLDELSTDLADYIAELSEASVKERGVFAIALSGGSLISLMRNLCGAPYNKTVDWSKWYIFWADERVVAKNHVDSNYKFAKDHLLSKKQEEAEHSLPTLYNKSCFICITNKHLDRINDFQRPILKVQRMIFMVENGVSDSQRFNKKLVYMIRLPIVPSHVHSINDSLTAEEAANEYEFVIRQLVRTRVVNVSDVSDCPKFDLILLGMGPDGHVASLFPNHAVLEEKNEWVTFITTSPKPPPERITFTLPVINCAANVVVVVTGVGKAEAARLAIDDDVGPEGHVLPARMIQPAVGKLVWFLDNQAGSKLKRFSK >KVI05456 pep supercontig:CcrdV1:scaffold_52:450118:451845:1 gene:Ccrd_016234 transcript:KVI05456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor DELLA, N-terminal MKRDYPQQNYTFFNGASSISAAAGSGSGSSGAGFSDLRSEVTGKSKMWDEEQDAGVDELLAVLGYKVKSSDMADVAQKIEHLEGVLGNDDGLSQLASDSVHYNPSDLSSWLESMICELNPTVQPLDDSFVNGVTPTGTTAVTSLAVDSSSGFVDDLQAIPGNAIYPPTKKQKPSSPSSGASSSYQPNPIVLVDSQENGIRLVHTLMACAEAVQQDDLKVAETLVKQAGILAVSQAGAMRKVATYFAEALARRIYRLCHKTPQDSPAFQDLLQMHFYETCPYLKFAHFTANQAILEAFAGKKRVHVIDFSMKQGMQWPALMQALALRPGGPPTFRLTGIGPPSGDNTDHLQEVGWKLAQLADTIHVEFEYRGFLAESLADLEPAMLDLREGEVVAVNSVFELHQLLARPGAVEKVLSAVKEMKPEILTVVEQEANHNGPVFLERFTESLHYYSTLFDSLESSGNGGGGVDGGGIAAASNQDKIMSEVYLGKQICNVVACEGPDRVERHQTLTQWKTRFESSGFEAVHLGSNAYKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLITTSAWKLR >KVI05466 pep supercontig:CcrdV1:scaffold_52:604594:605415:1 gene:Ccrd_016245 transcript:KVI05466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MALQPKIIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAYVSFKLTGYFRDVDCVTDNASVLHHYGVVRLKMNLKVRIDGDLNGIFERQMDDASPKISFNRVDDDVQGMREGDKQEEIQI >KVI05454 pep supercontig:CcrdV1:scaffold_52:418884:424804:-1 gene:Ccrd_016232 transcript:KVI05454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKVSNSNTKLYPSHFHRSSRLKFPISSLSNLSSFQFRKMEFESNIIAEESNNNHGWQKVTYPKKQRKNPAKQQQQPAKVLSNGSSVSVVDNVFTSIEKKSEERRKVIEAERLANLAIYDPAPPARSSKKNNYSDYEDSDEEVVANGVGNGVTEEKKTKPKKVKKPKVTVPEAAAKIDAADLANFLSDVSASFETQHEIQLMRFADYFGRAFSSVSASQFPWVKLLRESAVAKVADNPVTHIPEAVYKTSVDWISNRSPEALGSFVLWSLDSILADFASQQGNAKGSKKGPLNQDNLHSAVGIFVALAMVLRRKPDVLISALPTLRETSKYQGQDKLPIIVWIVAQASHGDLSVGLYCWSHLVLPIVGGKSGSNPQTRDLILQLVERILSAPKARTILVNGAVRKGERLMPPSALDLLLRVTFPSSSARVKATERFEAVYPTLKEVALAGSPGSKAMKQVSQQIMTVSLKASGEGTPELSYEAAGIFIWCLTQNPDSYKQWEKVYTDNLEASVVILRRLAEQWKELSVKQSSLEALAGTLRSFKSMNENALTEGEKSVGQQALYREADKYCKVLLGRLSRGWGCVKGMALVIIAIGMGIAFIPPTALESLDLTKLTEMFNLQQSA >KVI05450 pep supercontig:CcrdV1:scaffold_52:12122:30660:1 gene:Ccrd_016199 transcript:KVI05450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDFSPAARRTSKKPTKSELYSTFVVHNDEEDDEAQKQKNPKKERESESISGTVVRRTSGGMSTMSRAVASMHAVGEGFGKPRKGSGTPTSSSQDEEGGYSRRQTSKMSSGSIADSITREDPSTKYELLHELGKGSYGSVYKARDLKTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTDEPLEEYQIAYICKEALKGLSYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKMCGPLGCLQLKWLRSLVFHDFIAKCLTKDPRIRPTASELLKHKFIEKCKSGASAMLPKLERAKQNRASMALEAENVAAETVPGYGTLGAKMNEDFGDTVPSKPHQVPNGVPVVGGSVQADGLEDAGEGDFGSVIIHGGVAIDKTAAKTPVPTANKPSSSSARGQMSSTADSGEDSVIPWVTKKDGASTDTFRIVESPATEHTAQAIGSSRAVISGTTKKDTVNRKALDKLWSIYAAGNTVPIPFLRATDISPIALLSENVLGSQHVDNVGSVAVEALQELFTSDGQSKKGRRQNELPLPPSVYQRLTSSPTLMNLAQACYEEMPLQELQAAQEQQTIQNLCDTLRTILRL >KVI05422 pep supercontig:CcrdV1:scaffold_52:230737:232628:1 gene:Ccrd_016212 transcript:KVI05422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cofactor-binding repeat MEFINLFTPIFLCFLCFQFNVSFSDLAADREALIRFSSVVQGNTIRWNSSDPSPCSWTGVLCDNATNRVTGLRLPGARLAGEIPPNSIGNLTKLRRLSLRGNLLRGEILLDLENCSELRFIYLQNNRLSGEIPATFFRLSNLIRVDISSNNFSGEISASFNNLTRLTHLYLENNQFTGPIPDLSSEFSQFNVSMNNLNGRIPRRFANLSVNSFTGNQQLCGAPLSSCPNESESNKLSGGAIAGIVVGSALGSILIIASIFFLCRNCHKSRTSRQAVQDAASSIPPSPEKPPAYDFRSPDHILPTDHSGSDGGYSARPDNNDELTFFGEGGFLLDDLLRASAEMLGKGTVGTTYKAYLDNGGQVIVKRLKNVCVSKMEFTKKIVYLGKLYHENLLPIKGYYYGKEEKLLVFDFIPIGSLSSVLHGEERSQLTWEIRSRIALQIASAIEHLHSHNLCHGNIKSNNILLANGFQASVSESGLIQLVTSSTPNLSGYRAPEVIDTRIASREADVYGFGILLLELVTGKDPTVLMNEEGIDLPRWVQGVDESRWSSEVFDLNLLTNPNDEENIVRFLHVGIRCASQVPKRRSSMMEVVQRIKKICKD >KVI05428 pep supercontig:CcrdV1:scaffold_52:261176:264062:1 gene:Ccrd_016214 transcript:KVI05428 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO/COL/TOC1, conserved site-containing protein MERDFMGLNSKDSVVVVKEEAVEGCKESVYTKSSAIHWPLPDGFEGSKNRQSGENQKSIGLNRQGGTHFSMAAYPMQQCAFPLQLHNDTKQAVPIAMSPFFRAQFGGAPIKQHAILPSAGSFLAGTTEPWYSTKASCAPAQLTIFYGGMVNVYDDISAEKAQAIMFLAGNGASVSTPQPRVQAQAPIPRTPAGDAVYVSEPINMQPCSALSSPMSVSSHPVGQSTAVPTNKDEAAKTVGGSVTPISKVDTPRVINSLEQVMQSAVPQARKASLARFLEKRKERVMASAPYSMSKSSSECSANPGASCSANVVSSS >KVI05421 pep supercontig:CcrdV1:scaffold_52:281049:291177:-1 gene:Ccrd_016219 transcript:KVI05421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MEKAPQPTTGAQSQPQPQPAPPSNPPPAEATPNGAQPAPPAVMVVGVPPQQVPPPAWSTGLFECFDDPTTLIITFFAPCVTFGQVAEMVDQGRTSVGMFAALHFLIMYFTGCGCLLSAYFRIKMSHLYRLPDDPIINILVHLICEPCALCQEYRELQHRGFNMKLVIITFFAPCVTFGQIAEMVDRGRTSVGMYAGLYFLIMYFTGCGCLLSAYFRIKMSHIYRLPDDPLINILVHLICEPCALCQEYRELQHHGFNMQLGVGWHNQTLEVQQIGAPMEPPMPAMSSPNKNVYQTLLGSPPSSPDDQLEPNTTVLWSSGCLTCWCPCITFGRIAEGVDHGKTSCVASAGIHALLTYMTGFGWMYSYIYRSKMRKQYTGDKGDEQQKYGVEAVPVTPGGMIR >KVI05465 pep supercontig:CcrdV1:scaffold_52:575171:576767:-1 gene:Ccrd_016242 transcript:KVI05465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn10 MAKRLIPCLNRVLVEKIVPPSKTTAGILLPEKSSKLNSGKVIAVGPGTRDKSGNTIPVSVNEGDTVLLPEYGGTEVKLGEKQYHLFRDEDILGTLHD >KVI05451 pep supercontig:CcrdV1:scaffold_52:6700:8877:1 gene:Ccrd_016198 transcript:KVI05451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGLFGMGGRKRQRSNSILFLVFNLMFLWFVSGEFVDFSEKNRLLELKNALFDPHNLLSSWKPANPNHCSWFGVTCNSHARVSELRIPGGKFIHSGSCLGDYHFDLERNCSSGRKGKFVGGILSNVIGDLLELRVLSVPFNQISGELPDAIWGLRNLEVIDLEGNSINGNLSMIDFTYLKKLQVLNLGFNRLFGKIPKSLAECEGLSILNLAGNRIHGTIPVFIGSIRKLKGVYLSFNRFFGSLPDEFWTNCDVLDHVDFSGNFLDGKIPKSIRNCGKLRTLLLFSNAFSGGVPSELSMLENLAVLDISRNRFVGNIPVSLVNSKSLVKFDFSSNNVSEQIMVESVVNLQSLSSQHRRALADSGTVDGKKKGFTLLELVLILVASLIVLILIVLIIAYFYMRNRRRSSQVEGIPSPPRSGTERLVIFKEVGAPLTFDNVVQATGNFTSRYCIGNGGFGSTYRAEISPGITVAVKRLTVEMCQGVPQFNAEIRSLGQIRHPNLITLIGYYASMSEMFLVYNYLPGGSLEEFICQKRNSVIGLKTVHKIVFDVATALAFLHDECNPRILHRDVKPSNILLDKDLKPYLSDFGLSRLLEGFETHVTTGVAGTFGYVAPEYALTCRASDKVDVYSYGVMLLELISDKRALDPSFSSQENGYTIVSWAWRLWREGRMVEVFAPGMWEAGPENVLLELLQLGLVCTEEASGGRPKMRQVARILRQIQTAFDP >KVI05461 pep supercontig:CcrdV1:scaffold_52:528065:531533:-1 gene:Ccrd_016239 transcript:KVI05461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MASSSAWRWTWHNSSPKNSSLIASSSFNSPSISVYPFIFTHRQRSSFIFPHNQHPSPSSCCSAEPNNAKKRLRKSDKKLQIGEISVNDDVSVSKKDQLPKKRLNLNYKSLFGRRALWRRIFFASKKVRSILLLNVITLIYASDIPVLKEVEAVMDPAAFTAVRFVVSAIPFLPFAWRAWGDVQIRNSGIELGLWVSLGYLMQALGLVTSDAGRASFISMFTVIVVPLIDGMLGAVIPARTWFGALMSIIGVGMLECSGSPPCVGDLFNFLSALFFGIHMLRTEHISRKTDKENFLPVLGYEVCVVALSSIIWFFIGSTMDGSLEYHPSSWTWAVFLKWMVEFPWIPALYTGVFSTGLCLWIEMTAMRDISATETAIIYGLEPVWGAGFAWFLLGERWGVSGWFGAALVLGGSLMVQIIGASSPSSSVKKEDDMMVVTDRQNGLSASAVPVTSRKDVSNLLKKKY >KVI05437 pep supercontig:CcrdV1:scaffold_52:357350:365744:-1 gene:Ccrd_016224 transcript:KVI05437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase, alpha/beta/alpha domain I MLWGYEPNSVSHIHPHQVRSGQETCGSNYICCRHSHSHTLFANLNPSAILFSLPKIEDMVTFKITRVESAPIDGQKPGTSGLRKKVKVFTQPHYLHNFVQSTFNALSAEKVKGSTLVVSGDGRYYSKDAIQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVVRERVGADGSKANGAFILTASHNPGGPNEDFGIKYNMENGGPAPEGITDKIYENTKTIKEYLIAEDLPEVDISAIGISNFSGPEGQFDVEVFDAASDYVKLMKLLIKLLCYCFRSIFDFQLIQKLLTSPQFTFCYDALSGVAGAYAKRIFVEELGAKESSLLNCIPKEDFGGGHPDPNLTYAKELVSRMGLGKNPNSDPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQAIPYFSSDLKGVARSMPTSAALDVVANHLNLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNLNGGELVTVEDIVKQHWATFGRHYYTRYDYENVDAGAAKELMAYLVKMQSSLGEVNKIIKGVRSDVSNVANADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKTGRDSQEALAPLVEVALKLSKMQEFTGRTAPTVIT >KVI05449 pep supercontig:CcrdV1:scaffold_52:118641:118904:-1 gene:Ccrd_016208 transcript:KVI05449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTNNRHREMEEEDETVVKYMVGGVVAIHSQVKKIKQELEKTMHPAAALEEHPEMRSLLREFSRQTKRSRSPLGITNRPISVGNS >KVI11251 pep supercontig:CcrdV1:scaffold_520:177135:209949:1 gene:Ccrd_010341 transcript:KVI11251 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2 binding MADATTQQSSRSRDLDKLLLRPGHLVGPAFEPGTELRDDLQKYVRILVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVSNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKELEFYSEFSIIVLGLDSIEARSYINAVACGFLEYDSNDNPQEQTMKPMVDGGTEGFKGHARVILPGMTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKSFDPDNPEHMQWVYLEAAKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKIASGCSKTLSNYLTYNGVEGLHTKVTEFVKDKDCLVCGPGILIELDTSVTLKMFIDLVEDHPKLLVSGASVTHRGKNLYMQAPPVLEEMTRSNLSLPLFELMDKFPKGVVHVTGTAGKGDNRQSCLRKLRVAFKGVDGITDTDMAGGA >KVH22045 pep supercontig:CcrdV1:scaffold_5201:89:223:1 gene:Ccrd_025884 transcript:KVH22045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKGTRNPSWRFLCLISCAIQILSL >KVH22044 pep supercontig:CcrdV1:scaffold_5201:24614:26521:1 gene:Ccrd_025885 transcript:KVH22044 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDYSTFFLLLSFLSSFIYVVTTSGXHSSRLPPGPYAFPFIGNLLELGNKPHRSLATLSKCYGPLMSLKLGSRTTIVVTSPDIAKEFFHIHDKEFSSRSIPDTARTMNHHKYSMAWLPVGEQWRRLRKISKEYLFSVQEFVNYVNQCCVNVKEVNINAVAFTTTLNILSNFIFSMDFAQYGSETSQEFKEAISGLMEIVGKPNLADFFPVIKSLDPQGLLRRGNVYAKKLLTIIDKIIDQRLQKRSSSLSCDGVSCTNNDVLDSLLNLHLKDESEFSRNDMRHLFFVLFLAGTDTTSCTFEWAMAELIRNPEKMEIARLEVVKLMQNNKRNIQFQDISRLPYLQAIIKETLRLHPPGPFLVPRQAIQDV >KVH97519 pep supercontig:CcrdV1:scaffold_5202:1777:11896:-1 gene:Ccrd_000372 transcript:KVH97519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLANSLLLLLSLILSLSFSFSFPFDTAYHIDCGSSTTTTDKFNTTWLSDRYYTAGSNGLVSEPLEFQSFHEKTLRYFPISSGKKNCYIFPELPPGRYYLRTFTVYDNYDGKSHSPSFELSVEGTLVFSWRSPWEEDISRFGAYSDLMFFTSDGDADVCFYSIATDPPVIGSLELIQIDPASYDPVLTGNDSSILVNYGRLTCGSSQWGPGFSNDTDVFNRAWQSDAKFRSSNTVGIKSVTAVRNVINTGTSPNYFPAKLYESAVTLTGKGNLEYELPVDAKQDYLVWLHFAEIDVSVNAKGKRVFDVIINDENVTRIDIFKEVGGFAAYSYHYVAKNLSNTPLTVMLVAVVGSPLICGLENYAIVPADIMTVPEQAAAMQALKETLRVPERMGWNGDPCAPTTWDAWEGVTCHLNKDESALVIFQIDLGSQGLKGYISDKIDHLTNLVSLNLSSNSLGGTLPAGLGQNSLKKLDLSDNQISGSIPDSLTSSNLQLVLLNGNKLEGQVPEELYSVGVHGGAIDLSGNKGLCGVPSLPDCPLFWKNGGLSNGGKIAVGISCGVVFLFVLVIVYICIRRRRNDYDFGLPQELMSLAAKRNKYQRQKSLMALEMESQHAKGFIPSYT >KVH97520 pep supercontig:CcrdV1:scaffold_5202:31250:31869:1 gene:Ccrd_000373 transcript:KVH97520 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL-like protein MKMMGRSKRRVSSRLGGILKEQKARLYIIRRCVIDEIYGSRASHVCNPTYSPLTAFNVV >KVH22038 pep supercontig:CcrdV1:scaffold_5203:2119:8854:1 gene:Ccrd_025886 transcript:KVH22038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MIGXLLIWQTQGVCIEEERKALLEIKGSLIDSYGVDLLPAWGDDGSTGGRSGGKCCDWERVTCNTITGHYSYHMIDVVLHVTELAHLTTMEKLDLSVNMLNGTPSIQDCRRLSRLKKLESVTLGYNKFNNGIISCLSTIPFLKTLDLSWNWFGGSFPIQELAHMTNLEKLDLSWNMFNDTPSIQDCRRLSKLKKLESVTLRYNNFNKTIISCLKLSGLKELETLDLSYCGLESLMLNGMSPLPLPHLKLKTLLLRGNNFNGTLPMEDLGGNNFFGSIPSTIQALSSLRAVSFAYNNLNGSLPDQGLCELNNLHELDLSWNWFDGNLPQCFSRLSSLKLLDISTNQFRGTLLPSLIANLTSLEYVDFSNNRFEGSFSLSLFSNHTKLEVFRFVSNNNKFEVETEEPMGWIPMFQLKVLVLPSCNINRPKGSVVPRFLLHQRMLHVIDLSHNSLVGKFPNWLIENNTMLEALNLRNNSLTGIMCMPRYRNANTRWLDVSENHMNGTIPADIQKLLPSIRYLNLSSNSLDGVISSSIGDMRQIWGLDLSDNKFSGEVPKAFFSNITYLRILKLSKNRLQGEVLSRNISLGHIERLGFNNNHFTGKIGNWTFQNPYMESLDISNNLFTGTIPRWISDVMMDNSELIVSNNGFEGSFPCGTTSFSFLDISHNSFSGPIPSCLDFQAMTHLHLGSNRFIGPIPNAFXNLTSVLTLDIGYNSLSGRIPEFLGNLSYLRILILRKNNFSGSIPKPLCQLSNVSLIDLSSNSLFGSIPSCLQKIMTSVYPAFELKVQSSHGMSLSYAYHSVIYRYTYDGSYSPTSFQAQDEVYFTTKALSHPYKGSILDLLMGLDLSCNKLTGKIPKELGSLNRIYSLNLSHNQLTGTIPMQFSNLEKIESLDLSSNWLRGEVPPQLIKLTFLSVFNVSHNNLSGRLPEMKAQFGTFTKASYEGNPLLCGPPLDKKCMTTSYVNDPSTKDGSDKWYDIDMAFFYGSSGSTWVVFLLGFVATXYINPYWRRRWLDVVEECMYTCYYFLEDSVCKVSMLFRK >KVH94901 pep supercontig:CcrdV1:scaffold_5204:15339:23706:-1 gene:Ccrd_003031 transcript:KVH94901 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, subunit e1/e2 MGFLLTTLVFVVIGIVASLCARICCNKGPSANLLHLTLVITATVCCWMMWAIVYLAQMNPLIVPILSESE >KVI03318 pep supercontig:CcrdV1:scaffold_5205:8535:21442:1 gene:Ccrd_018384 transcript:KVI03318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine/AMP deaminase active site-containing protein MDAYALHLAMAALAGASFVAVSAFYMHRKTLNQLLEFAKTVEKEREDDSGTYDDDSSLHYRKYGGTEKQHQRRNQGGRGKGGDDGYYRRSSTSLPDVTAISGGVGACDADVIPPGLPTLCTLSEEKSAAGSTKRTGLPLRPTSPKSPIASASALESGEGSEEDADITDNTKLDTTYLHTNGNAECTSLNLPEHVNPNGEQLPIASSGMIRSHSISGDLHGVQPDPVAADILRKEPEHETFVRLRITPSETPSSDEAEVYRALQVCLEMRRSYVFRESIAPWEKEVITDPSTPKRNPNPFQYFPERKSNHYFQMEDGVVHVYADKDSKEKLFPVADATTFFTDLHHILKIIAAGNARTVCHHRLGLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFADLDASKYQMAEYRISIYGRKQSEWDNLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQTMLDNIFLPLFEVTVDPESHPQLHIFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNIFNPAFSYYVYYCYANLYTLNKLRESKGMTTIRFRPHCGEAGDIDHLAASFLTTHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSDLERGDATSLSGEGQFS >KVH21812 pep supercontig:CcrdV1:scaffold_5207:4574:5975:-1 gene:Ccrd_025887 transcript:KVH21812 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MIEASKTTIEVSNTLDYKFDDNGVGDDSPRVTSLFKVPITDAVVAARILNATLVVPKLDQKSFWKDASTFSEIFDVDWFISHLSRDVKIIKELPRKGGKIWTPYNMRVPRKCNVRHYQLRVLPVLLKKH >KVH21813 pep supercontig:CcrdV1:scaffold_5207:6829:7299:-1 gene:Ccrd_025888 transcript:KVH21813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRSIAFTIAFNRRSPIVPQSLSGIRRPSLPKLSFKLVGNSVFSLPVGNYFIDTSENVKCLALQPVTSSGGFSVIENVMQQGFLFEFDIGRSQLGFSRSGCTRA >KVI08114 pep supercontig:CcrdV1:scaffold_5208:23459:26495:-1 gene:Ccrd_013519 transcript:KVI08114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4E (eIF-4E), conserved site-containing protein MASDEAAAVVDVSGEVAGQPHRLDKRWTFWFDNQTKLKQGAAWGNNLRKVFKPSKLPGNAEFHLFKDGIEPKWEDPQCANGGKWTVTSSRKATLETMWFETLMALIGEQFDDADEICGVVASVRQKQDKLSLWTKNAANEAAQMAIGRKWKDIIDVNDKITYNFHDDSKTRASKGRYSV >KVI08112 pep supercontig:CcrdV1:scaffold_5208:5569:10021:1 gene:Ccrd_013517 transcript:KVI08112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKLKHWVDRHRTSLSGRLRKMMMKCFYSGERLEAKETNASSESLATRDFSASGYSSRAGEPDPKLDNSNIEEAESSLRESGFLNYEYQKGNVEAALHVFEGIDIAAVTPKMKNSLARRSEPPRRHSQSDAAPPMSIHAVSLLFEAVFLKSKSLQALGRFREAAESCKIILDTVESALPDGFLNFSSDSKLQEILNKAVELLPELWKLASDPQSTILSYRRALLYHWNLDHKTRMYIEKEFAIFLLYSGCDANPPNLRSQMESSYVPKSNLEEAILLLLILLRKIVIGIIEWDPSIFYHLSFALSVAYDLRSLAHQIEEFPPGIIARKERYSTLALCYYGEGEETVSLNLLRSLFNDKENHHNCVFEMLLASKICGGHSDSLEEGIAYLYKLLQKFEGNCEQMISVANFFLGISLSAQSRNANLDSQRISMQSEAVESLETANKMMKQEDPNVLFHLSLEHAEQRKLDIALYYAKQLVKVEAGASVKGWILLARILSAQKQYVDAENIVDAAIDETGKWDQGELLRTKAKLQIAQGHLKNGIETYTRLLAVLQVRSKSFGLHKKLSKMKRSNKERGLEMEIWHDLANVYTSLSQWRDAEVCLSKSKAIDPHSASRWHSTGLLHQAKGQREEALTSFEKALDVDPNHVPSLISTAIVLRELSDQSLPVAKSFITDALRVDRTNPRAWYNLGLVYKAENGCSALEAAECFEAAIMLQESEPVEPFR >KVI08113 pep supercontig:CcrdV1:scaffold_5208:11257:12555:-1 gene:Ccrd_013518 transcript:KVI08113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L28 MALVAASFGLATGKASITCFFKPQSSAVRLKPNSNEVSELGFVTSQLSGLKISHHQCASPHKLSSPIKLPIQPVALWWEAGKRFVKLRLSTKALKTIEKNGLDAVAKKAGIDLSKK >KVH96010 pep supercontig:CcrdV1:scaffold_521:121642:121914:-1 gene:Ccrd_001912 transcript:KVH96010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGIFRLQSVVANAKQLIKCHDVPKGYLAVYVGEIQRKRFVIPLSYLDQPAFQDLLHKSEEEFGFHHPMGGLTLPCHEEAFVHLTAQLRIA >KVH96001 pep supercontig:CcrdV1:scaffold_521:38670:43970:-1 gene:Ccrd_001905 transcript:KVH96001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein (TRAP), alpha subunit MANIRVFFILALLLLSSSFFLQVARSQSDSDADADADAELVTETVQEGGDIGIVGDDIQDFGGGNFSPAPGVKTVCVFPKNPVKVVVAGQETELLIGLKNEGEQSVKVLAVYASVHLPFDHQMLVQNLSTQHLSCCFALVQLFYTQEFDMTNICLCFQAFNNASVPGSVQATYPYIFAVSKFLQPGTFDLVGTIVYEIDNLPYQNTFYNGTIEVTEAGGLISVETVFLVSLGLALLVLLGLWVRGQLQNLSKKTKRVKVEVGTRTVDASMDEWLQGTAYTLSQSNKSKKKK >KVH96002 pep supercontig:CcrdV1:scaffold_521:15661:17656:-1 gene:Ccrd_001902 transcript:KVH96002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific eukaryotic initiation factor 4B MAATVTNVWGKPGAWALDSEEHEDKLNQEKTATPTTGNDQSADFPDLTTAAATKTKKKKGKPLPLGEFVTGWTNKPKAQTYQAAKGLTTEDMMMLPTGPRERTAEELDRSRLGGGFRSYGDRNNDSSNSRWGSNRSTGGGEEGRTSSREYSRADKTDDWGAQKKSAVGGGGGFDRKERAGGFFEGSNSRADESDRWVSNKSYTPPEGRRNVRFESSGGANSSDNWGKKKEEEARRFGGGGGGAFDSLREKGSGNELPDSDNWGRKREEAIGGSRPKLNLQPRKLPVVGDTAELKPNKGSNLNPFGDARPREQVLKEKGQDWREIDEKLDSMKMKEVDSKRGFRTAAAIEDQSEKSWRKNVSPSSSENNEIGNAEEPEGEEAQIWWNMNADYQMFSDFMSMH >KVH96006 pep supercontig:CcrdV1:scaffold_521:81195:95835:-1 gene:Ccrd_001909 transcript:KVH96006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphorylase MGPVLTPHCERRVRQIRSSNFHSFLPPPDYQRGKMTDTPPSTTTTTDPIHTEDENNSNQEIVSAVSSSDNHISTIVIIIAMQTEALPLVDTFKLTEDRNSPFPKGTPWVRYYGSYKGLSVNILWPGKDAALGVDSVGTVSASLVTYAAIQALHPDLVINAGTSGGFKAKGACIGDVYVASEVAFHDRRIPIPVFDLYGVGVRQGFSTPNLLKELNLKVGKLSTGDSLDMTPLDEASIVANDATVKDMEGAAVAYVADLLKVPAIFVKAVTDIIDGDKPTSEEFLQNLTAVTVALDKTVARVVDYISGKSISEL >KVH96009 pep supercontig:CcrdV1:scaffold_521:127845:128249:-1 gene:Ccrd_001913 transcript:KVH96009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MLPIFFKAQAFQSSSHFLKLVHLDHCAHSLKTSPFPSKNMGIFRLHSLVANAKQMIKLNHRQQLDVPKGYLAVYVGEVQKRRFVIPLSYLNQPLFQDLLHRSEEEFGFYHAMGGLTIPCQEDAFVDLTARLHTS >KVH96008 pep supercontig:CcrdV1:scaffold_521:99855:111469:1 gene:Ccrd_001910 transcript:KVH96008 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MQILDDVKPVCLATVALAQLSKIKWVALGGLVLSFLSLLVHMFVANTSVELVQYSVMTRFIEDLNIDIAGKQGVRSQKLWKKIKPLEVLQPYANPRSHYPVPVDPSNGFIYAKIFGEFEKIRSSICDLVAISRLLNATLVIPEIQESTTSKGISSEFKSFSYLYNEEQFITSLKNDVIIVKNLPPKLKEARRRKEFRTFKPKKSASPDYYIRELLPELKKVKVVGLVIMDGGCLQPILASKLVEYQRLRCRVAFHALHFRPEILALAHLMVKRLRASGQPYLAYHPGLVRDSLAYKGCAELFQDVHTELIQYRRAQMIKQKTVHDELTVDSFIRKLNGSCPLMPEEVGLLLRAMGYPPTIRIYLAGSETFGGQRVMIPLRAMYTNLVDRSNLCNKHELNKLLGNEAPLPSNSFNPSPIKTAKTLKKEWDQAGPRPRPLPPPPGRPIYQHEKEGWYGWVAEKDSEPDPSPIDLRDKAHRLLWDALDYVVSVEADAFFPGFNNDGSGWPDFSSLVIGHRLYEMASARTYRPDRKFLANLFNSTEDHFYFPKRRWTLAARKHLNDSLGEEGLKRQFIQSKPISFLSHPIPECSCTISKTSSQNTCPKWMEESLAKSRLQESATLNENEQPDDDGIDEEQMDSEDESKANNLSPLEEDVEMDPDD >KVH96014 pep supercontig:CcrdV1:scaffold_521:146408:149633:-1 gene:Ccrd_001916 transcript:KVH96014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGMGIIKIANAKQKLKRSITPKKNGLGATDYRKKGHFAVYVGETHKRYVIPLSTLDHPLFRELLHWAEEEFGFDNSEGGLKIPCNEDYFEGLISLIILKFTTIPSLFQDQSHLQSKVMAIFRLHSLVSTAKQMIKLHNKHQPDVPRGYLAVYVGGIHKKRFVVPLSYLDQPLFQDLLRRSEEEYGFNHPMGGLTIPCQEEAFVDVTSRLQIS >KVH96016 pep supercontig:CcrdV1:scaffold_521:185540:188476:-1 gene:Ccrd_001918 transcript:KVH96016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MSNIDIEGILKDLPNDGRVPKTKIVCTLGPASRSVVMCEKLLRAGMNVARFNFSHGTHEYHQETSWHISNWLAGPEIRTGFLKDEKPVQLKEGREITISTDYTIKGDEETISMSYKKLPVDLKPGNTILCADGTITLTVLSCDTKAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKHIQLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKTMARICIEAESSLDYGVIFKEMIRSTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLSTDSFDWTCSDETPARHSLVYRGLIPLLAEGSAKATDAESTEVILEAALKTASAKGLCKCGDAVVALHRIGAASVIKICVVK >KVH95999 pep supercontig:CcrdV1:scaffold_521:68496:76009:1 gene:Ccrd_001907 transcript:KVH95999 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MRPPKKTIHVISSWIRRQPPKVKAFLAVVAGMVALVLLRAIVHDHDNLFIAAEAVHSIGIAVLIYKLSKEKTCAGISLKSQELTALFLAVRLYCSVVMEYDIHTLLDLSTLAATLWVIFMIRFRLKSSYMEDKDNFAIYYVAVPCAVLALLVHPSTSHHIMNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLTALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >KVH96004 pep supercontig:CcrdV1:scaffold_521:3:13611:-1 gene:Ccrd_001901 transcript:KVH96004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MKMMIPIFLLLVMFQSIHTIQTSEFTITEATIQDIQTAFKQNKITSKDLVKLYIQEIRKLNPIFRAVIEVNPDAVHQAEVADQERKANAPKSRFGLHGIPILLKDNIATKDKLNTTAGSYALLKSVVPRDAGVVKKLRESGAIILGKASLSEWANFRSSSAPNGWNARAKQAVNPYVATFDPCGSSTGSAISVATNMATVSLGTETDGSILCPSGANSIVGIKPTLGLTSRAGVIPISPRQDSVGTVADAVSVLDAIVGFDNNDVATRKASKYIPKGGYRKHLISNGLEGKRLGIMRVYPYFGFANDTKTLKKFEKHFAILRRSGATLVENLGVDNFSQISSMLIDETLVLIAEFKIALNAYLKELVASPVRSLADVIAFNKKFADLVTESTNGIGKLEKEALMNLTRASKHGFEKLMKKNKLDALVTPYANGSMILAVGGYPGISVPGGYDKNGAPYGICFGGLKGSEPTLIEIAYGFEQATKFRKPPPINDSNVNCDLLKTKLERKTWIAFLLPHFPNPLSSMEEQANLFESLWGYTNSIHTTQTSEFNIKEATIDQIRTAFKQNKLTSKDLVQLYIQEIRRLNPIFKAVIEVNPDAVQQAEVADQERKANTPKSRLGLHGIPILLKDNIATKDKLNTTAGSYALLNSVVPRDAGVVKKLRDSGAIILGKASLSEWAHFRSTTAPSGWNARAKQAVNPYVATADPCGSSTGSAISVATNMVTVSLGTETDGSILCPSGANSVVGIKPTLGLTSRAGVIPISPRQDSVGPMCRTVADAVYVLDAIVGFDNNDAEATREASKYIPTGGYLNHLISDGLKGKRLGIMRAYPYFGFADDPQTLKKFEKHFEVFRRSGATLVENLEINNFRQIVSMFSGEVTALLVEFKIALNAYLTELATSPMRSLADEMINEYPQDMFLSAETTNGIGKVEKEILMNLTRASKDGFEKLMKENKLDALVTPYSQGSTVLAIGGYPGISVPSGYDDNGAPYGICFGGLKGSEPTLIEIAYGFEQATNIIAGENSDFTIREATIQEIQTAFNQKKLTSKGLVEFYIEEITKLNPIFRAVIELNPDAVRQAEKADQERETNTPNLGFGMHGIPILLKDNIATKDKLNTTAGSYALLKSVVPQDAYVVKKLRESGAIILGKASMSEWAHFRSSLSPSGWNARAKQAVNPYVATVDPCGSSTGSAISVATNMVTVSLGTETDGSILCPSSANSVVGIKPTVGLTSRAGVIPVSPRQDTIGPICRTVADAVYVLDAIVGFDNNDAVATQEASQYIPKGGYLKHLISDGLKGKRLGIMRAYPYFGFANDTKTLNKFEKHFAVLSQSGATLVENLEIIDLDHIVSMFNSEFIAILAEFKIALNAYQKELMASPVRSLADVITFNEKFADLEELKKYPQDFFLAAEETGGIGELEKEALMNLTRASKNGFEKLMKKNKLDALVTPYSHGSTVLAIGGYPGISVPSGYDDNGAPYGICFG >KVH96007 pep supercontig:CcrdV1:scaffold_521:112393:112665:-1 gene:Ccrd_001911 transcript:KVH96007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGIFRLRSVVANAKQLVKRQDVPKGYLAVYVGEVQRKRFVVPLSYLDQPLFQDLLRRSEEEFGFNHPMGGLTIPCHEEAFVHLTAQLRIS >KVH95996 pep supercontig:CcrdV1:scaffold_521:206483:215295:-1 gene:Ccrd_001920 transcript:KVH95996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGGLTFPCKEETFIELINNSQGTLAHCLQQLQFRGKFRFIVPLAYVKHPSFQDLMNLYQEEFGYSHPMGGLTFPCIEDTFIELTRDIDLTS >KVH95997 pep supercontig:CcrdV1:scaffold_521:228292:228606:-1 gene:Ccrd_001921 transcript:KVH95997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGVHRFPKIMYVKLGMPRYHTSSAVGDVPKGHCSVYVGESSMKRFVIPLAYLTHPSFQTLLNLAEEEFGYAHPMGGLTFPCKEETFIELINRSSNVKNT >KVH96005 pep supercontig:CcrdV1:scaffold_521:78135:80150:-1 gene:Ccrd_001908 transcript:KVH96005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone/stilbene synthase, C-terminal MIQEEMTTKADGGKANILALGKAFPHQLVMQDLLVDGYFRDTNCDDPELRRKLTRLCKHPNIISDLVSFFTGKTTTVRTRYVVMSQEILEKYPELALEGLPTVKQRLDICNKAVTQMAIEASQACIKSWGRSVSDITHLVYVSSSEARLPGGDLYLAKGIGLSPDTNRVMLYFSGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGYKPPSVNRPYDLVGVALFGDGAGAMIIGSGPVSGLERPLFELHTAIQNFLPDTEKVIDGRLNEEGISFILARELPEIIEENVKGFCDKLLGHLGCDDMGYNDFFWAVHPGGPAILNKLEKKLDLWPEKLSASRRALADYGNASSNTIVYVLEYLIEESLMKKKEGKKGGPDLGLILAFGPGVTFEGILTRNLTV >KVH96011 pep supercontig:CcrdV1:scaffold_521:143964:144248:-1 gene:Ccrd_001915 transcript:KVH96011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGVFRVHSLVANLKQMIKLHNKHQSDVPKGHLAVYVGEIQKKRFVVPLSYLDQPLFQDLLRRSEEEYGFNHPMGGLTIPCHEEAFVNLTAQLQS >KVH96015 pep supercontig:CcrdV1:scaffold_521:202910:203197:1 gene:Ccrd_001919 transcript:KVH96015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGIHKLPKILHAKHGTTKRILSTSEVSDVPKGHFTVYIGETRKKRFVVPLEYLKHPSFQMLLNLAEEEFGYDHPMGGLTIPCREETFMELTGSIC >KVH96003 pep supercontig:CcrdV1:scaffold_521:21550:22041:-1 gene:Ccrd_001903 transcript:KVH96003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MTVGGASAGRIVMELFADTTPKTAENFRALCTGEKGTGTSGKKLHYKGSSFHRVIPNFMCQGGDFTRGNGTGGESIYGNKFPDENFIKKHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHVVFGKVVEGMDVVKAIEKVGSDRGTTSKPVVIADCGQLS >KVH96012 pep supercontig:CcrdV1:scaffold_521:131560:133974:-1 gene:Ccrd_001914 transcript:KVH96012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MIKLNHRQQLDVPKGYLAVQKSRKDEERTDPLSIGAYGNEESNFNGEGNSTSYSSFVYGGSNFFVNGIETIVGLFINFFHTSCWLQSLVSNAKHLIKRQDVPKGYLAVYVGEIQRKRFVVPLSYLDQPGFQDLLRRSEEEFEFQHPMGGLTIPCNEEAFVQLTTQLSIS >KVH96013 pep supercontig:CcrdV1:scaffold_521:181044:182345:1 gene:Ccrd_001917 transcript:KVH96013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase MVAFHHLGWRKPAIRILDRPSVFLAGAVSALLLLWGCFFFSTLDSIPFFSRSKSGLCNCNQPNPAFNLQFDPPVSTFYDDPSFGYTVDKRITNWDQKRRTWLGLHPSFEPRSHERVFIVTGSRSTPCKSPTGDHFLLRFYRNKVDYCRIHGYDIFYNNVLLDPKMPSCWAKIPAVRAAMLAHPEAEWIWWLDEDAAFTDMEYKLPLQRYKDYNFIVHGWPKEVYVKKSWLGLNAGSFLIRNCQWSLDLLDSWADMGPRSPNFDKWAGILMNEFKHESDDQTALAYLLLKEHQQWGTKRMHIETGYYLEGYWVLIVDTLKNITERYLEIETTTGMLRRRHAEKVSERYGVLREPSLKDAGNEFGSWRRPFVTHFAGCQPCNGKHNPLFTGKRCRDAMNIALNFADNQVLRNYGFVHRNLSDSSVVSPLPFDYPA >KVH96000 pep supercontig:CcrdV1:scaffold_521:25149:27673:-1 gene:Ccrd_001904 transcript:KVH96000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYSGFRAETLLGFLLILLFSGSFGYGDDKIVEVVGIGECGDCKESNVKSSHALSGLRVTVDCKLENGKFKTRGVGELNREGNFKISLPQEILKDGKLTEECYTQLRNAENTPCAVHGGLDAAKIGFLLKSDQRHTFGPTGKLKFSSAVCTSAFLWPFFKYPPLPQLPPLPKNHPWLGHPFPQLPPLPPKVYPSFPFPPKMPIGEPLTPPSVDPPVIDPPPVFKPPPVPVYKPEPKPPVYKPEPKPKPKPPPPPVPDYEPKPKPPVVNPPPVPIYEPPPLPPPVNEPSPKILPPPIPIFKPLPPFYKKPCPPFSLPKLPPFPTLPPKSFHHPIIGDLFPPLPPIFSHP >KVH95998 pep supercontig:CcrdV1:scaffold_521:48950:55087:-1 gene:Ccrd_001906 transcript:KVH95998 gene_biotype:protein_coding transcript_biotype:protein_coding description:XAP5 protein MSGMGDGYVGTAQDAVRIRRLEKQREAERKKIQELKSKNAQGQSGLLQFGSGTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQLQKLKKRKIKVNPRLSFCEDVENGEEEDVEDKNKESNMFGRRGFGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQEQIRNEALEITYSYWDGAGHRRVMQVRKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >KVH21604 pep supercontig:CcrdV1:scaffold_5213:31217:31804:1 gene:Ccrd_025889 transcript:KVH21604 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase II, eukaryotic-type MVDGLKPGQRKILFCAFKKPIFQEVKVAQFSGYVSEHSTYYQEEQSLVSTIIGIAQNYVGSNNINFLYPSGQFGTRQMGGKDHASAKYIYTKFSPITPHIFQKSDELLLDYLNEDGQSIKPTWFMSIILMVLVNGSEKIGIGWCTFVPNYNPRDIIANLKRLLNNEPLVIVNPWYKWFKGILLKMASKDTGYTTT >KVH21214 pep supercontig:CcrdV1:scaffold_5217:8277:22637:-1 gene:Ccrd_025890 transcript:KVH21214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSKYSFLDAPGNSAPVNRISNESNNMDDAESTMSMVAQFIEQLHGNMSSPQEKELTTARLLGISKAQKEARSIIGSHPQAMPLFISILRNGSLLAKVNVAATLTALCKDEDLRLKVLLGGCIPPLLSLLKTESIEAKKAAAEVIYEVSSGGLSDDHVGMKIFVTEGVVPTLWEQLNSKNRQDKVVVGFVTGALRNLCGDKDGYWRATLEAGGVDIIVRLLSSDNAAVQSNAASLLARLMLAFDNSMPKIMDSGAIEALLGLLRELAQSPSLFAPVADIIGALAYTLMIFEQNVNPEDEPFDAIKIENILVALLKPRDDNLVQDRILEAMASLYGNDCLSRRLDQSEAKKVLIGLITMASSDMQEYLIYSMISLCCEGVDIWEAIGKREGIQLLISFLGLSSEEHQEYAVWLLAVLTDQIDDSKWAITAAGGIPPLVQLLEMGSQKAKEDAAYVLWNLCCHSEDICACVKSAEAVPAFLWFLRNGGSKGQEASSKALKKLIRKADAASINQLLALLLGDSPKSKAHIIEVLGHVLTMASHSDLVQKGSEAYKGLRSLIQVLNSSSQEAQQHAASVLADLFSNRQDICDSLATDEVVHPCMKLLTSNTQIIATQSARALGALSRPTNTNSKNKMPYIAEGDVKPLIKLAKTSSIDAAETAVSALANLLSDSQIAAEALTEDVVSALTRVLGEGSSKGKKGASRALLHLLKHFPLSDVLTSSSQCRSAILAIVESLNGVDMDGTDAAEALEVVSLLARTKQTLNATYSPWSTLAEVISSLQPLVYYLAEGSPMVQDKAIEILARLCGDQPVVLGDLLAANIRTIGALGNRILKSTSLEVRVGATALLVCASKENRKQTIASLDTSGYLKPVIYGLVDIIKQNSGCYSLEADVRTSRDFANRTAFHEGGEFNVPDPGSLLGSTAAMWLLSILSSVGEKNKHSVVEAGGLEAEFEDAEGIWISALLVAILFQDENVALSTLTMHIIPSLANLLKSEEIFDKFFCAQAMASLVCKGNKEVNLAVANSGAVAGLTTLIGYVEADMPNLVAISDEFSLVRNPDQIVLKHLFAIEEVRNGSTACKTIPLLVDLLRPMPDRPGAPPFAICLLTSIADGSDANKLLMAEAGALDSLTKYLSLSPQDLTEATICELMRVLYSNPALLRYKSAVNSLSQLIAVLRLGSKVSRLSAARALHQLFDAEDIRESESAVHALQPLIDMLNAASEGEQEAALLVLIKLTSTDTAKAAKVLDVKGNPLESSFRILSSSSLVLKSHAAQFCSVLFGNSRVRALPIASRCIEPLVLLMQSEAPSAVESGLCAFEKLLDDEQAASLAADYDVIDLLVGLICGSNHVLIEASIATLIKLGKDRTPRKLDMVNAGVIDNCLALLPSAPSALCSSISELFRILTNSSAISKSATAAKLVEPLFMVLLRPDFCLWGQHSALQTLVNVLEKPQTLATQKLTPTQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDITTQSAVVPLVQLAGIGILNLQQTAMKALESISLSWPNAVADAGGIYELAKVIIQDDPQPSHALWESAASVLSNVLRFDADYYFRVPLVVLVKMLNSNVESTVAVALDALIFQEKSDASGAKQMSEAGAIDALLDLLRSHQCEEASGRLLEALFNNVRVREMKLCKYAIAPLAQYLLDPQTRSLPGKLLAALALGDLSQHEGLARAKDSASACRALVSLLEDQSTDEMKMVTICALQNFVMHSRTNRRAVAETGGILVIQELLLSPNLEVAGQASLLIKILFSNHTLLEYVSNELIRSLTGGNSGYSSNLVVHKFFIVIEWKVKLWPPNISSSKYDVCYCIAAALERELWATATINEEVLKTIHVIFSNFPKLHVSEAATLCIPHLLTALKSGKEAAQDSVLNTLCLLKRSWTVMPIDVSKSQLVITSEAIPILQMLMKTCPPGFHERADSLLHCLPGCLTVTVKCANNLKQVIGGTNAFCRLKIGQGPFHQTKVVSHNTSPEWKEGFTWAFDVPPKGQKLHIQCLSKNTFGKTSLGVVTIQIDKVVSEGVYSGGFSLRQGHGSNKEGSSRTLEIEITWSNNTSYENMTDRVQV >KVH21030 pep supercontig:CcrdV1:scaffold_5219:25391:27416:1 gene:Ccrd_025891 transcript:KVH21030 gene_biotype:protein_coding transcript_biotype:protein_coding description:MULE transposase domain-containing protein MKGRITYECYGFEEEHNHFHLRHDDIDLTRKGCQMKVSDQRFVHISNVGATRAHKLHTSLRGGYKYGGPTVVDYQNYKRDYDNFVGRGDAKVLVDLMTKKRDGDLSFDATLWTNRHFMVFVPFTAVDNHNYNVVIGLALVGHEHVPNYKWLLQAFPKAHLKPLLMILTNQCPEIATVFPDSRYRLCIWHIMYKVPNKVQTKLYRAAWTCSIKSVNADEEVDTYLIEHLDIRDEKIEEYKVVRNLKESTIVCSCNHIGRHEYGEATLCRLNYNNRQRICDVGEDQCRIINDTYDVIDDVLDILRDDKEKLESFVATIKDLRDDLAKESTHEPSMKRKERGIEQIVGFPRPDNIKIRPATGIRNKGCGTTKRLIGAAEKEGM >KVI06396 pep supercontig:CcrdV1:scaffold_522:112186:117119:1 gene:Ccrd_015258 transcript:KVI06396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVATRGLYRMAPPTIIVPTIIKESVEKIFEAHRTMDQSELKHTLIGLDVGKFYFWHFAYSISFRFSQLWAKVKNHSVLRYIVTSAGEEFYLRKDLKQGYVIYSVKQKLKPQYVGLPGDEIKKLKFSGVEITYTTTSPEVAFTGDTTSDFIVDTNNADVLKAKILIMEVTSDFIVDTNNRKSSSYRSTYVENTMTVENARDYGHTHLSEIINYSSRFENKAILLIHFSARYQLDVIQEALSALHPPLAGRVFALTEGF >KVI06386 pep supercontig:CcrdV1:scaffold_522:144352:145470:1 gene:Ccrd_015262 transcript:KVI06386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVRAPPTTTAFLNTVDRRLTPANCPPLRRLTPARNSSRILAMAPKKKVNKYDSGWKKEWFGAGLFFEGSEVVEVDVFKKLEKRKVLSNVEKAGLLSKAEELGFTLSSIEKLGILSKAEELGLLSLLETAAGFSPAALASASLPVLVAAILAVVLIPDDSTALVVSQTVLAGTLAATATGLFVGSIVLEGLQEAD >KVI06393 pep supercontig:CcrdV1:scaffold_522:172031:176706:-1 gene:Ccrd_015265 transcript:KVI06393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MNFKRDGVSRNLNQNLCLSDSVPGNNHHTTPPFLTYPCKSVLSTFAHNWTFKFEFFLWHPFESIFFFHQEMQMGTNLVFIWGFSAVFIATTLFATSSFALTQDGLALLEFKQTLNDTKNVLSNWVDNDETPCLWTGITCHPSDQRVLSINLPYMEFGGFISPSIGKLSRLQRLALHQNSLHGLIPNEISKCVELRALNLSTNFFSGEIPNFGALSNFGNNSYIGNLDLCGQQIHKPCKTSLGFPAVLPHAEMPKHSSRYLKGALIGATTTLGLMLVLLLIWMLTKKERAAKRYVVVKKQVHQETSTQLITFHGDLPYPSSEIIEKLESLDVEHVVGVGGFGTVYRMVMNDCGTFAVKRIERSREGRDQAFERELEILGSVKHINLVNLRGYCRFPDSKLLIYDYVAMGKCPQGNQTLSWNARLKVAYGSARGLAYLHHDCSPKIVHRDIKSSNILLNENLEPCVSDFGLAKLLVDEEAHVTTVVAGTFGYLAPEYLQSGRATVKSDVYSFGVLLLELVTGKRPTDPAFVKRGLNVVGWLNTLRKENQLEDVVDERCTDADASTVEAVLEIAGRCTDANPEDRPSMQQVLQFLEQEVMSPCPSDFYDSHSDYA >KVI06392 pep supercontig:CcrdV1:scaffold_522:164672:166975:1 gene:Ccrd_015264 transcript:KVI06392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MAARSFLYGGDSGGFNSALLTNKRAPCSSSSKPLDSFFISGSSHSLGSRSMVSFEDGGNGSGRTLFQTFDQEDIGDDEYEDYFHHPEKKRRLKADQVQFLEKSFETENKLEPERKIQLAKDLGLQPRQIAIWFQNRRARWKTKQLEKDYDILQESYNLLKANYENLLQEKEKLKSEVIKFKMGPLEIARNEVLDLSDKLLLQESEKGTSDSSSTKSLSEPLQQEQIADCVNDEDVSKTSDIAVNGVQSILEQGDSSYMFDQDHSDESLDEDDNFGKMFATPVNGYMLPPKIKTGEYPELDAVNSCYLGFPGHDEDHDQIQDPIHDEDQSFGFWSLLS >KVI06401 pep supercontig:CcrdV1:scaffold_522:75443:78652:1 gene:Ccrd_015255 transcript:KVI06401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGNCFGNRTNDVKHCSNYVQPHTAGGAKEPYRSNSIKKLSHPLPPPPQHIYPQKLPSPRHETEMILFSPHLKSFTFNELRNATRNFFPNYLLGEGGFGYVYKGWLNKETLTPVEPRCGIAVAIKKLKPEGFQGHKEWLSEITYLGRLQHPNLVNLFGFCCEGKNRLLVYEFMPRGSLENHLFRRTFDHLLPDLLDFSHYTIFNLFVTPISCPYNYTCPISGGAQPLSWALRVKIAVDAARGLAFLHASESKIIYRDFKSSNILLNMDYSAKLSDFGLAKDGPTGDRTHVSTRVIGTEGYAAPEYMATGRLTTKCDVYSFGIVLLEIITGRRVIDRKQVSEEQKLLEWVKPQLRDPKKLFRIMDTKLEGRYPRKGAYVVANLALQCCHSEAKYRPHMSEVLSILERVPCGRPDHHQNRISSPRSESSGHSPYDMSQSHGSPVQWSLQGSPVQWSLQGSPLMPPRS >KVI06388 pep supercontig:CcrdV1:scaffold_522:125156:126782:1 gene:Ccrd_015260 transcript:KVI06388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MIGNFPQVHTSFILLAANDLPTNTPQNQNFPASSILKIPFPCSCRNGTGISNRRPNYIVIPNDTLFHIAAEVFSRVVTFTQIQLVNNIPNPDMISVGQRFWIPLPCSCDDVEGQAVVHYGHFVQAGSTVSGIAERFNTTESTLHWVMWYMVVPKLGGRRRWIGKGGDE >KVI06390 pep supercontig:CcrdV1:scaffold_522:187570:189701:1 gene:Ccrd_015266 transcript:KVI06390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter METQSTTNKGGWKSIKYIIECLLASIIITVVVVSGNESFEKLASVSLVMNMTFYLRSNYNMNGIFLINVVTIWTGTSNISSLAGAYLSDAYIGKFLTLFFASIASLLGMGMMTLTAGVPHLRPPKCNDQLNCVQPEMWQLGLLYAALGLLSLGAGGLRPCGIAFGADQFDTTTTKGRKQLQSFFNWWYLSFTVALIVALTGVVYIQTNISWMIGFAIPTACLLSSVVIFLIGRHTYVIKKPEGSVFSDIAEVIVASIRKRRIPYESKYSFYQEKSGSKNPNLARTHRFKCLDKAAMIVDPTSELNANGVAKNRWRLCSMQQVEHLKCIIGILPIWVSGVGCMLVIDQQSTFGILQAIQMNRTIGSKFKIPPGWLSETAMITLSIWIFIYEGIYVLTLKKIFKREARLLTMAMRVRIGIIMSIFCMVTAGIIERKRRNSAINNHSYVAPMHIWWIIPQFVLSGLIEAFDNVAMMEFFTTRMPESMRTMAGAIFFVTLSISSYLNSLIVNIIHKLTGMNGRTPWLGGHDLNENRLDYYYYIIAGLGVLNLIYFTLVGSKYVTPAKVIGVEEKLKQVEDGGGGV >KVI06391 pep supercontig:CcrdV1:scaffold_522:200638:201609:1 gene:Ccrd_015267 transcript:KVI06391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MLLCLSKKDDYRMTIHSPRQRFMLSITLGFIIDRSSPSPAPTAREYCQRSIERTLSSPNGQSMLGRSVLATDSVQEFHFNLYMYVLIVWILFHTIHAIQASITDSGDSSFHYMIQVIQSSIGIENPPQDSYLGNEWKKPFVDSSHAKGIVLEKIRNSLFNWNLTSCSYVVCSGIKAKQPNYAIIKCARVQLIKNGKKIVAFVPND >KVI06398 pep supercontig:CcrdV1:scaffold_522:19486:20658:-1 gene:Ccrd_015252 transcript:KVI06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MDGGDDHFHHRPNFPFQLLEKKDDEACSSSANVSATSGYPTPPTDTNNNPPLLSNNTSNLQITTTLEPSKKLAPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSGYFNPNFTFPQNRNLFPGIGLSSTENSSSNLLNFGSATNLHQMLQAKQETRDNSVELSETEESIGRKRRHPTEPELPSQHQQQMGNYLLQSSSASMAANHASIPANFWMVANSNQHQSMSGDPIWTFPTVNNTALYRGAVSTGLHFMNFPTPVALMPSQQLASGVGGNSGNGGGGGFSEGQLSMLTGLNPYRPIFGPGASEQQASGSQSHHGGGDDPHDTTSHHS >KVI06399 pep supercontig:CcrdV1:scaffold_522:105006:108580:-1 gene:Ccrd_015257 transcript:KVI06399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MSMLPVCNRIRSNIVPPSLPFSRLIHSLQFATVKAEEISGSKPAQVQNLVQGKWMTSSNWNTIVDPVNGESFIKVSEVDESEIKPFVESLSKCPKHGLHNPFKSPERYLLYGDVSAKAGHMLSLPEVSDFFTKLIQRVSPKSYQQAFGEVYVAQKFLENFSSDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVALITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVCIVMEQMLRLLHDCGMPVEDVDFINSDGKTMNKLLLEANPRMTLFTGSSRVANKLADDLNGKVKLEDAGFDWKILGPDVHEVDYVSWVCDQDAYACSGQKCSAQSLLFMHENWSKTSLLRQLTDLAGRRRLDNLTIGPVLTYTTEAMLDHKNNLLQIPGSKLLFGGEELENHSIPKIYGAIKPTAVFVPLEQIIKTEYYDLVTKEIFGPFQIITEYKDNQLPIVLAVLEKMHAHLTAAVVSNDPLFIQEIVGKSVNGTSYVGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDFGPVPQNWQIPPST >KVI06389 pep supercontig:CcrdV1:scaffold_522:130531:137732:-1 gene:Ccrd_015261 transcript:KVI06389 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTVAIWLSVALGLLPLLWWWNDIWYRLTASFRSSYAVAKLPPGHMGLPIIGEMFTFLWYFKFLRRPDDYINSKRNKHGDGIGMYRTHLFGYPSVIVYSPAANKFVFRHEESFILEWPNVELIGKNSLSAVHGKAHTRIRSLVSRSLNQPDALRRITIFVQPRIISALKSWAERRTINSYKEIKKVTLENMGMYFASFEPGPTIDKLDEYFHRLLAGDEEGGQLSDNEILDNIVNILAAGYETTTLSTMWVIYFLAKYPKVLQKLRDENVSLKKSKNEEFVTSDEMLKMKYTMKVVDETIRLANVAAIVFRTTTKDVQYEGYIIPKGWKVMLWIRYLHTNPDNFDDPLSFNPDRWDAPISASETYRVFGGGSRTKLGISGTMWLTVVPLVIWLLWWWNDIWYGILTATFRSSKGGTKLPPGHMGLPIIGEMFTFLWYFKFLRRPDDYINSKRHKHDDGIGMYRTHLFGRPSIIVFSPAANKFVFRDEERFVLEWPNVEIVGKTSLVAVHGKAHVRIRSFVSRSINQPDALRRIAIVVQPRIISALRSWVERRKIISYNEINKVTFENIGMYFASFEPGPTLDTLDKYFTGLEELEKRNNNDDGSTRPMNDLMDGLMKLKDEEGSQLRENEVLDNIVSILVAGYESTTLATMWAVYYLAKYPKVLQKLREENVSLKKSKTEQLVTSDEMLKMKYTMKVVDETIRLANIAAIVFRTTTKDVQYKGYTIPKGWNVMLWIRYLHTDPENFNDPLSFNPDRWDASMTSGTYQVFGGGSRICVGNMLARLQLAVFLHHLSTGYKWELVNPDAKMKYLSHPKPEDGVEITIEEL >KVI06395 pep supercontig:CcrdV1:scaffold_522:117532:124374:1 gene:Ccrd_015259 transcript:KVI06395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MAYIPGRAPFADRKSSSSLAFEEFVPPSAWTEDSTCHYLLVDLPGFKRHELKLQVDNQTRIVVSGERQVRENKYKRFEQSFELPKNADIEKITGKLDGEILYISVPKKVEQEHQEIKHDSSIHGGEREVSHEPGLLEDEDEDDDDSDKKSDEEDDGDKKSDEEDDGDKKSDEEDDSDKKSEAYENEDESDNKYGEKVVERKNPIRDSEEDWGKEADLFLRLAIEKLRKNKGIVMTAIFAFSLGVLDQESLPLSSSPPTTDDTMMAEQQQQQPPPPLPTRPIRKLVVEVADARDLLPKDGLGSSSAYVVADFDGQKKKTSTISRSLNPVWNESLEFVVSDPSTMEYEELEIEVFNDKRLSNGSNRKNHFLGRVKLYGSQFARKGDEGLIYFQLEKKSVFSWIRGEIGLRIYYYDDVEVAVDQEMNNDNAQPPPSEKEAPPQPQQEGKEVRVLEVPVPTEAVTENLHSPPMATMEEAPPGVTVRMENHHHQQHQNQGIPPPVQPEYPPEMRRMQTGRVGVAIGGCERVRVLKRPDNGDYSPRVIPRRSANGESERIPAYDLVEPMQYLFVRIVKARELSQNESPYVRIGNSVRSKPGIPRPGEPPSNPEWHQVFALAYNKPESANSSTLEISVWDAQSENFLGGVCFDLSDVPVRDPPDSPLAPQWYRLEGSDDPNAAGKVSGDIQLSVWIGTQADDAFPESWSSDAPYVSHTRSKVYQSPKLWYLRVTIMEAQDLQIAPNLPPLTAPEVRVKAQLGFQSVRTRRGVMNNHTASFFWHEDLVFVAGEPLEDSLILLLEDRTGKDPVLLGHVLIPVAAIEHRIDERHVAARWLSLEGGPGGSYCGRIHLRMCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAIGILELGILGARGLLPMKSRGSGKGSTDPYCVAKYGKKWVRTRTITDSFDPRWNEQYTWQVYDPCTVLTIGVFDNWRMFADMAEEKPDFRIGKVRIRVSTLESNKVYTNSYPLLVLQRTGLKKMGEIEVAVRFACPSLLPDTCAVYGQPLLPRMHYLRPLGVAQQEALRGAATKMVAAWLARSEPPLGSEVVRYMLDADSHTWSMRKSKANWFRIVGVLAWAIGLAKWLDNIRRWKNPVTTVLVHLLYLVLVWYPDLIVPTTFLYVCLIGIWYYRFRPKSPAGMDIRLSQAETVDPEDLDEEFDTFPSSRPPELIRARYDRLRMLAARVQTVLGDFATQGERVQALVSWRDPRATKLFIGVCLIITVVLYVVPSKMAAVALGFYFLRHPMFREPMPPGSLNFFRRLPSLSDRLM >KVI06397 pep supercontig:CcrdV1:scaffold_522:25454:36264:1 gene:Ccrd_015253 transcript:KVI06397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein MVTHGALVYESSEEYLLEEAVLCVVVKNVVEVHGRVGSLVGYVMVDFYERCCGYCQALAPEYAATTTKLKGDEVMLAKDSCIF >KVI06400 pep supercontig:CcrdV1:scaffold_522:98619:107079:1 gene:Ccrd_015256 transcript:KVI06400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSMRFWRHLLKNTDNESNIIPNFILNSSYLLSNHLDLLLFPAINKHHMEDTLKDQASVHESKGGKSAKLLRYPLRSASKSKEDKLPASSPSIASASRRGKPTSSVSQSVSVLDMSAKEKSAKPPRRLSIPNKSTPSPAPKSTGYSTPISEARANRSGNFKGNSGTPGSDVSRSLSRRKFTVLSSASYWLSHIKLSEAAAKHHLSLGFFKLALEAGCENVQLLRDELKSYAARHNLLDLGESAREVFQSYEIPESIEQFQVSETCSQVPEEGSRSLDDDAHSLSSATGVSKLKPRSLNNTASSAAKESARETAQKGNPVPRIKAPLNKKMANQSPALEAGHGKMPKNSKKQSKQESNKEKHQVKTEGMEPADEEGNEIFVQIELFGNIIIMTVFYLAAQLNTSLEEAVTEENKENVDAPPTEEMSLEA >KVI06402 pep supercontig:CcrdV1:scaffold_522:45596:47898:-1 gene:Ccrd_015254 transcript:KVI06402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MLEGPTFLISRELPSACEQESKWAYSAFCVAELSKGKHCLDDTDDEEEEEATGLRKSWKPSEMHKGNSVSESDQSDNQKQQEDESWINQIGPDLSINCLLHSSRSDYGSIASLNRWFRSLVRGGELYRLRRLMGIIEHWVYFSCNLFEWEAFDPIRRRWMRLPTIDCNECFMCSDKESIAVGTELLVFGKEIDTHVVYKYSILTNSWSPGMRTNTPRCLFGSASLGEIAIVAGGCGPSGNILSSAELYNSETGTWVILPNMNKPRKMCSGVFMESRFYVIGGIGEENNNELACGEVYDLETGIWSEIDNMFPVLTGQDAPATAKAPPLLAVVKNELYAAHHEEQEVRKYDKGRNVWIRVGGLPERASSMNGWGLAFRACGDQLIVIGGPRVSYGGMIELNSWVPEDNPPQWNLLARKSSGGFVYNCAVMGC >KVI06394 pep supercontig:CcrdV1:scaffold_522:218919:222060:1 gene:Ccrd_015268 transcript:KVI06394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKVETMENGDEKGEELKQLNYRGVKAMPFVIGNETFEKLGTIGTSTNLLVYLTTVFNMKSIDAINLINVFAGTCNFGTLVGAFLSDTYFGRYKVLGFASISSFMVRIFSFYFKVYFYYSKFVIFSLIQGMLVLTLTAAVSKLHPPHCVDGVPCIGPTPWQMAFLLTGFGFLIAGASGIRPCNLAFGADQFNPKTESGQAGIASFFNWYYFTFTFAMMVSLTVIVYVQADVNWALGLAIPTFLMFLSCAVFFAGTRIYVKVLPDGSPLTSIFQVLTATIKKRGLTVPQEPSVSLFNHVSTKSINSKLPYSKQFRFLNKAAIKTENDKINPDGSSGNRWTLCSIQQVEEVKCVIRTVPIWLACIIYNVSLHQMQTYTVFQALQSDRRLGSGTFQIPAASYTVFQMLALTVWIPIYDRLLVPCLRKITNKKQGISVLQRIGVGAGIAILTMLVAALVETKRRDLAHAKPTLGSVRGKGAISSMSGYWLILQLAVAGLSEGFAIIGFVEFFYKQFPENMRSFAGAFLFCGMAMSSYLSSFMISVVHRTTREVGSTTNWLAEDLNEAKLDNFYYLCMGLEVLNFMYYLMVAKWYKYKGTGDEKDDVALEDMSTQKHMV >KVI06387 pep supercontig:CcrdV1:scaffold_522:149243:152577:-1 gene:Ccrd_015263 transcript:KVI06387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MDEECQISKSSRYVNWRNKPAIEGQHGGILAAFYVLFVEVLENLAYLANASNLVLYLSKFMHFSPSSSSNIVSNFMGTAFLLALLGGFLADAFFTTYCIYLISATIELMGLLILTVQAHTRSLEPEICSSTNRNAKCQEAEGGKEAVLFIGLYLVALGVGGIKGSLPPHGAEQFDEDTIHGRKQRSSFFNYYVFCLACGALVAVTFAVWIEDNIGWQWGFGISSLAILISIPMFLLGSTVYRIKLPTGSPITTICKVLVAAILNSCFSRNATNAVVSTHPSPTSNLRENKEEDGKAKEEVTVGNITEDLRFLNKALIKRSTFPTFEVTIKQVEEVKIVLKIFPVFISTIMLNCCLAQLSTFSVQQSATMNTTIGSLRVPPASLPVFPVLFIMVLAPMYNHVIIPFARRITKTEMGITHLQRIGIGLFLSIMAMAVAALVEKKRKRVAYQDALMNSKEPLPITFLWVSLQYLFLGSADLFSLAGMMDFFFTEAPFSMRSLATSLSWASLAMGYYLSSVLVSIVNHVTGTFSHKPWLIGSNLNHYHLERFYWLMCVLSGLNFLVYLLWAKSYKYGSKCTNGSNEIQMLCKSSGV >KVH20948 pep supercontig:CcrdV1:scaffold_5223:35995:36452:-1 gene:Ccrd_025897 transcript:KVH20948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNTRAPAFLVFFTSARRMYHSSRSXGSRGLLFFFVDRAALPHSTRPALFTNLYNRCHRDSQLYYRKNKERSDDLAPDIRRCGKRSCEKQKNHQKTMIVRGGFHRKTPWFWQTDHNY >KVH20949 pep supercontig:CcrdV1:scaffold_5223:19739:20874:-1 gene:Ccrd_025894 transcript:KVH20949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLYFGIERGNIAXHKSEARFGTLLREGRLASRDFRDEAFWRSQVNFGPPNPATNEKARWVLSWLAFTHIIGLCSVRSLAFLRKILRTEMPKDGAQVRNAIF >KVH20947 pep supercontig:CcrdV1:scaffold_5223:31706:35698:-1 gene:Ccrd_025896 transcript:KVH20947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKTLQDMSGRPPLIVYEQNTSPKARFGTQSTVYREKRLYVKGYSDQSAISWPLDQQGCDSDGSREDQGESDRTASRKTSRRHQFGVRGQQRLLQRRSGNCYECGGVGHFLVTALMFRRTNAAGGVQNRPAEDERVHVVEPRAXEALKTFHSSRLRRSPRSGSSYDFFLLGLLAQHTQRVPMLGNDDRTHVQLSINAFPPACLTAKAHPNTVLLDVCIFLIPRCPCLASVLRKQS >KVH20950 pep supercontig:CcrdV1:scaffold_5223:25952:31140:1 gene:Ccrd_025895 transcript:KVH20950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MRKQTRLKEEKGKRKAATSLLEGLPRXKYDWKARPTAHAHISELRKPHPGNSYLFLPYDKRKEMEGMDLNQKYDTLSRLVDSSPAAKEFSEELIETLAWAHKRTPLANLIRWRDKPVALSIVQARLVGLAHFSGGNWLLGRAAGLGKEGKVFHSICGFYQFSLSRYELTDLQQKILSGFYVLSPLDVQYISKEDIKLYISLMRTEIPDFMVLPSKDPSLFTAVLPEKKDVLVFMGLSIMLYRLSHGSLPKXGYRMINLVDKFYDSIRXMGKVDRLYNLRLDKSLSILTKSVILEKVKPFVGSGSVCYNLISSFLHLSAFDKDGNRVNIEGCIPIVGDISKVLFDIVLMDFDREFAKRFPGIAFTRYVSLVYISSKGDDDVLFDENALYELLEELGLAGQLTSIGPGSDPIPCNSKLIYLNSDSKGIKIGASASAKAEEAQIKERWIS >KVH20945 pep supercontig:CcrdV1:scaffold_5223:325:543:-1 gene:Ccrd_025892 transcript:KVH20945 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit C MKTFKDVMEGLKVIGAGAAVRIGKIFSLLIHSVARRPELDTKLFGYTILGFAFTGAIALFALMMAFLILFVF >KVH20946 pep supercontig:CcrdV1:scaffold_5223:11857:16397:1 gene:Ccrd_025893 transcript:KVH20946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper centre Cu(A) SLLSKLRVGVESXLRGNLQVQFGGRRASTQPYEYSDYNSXDEQSLTFDSYTIPEDDLELXQLRLLEVDNRVVVPAKSHLRIIVTSADVVHSWVVPSSGVKCDVVPGRLNQTSISVQXEGVYYGQCSDICGTNHAFMRAPGKIGRLLSPLWLSCTTQGASHLRSKLLQRAAGAPERPASEWLVANSALVDGIPLCGWHSRNHGELHTEQTSLRDETPAQGPQFSLGGRTKDLWKSGLARPHGQRNSVLREEFRGLIVMRRGPVQDRTVPLQRQQTLSTARRHSLSELFHLLHDFVPRASARASPLFPVLTPLAYRSSVQKPHPRTCIEKDFRGASVHIRERRXRGRGGSPRPDHPIRSNRQAWFCSQSNFVTFVYPSDGSPFGGSLGTDSLCLAGFSIIQSKKKENETWRKRNEHFAEERSFVF >KVI00934 pep supercontig:CcrdV1:scaffold_5226:23580:24401:-1 gene:Ccrd_020804 transcript:KVI00934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 MDPEAVISLFDSCWFDYEILKNNQSSLYSSYXKLNQSSSSAEPKFSSLRSILVRSQSEDIRGTSFNNYGSFSPNSVLFTPHLKSIPSGNLDLRSQKQEQEQDQEPEAEAEAEAEAEAESEAEAEAEAEAEAETSNTDPKEHSILLEKKRSKKRGGRTTSKSLSDLEFEELKGFMDLGFVFSEEDKDSRLVEIIPGLQRLGEEREGGDDSNSFSSTGAKRPYLSEAWKDLDRKMKKREQKPALMMNWEVPVVSDEIDMKDNLKLWAHTVASFVR >KVI06915 pep supercontig:CcrdV1:scaffold_5227:18379:24921:-1 gene:Ccrd_014728 transcript:KVI06915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQSKADLAKKQKIVEDKTFGLKNKNKSKNVQKYVQSLQQNVQPKPDASKLAAKKKKEEEKAREKELNDLFKIAVVQPKVPVGVDPKSILCEFFKAGQCAKGFKCKFSHDLNIQRKGEKIDIFSDKRDEGTMEDWDQETLEKVVASKGKEYNQNKPTDIDSCRNHRHWCLVRFASTSLKQWRRNNMAGSGFVPMVTKSVITGMLSLQRAKVKTTTPMTTDLFMKWKRKKLEERDAGLAAQRAERAKNDRMSGRELFLSDASVFVDDAEAYEKYNREEKGFTHFWIWVMFFLDETLPISLMGLYGVREGDEGLSPSEKDCRRRRSRGGPSRRCHCRREGMKKEAGL >KVI06916 pep supercontig:CcrdV1:scaffold_5227:26383:27084:1 gene:Ccrd_014729 transcript:KVI06916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 MEATLFTLPSSKFPPPSSTAVSLKTHFHNPLILHSTTTAHRKPNRLSLTVRSAISRTKKEETIETVKEQLQDCHLIAGIKYKGFTVQQFQQLRTTLPETTKLIVAKNTLVLKAIEGTQWEALKPCMKGMNAWMFVHSEEIPAAIKPYRSFQKEKKLEENDFSGAVFEGKYYPPEEFKALETMPSRAEVYAKLLGSLKGPATSVVGTLQAPARNLVMTLKAYVKKLEEESGAGQ >KVI06917 pep supercontig:CcrdV1:scaffold_5227:16169:18340:-1 gene:Ccrd_014727 transcript:KVI06917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRRGNDDAGRRGEEGDRRWRLWRSPAMETREGDAQDGSSTGGPSTSTSIAAVSEDGNSEIDEDDDDLDMDELNELEASLSKTSIQIQEPRK >KVH20893 pep supercontig:CcrdV1:scaffold_5228:9325:26042:1 gene:Ccrd_025898 transcript:KVH20893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MATPCSPRKNKGHLDGKSTQSYGPCYHWHLHIKLLVHCIILLLLSSHCYSDNKPDVEGEALIELLKALNDTDGIITDWNDFLVSPCYSWSHVICDHKSGNVISLSLGSIGFSGTLSRSITKLKFLTNLDLHDNNLSGELPDYVSSLVNLQNLNLSRNKFSGSIPTSWDQLSNLKYLDLSSNNLRGKIPEKLFLARVFKYAILFVLRSPNKSKLKLVTIGATCGALVLLLFGAIFLHRFNLKRKLNRDLYVDVEGEDDSKVSFGQLRRFSWREVQLATDNFNESNIIGRGGFGKVYKGVIADNTKVAIKRLTDYQSPGGEAAFLREVQLISVAVHRNLLRLIGFSTTSYERVLVYPFMQNLSVAYHLRGLDWTTRKRIAFGAARGLEYLHEHCTPKILHRDLKAANILLDDDFEPVLGDFGLAKLVDPSVTHITTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDLSRLEDEEDVLLLDHIKKLLRGMRLTDIVDPNLTVYDAKEVETMLQVALLCTQGSPEDRPKMGEIIHMLRGQGLAERWAEWEQIEEVRNEEFSRLSHQFAWGEDSTQDQEAIQLSQAR >KVH98583 pep supercontig:CcrdV1:scaffold_523:172911:229929:1 gene:Ccrd_023188 transcript:KVH98583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MKKAIALHVVGFLVVLGFIGSQAALLPKTYNYEVKEANFTRLCKSKRILTVNGEFPGPTIYARRGETLVVNVFNRGNLDITIHWHGVKQPRYPWSDGPEFITQCPIKPGGNFSQKIILSDEEGTLWWHAHSDWTRATVHGLIVIFPKIGRPYPFPKPAAEFPIIFGEWFRQDVEAVLLDFMSTGGDPNSSDALTINGQPGDLYPCSTAETTKFIVEHGKTYMLRMVNAAMNLFMFWAIGDHEVTVVGTDGAYTKPLKSDYVTISPGQTIDLLFKANQPIGRYYIAAKPYNSQPRISFDNTTTTAIIEYKGYKKSSSPPIFPHLPKVNDTSASVNFTGSLRSLASRAHPIDVPMKITHNLLFTISINTLPCPNNACLGXEVKVLDFNDTVELVFQGTNLVAGIDHPMHLHGHSFYVVGWGFGNFNRRKDPLGYNLVDPPLQQTIAVPKNGWTAIRFKADNPGVAEPLTPDAWASTCAPEFSWKTTKFIVEHGKTYMLRMVNAAKNLFMFLAIEDHEVTIIGTDGAYTKPLKSDYVTISRGQTIHLLFKANQPIGRYYMAAKPYNSQPLITFDNTTTTTIIEYKGYKKSSSHPIFPHLPKVNDIGASVNFTGSLRHLASRAHPNNVPMKITHNFLFTISINTLPCPNNACLGPRGLRFAASVNNITFDSPRISILDAYYGRITGVYGDDFPNFPPLLFNFTSTNLSTSLETPLNAIEVKVLVFNDTVELVFQGTNLVARIDHPMHLHGHSFYVIGWGVVDPPLQQTIAVPQNGWTAIRFRANNPAMNMHVLVLRLLVILGFIQSQAALVHYTFVVQENNYTRLCSSKNILTVNGQYPGPTISARRGDTVIVDVINQATQNITIHWHGVKQPRYPWSDGPEFITQCPIQPVEPLSRFLNEMHFDHHINMKKAIALHVVGFLVVLGFIGSQAALVPKTYNYEVKEANFTRLCKSKRILTVNSEFPGPTIYAHRGETLIVNVFNRGNLGITIHWHGVKQPRYPWSDGPEFITQCPIKPGGNFSQKIILSDEEGTLWWHAHSDWTRATVHGLIVIFPKIGRPYPFPKPAAEFPIILGEWFRQDVEAVLLDFMSTGGDPNSSDALTINGQPGDLYPCSTAETTKFIVEHGKTYMLRMVNAAMNLFMFWAIGDHEVTVVGTDGAYTKPLKSDYVTISPGQTIDLLFKANQPIGRYYIAAKPYNSQPLISFDNTTTTSIIEYKGYKKSSSPPIFPHLPKVSDISASVNFTGSLRSLASRAHPIDVPMKITHNFLFTISINTLPCPNNACLGLGGRRFAASVNNITFDSPRISILDVYYRRITGVYGDDFPSFPPLFFNFTSTNLSTSLQTPLNATEVKVLDFNDTVELVFQGTNLVAGIDHPMHLHGHSFYVVGWGFGNFNRSXDPLGYNLVDPPLQQTIAVPKNGWTAIRFKADNPGVWLMHCHLERHISWGMEAVFITKNGSGLLLILGFIQSQAALVQYTFVVQENNYTRLCSSKNILTVNGQYPGPTISARRGDTVIVDVINQDTQNITIHWHGVKQPRYPWSDGPEFITQCPIKPGTRFSQKIILSDEEGTLWWHAHSDWSRATTVMEDFLRTGGDPAPSDALTINGQPGDQYNCSRPGTTIVNVDQGKTYLLRMVNAAMNNIMFFAIDNHQVTVVGTDGAYTKPLKSNYVTISPGQTLDLLLEANQPHNRYYMAAKFYNSNPRSLFDRXTTTAFIKYNGNYTPSPSPALPILPAFNDRNASAGFTSSLRSLASXDHPIDVPLKITRKLLYTLSINTLPCASGTTCAGPQGTRFRASINNITFDTPRTSILGAYYRGMNGVYGDDFPDNPPFIFNYTXDSLNTSLQTPMNGTEVKILKYNDKVELVFQGTNVVSGIDHPMHLHGHSFYVVGSGFGNFDRQRDPLNYNLVDPPLQQTIAVPQNGWTAIRFRANNPGVWFMHCHFERHVSWGMEMVFIVRNGKSGDARIGCRGYRSWFTLYGIEQEMNEKLEDPAQGGERTIEFLLPSVQVKEANFTRLCKSKRILTVNGEFPGPTIYAHRGETLIVNVFNRGNLGITIHWHGVKQPRYPWSDGPEFITQCPIKPGGNFSQKIILSDEEGTLWWHAHSDWTRATVHGLIVIFPKIGRPYPFPKPAAEFPIIFGEWFRQDVEAVLLDFMSTGGDPNSSDALTINGQPGDLYPCSTAETTKFIVEHGKTYMLRMVNAAMNLFMFWAIGDHEVTVVGTDGAYTKPLKSDYVTISPGQTIDLLFKANQPIGRYYIAAKPYNSQPLISFDNTTTTAIIEYKGYEKSSSPPIFPHLPKVSDISASVNFTGSLRSLASRAHPIDVPMKITHNFLFTISINTLPCPNNACLGLGGRRFAASVNNITFDSPRISILDAYYRRITGVYGDDFPSFPPLFFNFTSTNLSTSLQTPLNATEVKVLDFNDTVELVFQGTNLVAGIDHPMHLHGHSFYVVGWGFGNFNRSXDPLGYNLVDPPLQQTIAVPKNGWTAIRFKADNPGESIFLK >KVH98585 pep supercontig:CcrdV1:scaffold_523:50543:51666:1 gene:Ccrd_023191 transcript:KVH98585 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, OSCP/delta subunit MAAAAITATLQAAPITFRSSSLRSNSINPLNISFSSRLRLPKLTIKITDKSRRGGGCTGAKMADSVAGRYATALAEVASSNKTLEATATDVEKVDKLFSDESFLNFFVSPIVTLDQKRELIDDITASGSLQLHVCNFLNILIESKRIDLIKEIVKEFEVVYNKLTGTELAIVTSVVHLERQHLAQIAKQVQKFTGAKNVRIKTAIDESLVAGFTIRYGNSQSKLIDMTLRQGSGIVSVIVIQLLEVDLISPSAPVNVLIS >KVH98586 pep supercontig:CcrdV1:scaffold_523:67707:74885:1 gene:Ccrd_023190 transcript:KVH98586 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic MSMLDSFFSKGSFKGSKCKTLLKLTIPRIKLLRNRREIHIKQMRRDIAKLLETGQEATARIRVEHIIREEKMMAAQEIIELFCELVVVRLPIIEAQRECPLDLKEAISSLCFAAPRCADLPELIQVQMAFAAKYGKEFVAAATELMPECGVNRQLIEHLSVRAPSPDVKLNLLKEIAEEHELDWDPTASETELLKPHEDLLNGPSQFSSTSIPLPQEKHEESVRTPLEQTHDEQSDSDADYDLLDLPEVPNSSIQPTVPSETVLRTEMLPFPASALSDLNNESETRFGSNDDFPYKPDKMLDKSATEEKQFLPFMSPPAATAAVVVKESGPPPPGTKTAVEYEAKESSPLPVPRTKTGITDDLQDVLAAAQAAAESAERAAAVARTAASLAQLRISELIRKQNEDESTDNPFSGDNHRSETPETPNLDRQSSAQDHEGGFNSSSPPPQLFSPHQPQRLPSMDDGFMSYPNLFTSRSPDELSRAQSFADNVRSSDDH >KVH98587 pep supercontig:CcrdV1:scaffold_523:37454:41999:1 gene:Ccrd_023193 transcript:KVH98587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MASTSTQFATSRRMGIYDPLHHISMWDDTFGCDISPNTGPSTISQVDTRLAKTEYTSQESIGPSSDNQAAKNMSDKLQRRLAQNREAARKSRLRKKAYVQQLETGRLKLAQLEQELERARQQRVYGGLLNTSNGLLSGNVNSGIAAFEMAYEMWVSEQQKKDSELKDMLQTPMSDLELGIFVDSSLNHYYELFQMKANAAKSDVFYLMHGLWRTPVERFFQWLGGPRPSELLYILMPQFEPLTNAQIVSVSTLRHSCKQAEDALTLGMEKLQQTLAQGITIDITGAGSYNVLQQMSRILTTHQAARGLIALGEYFQRLRSLNSLWAARFREPTS >KVH98588 pep supercontig:CcrdV1:scaffold_523:44962:50559:-1 gene:Ccrd_023192 transcript:KVH98588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e MAAAAIFTGEKREKERGLFRSGTEDKIAVIVGTITDDVRVHEIPCMKVLLRGPKNCCETVRHFGKAPGVPHSHTKPYVRAKGRKFEKARGRRNCRGFRN >KVH98584 pep supercontig:CcrdV1:scaffold_523:126534:141738:1 gene:Ccrd_023189 transcript:KVH98584 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MVDEEESWCLVTGGRGFAARHLVDMLIRYDMYSVRIADLGPDIKLEPNEEKGSLGQALLSGRAEYVSMDLRIKSQVYRACEGVEVVFHMAAPDSSINNQKLHYSVNVLGTKNIIDACTVLNVKRLIYTSSPSVVFDGIHGIIDGVEAMPYPAKHNDSYSSTKAEGEALVIKANGMNGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKFIIGDGKNMYDFTYVENVAHAHVCAERALASGGTVSKRAAGEAYFITNMEPIRFWEFMSLILAGLGYERPKIKIPAFVMMPIACLVERIYHLLAPYGMKVPQLTPSRIRLLTCNRTFSSFKANDRLGYRPIVPLKEGLKKTIESYSHLRAEVLLRKGGPSKATVCLGSGMVADILLWRDTKLTLTAMLVLFAFYVNFVLPGHTMITAICKVFIMASVFLMGYSIEKIPESNLQFTDTISRQTALSVASSWNCAVYSLRSISNGADSLLFFKVVLSLFILSLIGSMSLQSFFTKVIPFAFVAFYLYEQKEDTIDGFLQNILPIGRLSNPDFVRSSNPFKPLDKLETKTE >KVI03231 pep supercontig:CcrdV1:scaffold_5231:26243:27211:1 gene:Ccrd_018473 transcript:KVI03231 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MTKVHPNAVVSHNHRQPLSHGHTLLPLIVWKKSLLFNCYGFTVFDSNGNLVFRVDNYSSGIKDQILLMDASGHSLLTIRRKRLSLLDNWLVYEGETAVNPRFTVTKRVNLINTKSLAHVTATGSRTKRPMYEIEGSYTQRSCVVYDDKRRRVAEIKRKEAVGGVAFGGDVFRLFLHDSQMDPAVAMAMVIILDQMFL >KVI11876 pep supercontig:CcrdV1:scaffold_5233:20962:26039:1 gene:Ccrd_009707 transcript:KVI11876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFFFSFLNLNRSCKDRAISRAENKQKKKNGSQPLGSDEHPIQLSNQRFLLFVFATRDLISPDAGIIVLRKSPEKVDKDKEETQLSGIKKQVRRRLHSSKPYQERLLNMAEARREIATALKFHRASMKQQQGAANHQLQTHPPSEQLSTTTASNNYSALSCPPPIPHHYPISAIVPPPPPPPLHHEHLHLALPNQTLGLNLNFQDFNNLNTSIYHTPMSVAAKEVAEVAANSSGGIVVKGVAEGDVVVGGLHDAMESDEMKEVRSLNLVMSTQLCNSLNTIEIGPEKVRKAAGSDHEDDVDGYHPFEQVMEFPPWLINANDSSCFQEVLDHHFSDEYSPDPALPWMDIGEIEGMDGEWLA >KVI11875 pep supercontig:CcrdV1:scaffold_5233:214:16681:1 gene:Ccrd_009706 transcript:KVI11875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MVVVMEVDVVVVEEVVVVAGGGGGGRCCTRMATYRDTVRQFIKGTDGFSGAFAECLCGSPNLYQEGGRKPWNSINFVCAHDGFTLADLVTYNDKHNLANGEDNKDGESHNNSWNCGQEGEFVSISVKRLRKRQMRNFFLCLMVSQGVPMIHMGDEYGHTKGGNNNTYCHDNYMNYFQWDKKEESSSDFFRFCRLITNFRHECEALGLDDFPTAERLQWHGHVPGTPDWSETSRFVAFTMMDSVKGELYIAFNTSHLPVMVTLPERTGYKWEPLVDTSKPAPFDFLTPDLPERELAIEQYAHFLDANLYPMLNYSSIILLLTPDLTA >KVI11877 pep supercontig:CcrdV1:scaffold_5233:32196:33968:-1 gene:Ccrd_009708 transcript:KVI11877 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MAATGEQHLLSTEIVSRGIQPSGPDAGSITFSVRVRRRLPDFLQSIKLKYVKLGYHYLINHGIYLATVPLLLLVFGAEVGGLSREELWRKVWDNTAGYDLATVLALSALFIFTFFFYFLARPRSIYLIDFACFKPDEDLKVTKEQFMELASKSGKFDEASLEFQKKILESSGIGDETYVPKAIMSPENITTMKEGRSEASMVIFGAMDELFQKTGIRPKDVGVLVVNCSIFNPTPSLSAMVVNHYKMRGNIMSFNLGGMGCSAGIIAVDLARDMLQSNPNNVAVVVSTEMVGFNWYTGRDRSMLLPNCFFRMGCSAVMLSNRRRDYRRAKYSLEHIVRTHKGGDDASFRSVYQDEDTQRFRGLRISKDIVEIAGDAIKTNITTLGPLVLPLSEQLLFLSTLVKRFLSGATTPRNSSQLLTSSSSATKPYIPDFKLAFEHFCIHAASKTAVNELQRNLGLTDTNMEASRATLHRFGNTSSSSIWYELAYLEAKGRVKRGDRVWQLTYGSGLKCNSAIWKSVRHIEKPSTNNPWIDCADRYPMTMEAFSQSS >KVH96820 pep supercontig:CcrdV1:scaffold_5236:23951:24646:-1 gene:Ccrd_001088 transcript:KVH96820 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAPKERSAGAGKPNTTGVGGVSLKEPHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPTHEDVGLFKVQRSPSQTSTVESPSHPEPVVPLLDLNLSYSVPPPFVVHFPYHHQNQHRFSKVPGAGFYSPPANQMVYFDGIHITNCDRSPVIFRQSSSASKSDDSNSSTVVNLKPSPPPRRIAIDLNFPPPAEGLDIDLNHQIVNSK >KVH20395 pep supercontig:CcrdV1:scaffold_5238:3844:5406:1 gene:Ccrd_025899 transcript:KVH20395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIRTINLRIHLTNQDQCARNANQERDRRNFTPAISPSSETEGTLISSTICFFSMLSEKSFLMDSMISRKGLAPGISPPILTKASSSSCLASFSGEPLP >KVI01695 pep supercontig:CcrdV1:scaffold_524:144883:146231:1 gene:Ccrd_020026 transcript:KVI01695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSTIKNFGIEISVKNKDKQKTILEQRIPIEIKKGPWKVEEDELLIKHIEEYGPRDWDIPLSKGHLQRTGKSCRLRWLNNFQPNLKKGEFSEIEKQVLFDLQGKYGNKWAKIATYLPGRSVYHVKNVWYNHQTKMARFYKGNTECLKFGSSPDEKIKKERKRKKKKEKEEKEEEDEREENFKVKKKKKKKIKKKKKKKKKKKEEEEEEEEEEEELKRVDDQRYTSHDSVQVCYLYTLLIVEKGKKNVRRNNLTGSCTGEERTNKKMRESQYLNKVIKECESCDDIRMNDDTKVLLIGDKVIKEGEWLSFDGSTGDCFTKALQLGNFNMMKQRLQVYPCLT >KVI01697 pep supercontig:CcrdV1:scaffold_524:137720:141151:1 gene:Ccrd_020025 transcript:KVI01697 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEVLPEDCIAHVLSFGSPRDSCRSAVVASVFRDAAESEVLWGKFLPPDHRQIISRSVFPVMFKSNKELFFKLSTPILVDGGRRTFSIDKETGKKCYMLSARDLYIAWSANPLFWCWKPLLQSRFGEAVELRMTSWLEIQGKIGTRVLSPDTIYGAYVVIEVAHYRAYGLDILPMEVSIEVGEFHSRGTIILSCDDCSKGTSERVCHRNEDNKGLGPKFGDDVPRKRMDGWLEIKLGEFYNDEKREKDVKMRLREVDGVHLKGGLIVEGIEIRPIK >KVI01696 pep supercontig:CcrdV1:scaffold_524:110498:111056:1 gene:Ccrd_020024 transcript:KVI01696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFDSDVFSLPSGYNAPQQVHITQGAHVGRSVIVSWVTQNEPGSETVVYWAESSHRKHNATAMVTTYKYYNYTSGFIHHCTIKHLKFDTKYYYEIETSPTVRRFWFMTPPAVGLINKLCSHHLPYAATIGSFLML >KVI01698 pep supercontig:CcrdV1:scaffold_524:6368:108751:-1 gene:Ccrd_020023 transcript:KVI01698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSDRAWIVSRNHISQEYTLGLNNFLATTRRHLGLDGRTLCPCNRCENTWLQQLPMIRAHILRYGMLATYQRWIHHGESLSDEEEHDHFEDSSNNDEDDHILRDAIMDEEGHMFFNVDRSTENDIEDKSDVNCDSVTTIPVSTSEPQPLVRPIDSTAIPERSDFLLLFPDEPKTTQTPKESVVVDVSQSGDSPTIKDQTSPLISVRTESEPIISRTELESLRSN >KVH20262 pep supercontig:CcrdV1:scaffold_5245:1221:35275:-1 gene:Ccrd_025900 transcript:KVH20262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MILSFFIPLFSCFNLNRLLNVVTAQKDTKVIGNQDQEKEIMDLLDGSGEYTLVYEDNEGDMMLVGDVPWQKMADGDEASHTPSNDNVRENAPHLDIYDDEAPMSPRNECLMQ >KVH19969 pep supercontig:CcrdV1:scaffold_5247:34711:36671:1 gene:Ccrd_025902 transcript:KVH19969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTHFCLQTLLCVKQSKLLLPFVTCWVMGKKFDDLRFDFSFTSNHPVRLSLMSSSFYKEQLAKLSGGVSLP >KVH19968 pep supercontig:CcrdV1:scaffold_5247:3:3882:-1 gene:Ccrd_025901 transcript:KVH19968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid lyase MDQCTNGHANINHDXTDPLNWGVAAAALSGSHLEEVKRMVGEYRNTVVRLGGETLTIAQVAAVATTNVQVQLSESARAGVTASSXWVMDSMKKGGDXYGVTTGFGATSHRRTKEGGALQQELIRFLNAGIFGTGAESEHTLPQSTTRAAMLVRINTLLQGYSGIRFEIM >KVI01233 pep supercontig:CcrdV1:scaffold_525:29753:34971:1 gene:Ccrd_020498 transcript:KVI01233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGPNLIRNEEDEVSSRVMVGVSHEVKSDYSISRVYDTLRAFDNARNKNCYSIEAKEGQKVLVRVVFNYGNYDGRNSAPTFDLHFDGNFWVTVNTSEATLYEAIYVVKRNVIGVCVARKFPNQVPFISSLEVRGIDPEAYDGRSPDYAIWVPENIGIGLVRLNDTSTIDDNSIDNPPTRVMNGAIATMDTDHFITLSFSIVKYPIRYPMYINWYFTEVQGLGPGEYRSFEIYKDDDNISVPTVPRIGYVSQYFVPDLSVNGTINFSIVATDDSTLPPLINAIEIFSINWGGDPCLPAPYSWDWIVCNDDPRPRVTSLNLNGYSLSGPLPDFRSMDALEIIDLHNNSLTGFVPDFLGDISNLKQLNLADNQFKGSIPRSLLRNSQLNLSYTGNLLLTSSSNKKKTCKLSVILAIAIPVFFIV >KVI01239 pep supercontig:CcrdV1:scaffold_525:180400:181512:1 gene:Ccrd_020490 transcript:KVI01239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNFPTTHSPEFIKIPVNPRSSLTFILTFLIISPNSTHSQSSFSSAIDESSSSDSVTKFQPSLAVVIGVLSIVFSLSILVLIYAKCCRISSSIHQESFGNLLRTRSRFSGIDKRIIESLPSFKFSMLKGWKNGLECSVCLSAFEDVEILRLLPKCKHAFHINCIDQWLEKHSSCPLCRFKVIEEDIALFTYSNSLRFHEPEFEPSSLELFIEREGSSRFGPKIELTEDDQDQEILHKFNHRIMIVGDHDPIILKNRWSSLTSSDLLFLKSEMITCMSSNRFDRHPSPENKRNSDGGDGEDMDSGGRRSVSEITIHPRFLETPVRVEDERLRSVWLPIARETVQRFAHKENRSAPNGQRLKVDPNLEELWNV >KVI01237 pep supercontig:CcrdV1:scaffold_525:56103:59491:1 gene:Ccrd_020496 transcript:KVI01237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNMNMNMNMMMKMKMMLVLLYLINHLDASPVYFHGRSSLALEWPKGLEKPRENPLPNSRPSSDPIGPKSIPVHDPTVPRPPMYKMVPPPIYRPPPMEHLTTNPHDPYANPTPSDRTTRLRARSCKIACKRVIDLAVAQTGAPPDDSCWAEIGKAAVEQLCTDTCNQKKSEIQPILVTAGGGGAQGSLQARVRTPWNRSSNIRAITNGETLTTVR >KVI01234 pep supercontig:CcrdV1:scaffold_525:69890:70240:-1 gene:Ccrd_020495 transcript:KVI01234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDDCFDLDLSLTMEDHHPTTTSASATTTSTKTTELPSPEEAQNHVFAMPTVVVTSGVCTVCMEGFDSSSCTSGKQAPCGHIYHFDCITKWLSLHNSCPLCRSKVSGHRTSFPAEVL >KVI01238 pep supercontig:CcrdV1:scaffold_525:167904:171925:1 gene:Ccrd_020491 transcript:KVI01238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1675 MEDDNGLDLTLSLPCGGPSAKGKNGSGSEVRVEEADRGSKLIDDFKNFLDGSNHKEESNVGSQRSNQSKPEENLFYDLSKGTANVDSSSNINSGGFWGKNDGRSTEILEDRRQEAGSKRKNMFDEINHQKRQEREAYLSGLHVKARTPHVSITTDEGSTAENEDVADSEADASTSKLVQHRDDASKRHTGSVGLSEGAKEVRGVSDSSGVDLQAQRRFTISSEKEFKVGHVPHGVPFSGQSANILNMPYPLSVKESNSNPVSGTVTSGYTLPGSIPVMAPTSNDRPGTQQPVIPANLPLMFGYSPVQVPTVEAGKSEGLVSHSSQYHPSYFGRGPPNSNRQNDGVKITQATTPVNGPNPFERAKGDDKHAKEEGTSMHTEVDTKGTNGIDQARVEGLPSEYPAIRPGIAAELKFGGSGSSPNLPWVSTTGPGPNGKTISGVTYRYSGTQIRIVCACHGSHMSPEEFVQHASEEQPNPNGGGGGSGLPSFPNSNPAASAQN >KVI01243 pep supercontig:CcrdV1:scaffold_525:212451:216207:-1 gene:Ccrd_020486 transcript:KVI01243 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MAPPSKTSLLLFFVFSAAAAKPNGPRRSGGGHGGKHAGAANAGNVMETNFRGSWAIDNPNAGVAAMQFQLMPNNKAVWFDTTSLGPSARELGPPGNCPRNAEQNNKPDCYAHAIAYDVETGHSRTIYVYSSNQVLEDGSAVVVGGRLSFSYEIVPPHLLEFPAKKFNLPFLQETTVPKLPGPGMYIENNLYPFLFLLPDGNVFLFANDRAIIFHPHNGVILRQFPKLVGGARNYPPSGMSALFPLDLTNGPQNVVAEVVICGGNAQEAYASVDSKHTKNPVFMTALRDCHRIKLSDHNSTWEHEQDMPSPRCMGDLIHLPTGDLLMLNGAQKGVAGWENAIDPNFTPVLYTPFKPFGQRFKEMVPTTIARMYHSCAALVPDGKVLVAGSNPHAKYLLKAKYPTELRVEKFSPHYLDPALDGFRPVVFHEGSEKVLKYGKQFKIAVKLTAAKVRPRDVTVTMIYPPFTTHGFSMNQRLLILPLSTAVKDVITAVAPPSGKLAPPGYYMLFVNYRGVPSKGIWVHID >KVI01242 pep supercontig:CcrdV1:scaffold_525:9110:13528:1 gene:Ccrd_020500 transcript:KVI01242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTNQHPMNFSSSYRNPEDCLDAVSRLFWALCTGYGPAGYALFVAVYAGLEVLAIPTIPFTMSAGILFGPLTGTIPVSISGTLYVCPLFKFSGKFSRIPDQEVQRRNLYTQ >KVI01244 pep supercontig:CcrdV1:scaffold_525:202967:210666:1 gene:Ccrd_020487 transcript:KVI01244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEQTKNDDVRAIDAKGQQSGKKRKKPKEVAIFGNYRNYYSYRIDQGLEEDPRIKIMKKEWFEGKDCLDIGCNSGLITITIAKKFGCRKILGIDIDSARIDDAHWNLRKIVKMSERKKHPPADKSKDTGTDGITNLRDKMSIEISGDSPASHLFDRVSFRKDNFVQGWPTPSDKLYDTILCLSVSKWIHLNWGDEGLITLFSKVWRLLQPGGVFILEPQPWKSYVSNRQVSEVATTNYKNLEIFPERFQEILLDKIGFREIENLSSSLSGSKIGFNRPVLALRK >KVI01246 pep supercontig:CcrdV1:scaffold_525:218369:225585:-1 gene:Ccrd_020485 transcript:KVI01246 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MKNNRIRRRVFELLSKASSSAAPHPSSGQRELHFTFFRKPDKFLESDDRSGHVAGLQFEKTTLQGRDGSGNQIAAGTGQHEDLKCGLVKLKFAFYRLVLKSIGYTSIPVEDLPFNFPKGTVPNTEGRVLSSDFAKPDYGLYVCGWLKRGPTGIIATNLYDAEETVASISEDLEKGKLTSASIKPGREGLLQLLERRKVQVVTFSDWEKIDSEEKRLGSLKGKPREKLTTWKDLLEVTTK >KVI01248 pep supercontig:CcrdV1:scaffold_525:188626:189090:1 gene:Ccrd_020489 transcript:KVI01248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSCSLFSIVRCFNGCRDHTQLSGIGTRVWNLSDRPVELQIRVGSILKKVHTLKPGSSKRLKSKKIYKAYVPGDKSCGGRASGGGGGTRSFLYYYDETCHPYIWVHDTAGDFSRMVRQQYISLEDLRDCSEIRIFRDHVKGSISIRKKPRPDFC >KVI01240 pep supercontig:CcrdV1:scaffold_525:139867:140553:-1 gene:Ccrd_020493 transcript:KVI01240 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MADLPDSNLFANEPNPKMGKRSREADSKNNSKHPIYRGVRMRAWGKWVSEIREPKKKSRIWLGTFSDPEMAARAHDVAALSIKGKSAILNFPDLAGILPRPESCSPRDVQAAATKAAAMNHLNPTPSTPSTSSSSSYSAVSTLTSEEVSTGSPPAEELDEIVELPSLGESYDSAESRNDYVFVDSGWDYYSSSTPWPENYDGGYFAGEPLSILSSDTFFPDALSWQHS >KVI01235 pep supercontig:CcrdV1:scaffold_525:82685:87459:-1 gene:Ccrd_020494 transcript:KVI01235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mammalian uncoordinated homology 13, domain 2 MIPVPRSDGPFDPFGSLGLDLSDSELRETAYEIFVAAFRSPGGGKPLSFVSRSPAKVPEKAPPGPSLQRSLTFTTVSKVKRSLGINSPKKKKGSGSAPTSPSSKENRPAAIGETMRVQMKISEQTDSRFKSADFPTQHEYEEWQKRNLKILEAGLLLHPKIPLGKNNSSARQLSEIIHEAYECPMVTGKHSEEVKTLRGVVKSLASRSFDTDDSDMCHWADGIPLNLRLYQLLLEALFEIDDPTVIVDEVDEILEYIKKTWGILGLDEKIHKLCFLWVLFNRYIATGQVEKDLLFACGNLLLDIKEDAGFAGFDSKYSKILKSTLILILDWAEKGLLAYREFFYRGNIDLMHIILSIALSAAEMVAEECPCDHGTWKTNNVASAKVDTYIRSSMRKAFNQLRLSRRPAKRPQAHFNQLPALCVIAQEITDLAFTEKEIYCPILEKWHPLAVGVAVATLHSCFRQEVKAFISGINDLTPDVIQVLIMADKLEKCLVQMAVEDSFNSEDGGKSIIQEMDPYEAEAVIADLVKSWIQTRVLRTIGDTLEAFFLLPIPMHAALLPDLTNGLDRSLQDYISKAKSGLGTRSSFLPKVPSMTRYSGESKTNGVFDQSDESQVEDQKSSKQGDDSCGIPQLCVRINTFLYVRKELEVLEKRVIAQLRSTGPTEGSIVNDYRISFKRSLAACVDGIQDLCEITAYKVVFHELNHVFWDGLYVDEASASRIEPFLQELQQNLEKIAEIIQEDTVKTRVITDIMRASYDGFLLVLLAGGPSRNFTREDSAIIKEDFNLLVDLFWSHGDGLPTDLINKFSATAERILPLFSTDTESLIEQFKYLTADNNGRLTEPTALTSGQWDPTEPDTILRVLCHRNDKAASKFLKNRPC >KVI01236 pep supercontig:CcrdV1:scaffold_525:43574:46772:-1 gene:Ccrd_020497 transcript:KVI01236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin-like carbohydrate-binding domain-containing protein MYINWYFTEVQGLGLGEYRSFEIYKDDDNFSLPIVPRIGYVSQLLVSDLSVNGTINFSIVTTDDSTLPPLVNAIEIFSISDALTNGTDDNNAEGLDSLKSAFDVLKDWEGDPYTRNPLLTSSSNEKKTCKLSVILAIAIPVFFIV >KVI01247 pep supercontig:CcrdV1:scaffold_525:191069:199611:1 gene:Ccrd_020488 transcript:KVI01247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase/fosfomycin resistance/dioxygenase domain-containing protein MVRIMPMASTIRPSLSSFATCRFAPGAISMVNSSSRFNSLHFASNVESQSQRFGLKACKLFRGEGNSKSVKTIVSMAQGSTATTQENALEWVKSDKRRMLHVVYRVGDMDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGSGFGHFGIAVDDAKGGTVTREPGPVKGGKSIIAFVEDPDGYKFELIERGPTPEPLCQVMLRAFGMELLRRRDNPEYKYTIAMMGYGPEDKSAVLELTYNYGIAIGTDDVYKTAEAVKLFGGKITREPGPLPGISTKITACLDPDGWKTVFVDNIDFLKELE >KVI01245 pep supercontig:CcrdV1:scaffold_525:227007:236968:1 gene:Ccrd_020484 transcript:KVI01245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTGEILQQTNAFLTEALSQPDLRRRIYSTLRNKLSPSVQLNIKHLNLAAETLENAITTTNSSVKSSSLRLSEKVLLSYSENPFSSFLLSLIYTLCQCPIDASLSLLDCFRTDPSTARSEVAPQVFEEMFLINFLPVLEWYNEQRSRILSSLSSSSAYDSDDNSIVTDSVVSCTTLLSKMNGNQASELKELERDYEQVLDENCRVFAGYFEKILGNRDGNRVIDPPLVVLEAVHMGDTYEHNQNEKSISTEFGSKNGRYNPIWTEGEQSIEIQINKAKTLSKFPSFLPERVSPRVLTNQRSSSRTSKPSPYKDSGSEPESVHDDDSCSTSSSDSDAEVKMNEGRHTPPKDFVCPITTHIFNDPVTLETGQTYERKAIQQWLERGNATCPITRQKLHSTQLPKTNYVLKRLIASWKELSPRNSGLSPEISYLENEHVYIPQLTSPNSVISQATIDGTVNELRLTITSLCTSEVLKEAETAVLRIERFWQETSMEVEIQTMLSQPPVINGFVEILFSSIDTRVLIATVFLLSELGSRDSSVISTLTRVDSDVECVVALFKKGLFEAVVLIYLLRPSIASLLQMDMADSLLSVINKREDEFFKMCIKPKAASVLLLGQIVASGDDDAIFEVIRRVISGKVIECIINSLESEWTEERITAICILLRCMQEDGKCRNIVADKAELAPVLESFVGSNDKDRFEIAEPRKMSIYREEAIDTLISCLKNSDSPSAQIAAAETILSLQGRFSSSGKPLEEEKAAEEWERKMAFVLVSHEFGLIFEALSEGLKSRYAEIYSACFVSATWLVHMLRILPDMGIQGAARICLLNRFVSIFKSAKDTEDKALSMLALSSFIHDPDGLRDITTHMKEILKGLREFKKLSNVAFEMLKVWTSRASVLYLIQEIREHSKAVTSLTVLQSGDTLYSGSHDKTVRIHSWSGTSKLLNPSKYVKCLALVQGRLYSGCQDNSIQEIDLATGTLISIQNGSRKLLAKANPVHALKVHDGLIYSVGSSLEGTALKIWNASNYTLNQSVSLGSEVRTMVISSDMIYMGCKGGVVEVWCRKKLTRKETLQTGMGSELKISV >KVI01232 pep supercontig:CcrdV1:scaffold_525:23927:26170:-1 gene:Ccrd_020499 transcript:KVI01232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNMMMKMMLVLVYLINHLDASPVYLHGRSLRLFNFNPFKLKPFRVNPELPFRFPKPKPSVDFPTVPLPLLRPFPRPRPSGKPTVEHPTTNPDHPYAKPTPLNPPDRTTRLRALTCKFACKAVIDSAVAEAGASNSNSRCLAEIAKASVQQLCTDACSKQRSGIRPTLITAGNAAFAACANSRVAGPDPPGNEYAETSNDAAASSFGAESLPPPLLLHSIVPEEKEHKEVYKHGFVPLGTGRVRSGRLRMGKP >KVI01241 pep supercontig:CcrdV1:scaffold_525:156497:165614:1 gene:Ccrd_020492 transcript:KVI01241 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein MALTLKTIIQFPIFASPPPHQPHHHRPATEIRFSRWNNANAQKFIRHERTQKETEDELRFIKRFESAGKIANLQPTNTTPSPFKSTGTPSAPSRPSIPGKKSKYSKPPQNPNPSSHPAFKRIVRTRKLPTENEDETGIKLGDKGLSYVIPEAPFEFQYSYTETPKVKPIKLREPPITPFGPGTMPRPWTGKKPLPPSKKKMDFDSFTLPPPHKKGVKPVQAPGPFLPGSGPKYVQSREEVLGEPLSKEEIDALIKGCLKSQRQLNMGRDGLTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMDNVRQQLEEKTGGKIIYSKGGVVYLFRGRNYNYKTRPVFPLMLWKPITPVYPRLIQRVPEGLTLEEAIEMRKKGRQLIPICKLGKNGVYSDLVNDVKEAFEACDLVRINCEGMNGSDYRRIGAKLKHEHILVWRGRDWKSMLTQENDPQGAKHPDTNTDADIDIDTDVGNSVVVVPSLESSPEDVTEEEHAETELINSTLNDMYGVESMTLDGSEDETESSSTVSENTSNHDCLEGVATLLKQAVHDGIAVVLDDSYLDADTVYARAVVFAQSAPPGSSFRHQRPKKAATQVEEKQEAEETNGKEVVIASERKKGEKTSRTRKKDLKDNYLDVAPQATLRVDELAKLL >KVI01409 pep supercontig:CcrdV1:scaffold_5252:12762:15808:-1 gene:Ccrd_020320 transcript:KVI01409 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNMDSSQSHHQNQNNNWLAFSLSNSNTLFQQPPPTAFHHHPEGDHATGTTTSRHDLSILTGGSPKLEDFLGGCGSAASSGSGPDVCHFPDESQSSMQPHDAASIYDSDLKTIAATFLRGFSTDNHHHLHQQLAVAPTPTPPPPPQDNPPAKKAIDTFGQRTSIYRGVTRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGPTTTTNFPVCNYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVNSIANKNLPIGGMCSKSKTSMDQSRLDPNQRSDERDLSSSTSQPQPQPQPSNILSFAMPMKPDPSADYWTSVLGYNQNQQMSSSSYQGTTPYSMEYPSSTSYYNGGGLVEQENNNNGTGVALSTTSTIPMATPMGLNGSSYGNWIEQSFHSNQPGKQNLSVFQTPIFGME >KVI00881 pep supercontig:CcrdV1:scaffold_5253:19567:27205:1 gene:Ccrd_020859 transcript:KVI00881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVSKTEESQLQQLENQVENRGGGAWEYLSLVRKLKLRRSDKVLKHGLTILNDPKKRSALGPDEWTLYEQVAIAALDCQCLEVAKDCIKVLQKKFPDSKRVGRLEAMLLEAKGSWAEAEKAYSSLLEDNPLDQVISMRRVAMAKARGDILGAIEWLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPMIPLYHLAYADCTSAIAQLTKGRNNEDKEISGLAAAALEKDYKQSSPSKLSLLSSTLRSLKIQ >KVH19939 pep supercontig:CcrdV1:scaffold_5254:23140:23561:1 gene:Ccrd_025903 transcript:KVH19939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLILRGELSGAKISATNCGPRAELSIPIESISSGNTFGSGSGFGLSSDVDSFSSKSKGRPSSAVSAPSKGMGMQLGKSQRANQFLESLKAEGEVIVEDVRPSANPSRASAPPPTDPITLTAEDEAQIG >KVH19676 pep supercontig:CcrdV1:scaffold_5260:3089:8927:1 gene:Ccrd_025904 transcript:KVH19676 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MTTTRNPHKNRYTLPIVFLLLIFLATIVLFSKGTLDSRLSVYTTITDPNNRLPDPVIDNSKSNTGIGFVETQSIDKTPLLQTKSKELEFLNDDSISINETGFNATDFLVRLRGKRLMLIGDSMNRNQYESLLCLLYESLPNKSKMYEIHGYKITKGRGYFVFKFEDYNCTVEFLRSHFLVREGIRINGQGNSNPTLSMDKIDKSAGRWKRADILVFNTGHWWVHGKTSRGKNYFTEGGYLYPKFDAVEAFRRSMKTWGKWIDKNMDQEKGGEWDSGGTCHDETEPIRSGRIIDSYPQKMQILEEVIQEMRFPVVVLNVTRLTNFRKDGHPSVYGKNVTGLKKVSTKKEDCSHWCLPGVPDAWNELIYATLVARSR >KVI01014 pep supercontig:CcrdV1:scaffold_5261:11068:11848:-1 gene:Ccrd_020722 transcript:KVI01014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNLVEAIDRKRWIRXGVRGTPFFCSIDFQYKIV >KVI01013 pep supercontig:CcrdV1:scaffold_5261:22731:27829:1 gene:Ccrd_020723 transcript:KVI01013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPAPRKRMDKLDTVFSCPFCNHGSSVECRIDMKNFIGEASCRICQESFSTTVTEAIDIYSEWIDECERVNTVDDDGVPVEDDAA >KVH91308 pep supercontig:CcrdV1:scaffold_5264:12396:33128:-1 gene:Ccrd_006671 transcript:KVH91308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYITTLVVASTLAMLIVNTTARPFDAHLLKLIGKPVPKEGVDIPCFTRRFQNKLFLSSSPIPSKIPKEAVSGIVSNSLKIFKGIAPASLLFSRTPFSFSEVSSRIHLSQTILPQVHSYFALNHEPQFDRHRSIFEKIVKLDDALKLFDEMTQRQPLPSVVKFTQLLQAVTRLKHYSYAIDLFKQMVAFSVPINEYTTATVIKCYCQLYNTSEGFAIVAYGLKYGVVPDVWTFGTLLNGLILENRILEAERFFKKLVKEELCELNVVMYSTMIKGLCKFGNNDTAIALLRLMNERGCKATVITYNTIIDSLCKDQMIDDALKLFEEMIFHNGILPTVVTYNSLIRGLCSLDRWDDASKLFKEMEDGKIYPNVITFSILVDAFCKEGEVEDAEVLVNIMVERGKDPDVVTYSSLIDGYCLRGEIKKAREVFDSMRIRGLVPNVMTYSSLLNGYCKELKIDEALHLFHEITKNGMKPDVVAYSTMLQGLFRVGRCKAARELFNEMRAQNQIPNECTYRIILEGLCNNHLVEEAISMFRLMGDNKLNSDIVVYNILMDGACKCGKLDIARNLFNDLSVKGLQHDVRSYTVMISGFCREGLVGEAKDLFLQMNERGCPPNSATYNVLIQGLLKNKQHDSIEMLLQEMDGHGFSVVASTLAMLLFNTTARPFDVHLLKLIGKLVPKEGMDASCFTSSERMDSVRPSFSFGMMNHDVVLQTGSQWMERDNVGSSSRGLQSLNDIQNIDVYKPIHSRPQAQGPLADEFPHLDIINDLLDDERLRVLLLNLEGTILWRLRVLLSKLMMKKIPKQAVSFIVSNPFKGIAPASLLFPVTSFSFSELSSRIHPSQTILPQFYSNSALNHGPQFDRPRSMFEKITKLDDALKLFDEMTQRQPLPSVVKFTQLLQTVTRMKHYSCSIDLFKQMVAISVPVDEYTLAIVIKCYCQLFHTSEGFAVVAYGLKRGVLPDVWTFTILLNGLILEDRNLEAERLFKKLIKEKLCEPNEILYNTMIKGLCKFGNSNTAIALLKMMNERGCKPNVFTYNTIIESLCKDQMIDDALKLFNKMIVHKGILPDVVTYNPLIGGLRNLGHWDDASKMLKEMEVDKRISPNVITFNILIDAFCKEGKVEDAEVLMNVMVDIGKDPDVVTYNSLIDGIILEGLCNNHLVEEAISMFRSMGDNKLNSDIVVYTILMDGACKCGKLDIARNLFNDLSVKGLQHDIKSYTVMIGGFCREGLVGEAKDLFLQMKERGCPPNSATYNVLIQGLLQNKQHDSIEMLLQEMVGHGFSVVASTLAMLIVNTTAXPFDAHLLKLIGKPVPKEGVDIPCFTR >KVH91309 pep supercontig:CcrdV1:scaffold_5264:33158:33706:-1 gene:Ccrd_006672 transcript:KVH91309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYAKITKLDDAFQLFDEMTQRKPLPSVIKFNQLLQVVAKLKHYSSLIDLFKKMVSIGVLVDVYTTNTVIMCCCQMYRTSEGFAIVAYGLKRGVVPNVFTFNTILNGLILEDRILEAKRLFKKVIKEQLCDLDVVTYNTMIKGLCKFGNNDTAISLLRMMNERGYKPIVSHMTPSLIVFARTK >KVH96431 pep supercontig:CcrdV1:scaffold_5266:31710:33523:-1 gene:Ccrd_001483 transcript:KVH96431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MGDVRKVGVAVDFSMCSRAALQWAVDNMLRKGDHLILVNIRPDTNSEETEMLLWETTGSPLIPLSEFTDAHVMKKYGTKPDPETLDIVNLVATQKELKK >KVH96432 pep supercontig:CcrdV1:scaffold_5266:26675:31194:1 gene:Ccrd_001482 transcript:KVH96432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MLYHPFANGNFDETPFGLKNPRERRLQKVEGDTTSIIVNPVHTTIPIEICLIPVQEMGDKYNMKNPSVKRILQEVKEMQSNPSDDFMSFPLEENIFEWQFAIRGPSETEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALTALIAFMPTSPNGALGSLDYKKEERRVLAIKSREAAPKFGTPDRQRLIDEIHEYMMSKAPPVPEQRSEEDPPAAAAAAAAAAAAAAEVADDPQTEIIAEQEVEENHEVPSNEEETEAEAPRISKQVVATASSSSSVQPQEQVVRVQKGGDDRLFTWAAVGLTIAIVALLLKKFMKASGHGAVFIDES >KVH19546 pep supercontig:CcrdV1:scaffold_5267:20194:20441:1 gene:Ccrd_025905 transcript:KVH19546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYAAGSVGTIISNPADNIVASLNNKKAGSLKLVGKLDCGIYLQEVFLSGSCSWGLL >KVH94760 pep supercontig:CcrdV1:scaffold_527:94096:104603:1 gene:Ccrd_003166 transcript:KVH94760 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP endonuclease, family 1, binding site-containing protein MKHTSILGFRNFFIPKRIAVIPKSSRTGAFLCGNPRGMGCQGLSSTSNLSVPSIAQPEETTAKGKTAEILSANTNPDTFERMTVQELKTKLRSMGVPTKGSKRDLICALKKFFAEVDDSQEVVEDEKSTQVNQVQDEKSSKRKAKSLSVEDRAEDMITFSVDKGIKRRTKQTPADINITVKTSGHTQAKVASTTSKVKSKNPTCIASEIVSEDIETCNKVDISEHQAEPWTILAHKKPQKEWIPYNPRTMRPPALGSDVKHVKLMSWNVNGLRALLKLETFSALQLAQREDFDVLCLQETKLQASVGLYGSSEPTLNLETSVNQSYPQEKDVEAIKQVLLEGYDNSFWTCSVSKLGYSGTAIISRIPPLSVRYGLGISDHDSEGRLVTTEFDSFYLISGYVPNSGDGLRRLSYRITEWDPSLSNYVKELEKSKPVILTGDLNCAHEEIDIFNPAGNKRSAGFTIEERKSFEENFLNKGFVDTFRKHHPNVVGYTYWGFRHGWRLDYFLVSDSIADNVHDSFILPDVKGSDHCPIGLVLKL >KVH94764 pep supercontig:CcrdV1:scaffold_527:123734:124503:1 gene:Ccrd_003168 transcript:KVH94764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, cysteine-rich domain-containing protein MAAISPLQTLSSSNKPAIHGYRLGAPRLGSLTMNQKRGSLTVVAAVGDVSSDGTTYLIAGAAAVAILGTAFPILFSRKDTCPECDGAGFVRKSGATLRANAARKDQTQIVCANCNGLGKLNQVDK >KVH94769 pep supercontig:CcrdV1:scaffold_527:178657:188150:-1 gene:Ccrd_003172 transcript:KVH94769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIVCIIRFSLIHERSMYIFNVATRHGSSQCLFSVRIKIKNLSSMFITFLERGNRHDHVGSYGITSAPSNAMASPVAPRPPSFGMNIPFSTSAAGGFT >KVH94761 pep supercontig:CcrdV1:scaffold_527:107898:112170:-1 gene:Ccrd_003167 transcript:KVH94761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDWRRKDDQCNQQVARTKSNNYHRKPPFGGSPKWQQNLPSWEKRFVTSVGSLSWKKFLEAKEFTHLYDNILKWDDSAGEEAFRNAKDRFYAKIHGLPCDIQLPKPDSFIDEVDWNSEIDQDLILELESDSVAPDSDCKHEPVVIFGDAIPDPYQHYSPYGWGDADDKMKKTENGENDGINWDDYVNKWDDWDVGPGNHWWGWNENDDNNNNKAEGDQGWNDQKNNHHSHVNNERCISSHKTCRYHGDKNRFSRNNGNRRKSSSQGHGNQRMQVSTIQGHGNQRIHVHP >KVH94765 pep supercontig:CcrdV1:scaffold_527:125247:149509:-1 gene:Ccrd_003169 transcript:KVH94765 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAEDSKARLATQASSSTGTPIPHFKSSMLVDSNQVEASDLEDDKLHDDIKAAEKHTALHSALSELAGDFDGEAMFLQRFFGARLKAVVSTGSLKLDLALGIGGLPKGRIVEIYGQEASGKTTLALNVIKEAQKLGGYCAYLDVENALDPLLLESVGVNTESLLISQPNSAENLLSIVDTLTKSGAVDVIVVDSVAALIPQREIMGVISDNFVETQSQIMTQALRKIHFSLCRSETLIIFINQVRSNVKLRQEGLRNVNEATCGGNALPFYSAVRMRIARKGLLKTQDKVTGLGICVEVMKNKLAPAMKKAELEILFGRGICRVSEVLELACQHGVVPEEGNCYLLDGEVVKGKIQAEKYLIRNERVCDDLVKTLRRKLFRIDQDSES >KVH94763 pep supercontig:CcrdV1:scaffold_527:72786:87139:1 gene:Ccrd_003165 transcript:KVH94763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHSSLRPTSSSSSSSHSSAQSSQVFNSKILLLLTLLPLSLAAFAFVLQWRGEIDDPTTRWSPKDRLEFPGMDAYTSSTHTTRTSSNCADLLGQSRSVSFPYYRDWKFDYISDLKPKICITTSTSAGLEQILPWIFYHKVIGVSTFFLFVEGKAASPTVSKVLESIPEVKVIYRTRELEAQQAKRGMMQEEANLDWILHLDTDELIHPAGTREYSLRKLLSDESSVERDDIRDPFSEVSLFKKNYDHLPKDTYFGNYKESTRGNPNYFLTYGNGKSAARIQHHLRPNGAHRWHNYMKNPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLEFDRAAFIIASTATEEEMLSWKGILARIYTPMVIMQELREAGTFSSLIASTQRSPSLLSSIKDSNTSSKAIEGLDTLSKKTEESEMMSRKVLEVADVVLLAVPPLPPPSADDDHDM >KVH94768 pep supercontig:CcrdV1:scaffold_527:196414:206052:1 gene:Ccrd_003173 transcript:KVH94768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MSVVSGVISRRVLPACGSLCCFCPGLRTRSRQPVKRYKKLISDIFPTHPDEEPNDRKIGKLCEYAVRNPLRIPKITTTLEERCYKELRNRNFRGAKVVMCIYRKLLISCKDQMPLFANSLLTIMHTLLDETRHDEMPIIGCQTLFDFVNCQKDATYMFNLEKFIPKLCHLAQEVGEDARVEPLRAAGLQALSSMVFFMGKYSHMSPEFDNIVSVVLENYGATSKDYDNPNQNRWVQEVLKNDRNMTPSGALTKVPSWRTIVNEKGEVTMSAEDAKSPCFWSRVCLHNMAKLATEATTMRRILESLFRYFDNENLWPISRGVAFPVLKDMQTIIDESGEITHFLLSVLVKHLDHKNVLKRPNMQLDILEIATSLARETKIKASVAIVSAINDIIRHLRRSIHHSLTDANLGSDVIKWNRKFQEAVDECLVELSSKVGDASPIFDIMAVMMENISNIKIIAQTTVAAVYRTGQIVASLPNMAYNKKAFPEALFHQLLPAMVHQDHETRVGAHRIFSVVLVPSSVCPHPSPDMGSDPQKAENVPRSLSRTVSVFSSSAALFEKMKKDKPSLGREKVSNEGEQKTNNGGMMSKVKSIHSRKYSMKNPVPPQVAVQKNDNEAITLRLSSHQISLLLSSIWAESIFPDNTPENYEAIAHTYSLVLLFSRGKNSSREALIRSFQLAFSLINISLTEGGSLSPSHRRSLYTLATSMIIISGKAFGLVPIVPLAKSALANKMVDPYLCLVDDCKLTVASRSDQINNGFDSKEDNRAAQKSLSEVKLAKDQSTESLVAAVVKHLETIIGSEVSSIKEELTHKFVPDDVCPTEYRSEQGHSKDEVASLFSLDDDITKPSEMAYGASDLLSVDQLLESVMDSAQVGRMSVCNAPNISFLEMTNHFEELGIGKQTKMSSLMQNSGDTNKQSAPEVQSAPAASNANATESFRLPAASPYDNFLKAAGC >KVH94766 pep supercontig:CcrdV1:scaffold_527:174423:183136:1 gene:Ccrd_003171 transcript:KVH94766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MAGIQHEPRVIGGSHRISAVEPSRIASPDIKREDDNHHEMNPKQKLIDALYTVETDLQAGANHRYEVNVHAMNDHGKHLSELCKAEYPIFVKYCLWLLAEVAVIAADIPEGTNLIGTAFALNILFNIPVWVGVLLTGFSTLLLLGLQRYGVRKLEILIALLVFVMAGCFFGEMSYVKPPAADVLKGMFIPKLGGRGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSVRGINVSLFESGIALFVAFLINVSIIAVSGTVCSAENLPSADFERCSDLSLDSASFLLKNVLGKSSSTLYAIALLASGQSSTITGTYAGQFIMQTTFFMHVIVGFFGFKDEEMAQELDNKMHCHHPESNSIDNWWVVWCGTTNHHCIGLGIIGINIYYLTTSFVDWLIHSSLPKVANVFIGIIVFPIMAVYILSVLYLMFRKDVVVTFVEPTKRDPNAQVSMENGENNTDKAQELTNVPYREDLADIPFPE >KVH94762 pep supercontig:CcrdV1:scaffold_527:16778:60906:1 gene:Ccrd_003164 transcript:KVH94762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase MLLHSNTYMRRGMLGVAYFGRKELPWVDKVTREIGRSSHCLNTFNLTVLKTYHSLVKIRQKGLQYANMSSETVVTLNSRSVTSESIQECPLPFTYEAAMEALSSLITRKKRDGYSNPNVKYKKLERMSMYIQILGLEEQIADLNIIHVAGTKGKGSTCTFCEAILRECGFRTGLFTSPHLIDDKVTEDLPMPPLFQFLTLLGLKIFVSEKVDVAIIEVGLGGKYDSTNVIQDPVVCGITSLGFDHTEILGDTLEKIALHKAGIFKPQVPAFTVPQLPEAMDVLKQRAHELEVPLQVIAPLTYQRLNGVKLSLSGDHQLVNAGLAVSLCKSWLNTKGNWEKLGLSTAHLSGRAQIVHDSYLGSSNQIGDNESCSGELIFYLDGAHSPESIDACAKWFSNVVNEKIHRPWSSFSGVGNMEEVCSNGCIQHSNGQDESETIPKRILLFNCMEMRDPEIILPRLVDICASSGSHFSKAIFVPSISTYSKVTSGSSDIPLNIPSKDLAWQFNLQRVWERSIHGRDFGVNKNVKMEAAENLPSPEFLYNDDISRCNGNFSCSAVFPSLPLAVLVTGSLHLVGDVLKLLRRSNNGNSIVEGVEIISLISLLIDMRFIDMRCVEVFFNAKLVQLSVKLINDFNVSNRLLVDLI >KVH94767 pep supercontig:CcrdV1:scaffold_527:145895:159153:1 gene:Ccrd_003170 transcript:KVH94767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANNSQDMLLSSESSGTFQLLCSTLSSGRKNSETKIFLSNILKFQDFTSICDKFTLKCHQLYLNCHAFLRSRTDFISSIDHAPTFFVPSDQHAIKAFSSGSKSAVKYRASASKSDNDILEKPYSNIEEYVNGEEVDEPVQVSEPKKAAKIHDFCFGIPYGGIVFSGGLIGFLFSRNPATLISGGLYGGALLALSIFSLKVWSQGHSSIPFILGQAGIAAALLWKTIQTYSVTKKIVPTGFNAAMSVAMLCFYTYVIVSGGNPPPKKLKSATS >KVI01606 pep supercontig:CcrdV1:scaffold_5275:25421:28581:1 gene:Ccrd_020119 transcript:KVI01606 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MAPAALEFDGSSSSPKRVCVMDAGGSLGSALVRRLLQRGYFVHAAIHSHDEMQSMEETVGDKKKLRVFHTDPLDYHSIVEALQGCYGLFYSFEPPSDQPSYDELMTEMEVRAAHNVLEACAQTDTIDKVVFTSSATALIWRDPSDSTSSSPDFDERNWSNLWHGLSKTLAEKTAWALAMDRGVSMVSINAGLLLSPDLTITHPYLKGAAEMYEDGVFVTVDLKFLVDSHICVFEQVSAYGRYLCFNHVINCNKDAVKLAHILLPPDVSSLPPSMTVGESRMVPQRISNKKLNKLMVDFESGGQ >KVH19090 pep supercontig:CcrdV1:scaffold_5279:26957:32926:1 gene:Ccrd_025906 transcript:KVH19090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding MLRRNVRLRREYLYRKSLEGKERLLYEKKRKIKQALEEGKPIPTELRNEDAALRQEIDLEDERTAVPRSTIDDEYANANERDPKILLTTSHDPSAPLKQFVKSYCAEKQIFNGSYLQELKLVFPNSQRMNRGGQVISEIIETCRAHDFTDVILVYEHRGVPDKIVISHLPFGPTAYFQILNVVTRHDNKDKKEMGTVSEAYPHLILNNFSTKIGERTANILKHLFPVPKPDSKRIITFANQADYISFRQSGGPKSIDLKEVGPRFELRLYQIKLGTVDQEEAQTEWVLRSFINTSKKQKVLGG >KVI00967 pep supercontig:CcrdV1:scaffold_528:31107:41873:1 gene:Ccrd_020779 transcript:KVI00967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIEYEAQISAIEQKIKLLESFETVTNIPVDESLKTKAIPEQTAYGKESTNSLKANALLKSINKDNTSPDHMLGKTSRLVNSNPSAEGLSKMTTLSSNKMQEQKLAPSYLRALKDNSQTRYYVNYRGPHKGVYTDWGVVEAFCKEGKTTAREEHDDVIDDVSLLSSAMAREERDDVIDDVSLLSSAMLFLNQNLTQFLNQNLTQFL >KVI00958 pep supercontig:CcrdV1:scaffold_528:37222:40248:-1 gene:Ccrd_020780 transcript:KVI00958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKTLRIKKQMLQIEKRKDFHQENILKNNLINKLIKNHLTKFVQKVRKIADVRSVVKKDIMQMNVQTGNNIQKKSGY >KVI00965 pep supercontig:CcrdV1:scaffold_528:67145:74020:1 gene:Ccrd_020777 transcript:KVI00965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase C/P1 nuclease domain-containing protein MAVAPFLFLFCFGGQSYMKQSFLTEEALKAVKDLLPETAEGDLASVCSWPDEIKHRYNWRWTSELHYVDTPDFRCNYDYCRDCHDSSGVKDRCVTGAIYNYTEQLVMGYNTSNSVKYNLTEALMFLSHYIGDVHQPLHVSFTSDEGGNTITVRWYKRKTNLHHVWDTDIIESAMKTFYDKDIDVMILAIEKNITDTWSNDISSWANCTSGQEVCPDPWASESIKCSCNYAYRNATPGSTLGDDYFLSRLPIVEMRLAQAGVRLAATLNRIFDPLYSIPQKLAYIGNNQATSHTV >KVI00963 pep supercontig:CcrdV1:scaffold_528:94986:116685:-1 gene:Ccrd_020775 transcript:KVI00963 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP-like protein MAGRFDPNPFDEGDEVNPFAVSYKFLRINLLGIIFLCVRLLVLPCSTFLLFPYNQDNGAGGKGAGKTNFGGGPFYTTSVPSAANSRLSPLPHEPADFYDRSAPVDIPLDSAADLKKKERELQAREADLRKREDIVKRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQKLQYVAFTTYLAGLVLCLLWNIIATTTAWIKGEDPKIWFLALIYFISGVPLAYVLWYRPLYRAFSCVIIVMVLDLPSPSHHLFRILCHQVHIAFVIFAAVAPPVVFKGKSLAGILPAVDLVGDHALVGQVYMYFRGSGHAAEMKREVARSAVRAAI >KVI00960 pep supercontig:CcrdV1:scaffold_528:176490:182763:-1 gene:Ccrd_020772 transcript:KVI00960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MMGLLAILATPNCFGNTDPRDIFAINSLYAALGFPPLPGWLLSGGDPCSEGWQGVQCVNSNITGIDLSNNHIGGGIPADLPLTLKTFFLSGNQLTGSIPDSLSMLGQLTDLSLNNNHLSGIIPDSFQQLTALVNLDLSANNISGPLPPSMTNLLSISTLDIKNNLFSGPIPPKLLSIPNFRSKGNPFNTTIIPLPPVSSPSPSSTFETQPPDIQPDNQALGPSSPVLSHSSNEHNSIWHNKVVWVAIGGFLVLILLALGLCVRMSRRRKEKSSIKVSGHVKNPMLNKENEASNGRNDLLPRFNSKQEKLVTVSKRPTPPLPPQSLVGERVVVKPVAIPTTTAKNANFPGVFSIASLQQYTNSFSQENLIGRGILGTVYRARLPNGKLLAVKKLENATLKQWSDEDFMGYLHEVCKPLVVHQNFKSTNILLDDELNVRVSDCGLAPLIPSSYVSQFDFYRSRSRGEQFLVRWAVPRLHDIEALSRMVDPSLDAAYSSKSLSRFADIISLCVQAEPEFRPPMSEIVQNLMHMSKINE >KVI00966 pep supercontig:CcrdV1:scaffold_528:57416:59990:1 gene:Ccrd_020778 transcript:KVI00966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFKLWWIKGTFATLMLLIPGNLAWGKEGHYATCKIAEKKRSEILLPSFGYSATHPPINFTCTTRKKQQDHTGKGITEEGNI >KVI00962 pep supercontig:CcrdV1:scaffold_528:142723:154728:-1 gene:Ccrd_020774 transcript:KVI00962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF707 MFFILTAAFWYESIYKLVLLMREAKSWRNLLRKRRLHFDWGRYGVKMKPFMGAACIIMLYIVYRTTNYQYLETELDSRFDPLYTSKDYVLASTSLKGLPRGIIEAKSDLELKPLWSTSSLKSKKGDASSTHNLLAIPVGLKQKRNVNTIVQKFLTENFTVILFHYDGKVDGWRDLEWNNKVIHIVAQNQTKWWFAKRFLHPAAVSIYDYIFLWDEDLGVQHFNPRSYLDIVKAEGLEISQPALDPNSTDIHHRITIRKRMNKFHRRVFVEGMAPVFSRAAWHCAWHLIQNDLVHGWGMDMKLGYCAQGDRSKKVGVVDSEFIIHQGIQTLGGASAKKTTNHVDLMKKHGVDVRAEIRRQSTTELQIFKQRWEKAVKEDTDWVDPFYRRKQRRA >KVI00964 pep supercontig:CcrdV1:scaffold_528:77821:79144:1 gene:Ccrd_020776 transcript:KVI00964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFKHLYPKGPNGRFPFTPKRFPLPGSLPQDDVLENRPSQDDDSGISPTPEMTIPFKKSFHRYPSFTAFHRSTVHRIVALHHRIIQPKSLLKAFQDCLGTLNPYHFNERLHFLLESSERFSGQLRPPRHD >KVI00961 pep supercontig:CcrdV1:scaffold_528:165410:173825:-1 gene:Ccrd_020773 transcript:KVI00961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MDDVSVVMVGPRKVWGTWEELILGGAVLRHGTGDWDVISSELRTRTACPYYFTPELENLKAEKAHCDHIEYDSSQTESPPLPLPKTKGIKIKTIHKEGLKDEFELSAGSFTQDLETNPQPKSHSPSLNSSQNVEIKLQVPESCMNTMIEPSQEKGGTIRKRRGKRKRKDGNWEAKEGIVESENLGSSSGVRRNETSTSGCGQTVRSSSADLQDGDSCRGRETDDLVGIFNSVTENQYALIARIGNCCIKSTRELFRDLFLLANNALVFYSKRTREYKSALTLRGIVTKKYKQLCSESGSSSSRPLSSMLCFSSMSSPPVRPRSIRARAPSKQVKVVVKFPTSGQMVGRHGYLKVSSNSDSSSLNPPEASQSNVELSGSKGK >KVI00959 pep supercontig:CcrdV1:scaffold_528:218887:229498:1 gene:Ccrd_020771 transcript:KVI00959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEKGGTACEWQVDDFDVGITADDDDLSMDIESLLSILEESQPDDSTENRVRDPSSSITPCSEPFSHAAVNEHFGTHNGSLTFSPSDQSGASTSGTVCSGGSFESERQMVNFGITKNESRPDVSSLNYSSASLGDWAIQVPGNGTPYSPNTGVAQDLSSFSHHDTRETNFERANSSEVVGFSDWDGDQQLMDVSDITDGIYHDSVADSCRTSEAQDIVEAQFLDFSRYSDIVYGLPDASSMPYFMSVNEPSRSGPSRPYHKSGLSMIRNDRMLFNVKTESAEHLMHNSFLNSTMNYNSRAEKVGGVSLTDQSSYIGPYVGAEGSNVCLPTGENSGLPAKEYASGRRFPGMFHTSNKTLVNCLKDEKSEKGLLQSFSGVFDSILSNDHVMKGEQDDIKRSRHFINIIDNTCRSNTPLSDNCRSGTQSPEQSFFQSDTSIKKQLTYIKEDKESNFSPFNNMALFPQKISQQAVPNTTFVHSTYVDDGDPDICILEDMSEPAPKKLYPVDGKSVVTAQFGASPTQMGFNNTRLKTNDERLIYRAALQDLSQPKSEATPPDGALAVPLLRHQRIALSWMVQKETRSMHCFGGILADDQGLGKTISTIALILKERSPSSNVDAIEVKKEVTETLNLDDDDDTVTEPCAMAINGSSIEPKITPLQTNSRPAAGTLVVCPTSVLRQWNDELHNKVSSKSNLSVLVYHGGNRTKDPFELAKYDVVLTTYAIVSMEVPKQPLVDEDDDETKKRNEFQPVGLSSTKKRKYPPTSGNNSKKGKKEIDNELFESLARPLAKVRWFRVVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPIQRSPVNGYKKLQAVLKTIMLRRTKGEKLEGLFFYIPFKLSLGTLLDGEPIVSLPPKTVNLKKVDFTAEEREFYCRLEADSRAQFAEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVKGCNSNSEWRSSLEKAKKLTPEKRTRLLNCLEASLAICSICNDPPEDAVVTTCEHVFCNQCILEQLSSDDCQCPSSKCKTLLGTSSVFSRSTLRLSTGDQPGLGNTPDCSGSVKTEVLEPCSSIGLVNSSKIDAAEALDSSKIRAAVDVLQSIAKPQETTTNPEDGLKDVSGVFNMGTVIVREKAIVFSQWTRMLDLLESCLKDSSIGYRRLDGTMSVVARDKAVKDFNSLPEVTVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKREMVSSAFGEDETGSRQTRLTVDDLKYLFQA >KVI03161 pep supercontig:CcrdV1:scaffold_5280:7087:31446:1 gene:Ccrd_018544 transcript:KVI03161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKPQVRQGKCIKKGQILAYGAATVGGELALGKNETESGQTISSSTLHALTQSSLSPPPHCSRRFPLHLPTALAAAGAFLLSSSSSLRPLPHCLRRFPTALAFSRHCNRRCSLLLFSAFSHQSAAATRILGILELPVDKLASFVHSKNGL >KVH97007 pep supercontig:CcrdV1:scaffold_5281:5352:22306:-1 gene:Ccrd_000899 transcript:KVH97007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLILSFPTCKFTPTINLPAAPEYQTPAGFSMAKNMSLFDDCFMLKFLFNLNSGREMTWCSHCGKNCETSRDYTTNNTSCVDCGKVLFEDIFTEEATFVTDAAGGAHLTGNFVRFGGACFESQRRTLRKGNVATNKLIYKNRITKVSHATRHYKGRETIWCPHCARKGGTAHDRTTSSVHCVDCGKVLFEEIYTENATFFRDAAGGKDERKQALDAKHLKPVQTASEAAGQLSLKKMQRSSSKINYDVLNTLFGGNEPNPMNCSYAIGKEPMNGDDETEEDDYSETEWRSYDNEQESCSYDYDYDYDYDAGDENDDY >KVI09480 pep supercontig:CcrdV1:scaffold_529:38517:48042:1 gene:Ccrd_012134 transcript:KVI09480 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MALLQSAPSTTGESPLALIQNPFIFPSTSFKLRPISSNSSSALKTKPNNHRLRIRASATLESNNGAATVSVGLENSPSTANITGITYGRQYFPLAGVVGQDAIKTALLLGAIDREIGGIAICGKRGTAKTVMARGLHAILPPIDVVVGSTSNADPACPEEWEDGLAERVEYDSAGNVKTQVVRSPFVQIPLGVTEDRLIGSVDVEESVKNGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTDGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMSFEDRVAAVGIATQFQEQSNEVFKMMEEETDSAKTQIILAREYLKDVSISREQLKYLVMEALRGGCQGHRAELYAARVAKCLAALEGREKVNVDDLKKSVELVILPRSMLNETPPEQQQQQPPPPPPPPQSQESENQNEEEEKEGPVKRLAVDATLRAAAPYQKLRREKDTQKIRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVAIIPFRGDAAEVLLPPSRSISMARKRLERLPCGGGSPLAHGLTTAVRVGMNAEKSGDVGRIMIVAITDGRANISLKRSNDPEMASDAPRPSSQELKDEILEVAGKIFKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDSVISAATKDALTTLKNS >KVI09487 pep supercontig:CcrdV1:scaffold_529:165493:167148:-1 gene:Ccrd_012143 transcript:KVI09487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAPSKPHTTLCILLIISFHLTSSANPITETITTTCTDVHHHKSPQSKCIYLKTNADCHPKGYINYLQIFYCNLSRFPQLGFLMLLLWLVILFYLLSNTAADYFCPAVENLSKILKLSPAIAGTTLLPLANGSPDVFASIISFTASGDGGNIGLNSILGGAIFVSTVVVGILSLLISYRRKIVIVDRPNFIRDVVFLLFSLSNLLVIFIIGKVSFWAAILFASTYIIYICLVSYMHFITMKKQIISIDEDRQQGSRLPLLVRVNAQQKLVQSADKVVPHSKRIIMLLFYITRLPFYLPRRLTIPTITQESWSKPFAVISMVLSPIMLALILNTQQAKMGSKASLVIYSFALVIGVALGTCTFAFTHSTMAPQKGLFLWHASGFLMSVVWTYITAQELVSLLESLGTIIGMNPSILGLTVLAWGNSLGDLTANVAMAMHDGSDGAQIAMAGCYAGPVFNIFVGLGFSFVIACWLGYPEPYMVPMNPYLCETVGFLIGGLLWALVVLPNREMRLDRTLGGGLLAIYFCFLFIKIARVVGLLDGSISNPLKTL >KVI09489 pep supercontig:CcrdV1:scaffold_529:145209:150792:1 gene:Ccrd_012141 transcript:KVI09489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MAASRRLRDLQSQPGNRICVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLCKMESGGNENLNNFLAKYGIPKETDIIAKYNSNAASVYRDRIQALAEGKPWRDPPVVKESPIKGGTNKKPPMPGGGGGRAGSNRGNGGWDDNWGDGFDDEGFRSPDNMRRNLTVGDFRSGSGGGGAPSRSRSSQGLYTQSQLTASAAGKEDFFARKMAENESKPEGLPPSQGGKYVGFGSSPNTMPRSNSQGDVFSSVTQGIGKLSFVAASAAQSAANVVQAGTKEITTKVRDGGYDYKVNETVNVVTAKTSEIGQMTWGIMRGVMALASQKVEEYTKEGPSWKSDDWPRNETQGGDGFYQESKGWNSNAVSVSSSGRNVNSVGSGGSAWDDWDNDGYRKPDSGTASNNGGGDSWAGWDDDKDGDDDFYQTPSNGKTAAAAAASSGKSDGNWSGAGFL >KVI09481 pep supercontig:CcrdV1:scaffold_529:217439:224376:-1 gene:Ccrd_012148 transcript:KVI09481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGYMVESDQGAAGGGGKSGFRSLVRRKRVDSVHSKTSDTGHHQLAKELSIAHLISIGVGSTIGAGVYILVGTVAREHSGPVLAFSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGLAWIIGWALILEYTIGGSAVARGISPNLALLFGGPDSLPAFLARHSIPGLGIVVDPCAAILVFIVTGLLCVGIKESTFVQSVVTIANICAMIFVIIAGGYLGFKSGWIGYELPVGYFPFGVDGMLAGASTVFFSYIGFDSVASTAEEVKNPQRDLPLGIGAALSICCTLYMLVSVVIVGLVPYYAMDPDTPISSAFASHGMQWAVYIITTGAVTALCSTLMGSLLPQPRILMAMARDGLLPPFFSEVNKSTQVPVKSTILTGLIAATLAFTMDVEQLAGMVSVGTLLAFTMVGISVLILRYVPPDEVPLPSSLQAAIDSVSLRYSNNIHTEETDVETSKDQSGVSGEKSELLPRKGEASSKYPLIAKVSAQAIFNENRRRNVAGWTIMLTCVGAFILTYSASNLGLPSLFRFTLCGIGGVMLLFGLVVLSCIEQDDARHNFGHAGGFICPFVPLLPILSILINMVDTWMRVSVWLLIGVFVYVIYGRNHSSLQHAVYVPAAHVDEIYKSSAESLPSQQ >KVI09482 pep supercontig:CcrdV1:scaffold_529:188563:207312:1 gene:Ccrd_012146 transcript:KVI09482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease, phosphorolytic domain 1 MVGATLYLNRALSEVPNTNTIAGVRIGLIGDKFVVNPTTMEMEDSKLDLLLAGSESGILMIEGYCDFLPEEKLLQAIEVGQDAVRAICKEVDNLVKKCGKPKMLDSIKLPPPELYKHVEVCALSKYLYMVSIVDGLHQEIAGDELVKVLQLKNKVPRRKALSLLEEKVLTILTEEGYVSKSEVFVGAEITPDMFEDEDEEEEFVVDGEVDEGDIHIKPVSKKPVPLFYSEVDVKLVFKSVTSKYLRKRIVEGGKRSDGRTSEDIRIIDSECGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRIDNLAGVEDVKRFYLQYTFPPSCVGEVGRSGAPSRREIGHGMLAERALEPILPSEDDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGLVLDTKEFGGDGTPLILSDITGSEDASGDMDFKVAGSDEGVTAFQMDIKVGGITLSTMKQALMQAKEGRKRILAEMLKCSPPPSKKLSKHAPLILVMKVKPEKVNLIIGSGGKKIKSIIEETGVDCIDAQDNGTVKITARDVASLEKSRAIISNLTMVPVVGDVYRNCRIMSIVPYGVFVEIVPGREGLCHISELSPDWLSKPEDAFKVGDQVDVKLIEVNEKGQLRLSRKALLPDQSTDKPDENQSTAGVIKDSGTPQKYTDRGKVRQSSSIPKGDVAEATLGQRKDKIPETTFTKRYVGSRKNEPKTSSSKSDTVASNDSGSSLVNGEAQELQFGSQHQLESATQDLTLENCSYTPADAALPDEDKLRTKFLVSFFRNSNDLRRFWSFAFVKNRIPKLFVKNAKKERR >KVI09475 pep supercontig:CcrdV1:scaffold_529:9806:12834:-1 gene:Ccrd_012131 transcript:KVI09475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDICSHIVLPVTDDVKDLYGLCMHTLESSHRVTKALCEDELSNGVTEFLNIQDDREEDSGSSLPFKNSEFQNKDACDDSHPSKIATQTPEEYLCKCATCPCSCKTLLSPPGVVASEVSTYDDKVKSLGPSAFCSKSVPTHLKPVSAMKGSREKRGAAPPVKLTVKWAPDVYDPIPTSVSHVVTNNKSSRHSKKNSKNKQKNASKSSSSRGSKGKDKKQVRKRGGRSSSSMGYKLAEHEEEEVADFREHEPEPSSIGFHEQFCGSSFLKRHGTSLHLSSVAEAT >KVI09479 pep supercontig:CcrdV1:scaffold_529:44437:49828:-1 gene:Ccrd_012135 transcript:KVI09479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEEITKLQEKYGVTAKDLK >KVI09484 pep supercontig:CcrdV1:scaffold_529:184357:185646:1 gene:Ccrd_012144 transcript:KVI09484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSSFHGRPSSLLGHPTRSRHNKLPISYSSFTKPHTAPSVSTFLLSRRITSNRFKIRALKRESDGALASEEEARPFFPNRHSVKIPVGDRYITVETGQIGRQASGSVIVRDGETVSF >KVI09473 pep supercontig:CcrdV1:scaffold_529:28516:31171:-1 gene:Ccrd_012133 transcript:KVI09473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAFSSAFRRILNVSSSTMTSQIASARFSSTLTAPKLFISGLNRNTTDEKLREAFTPFGQLVEAKVIMDRATQRSKGFAFVTYASIDEAEKAREGMNAKFLDGWVIFVDPAKPKEYKPPPQPESQQSSEFGFKTNKTIGWCGN >KVI09478 pep supercontig:CcrdV1:scaffold_529:56778:70229:-1 gene:Ccrd_012136 transcript:KVI09478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MGEGEVCVAVVSNVGEMENEKIQQKRDHQWLTAGSDVEPLAKKQAKEGSVDEGDSCARDLSEVAQVSIEHDPCVKRLPIEGSNDIKPEPCVEQNMNECSDGLELEPCGRMQTPEALKDIKPNTFVKDPVQQCLNATKQPNCERTQAIESLNYIGVNPCDEQPVKEDPCAMEVDPCGERLPSKVLNDIEIEPIVVQPVKECLNEMELESHGRMQGTGAGSDIDLDPCGRMQTTGARSDIEPEPSLLQPVKECPSETELEACGNMQPAEALNESEQELSVQQPVKESMHEMELEVCGRKQTTQVLDSTGIELSVVKQTTQALDSTGIELSVVKLTTEALNDIDLELSVEKVCHNEIVTDPCTKKEVKEASNDDICSEVSNPNVSPRDNSSSFQTVNSQSDGKVVMKDQVVCGEITSACSGDSSSEGSSSQEEHGTNDTSGTVSTSHVILEVPKHASTSSGIRKITFKFSKRKEDYGSQLSASAAKCMSEAFDNPTRKGPRLTHISEQGLHEPGEVMPGKVIPDSYPTTVKRLLSTGILEGAKVKYISASILRAHEFEEHAGGKTRHPNNHIYLENGKPIYSIIQAMKTAPLSTVDEAIRNVAGSSVNEELLQVWKGNLQRNMDMAKPDNSYHMKLMNLYHSTTRPRSYTPSATVETKRSAEGGHKKRKSLLYDDRDNDLHRLLFMPNGLPDGTELAYYARGKKILDGYKQGNGIVCSHCDNEISPSQFEAHAGWAAKRQPYRHIYISNGVTLHDIALLLANGQSIATSNSDDMCAVCGDRGELIICDGCPHAFHSVCLGQEGVPSEDWHCPYCRDSIGSGRKTASESRPILIRLTRVVKAREYETGGCVICRAHDFSTAEFDDRTVMLCDQCEKEYHVGCLRESGICDLKALPSDKWFCCDHCDMIHGAIQEVVANGAAGISGLALSTINKKHIEKGVFDGSLDEIRWRMLSGKSRYPEHLPLLSRAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGIYCVVLMVGSVVVSAGLLRIFGREVAELPLVATSRQHQGKGYFQALFFCIEELLLSLGVEILVLPAAEEAESIWTKKLGFRKMSDERYSQYSRDIQLTIFKGTSMLEKELRHVTL >KVI09476 pep supercontig:CcrdV1:scaffold_529:6628:7554:-1 gene:Ccrd_012130 transcript:KVI09476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKGDKKPSTADKKPLKAEKKLPKEGISSTSIEKKKKKSKKSVETCKIYLFKVLKQVHPDIGISSKAMGIMNSFISDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELSKHAVSEGTKAVTKFTSS >KVI09474 pep supercontig:CcrdV1:scaffold_529:16891:26778:-1 gene:Ccrd_012132 transcript:KVI09474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVANDASNFIPAAPIFLPEGPWKQVMEILIVKIPGGVTAAEGFKAAGMYGGLRAVGQKPDLALVTCDVDAVAAGAFTKNVVKAAPVLYCRSMLENSTTARAVLINAGQANAATGDAGYQDVIDCSNTLAKDTEVRKILQLLQVRPDEILIESTGVIGQRIKKEPLLSSLPKLISLLSSSIKGADSAAVAITTTDLVSKSVAVEFEVGGTHIRIGGMAKGSGMIHPNMGTLLGVITTDALVDTDIWRKMVQVAVNRSFNQITVISVVDGDTSTNDTIIALASGLSGSNRISSQHSSEATQLQMCLDAVMQGLAKSIAWDGEGATCLMEAAIYGRDPNWGRIACAAGYAGIPFDSNALRIALGDILLMDGGQPLPFDRSAASNYLKEAGDTHGTVKIEISIGDGPGTGLAWGCDLSYDYVKINAEYTT >KVI09488 pep supercontig:CcrdV1:scaffold_529:106253:108950:-1 gene:Ccrd_012140 transcript:KVI09488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MKKLNKGYVVVHAGGASGIGLETARVLALRGAHVIIAARNMKAANEAKQLMLTQNENAKIDVLELDLSSLNSIKAFADSFKALNLPLNLLINNAGVMFCPFQLSQDGIEMQFATNHLGHFYLTNLLLDKMKETATDTGIEGRIVNLSSVAHLYTYEYGIGFDKINDKDGYSDKKAYGQSKLANILHANELSRRLKEEGANITVNSVHPGLIMTDLMRHSFQLMRFLKLFTYLLWKNVQQGAATTCYVALHPKLKGVSGKYFVDCNEYPASNFATDPELAKKLWDYSNNLVNSLQHS >KVI09485 pep supercontig:CcrdV1:scaffold_529:186660:188520:1 gene:Ccrd_012145 transcript:KVI09485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase MSVNYQERFSAAVEDFLKGREGQRIMRLIDRPLRPTMLKGFYHETQILSWVLSYDGLHPPDSLAVTAAGIAV >KVI09471 pep supercontig:CcrdV1:scaffold_529:98637:106109:1 gene:Ccrd_012139 transcript:KVI09471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion channel regulatory protein, UNC-93 MASDEESPLVDQNQIGSQTLNHGRDIHILSWAFLLIFLAYGAAQNLQSTLNTDGDLGTISLGILYTSFTVSSLFASSVVRKLGSKNALLLGTTGYWLYIAANLKPSWYTMVPASLYLGFAAAILWVGEGTYLTSTARSQANDHKLHEGTVIGHFNGEFWAIFASHQLVGNLLTLALLRDGEEGSTSGTTLLFTVFLGSMTLGTILMAFLKRRDGEETEGQRDSSVGFCTSLVSLWKHVVTPLCDTRLLLIVPLIAYSGLQQAFVWAEFTKFFVQPYLGESGVGGAMAVYGVFDAICSLAAGRFTSGLTSITLIVSGGAILQGGILIWLLSYSASTGVLGLVYPLLIAAIWGIGDGVLMTQLNALLAMLFKHDMEGTFAQLKLWQSASIAVVFFLSPNISLQAMLFVMLAALLLSLACFLFLVLKVEKVFSSNRP >KVI09477 pep supercontig:CcrdV1:scaffold_529:81509:83021:1 gene:Ccrd_012137 transcript:KVI09477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGGAGRHRRRPSQSVFDFQDDVLQPPPVADNGGSDKEFGHASSPNKIPEQHCIRPTTDVSGHLKEKGAPPSLPPTSQKKS >KVI09472 pep supercontig:CcrdV1:scaffold_529:85021:92867:1 gene:Ccrd_012138 transcript:KVI09472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MAAVADIVRTTLGPRSMLKMILDALGGIAVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEAFIDKNYHPTVICQAYNKALEDALAVLDKISMSINVNDRSMMLGTVKSCLGTKFTSQFGDLIADLALDATTMVGVDGGKGSRDVDIKKYIKVEKVPGGQLEDSQVLKGVMINKDVIAPGKMRRKIVNPRIVLLDCPLEYKKGENQTNAELVREEDWLVLLKMEEEYIENLCAQILKFKPDLVITEKGLSDLACHYLSKGGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTKAGLFEVKKIGDEFFAYIVECQDPKACTVLLRGPSKDLLNEVERNLQWPYEAAAVAFEAIPRTLAQNCGINVIRTMTALQGKARNLLHAKCDNAWIGIDGNSGEIADMKEQKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKRQAPQANESSKPTIEREGDADNERMIPE >KVI09483 pep supercontig:CcrdV1:scaffold_529:208379:214497:1 gene:Ccrd_012147 transcript:KVI09483 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLWVDKYRPKTLDKVLVHEDVAQNLKKLVTEQDCPHLLFYGPPGSGKKTLIMALLRQMFGPGAEKVKVENKNWKVDAGSRTIDLELTTLSSTHHVELNPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRINAPKEEQILKVLEFIGKKEGLQLPPGFAAHIAEKSGRSLRRAILSFETCRVQQYPFTNNQVIPPMDWEEYVSEIASDIMKEQSPKRLFQVRGKLYELLVNCIPPEVILKRLLYELLKKLDSELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKGFLIATFG >KVI09486 pep supercontig:CcrdV1:scaffold_529:150978:154041:-1 gene:Ccrd_012142 transcript:KVI09486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MTVNITSIKTASDGVWQGDNPFDYAFPLLIVQTALVLAVSRSLAFLFKPLRQPKVIAEIVGGILLGPSALGRNQEYMHRIFPRWSLPILESVATIGLLFFLFLVGLELDLSSIRRSGKRAFAIAAAGISLPFILGIGVAFVLRKTIDGADKVGYAEYFVFMGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDIVAWILLALAVALAGKGEDGGPHKSPLISVWVLLSGVAFVIFMMVVIRPAMNWVAHRSSPEHDTVDEAYICLTLATVMVSGFITDLIGIHAIFGAFIFGLTIPKGDFAEKLIERIEDFVSGLLLPLYFASSGLKTDVTKISGGKAWGLLAMVITAACSGKIFGTFIVAVMCMIPVRESLTLGLLMNTKGLVELIVLNIGKEKKVLNDEVFAILVLMALFTTFITTPTVMAVYKPARNNGGTRSTCSRKKDELRVLACVHGPGNISSLINLIESTRSVNKTRLKLYIMHLVELTERTSSIVMVQRVRRNGLPFVSRFNNRARAFHERVAVAFRAYAQMGQVVVRTTTAISALPTMHEDICHVAKEKRVPMVILPFHKRWIKTDGSYLIENAGHGWRGVNQRVLNNAPCSVAVLVDRGLGGESQQNLGPAITTQKACVMFFGGPDDRECLELGGRMVEHPAVNVTVLRFVEDNRTEQDGVGLKPALSKGREKYTFSTAINHPEKERERDEKAMDEFTRRWEGLVGYKEEKGTDVVESILGIGKSGEYDLIVVGKARCPTAMVARLADRQAEHAELGPVGDLLASSNHGIVSSVLVIQQHEKVESEEAEASALVVRQNEEAANEV >KVI09470 pep supercontig:CcrdV1:scaffold_529:3487:4583:1 gene:Ccrd_012129 transcript:KVI09470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLEIEDRYYFIHGWLNFINDNHLKMGHFLVFWLLSPSIFQVWIYAPNGCLTNPTTTCSGGSKNENILKKPFIKEEEASDDDSMESDKKSLKRVITKNYIHKMLMTKSFLQDVGMNGCRRLRLKNVDGKVWEVKVKRYGRKVAPYMSTGWIKFRKENKIEVGDLCEFSHVKANLLRVHIFKKGKQVNKNR >KVH18440 pep supercontig:CcrdV1:scaffold_5290:13620:14057:-1 gene:Ccrd_025907 transcript:KVH18440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSFLSLNLPENDLNSSSSSSSSSHLNNPAHQRVFPCNYCRRKFYSSQALGGHQNAHKIERNLAKKNRAVSSAVRPHSGYNQPTPRSGSSDLNHGRQVQPPVMTGFNHQPHVARFISSEMGRGMDYSYKDESGEEDLNQLDLSLKL >KVH18438 pep supercontig:CcrdV1:scaffold_5292:6995:35024:1 gene:Ccrd_025908 transcript:KVH18438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54 MDPQPFPKSGRGIADRHNSSPSSLLAKTVSDASSQSLASILNNPHADSSWVGWWSSSAAVAVPEFAAPPATKPPGSEITRSHFTSYLLSIAEHHSRFEDIRNHSNKESRDEVDRDHDERAGEALVACLREVPALYFKEDFALEDGATFRAACPNSTVAENSVLQEKLSQYLDVVELYLVKEISLRSNSFFEAQGQLEDMNLKIVEGCKRIRELKETIRLLDVDLVDCARQIQDLNLTRSDLLALQQKLRLILYVNQSLAALKLLVASADCAGALDVTDDLKQFLDDDELTGLHCFRHLGDHVAGAVDSVNSILSAEFLRVSISDSGGMDKIILAKAKARASSTGNVEDNKVELHQEDASSFRDRLLPLIIGLLRTVSIFILLAKLPAVLRVYRDTVTSDMKTAIKSVVAELLPVLVARPLDSDFMPGERIVDNDVHAGAGGGLSLASKLRSLSSDSFVLLLDTIFRIVQAHLLQAAEVKKSIEWIMSNLDGHYAADSVADAIAHGALSAETAQIDYGLADSFVTYSVKKNAIKVPTFQEKGNDATSPSNMSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPRLRVQDFLHIYNMSQEFITATEKIGGRLGYSIRGTLQSQAKAFVDFQHESRMTKLKAVLDQEKWVEVDVPYEYRAIVISAFCSESLISGDLDNYSNGLAKGYDEVVHNNNASSALIDDGVSLSSSQEQVMKLSSQHADVSDSTEQINGIKVKNEHGKPSSHLLSFRGVGYHMVNSGLILLKMLSEYIDMNTVFPTLSSEVIRCVLEMLKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFVHAIIPEIKRVLLVKVAETRKGLLLSEIERVLQDYKVHRDEIHTKLVQIMKERLLVHLRGLPQIVETWHRSDETDVQPSQFARNLTKEVGLLKRVLSRNLHEVDVQEIFREVTIAFDIQISDAFSRVDISTPQARARLACDIQHILGCIRSLPSANLSDSQDPVPAQLELLLQRIEEVGFVMIVFFFP >KVH91461 pep supercontig:CcrdV1:scaffold_5293:21059:29005:-1 gene:Ccrd_006517 transcript:KVH91461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MRGRTDGSQKKRLLTSVILVAVFLLFLYLYFGSQSQGESALEYGSRSLRKLGSSYLGGDEESDIGIKQDDFKFGLDDEDGIVPKSFPVCDDRYSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPLPERKFNCLIPPPTGYKVPIKWPKSRDEVWKANIPHTHLAHEKSDQNWMVVKGEKIIFPGGGTHFHYGADKYIKSLANMLNFPKDNMNNEGNLRTVLDVGCGVASFGGYLLSSDIITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAAKRNQTVIWVKPLTNDCYMERAPGTQPPLCRSNDDPDAVYGVNMEACITPYSDHDHTSKGSGLAPWPARLTSPPPRLSDFGYSTDMFEKDTDLWRRRVENYWDLLSPKISANTIRNVMDMKANLGSFGAALKSKDLWVMNVVPEDGPNTLKLIYDRGLIGSIHNWCEAYSTYPRTYDLLHASNVFSDVIEKKGCSGEDLLIEMDRILRPTGFLIVRDKKPVIDFVKKYLTAIHWETVATADSASETDGDDVVLIVQKKLWLTSESLRETD >KVH91460 pep supercontig:CcrdV1:scaffold_5293:8863:9987:1 gene:Ccrd_006516 transcript:KVH91460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MKTKSPTIHSGHAIVSKRNANHNLKHLGCPVERSLRQIKFTHDAITAIDNCWKPVMAAVQGACIDGGVDIITACDMRFCTEHAYFFVKEVDLAITVDLGSL >KVI11162 pep supercontig:CcrdV1:scaffold_5294:11304:22502:1 gene:Ccrd_010430 transcript:KVI11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MELERKGLDKFKPHDKNLMLNALDLIGKKLEYRRIIRRLERNTTGLDAAINIESCYLLAPTRFPLHFQRSPNPIFVCHHRLHSPQYPRINRQKGKKGVVIWERSKMGPLLYILVALPCTIGAIALAILHIYRHLLNYTEPTYQRFIVRIIFMVPVYALMSFLSLIFNESTIYFNSIREIYEAWVIYNFLSLCLEWVGGPGAVVLSLTGRVLKPNWCLMTCCFPPIPLDGRFIRRCKQGCLQFVILKPILVALTFVFYAKGKYQDGNFSAKQSYLYITIIYTISYSMALYALALFYVACRDLLKPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGYIKDAEEAAEIQNFIICFEMLLAALGHLYAFPYKEYAGANIGTSRGFGASLAHALMLNDFYHDTVHQFAPAYHDYVLYNHSNDTGDEGATKYRARTFVPIGSEMENVRKNKHMLGSNTPPTTPPSATHVQETGAKPEAMNSSLLVDVSNSASTPYDFTLIDMDLQVPAKDAGEKR >KVI11310 pep supercontig:CcrdV1:scaffold_5296:11501:11827:-1 gene:Ccrd_010281 transcript:KVI11310 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MAVNPLFTVVKHANLLSAKSLATVCLVGTLKRKAMYIIEGSYTQKCCLMYDDKHRCVAEIRRKEANNGVALGEDVFHLVVQPTIDPTISMALVIILDQMFGPSKHFSM >KVH18285 pep supercontig:CcrdV1:scaffold_5297:5220:13051:1 gene:Ccrd_025909 transcript:KVH18285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MELESDMDNTNMGISKVSLGDGVVGNGVAHYNLANNVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHYSIPKDNPSDKDINQGTLVVFNLDPSVSCEDLLQIFGVYGEIRETPHKRHHKFIEYYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGARRNQEFEQDDTRSFRLQVGPSIANSPPGVWPQFGSPIEHSPLQSLSKSPVLGSMSPTFGNNLPGLASILHPQPARIAPIGKDHGRTNRNHLEQNMFNGSSNSGSTFQQSHSLPESKLSQFKEPMSSFGGSSSTGSGIETLSGPQFLWGSPNIYSEQPKGPSHGFPVTGRSPTMAHPFATTKGPPTHGFPIAGRPASLLGSSQHHHQHHHVGSAPSGIPFEGHFSRYHESPETLFMSPPAFGGVGIGHIDRGFLGSRGSVENGSPSFSTMSSPRLNPMFLGNGHYAGLGPTIVESMSERGRTRRVDQNGSQTDSKKQFQLDLDKITSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHSGTYDFLYLPIDFKNKCNVGYAFINMLSPAHIIPFYQAFNGKKWEKFNSEKVASLAYARIQGKMALEPLLSNSLNIQMHRSNGSDSGDSTGSPPKDGTNEQS >KVH18286 pep supercontig:CcrdV1:scaffold_5297:13097:20044:-1 gene:Ccrd_025910 transcript:KVH18286 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAF domain-containing protein MSMELGSRGVNTEATINNNTAATSSSSGGSNMNTPNKNLNLAHYGADARLLSEFEQSVGSGKSFNYSTLLKHEPKAVDEQKMTAYLERIQRGGFVQPFGCMIAIEEPTFRIISFSENCFSMLSLNLGKPDDLIEPNKSLIGIDARILFTSSSRASLERAVASREITLLNPIWVHSRSTRKPFYAILHRIDVGVVIDLEPANSGDPTSLLAGAVQSQKLVVRAISRLQSLPGGDIGVLCDTVVEEVQKLTGYDRVMVYKFHDDEHGEVVSEIRRSDLEPYLGVHYPATDIPQAARFLFKQNRVRIIVDCHAESVEVIQSEELNQPLCLVNSTLRAPHGCHKIYMSNMNSIGTLVMAILVNNNDSMKLWGLVACHHTTRRYIHFPLRYACEFLMQSMGLQLYMELQLAKQKAEKKILRMQTTLCDMLLRDAPFRIITQSPSIMDLIKCDGAALYYGGKVWLVGITPNEQEVMDIANWLCSEHKDSTGFSTESLLKAGYPGAVSLGDAVCGMAAAQITSKDFLFWFRSHTEKEIKWAGDKHHPKDEDDGERMHPRSSFKAFLEVAKKKSLSWEDSEINVIHSLQLIMRTSAQDVAENGGGGGGSKVMKYGQQSETGLQGMDEISSIACEMVRLIETTSVPIFGVDASGLINGWNAKIAELTGLAASEAMGKSLIDEVIHESSRVVVEGLLCRALQGAEEKDVELKLRKVDMHQQNNTIIYIMANTCTSRDYKNNVVGVCFVGQDVTTEKIVMDKFIRMEGDYKTIIQSPHPLIPPLFSSDENACCSEWNAAMEELTGHMRREVLGKVLPGEVFGGLCKLKDEDTLTKFMILLYREIKGHDTSDLPFGFFNKDGNLVEVKLTANKRVIEGGKVVGCFCFLQTSAQWPLGDGKNQDFVLKSNELAYLKQEIKNPLNGLRFAHELLENTAISYDQKQYLETSGACERQIASIVENMDIKSIEDGSVELNLDQFALENLLDAIVSQVMIVLKEKNIPLVHEIPDQLKTLTLLGDQIRLQIVLSDFLLSIVHHAPSPDGWVEIKVAPGLRMIQDGHEFIHLQFRDMYEERKQWDTQEGLALNLSRKLLGIMKGHVNYVRDDISDISQTMSILSRKASNLTAESRIVPLSVK >KVI11228 pep supercontig:CcrdV1:scaffold_5299:27626:29193:1 gene:Ccrd_010365 transcript:KVI11228 gene_biotype:protein_coding transcript_biotype:protein_coding description:MULE transposase domain-containing protein GSELHTIFWVDEVARFNYSEFGDVISFDATFRTNKHSMVFVPFTAVXNHNCNVVVGSALVGHEHVPNYKWLLQAFRKAHSKPPMMILTDQCPAMKQAIASVFPDSRHMLRIWHIMNKVPNKFSYDLLNNTTFKKQFFKLVWNVHISHDEFESRWMVLIEEFSLQDHPWLKDMYKPRYTGLPRHVVRNLKESTVVCSCNHISHHGYLCRHVFKVLLNAGVESIPEEYILRRWRRDLIPIELQNSRQRICDVGEDQRKIINDTYEIVDDVLDILRDDKEKLESFVATLKEMRDDVAKDRTYELSM >KVI11227 pep supercontig:CcrdV1:scaffold_5299:15055:21506:1 gene:Ccrd_010364 transcript:KVI11227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFGGSRNRRITMASSTGSGGNRRPPLCGGRRRVIATKKRGWTNPLAVNNSVKKLQRREICSKPHRSSSITKSLHRFCNFRLTERYDIHDPVGQCSLVLPLLMKRAKVIEIVVVHDIVFALASSGVCAAFSRDTNKRICFLNIYPDEVIRSLFYNKNNESLITVSVYASDNFSSLKCRSIRIEYIQRGKPDEGFPLFETESLKWPGFVEFDDVNEKVLTFSAQDSIYKVFDLKNYTLLYSIADQQVEEIKISPGIMLLIFSRGQSHVPLKILSIEDGTVLKDFNHLLHRNKKVDFIEQFNEKLLVKQDNENLQILDVRNAEVKEVASTEFMTPSAFIFLYENQLFLTFRNQTVSVWNFRGELVTSFEDHVLSHPDCNTNNIYITSDQDLIVSFCKADNGPLTDRRGAGSINISNIWTGKCIAKINASNAVSSKQDDDDDEDGRSPNQRQVEVNMVEEALEDITSLYYDEERNEIYTGNSNGLVHVWSN >KVH92928 pep supercontig:CcrdV1:scaffold_53:143385:146923:-1 gene:Ccrd_005012 transcript:KVH92928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase NYRLWQDEYPFIRRASSFSSSSSSTKSTPSNGGRKGYDYIVVGGGTAGCPLAATLSKNYSVLLLERGGVPFANINISLLANFHLTLADVSPNSPSQFFASTDGVLNARARVLGGGTSINAGFYTRASTSYVKRVGWDAKLLNESYSWVEKRIVHEPVFEPWQRAVRDGLLEVGVSPNNGFTYDHLYGTKIGGTTFDRFGRRHTAAELLAYGNPQNLDVLIHARVQKIIFDTTGTFLAFVTITFHISFLINQIKRIRHIPLRNRGKKPRAVGVLFKDENGAEHEAFISRRRKSEIVVTSGAIGSPQLLLLSGIGPKPDLEKLNISVVHDNKFVGKHMSDNPMNSIYVPFNRPVKQSLIETVGITKMGIGQLSTIPPKRRTREAIEAFKRNKKDLPHEAFHGGFILGKVAFPKSKGHLKLINTNADDNPSVTFNYFSHPEDLRRCVKGIRLVEKLVRSEPFLQFTKCDKGTVAKLLNMSVTANINMIPRHTNDTKSLKQFCKDTVITIWHYHGGCHVGQVVTEDYVVRGVHRLRVIDGSTFHESPGANPQATVMMLGRYMGVKILRDRLGRSGGL >KVH92946 pep supercontig:CcrdV1:scaffold_53:419082:425336:1 gene:Ccrd_004988 transcript:KVH92946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MASITPLSSVGSFASPSSKTNKTMTNSFETLSSFSSVSSSSLGHGRRNVVLQKRCSLKVKAMAKDIYLNKDGSAIKKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVAAGANPIQITRGIERTTKALVEELKLMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYLSPYFLLLVDKKITNARDLVSVLEDAIKGGYPILIIAEDVEQEALATLVVNKLRGALKIAALKAPGFGDRKRTVIRDEVGLTLENAGSEVLGLAAKVVLTKDTTTIVGDGSTQELVNRRVAQIRNLVEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKSTLDNDEQKVGAEIVRRALGYPMKLIAKNAGVNGSVVIEKTFLTSDAVVVEIKEPEPMPTGSPMDNSGYGY >KVH92948 pep supercontig:CcrdV1:scaffold_53:502182:505590:1 gene:Ccrd_004980 transcript:KVH92948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQVDEVNQPAHELSTRNHKGGLITMPFIIATNFAPIFGAFLSDSYLGRFSTIALGGVRPCSLAFGADQIHKKHNPNNKRALESFFGWYYAAGATAVLIAFTGIVYIQDHAGWKVGFGVPVVFMLLSSILFFVASSLYVKTKVEKSVFTSFARVIVVAYKNRKIVAGTNPKDLTPDGIASDPWSLCTVEQVEELKSLIKVLPIWSTGLMMSINVSQSSFPVIQATTMDRHLGTSSFQIPAASFAFFTIATLAIWVVLYDSLIIPSASKLVGKPVHLGVKLRMGIGLVISTIAMVISAIVEHLRRRKAIEEGLVNDPQSVVNMSAMWLVPQYCLHGLAEAFSAIAQNEFYYSEFPKSMSSTAASLFLVGMAVANLLASGILSTVENLTKTSGQEGWISTNINRGRYDAYYWVLALMSFGNLFYFVACSWAYGPCTHETVNENDPSDGLENPRSLACR >KVH92959 pep supercontig:CcrdV1:scaffold_53:255563:256117:1 gene:Ccrd_005003 transcript:KVH92959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYGTIPTSSGGGSNLEYLSRAKERIKTGLGTRRPWKEIFNLRSINFPHGVSEAYKRIKTNTGYFRMNYAIIVLFILFLSLLWHPISLIVFVVLMAVWLFLYFLRDEPLVIFHRVIDDRVVLTVLSVLTLVLLLLTDAKMNILVAVLVGIVVILIHAVLRRTDNLCLDEEGAEAGGYLVASPS >KVH92977 pep supercontig:CcrdV1:scaffold_53:60575:61147:1 gene:Ccrd_005024 transcript:KVH92977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MSYQPRSSNHNETDDDRHNRRLYNPYQDLGVPVQTLYKLPTSPEYLFQEESVAQRRSWGENLTYYTGIGYLGGAVVGAGKGLVEGVKASEAGDTMKLRVNRILNASGHAGRKIGNRAGVIGLLYAGMESGMVAVRDTDDIINSVVAGLGTGALYKAAAGPRSAAVAGAIGGIAVGLAVTGKQVLKRYVPI >KVH92992 pep supercontig:CcrdV1:scaffold_53:393603:400043:-1 gene:Ccrd_004990 transcript:KVH92992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MMALSCKDGKGAILDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRREKQRKEASRLQSVNRKLTAMNKLLMEENDRLQKQVSHLVYENGCFRQHTQNATLATKDTSCESVVTSGQHHLTPQHPPRDASPAGGNFNRPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPTWFRECRTVDVLDVLPTANGGTIELLYMQVRNIRSHLAIILYAPTTLATARDFWLLRYTSVTEDGSLVVCERSLSNTQNGPSIPPVPNFVRAEMLPSGYLIRPCEGGGSIIHIVDHMNLEALRQLRQIAQEVSQSSTPNWGRRPAALRALSQRLSRYPNHIILIGFKGLRCAYFDISFLGRGFNEAVNGFTDEGWSLMGTDGMDDVTILVNSSPEKLMGLNLSFSNGYPSVNTSVMCAKASMLLQVSHENRHLCGNPYNVPPALLLRFLREHRSEWADNNVDAYSAAAIKLGPCSLPGSRAGNFGGQIILPLAHTIEHEELLEVIKLEGVSHCPEDALMARDMFLLQLCSGMDENAVGMCSELVFAPIDASFADDAPLLPSGFRIIPLDFCKVRSSLNEASSPSRTLDLASALEVRGPGNKTSGDRSVSSGNARSVMTIAFEFAFESHMQESVAAMARQYVHSIISSVQRVASALSPSHLNPNGGIQAPLGTPEAHTLARWISHSYRCYLGVELLKVPGEGSESILKSLWHQSDAILCCSLKVRRFFLPVFRETTALLFGLLTSLPTPFMLLNHYGSMQALPVFTFSNQAGLDMLETTLVALQDIGLEKILDEHGRKSLCSEFPQIMQQGFACLQGGICVSSMGRPVSYERAVAWKVLNEEDNAHCICFMFVNWSFV >KVH92931 pep supercontig:CcrdV1:scaffold_53:121419:126858:1 gene:Ccrd_005016 transcript:KVH92931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MGNNKKEQLNMSDLGASLPAAAAALSAEDRAGLVNALKNKLQNLAGQHSDILESLTPPVRKRVEVLREIQSEHDELEAKFFEERAALELKYQKLYEPLYSKRYDIVNGVVEVGEKDEAATDQTDGDAKEEKGVPDFWLTAMKTHEILAEEISERDEDALKYLKDIKWCRIDDPKGFKLEFFFDTNPYFKNTVLTKVYHMIDDDEPILEKAIGTTIEWLPGKCLTQKILKKKPKKGSKNAKPITKTENCESFFNFFNPPQVPEDEDDIDEEEAEELQNQMEQDYDVGSTIRDKIIPHAVSWFTGEAVQEDEFDGIEEDDDDEDDDDDEEIDDDEDEEDDEDDDEDDEDEEEEESKSKKKTKKGSILHAAGGAGEQGERPPECKQQ >KVH92989 pep supercontig:CcrdV1:scaffold_53:339166:342674:-1 gene:Ccrd_004995 transcript:KVH92989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MQLRLVTMEEGKEPTINSIRITNQSRGSPMRLLGFMLLFIGIGVSFSIINMFKIRYLGIQNIVPGAHTLIQSCFHEPRSLQDWIKPPLTYMHTLDDSELLWRASYVPQIKEYPFKRSPKIAFMFLTRGPLPLSPLWERFFKGNEEFYTIYVHTTPSYRANFSTSSVFYRKQIPSQVVEWGMMSMCDAERRLIANALLDISNEWFVLLSEACIPLQNFRTVYRYISRSKFSFMGAFDEPGPYGRGRYDDKMLPEVHIDQWRKGSQWFEANRKLAVDIIKDNTYYHKFERFCKPDCYVDEHYFPTMLTIQSPHLLANRTLTYVDWSRGGAHPATFGKDDITKEFIKKIQQGGI >KVH92973 pep supercontig:CcrdV1:scaffold_53:590614:591466:-1 gene:Ccrd_004968 transcript:KVH92973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae/L37e MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSVKAIRRKTTGTGRMRYLRHLPRRFKSNFREGTQAAPRKIAASAST >KVH92961 pep supercontig:CcrdV1:scaffold_53:288583:302971:1 gene:Ccrd_005001 transcript:KVH92961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MNGGDKGDVAAAPAGAPPPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKEHGGSRRDLEKTDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVTALIVQEKKVKKIANMNMDPSKVDGKSNVASSSVSSNTNLHVANGGYNDKSSNNLSNDFKFPPGGIPSLRLPVVVYFTHAITSNETNLVARCRRVYAHAHDYHINSVSNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCSTLAYSSSKGSIRLIDLRQSALCDKHSKLFEEQEAPGSRSFFTEIIASISDIKFGRDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPRLCDLYENDSIFDKFECCLSGDSMRVATGSYSNLFRVFGCGRGNTEATTLEASKNPMRRQVQTPSRPPRSLSSITRAVRRGGVDANGNSLDFTTKLLHLAWHPSENSIACAAANSLYMYYA >KVH92938 pep supercontig:CcrdV1:scaffold_53:536769:543812:-1 gene:Ccrd_004975 transcript:KVH92938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAAEEEEIEHLELGDEGTQNDDNRCCSDMEMMKEKFAKLLLGEDMSGGGKGVSSAMALSNAITNLAASVFGEQSRLEPMPEERKARWRKEIDWLLSVTDHIVEFVPSKQNTNGVDREVMVTRQRSDIHMNIPALKKLDAMLLECLDNFAGDHEFTYASKDDHKARSIKKREEDKWWLPTPKVPPNGLSEGARKWLQFQKDSVHQNGRASLGDYIYKAITVEHFDPDLFLSSMDLSTEHKIVDLKNRIEASVVIWKRKMSAKDGRSGWGSGVSLEKREQFGDRAETILLILKQRYPGIPQSTLEISKIEHNRDVGLAILESYSRILESLAHKVLSRIEDVQHVDALAQNPSLGSLKRNSLKDSIKIQASEVDRKLWREGRRLSLVPAIELPPRPLTVVVVVGAKVAIDAITPIDRISQPDGGFEGRDMASVHLGDDRLVADG >KVH92976 pep supercontig:CcrdV1:scaffold_53:52590:55986:-1 gene:Ccrd_005025 transcript:KVH92976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGLIEEMAPAVSVAISLTNPISKNPNHGSHLDFGRIMLLTGFQSDHATDCNESSSDLNILGVGKSGFSETIQEIGSKRVVNDDIMIQKKDGDGIISVGDRLSELLAVDSSSMSLPVAVAIKGTEKGQIVAEVISLEKETKGSAIGHTLKASVVVLADKNNHNSKGVRSVFELECVPLWGFHSVCGKRPEMEDAVAAVPQFMKIPVKMLAGDHIINVMNPNLNDLNAHFFGVYDGHGGSQVANYCRDRVHIALEEEIKVMKQEVVKGTMNETVQVQWEKAFTNCFQKVDDEVGGKASGIVDPSNAPSEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLYRGKEAIALSNDHKPNREDEYARIEAAEVTFTARAREDECLILASDGLWDVISNEEACEVARKRILIWHKKNGGIALERGVGGVDPAAQAAADYLSMLALQKGSKDNISVIVVDLKSQRKFKPKT >KVH92956 pep supercontig:CcrdV1:scaffold_53:5470:11524:-1 gene:Ccrd_005031 transcript:KVH92956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MLTCIACSKQLNGGSLREQEEDDTAATPRTKQAIKVLTSQIKDMAIKASGAYKNCKPCSGSSNHNPTNGGYADSEAGSGSDRFHCGYQRTCNSTPRVWGKEMEARLKGLSSGGSTPASYSDRIESVKFMEEDELKEWVAQVEPGVLITFHSLPQGGNDLKRIRFSREMFNKRQAQRWWAENRDKSSKMESFENSPVTPPLSKEPLPRNFYPKQSRECHDSNGVASTPTLSSISGAKTETSSMASARSSSSREGDHSGELSMSNASDMETEWVEQDEPGVYITIRAVAGGNRELRRVRFSREKFGEMNARMWWEQNRGRIQEQYL >KVH92942 pep supercontig:CcrdV1:scaffold_53:506508:511798:-1 gene:Ccrd_004979 transcript:KVH92942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDCQLMGTDICICLHSMEIDFNKEERTETSEEFANFSIREYVAGIRMNDRRKCWPFGSLGDPDSDEVFASYQFPECRFISSQIRPENIDGLDYTTEQTEAPLDWVEAIKRRGFAGWLVQMHEPASVVVNTLCYMIEYLGIEGKTIYDDKVNNARFPQESSVDEGAKIGRTVAADNDEPARRNRPRKKAQKFLLLSDILRDLAGPSGGYHVRCNMTNPDNVNSRFVTETEDESDEITLDAFFRKQKGVEVKIKKKKKSKMVRVEELIIGRDKRSKRGSNDSNEKDSDVGPGKQKNPRLTNGAKKAQKKTEMELLETTKHVGSSPSSFKRERNRDQRLFANAKISNDAEMVCACVQKKIRIRSSKTVTRDRDFYADTRKKFSKEKLEIRKMKAGRVEWNAENRVNL >KVH92955 pep supercontig:CcrdV1:scaffold_53:16028:22669:1 gene:Ccrd_005030 transcript:KVH92955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MATIRRRKQPEDEVEQRPDPKLDEDDDKEEKASKRSEKKNKSKNYSCIDNCCWFVGCVCSAWWLLLFLYNAMPSSFPQYVTEAITGPLPDPPGVKCLKEGLKVKHPVVFVPGIVTGGLELWEGHQCMHGLFRKRLWGGTFGEVYKRPSCWVQHMSLDNKTGMDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARVGYEEKNMYMASYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKAVVIPHSMGVIYFLHFMKWVEAPAPMGGGGGSDWCAKHIKAVINIGGPFLGVLDSDLFQIQTLQHLMRMSRTWDSTMSMIPKGGDTIWGGIDWSPEEGYSPSKRKQGKNDTKLSIQNESASKVCESTQANYGRMVSFGREVAEAPSSDIERIEFRGAVKGNNVANNTCRDVWTEYHDMGFGGIKAVSEYKVFTAGEIVDMLEFVAPKMMERGIGIPTERAYIYKLTPAAECYIPFQIDTSAKDQNEDGCLKDGVYTVDGDETVPALSAGFMCAKGWRGKTRFNPSGIKTYVREYDHNPPSNFLEGRGTQSGAHVDIMGNFQLIEDVIRVAAGATGEELGGDQVYTGIFEWSEKIDLKL >KVH92949 pep supercontig:CcrdV1:scaffold_53:480271:486118:1 gene:Ccrd_004983 transcript:KVH92949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pex, N-terminal MRETLASTSSSTTSDSTTPIESAWIDAHERLSPRWQSSALSPQPGLLFQYEAELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAMEMRGKIRTGLEGPGLTVPQKLWYCVATVGGQYIWTRLQSFSAFRQWGDSEQRSLARRAWFIVQRIEGFYKAASFTNLLVFLYTGRYRNLIERAIQARLVYGSPHMNRAVSFEYMNRQLEMLLLLLPLLNSSSMKNFLRPFSKDNSSGSAGDETLCPICQANPTTVYLALPCQHRYCYYCLRTRCAATPSFRCSRCNDPVVAMQRYGGSI >KVH92988 pep supercontig:CcrdV1:scaffold_53:344097:350297:-1 gene:Ccrd_004994 transcript:KVH92988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase MGKVAMGVAVIGAATICALIVRHRMRSSGRWSKVAEMIKELEDKCDTPNAKLKQIADAMAVEMHAGLASEGGSKLKMLISYVDNLPTGDEEGVYYALDLGGTNFRVLRVQLAGKSGIKSQEFAEVSIPPQLMFHLLPGRQRELGFTFSFPVMQLSVASGELMRWTKGFSIDDMVGQDVVAELTQAMKRQAGGRYDNKDVAIAVILGTGSNAAYVERAQAIPKWHGPPPKSGEMIFEKMISGMYLGEVLRRVLYRMADEAALFGDTVVVELCNIIATRGARLAAAGVLGILKKLGRDIEEMPETVIAMDGGLYEHYTEYSKCMGNTLHELVGDEVSKRIKLIHSNDGSGIGAALLAASHSQYLEVNGS >KVH92933 pep supercontig:CcrdV1:scaffold_53:574866:583250:-1 gene:Ccrd_004970 transcript:KVH92933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKISATMGNNMSEVLEVESAAMSFSPISHAFSFYSTPAIFLSRSCLVAPPPMSSPGPGTSPPSPLPPSDSNVTTPPTTTFPLFPPTNGSSISSEPTPSPPGQSRSTNNTTLVALGVGIGIGGAVVLVFVGIFVVWYKRRKRRRRALAGLSDPKDGFGGAPQNWQHNAPSLKQNMNGLPPKFNNSHGNASNFQLCSMGSSVPPPLSTSSTGSEKPHPQSTTNMGYANGKTIFTYEDLVNATEGFSHANLLGQGGFGYVHKGVLPSGEKVAVKQLKTGSGQGEREFQAEVAIISRVHHKHLVSLVGYCTSGFRGCWFMRKGHNPLNWDMRMKIALGSAKGLAYLHEDCQPKIIHRDIKSANILLDSNFEPKVADFGLARFTSETDTHVSTRVMGTFGYLAPEYALTGKLTEKSDVFSFGVMLLELITGRRPIDKAQFLDDNIVDWARPLLTQALEDGDVSSLVDARLQDDYDSTEMSRMIACAAVSIARALEGNLPLEDLDEGTKPGHSKLNGSHESSDFDTAQYREDLKKFQKMAFESQNNSSGWSAPTSNFGQQPSGSSSETHDLGSSAGVQQRPTD >KVH92970 pep supercontig:CcrdV1:scaffold_53:610269:619855:-1 gene:Ccrd_004965 transcript:KVH92970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation machinery associated TMA7 MSSKQGGKAKPLKQPKAEKKEYDEMDKANLQKKKEEEKALKELRAKAQKGALGGAGLKKSAGSSIREIPDPDCDGSETITQQAPADSAILASSTNRIDFDGMNGDGEDLWKGKDDDGGIEKF >KVH92979 pep supercontig:CcrdV1:scaffold_53:48898:51939:1 gene:Ccrd_005026 transcript:KVH92979 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I associated factor, A49-like protein SVSQRRSPPLSPHRRLAPPAVSQRLISSQTIVTQQIDMTKKHKKDIVDVSVEEDDELQLQTDKEAEGYSKKKHKNKKIRKREERIEVKIETVHGSSDKSLPLIGYFPSGYDPEKRQRDEEPRVRVLKNMKRNHRYQLVVSPNQSSTVEFVGTNYSGEAAAPQICSYALGVLDKQSQTLKIVQIEANKAKKERALRANRDPEAQEDLNKKMADAKVNTEALEVSAATTSSARNIPPHDISATMPQQAYPLEKIILKGEWRYLLDIAELLQEGKDITPAAYPVFVCNRVHKIDEFKDKNSMDGFSAAKNHKLPNILTQKFNDMFANPDSKRLADDKRDLLISYVLVLTLYVDNFKTEFSDIAKDLRMSTGALRRHFEHLGCKFIRENSIMLATLPAPLKFPEVRMRRRR >KVH92990 pep supercontig:CcrdV1:scaffold_53:377260:384372:-1 gene:Ccrd_004992 transcript:KVH92990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISESNNHHHNWGFIENSSDQDSCEETSTISNGSSSISSSSSVDTIDDDASSSSSSSSLNSAGSSLHDLSDLMSQLPIKRGLSKFYQGKSESFTSLARVISIEDLPKKLKNPYNKMRKMKGSSKNYGGGLDNYKSHTLPKPTISKKPSPFLRQRSFTKSHLGKGKRILGTTYKGHSRIKRGTSSDPIYTFLTSKDLAVPRSRGKIILNRVITHKAAIISLNNLWGLDQLAVT >KVH92941 pep supercontig:CcrdV1:scaffold_53:516179:528132:-1 gene:Ccrd_004978 transcript:KVH92941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFSGKVSLGNFPDFAEAVSKISEGVKSIEKNFDNALGLEENPDGASSTSAETLKPEEHQGQPLETNNLVSEEAEKLAETNIALPEGDSITEKPVGSNIGISEEATTATNNENEVEASSIAESSVNHPDQLEFPDHTHYKESPEFGFSEDLGLTKLESRNSEDQVFGDGHQPNEVDDGIDLQKTEEETMREVSGQSGHETHRNEAQSDTSDEVGVKVSDDSPEMVLEVVNTCAKVEIVDELASEHEADKKEQSLGSNLSDDAGSVVELEKVKKEMKLMETALLGAARQAQAKADEIAKLMNENEQLKSVLEDQKVYALTRERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSKKQAAQESQIRKLRAQIREFEEEKKGLIMKLQVEENKVETIKRDKVATEKLLQETIEKNQAELATQKEFYTNALSAAKEAEALAEARANDEARSELESRLKEAAEREAMLVQTLEELRQTLSRSEQQASERRCEELITQVPESTRPLLRQIEAIQEAEAKAAGSEERERSVSERLSQTLSRLNVLEAQISCLRTEQTQLTRSLEKERQRAAESRQDYLALKEETYTHEGHVNQLEEEIRELKRKHKQELQEALTHRELLQQDIEREKAARLELEKTASAVPEQNPIARTKSSFENGLSRRLSSAGSLSSMEESFYLQASLDSSDNFSERRNLGESTYYLKSKTPNAFEAALRQKEGELASYMSRLLEELRADIVDLKEMYREQIQVLSSSIAAGA >KVH92939 pep supercontig:CcrdV1:scaffold_53:536912:539061:1 gene:Ccrd_004976 transcript:KVH92939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaH, reaction centre subunit VI MASIATFAPTTTTTVKGLGGSSIAGTKLNLRPSRQSFRSTSCRAGAVVAKYGDKSVYFDLEDLGNTTGQWDVYGSDAPSPYNALQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSSTATGDVLPIVKGPQEKPKLGPRGKI >KVH92978 pep supercontig:CcrdV1:scaffold_53:43538:45442:-1 gene:Ccrd_005027 transcript:KVH92978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYYATILYFGNYYRKDPRERTGLAASSDIYSSGAYYNNHYRLMMLAEVTSFTENLTCDIFLAVEGNINGVVNSKGESLNPFGCSAY >KVH92972 pep supercontig:CcrdV1:scaffold_53:586521:589051:1 gene:Ccrd_004969 transcript:KVH92972 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDATNAFSLLVLAVISLSFFVYVRTRSSSNANTPPGTSGWPIVGENINLGLSGPPKFIDTRMKKFSPDVFRTSLLGEKMAFFCGPQGNKFVFSNENKVLASWWPKSVIKVLLFTEVIDNNQKVVAPLLANVVHDILRPDALKRYVSMMDSMARKHMVTDWAPYEEVKVHPLSQKYTFALSCKLFMDEDDDDKVAKVLKNFNIVTNGMISLPIDFPGTAYNRAIKGGKLLRDSLLKIIASRRKELIENNEIVRQDILSQMLLMTSDDGRLLSEKEISNNIIGLLVASYETSSVAITFVLKHLAEHPRIYNEVRKEELLTWEDVEKMKYSWNVARESIRLAPPGQGAFREALTDFKFAGFTIPKGWKIFWNVNTTHNDPKYFPNPQKFDPSRFEGSGPAPYSFVPFGGGPRMCPGKEYARLEILIFMHNFVKNFKLEKLIPNEKILYRSTPVPAKGLPARLYPHGKN >KVH92980 pep supercontig:CcrdV1:scaffold_53:83894:84364:-1 gene:Ccrd_005021 transcript:KVH92980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRLNSAKPAFEKFVFTKTHKTNARTEVEIAMFENNVVVCRFVQDLHFFVAEGHDESELALATALVSSI >KVH92968 pep supercontig:CcrdV1:scaffold_53:593854:603322:-1 gene:Ccrd_004967 transcript:KVH92968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MNAVGRHGQRSGSSGAHHQRQYSDNFLEVTSSSSNGRWLQSAGLQHLQQPSSNTSSSQDFGYYGGGGGAQGTRMYNNNRNVSAQRSLSGGTDLFAEPLTPPGRQKKHVGGGDDPNGFSPGLLDLHSFDTELISEVPVAGTYGAPMYNSTRGRSFDDAEPYFGNSKQTGKARGVPDNNILKSFASEKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIITTQSHANSLTVDLTEYMEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTEDGKQQVCIVGLQEYRVSDVETIKEFIERGNATRSTGTTGANEESSRSHAILQLVIKRSVDGSESKPPRVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGNKKDAISSTLNVKESMSVPLSSVLPPVSPYDDGMVDSYPEQADEDDYDTTEEFYETEQPSWKNNAKAEAYGSLNGQDKSRRINVQTKLKEPPKPDSRNWNQDDDLNALLKEEEDLVNAHRKQVEDTMDIVRVEMKLLVEADEPGNQLDEYIQKLNTILAQKAAAIQQLQNRLAHFQKRLREHDVLVSSGL >KVH92966 pep supercontig:CcrdV1:scaffold_53:244517:245668:-1 gene:Ccrd_005004 transcript:KVH92966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKPKILHGTLEATIFDATPYSPPFLLNIL >KVH92926 pep supercontig:CcrdV1:scaffold_53:164759:172779:-1 gene:Ccrd_005010 transcript:KVH92926 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin domain-containing protein MDFGFKKLGFIGMQKVYLVFLVLLGSWVCFCSGSVSYDHKAISINGQRKILISGSIHYPRSTPEMWPELIQKAKEGGLDVIQTYVFWNGHEPQPGQDRYDLVKFIKLIKQAGMYAHLRIGPYACAEWNFGTDNGPFKAAMEKFTRHIVNMMKAERLYETQGGPIILSQYELGSPARAYAKWAAQMAVGLGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKNYKPKMWTEAWTGWFTEFGGAVPYRPAEDLAYSVAKFIQGGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEFGLKREAKWGHLKDLHRAIKLCEPALVNGDPAVITLGNYQKAYVYKYKAGGCAAFLSNNNRAAYATVNFRNQRYNLPPWSISILPDCKNTVYNTARVGAQTALMKMTPEGNGFAWQSYNDQTESYDDNAFTTVGLLEQLNVTRDSSDYLWYMTDVKIGSNEGFVRSGKWPTLTIQSAGHALHNIGKHFETWNTGVLGPVTLYGLNEGKRDLTWQKWSYKVGLKGEILSLHSLMGSSSVEWIQGSFVSQRQPLTWYKTIFNAPGGNEPLALDMSSMGKGQIWINGQSVGRHWPAYKASGSCSTCSYAGYFSEKRCLKLGGIPYGISLVKRAVYSVCADIYEWQPSLMNYEMQASGKVTKPLRPKAHLSCSPGQKISSIKFASFGTPLGGCGSYREGSCHAHN >KVH92945 pep supercontig:CcrdV1:scaffold_53:411169:414665:1 gene:Ccrd_004989 transcript:KVH92945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIHRTPKSSIRQSLFFNDLASPGTARRNASGKFTTTSQAAAVSAVWRENFSTSDLPPPPMFTLEDRSHYSLKSGIPDYPVVSPEPSSNPRTPAQTPSKLFSTSSPSHRSLIQRGISNCRVNRVLSGEEGAIGGGDDKGEGPVQGAVHHQPWVMITEVARPEIQRNSLPVGNLDEEEWVTVYGFSRFKFGVIFVNCNILLTIQSQAYAEKVLNKDGMQINGSLIIGALSSRSNNLGFVLSSRSSEVIALSSDRLRNGSRNARESGGTMATSAKSMVSKISDLMFVV >KVH92983 pep supercontig:CcrdV1:scaffold_53:75139:82655:-1 gene:Ccrd_005022 transcript:KVH92983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MMEPRVGNKFRLGRKIGSGSFGEIYLGTNVQTNEEVAIKLVSKKLAIENIKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGDYNVLVLDLLGPSLEDLFNFCSRKLSLKTVLMLADQMVNRVEFIHCKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSSTHRHIPYRENKNLTGTASLPWQGLKAGNKKQKYEKISEKKVSTSIESLCRGYPTEFASYFHYCRSLKFEDKPDYAYLKKIFRDLFIREGFQFDYIFDWTILKYQQSQLANPPSRALGAGVGTSSGIPPMASNPNRMSGGEDGRPTGWSSANPSRPRNAGMPFNSGSLSKQKNPASSDPSMSRELSSSNLLQSSGSSRRPAVSSSREAGGEPEPSYGRTTDASPGTVGRVTSTGQRSSPVVSSDQKRSIGSKNIKNFESTLKGIEGLHF >KVH92951 pep supercontig:CcrdV1:scaffold_53:455921:457292:-1 gene:Ccrd_004985 transcript:KVH92951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MPGIAFGRFDDSFSFGSIKSYIAEFISTLLFVFAGVGSAIAYAKLTADAALDPPGLVAVAVCHGFALFVAVSIAANISGGHVNPAVTFGLAVGGQITVLTGVGAIQGVVMEIIITFALVYTVYATACDPKKGALGTIAPMAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFSGHWIYWVGPLIGGGLAGIIYPNVFMSHEHAPLSGDY >KVH92991 pep supercontig:CcrdV1:scaffold_53:368977:375224:1 gene:Ccrd_004993 transcript:KVH92991 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MSVEKERVKMGKAARWFRGLLGMKKDKENADNMSPRDRKEKKRWSFGKPMKESPAAAVAANSNSSLTTDPTWLRSYMSASEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTLFGGGRERWAAVKIQTVFRAHLARKALRALRGLVKLQALVRGFLVRKRAAATLYSMQALLRAQTAVRSQRALEIDTYRPHARSRRIHTCTTDSSEESHYYHNTSSPLPNRIPVPESRYFQDSEWGYIGDNEYKVSNTTQSTPRFGHSGRSTVAPATPTKSVCGDGCYSNHPSYMGNTKSFSAKKRSQSAPKQRPESGVKTRLSLIEIMASRSSLSGVRMQRSCSKAQEAINL >KVH92962 pep supercontig:CcrdV1:scaffold_53:306919:307566:1 gene:Ccrd_005000 transcript:KVH92962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MDHMNPKFPDHEHHLKCPRCQSSNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGTLRNIPVGGGTRKITKRSSNPNRRTSPAMAPPPPIPAEQQPKSEPSSIVYGFGNQQMEGDGGGSFSSLLGSSSMGAFGNLLMDGLSSNLVSKSDDDDVLIRNPVADEFRSSFLRLNDNNDQKESGNGNGNGNGGGRGESSCWNGGENGWPDLSIFTPGTSFQ >KVH92993 pep supercontig:CcrdV1:scaffold_53:390803:393163:1 gene:Ccrd_004991 transcript:KVH92993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MANWPESFQHKPLQFRAPPPSPVASGRRSSVTNDEILTEFLHRSLSVPDLVLPDRLQKSKVQNLPKLDYKLLQSLEGVNVTDILDLISRTGCFQLVNHGISNQLVRSAANYGAEIFELSPEKKAFLLRSADRMYGFVEFNGEEKETSEEFVWCRDDALRSKMEEALQNSHFSVNLEILTSVIEKIAEIILKFLSKNGPPKSGFNDDEGASEKQTGGSICYVHRHNPDLKKFQSNNHHTNSMRYDMIRMLIRGSEHSHTLCLHVCHGSSEFHVYSKKGWVTFLHEKDALVITIGDLLKKWSKGKCKHVMGMPLFKGEEDHISMAFLYNSPSPPIPINMNKKMTISIGQQVLLILTLTLFYMILL >KVH92957 pep supercontig:CcrdV1:scaffold_53:194790:200080:-1 gene:Ccrd_005009 transcript:KVH92957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III domain-containing protein MAAAVTALHAFPVKVRASWDTHHRLPYNPHRTYPKNPNSLTPANSNPEQTLKSNSPERNSISVSALLSRKSPTPSQVRLEETYMGYDTWLPSAPKVEKPRSTYNAASLAYIGDCIYELYARRHFLFPPLSIEEYNDRVMAVVRCEAQDAMLKKLLNDKVLSEEERDVLRWGKNISSSKTRTKKRAGVAVYNRASSLETLVGYLYLTNMRRLEEIMLKLGFSSGVSTQMILEEASGKFS >KVH92985 pep supercontig:CcrdV1:scaffold_53:309656:315273:-1 gene:Ccrd_004999 transcript:KVH92985 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase, Rpb5, N-terminal MGQGSGRVFSLVNLKPEGRRGVRVPSSVLFAVKLSAAGGFSSFQRFCNSQASGRRHFCTYNRQFEELKRMSLPDEEITKLHRVRKTCFEMLRDRGYEVEDSEIDMTRKEFIDKHNGTIRREDLTFLKSKPDNSDQIYVFFPDDLKIGVKIIKTYVIRMEHENIRRAIIVVRHGLTPSAKACQAEIAGRFQVEVFQEAELLVNIRYHYLVPEHVPLTKDEKKALLDKFTVKEAQLPRILNTDPIARYYGLRRGQVVKILRPIETGTKFIKWVFI >KVH92986 pep supercontig:CcrdV1:scaffold_53:334701:339056:1 gene:Ccrd_004996 transcript:KVH92986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase MELSKWVFVVFVISLLKPEISIGVSEISNEFLKLAKEDLDWMVRIRRKIHENPELGYEEFETSKVIRGELEKLGIVYKHPVAITGVIGYIGSGEPPFVAIRADMDALPLQEMVEWEHKSKVAGKMHACGHDAHGTVVLVFQPAEEGGGGAIRVVESGVLENVKAIFGLHVSPALPLGQVSSRSGTLLAGSGFFEAVITGKGGHAAIPQHSIDPILAASNIVVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGQAIVQRCNATVEFSSKDKPFFPATINDDALHKHFQDVAGDVLGPANVINMLPLMGSEDFSVYQEVLPGYFYFLGMKNESNQKPASVHSPFFKINEDILPFGAAMHASLVVSYLNQIQSPETQMDTEHHDEL >KVH92934 pep supercontig:CcrdV1:scaffold_53:569760:573365:-1 gene:Ccrd_004971 transcript:KVH92934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MLLKVIILGDSGYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDSLNNWREEFLIQASPSDPENFPFVLLGNKIDGNIPYFETSAKEGINVEAAFQCIATNALKTEPEEET >KVH92964 pep supercontig:CcrdV1:scaffold_53:228258:235389:1 gene:Ccrd_005006 transcript:KVH92964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSRYPREAYDNRRGHLPGGPPSLGHMSRPMPPHPAMLEEELEMQHHEIRRLLGENRRLAEDRIALQQELGAAREELRRMNIAIADIQAEQEIHSRQLIENGLKLEADLRATEPLKNEAAQLHAEVERLNSLRHDLSAQVQILKRDLAKLQADNKQLPALRAEHEGLHQELMHARAAIDYERKGGMELMEQRQAMEKNLVSMAREVEKLRAELTNTDVRPWGAGGSYGMKFGNSDARFPPAYGDGYGVHLGAAEKGPPYGSSSATRAGLEKSRMTRR >KVH92943 pep supercontig:CcrdV1:scaffold_53:129117:133191:1 gene:Ccrd_005015 transcript:KVH92943 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP MEVGCGHKRSHDCFLLFQEGAERFVERKREERCRTCYRTCTQAGPSIKPSSPRRNVSLSSASAMIGMKLVCSKGESERGTEENGGRDKAVALIYLVDITEVPDFNTMYELYDPSTIMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >KVH92969 pep supercontig:CcrdV1:scaffold_53:610388:611335:1 gene:Ccrd_004966 transcript:KVH92969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MADESAVTVYGNTAISDPNKKSKNGFSVKVGLAQMLRGGAIVQVTNVDEAKIAESAGACCVIVSEPSQSGSGISRMLDPAVIKEIQQSVAVPVMAKARVGHFVEAQILEAIGVDYIDENEVIAVADEDNFINKHNFRIPFICGCGDLGEGLRRVREGAAMIRTQGDLSGSGNIVDTVRNVREVMGKIRVLTNMDEDEVFTFAKELRAPYDILAQTKQMGRLPVVHFASGGIVTPADAALMMQLGCDGVFVGSEVFNSADPYKRVRGIVQAVRNYNDAHMLAKASSGLNDAIITSSSELNDAITGLNLDENNGGNY >KVH92929 pep supercontig:CcrdV1:scaffold_53:138388:139137:-1 gene:Ccrd_005013 transcript:KVH92929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MADKIHPASKPTAPATTTATGAAFPPNKAQLYNSTRPVYRHQPRRIRRSCCCSCCLWITFTILLLIIIAAIAGGVFYVLYRPHRPSFSVSSLQISQFNLTSSNQLNTKFNFTVTARNPNKKIVFYYDPVSVNINSKGIHVGDGTIPAFVMEKKNTTKLRSIVSTSGQSVDDNGGLKSDLKNKRRFPLTIQLDTKVKVKIGSIKTKKVPIRVVCTGIKAAAPAGKSATTATTSDVKCKVDLRIKIWKWTI >KVH92987 pep supercontig:CcrdV1:scaffold_53:329369:332146:1 gene:Ccrd_004997 transcript:KVH92987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L28e MTTVPGQLIWEIVKKNNSFLVKEFGNGTQSVQFSKEPNNLYNLNSYKHSGLANKKTVTIQPAGKDQSILLATSKTKKQAKPASLLHKSIMKKEFNRMAKAVVNQVANNYYRPDLKKAALARLSAVNRSLKVSKSGVKKRTRQASRIYGRK >KVH92963 pep supercontig:CcrdV1:scaffold_53:214961:221030:1 gene:Ccrd_005007 transcript:KVH92963 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDVILSAVVLLFFTFIFIFINKCRRSWISSSSPVRSMLLGTMGWPFIGETLDFISCGYTDHPQSFMDKRRLLYGKVFKSHLFGSPTIVSTDAEVSRFVLQSDANSFVPSYPKSLTELMGESSILRINGNLHRRIHGLIGAFFKSPYLKAQIAFQVLAKTLIGLDPGEEMEYLRIQFQKFVAGLMSLPLKLPGTQLHRSLQAKKKMGKLVYKIIRDKRMNVSPDSRDVADVLLNDKSEKLTDELISDNMIDLMIPGEDSEENLELKMRKEDLGEQLCWDDYLSMPFTQSVITETLRMGNIIMGVIRKAMKDVEERDMSSGSSSCFTPFGGGLRLCPGLDLARLEASIFLHHFVTKFRWVAEEDTIINFPTVRMKKKMPIWVKRDVHQ >KVH92925 pep supercontig:CcrdV1:scaffold_53:103145:111572:-1 gene:Ccrd_005018 transcript:KVH92925 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyisobutyrate dehydrogenase-related, conserved site-containing protein MVSLARGANYSHHWTAMAAASFCPSLPQHFKPVSISKFPQTSFSFKVFSSQSSAPKGPDENPTRLGFLGLGIMGSPMAHNLLKAGCDVTVWNRTKSKCDPLIDLGAKYKPSPAEVAASCDITFAMLADPESAMVVACGEDGAASGMGTGKGYVDISTVDGATSKLINEQIKETGALFLEAPVSGSKKPAEDGQLIFLTAGDVGNGAAMKLVVNMIMGSMMTSFAEGLLLGEKVGLDPNVVVECTNVLNERSFNGAIQIPYCFSFEASAEAESVSQSTPIAAAANELYKVAKSHGLSDHDFSAVIEALK >KVH92935 pep supercontig:CcrdV1:scaffold_53:561680:566866:1 gene:Ccrd_004972 transcript:KVH92935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MSVVGFDLGNESCVVAVARQRGIDRFLGTAGAATSMMNPKNTISQIKRLIGRRFSDPELQQDLKVLPFSVTEGPDGFPLIHARYLGETKSFTPTQLMGMVFSNMKTIAEKNLNASVVDCCIGIPIYFTDLQRRAVMDAANIAGLHPLRLMHETTATALAYGIYKTDLPENEQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDRCLGGRDFDEVLFQYFAEKFKVEYKIDVYQNAKASLRLRAGCEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQISAPILERVKKPLEKALLEAQLTVDNIYAVEVVGSGSRVPAMIKILTEFFGKEPRRTMNASECVSKGCALECAILSPTFKVRDFQVQESFPFPIALTWKGAAQDSQNGGNVDNQQNTIVFPKGNPFPSVKALTFYRSGTFTVDVQYADVSELQAPQKISTYTIGPFQSSKGDRAKVKVKARLNLHGIVSVESAQLIEEEEVEVPVTKEPSKETIMMDMNKPPPDVPSTNETDVNMQDAPGAENGAPETGDNPVQMETDVKVEAPKKKVKKSNIPVSELVHGGMLPADVQKAVEKEFEMALQDRLHDKLQEFVMDSEREGFIAKLQETEDWLYEDGEDETKGVYTAKLDELKKVCIYDSYLLHLIFKDTHLTLEKHLQQGDPIEQRYKEHSERGSFVDHLGGLITAYIQAAASGDPKYEHIDLSEKQKVLSECSEAENWLKEKMQLQESLPKHADPVLLSSDIRKKAEALDRPIMSKPKPAPPKPATPETPSSPAPENNDTTSDAMETEKPEG >KVH92965 pep supercontig:CcrdV1:scaffold_53:240265:244515:-1 gene:Ccrd_005005 transcript:KVH92965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTRGMKYLLIGVFLLQCINPTGKPAYVTIKIDQKTIASTSHESNRVWNQTLRVLCAHPINATITITLKTKCSILGKINIQARRLLEEASLINGWFPVCMENGKPHPELKIRFMLWFKPAELESSWQKMIDNDEFNGLRDATFPQRTNCGVILYQDSHHHPTFQPPFDICGSPRKLWEDVFVAIEGVKLGELLKRKAEEGVPVRIMIWDDETSLPIIKNKGVMGTHDEDAFAYFKNTKVVCKLCPRLNHNFPTLFTHHQKTITVDTRGHSSSSKREIKSFLGGIDLCDGRYDTEEHSLFRTLNTESQAQDFYQTSISGSSLHRGGPREPWHDAHACIMGEAAWDIMRNFEQRWLKQADPTLLIPVNTIVDLANEPITSTTTTQTERNWKVQVFRSIDHLSISHLQSNFTVEQSIHEAYVEAIRRAERFIYIENQYFIGGCHLWEKDQHSGCRNLIPIEIALKVAKKIKSKERFAVYILIPMWPEGVPESEPVQDILHWTRETMKMMYGLIGEAIRESGEKFHPKDYLNFFCLANREKEKEGEYVPPYSPHSATQYWNAQKHRRFMVYVHSKLMIVDDSYMLIGSANINQRSMDGQRDTEIAIGCYQSRDESRQTHRRDIHAYRMSLWYEHTGQAEKLFQDPGSLECVEMMRLLGEQMWQIYSGDEVIDMDGVHLASYPVSVTREGNVEDHVSGGGHFPDTKVQVKGKRSKILPPIFTT >KVH92974 pep supercontig:CcrdV1:scaffold_53:27810:38836:1 gene:Ccrd_005029 transcript:KVH92974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MNPQQVAQSRSSANGFTRRRGEKEMGTRVENKSQPGKSNFNRMMTAGRTFLPNCLVTSMLIILTSASSGLQTGNKVGVESPSRERLVYMTTCLIGHQVEVQVTDGSVFSGIFHATNAEKDFGIILKMARVTKAGSSRGQKNILDSVQRPPSKTLIIPAKDLVQIVAKSVSVTRDGLMNELQHEKLHDIMIDSSISQSRHVELERELEPWIPDDDNPECPELDNTFDRHWNSSIEINSPVAISNQAIISAFDDEIVITSNIIKHALDLLLTGKRGWDQFEANATLFGVKSTFNEELYTTKLDRGPQMRELEREALRIAREIEGEDTQDLHLAEERGIHFHDKFDLDEETKYSSVFRGVDDSGYDENEDVLDSQNSETFGDVSDSVINKSSSDLASGKSNNGSQIPLNFSTLADIQASHLSTSNDHHLSGSLDGERRIQDNQTNQQRARSDIAKEDTDKHMLYEQSQAPKSEESSLQPNKESADKGLSATATAYAPSVASSKAQEKTSSSEVSEGAATVKIHGATQPAVSRARPGSSASSTSECGNAAPAAASGPGLSPSSSMVLMIKLIMLEFRLNPNAKSFVPSPAPIRAASPVSDGSFYYPANVAPVPHMHGMPVGIGVFTNWLNQIFVLFLLSACEYLYKVYRAQIRKEGGYIPSTVQITIENRNMRQWRWDRRFLPTSLLYSVHKEHLCNPPRHIFTQMVRRYVVWTADASESTTTGGIHANLSSGKKLDCTFFVFLQSCTPGNANI >KVH92953 pep supercontig:CcrdV1:scaffold_53:435002:435631:-1 gene:Ccrd_004987 transcript:KVH92953 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAKSDQPSDSGIDTTLTRQNGIPAKKTRPPVYRGVRMRSWGKWVSEIRQPRKKSRIWLGTFSSPEMAARAHDVAALSIKGNSAILNFPQLKDLLPRPASISPRDVQAAAAMAAAMKEFSSSASYPDFSVPPSLASDDHVSGNSADELGEIIELPSLEGWLESPESSTELVLFDSVDGWMYRPCTAADIDFFPADFSGPVGEDSSFQTII >KVH92967 pep supercontig:CcrdV1:scaffold_53:632056:642027:1 gene:Ccrd_004963 transcript:KVH92967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MASGKSEPPRPTNYPYYDPLPSTPQPAQYYVVLPFYSPSGHRRCARLCRRYVSYAVAVLLLAGALYFLWPSDPYLKVINLRVDRLKIHATPKISIDITLGVTIKVRNPDVYSLDFRSLNVSVGYRGEELGFVTSDDGKVKAFGTSNIDATVVLDGAEVVKEAIFLIEDLVKGSIPFTTTSEIRGSLGILFFNFPIKAKLSCDVLMNTHNQTIERQDCYPATIEEEKDHATLYATVRVNVPYVCLTWYNSAGGLSCCWSRKAMDYDELVTVTVSTSKARIIWRILLRKIKKAKKNLYKFSDSSRFGYEACEYAQNFDQGLMSNDSDDLSRSFSARFAVPNSSIFQRKRLIA >KVH92932 pep supercontig:CcrdV1:scaffold_53:113287:115612:-1 gene:Ccrd_005017 transcript:KVH92932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MMDKITGRPRGFGFVTFADPADADKIMLDRTTGRSRGFGFVTFDSEDAVEKIFADGQLHELGGKQRAEPKRAGGDYSYDSRSQHGDGGSKWYGGGGGGGGGMGRSSGGYGGGYGGKAGRGYDSYGGGYPGYDSYGGGYGGGSAGFYGGYGGYGYAFGFGGPMYGGGYPGGGYGGYGGPAGYGGGRGYGSGGSGFGGKGFGRGGGGGPAGDEYGFDGSKGYGGGGGSSSTSGGGGGGGSGRFHPYRK >KVH92958 pep supercontig:CcrdV1:scaffold_53:201175:204836:1 gene:Ccrd_005008 transcript:KVH92958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QFHVTGSSFTASFRTSAGVWFDFEEREIGESLERRDEAKHRMSNETPKLYVHKPKKAQLKKHLQQQQQQQSRPSPSPVSSSSSMASQSTTVSSSSSSVPPAPPKESFARRYRFLWPLLLAVNFSVGAYLFMRTKKKENIEEEVAAAISPPPSAALGNTSTSAPAVIEPVKLLEPIPLDQQRELYKWMLEEKRKLKPKDPEEKKRIDEEKAILKKFIRAKSIPSL >KVH92947 pep supercontig:CcrdV1:scaffold_53:490419:493633:1 gene:Ccrd_004981 transcript:KVH92947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MAADDTRTALLVIDMQNDFVLPDGSMRVDGGLAIVPNVIKAVEVARRRGFLVIWVVREHDLLGRDVELFRRHLYADGKPKPTSKGSKGSELVDGLVIKEEDYKVVKTRFSAFFATNLHSVLLGAGINSLVVTALDYKSVTVIIDATAAATPDIHA >KVH92930 pep supercontig:CcrdV1:scaffold_53:134551:136634:1 gene:Ccrd_005014 transcript:KVH92930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHNHHHHRLIHHHNHHLLPPHTTRSTSTIQACAACRYQRRKCAPDCILAPYFPHDRQRQFQNAHKLFGVSNITKIIRHLDQPQKDEAMRTIIYQADVRAQDPVGGCYRIIRELQRQIDVSCSDLEIVLQQLAFCRTLSVQNHTHLNHDQIVIDEVNCDIHVVNNSDDPVAGDERRRHSLDFDLRNYLCTKKNEEDGGWEENQAAVPVQSWVAVNDSSPPSADGFEVKPQPIQTLHASVCDEFKSLLLDDIPPGDRNELKFEFRTSNCKV >KVH92971 pep supercontig:CcrdV1:scaffold_53:624011:630542:1 gene:Ccrd_004964 transcript:KVH92971 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGCTQSKIENEEIVNRCKERKTVMKESVSSRNAFAAAHFSYAAALKNTGAALSDYAQGEVQFPDNHHPSSVDAVSSSTTILPPAPPQPPYESLLPPPPPPLERAATMPEFSIEPEFKSHPDPIKEEGDEVDEIDDRGLKHRSSSRGRNVGSKREMEKEDLPPPSPPQPAPRQSESNLNRAASPPPLPNVMSWDFFFPSMENVIGPSLAEVDNGSRRFEREEIHRKEMEEEEKEKHRSMNHKHMDGHGDGDGRSGDGGDKGVEDVAAEVVEEPPPPPPKVVKKARVPVPAPAEVRRSSGKGGGQSLNLLQIFTQLDDFFLKASESHIDHSARVMRVITWNRSFKGFPEATDDKDDFDSEENETHATVLDKMLAWEKKLYDEVKKKIASLNKLKKRGASTDHLERTKATVSHLHTRYIVDMQSMDSTVSEINRLRDEQLYPKLVQLALRSLDISHSPKETSEHHYKNTRQLNVYVEVWHGQFEKLMLHQKEYVKSLNNWLKLNLIPIDNNLREKVSSPQRPQNPPILSLLRTWHDFLEKLPEEGARTAIHNFAAVMDTIFQYQTDEMKMKERCEETRRELTRKQRKFEDWYNKYIERRTSDDMENDKDVIADQQITVEALKHRLEEEEEGYQRQCIQVRDKSLMNLKTGLPEVFRAMSEFSRACSHMYRNLKSHSRPQYSNTSLS >KVH92960 pep supercontig:CcrdV1:scaffold_53:258625:266247:-1 gene:Ccrd_005002 transcript:KVH92960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSREQNMEKLEKGSDAAEKVVVAVKASKEIPKTALVWALTHVVQPGHCITLLVVMPGQSSGRKLWGFPRFTGDCASGHRRSNTGTSSDQKIDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKKIQASWVVLDKKLKHEQKRCMEDLQCNIVIMKKLQPKVLRLNLVGSPKKRPEANCPLPPTDQSVEKQTKNKNSSLDSIRGPVVTPTSSPEVFTATEAGTSSVSSSDPGTSPFFIPVTNGSLKKEKSLAGKENQDLLESSSDSESENLSSSSSSLRFQPWMVEMITSSCQSSQNKEESSQRYSSQAQTSTTNDMFRRLSKLDRDSEHGSHRSDVEFSGNVREAISLSRNVPSGPPPLCSICQHKAPIFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDGRRLLVYEYICNRSLDTHLYGRHRDPLEWAARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGEETRARPLLEEDAIDELIDPRLGNSYSEPEVLRILEGDMIMDSSHDVVSRSGRLWTTDHQQHNGSPTSETFNQGFSGKPSLDSTRPSYWQREKPRRANSCEDGRR >KVH92944 pep supercontig:CcrdV1:scaffold_53:91867:97474:-1 gene:Ccrd_005019 transcript:KVH92944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPGVRNNFLPPGFVSNLQDVLKKKGNNEDDDDKSNDKKDDESAATVQSSSSSPDDYDPSKPVIFVTNTDGIDSPGLTCLVEALVAQGLYNVSVCAPQVDKSLTGHSFSYQESIAVSTAEIKGATAYEVSGTPVDCVSLALSGALFYSSAVAGAREALFSGVASLSLSLSWKKGESQESHFKDAVSVCLPVIKAAIRDLEKGSFPKSSSLHITVPTSPSENKGFKLTKQSLWRSKPIWQAIAANRNPAASRFMANQPGMGLQLAQLGRDASAAKKSLEVVESVGVAGKADLNRIVKYFRLELQDTNQEDTDENLDFRALQNGFVSVTPISVSSVIEPDIETAASKWISDALQSDN >KVH92954 pep supercontig:CcrdV1:scaffold_53:440707:452939:1 gene:Ccrd_004986 transcript:KVH92954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHATFWYVAQHWVRHSGMSHNTGCDILFSMKGPENYGSSTNNLLHGFLFRHCISSSQSRSSLRSLSSIASSGRDKIIAEDKYSVGIAHRDESEFNRVNCLVWVLHESARSFSVAIQTLQLARSGPELSNAWIGVDVNLWHKRIAYQVAVYALLRATIEVELFLSQKRCNSPVSEILSAKTSFLGEFVESQLNAKHPKLVQWFRTVELPRIAGSFISLFKKWSVEYAGSCCAAVRKLGSSRIACALFTESIDNVLVELMDLSCSLVTADKLHHLAMEAGFEDDFLFHFGKKVLPNKNIEDVEFWIGLVQKKLLLAFHREGVITGKLTFNDKIGETTLATLGIFAFLGRETRLFLSEMNIKDLDEQIKDFLRCKFHHDGRRSRQQAIQAEKEIILYNVLTTLLSYCLEEYWAAYDKPGKLMKFSERVPSDSTPSVQSNKGTTNSSILLEAQQKPVDLIKRRSSQDGSTIRKAAERGGGAETKPLLERLVRASTLKLVTANAFGLFVKQLCGNKITSRERGKIKRTLNDIATLIPITILMLIPIPSPYSSQRLNLVKQLERTKKMEIESWAIEDPGVTKESSRENEEDKSFTITMP >KVH92940 pep supercontig:CcrdV1:scaffold_53:534398:534856:-1 gene:Ccrd_004977 transcript:KVH92940 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G79850) UniProtKB/Swiss-Prot;Acc:P16180] MSLLHLQLPLSQFKSLSLSTPFLHGTSSAILTKPSTANHHRHRHTYLPPIRAMRSLQGRVICTTNDKTVNVEVTRLAPHPKYKRRVRKKKKFQAHDPENQFQIGDLVQLEKCKPISKKKTFLAIPVPKRTAAKPKESQDLGLSLESDSASPV >KVH92982 pep supercontig:CcrdV1:scaffold_53:64976:72824:-1 gene:Ccrd_005023 transcript:KVH92982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFASHIIRHSKQLKNAQGLLKQDHAILVRWFSHSTRSISNKGDDVSKLHQINNFPGQNICVCKSCSGFMSSTPIFTRSYRAANPTKVQNGLAVRTEGTSVSGMVFSRGISCNNAQLGRRFSTNSGLPPHQELGMPSLSPTMTEGNIARWLKKEGDQVAPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEVIAITVEDKDDIEKFKDYKPQASDAASPEAPPAPTPAKEDVVEKPISPPEPKAAKPSAAPETDRVFASPLARKLAEDHNVSLSSIKGTGPDGSIVKADIEEYLASGAKAPSAATSKADKATVSAINYSDIPHSQIRKITASRLLLSKQTIPHYYLTVDTCVDKLTKLRAELNSIQEASGGKKISINDLVIKAAALALRKVPQCNSSWTNDYIRQFHDVHINVAVQTDNGLYVPVVRDADKKGLSKISEDVKILAQKARENSLKPDDYEGGTFTVSNLGGPFGIKQFCAIGAEWLKAFKGYIENPETMLL >KVH92927 pep supercontig:CcrdV1:scaffold_53:157206:162747:1 gene:Ccrd_005011 transcript:KVH92927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MSSSIIISQHTKHGFVTLCTSYQLYSIEISKKMEQSSNGFFSTIFILIVFFISSSESFYLPGVAPRDFQRLSSTKTQLPYEYYYLNYCKPTHVKNSAENLGEVLRGDRIENSVYTVRTFPSNSWSSFEYPFQFLMREEQPCKVGCRVKLDAETAKKFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSNTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVIISHEYKEWDDKNPQLVTCNQNTKNIIQGSTVPQEVDTDKEVESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLDTQEEAQEETGWKLVHGDVFRAPEYSGLLCVYVGTGVQIFGMTLVTMIFASLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKKNTLKTSFMFPGILFAIFFVLNALIWGEKSSGAVPFGTMIALVCLWFGISVPLVFVGSYLGFKKPALEDPVKTNKIPRQVPEQAWYMKPIFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIITCAEITVVLCYFQLCSEDYHWWWRAYLTAGSSALYLFLYSIFYYFTKLEITKLVSGILYVGYMLIASYSFFVLTGTIGFYACLWFVHKIYSSVKID >KVH92984 pep supercontig:CcrdV1:scaffold_53:318926:325796:-1 gene:Ccrd_004998 transcript:KVH92984 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein MTDHAQEQEMEIEALKAILMDEFEEIHPSESGLNTSNRCFQIKLSLQTSSYEHHAQADPLTKQAQQSSHKRFFLDDETDESSSPVQLGLIFSHTEKYPDEIPLLNLKSIKGIQASDLAITVPHGEPVTVETFLAWRERFEAELALERAKLLPESALIAPKEKKLTGRQWFQSGRASA >KVH92975 pep supercontig:CcrdV1:scaffold_53:39625:41463:-1 gene:Ccrd_005028 transcript:KVH92975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MMEEDGEETPRTPFWIQSTTNLRRGELYRRRASSLFFNSGVLIILLLLFAIISMVYIIPSLISFSSQIFRPNLVKKSWDSINLVLVLFALAFGFLGRNINNDQKSRFDNGFDRSSSDFSTAPTVSTPPPIIQHQWYDFPEQPIGGLRRHRSTSSYPDLRELSPPWNHQGAGSWRFSDDTHLNSHRSLDSDRYYFRREQDPDTKDVIHVDSVVDLPKEDSYAPPPPPSPPPPASKDESYSPPQQLPSPPSLPPQPQPPAMGRKNLSRTYHNAAEYAGEKSRSSEFSEVFSPETAPPPPPVTVRSLPPFQDSDRKGETNIRKRGKGERRRARSSEPRKMVTPEPSSPPPILPIIQDSDQKTGGVERKRTVPPFVTEKPRVPLNMSFINTIDDSSSGGESPMGRIPPPPPMPPFKMPDWKFAVEGNYVRVQSTLSSGSVSPDGDEAQSPLSAVASPLFCPSPDVDTKADSFIARFRAGLKLEKINSFNQNQGLRMSNLGPGPGPSDHRGL >KVH92937 pep supercontig:CcrdV1:scaffold_53:548767:554002:1 gene:Ccrd_004974 transcript:KVH92937 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, catalytic domain-containing protein MEGIGVLLTFPMSSYLEQQLDKRFNLFRLWNFPKRNDFLEENASSIRAVVGNANVGADRELIDSLPALEIVSSFSVGLDKVDLVYCKEKGIRVTNTPDVLTEDVADLAIGLMLATLRKICECDRGKKVGIIGLGRIGTAIAKRAEAFSCPISYYSRSEKPKSKYKYFPSVVELASNCQILVVACPLTEETRHIINREKQPTPLNFYLPYTTGPQLAVTGPPTSIMEAIGVLMTFPMSPYLEQQLDKRFNLLRLWNFPKRNDFIKENAGSIRAVVGNANVGADRELIDSLPALEIVSSFSVGLDKVDLVYCKEKGIRVTNTPDVLTEDVADLAIGLMLATLRQICECDRYVRGGLWKKGDFKLTTKFSGKKVGIIGLGRIGTAIAKRAEAFSCPISYYSRSEKPDSKYKYFPTVVELASN >KVH92950 pep supercontig:CcrdV1:scaffold_53:485884:492294:-1 gene:Ccrd_004982 transcript:KVH92950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPSTSSDPLLPFEVGFGFPSAYKCLRNSSTSLPKRKLKITQITRNPRRLATSTALITLGTIARPPSTRIEPSGNTKSFCQKRKSLDKVVREHDSLRRDVEIFRRHLYADGKLKPASKGSKGSELVDGHVIKEEDYKMEPPYRCIATTGSLHLEHRNDGVAAHLVLRQ >KVH92936 pep supercontig:CcrdV1:scaffold_53:554727:557660:-1 gene:Ccrd_004973 transcript:KVH92936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRCFFSSDTHRHFSDPSTLQRFAHEQPPILQHLRIFKVSTFKNLQMEAIGKPKIGSLLDKIQPPRLEDAGLEDCALPPDSIQEAFLKAATAVRSRIFHASDDESEGDCINDPWPTIGSPVDKLVGITTEEDPPGACAPKKGGELPEMTGDEVVTGGREGIPDKVVEPEVPDEREKSCVDGLQGLKIGERKNIGGKKSETEKESKKDEEEEEERAVLVEVCI >KVH92981 pep supercontig:CcrdV1:scaffold_53:86090:89047:-1 gene:Ccrd_005020 transcript:KVH92981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34 MSSKTLIRTGVSLMTRIINPVVHQDPSHKFVPQIFAITSKLSTPPPFPSLLKLQNPMNLIQNDAEALKRVSSEGFLYPCGLPSLRFFLPDGDDSSLSEPLLCIKRTYQPSTLKRKRTHGYLARKATKGGRRVIARRIAKGRSRITA >KVH92952 pep supercontig:CcrdV1:scaffold_53:467854:471603:-1 gene:Ccrd_004984 transcript:KVH92952 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MSVPLEHDYIGLSEASSMGKASESSNFSSESDKNNVLNLKATELRLGLPGLGQYLEENPSKNSPTKNVVSRAKRGFSDVNFDGSAKWDFNGGPEGDLGKGSSSSSSSNTTSVLFKINAGLESKQTQQSIPIPVEEKKKASVTSENGRAPPASKAQVVGWPPIRSFRKNTMASNLSKNEDVAKGNSGCGVCLYVKVNVLLMGYEGRKGLVKVT >KVH93807 pep supercontig:CcrdV1:scaffold_530:35739:42274:1 gene:Ccrd_004131 transcript:KVH93807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MKSKCGISSHCFFSVAALTGCISVIVNMSLTDPPLESGGITASREFKFGPTFVVKPKGKHQATIVWLHGLGDDGSSWSQLLETLPLPNIKWICPTSPVQPLTLFDGLSTTTWFDIIDKSEDASQDEALVWVQQLRYTLQVALLVENWEMALVTLPILTWLLVLAAGFRVQSSSFHRHCFSILVILLTYAKLDLSNKVGGYKVEGHAASLPILLCHGRGDDVVHFRYGKKSAEKLTSAGFRNLTLKSFHSLGHYIIPEEIDEVSSWLISNLELEGES >KVH93805 pep supercontig:CcrdV1:scaffold_530:125616:134637:-1 gene:Ccrd_004137 transcript:KVH93805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSSGDWMCAACQHMNFKKRDACQRCHCPKFASPEEISSYGMNRTEVLAGDWYCATFNCGTHNYASRNACYRCGALKDYSAMMAASTTACYGYDASAVPGWKTGDWICNRLGCGVHNYASRMECYKCKSPRE >KVH93804 pep supercontig:CcrdV1:scaffold_530:85494:87602:1 gene:Ccrd_004136 transcript:KVH93804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MATITRDDQSDYDSSCSSITVPDSSRSWMSNVSFGSRRSSVSGDPSHKPHKANQAAWEAMKRLRVEKGGRVSLDHFKILKRVGSGDIGNVYLCQIRNPVVGLPNSFYAMKVVDREALAIRKKLQRAEMEKEILGGLDHPFLPTLYAEFDASHYSCLVMEFYGAEILLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPKLVRSKPEPSRAIDHDDDDHDLKCSTPSCVIPMQPVLSCFSLRKTKTTPPTTTITEKIEFQEYNTELVAEPLNARSKSFVGTHEYLAPEVISGQGHGGAVDWWTLGVFLYELLYGITPFKGENNEKTLINILKQPLSFPRIGVSTSKEYEEMVKVQDLIARLLVKNPKKRLGSLKGSVEIKRHEFFKGVNWALIRSVRPPEVPSEMMKVRNNRVVVPKLMTKKQREAPFQIPTHHFDYF >KVH93806 pep supercontig:CcrdV1:scaffold_530:18713:24514:-1 gene:Ccrd_004130 transcript:KVH93806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MGSAANGAAAAAADMATSTSNGLATVDKGVDFANYFCTYAFLYHQKEMLSDRVRMDAYFNSVFKNKHHFIGKTVLDVGTGSGILAIWSAQAGAKKVYAVEATKMAEHARELVKANNLHDVVEVIEGSIEDITLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPTGVMYPSHARMWLAPIRSGLGDHKMSDYEGCMDDWLGFVKETKSYYGVDMSVLTKPFSEEQKKYYLQVTVEDILKVQDSVSSTITKEDTRLCGFGGWFDVHFNGREENPAECEVELTTAPSIDDGTHWGQQVFLLHPPVRVNQGDEILVNFSMSRSEENHRLMKVDLGYKVKLSSGKMLPPSLYELEERQYFYNEHLQHLQVTKLKPAWNFYSFSH >KVH93809 pep supercontig:CcrdV1:scaffold_530:48929:51627:1 gene:Ccrd_004133 transcript:KVH93809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISVPRPHCWKGSTSKPYVELPLHMAPLGMEVGPAQACAVKSGIPKKGKEFIAPPRHKSSSYRAWTMSPEQSQYIWRKTIPHIKGASVRRKP >KVH93815 pep supercontig:CcrdV1:scaffold_530:194976:198078:-1 gene:Ccrd_004142 transcript:KVH93815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MDTRSLLDNNGDKLFPNSGIGFLWCSRDDNLSQSGSLFASVGQTGRGDFGRINPNSRNSTNLNDDEGVKLPCLSKVVSTPESSFMAVRVPKMEANGECEKGGFSKKKDGLKLKIKIGNPSLRRLISGAIAGAVSRTSVAPLETIRTHLMVGSCGHSTTEVFQDIMQTEGWTGLFRGNLVNVIRVAPSKAIELFAYDTVKKSLAPKPGEKPKPRVPESLIAGAVAGISSTICTYPLEGVYKNLVDAFLKIVKEEGPAELYRGLTPSLIGVVPYAATNYFAYDTLRKTYKKILKQDEIGNIATLLIGSAAGAISSSATFPLEVARKHMQAGAINGRVYDNMLHALVTILEKEGVRGLYRGLGPSCIKIVPAAGISFMCYEACKKILVEKEDEEP >KVH93813 pep supercontig:CcrdV1:scaffold_530:189402:192343:1 gene:Ccrd_004141 transcript:KVH93813 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MSYSYFPETYAEKPVRQPGRSNYNPPHLRDENIKPFGYQTGSSRTGSQAPNNGRGRGRYGGGGRGGGRGFVPGYNEVDRQFREPYRRTRDQYDALAEKLNEVELVDENPSMGINFDAYEDIPVETSGSDVPKPVNTFSEIDLGEALNDNIRNRCKYVKPTPIQRHAIPVALAGRDLMACAQTGSGKTAAFCFPIINGVLKSQSPAASQPAFRRREMTAHPLALILSPTRELCCQIHEEARKFCYQTGVRVAVAYGGAPIGSQLRSFEKGVDILVATPGRLTDVIERSKVSLTRIKYLALDEADRMLDMGFEPQIRKIVQCLGMPPPGRRQTMLFSATFPEQIQRLASDFLSNYVFLSVGRVGSSTDLILQKVVYVDDMEKQDYLRNLLHEQKAKGNLGKNALTLVFVETKRGAESLKHWLCQIGFPASTIHGDKVQFERERALQLFKNGVTPILVATDVASRGLDIPRVAHVINFDLPKDIDSYVHRIGRTGRAGKSGLATAFFSAKNASIAKALSEVMKEAHQESPNWLAQYIDGSSCGDRRYRSSSRFGGRDYRSSTNSNSYSADNNSYSTGYGAADYGGENGGSGYGSADYGGATGGSGYGSADYGEATGGSGYGSADYGGATGGVDYDPPAQAEYGGHGYESIVAGGWE >KVH93808 pep supercontig:CcrdV1:scaffold_530:42623:46178:-1 gene:Ccrd_004132 transcript:KVH93808 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MGSDSCLSSNCATVGTSDSCVDKEIFLNVYDLTPFNSYSIWLGFGIFHTGIEVYGMEYGFGAHDYPISGVFEVEPKSCPGFIYRTSISLGHIGMTAGEFREFIETMASDYHGDTYHLISKNCNHFTDDISQRLTGKRIPGWVNRLAKLDDDGSDSGSSVSSHEGSESDVMDQKVLLSPTPTAEVAFIKEIPR >KVH93811 pep supercontig:CcrdV1:scaffold_530:52835:55533:-1 gene:Ccrd_004134 transcript:KVH93811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATDGGGAGDGSGGGNVNLSPLLRTLAKGAAVTLGFNDVLIVWGVDTFNKPKADAFVFLSNPSSICPHLD >KVH93816 pep supercontig:CcrdV1:scaffold_530:6994:10727:-1 gene:Ccrd_004129 transcript:KVH93816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MKYKKGSIVEVLITDEVPYHSWRCAQIVSGNGHNYTLRYDVYPGFTNEENVERVSRKFIRPCPPAVEISECWPGDVVEVFHDLSWKMAIVSKAIRWDLFLVRLAGSFLEFEASKSELRVRQSWQNDEWVVIGKVHMNSEDGQCRKLLKHNLNSGSREKQRDPKGDLFIKSFQFCAQNDNLQESHIVSTRTLKRGSPCCVSQDEVNEGTAQKFRLTQKEGRRLLLLVTSPERVDAVPNSREMPGEKGRYSYFDNRAAPSYAADMEREDLSGAYRCSRPLCLEPKGADSVASSTGSCGINSYRHYDMECKSGGFEDVEGHDSDAESVCQVGYQEDLSLCKKELAAEIHTLEPKVGGLYTLLLYWHGTVGHRQSEIWCITAHDQPLVLPIHCHSALSLWAVYFNFYMELTCWSFDRSLLMQLDVQSEAVCIFPFGGKFLVQVPECCHGCNFLFSFS >KVH93812 pep supercontig:CcrdV1:scaffold_530:79688:79948:1 gene:Ccrd_004135 transcript:KVH93812 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MLTSSTRKETRTGCLAMIRLRLVESSRWRVDEVKLEHNHLFDPERAQNSKSHKRTDSGVKRKLEPIVDVEVRTIKLYRTPIVGAND >KVH93810 pep supercontig:CcrdV1:scaffold_530:132685:133056:1 gene:Ccrd_004138 transcript:KVH93810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTHNMLSSNIKGVINGFPLLIEAERVVEKQVDFNLDFLKNMFSKIDWKALADASKTMGYDELPDEVPDPSVLDSQEFLQKFHHALLELHLEEGALVYPETGRRFPVNKGIPNMFLHEDEV >KVH93814 pep supercontig:CcrdV1:scaffold_530:170425:170694:1 gene:Ccrd_004140 transcript:KVH93814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLARSDMSFRRSGSSGLVWDDKLLSGELKAREQRKEDTEEEERKQKAYKTMEIGAPTVDPPSPKLSGCGAICSVFGKPDHKHRKNKT >KVH17785 pep supercontig:CcrdV1:scaffold_5301:29449:33575:1 gene:Ccrd_025911 transcript:KVH17785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold MALKGYKFLSCCCLFFIVLTIHAVTLASADAIQGCGGFVEASSSLIKSRKPTDSKLDYSHITVELRTLDGLVKDRTQCAPNGYYFIPVYDKGSFVIKIKGPEGWSWDPDQVPVLVDHTGCNGNEDINFRFTGFSISGKVVGAVGGESCSSTNGGPSNVNVELLNPSGDLVSSVLTSVAGSYSFTNIIPGRYILHASHDDLNIKVEGSTEVELGFGNGEVDDIFFVSGYDISGSVVAQENPILGVHFYLYSNDVKEVHCPQGSGNASGHSTALCHAISDADGTFKFKSIPCGAYELIPYYKGENTIFDVSPPSVAVTVKHDHATISEKFQ >KVH17778 pep supercontig:CcrdV1:scaffold_5304:20812:30560:1 gene:Ccrd_025913 transcript:KVH17778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MVMTVFAGWGGGTTWSMVFTGDEVGDNCRHKQSLCIGDEVGDNCRHKQSLCMVVGRRRDIVCGHGKKKRVVAASMKKDVDAQIPNYPNLQSKLLCILHDVTLHADTETDEVYAQMTLQPVPSFDKEALLRSDLLMKAHKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNVWTFRHIYRGKPSLLFLKQILSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAVCSNQISLGMRFRMMFETEESGTRRYMGTITGISDLDGVRWKNSQWRNLQVGWDEATAGERRNRVSIWDIEPVTSPFFICPTPPFYQSKRPRQQGMLVKRTMPWLGEDMSMKDPGLSLVQWMNMQQGSSLANQIQPSYLNPLAGSVLQNYGGPDLSRQLGLSAPQMLTQNNLQFNNQRSTSQLDQLQKLPQPATTTNPLAVMMQPQQLTDLTQQTVRQTLINQTLPQNQIQSQIPSQNVLQQQSMQNHEFQRNLQLQQQQQMMAVSQNQQKISLPSQFPDQQISQKLQMPENQIQLLQKLHHQQQALLAQQGQHPQDQQKPLIDVPQSFARSIPTSQMMEMPSQIPITSQPQQMPRKNSEANFRFSHLSQQPKLQHQQPELSGQMTGATPMPINLLSTNGSSLLTGTAGGGQSGISDDVPSCSTSPSTNNCPNMVPSIVNGRSYGSTTMGGEEIIQASAHLMNTTGLETVSANGNFVKELQQKADVKPSVNVSKIHNQGFLAPQTYLHNLAGTQMDYLDSSSSATSVCLSQNDVHLQPQNSNQLSFNSQPLPFRDTIQDGEVQDDPRADIPFGVNMDNRLGMSSVIPDPLLTKGMMGSGKDFQNNHSSGGMISSYENPSKDTQPELSSSMVSQSFGVPDMTFNSIDSTINDSSLLNSAVWAPPPQQFQRMRTYTKVYKRGAVGRSIDITAYSGYDELKQDLARRFGIEGQLEDRQRIGWKLVYVDHESDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGGNSVLPNQACSSSGGGKV >KVH17779 pep supercontig:CcrdV1:scaffold_5304:12619:14000:1 gene:Ccrd_025912 transcript:KVH17779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERERDSDIYIQKIRERERFRYIHTESLRERGREGAKVQHLVSAILSKLSFIKVSPVFILSPIHLFRQLCFFFNFFSFPLVGFFLFPVCFTGNHRR >KVH17592 pep supercontig:CcrdV1:scaffold_5305:24863:25728:1 gene:Ccrd_025914 transcript:KVH17592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPSLADFRNAFPMGTLLDKNQQWGIICKPVC >KVI11283 pep supercontig:CcrdV1:scaffold_5308:28154:31150:-1 gene:Ccrd_010308 transcript:KVI11283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHPIDNELKDPTQMKYIVRQSILLCSTVYVATSIFGFLLFGDHTQDDVLGNFDGDLGIPYSSLINDVVRISYCLHLMLVFPIVFFLLRLNLDGLFFPYAIPIGFDNRRFLMVTSFVMSLIYVGANFIPSIWDAFQFTGETATVSVGFIFSAAVALSFKTKSGCVCVLTVSTXXVSSSGKWDALQISEKAIEMMLLSSSVNCSSRPIVSGAVLLLICCFLDVGLLLQQLLV >KVH93973 pep supercontig:CcrdV1:scaffold_531:7427:10242:1 gene:Ccrd_003966 transcript:KVH93973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLSLLSSAWEEILNHSFLILPYELNLGLKVDDTFDNISKSETISKEPDRDSCDDDQSRRNSISSKRSHEPLKIMLETTLSFKNLVQDFKKPEPDHLNLRTDRLPASPGPTIFFSPRPVSELNAAATTVQKIYKSYRTRRNLADCAIVVEGFRFCSFGSEFSFIDPRHRYGHNLHIYYDIWFKSESSQPFFYWLDVGDGKEINLEKCPRIRLQRQCIKYLGPVSFFFASLINNERESYEVIVENGKLVYRQSGLLLETIEGSKWIFVLSTTKNLYAIWPYSGHYLPTEENFRDFISFLEENHVDLTDVKRCSVDDDDVGFSFKVTQTETKPKPLVIPRPRPQDILRAPTHAERTITLTDAPISPSLQEADRMSCKWTSGTGPRIGCVRDYPSELQFMALEKVHLSPRIIPGNFGPIPSPRPSPKVRLSPRISYMGIPSPRTPIATN >KVH93974 pep supercontig:CcrdV1:scaffold_531:13892:25845:1 gene:Ccrd_003967 transcript:KVH93974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGVPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKAVLMLADQMINRIEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLVKKYRDTTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKVSTSIEALCRGYPTEFASYFHYCRSLRFEDKPDYAYLKRIFRDLFIREGFLFDYVFDWTILKYQQSQIAAPPTRGLGMGAGPSSGIPPAIPIGDRQTGEEAGKMAGLSSMDSSRRRNPGQVMKSGNLAKEKTQVTNDPTTGKDAMLGKSRGPVRRDDGRTPEMNPGTNIKPKNSALGGSSDPKNASWGKNGSAYETTNLNKSIQGLQLNDEEKVH >KVH93965 pep supercontig:CcrdV1:scaffold_531:75929:88360:1 gene:Ccrd_003973 transcript:KVH93965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MDLMVKYYIFLVLQACTIVNSVVLQETSGPQANNGLKPSLSPNTPLNEPSPSKGPGLLPDAAVQIAPLPKEVLHPPPVAQVAPSMSHVPPSIPQVPSSMPEGPPFIVPEPVASPPTKLPMASPPTQPTLPIPPPNLPLPAQLPPSTLPSSPPAPSTLDVPPSNLSAPLASPPSTLPRNAPPSPPTLTVPPPNLPAPLASPPSTLPRNPPPTPPTLTVPPPNLPAPSSPPSTLPRNTPPSPPTLNVPPPNTPAPLSSPPSTLPRKSPPSPPFTRPPPNLPESPSTYEVPPSNSPKDLQPIHRNSSAPPPNMPAPIFHKAPSFYNHPPPPTRSHPPPSLPPLYPPPPPGPKSKPRHHSPLPLPGPESNPRHHTPPPPFSISPSISPSVQTIMPVLSPKVSPSHASPRIPKPIQALPPPPPNEDCLSITCVEPLTNTPPGTPCNCVLPMQLELGLGVSIYTFFPLVSELAFEIAAGVFMRSSQVRIMGANEDSQNPEKTNVIVNLVPLGEKFDNYTALVTAQRLWLKQVPIKSSLFGLPPSPPSRSSGNGMSGDPYGHGNNGRDVKPLGVDVSKQRRNNKLNGGIIAIIALSVVVAVVLVGVTVWVLLFKRPVGAQSGSILPATFPPVTKSSGVGGSMTGSGPESASLSFRSSIAYTGSAKTFSSSDMEKATDNFNESGVLGEGGFGRVYSGVLDDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVRLIGVDKETAPLDWGGRLKIALGAARGLAYLHEDSSPRVIHRDFKYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSMPPGQENLVAWARPLLPTPEGLNLLIDPSLSPEVPFDSIAKVSAIASMCVQPEVSHRPFMGEVVQALKLVCNECEETRDLGSRSCSQEDLTSVDFDPRVSTNSGPTRSAYPSYESPLDVESGFSTSGLGLDVDSYRMTSSSGPLRPRRRPQLWERMKRFSSGSLSDYGDFLRLLSRSR >KVH93972 pep supercontig:CcrdV1:scaffold_531:28584:33506:1 gene:Ccrd_003969 transcript:KVH93972 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, ATP-dependent, DEAH-box type, conserved site-containing protein MVSRTKEPSLKKLEYLSLVSKVCTELESHLGFGDKVLAEFITEIGRKCGTVDEFDSKLKENGAEMPDYFVRTLLKIIHAILPPKAKSGSVKDTGKNDGGGSKSNFPALNIGDSKDRVKALEKEIEMEAKERIRKNGGDEYEGRSQRDRDGDRSRGRDKRGSQKVRDDDDMDDRYDRRRGDKDDRGNHGGRERHRDRDRDRKYDRARDEDDIDRRDNEKDRSEKKSYGHQSDKPELYQVYKGRVSRVMDSGCFVQLSDIKGKEGLVHVSQMATRRISNAKDVVKRDQEVFVKVIAISGQKLSLSMRDVDQNTGRDLLPLKKSGDDDSLRTNPSSGSNNAVSTSRTGLSGIRITDEDVGVPSRRPLKRMSSPERWEAKQLIASGVLSVKEYPMFDEETADGMLYQEEGGDEELEVELNEDEPAFLQGQSHYSMDMSPVKIFKNPEGSLSRAAALQSALIKERREVRDQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKALTFGQRSKLSLQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILIDENLSQYSVIMLDEAHERTMHTDVLFGLLKDLVKRRPDLRLIVTSATLDAEKFSAYFFSCNIFTIPGRTFPVEILYTKQPESDYLDAALITVMQIHLTEPEGDVLVFLTGQEEIDHACQCLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFNNEMSPTSIPEIQRINLGLITLNLKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQRRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQARSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTIVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVAVVDPKWLVELAPRFFKVSDPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >KVH93968 pep supercontig:CcrdV1:scaffold_531:80375:81487:-1 gene:Ccrd_003974 transcript:KVH93968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIEIPPGKFGGGTVSVGGVGGGFLGNVLGGDANGADQEKMKCGDGGAFLGKVLGGDANGAN >KVH93976 pep supercontig:CcrdV1:scaffold_531:209220:210870:1 gene:Ccrd_003981 transcript:KVH93976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MQMTPIFLNSSSNHYWPTDLHHQDDHFSHSNQEFLSPNSQASSSSNSLTTHMFLNSTVVHRENGVSDTELQPLQPQHEDDNFGSQVYEDDRIENNYEKNHEFPTWNKSEMNDMINKDQNQMKWMSSKMRVMLKMKKSDPVNPNAYRSTTREATTLEDQKLPNSPIDQETENSSNSTTSNNSVPIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRALAAAAAASAENGNDEKEAAILLMALSCGYAHEI >KVH93961 pep supercontig:CcrdV1:scaffold_531:157810:163173:-1 gene:Ccrd_003979 transcript:KVH93961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MCRMPRIKILLFLVLFSCFCTSIKASVSYDDKSIIINGRRRILMSGSIHYPRSTPQMWPDLIKKAKDGGLDVIQTYVFWNGHEPSPGKYNFEGRYDLVKFIKLIQEAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGMSFRTDNGPFKAAMQRFTEKIVSMMKSEELYEPQGGPIIMSQIENEYGPVEWDIGAPGKAYSKWAAQMADGLKTGVPWIMCKQEDAPDPMIDTCNGFYCEKFTPNKPYKPKMFTELWTGWFTEFGGPIPTRPVEDIAYSVARFIQNNGSFVNYYMYHGGTNFGRTAGGLFITTSYDYDAPIDEYGLLNEPKWGHLKNLHTAIKLVEPALVSAYPTVTYPGKNQEIHVFQPKSGGCAAFLSNYDPQSSAKMTFGNAQYDLPPWSISILHDCNDEVFNTAKVNAPSTQKKMTPVGSFDWQSYNEEAPSSDGSDTLSMAGLYEQLNVTRDASDYLWYLAEVNISPNEEFLKNGQFPVLTVMSAGHALHVFINNQLSGTVWGSLKYPKLTFSNSVKLRAGVNKISLLSVSVGLANVGTHFETYNVGILGPITLKGLNEGARDLTKQRWSYKVGLKGETMSLHTLDGSSSVEWLQGSLVAQSQPLTWYKTTFNAPAGNEPLALDMNGMGKGQIWINGEGLGRHWPGNKARGNCDKCSYTGIYNENKCNRYCGDPSQRWYHVPRSWLRPSGNFLVIFEEWGGNPDWITLVKRTT >KVH93964 pep supercontig:CcrdV1:scaffold_531:34688:45786:1 gene:Ccrd_003970 transcript:KVH93964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLMNNYHLKPSNFVVTTKTHCLSDFRVNQLLRIKQPNYSDRCKITQTKMAGAGAAASDANPAHPEQNSGVHQHKIVIRNNHGEKLVGLLHEAGSTEIVILCHGFQSTKDSNTVVNLVSALEKEGISAFRFDFAGNGESEGSFQYGGNVVLLYASKYHDVKCVINVCGRYNTKGGVEERLGKGFLQKVKQDGFIDVKANTGAVLYRVTEESLMDRLNTDMHETCLQIDKDCRVLTVHGSEDSTVPIEEALEFAKIIPNHKLHIIEGANHGFTKHRAELASIVLSFIKEPMAAAGSTTASATNADQNPGQISGGDVVLLYASLHRDVQTVVNVSGRCSLDRGIEQRLGDNYLERAKRDGYIDVKSGTGEVLLHVTEENLMERLNTNMHEAGLKIDKDCRVLTVHGSADDIIPVEDASEFDKIIKNHKIRIIEGANHVYKRHRDELVSAILPFIKDGIDSNDKQ >KVH93971 pep supercontig:CcrdV1:scaffold_531:26845:27216:-1 gene:Ccrd_003968 transcript:KVH93971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3511 MQDFRSNSYNGNKMQIEPYSNNPHHHHHHHPSNIQDFRCHSASYASSSPSQTQIDNPTVVEIKKDSRAISRIWSLNDPELQRKKRIASYKAYSVEGKVKGSIKKSFRWVKDKYSKMVYGLRSS >KVH93977 pep supercontig:CcrdV1:scaffold_531:219359:221234:-1 gene:Ccrd_003982 transcript:KVH93977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFVRLLSFNSCSLCTSLNSLHHNQLLFKNNCKRVLSVAADSCLSSDFTVNSRFAMNPKKESLQFKYSVAKPNWETRSTLSIKGSLDVLSVALENDNVLLNTYYEGFYVCNLAT >KVH93970 pep supercontig:CcrdV1:scaffold_531:97245:111897:-1 gene:Ccrd_003976 transcript:KVH93970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MATPGGPRPGNLPPNYNPNSIADNLHNLQINRPNQPPYPGNGPRGPPPAFGQQPNSQSQPFPSSGPYSSSPFSGPSRPGPPPPGVAPRGAGPPSSGPPHGSMPPFMAANRPTGGGGGGTSSSNAPPMPASRPLTSGPLPSSAPVGPPGPFASSPLTSGPPGPPPNVGGGSMSNGPPTFGSQSMQGGPQYPSANVRPRPSTGPPPAPQTMSSFLGGPADNQPRGPVPPFSAPPRGMQPPTGPPPFSAQGQGAPHPQGSPFGAQPWQMQSRQNAPPPPISGSAQPPRMFGMPPPLPNQQSMATISPATGPSGNAVSGPSKIDPNQIPRPVPSSSVLLHETRQGNQANPPPPATSEFIARDTGNCSPRYMRSTINQIPCTSDLLNTSGMQLALLVQPLALPHPSEEPIQIVDFGEGGPVRCSRCKGYINPFMKFVDQGRRFICNFCGFTDETPRDYQCNLGPDGRRRDADERPELCRGTVEFVATREFLVRDPMPAVFFFLIDVSMNAVQTGATAGACSAISRVIADLPEGPRTFVGIATFDSTVHFYNLKRALQQCRQHLDLLLESIPTMFQNNKTADSAFGAGMKAAFLAMKSTGGKLLVFQSGKLSDHIAILKAGNTFLLSNNFLPSVGIAALSAREAEGRTNISAGDKEPHRLLQPVDKTMKTMAIEFAEYQVSVDVFITTQSYVDIASISVIPKTTGGKIYYYHPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQVDCDKSIMCAILYTTIYGQRRIRVSTLSLPCTTMLSNLFRSADLDTQFSCFLKQAANEISSAPLLQVRDRVTDLCINILHSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSIGLRTDGRIDDRSFWINYVSALSVQLAIPLVYPRMMSIHDLNSKEMDGSVIPSPIPLSSEHVSDDGIYLLENGEDCLIYIGSSVDPDITQKLFGISSASEIPSQRLHEVVNEIRSQRCNYLRLRLCKKGDPSGQFQNIRVVILSPRMMFFSYMVEDKSSNGLSYVEFLVHVHRQIQSKMT >KVH93969 pep supercontig:CcrdV1:scaffold_531:143579:145171:-1 gene:Ccrd_003977 transcript:KVH93969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MVVKKPRIVVIGAGMAGLTAANKLYKSGVKKGAFELCVVEGGNRIGGRINTSEFGGDRIEMGATWIHGIGGSPVHKIAQETNALESDQPWECMDGFLDDPITIAEDGYVLNPSLVDPVSNLFKNLMDFSQGKSKSIGDGIELDLYGNGKGIGNMMSVGSFLRKGLEAYWEVESRKEREEVNGCGGWNRKLLQEAIFAMQENTQRTYTAANDLLNLDYKSESEYVMFPGEEITIAKGYSRIIESLASVLPSGVIQLGKKVYNIEWQPELVNGLKGYGHGGSGSRPVKVHFLDGTTISADHVIVTVSLGVLKSGISDSGTFRFNPPLPNYKNEAISRLGFGVVNKLFLKLSPDFDLDRFPFLQMVFQKSDLEIKNPKIPWWMRRTASLSPIYERSSVLLSWFAGDEALKLESLQDDVILDEVSTTISSFLSNSKLKFDKVLKSGWGSDPLFMGSYSYIAVGSSGCDIDSLAEPLPETATFESTTGGSGSPILQILFAGEATHRTHYSTTHGAYFSGLREANRLLQNYHCIDV >KVH93962 pep supercontig:CcrdV1:scaffold_531:153748:158041:1 gene:Ccrd_003978 transcript:KVH93962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLPELIGLFARLASQIEIENSETSNSDQPDDVLVAALNQSLNLRESSRVRVLDTALSLMCFTAPQVLDSVTDYSVNTIVSVLSSSVDCKVLRFGNAEVLRIGGSISAHDSVGVMESCADVLGKLKEHGMLSCSLLYAAVRVAAMATQFRYTMQVTPALFVQSTDRRRCAISKLVHYIPKRISLENQELQLRLLLWYLDPQILVEDVSQVLQDAVQRPFICLSEELYEKMEWRSIIICLALSPLMFIETRALLHSWFLLTGLASVLELQVELVSMVLDLLSRPMWWGLSAGVGSKLPFSHAYFLFKHRLFRTLAGPLSCGGFLELILKIKKSISRSCQATLEHVATTTPMVDHKSTWAMAMNFPDWFYFASLLLLSENNFSDSYMSRTDEDNQLQASSFSAAAAWYIAWILDPVGESLHSLLAEKLEKLSRILIGKHLSSYEHEKTRGACEIKLKKAKPNDKTKDASQQYTCQTIELWLEEFQDVYICYYGKSTGSPKPYEAQKIDIPNNVMFRRITLGILLGCSGSINEDGCELLLHYAATGTILQSTETQHVRLKHRRWNCDRQENRITCIDKCSRKEAVAGACVVFLLTDIAENMSDSVSGTREIAVGFICQIKLKVVKYLLKCVKRLLQFEIDQNNGVWVKDLHRRILRWRHQGKDIFHGHKDLDDAINVIANCSVTGRVPSDMPLQFHCT >KVH93975 pep supercontig:CcrdV1:scaffold_531:181210:188411:1 gene:Ccrd_003980 transcript:KVH93975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYQTPKSVITWIHPLCSPMPGSIQVSVLDFKELPSSSNFVKGMLHSVNRLSLWQFATRFGVDFASILIVVSLGKVEHEAGEKGTFSFPLTNLRDNLIITILDLEGNQVSRSGVRTISIIEKGIWDDLFPIEGGGREYAMKKKQDEILNSRLRNAESAKSLASSMSRHEVSDVLRITSSQDFQRSSSNGDAAKAGFLTPLDDTFKKASEANDGIKEESFQRQSFTNATDRMEEASFSLVTEGMHQKILLEDAESLASQTDLAKKNETVIPSSMIEKLEEQNLEEKIPSYINKKAKERAPTPLLQEPEVNSDKNNEIHAAKSKPSDNVAEKLDKRNLEETSVIYVKKTSKESKPPHSSQETKVNTIKNDEIQLQQTDAPKRLLDGSSPDVRLSSSVIAEKIKSFSPKLAGEEPDKQGTPEKTPLGTVRLLKDHAVNTSEMSSSTRLRNSFSTGDLRRNLSGITTKGEQAGFNENMVEPTETNMQSKGMIGSEGDTLISDDPKETQESSEKGVNNEKKVAFRETSTKKMEESSEKGVSNEEKVTFTETNTKEIRRSPKEGVNNEEKSALTETNTIEILKSRQEDATVSGRMHEDDKQAETLSNKVKDGTIDGKKTSKDNLDYIYHDGSGPWIFPDEERHFCMTAEKAHQENTIASIPDSIGQIEVHRGSDGIVKQDEAMARISGNSSPKSSDEEDSTGSLGQAIKIALVIGFGVLVLLFRQREPGNGKKKGNNLAVKNQVFMNKRGSIGERSRKTGVLRLS >KVH93967 pep supercontig:CcrdV1:scaffold_531:90201:95219:1 gene:Ccrd_003975 transcript:KVH93967 gene_biotype:protein_coding transcript_biotype:protein_coding description:BING4, C-terminal domain-containing protein MEIQDISDDIDVKVKKYQRGEGADLEALKDKKLKGQLANREELYKKSANAAAKAEKWLMQTEAGRLESEGFEKTWRFSQQSIAQEVDVSSRKNQFDLVLPDLGPYTIDYTSSGRYMVTAGRKGHLAIMDLHTMKPVKDFQVRETVRDVVFLHNETFFAAAQKNVVASGHSGGTISMWKPTSAAPLVKMLCHHGPVTAIAFHPNGHLMATAGMDKKLKIWDLRKYEVLQTLPGHAKSLDFSQKGLLASSTGSFVQILANSSDSQNYDRYMIHTMVKGYQIQKCLFRPYEDVLGIGHSMGLSSILVPGSGEPNFDSWVANPFETLKQRREKEVRLLLDKLPPESIIMDPTKIGTLRTSNKIQKATKEEKEAEKAAAIAAAKSGPQKKKTKGRSKPSKVAKKKQEGVEKAKRPFAEENKHAEMVVSKKKQKRITEANELPKSLQPFVSRKA >KVH93963 pep supercontig:CcrdV1:scaffold_531:49203:51328:-1 gene:Ccrd_003971 transcript:KVH93963 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MAQPHLLHAYLLSLFILVTCLTPGTSVRWGLKTSSLPSSSSSSSLPSSSPNETLASSLCTDPSCIITASSDYGEIVQDLPFGVLNPSSISDIAHLVKSSYNSSSPFTIAARGNGHSVRGQAMAKDGVVVEMTSLNSSRGGGVDGNVGIRVSWDVSLGFYADVGGEQLWIDVLRTTLDHGLAPVSWTDYLYLTVGGTLSNAGISGQTFRHGPQISNVHELDVLTGKGELVSCSKSMNSDLFYAVLGGLGQFGIITRARIVLDKAPNRVKWVRMIYEDFSNFTNDQEHLISIPGLDYVEGFLITKNSPANNFFLPSDGSTINSLASENGILYCLEAVKYYDDHNITTIDEELEMIFTALSFKSGFIFKKDTSFFEFLNRVRNEELELEAIGQWNVAHPWLNLFVPRSRIMDFNQGVFVEVIQKQSKSSG >KVH93966 pep supercontig:CcrdV1:scaffold_531:60686:67110:-1 gene:Ccrd_003972 transcript:KVH93966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Density-regulated protein DRP1 LFDKVTKAFHSSPFALIRSSSTLSQFTKTSAQNRKTSNHKTGIHQKINNCFALMAEKPQPVQVLYCGVCGLPAEYCEFGPDFEKCKPWLIQNAAQIYPDLLQGANAKEVDRVSNQLQSSSIADGTSVYGSSAPKQEPEKQEVIIEKVTRNKRKSITTLKGLDLFGVKLSDASKKLGKKFATGASVVKGPTEKDQIDVQGDIAYDIVEFITHTWPDVPETAIFFIEDGKKVPAV >KVI06520 pep supercontig:CcrdV1:scaffold_5310:21144:27693:1 gene:Ccrd_015130 transcript:KVI06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPKHFFKLIPPPFKHNLTPPTTPLFPSLEMETRNNHELVIQSELPIIQPVSWGGIVSDEAVKKSDDVKVVSTTQYDTTVVSSEHAPLLPLELAYDIGKSLGRVQSCE >KVI06522 pep supercontig:CcrdV1:scaffold_5310:2950:4375:-1 gene:Ccrd_015129 transcript:KVI06522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKINCDVCNKDEASVFCSADDAALCTACDHRVHDANMLAGKHPRFSILLPSPKDSPLCDICQEKKALLFCQQDRAILCKDCDVAIHKVNEHTKNHCRFLLTGVKLSSAALLPTAGNGVVPDQNWESRDQELVPIVNQTSSRTQKITTVTAQNMSPKSNGSDHGSATSSSISEYLIEMLPGWHVEDFLDSPCNFSKIGEDDPAVFWDDELLKGSMNDCFSPETMGIWVPQAPPPAAPPPAAPPEPRFHNSSQIQPYSNMGFGNQIISGSSLVFAPSNINNKITKSTGKRRSDDGNCFTVPQITPPPTNIKRSRTLW >KVI06521 pep supercontig:CcrdV1:scaffold_5310:28871:33681:-1 gene:Ccrd_015131 transcript:KVI06521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MARGKIQIKKIENSTNRQVTYSKRRNGLFKKASELTVLCDAKVSIIMVSCTDKLHEYISPSITTKQFFDQYQKASGIDLWNSHYEKMQEEMRQLKEVNKNLRRQIRQRLGDCLEHVGFEELLDLEKESQEAVYIIRERKLKVIGNKLETSKKKVRSAQDVYKKLMHQFDIRGEDPQYGLIEDGEYETVYGYPPPQMGGGAPRILTLRLQPNHPNNLHAASDLTTYALLG >KVH17472 pep supercontig:CcrdV1:scaffold_5314:25000:30596:1 gene:Ccrd_025915 transcript:KVH17472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type MQSQIVCSGCRSILLYPRGASNVCCALCNSVTSVPPSGMEMAQLICGGCRTLLMYTRGATSVRCSCCHIVNLAPVSNQLAQVNCANCRTMLMYPYGPPSVKCAVCHYITNVNDMPSSHTQTIVVENPNSVDENGKLVSNVVVGVTTEKKSSN >KVH96804 pep supercontig:CcrdV1:scaffold_5317:26384:28347:1 gene:Ccrd_001104 transcript:KVH96804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase MSSLAPPPAITTTARRGKTFSSSSRYSSSLFLRSSKVSTARNPNHRHAVSCKTLDDDHHEESGKVDRRNVLLGLGGLYGAAATFGSNSLAFADPIMAPDVAKCGPADLPQGAKPTNCCPPSTSKIIDFKLPPPSNNLRVRPAAHLANKEYIAKFNRAIELMKALPDDDPRSFKQQAAVHCAYCDGAYDQVGFPDLELQVHGSWLFLPFHRHYLYFFEKICGKLIDDPNFAIPFWNWDAPDGMKIPDIYTNKKSPLYDPLRDAKHQPPSLVDLDFNGVDENLSRSKQVSTNLTIMYRQMVSSAKTASLFMGSPYRAGDEANPGGGTLESTPHGPVHVWTGDSTQPNGENMGNFYSAARDPIFYGHHANVDRMWSIWKTLGGRRQDFTDKDWLDSSFLFYNENAEMVRVKVRDCLDSKKLGYVYQDVETPWLNSKPTPRLKRVLSKIKKLGVARADEQMPPFAKDVFPASLDKVIKVLVPRPKKSRSKKQKDEEEEILVIQGIEVKRDVFVKFDVFVNDEDEGMSGADKTEFAGSFVNVPHKHKHGKNVKTKLRLGISELLEDLDVEDDDNVMVTLVPKNGGGDISIKGIKIEFD >KVH94550 pep supercontig:CcrdV1:scaffold_532:170537:175623:-1 gene:Ccrd_003380 transcript:KVH94550 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MMNAGSKEKASTSTSGDADADADAVQPQEKQKESTYTVPFYKLFAFADSSDHMLMIAGTLGAIGNGICMPLMTILFGDLIDAFGQNQNTNDVVHVVSKVSLKFVYLAIGAGVASFLRNFYCTYDNSLYILLKKLCVCVFVEVAMWMVTGERQAARIRNLYLKTILRQDVSFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFTQLLATFVGGFVIAFIKGWLLTLVMLTSIPPLVISGGVMSVIISKMASRGQNAYAKAANVVEQTIGSIRTVASFTGEKKAVANYNETLVDAYKSGVHEGLAAGLGLGSMMLIVFCSYALAVWYGAKMVLERGYTGGTVLTVIFAVLTGSMSLGQASPCLSAFAAGRAAAFKMFETINRKPEIDAYDTRGKVLSDIRGDVELKDVYFTYPARPDEQIFSGFSLFISSGTTAALVGESGSGKSTVISLIERFYDPQAGEVLIDNVNLKEFQLKWIREKIGLVSQEPVLFASSIKDNILYGKNGASMDEIRVAVELANAAKFIDKLPQTFTYNISSLCSSLTFSSILAWFSNIQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSFTLSPPAYLTFDVDDLLQDPEGAYSQLIKLQDINNDSRQNGPEDQDKRTSYQRSISRGSSSIGNSSRRSISISFGMPTQLGVSTAESMEIEASPAKEGSEKPPKVPLRRLAYLNKPEIPVLILGAIAAIINGAVLPVFGILISSMIKTFYEPPDKMKTDSRFWALMFVVLGVVSFLAFPGRSYFFSVAGSKLIRRIRSLCFEKVINMEVGWFDKPENSSGAIGARLSADAASVRGLVGDALAQLVQDSSSAAAGLAIAFAACWQLALIILALVPLIGVNGYVQMKFMKGFSADAKIMYEEASQVANDAVGSIRTVASFCAEEKVMELYRNKCEGPKKTGIQQGLISGIGFGVSFFLLFCVYAASFYAGARLVEDGKTTFSDVFRVFFALTMAAVAVSQSSSFAPDTSKAKSSAVSVFAMLDRKSEIDPSDESGLTLDHVKGEIELRHISFKYPTRPDVQIFRDLCLTIHSGKTVALVGESGSGKSTVISLLQRFYNPDSGCITLDGTEIQKFQLKWLRLQMGLVSQEPVLFNDTIRANISYGKDGDATEAEILAASELANAHKFISGLHQGYNTVVGERGVQMSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKGADVIAVVKNGVIVEKGKHENLINIKDGSYASLVALHMTSSK >KVH94560 pep supercontig:CcrdV1:scaffold_532:58611:62708:1 gene:Ccrd_003373 transcript:KVH94560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MAITGMLVGLPFGFDFYENKASMFHLESLTNDVQGMLELYEATYMRVQGEVILDEAFVFTSSRLDEISKDPLRSNSTLSSLIQVTLKLPLWRSFPRLEALHYIPFYQKQASHDGSLLRLAKLGFNLLQSLHKKELSQVSKWWKGFDVSNNLPYARDRIVACYFWALGLYFEPQYSSSRIFLAKMFAMITLIDDTYDAYGTHEELECFIEAIRRWSITCLEMLPEYMKLIYQKLMDMYKEMEDMLVKEGRAYHLSYSKEFVIKMVKEIWMKRNGLLKSTCQQQMSTFRLH >KVH94548 pep supercontig:CcrdV1:scaffold_532:193331:194967:-1 gene:Ccrd_003382 transcript:KVH94548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MEELCVAKSSGSLVPPCAPTPPDTLHLSRIDRLPALRCNARTLHVFEALGPPGAAQSIILQALSKALVPYYPLAGRLISNPPQVQCSGEGVWFVEASANCTLQSVAYFEDVTSIPFDKLLPHHPPQTQAIDPLVLMQVTEFEGDGFVMGLTFCHTICDGLGAAQFLNAIAEFARGAHQLTISPVWHRDFLPQPQTITSCTPPPANFMLPPADYELEQANIDIPLHHINQLKQQFLASTFEIVAAILWRNRTKAISLGSSENRMMKLVFFANCRHLVQPPLPKGFYGNCFFPVTISAYSDTLSKAEMGEVVKMIQEAKANLGNEFADWVSIKKEEKEDPFAPPLGYGTLFVSEWGKLGFNQVDYGKGEAVHVVPMQGSSIIPVAIVGTMPRPNKGIRVMTWTISITSSFKPIPT >KVH94547 pep supercontig:CcrdV1:scaffold_532:215771:217193:-1 gene:Ccrd_003388 transcript:KVH94547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQAEAEQKKRAQILESEEERQPSVNIADGKKSSKMLEVQGEAEAILAXSQATNKGVXLVSQALQENGGVE >KVH94564 pep supercontig:CcrdV1:scaffold_532:144849:160307:-1 gene:Ccrd_003378 transcript:KVH94564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA MALLSLASNNKFSSSSIFASKFPDCYCSKPHLLPLRECTSSNTSLFRGGNSKIPPRYYHSSGKTITVAVASLGGLLGGIFKGGTDTGESTRQQCSATVALINSLESELLSLSDSQLRDRTNILKQRARQNAQSLDSLLPEAFAIVREASKRVLGLRPFDVQLIGGMVLHRGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVARFLGLTSVDELVLRGFNYCVIDEVDSILIDEARTPLIISGPAEKPSEQYYKAAKIAAAFERDIHYTVDEKQKNAVEAKESLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESSEFESIYKLKVTIVPTNKPMIRKDESDVVFRATTGKWQAVVVEISRMNKTGRPVLVGTTSVEQSDTLSKQLREAGIAHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMMMPSVVKPAEGVFVSVKKSPPKKTWKVSESLFPCSLSQESTMVVEEAVHLAVSSWGRRSLPELEAEERLSYACEKGPAQDEVISKLRHSFLQIAKEYKAYTEEERKKVVAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKILTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYMERRRALESEDLQSLLIEYSELTMDDILEANIGSDAPKENWDFEKLIAKLQQYCYLLNDLTPDLLVSKCATYEDLRNYLRVCGREAYSRKRDIVETQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFIEMMAQIRRNVIYSIYQFKPTMVKDQDQQPAKPRKDGANGSVLPPSSVSSEANV >KVH94553 pep supercontig:CcrdV1:scaffold_532:200709:203260:1 gene:Ccrd_003384 transcript:KVH94553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAAAAAAAVMGFILVTSSLMGNIVAAVSSMAILETSSTSSSCVGDPGMKRDGLRVAFEAWNFCNEVGTEAPSMGSPRAADCFDLSSTNKLDGGGYYLNHKVTEADNRLGVGNSFPGLSPKALQDPDLYAAEKELYLGTLCQVIPNDTADPWQFWMIMLKNGNYDTTSALCPQNGKKVPPFPPGRFPCFGDGCMNQPVLAHQPTSITWSRTGSTNTAISDLVPVMRGGFNGSYDDFSATSVSYFEVTWEKSVGVGSWEFNHKLKTSHKYPWLMLYLRADATKGFSGGYHYDTRGMLNTLPESPNFKVRLSLDVKKGGGAKSQFYLIDMGSCWKNNGDPCDGDVLTDITRYSEMIINPEIPAWCGPSALENCPPYHITPNNTKIYRNNTRNFPYGAYHYYCAPGNAQHLEKPYSTCDPYSNPQAQELVQLLPHPIWREYGYPTKQGEGWVGDARSWELDVGGLSARLYFYQDPGSKAAKRVWTSLDVGTEIFVSNKDEEAEWRVSDFDVIIT >KVH94557 pep supercontig:CcrdV1:scaffold_532:2482:6535:1 gene:Ccrd_003367 transcript:KVH94557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MEEIVKDLKEEVRKDLVASLNVPMEHTNLLKLIDAIQRLGIAYYFEDEIEKSLQHIYDTYGDNWNAGRHSLWFRLLRQQGFYVSSDIFSNYKDENGCFKESLTNDVQGMLELFEATYMRVQGEVILDEAYVFTTSHLDEISKDPLRSNSTLSSLIQATLKLPLWKSFPRLEALHYIPFYQKQASHNESWWKGFDVSNNLPYARDRIVECYFWALGLYFEPQYSSSRIFLAKMFAMITLIDDTYDAYGIHEELECFTEAIQRWSITCLEMLPDYMKLIYQKLMDMYKEMEETLVKEGKAYHLSYSKEFVIKMVKSYMDEEKWVTNEYMPTMDEYLSVALVTSGADMIVASSFVGMGDLEEQERKHSASCIEIYMKTYDGTEQDAHEYLNKKVEDAWKDINQESLIIKEVPRPLIMHVINMTRTTNYLYKDGENFTHPGEEFIEHIKSLFIHPMDI >KVH94559 pep supercontig:CcrdV1:scaffold_532:126191:135300:1 gene:Ccrd_003376 transcript:KVH94559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MTSLTDFASFNCCRSWSSLPPPASRVSNNPNKYLITYGHRSNRASRNRWRLRCSIAKDSPITPPISDDTNSQPLLDCVIVGAGISGLCIAQALATKHAADFIVTEAQDRVGGNISTVERDGYLWEEGPNSFQPSDAMLTMVVDSGLKDELVLGDPTAPRFVLWGGDLKPVPSKPADLPFFDLMSFAGKLRAGFGALGFRPAPPGREESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEQTGGSIVGGAFKAIQARNNSTKPPRDARLPKPKGQTVGSFRKGQTMLPNAISTRLGSRVKLSWKLTSISKLVNRGYRLTYETPNGFASLQTKTVVMTVPSYVASDLLRPLSLGAADALSKFYYPPVAAVSISYPKEAIRAERLIDGQLKGFGQLHPRSQGVETLGTIYSSSLFPNRAPPGRVLLLNYIGGATNPVILSKTESQLVEAVDRDLRKMLINPNAGDPLTLGVRVWPRAIPQFLVGHYDILDAAKAALSSSGFQGLFLGGNYVSGVALGRCVESGYEVAAEVSNFLSQAVYK >KVH94558 pep supercontig:CcrdV1:scaffold_532:140010:142241:1 gene:Ccrd_003377 transcript:KVH94558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MRHHGWQRPLHPLQVVGMFIYGFLVASFYSFLGLLLGSQTAVIVVTSIFSFTALGVMFLFVRCTAIDPSDRTNVKRKNKKSMSNSQLNYGFLLGHIVMRFFTRIERKILRKFIRRKYLDPLSTTAQMEPLLPFPLVIKDDSISPGPLQDDISFCVLCDSQVKRHSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYRTFILLLIFVLLMLMIEGGTAIAILIRCFADAQGLDRELVTNLHFHFPRGVLSAICVLLVLLTAYGSAALGQLFFFHVVLIRKGMRTYDYIMAMKEENQSLEIEVTEDSDFSSEESIDFDSSPEKTRCGSTTMMSMCREEKIAVAEAEEKQQILCVRIDAEPTVTKKQGGFRASISPWKLIKMSREKAVLAADKARERFMRQKAMGEDDSLRPLPLETKSGPSNIIIDRNKTPIVVVKGMSPRRRFSVSPSPKQQQNKYKSNFDLKLTEVSKELETYISRQVLCSVLKEPSSSSSPSPSPR >KVH94555 pep supercontig:CcrdV1:scaffold_532:12100:12438:-1 gene:Ccrd_003368 transcript:KVH94555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAQRPTGLDKYLISLWSLVGSWNLYESKWVGYELAQKRHTTFTKTSFHIKYDSCXFRFFKFVGGVDSYRDQYCSQLFLSKVR >KVH94563 pep supercontig:CcrdV1:scaffold_532:167165:168523:1 gene:Ccrd_003379 transcript:KVH94563 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCD [Source:Projected from Arabidopsis thaliana (AT3G62130) UniProtKB/TrEMBL;Acc:A0A178VKW4] MGEIRRSHGEDPEDDHHHKKPKLSPFITQSQIQQEFAHHQQGVARLNNGSFGSCPGSIIASQKRWQLKFLQQPDDFFFNQLPTQILRSRTLIKDLINADDVSEVSLVDNATTAAAIVLQHVGWAFAEGRFHKGDAVVMLHCAFQAVKKSIEAYVTRAGGSVIVVQLPFPIHSNEEIISEFRKGLARGKANGRKVRLAIIDHITSMPSVIIPVRELVRICREEGVSEVFVDAAHAVGSVSVDVKDIGADFYVSNLHKWFFSPPSVALLYCRKSSLSSELHHPVVSHEYGNGLAIESAWIGTRDYSSQLLVPEVLDFINRFEGGIEGIRNKNHNAVVEMGEMLAKAWGTSLGSPPEMCPSMAMVGLPSCLGVLSDTDASRLRDHLRDEFSVEVPIHYQPLKDEVGARDEGGGFITGYARISHQVYNTIDDYIKFRDAVNQLLHDGFDCKMLGRE >KVH94551 pep supercontig:CcrdV1:scaffold_532:206690:211989:-1 gene:Ccrd_003386 transcript:KVH94551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MDDTCAVCAESLEWVAYGSCGHKEVCSTCVARLRFICSDRRCCICKSESTLIFVTKALGDYTKMINDFSVFPSEDKEGRSGSYWYHEDTQAYFDDLDQYKMVKAMCRLSCSVCDKVEENGSNSSKRRARFRNIDQLKGHLFHQHKLLMCSLCLEGRKVALQYYVLLTLFYVHQSMHHFDLACSYVFICEQKLYSRAQLKQHINTGDSEVDGTESERGGFKGHPLCEFCRSPFYGDNELYTHMSTEHYTYKILIHFRQEHFLCEDEACLSKKFIVFTSEAEMKRHNALEHGGRMSRSKRNAALQLPTSFRYRRSNEQDNRRGRFQRDLSEDELSRAIEASLETANVNGRFLDSSSSSLPVASDHTSINGIDPLIQPFEALGTESDPRYLMAVSHRPRNAPLEESSFPPLSSGPGGSTQRPIHDAEGLPRNTMADNLRRHSKKKVNVLNTAQAWPAATRFNNPPASSAASRPANTSHGSSVVPGHGKSAINQRSAASSQPSSLQVLPSVGSSTSSSRNSTSRIGHSSSAPNLVDTVSVSDFPPVSTLQARNPPASGQVTRGTGDVYTANKTMVEKIRAGLDNDQDKYAAFKDISAEYRQGVIDAEMYLVYVEQFGLSHLVLELARLCPDPRKEQELIAVYNANQSMSGQKRNGQKNGMNNSKGKSVVSGNNKLTDSILSSVRELQSNYRPPEEEVETLSKDGYRAANKGKLKLEVDDRTSPGSVPRPKSEGGGDGEGRSKPQQQRKKTSKFHRVRLGNGSMASILDLTNSNAGSDSTEASNGNGNEDPGDGVPVRGVWRNGGGHRLIVKDQRRP >KVH94552 pep supercontig:CcrdV1:scaffold_532:214283:215218:-1 gene:Ccrd_003387 transcript:KVH94552 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MELWCSGQINPTGVKSATFGDVIITVRLLQGRFDILSLSGAFXPNENGGAKGCSGGMSVYLAGPDGRVLGGGLAGMLVVAGPVQVILGSFLPSHQQEHQKPPKKSILEPIQAILPSPIAATSVFKQATERRYGDEPNISFTIPNPTHIARSLDPENNGSLRASEPKVLNASQFKVSC >KVH94562 pep supercontig:CcrdV1:scaffold_532:34286:38882:1 gene:Ccrd_003370 transcript:KVH94562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, N-terminal domain-containing protein MSITQEEVVRPVANYPPSIWGDQFLIYNEEEDQGVEMEEIEHLKDKVRKDLVAALAIPVEHTNLLKLIDVIQRLCISYYFEDEIAQSLQHIYDTYGDNWNAGRPSLWFRLLRKQGFYVSSDIFNNYKDENGCFKESLTNDVEDMLELYEATYMRVQGEVILDEAFVFTSSRLDEISKDPLRINSTLSSLIQATLKLSLWKSFPRLEALHYIPFYQKQASHNESLLKLAKLGFNLLQSLHKKELSQVSNKKKQTYLFLALRLEVLVVDSVFSNCFSLLRKDKNKIKCELVL >KVH94561 pep supercontig:CcrdV1:scaffold_532:40066:41721:1 gene:Ccrd_003371 transcript:KVH94561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MDEEKWVTDEYMPTTDEYWSVALVTSGTDMIVASSFVGMGDIEEQERKHSASCIEIYMKKYDVTEQDAYDYFNKKVEDAWKDINRESLIIKEVPRPLIMRVINMTRTTNYLYKDGENFTHPGEEFIEHVKSLFIHRMDI >KVH94567 pep supercontig:CcrdV1:scaffold_532:68142:105403:1 gene:Ccrd_003374 transcript:KVH94567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKDLSLVTSSLSRAVLGIDRERVERMEARLKEDILRESERYEGDIMVIHETEDGEIFDAWEHVSFDVVQTPLEVFRSLEADGFPIKYARVPITDGKAPKSSDFDTLALNISSASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDYGRPIRILLDDVPCGELDNGTSSGDESGHYAESISSVAKGRARVDSSHAFGINDILLLWKITRFFENGVECREALDAVIDRCSALQNIRQAVLQYRKLFNQQHVEPRERRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGEYKITFKSWLHQRPEVQAMKCYLLHQFDVTVFCFGFQEELRAPQESQHGDAVMEAIVKKRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDGYPVYCMATPTIAGAKEMLAYLGARPTSEGNGPQKVILTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGSVVEHMEARLKEDIISEIRKCGGRMLLHREEYNPASNQASVVGYWENIFVEDVKTPTEVYAALRDDGYDITYRRIPLTREREALASDVDAIQYCMDNSAGSYLFVSHTGFGGVAYAMAIICIRLQSEGKLASHDAPAQAGNRHASSSIAQNFSSEDSYLEAREKGDYRDILSLTRVLVHGPESKSDVDNVIERCAGAGHLRDDILFYSKELEKFEGNDDDHEAYIMDMGIKALRRYFLLIMFRSYLYCTSAIEMKFTTWMVGRPELGHLCNNLRIDK >KVH94549 pep supercontig:CcrdV1:scaffold_532:198284:200327:1 gene:Ccrd_003383 transcript:KVH94549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transport 2 MEAEPFRPSRRTKNLVDDGPTSSDSSSPEQQQEVQDLLHNSHQDSSFNPPLFLFRNNSNSNSKKQRFYRCKTAPAMVVMPQEKSTDPKPAQADSASLLRQAALLLILYLSLGVFIYYFNRDHFSGLETHPLVDALYFCIVTMCTIGYGDIAPVTPSAKLFSCFFVLVGFGFIDIMLSGVVNYVLDLQENMVLKSIRMNHAGLYMVNNKGLEETRFSPIDYIFDFAKGRMRIRLKVGLALGVVLLSIGMGTVVLFFVEGLDWIDSLYLSVLSVTTVGYGDKAFETLPGRLFASIWLLFSTLMVARSFLYLTEARIDKRHRRLANCVLHRQITVKDLLAADINNNGFLWFVFFLLSLFHVQPSSLI >KVH94566 pep supercontig:CcrdV1:scaffold_532:107573:117288:-1 gene:Ccrd_003375 transcript:KVH94566 gene_biotype:protein_coding transcript_biotype:protein_coding description:START-like domain-containing protein MYKKSSSRRPSPTSSEEPAAPVRLTFVASAREIGGRKNGREGGNERKCPYESSGDESTWLLSAGMQIPITLDYCHPVNVSIPDGVYNHNYAASLSSTTVINYPPNFRYKPLPFGATLAISLACAASSSKGDSEESLDDSSGGDLIQVCEPPPTDADGIEVEIEKLSNNRRRIRSKVAVDASLQTLWSILTDYDRLADFIPGLAVSQVLDKRKNFARLLQIGQQNLAFGLKFNAKGIVDCYEKEFESLNYGQRRDIEFKMIEGDFELFEGKWSIEQSTGGGHEQSVGQRYHTTLSYTVDVEPKMWLPVQLVEGRISKEIKMNLFCLGEAAQKASDNIPSG >KVH94565 pep supercontig:CcrdV1:scaffold_532:49511:68284:-1 gene:Ccrd_003372 transcript:KVH94565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MEDLGKEKKGGIHRRRMNEQRFEGLDESVELLRRGSFDISSRRELVITIMSVVVGWAAPNLEVLALIYSGPSGDEAHEIVQLKESDGVWSVNGPRSYVGCYYVYEERIEEMTVYVKTIDSKHPVEIGLEGFYGLQHLTRFNIIQILCLISGN >KVH94556 pep supercontig:CcrdV1:scaffold_532:21218:24325:-1 gene:Ccrd_003369 transcript:KVH94556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein VEEIEHLKDKVRKDLVAALAVPVEHLNLLKLIDVIQRLGIAYYFEDEIEKSLQHIYDTYGDNWNAGRPSLWFRLLRKQGFYVSSNVFSNYKDENGCFKESLTNDVQGMLELYEATYMRVQGEVILDEAFVFTSSRLDEISKDPLRSNSTLSSLIQATLKLPLWKSFPRLEALRYIPFYQKQASHNGSLLRLAKLGFNLLQSLHKKELSQVSKWWKGFDVSNNLPYHRDRTVECYFWALGLYFEPQYSSSRIFLAKMFAMITLIDDTYDAYGIHEELECFTEAIRRWSITCLEMLPDYMKLIYQKLMDMYKEMEETLVKEGRAYHLSYSKEFVIKMVKSYMDEEKWVTDKYMPTIDEHLPVALVTSGTDMLIASSFVGMGDLVTPDSFKWILTKPLLFHYSNIIGRLVDDIASHK >KVH94554 pep supercontig:CcrdV1:scaffold_532:204670:206049:1 gene:Ccrd_003385 transcript:KVH94554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MGKSTAFKETFSFEERCQESQDIVARYPDRLPVVVERYTKTDLPEMEKKKYLVPRDMSIGQFIHILSGRLHLSPGKALFIFVDNTLPQTSSLIESVYESFKDEDGFLYMCYSSEKTFGNNLY >KVI04745 pep supercontig:CcrdV1:scaffold_5321:17429:19397:1 gene:Ccrd_016934 transcript:KVI04745 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 NLLGVAFKHEACHFKAINTPNPSSKLFTTLYIIIKVLLMDITSFVRENDLSAPFFLVSTIFFLLLIVKLAKPSSSKNLPPGPPRLPIIGNLHQVGDRPHVSTAKFAKEYGPLISLRLGKQILVVASSPQAAIEILKTKDRFLSSRVVPSAFQQPSVIPHSLIWSDCNQTWKSLRTLSRTELFSAKALESHSRLREQKLGHLLDFLHRKQGQVINMEDVVFITLFNTLXSIIFSRDFLDLEDDSXTRDGLNESIHKIIEYGGIIKDVGSFFPIFERFDLQGIRKGTMREYKKTFAYWEDIIEERRAHVNSSTWSSEXAQSFLDRMLENGYSNDQINQLVTELLVAGTNTTTSSIVWAMTEFVRHKEVMSKIAEEIEREINSEKITDSQLSQLPYLQASIKEAMRLHPPVPLLLPHKAAETCEVMNYTIPKNSKIFVNLWAMGRDPKIWDDPLSFNPERFIGSKLDLKGQDFELLPFGSGRRMCPGMPSGIKSVQLVLASLIREFDLILPNDADPMKLDMSEKFGIAMKMENPLKVIFKTKQEYQ >KVI04744 pep supercontig:CcrdV1:scaffold_5321:34396:34929:-1 gene:Ccrd_016935 transcript:KVI04744 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSKIAEEIKREINSEKITDSQLSKLPYLQASIKEAMRLHPPVPLLLPHMAAETCEVMNYTILKNSKIFVNLWAMGRDPKIWDDPLSFNPERFIGSKLDFKGQDFELLPFGSGRRMCPGMPSGIKSVQLILASLIREFDLILPNDADPKKLGMSEKFGIAMKMENPLKVIFKTKQGYE >KVI01658 pep supercontig:CcrdV1:scaffold_5324:10728:24055:-1 gene:Ccrd_020064 transcript:KVI01658 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b245, heavy chain MSTECSTHEPLLSSGKQEDDGFSYVKDKKAQFLVKLTRWFLKFAMCSIFIAWVSFLFLLPSESVTEWSDNYVDATSGSVKKKPKHAAFRLWTFPVIVDGPFGVVTAAELIGILLVVVYIIWAVSVYAIQNYNLIPYFESQGKRNAMLKHTGLRFGSIGLICIVFLFLPVARGSILLRLTNIPFEHATRYHVWLGHLTMTLFTLHGSCYFYSWILEDRVVHQLINWKNNSIANLPGVISLSAGLLMWVTSLPPVRRLNFEIFYYTHHLYIVFIVFMAMHVGDIIFSYNALSWVFLQVRELSWLQWHPFSVSSSPLDGKHHLAILIKVLGNWTQKLRGHILSITDQDGLVQPNLKLKASVEGPYGHESPYHLLYENLILVAGGIGISPFLAILSDILHRVRDRKPCMPRNVVIIWAVKRSQELPLLHSLDMESLCPTFNAKLNLEIQTYVTQESEPPLEEGEVQKYASSTVFSSPSQCGMSRLVGTGNTIWSGTYVMVSTIGLVVSLNLVNVFYINPYDISYQWYKGLLLLICMVASVIVFGGLVIGLWSFWDRISLAKENFWDEKKTDGMLHNESTTHKNLSEGSFAKTIKHGQRPDFTEIFGTMAERWGNVDIGVIVCGPTSLERSVAKECRSKNIGRKSNAPIFHFNRHSFDL >KVH16671 pep supercontig:CcrdV1:scaffold_5326:19944:21069:1 gene:Ccrd_025917 transcript:KVH16671 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein RecA NVNLSVPSGSYGIQLLGKKKSKSDGSDSGEENMSKKDLXLKQAIDQINTSHGKGSIMFLGECASPRKVLVVSTGSFALDITLGVGGFPKGRVIEIYDLEASGETTLALHVIAEAQKQG >KVH16672 pep supercontig:CcrdV1:scaffold_5326:27364:30116:1 gene:Ccrd_025918 transcript:KVH16672 gene_biotype:protein_coding transcript_biotype:protein_coding description:DBINO domain-containing protein MDPNRQLRNPYTFSNLFNLESLMNFQLPQPEDEFDYYANSSHDESTGGQADRCNGILLEKRKRRNVYSCDEDQNGGYSTYISEERYRAMLGEHVHKYKKRHNNNLTSAPSIGNRMSDMKSSLGLKDHKGAHKIETTSNYRIPPTYEMLAASLNLPRLSEIRVEFYLKGTLDLGSLASMMVVDKRFCSRSGAGMGEPKFQYESLQARLKAQSSNNSPQKFNLKVSDIALDSYSILEEAAGGIRRSIMLDGGTLQVFYVKVLEKGDTLPKKQKVNDDPSMIEKEQMNKIGKYWVNMVRKDIPKHHRVFIIFHRKQLTDAKRFAKLSTGGKDEGKQIT >KVI07812 pep supercontig:CcrdV1:scaffold_533:25460:29502:-1 gene:Ccrd_013821 transcript:KVI07812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLSWTYCTCCHLFKSISSSHFKFEALRYMIKSQTIFLYNPCDPQGLYNAFCNHCTSQAQRSSGSLVESVIRSISLCSSISICQLIHSTVVKCLNYNHGFIGDRLVSLYTRLGCIKDAHNLFDEIPHKDLVSWNSIISSFSQKGDVGLSLNAFYRLRQEDGMNPNEITLISLISACGTLEGGYIHGFAVKNGLISQTKVLNSLINMYGKFGYLNEASGLFETIKLPNLVSWNSIIKIHIQSGLSEKSILYFNLMRRAGIYPDQATIVTILQSCLLDVYDRARGVEIGNKDVKLEYLEEAFTTSNWIVRIYKESDFFCFGEESRKKSADSTFVSSLRY >KVI07814 pep supercontig:CcrdV1:scaffold_533:90582:97699:1 gene:Ccrd_013827 transcript:KVI07814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSWEEALDVDDSDLRSLTLLRPCKQQRRRDETNPPTTTDLPLSQTLDFSHSPSQTDNSLPRNFNSVCQYEAPPPSRVIPGPAGIVQAAKLLKTRDNGRFLGQEEPMATQGYIRRVVEDPEEDADFKCSPWLSAMEFFGGDGMFNSVPSAHLGDIKRYLKNGKLDQVVAVVKSCAPNALGDLMVVLKDPTGTVSGTIHHKVLTEGEFGKGSFVGSVLVLHKVSVFSPSRSANYLNITKRNLVKVFYKDGGPSQTSHGCRTIDAAPSSDSVRRTTMLHGAFSQEPRAEGIANGTKTNAKSKPSLHIGDDQENTNPIVSTGHHMIATDNEILKETDVIGSGENEHRAFDNCKQPTEGGGHKCGSNFVNDGNKNGVKGRDAVRVHVEPAKANGSLPVWTDEQLNELFDVDFQDDMSF >KVI07815 pep supercontig:CcrdV1:scaffold_533:65857:68899:-1 gene:Ccrd_013824 transcript:KVI07815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MIISRKDIYNEWLLIFAIHFDSFRACPSEFVIPLARFRKSVYGTQLSVGMSDVDPLRWPGSKWHNLQVEWDEPGCGDKQSRVSPWDIEAPESLFIFPALTSSLKRPFNSAFLGAQTEWDNMVSRPFMRAPETVNGNFSNPSMSSLWSEQLIKMLMKPQNGNNAASISPVHDTFAANRTPLQSENRPQFHLIQPNTTTNTANVTSISPPQSSTNLLGNQQPQQKPTNQTPVSICSETMKSESMQVTSSKPINSPQNLVHELPFLNQLSPFDSSILHAQQFDSPQIDSSSLNGLFPYPDTNVLNPYPSLGQETWDSHVNNNSKCFFQPNTGPSNVYGFKDLSDESHHNSQSVNAYNCLNFEGSNGGSTVVDPSVSSTVLDEFCNLKDIEFQNPSNYLVSNNFSSSQDVQSQITSASLVDSQAYSVQELPDNSGGASSSNGEFDDSGLLTNNSWQQVAAPPRVRTYTKIQKAGSVGRSIDVSSFKNYDELCSEIEKMFGLEGLLNDSRGSGWKLVEFVGCVRCIRILSPSEVQQMGEEGMQLLNSNAALQAGINGGPLSDNGARTWVGPT >KVI07816 pep supercontig:CcrdV1:scaffold_533:68909:69540:-1 gene:Ccrd_013825 transcript:KVI07816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSYISGDEKSQLLLGVRRANRQQTSLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPR >KVI07809 pep supercontig:CcrdV1:scaffold_533:32330:36219:-1 gene:Ccrd_013822 transcript:KVI07809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccharyl transferase, STT3 subunit MVGKVDALKGGLKSSQSPASNQATSKPDLASMSTTFSFKSLKLKTKQQELLIRLTILGLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAAIIYWALKFLRFAVHIREVCVLTAPFFASNTTIVAYFFGKELWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRHSMRLYVAYNCMYIVGMLLAMQIRFVGFQHMFQALLRIGVTCAVGVGAIALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIIMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLTHVVRGKTTMSSKGTSSTKGLSKQQASLDQSIPFLKNGATVLLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGQRXIFDDYREAYFWLRQNTPSDAKVMYDRARGVEIGNKDVKLEYLEEAFTTSNWIVRIYKVKPPSNRW >KVI07813 pep supercontig:CcrdV1:scaffold_533:69623:71125:-1 gene:Ccrd_013826 transcript:KVI07813 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding pseudobarrel domain-containing protein ILVNRCEYLLKLAVIKKPINSELWHACAGPLVSLPQVGSLVYYFPQGHSEQVAVSTNRTATSQVPNYPNLPSQLLCQVLNATLHADKETDEIYAQMSLRPVNSVGPAEKDVLPIPDFGMKPSRHPTEFFCKTLTPSDTSTHGGFSVPRRAAEKLFPQLVPFPFLTAKTLKLSFELKFH >KVI07810 pep supercontig:CcrdV1:scaffold_533:49599:50747:-1 gene:Ccrd_013823 transcript:KVI07810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MAMRPRGGRGRPNREPQLIVGRVYEPFKPMSEWRQGDDYDTVLVYLPGFQQEYLKVTTEDLNIVRVRGERLVAENKWSRFQEDFRVPRNCEMSGVRASFNGGILNITMPRKIITAPPPPPPTTTTRVPHKSKEPPFRREKQEETLVQKPKEDSKERATSSNAALQKPLDEGSTSTLPPQPQPTPTKQEPAKTAGPEADGESTFGSKNDGTVEKGKTKEAVVGSQGGKVEETAVVKGGDGWRGSNEEDRKMVVNMGVGVLVIVALGIHVSYTIGLIGKGK >KVI07811 pep supercontig:CcrdV1:scaffold_533:7223:22660:1 gene:Ccrd_013820 transcript:KVI07811 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MDPNTDLPPMTSDHVADASPPPSTHAHPPYAEMITAAITALKDKDGSSRQAISKYIEKEYTNLPPTHSVLLTHHLKRLKNQGELMMDFQTQTHLAPTGQDLHLHYGSGSDFQGNSGGGAEPLFASLGLGDDGVVVPSPPPTENTSVVAKRGRGRPPKVAGSCGFDVAGPGGDIAGSGGEIQARKTGSGRPVRKPKMMSVLMGTNGGRVKKGRGRPKRIDIGPVTVPLSGNVLRPRGRPKRAVGRPSLRPAQNVAVNGGISESGLPSRRSGRPSLSGGANNKLTGKPVGRPSKIGSGTAVLVTDPRQLQSKVKQVASVVKPCIDPNYGNAALGALQELETLAGADANAPSHIQT >KVH16502 pep supercontig:CcrdV1:scaffold_5331:23354:29086:-1 gene:Ccrd_025920 transcript:KVH16502 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MMPSQEDDAKHMSVGGLAREDSEHVRLVISNERGTAEADTFQPRTERSNESLKWWIKTVTLSIIAIIVTIVFLKWGVPFIFEKSFLLLIVAAIAAPPLVTALSAAAAGLVGKWVNSLWNKYEKESGDKRDMIGTKQIGIWTTSKIEGMLQNADFSIMEEDQEVLLPMLQWEATAFGRPVLALILVASLAFFPVLLIPSGPSMWLAGMIFGYGLGFQWLTKWPQTAAMIRLAGEGNGFQQFRVVALFRISPFPYTIFNYAIVVTSMRFWPYLCGSIAGMIPEAFIYIYSGRLIRTFADVQYRNHRLTPLEIIYNGISFIIAVAMTIGFTVYAKKALKDLENEENKGTEVLVSENGNIQLEKLPFEREKHYGFQS >KVH16503 pep supercontig:CcrdV1:scaffold_5331:8074:16752:1 gene:Ccrd_025919 transcript:KVH16503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAESEAGGGSRSLEYTPTWIVAVICSIIVLISLLAERGLHLLGKWLKRSHQDALYEALQKLQEELMLLGFISLLLTVSQRTISKICIPSHLANYMLPCKRKEEKETTHHLIFNFGRHLLSEEDPGTNHCTAKGKVQLVSLEGLHQLHIFVFVLAVVHVIFCATTMVLGVAKIREWEHWEHSINRLNGPAKNGHGHNYRQFFAQRTIGHYRKLPVVRWILLLLVGAHLEHIITRLARDVAEKSGDAVKPTDDHFWCNSPKIIIYLIHFILFQNSFEIGFFFWVWTTYGFDSCVMEKVGYIVTKLVLGAIVQVLCSYSTLPLYALVSQMGSMFKRSIFNQLQLDLISDWVGERGRPDSSLAGSSHPHRLENQPRLNDETITIDQGTTTSTIELSSPVLIPEQV >KVH16190 pep supercontig:CcrdV1:scaffold_5334:23909:24946:1 gene:Ccrd_025921 transcript:KVH16190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MFCRIEPWEVDQRKKGSDSLTLGDRLGLEDLYSLNVWRASVGELLGTAVLVFMLDTIVISSVETQTKTPNVLMSILIAITITILLLAVCPISGGHMNPVISFSAALVGLISLSRAFIYILAQCVGALLGALALQAVVSTNIEQTFALGGCTIGPIGPMGMETSQALWLEIICTFIFLFASIWMAYDHRQAKALGGHVIVFSVIGIVLGLLVFISTSLTGKKGYSGAGMNPARCLGPAMIRGGHLWDGHWVFWAGPTIACMAFYVYTKIIPTNHFHANGYRHDFFNVLRALI >KVH16096 pep supercontig:CcrdV1:scaffold_5337:7577:12810:-1 gene:Ccrd_025922 transcript:KVH16096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MEPLHVTLLFLLSLTLVNSLSPNCDSPSQGSTLRVYHVSSPCSPFRPKTTLSWEESVLQMQADDKTRLVYLTSLVAGRSFVPIGSGRQIIQSPTYIVKANIGTPAQSLLMALDTSTDMALVPCAGCVGCSSVTYDSAKSTSFSSLACGAEQCKQVRTVFTRLVDGAYTAVRDEFRRRMGKTAVVSSLGGFDTCYTIPIGKQVPTMTFMFPGLNMSLPQDNFLIHSSSGATTCLAMSSSPALNVIANMQQQNHRIVFDIPNSRLGISREICS >KVH99429 pep supercontig:CcrdV1:scaffold_534:87661:96427:-1 gene:Ccrd_022337 transcript:KVH99429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MESVSWSSSHIYFNPISLRFQLSPSILSFPHCYTTSRQQRSSALKTTTSGYSAADLLKKPTVEVKDHVGVFEDKEGKTRGEKNWVDWEEQILEDTLPLVGFVRMILHSGKYENGERLSSEHENIIIPRLLAHHPEIEKKIGCGINYIMVGHHPDFESSRCLFVVRTNGDMVDFSYWKCIKGFIRKKYPLYADNFIVQHFRRHRLKD >KVH99430 pep supercontig:CcrdV1:scaffold_534:134851:139105:1 gene:Ccrd_022338 transcript:KVH99430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRNSSSAHKPDLTNLNSTPIVFFFPLIDLSSISHFDMEYSLSPPFTEAQRCLVASEMTADDVGLNDFVEQEESSIMESPKGESSEWTNEKHSLYLKSMEASFVDQLYNSFNMRSSQTQNQCSSDSLSSRRNHANTRYPSGQRENMVLKEADVSLGNQWIQHFTNGSRHEAAQTLPPQEKPSSTAKSQQFPVSDSQLCCQHTSGSDTEVIDQNFVEDSAVEKVNTPCSKKRKSSTVSESGNGQAASFWHFSSHRNR >KVH99428 pep supercontig:CcrdV1:scaffold_534:72767:76820:1 gene:Ccrd_022336 transcript:KVH99428 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein, SBP1, pollen RGPSSKYTITIFLLHPPQPPFSLLPSLFSFRSLSSQPAMAMPEHHQFHNYLQQQQQQQQSKPFRDIFNNMEGQISAPINYINADQAHHPPYIPQFHVVGFAPGTDVSDGGFDLQRNYDLDSRKKRPREQEFLENNNTNSQISSIDFLQPRSVSTGLGLSLDNGRLASSGESSFVAGLMGDEIDRELRRQDAEIDRFMKIQADRLRQAVLEKVQANQLQIISCVEDKFMQKLREKEAQVENINKKNMELELQVEQLAMEAGTWQQRAKYNENMINTLKFNLQQVYAQGRDSKEGCGDSEVDDTASCCNGRAIDFHLLCKGKNGMRELMTCKVCRINQASMLLLPCKHLCLCKECESKVSLCPLCQCSKYIGMEVYM >KVH15483 pep supercontig:CcrdV1:scaffold_5340:10092:17007:-1 gene:Ccrd_025924 transcript:KVH15483 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5, heme-binding site-containing protein MAASVENRQFRHEPGLSAAGVVRSFSPNHQRSDSPIRGYNYPAATRDFSTRKSFPADQYDSDEDDEDDEVDYRDAIKKANSELESSVFDARDLGTADQWIERNPSMVRLTGKHPFNSEPPLTKLMQYGFITPSPIHYVRNHGLVPNSTWEDWTVEICGLVKRPARFTMTQLVNEFPSRELPVTLVCAGNRRKEQNLTKQTIGFNWGAAGIATSVWKGVPLVHILKRCGIYSRKKGALNVCFEGAEDLPGGGGSKYGTSLRIEMAMDPARDIIMAYMQNGEKLLPDHGFPVRMIIPGFIGGRMVKWLKRWWYKPQYIINELNINSVITTPCHEEILPINSWTTQRPYTLRGYAYSGGGKKVTRVEVTLDGGETWNVCNLDVREKPNKYGKYWCWCFWSLEVEVLDLLGAKEIATNMCKPHKGEIGIVFEHPTQPGNQSGGWMAREKHLEISSELAHPTLKKSVSSPFMNTASLTYTMSEVKKHNSADSAWIVVHGHIYDCTNFLKDHPGGSDSILINAGTDCTEEFDAIHSDKAKKLLEEFRIGELITMGYNSDSAASSPNNSVHGANNYISSHLATIKEIMPTRNIALIPREKTPCKLISKTAVSHDVRLFRFALPSQDQVLGLPVGKHIFLCATIDDKLCMRAYTPTSTIDEVGYFELLVKIYFKGVEPKFPNGGLMSQYLESLPLGSLLDIKGPLGHIEYMGKGTFSVHGKQKFATKLAMFAGGTGITPIYQVMQSILRDPEDDTKMYVVYANRTEDDILLREELDAWAEKYQERVKVWYVVAKSVREGWKYSEGFITEDIMREHIPEVSEDTLALACGPPPMIQFAINPNLEKMGYDIKNSLLVF >KVH15484 pep supercontig:CcrdV1:scaffold_5340:1811:11189:1 gene:Ccrd_025923 transcript:KVH15484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSRATGARSSGGGGGGVTLRSLVREGPASSLCGMLKVLRIGIVPPANMANLVANFCFPWTENVPFPMYSMCPNGPLMSSKEPSGNDSRYCDIRPPFGNLGSTPLNRIRVVAHGYELTDSKLFQELLGLIGMDCIELFSTVGSSIDENAVTTTGMVFEEVGAVVDVTMDDNPSRVG >KVI00506 pep supercontig:CcrdV1:scaffold_5343:6970:15380:1 gene:Ccrd_021244 transcript:KVI00506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQENVREDRLSSLPDELIRSDSKSAVQTCWLSSRWKLLWTSVPCLDFASDHSSSLPNVLSNRNHQIDMASLRAACPSFVPKIVEYAFAHNVQELNVLIDPNKHHEFPPCLFSSQSLKHFTLTTSFLALCLIPKTPWDFPALTTLHLQEVTFCSDNTDKSNVREDRLRSFPDELIRSDSKSAVQTCWLSSRWKLLWTSMPCLDFASDRFSSLPNVLSNRNHQIDVASLRAACPSFVRKIVDYAFAHNVQELNVLIDPNKHHELPPCLFSSQSLKHFTLTTFFLALCLVTKTPWDFPALTTLHLQEVTFCSDNTDKAAEDYARNEDVYTPRDSYYFS >KVH15482 pep supercontig:CcrdV1:scaffold_5344:8835:29161:1 gene:Ccrd_025925 transcript:KVH15482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEKENEDLIYTKGSLLLFWFVSFVVWRLFQPLMLGVHVGDPRRLESERPSEGDGNMRTEQVRSMAADTRGKHRISAALKRLEQETHSIQQSKATNLTRLVLSSQISNNSPHLYVGFSMTLKQGRIPCSPQQMVQQLRYGIDGSKGHKISLIVDAGYCDHGLCHFIISCIETNVVLTKYYTRRTSVKKRQIHQLFSSFLELTGDAFW >KVH15480 pep supercontig:CcrdV1:scaffold_5345:12924:18682:1 gene:Ccrd_025926 transcript:KVH15480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPESLALGRNSTTKNNSMHENTLILITMIKSTVSSISYQFTNLSMVRQWNYAIREAVNQDQCQKALFLFSQMMQKSLQPNHLTFPIIAKASGKLNNVRYSKIIHAQIVKSSFSDDIYVQTAMMDMYIKCDCMNIAYEVFSRMSQRDIASWNSLLFGFAQLGVVDEVLSLFKQMRVERIRPDSITLIGLSQSSTSREGVPILKAIHSFGIRIGVAIDVSVSSTWISSYAKVRDLVSAEKVFHGIEPCFVTVVSWNSMIAGYAYFEKSFKAVSFYKKMLYDEFKPDISTNLNLLSSICRPEDIFYGKLIHCHGIKMGCDLDTCVLNTLISMYSKCDDLGSARHVFDNMVYKTCVSWTAMISGYAEKGDLDGALALFHSMDATGVKPDLVTVLSLIAGCGETGALDIGRWVEDYARLNGLRSNMMVLNALIDMYAKCGSIEEACEIFYMMSERTVVSWTSMIAGCALNGEFGEALTHFFHMLELGVKPNHITFLIVLQACNHGGFIEKGWEIYDLMRKVHKLDPELEHYSSMADLFGRGGKLNEAVDFIQNMPMKPDVGIWSSLLSACKIHRNVAIGELAACRLFEMEPRAAAPYVEMANIYASTSCWDGVTAVRRLMKQNQVTKHPGQSTIRVNGKTHKFTVEDRCHHKGPIIYEVLDGLALESKYELDVSNLQDVLP >KVH15481 pep supercontig:CcrdV1:scaffold_5345:29512:38412:1 gene:Ccrd_025927 transcript:KVH15481 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type MQPCATKLTISLKGDRHGVASCNVQSRQDSIKEEPIDINGFSAPPKIDRKRKDHNDIRGSTFHVDLNNTNENLIDLSSSDCNTEDENIPPCDDSQKQLVLYDPCVNGGGAIEAVPDPISYKPPSYPRHHPQRVLPSVGAFTVQCANCFKWRLIPSQEKYEEIREHITDKPFVCETAHEWRPDISCDDPPDIEQDGSRLWAIDKPNIAQPPPGWQRDLRIRGEGSTKFADVYYTAPTGTKLRSIPDVQKYLFKHPEYVEQGVTLAQFSFQIPKPLQENYVRKRPARVANGSACLRVQWAVADHNQGLKTSKLEGSLLEFLFQFVPIAGQRITTFSKVTQALGLPTMEFLGLHIKLGDGLKIWFWWALIGLEPWVMLSITGICNVKFSYLANDVRLTGVSSSKGGENFFARSLVKANEVEFTTNSLNGRLLLLNSGSSSYHMLIGYLRMEVKKTSTYDAKPMALPGPEENTDLQLGLPGFSARYTFDPVNPTVKRARSAPGKRRENFDLMLKQPKM >KVH15479 pep supercontig:CcrdV1:scaffold_5346:25612:29495:-1 gene:Ccrd_025928 transcript:KVH15479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WYHSLKWRNHKLLFRISQEQEPELQRLVKACQKTKRHVTFFTTLARGRKLILDIATVIIFNFIMPNGFIVRVDIPFSTATIHHILRRWKLLDFVVLKWSSVSFLEVEKPETVVSRWSRATMHQENESAI >KVI00692 pep supercontig:CcrdV1:scaffold_535:80120:83679:1 gene:Ccrd_021057 transcript:KVI00692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGPEQNKSASSSTTSAIDKKFNKMVMSPLTVETEDSFSSLLEYAANNDSEGFKRSIENDLSAIDEVGLWYVRKKGSKQIVLEHRTPLMVASTYGSVSVLKLIIAQPKVDVNFSCGPDKCTALHCAASGGSVDAIEVVKLLLSVGADPNIEDANGHRPIDVIIVPPKLSGVRASLEELLMNNAFDGSVGDCKLTVSVTTSSSWSPTLSSSPDNGSPCSPSELVSSPMMSKFNDIPMNSTSEKKEYPIDPSLPDIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTPEELRPLYVSTGSAVPSPRSSAAGASVMDMAAALNLLPGSPSSVSVMSPAFNQQMSPSGNGGPHSSVAWPQPNVPTLHLPGSNLQSSRLRSSLSARDIPPDDLSMLQDFESQQLLNDLACFSQSRPGSLSLNRPTRSKTLAPANLEDLFSAELTSSPRYSDQAASSGVFSPSHKSAVLNQFQQQQSVLSPINTNVFSPKNVDHALLQASFGVSSPGRMSPRSMDPISPMGARLSAFAQREKQHQQQLRSLSSRDLGTNSPFNVGSPVNTTTSSSWSKWGSPTGKVDWSVNVDDFGKLKRSSSFELNNNGAEEPDLSWVQSLVKESPPEMMKNKSAAPAPSGSAAAPSGEVESSDHSVLGAWLEQMQLDQLVA >KVI00694 pep supercontig:CcrdV1:scaffold_535:218504:222962:-1 gene:Ccrd_021060 transcript:KVI00694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calycin MDGNQHSNTAGTWVMIMDKNFITKENKIAYVKLERIVLDQLDHPRIGQLFFTFQDTTSLCEQYSIIQVQRGFIEGTAYKADPKSDEAKLKPKNGTNTRATYTLNSDGTVHRGFIEGTAYKADPKSDEAKLKVIIHVTGDYWVLYLDDDYQYALIGQPSRNSLLILSR >KVI00693 pep supercontig:CcrdV1:scaffold_535:1716:2275:-1 gene:Ccrd_021055 transcript:KVI00693 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MVYCYVVNERGELEVQEKKWKVINENGKRGDLNPRIPIRDIHPSHYGRIFPIDTSEEINVRFIRSLAINVRIGPVDSFHNLK >KVI00691 pep supercontig:CcrdV1:scaffold_535:15704:29046:-1 gene:Ccrd_021056 transcript:KVI00691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSIRSAVFDKEEKKQQYQAHILGLNAYDRHKKFVKDYVNFYGGERQKQEKLPIKTDQDTLREGYRFIRSEEDDMDSSWEQRLVKRYYDKLFKEYPLEVGFMFGYCIADMSHYKTGKIGLRWRTEKEVVSGKGQFVCGNKHCSEEEGLASYEVNFAYFEAGENKQALVKLVTCEREREETDEDTSKAYERAKGRKSSSTSMGDGKADEEDNFDEFLQGMFP >KVI00689 pep supercontig:CcrdV1:scaffold_535:99792:113650:-1 gene:Ccrd_021058 transcript:KVI00689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAADPIASLIDIVFIGLVEIAAVGVSIALFNQVSKVAIFPLVSITTSFVAEEETIERMNNKAIEVENTKNDYVNKERKQETTLDDEQTESSIGDGSKTMMCKHTTTIDRVPNTQKLRKAKRNIPSATTALLFGLVIGVLKTLMFVFLVKPLLSLMGLKSGSRMLKPALKYLTLRSLGAPEVLLSLAMQGVFRGFKDTQTPLYATGLLLLFKVIAATIPVTLSASLAARLGAMPMAAFQICLQFVAGTQPINSIAFVFDGVNFGGSDFAYSAYSMILVSIVTTGSLFGLYKAIGFIGIWLTLTIFMGLRAIVGVWR >KVI00690 pep supercontig:CcrdV1:scaffold_535:136710:146879:-1 gene:Ccrd_021059 transcript:KVI00690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MAEGGVVLQTQRERNLPIFVFFKDLRLVLKMDALGKEILFIAVPAAMALAADPLASLIDTAFIGRIGPVEIAAVGVSIAIFNQVSKVAIFPLVSITTSFVAEEESLERMNSEAMKLQNTEKASTNKQETRELTQDDVNLENLENGSTEEDQIKGLAPENGFKTSPCKHMTSTDVSNKSKLKKLKRNIPSASTALLLGAILGLLETLLLVLLAEPFLSLMGVKSGSPMLLPAHKYLTLRALGAPAVLLSLAMQGVFRGFKDTRTPLYATGDVANIILDPILIFVCNLGVSGAAIAHVLNINNSVSQIDATSGPTTSKRQSFAVQPVIAATICVTLAASLAARLGATPMAAFQICLQVWLTSSLLADGLAVAGQAIIASSFAEKNYEKATATAARFVAGTQPINSIAFVFDGVNFGASDFAYSAYSMILVAIGSIGSLFVLFQAGGFLGIWVALSIFMGLRAIAGIWRMGTGTGPWSFLRK >KVH96948 pep supercontig:CcrdV1:scaffold_5351:20176:29009:-1 gene:Ccrd_000959 transcript:KVH96948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin-like carbohydrate-binding domain-containing protein MNAAPYALRISCGARKDVHTPPTNTFWYRDFGYSGGIPSANATRPSYITPPLSTLRYFPLSTGPENCYHIERVPHGHYSVRVFLGLIHDPTFDNEPLFDVSVEGTVVYSLSSGWSNHDDEQAFVEALVFLEDGTASICFHSTGHGDPAVLSIEVLQVGNNAYNYGSGWGRGTILRTHKRLSCGAKSPKFDVDYSGNHWGGDRFWNSITTFGQKSDRALATKNSIKLSSNVPNYYPEALYQTALVSTDNQPDLTYTMDVDPNRNYSIWLHFAEIDPSVTGEGQRVFDILINGDTEYQGIDIVKMSGDINSALVLNTTVAVSGRSLTISMHPVKGSHAIISAIEIFEIVRAESKTLIDEVRALQKLKNALGLPLRFGWNGDPCVPQQHPWSGVDCQFDSAKSKWVIDGLGLDNQGLRGFLPADISKLSHLQNMNLSENSIKGHIPSSLGTIASLEVLDLSYNFFNGSIPESLGGLTSVRILNLNSNSLTGRVPAALGGRLLHRASFNFTDNKGLCGIPGLPTCGPHLTAGAKIGIALGSCAVLLLIATCLTCWWKRRQNILRAQKIAARGAPYAKARTHFARDVQLTRHNSGQEHARTAAENGPILLS >KVH93740 pep supercontig:CcrdV1:scaffold_5354:17385:22141:1 gene:Ccrd_004206 transcript:KVH93740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MGTKLLHPQIPSHVLPSSIPTLPKMIRSQTQKSPSTNHPIQSNFTVHRSNFAIKVTESSSSLVGXQEEEEGIAPDESPLDYSTIARVKTDINKATQGINRGIFGIPSEKKSEIEDMVKVLESLNPNPHPTVDLDKRVAISYDTSTIVPDQLMNMFRKNYDILLRIFNPDGWLEITYVDETLRIGRDDKGNIFILERSKETNT >KVH15478 pep supercontig:CcrdV1:scaffold_5358:19358:32532:-1 gene:Ccrd_025929 transcript:KVH15478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MAQKQGGGGGFFSSLASSLSNFKNQVNGLLGYEGLEVINPEGGTEDAEVEAQRGRWKQEDRDGHWKMMQKYIGADITSMVTLPVLIFEPMTMLQKMAELMEYSHLLELADECEDPYMRLVYAASWFISLYYALQRTWKPFNPILGETYEMVNHQGITFIAEQVSHHPPMSAAHAENEHFVYDITSKVKTKFLGNSVDVYPLGRTHLKLKKDGVILELVPPPTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNADEEPKILMTGKWNTSMSYQPCDLDGEPLPGTDLKEVWRVAEAPANDKFQYTHFAHKVNSFDTAPPKLLASDSRLRSDRYALEKGDLAKAGSEKSMTREVKGHKFTPKWFDMTEEVALTPWGDLEIYEYNGKYTQHRDAIGNSDTNEEADVMKTEFNPWQYGNVAEME >KVH97025 pep supercontig:CcrdV1:scaffold_536:154526:161985:1 gene:Ccrd_000881 transcript:KVH97025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIVPISSTYLIMGPFSTTTTSSPTMRVVRKTLAKSWVLWFVQYVKSLSPSSSLYSRSSQEDNLMVIMRMRVESRSTSVPPMMVEEDDPAMVERKEKWKKEMDYVIGGYRSKKEG >KVH15475 pep supercontig:CcrdV1:scaffold_5361:6195:26939:-1 gene:Ccrd_025930 transcript:KVH15475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTPYLGRQIIIKWWPKFNFFENSVSQTKGLIDLEPPKPPKPDLSDLLKEFTSKVPKVDLSKIIALLVAQQEEYESSQMLEDDDKDNLMIDSQEPYEDDFVLQHVIPHGGHNVAHFKDQDTGLWWEFDDEIVSDMRLRIVLVVNDLLNLTGQNLRIKEDS >KVH96889 pep supercontig:CcrdV1:scaffold_5363:4072:9980:-1 gene:Ccrd_001019 transcript:KVH96889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLMLDTAIRDWVLIPLSIVMVLIGVLRYFVSKLMRTSQLPDSKIIKEGQLIIRARNLRAAANFIPPKAFRARKVYYTNEENGLLYVPKGQGQNPQAQMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSGRSWYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGFDPSKSLGAEKDGLDIVQHDWVLPKFEQRAEAVLRKRLS >KVH99359 pep supercontig:CcrdV1:scaffold_5366:34292:34732:-1 gene:Ccrd_022410 transcript:KVH99359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF688 MSSIDIRRHSRRSKSVSYSEPISDDSSIPSTPLRYLGIPFSWEQFPGIPKKNTYKKLHESSQNLLPLPPSTNKSPXMKKNKSSQNFRKDPFFAAFVECSKDSDDTXNDKELGDRSGFVVNMYSSCKRTCSVSESIVYRRRSXTDYL >KVH14928 pep supercontig:CcrdV1:scaffold_5369:25403:30257:-1 gene:Ccrd_025933 transcript:KVH14928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGPVCHQPLHLVKSSPSSSSLKLQTTNLFPVLSPQLKIQVCGICALCKIMAGGNFVHRVVSYLVNEVLVESLANSRTFQRFAVKTSKSVEEISSMAAKKRQEIAEQVKEMSRNFEVQSFEESLMRTMEVFDHGSTIFFIRLVVTNTLRLL >KVH14929 pep supercontig:CcrdV1:scaffold_5369:20:889:-1 gene:Ccrd_025932 transcript:KVH14929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclase/protein prenyltransferase alpha-alpha toroid MWRLKIANGVNNPYLYSTINFVGRQTWEFDPNYGTPZERNEVEKARLRFWDHRHEVKPSSDVLWRMQFLRENQFKQTITQVKIEDGEDICYEKVTTTLRRSVHLFAALQAEDGHWPAENAGPMYFIQPLVICLYITGHLNSVFPQEHKKEILRYLYCHQVLISIIMHSHPRHKLTYN >KVI08165 pep supercontig:CcrdV1:scaffold_537:183632:188393:1 gene:Ccrd_013464 transcript:KVI08165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIRFWCVAKKPGYPSAFHNLDYGSPNPHKTFNLSSKNSPIFYLLFCSCRSYSFSSPPDSPFSGGIDPISVASTVSSPSNQHQHDDDASAIDAGSSLRKPVSLWPGMYHSPVTNALWQARSTIFGTTSTSGVDSITSKTPSFSRTAILYPFSTDYILREQYRNPWNGIRVGKLLEDLDALAGTISFKHCFNHAGMANSLLLVTASVDKMVLKKPILVDTDLKIVGAVTWVGRSSMEIQLEVIHEMVNVHKSAETSDPLDSQALVANFTFVGRDSKTGKSATINQIMPETEKEKLLWQEAEERNASRKKRRMQLKNFDYEREAERINALLAEGRVFIDMPALADRDSILMKDTCLQNALVCQPQQRNTHGRIFGGFLMRRAFELAFATTYAFAGSAPSFLEVDHVDFLKPSCVLYTELENPEEPLINVEVVAHVTRPELRSAEISNKFYFTFTTCSNMTTNGLKIRSVVPATEEEARRVIERMDCENS >KVI08167 pep supercontig:CcrdV1:scaffold_537:204353:216132:-1 gene:Ccrd_013466 transcript:KVI08167 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF-like protein CFGSELGSKKRKKQQKDPANRRLTFSPILVAVNPLPFLHNYNSRHETGNQNPDEEQQDEMESWNSEIVGVLRTVNSIFHSFSDMEKREGNGSFFWMEEPNEQTTVPVKLKRKAKAKMEFVGWGSRPLIEFLESIGKDTGKQLSQYDVTAIINEYVHNFNLFHPLKKKKVMCDERLRSLFGKKSVPRIKIYDLLESHFAENHDSSEDDDLYNSEEYENVNITCKKQKVASSEKKAPHQKKKVPETPLSCFAAIIPENIKLLYLKRRVKNVPGSGDVCEDVLLQVPNVIKDIPICKLSDDDFSKEECEDLCQRIKDGLLKRPLVVEAEQKARLLHEDITKHLLQTPSELTRLLAEVPKVTADILEPEATPEEHVEDIEQLNSSPITTRADVSHLSFDSAKDQALPSNVNGPVDLVGISEGNREPMANEGIGGISFVNSGQNLTSVRWVEAEQIPKIRSPDQALDLNSASEVIELSDDEEKPQEQDEEYELSRQKWFYWDPQGRRQGPVSRYELKRWSDAGYFSPDFKIWEDGEAPSSAILLTDLLSGNCSK >KVI08166 pep supercontig:CcrdV1:scaffold_537:188758:202690:-1 gene:Ccrd_013465 transcript:KVI08166 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MMARCSSSMASVPNSNDAKVLQSFTGLRQIAETRHYKAWFLDQFGVLHDGKQPYPGAISTLENLAAHGAKMIIISNSSRRASTTLDKLKSLGFDPSLFVGAITSGELTYQHLQRRDDPWFWALGKTCIHMTWSDRGAISLEGLGLKVVDNVEEAEFILVHGTEALGLSSGNSVSKKLEELDKILEQCTLAANYEKLGGEVKWMGKPHQIIYKSAMAMAGVDASNSIAVGDSLHHDIKGANASGIESVFITCGIHATELGLTGFGEVADMSTVHALASKHDACPSYVLPSFTW >KVH14836 pep supercontig:CcrdV1:scaffold_5374:27862:33465:1 gene:Ccrd_025934 transcript:KVH14836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MAASSMVVCFGEMLIDFVPDTAGVSLAESQGFIKAPGGAPANVACAIAKLGGSAAFVGKVGKDEFGYMLTDILKKNGVNTDGVLFDEHARTALAFVTLKKDGEREFMFYRNPSADMLLKESELKMDMIKKANIFHYGSISLITEPCRSAHMAAMKAAKQAGVLLSYDPNVRLPLWPSADAARTGIKSIWKEADFIKVSDDEVEFLTQKSADNEDAVKSLWHDGLKLMVVTDGEKGCRYYTKACPSFKGKVPGCKVKAIDTTGAGDAFVGSLLALAFSNACGAICTTKKGAIPALPTTSDAQALLSSSK >KVH13227 pep supercontig:CcrdV1:scaffold_5378:16885:23090:1 gene:Ccrd_025935 transcript:KVH13227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGSHYQRNRLIEEDYQEVLDEYRKNFSDSWKAVQADCSQAWPYLDEALTKFQSGCWKEGVDLFHYMLEMDAKFDEVTLISVLTACGRAGALELGEWIKEYIEANRLKGNATLVTALIDICEDVIHESDNILITFIDDREINKERNGRLSIA >KVH94968 pep supercontig:CcrdV1:scaffold_538:4774:61560:1 gene:Ccrd_002961 transcript:KVH94968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MECLNTLQNIPEAIETAELVYFNRIPHQSRIPHFLIVSSVCHLPFFHPTICLLPTSAAISTTSQSEFTGMGFTPNEIREEIIRNPKILGSEVGEMSKCLRMLNSLKCRVPIKENLFSEGAFMASYEVKSRIDCLHKHGLLYRDAFSVLWREPRAILYDLKEIDLKMEFLTNTMKFDVLSLDEVPEYLGVHFEKQIVPRYNVIEHLRSKGGIGDEIGLRRMIMINILKDDVVMRRIIR >KVH94970 pep supercontig:CcrdV1:scaffold_538:116671:117477:1 gene:Ccrd_002963 transcript:KVH94970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MEYDGLLTSSRNSSSKIIPSNYITSASFFLDPPSAADDQSLVGKGYPITFGRFSKTRSGISTLIRSFLTVISIPAILPTCRWLTLPANLSRTPSLGRKVTGTLFGNRRGHVSFAVQYDSRSAPLLIIELAVTTAALVKEMSSGLVRIALECEKHHRKSGGNGKLCNESTWTMYCNGRKCGYSSSRSCSDSDWHVLSTVQSVSVGAGVIPVVEDGRKSELGGGSSSEGELLYMRARFDRVVGSRDSEAYYMMNPDGTGGPELSIFLLRM >KVH94967 pep supercontig:CcrdV1:scaffold_538:144679:155394:1 gene:Ccrd_002964 transcript:KVH94967 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MGGAGKILKKGLGEMGLNSGGGNINWFPGHMAAATRAINARLKLADLVIEVRDSRIPLSSANQDLQPRLSTKLRVIALNKKDLANPNIMHKWVDYFGSCKQDCLPINAHSRSSVQKLLELVELKLKEKIAKEPTLIVMVVGVPNVGKSALINCIHQVASSRFPAQEKKKRAKVGPLPGVTQDIAGFKIALRPSIYVLDTPGVLVPTIPDIETGLKLALTGSVKDSVVGEERIAQYLLAVLNTRRTPFHWRNVHDNNSKSPQNGTDDKHDYNLKDLLPKRRILPVASNVHYVEGVAKEVQCALYSSLSEFKGDLEDENELEILIEQQFEELQKALKISNKATEARMMVSKKFLTLFRAGKLGPFILDDVPL >KVH94969 pep supercontig:CcrdV1:scaffold_538:708:7707:-1 gene:Ccrd_002960 transcript:KVH94969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MMVVSLVLLLLFMMINPAILEPNYTETNIDTPITSFCGRNSPIILQNFISNRNSTFAQIRKQLLSNNVYYARAKSLDEGDSVFGAAQCRNYLSTAQFVACFDVGVFELVNCTTGNSAYVFLDNCFVRYDNYDNFYNNPDFIEDAGITPLQICGNQSATQPTTTFSQTAILDDSNTVAIKKIEVAHAKAKKEFENEVRLVCNVRHRNLLRLLGWSGEGSHLLLVLEYMPNGSLDKFL >KVH94971 pep supercontig:CcrdV1:scaffold_538:63261:67385:1 gene:Ccrd_002962 transcript:KVH94971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MPTKVSIMCGSSSSSSSGGGGGGDGGGGGGEERKRRKTMGRGRIEIKKIENVSSRQVTFSKRRAGLMKKAKELAILCDAEVGVIIFSSTGKLYGFASSRLMIGKELEGLSYKELEHLERQLHDGMLAVKNRKFLSVPFMTQDMALLEEIEQTKLREQKTMQENEALKKQITEHLNKSTTNPEIRLVGRKSPFISPSPVAYLRSDNGDVGISLHLGLSPREDHPKKKVPKIEFDP >KVH94966 pep supercontig:CcrdV1:scaffold_538:204582:206355:-1 gene:Ccrd_002965 transcript:KVH94966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase AER61, uncharacterized MVHYQRYIQLRKGVSMAAYVDEEDACVNMSGCNKKSKPKFLFFLLFCTLLSSCLLLAPFPTSTLSLLYPSRGEEETLSDAKTSLCSSVSNGTICCDRSSIRSDVCIMKGDIRTQSSSFSVFLYTSENSGAGKQPLRREKIRPYTRKWEPSTMATIDELTLISKKSNSSATGDQHSCDVNHDVPAVFFSTGGYTGNVYHEFNDGLIPLYITSQKYNKKVVFVILEYHDWWITKYGNILTHLSDYEPIDFNGDKRTHCFSEAIVGLRIHDELTVDPSLTEGSKSIKDFRDILDKGYRPRIQGLLLEEQSNNPIKQVARLEKPKLVIISRNGSRAILNENVLVKMAEKIGFTVTVLRPQRTTELARIYQALNSSDVMLGVHGAAMTHFLFMKPGSVFIQVVPLGTNWAAETYYGEPAKKLGLRYIGYEILPRESSLYEEYESNDPVLRDPNSVNDRGWEFTKKIYLDRQKVRLNLVRFRKRLVRSYIYTMSKRNGMDGSQ >KVI11676 pep supercontig:CcrdV1:scaffold_5383:23672:26770:1 gene:Ccrd_009911 transcript:KVI11676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MEGKVSLIVDGAVDYKGNPADRSKTGGWVPAALILGIEICERLSTMGIAVNLVTYLGGTMHLSSSTSANVVTDFMGTSFLLCLLGGFLADSFLGRFRTIVIFSSIQTLGTAVLALSTKLPQLRPPQCHSPENCKEANGFQMGILYLALYLIAVGTGGLKSSVSGFGTDQFDEKNEEEKTQMAYFFNRFFFFISLGTLMAVTVLVYIQDEVGRSWAYGICSVSMFTAIIVILYGTKRYRYKKSVGSPIVQIFQVIVASIKKRKMDLPYDSGLLYDNTPEGSRIHHTDHCLDKAAIVAESDFEKNASSSSRNPWKLCTVTRVEEVKMMVRLLPVWATTILFWTTYAQMITFSVEQAATMRRSVGGFQIPAGSLTVFFVAAILLTCAFYDCLIIPLWKKWKGTPGFTALQRIGIGLALSALGMAAASVGEVKRLSVVGKHTTSTTEPLPISVFILIPQFFLVGSGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFMSSFLVSVIKKVTGNNGGDGWLADNINDGRLDCFYGLVAVMSGINFAIYLVCAAWCKDVKGKSSTPIEMEKC >KVI04271 pep supercontig:CcrdV1:scaffold_5385:24760:30051:-1 gene:Ccrd_017418 transcript:KVI04271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MSRHGIKQLRSGWADGPAYVTQCPIKPGGSYTYKFTVTGQRGTLWWHAHIAWQRATVYGAIVIYPRMPYPFSIPIEAEIPIIFGDYFFKDQVIFMFHPFHFDKSSVDNREWWNLPVEGIEDEMYKFGSGPNSSDAYTINGLPGSLYPCSLKGNVITKTQNLYLTELDLGEEFVEMTVEHGKTYMLRIINAALNDELFFTIANHTLTVVEIDASYTKPFDTKAIMITPGQTSTVLFTANQYHHDSTGLFVMAARPYLTTVFPFDNSTTIGFLKYKGTMAENMLLPEPSDLVLPQHLPQMEDHAFATTFSRQLRSLATARYPCNVPKKIDKRVVITISLNLQDCPANQTCKGLNGKRYAASMNNQSFIRPPTSILEWYYLNSSSTQYSYDFPHKPPHVFDYTGIDPLMHNLNPDFGTKLFAVEYGTRLEIVLQDTGFLNLENHPIHIHGHNFFIVGTGYGNYNADKDTVGYNLVDPPERNTVGVPMGGWAAVRINADNPGVWFMHCHLEEHTSWGLASGFIVKSGSKSSQSLLPPPDDLPAC >KVI04272 pep supercontig:CcrdV1:scaffold_5385:30082:33468:-1 gene:Ccrd_017417 transcript:KVI04272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin VEWKNISRVCNRKAILTVNGEYPGPTIAVNEGEQVEIKVTNGVPRNTTIHWLYPTPFNPISKHMYGGVVLKLS >KVI00740 pep supercontig:CcrdV1:scaffold_5388:1608:16658:1 gene:Ccrd_021007 transcript:KVI00740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin homology MSQPNTSTSNTHINNARRRSSATSTSPQPTTSTGGGGGIHFPAMKKPRSQSVACSVDNKNGFQQQHFNNNSSVNPSSMFEDPTGNEVIDPIATSVAGFTANLSRKKATPPQPAKKLVIKLVKAKPTLPKNFEENTWAILKSAISAIFLKQPDPCDLEKLYQAVNDLCLHKLGASLYQRIERECEAHVSAVLQSLAGQSEDLVVFLSLVEKTWQDFCDQMLMIRGIALYLDRTFVKQTQNVRSLWDMGLQLFRKHLSLASEVEHKTVFALLKMIESERLGESIDRTLLNHLLKMFTALGIYSESFEKPFLECTSEFYAAEGVKYMQQSDVPDYLKHVEVRLHEEHDRCVIYLDAITRKPLVATAEKQLLGFMMLMDGNRIQDLRRMYILFCRVNALESLRQALSLYIRKTGQGIVQDEEKDKDMVSSLLEFKSSLDRIWEDSFSKNEAFCNTIKDAFEHLINLRQNRPAELIAKFVDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARSKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGRKELATVVLMLFNDAKKLSFQDIRDATSIEDKELRRTLQSLACGKVRVLQKIPKGREVDDNDSFTFNDAFTAPLYRIKVNAIQLKETVEENASTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFEQVTGIK >KVI07005 pep supercontig:CcrdV1:scaffold_539:37778:38917:-1 gene:Ccrd_014633 transcript:KVI07005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLYSSWIQDADPFSTWDHHSLLPPTLHQLTASDDHGGCSNGGGQSEDGKATAASKNHSEAEKRRRDRINAHLTTLRRLVSNSDKMDKASLLGKVVEHVKELKSETKELSKVSTIPTDLDEVIIDLDSGTVDPNTSVFIRASLCCEDRREVFSEIKHALKSLRLTVVQADMTCLGGRMKCNLILCVTNNNPSKIDEKELTMLKHSLKILFGRIVSSSSWTTSTNYRIKSKRQRFFCSSNYNANDCE >KVI07007 pep supercontig:CcrdV1:scaffold_539:16871:20776:1 gene:Ccrd_014631 transcript:KVI07007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASSTQPFHWQYDEFDDANFQIRGHTLLYVLVLFSIILTITFFFYLCTRSRRILAARSSSGNSLPVSQPQGLDAATINSLPITIHHVAPSTELSECSICLGVFEEGEKVKVLPSCCHCYHCECVDKWLITRSSCPICRTSARIDSPLIVTAFNYHRRSSFQLSVYRDSFQLSLVDVVKEFCKTSFVG >KVI07012 pep supercontig:CcrdV1:scaffold_539:188166:190043:-1 gene:Ccrd_014639 transcript:KVI07012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEKFEEKYHGLFLKCSNLLELAAADDVSGFIYMVEEKEASLDEISFWYVRRNGCKGKMGLEERTPLMIASMYGSTQVLKYIIDAKKVDVNKTSDSDGATALHCAAAGGSPLSVGVVKLLLEASADVNVTDANGNKPGDLIARGIKSSTRRTLEMLLKGFAIEDGSDEEQIEEKEIVAAKKEYPIDVSMPDINNGVYGSDEFRMYTFKVKPCSRAYTHDWTECPVEELRPVYASTGSAMPSPKSGSVNSMEMGSMSPLALGSTPSMSPPSSPMWQNKVNHLTPPALQLPGSRLKTALNARDLEIDMELLGSESIRAQQQQRRQMIDDLSTNLYNNNNRFGELKPTNLDDVFGSRDQSLLSQFHGLSSPMTNTPTQLQSPTGHQQFRQNSNPLRASYPSPNYSSSPVRKPSSYGFDSSAAVAQAVMNSRSGSFSKQRSQSFIDRGAGATMSLRSIPQQPSNFSEWGSPDGKLEWGFSGDDANKLRKSASFGYGGGAVNMNHEPDVSWVNTLVKDVGVGLHSSPEKHRYGGGGEKLPQWIEQMYIEQEQMVA >KVI07008 pep supercontig:CcrdV1:scaffold_539:93394:101587:-1 gene:Ccrd_014636 transcript:KVI07008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MAALNAPITIKEALTLPSIGINPQFITFTNVTMESDKFICVRETSPQNSVVIIDMSMPMQPLRRPITADSALMNPISRILALKAQFPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWITPKMLGMVTQTSVYHWSIEGDSEPVKMFDRTANLSXNXIXNYKCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSEAIEAHAASFATFKVPGNENPSILISFATKSSNAGQKQADLFFPPDLADDFPVAMQISHKYGLIYVITKLGTLFVNDLGTATAVYRNRISPDPIFLTSEASSVGGFYAVNSRGQVLLATVNESTIVPFVSGQSNKLELAVNLAKRGNLLGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYSPDYLFLLQTILRSDPQGAVNFALMMAQMEGGCPRNLIREATAFLLDVLKPNLPEHAHLQTKVLEINLVTFPDVADAILANGMFSHYDRPRIARLCVKAGFF >KVI07011 pep supercontig:CcrdV1:scaffold_539:130234:133354:-1 gene:Ccrd_014638 transcript:KVI07011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDINGDCYRIRLSPYSTSKANYPWKSQVEKHTFGKKTTSIISDFSLHLLLNPAAPQEMLEDAAAEGYTTPELSKMKDVSRESDIYNLGKCAVERDASQLEEVVGSERWHESRIRFSIADWLEFMSCLKIS >KVI07010 pep supercontig:CcrdV1:scaffold_539:66005:81043:-1 gene:Ccrd_014634 transcript:KVI07010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MAAANAPITMKEALTLPSIGINPQFITFTNVTMESDKFICVRETSPQNSVVIIDMSMPMQPLRRPITADSALMNPISRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQRNLIREATAFLLDVLKPNLPEHAHLQTKVLEINLVTFPNSLVEFFGTLSREWGLECMKDLLLVNLRGNLQIIVQDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIPRAVEFAFRVEEDAVWSQVAKAQLRDGLVSDAIESFIRADDATQFLDVIRASEDTECYHDLVKYLLMVRGKTKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLHNVGDRLFDEALYEAAKIIYSFISNWAKLAVTLVRLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAASTVMNHSPEAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHTRVVDIMRKAGQLPLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDKVYKDAMETASQSGDRELAEELLVYFIDQGKKECFASCLFVCYDLIRPDVALELAWLNHMIDFAFPYLLQFIREYTGKVDELIKDKIESIKENKAKENEEQDVIKQQNMYAQLLPLALPAPPGMGGGQMGGGFAPPPPMGGMGMPPMPPFGMPPMGSY >KVI07009 pep supercontig:CcrdV1:scaffold_539:118262:123677:-1 gene:Ccrd_014637 transcript:KVI07009 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MNHRHNKRLSLSVLCFSLFLIGILIYNQGVKSLTEFPFSTRNESPLPEPNVNPGIDDEQPRTESPVDAAAEDDEFPPESCDVFDGNWVFDNYTHPLYKEDECEFLTEQVTCMKNGRQDSMYQNWRWQPKDCDLPKFRAKVLVEKLKNKRLMFVGDSLNRNQWESMVCLVQSVVSSGRKRLDKIGSLSIFRIEEYNATVEFYWAPFIVESNSDDPEMHSILNRIIMPESIDRHGQNWKNVDYLVFNTYIWWMNTINMKVLRGSFDEGATEYEEVERPIAYARVLKTWAEWVDTNVNPNRTMIFFNSMSPIHIKSLDWNNPDGIKCAKETRPILNTSTPPNVGTDRRLFTVATNVTKSMKLHVHFLNITTLSEYRKDAHTSVYTIRQGKLLTAEQKADPIVYADCIHWCLPGLPDTWNEFLYLHIISGS >KVI07003 pep supercontig:CcrdV1:scaffold_539:66461:84194:1 gene:Ccrd_014635 transcript:KVI07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLVAFQTGALAAFPFHPWVVVEQIRHPFDHHPYPVEQMSMFWQIGLKNIQEKSCCLPYQVPLKRWNKRHSKLVSNIDPHLHEKSDRSVSGRHGDGERDQNDGRSDASPPCENFQPTGETKPLDNLSRVRDLEREKGESKTLAEKHSDSEDASDGEMEMNSGWPRVGRTRRLITQLGSGGVASTQNESLTVVSGCRGSPLRRLILPKIHISCTTTLEGNYMIKEAIVTLKERTGSSNYSIMKFIEEKQKNLPANSKDEITYIRNQEAKS >KVI07006 pep supercontig:CcrdV1:scaffold_539:4776:5861:1 gene:Ccrd_014630 transcript:KVI07006 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDDTATGHHLPQDHHHDTSITNNHLHSTSDSSPPTATTCSAGLETRSSPNRKGKGKGGPDNSKFKYRGVRQRSWGKWVAEIREPRKRTRRWLGTFSTAEDAARAYDRAAVILYGSRAQLNLQQTCTDGNTTSSTSSSHSSSSSSSRGGSGSSSSSTTQTLRPILPRPAGFNLNFSNSQAPPSPLPVFGNYLPYGLIYPTVHQGTNGSDDRCTGNIVPYPLQMVQQQQEYLPYTNTNNITVLTGEDPTFRGTTMLTSFDANPNPNPNPRSNLEKLRQSPQQYRDYQGNGYEKDDDEIKALVGSVGSSLSLISSASPPMGIGEAVSDPTVVGGPTSPSLWSYTHDDEYPPPCIWDYGDPSFDF >KVI07004 pep supercontig:CcrdV1:scaffold_539:31238:32808:1 gene:Ccrd_014632 transcript:KVI07004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L41 MRAKWKKKRMRRLKRKRRKMRQRSNDATYASPSIRLHLNSTGAINSIAYDYSKIEFSLKEWELHRFNLGACAVVTDGIM >KVI04404 pep supercontig:CcrdV1:scaffold_5390:9096:31310:-1 gene:Ccrd_017282 transcript:KVI04404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 28 PTDSLSTPVFECGVSKQAGRQAGRTEHIPSFLGTPIPFPFPPFSDSKKSTSNPYLKSAVVIAMNSYAEVGGVGHHNRSSSLSITAESPPEVDSDVEITAAGQEQQHVGVSSESTDKSRPHGSSTPDKEKSETIMSLHVRPQRTEQKKTHGILGAKFFDSNVSTRKKLKWLNRLASVRADGTVQFEIPDEIRPQSLDFDTTVVISGATEDEDFDMTTIRDFRPLQIVMLIVGTRGDVQPFVAIGKCLQEYGHRVRLATHSNFKEFVKASGLEFFPLGGDPKVLADMVKNKGFLPSEPSEIHMQRSQIKEIVFSLFPACTRPDPDTNVPFNVDAIIANPPAYGRSLLSVYCLQLSQLPVILMLQRHLKFQSTSFSLCHGPYQNLTAHIDEPYLPHPKPSLSMKNPPPIPIMLPGKIPTKRPTSEFAHPLSRVRQPVANRLSYQIVDSLIWMGIRDIINEFRKKKLRLRPITYLSGSNSSPHDLPYGYIWSPHLVPKPKDWGPHIDVVGFCFLDLASNYVPPDSLVEWLENGKKPIYIGFGSLPVQDPDGMTEIIVHHGGAGTTAAGLKAACPTTVVPFFGDQPFWGRQVHARGVGPPPIPVDEFSLKKLVSAIQFMLKPTVKVCATGLAKAMADEDGVKGAVDAFHKHFARRKAKPEPTEPPSNFSVRRCLGCT >KVI04405 pep supercontig:CcrdV1:scaffold_5390:2455:8485:1 gene:Ccrd_017281 transcript:KVI04405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MSLPTVNDKEVDIVIGAFRSDLTSFMEEWRPIFSRFHLIIVKDPDLKELKIPDGFDVRIYTEIDILKVVGSSNAALFAGYSCRYFGYLVSDKKYIISIDDDCTPAKTKSGEFVDIITQHITNLKTPATPFFFNTLYDPYQKGADFVRGYPFSLRSGVPCALSCGLWLNLADYDAPTQALKPDMRNSRYVDAVLTVPLKSMMPMSGINIAFDRELVGPAMLPALKLVKEGKVRWETMEDIWCGLVVKVVCDHLQLGVKSGVPYVWRNEKGSAIDSLKKEWEGVKLMEDVVPFFETLKLSPAAVTAEDCVTEMAAAVKERLGPLHAVFVNAAQTMVEWVKLWKAVRSQVSS >KVH99456 pep supercontig:CcrdV1:scaffold_5391:30904:32028:-1 gene:Ccrd_022310 transcript:KVH99456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDPNQTYYITLFTTDPKTKNRPYRRFSLQLQWNGLGSKLNQKMIYNIPIYGLLLNMRKVKKIVLSSIKRKEMDLDRMFEHLAFTKLIENKVLIMECACLSVKKDGQFFMYQIKGISLIHKNKYQRNQRYRKPKXVAKNNFDESIPRHKRKTLNRDKKNYDLLVPEKILSSRLGTYRMNCTPSYTSGVH >KVH99459 pep supercontig:CcrdV1:scaffold_5391:20227:20670:-1 gene:Ccrd_022307 transcript:KVH99459 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase, chloroplast chain 5, C-terminal MGPKRIFFDKIKNGIYDWSYNRAYIDAFYRTFLIVGMRKLAKFAIFCNRRIIDGIPKWSWSYEFLCSREDYGNTYSKSHLSDSIVEDIIFSEELAMIHA >KVH99462 pep supercontig:CcrdV1:scaffold_5391:11247:14730:1 gene:Ccrd_022304 transcript:KVH99462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAIRTSDSGYLTRRLVEVVQHIVVRRTVCGTVRGFISYLEEPDFRLKREKKERIKVHSTAVRLGFRLVTYQSVSTTGSSLRDELAFFRIL >KVH99457 pep supercontig:CcrdV1:scaffold_5391:28779:29030:1 gene:Ccrd_022309 transcript:KVH99457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II cytochrome b559, alpha subunit MSGSTGERSFADIITSICYWVIHSITIPSLFIAGWLFVSTGLAYDVFGRPRPNEYFTENRQGIPLITGRFDSLEQLDECSRSF >KVH99460 pep supercontig:CcrdV1:scaffold_5391:17068:18328:1 gene:Ccrd_022306 transcript:KVH99460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLITNEWQVFFLVWILFQWELDESIHLHVLLLLLYPKLLHVLLDLKQIVDRTTICHDGQLTRTAGVATKLIAKEGKSAEEMHLKYERINVGSLRSAPISLAAITGIAFAFFCSGVSRVYHFTTKASCFFQVDTKETRVFCAIARELRRFNFTKIVSLAPEVL >KVH99458 pep supercontig:CcrdV1:scaffold_5391:20688:25336:-1 gene:Ccrd_022308 transcript:KVH99458 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase, chloroplast chain 5, C-terminal MSGILCTTFLAIRRTGIEPAHGGFTIHCLDPLGYIRPYYLVLSTITILAFVLWWVSEILSMTYVVLKGYLYNPIDCVKPAVAAEAGKSYILSLWTEEKGGMAERGLYHERSKKVFFEEDQKDIPFPFLQNYSGKKNIPFYSISLWGKEGSKIGNKKFRLVTLLKMKKNGHASFFPNKVYKLDENVRNMIQPFLSIPHFGNTKTHSYPYESDNTLLSLVLILIIFTLFVGFLGIPFNQDGVNLYILSKWLTPSINLLNKNSNNSIDWYEFCKDAVFSVSIASFEIFIAFFYINLLIHLFKIWT >KVH99461 pep supercontig:CcrdV1:scaffold_5391:15172:17020:1 gene:Ccrd_022305 transcript:KVH99461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MGSDTISDIITSIRNANMYRKSVVRVASTNISQSIVKILLREGFIKNVRKQQISFDYPNYDTHGERILINAKKKHALYNSEEPERRFPGGLGKKYIDKFRFFLFLLILFRSRCIDLVHGLTKMCESSIFLCHSMSLNPFAYGIPTPFGSIH >KVH97091 pep supercontig:CcrdV1:scaffold_5393:12358:15920:-1 gene:Ccrd_000811 transcript:KVH97091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resolvase, holliday junction-type, YqgF-like protein MAHTQRLSTIFTLLPTNLCNTHPNTPSNSLRLRFSTIRADVSLEEHPFPPNAMRRKLDSSWMGGFSLGIDLGLSRTGLALSKGFSVRPLKVLELRGQKLEMGLLEIAQKQEVDEFIIGLPISSDGKETPQSNKVRSVAGVGEYTCRTNTEHQQMQ >KVH97089 pep supercontig:CcrdV1:scaffold_5393:25386:26700:1 gene:Ccrd_000813 transcript:KVH97089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGSREMSAQVLSLLSQFARHMWDRGQICTHPTSRPYCRVWFGLKDVIRKALSSKDLFLVNGPPGTGKTTIVVEIILQEVKCGSKILACAASNIASDNIVERIVPQALLLRQEQLDC >KVH97088 pep supercontig:CcrdV1:scaffold_5393:27846:32682:-1 gene:Ccrd_000814 transcript:KVH97088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVRANQSDSETEETGSDLTPQRNGTGGSENMTDYEKQRMKRIEENKARMKAMGLDKMASSLMGSVPISQNAKKKGKKKVGLEDDEEYKPSGKDEELSSSSGSDGDDYDDEFRVSRTKLSGNSDFVDDDDDALMKAIALSLQDSPGFLDVASKTPPQNSDANASIVENIDRKQIISKDDSGKRKRKNSFTSRVQMSEDELILHFFQFDEAGKGGINLRDVRRIAASHDFMWTDEEMENMIRFFDSDGDGKLSLEDFTKIVDRCNMRQGSENAGRASPAS >KVH97090 pep supercontig:CcrdV1:scaffold_5393:18405:22022:1 gene:Ccrd_000812 transcript:KVH97090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSLQQIESACLHILNNNISFFSNKYVIRKAISSKDLFLVNGPPGTRKTTTVVEIILQEVKRGSKILACAASNIVVDNIVERIVPQRFKSFKAYNNKTNKTTFKKQQGSNAVAMDHEKSSSMCTRSKQRHPETRQDKTGHPVCIKGKEIEGQIRKTSIASDETKAGKKDELKKNKERVHELDSSDGNKWGLENLRTRTSPRTLYQTIVGLNDDQKKVVRQMGLGSLLDMTINGVPSKLVFYVVDILDVKKIKLKVTNGVIRITVESIHNLLGLQMGGIDLLEMDELDASKNTATTWRKQFEKKKKMQPKDIMKLIQS >KVH97093 pep supercontig:CcrdV1:scaffold_5393:2898:4466:1 gene:Ccrd_000809 transcript:KVH97093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MASADDQQTNFKHFCRICKKGFMCGRALGGHMRAHGIGDDTGNLDDEDPVSDWEDKQGNKRMYALRTNPNRLKSCRVCENCGKEFLSWKSEDEDLALAKCLMELSNARVDPVELTDVEDSCASPSREEQRRNPMFTKPAFLTSPFTRPPPPLDHKAKGIATTPKGMFECKACKKVFNSHQALGGHRASHKKVKGCFAARNDQFADDSIGDDDVITHDEFFPSPKPISSYQFSQGPSSTMPPAPAPLVGAPRRKSRVHKCSICNRIFASGQALGGHKRCHWLTSNMSDTSSLAKFNFHEHIEQLHRRALALPSQILNKSKALDLNLPAPADDIAGLRRDPRYPLSFEVSTEINLHSWNVDHENGTDGDGKDQNNRTGDQQDDRKNTSNHEKEAAAGGGGGGATMEDDEADSKVKLAKLSELKDMKNISGSSSSWLQVGIGTSTTDVGPSGPSHDT >KVH97092 pep supercontig:CcrdV1:scaffold_5393:9550:12211:1 gene:Ccrd_000810 transcript:KVH97092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MKQSKDPFEVAFEELDESPPDSPNPHDEIETQTLAAKPSKNSQRYDVEHSTSPSNQPKARVRFSSTSAAIPTNKNKEDDDEEEEENMDVELGKFASTGDPDKMAKMQSILSQFTEEQMSRYESFRRSGFQKSNMKRLLGSITGSSKISMPMTIVVSGIAKIFVGELVETAKMVMTERKESGPIRPCHVREAYRRLKLEGKIPKRSVPRLFQ >KVH93874 pep supercontig:CcrdV1:scaffold_5394:11796:12488:1 gene:Ccrd_004070 transcript:KVH93874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MEMEQRAPLMAKKLWGIIRAILYMVKRGLSKGIPWLELHMMIKRSGKIAGKAIGNLLLDHQTLSSALTCRPNDIHATFIAPREYEFSCSNTPLFYPKRKTNRHHYHAGNHHHHGGLYRRSHKDHELTVNNVKRVFDILNNYETATVAEPEKSPLTLLGFGGSPQVRQLRVTDSPFPVNNTEEDGIQVDKAADEFIKNFYNELKQQKKRAAVELPSPSLSPSPYQSWDHIR >KVI04755 pep supercontig:CcrdV1:scaffold_5395:2032:9491:1 gene:Ccrd_016924 transcript:KVI04755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKVEMKRIENATSRQVTFSKRRIGLLKKACELSVLCDAEVALLIFSQKGELYEFSSSNIKKTIQKYRDNAKANESCNEIEPQNQQLKHDATIIQKKIEQLEVSQRKLMGQNLASCSVDELLKLDSKLEHSLTIIRARKERFLLEENTRLCQQNTSLCEKEIHVTATSRESIQNLEVETELFIGSRLDEE >KVH91412 pep supercontig:CcrdV1:scaffold_5396:19023:20087:1 gene:Ccrd_006565 transcript:KVH91412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MATLFSRYLHSVSSSSTAKSSIVSYLTDSLGFSKPKAQSLFNRFSSTETDPQSVILFFESLGFTKTDIRAWVNESPQILFSGVEETLKPRIQFFQDLGLTGSDLGKFISKNPVYLSNRFEERLKPCVDVIEKLMVNDHSNETIIRTLKRCNWVDLKNPVTRISANIKYLEECGIVGSQLVMVMKRQPRLLIMGELELRALVSKVLDMGFSIDSRMLVHALHSISCMSDQTVERKFQLFQTLGFTEVECLDMFKRAPGLLRVSESKLKLGIEFFLNTVKFERAVLVRRPTCLMLSLEKRVIPRYNILHILASKRLLKKTPKFLNVVSIPEDEFLDRFIFKNRDDAEKLLLAYKGG >KVI06194 pep supercontig:CcrdV1:scaffold_54:480707:482462:-1 gene:Ccrd_015472 transcript:KVI06194 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDLLLQFLLLCLPLPPLLYLLPKIIKNKSRFSRPGPLGLPFIGNLHLINPSSLHTSLWQLSKSYGPIVFLNFGFIPVIVVSSANLAKEVLKPQDLKFCSRPSLLGVSKVTYNAHDVIFSAYNKNWREMRKIFVLHLLGPKRVPSFRHIREDEVSSAMKNIHGLALSSKHVNLSELIKSVTSNMMLRVGFGKRYQDGHERKEVLRLITEVQAISADFFVSDLWPGLPFVALVDRLLGKVDRVEKCFQYSDSFYQQLIDEHLNPQKLKLHEEEEDFVDILLRLKKEQLFDLTYDHIKAILMNVLVAGTDTTAATVVWAMTALINNPNVMKKAQEEVRNVVGNKGKVDEDDLPKITYLKAVVKETLRLYPPAPFLVPRETTKEAILHGYKIKPKTLVFVNALAIGRDPECWERPEEFLPERFVGSAIDFRGNDFKFIPFGGGRRICPGISMGDVMVNLLLANLIYLFDWDLMDGMGKKDVDDFWVLNGITMHKRNELSLLAHVYTCK >KVI06208 pep supercontig:CcrdV1:scaffold_54:339107:340458:-1 gene:Ccrd_015466 transcript:KVI06208 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MKKKLRGGGGAVYHGRTRSDLFDSLPDDIVISVLCKLSSTASSPSDFVAVLLTCKRLNKLGVHPLVLSKSSSKALAVRATNWCDGAHRFLKLCVNAGNTEAYYILGMIRFYCLQNRGSGASLMAKAAIKSHAPALYSLALIQFNGSGGFKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRKNITEGRRLLVQANARELASVLRVFHNNTSSPPSSAWIFRNHQPQPIIPTNSNTAPNPTDFDFQLFSDYGFNLTGREMHPANRFLVEWFGSIDDGSPGQGLRMCSYKGCGRPETRRNEFRRCSGCGKVNYCSRGCQAHDWRVHHKVECAPMEEWVGHAIDDVEEEDIMNGDDVAIDDQTVEIEDGDVDGIQI >KVI06215 pep supercontig:CcrdV1:scaffold_54:443872:444657:-1 gene:Ccrd_015469 transcript:KVI06215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis protein MGLTKRKLILVLVFVLVGVSLLRVLRITISTSYIPIMVSSPQLDPKISKPTPSTYHNKILSDKELRFLSDIILQKAPCNLLVFGLEDEYLKLPTINKGGATFFLEDKPEKLIKMKGNSNGTQVFRIRYKTSAKEAYKLLKHARTHSSCSLRSGIGTRTSKCKIALTGLPKEVLKSKWDIIVVDGPGGDGPESPGRMGAIYMAGVLARAGNGTNVVVHDVDRTIEKWFSWEFLSEKNLVSSKGKFWNFRIDQKENLVSSKTS >KVI06190 pep supercontig:CcrdV1:scaffold_54:535971:538292:-1 gene:Ccrd_015477 transcript:KVI06190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MIGLPEKMNSFLNNRWLVFVASMWVQSCSGIGYLYGSISPVIKSTMGYNQRQIAMLGVAKDIGDAIGFVAGSLCEVAPIWVVLFIGLCICIFVGTNGETYFNTGALVSGVQNFPKSRGPVVGILKGFAGLSGAILTQVYTMFNFPDQASIIFVVAVGPTIVISALMFIVRPVGGHKQAKQSDGMSFLALYGVCLILAAYLLAVLILQDLVDLNQTILTVLTIGLMILVLLPVAIPITLVFFSPKSEYSTEESLLSEDQRQESTENAKTEVILSEVEDETPNGVESLPADEREKRISHLQARLVQAAADGAVKVKKKKGPRRGEDFTLMQALVKADFLLMFFSLVLASGSGLTIIDNLGQMKYAYPRPVAMAAVQVLMACSLFYYAMAGPGAIYVVSVVMGSCYGAHWAVVPSAASELFGLKSFGALYNFLTLASPAGSLIFSGVIASGIYDYEAKKQSTRTNHTLTDGEALTCYGTICYSTTCGILSGLCLIAFMLSMTVVYRTKRVYTQLYGNQRT >KVI06196 pep supercontig:CcrdV1:scaffold_54:450278:452092:1 gene:Ccrd_015470 transcript:KVI06196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMNNEESRIIQTRPKGKPERNMVKSLFMTTENGRPNSMIVKRAHRVIPAHIIAEAISTLHGIDLRWSGPITPAEMQYVEQYVVAKYPEYSNALVEGKDKTDLYELCVKEEPSEPSLDDKRKSPRGVIRETSGSNFHDLVRIELEPSRLLDILTKKSSYLGSFISIPEIQARNKVLKHCGLPDNEYLVLFVSSYRDAMMLVGESYPFFRGNFYMTIINEEHDYIRAFAGYKDSKVISAPESWLDLRIKGSQLSQYFRRKSKNCPKGLFSYPATVNGTNYSMHWVSEAHRSSWHVLLDATAIVMGEDRLNLTLHRPDFVLCSPDNTSAHPSKITCLLVRRKSFETTSAPQAL >KVI06183 pep supercontig:CcrdV1:scaffold_54:130489:135374:-1 gene:Ccrd_015450 transcript:KVI06183 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MKEALVVCGGKNLAVGVTIWDMETGDHLLHIPTCASPFHGLTCLRHQYLVASQIQPRGSVSGGVIFTWPFSKPRAPLRSYPLEAIGPLSSSKDGIYLAGGATSGNVYVWEVINGKLLKTWHAHNSPLTCLAFSDDASLLISGSEDGMIVVWPMIRLLDETDSGSSHTSLSVSTDHESFITGLLPSSNASHSVFVSSSLDGTCKVWDLVKGTLLQTCSFPQPITASVLDPAERFLFSGSADGRIFMSPFDVGLMKEPAMHSKDKKVELNGHKESITALTFSRSGLISASEDFTACLWDVVKGFITNLVVIPYSSLLPSRNHQRKSTHLPVSLLKKCSWQDDPSKGTVTLLPSPGEQQITHQYQSANLLNQQILDLEVGRTPEAIQLKVETNIESRLLISNMTKHVMEMNNHLQSRLLDLTRHRISQHETEAERNKL >KVI06185 pep supercontig:CcrdV1:scaffold_54:146278:153671:-1 gene:Ccrd_015452 transcript:KVI06185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQQEQPPVTPPPLSTPLRTATADLFGDPIDSHPLWFKQSSFLSPDFDSESYISDLRTFVPFETLRSELQSHLSSLKHELVELINRDYADFVNLSTKLVDVDAAVLRMRAPLIEIREKILGFRGAVEGSLLSLQGGLRQRAEASAAREILELLLDTSHVVSKDLNTNAMDIGSWVHKVEKLIKELHSVPADGFNGDLQTAERGHLSNGVSIQHAETGIILRETQSMLLERIASEMNRLKFYFAHAKNLPFIENMEKRIQNASSLLDTSLGHCFVDGLIHKDANAVYNCLRAYAAVDNTRNAEEIFRSTVVAPLVQKVIPYSAPGVVGGSIGDELEEDYKQIKHLIAEDCTFLLEISSTENSGLHVFSFLANSILKEVLWAIQQGKPGAFSPGRPTEFLKNYKSSLGFLADLEGYCPSRSAVANFRAEAVYVDFMRLWNIGVYFSLRFQEIAGSLDSALVGSGLTPIQKSDSEQGGSQYMTLKQSLTLMECLRSCWREDVLVISISDKFLRLTLQLVSRYANWLSAGLSARRTRNSGTNSPFEWALAAAPDDFVYIIHDLDHLAAEVCGNYVDDVFEILNSCSTEVLDLVKHSILQGGKSLKDLVPSVIDSIIETLVEKSNEELKQLHGIVAAYRMTKKPPPVRHSHYVSGVLRPLKVFLDGERASTYLTEETKGKLVQGAAFKITGRYNELAADIVNTARKTETSLQRIRKGAQRRAGASSDVSDHNVSETDRICMQLFLDIQLVAPQDKQGEISF >KVI06187 pep supercontig:CcrdV1:scaffold_54:167542:170284:1 gene:Ccrd_015454 transcript:KVI06187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTFYVGSEAGLKKLDEYLLSRSYITGYQASKDDLTVHAAFTKSPSPQYVNVSRWFNHIESLLRISLVILNDFASSSGVSAEGSGVTVEGSASFPEEAIATPPVAETKAAADEDDDSDVDLFGEETEEEKKAAEDRAAAVKASGKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVHLDGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEERLTEEPINEYVQSCDIVAFNKI >KVI06193 pep supercontig:CcrdV1:scaffold_54:505739:507212:1 gene:Ccrd_015473 transcript:KVI06193 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MSLQFSIYPAPCPKNTPPLPPPETTLPFPKMFPLRFKSRPSGRIVPHHSLHNRLRRWPNRSSSLASQSPSSSDNNEVLKALCKEAGWVVLPDGTTFRKGCKPSPSSVEIKCTSANTTPSSSQRPSPPSSSFRSPSRLDSKTRHQITLSVFFPTPFRHHSHLCKSQAAPLFRKRTILIGRFHQTIHFHLQSAKCDEPDWCTTGSFQRLRFQDCDPMMVNPNSPTFNLVNPNARVVATNDPIREKGKGVKAWKGERFHDVGFDDLELTLGSGTAKI >KVI06170 pep supercontig:CcrdV1:scaffold_54:127271:130619:1 gene:Ccrd_015449 transcript:KVI06170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAVSTVYLLFLSLSWRFFFLGTTAIQPSQKQVLLQLRKQLEYPKQLDIWFNTTDDFCYLSSPQVNVTCENNYVSELKIMGYQQPNKVSSNFHGFPVASQTLSQNFSMDSLIATLSRLTSLKVLSLVSLGIWGPLPDKIQRLYTLEYIDLSDNFLFGSIPPTFSRMVSLQSVNLDGNFLNGSFPDGVDLLSSLTSLSMRGNGFSGQLPDLPNLPNSLIILYLSKNSFSGQIPVKYSQLSHLQELDLSFNSLSGVPPASLFSLPYITYLNLTSNTLTGSLPTHLECGNRLAAVDISLNRFTGSLPSCLSNDLNNRTVKYDGNCLMSDVKHQHPANYCVEEARGVEVDTKDFKDSGKRRNSGIIVGTIVGSVVVLVLLVSGFVVLCRKLFRGVVSEQKLLHKPVQDYSVTGYPSGLLSNARFLSEAAKLGTEGLPVHRVFSFEELKEATNNFHRSTLIGEGSSGKIYKGKLGNGTIIAIRHLAVSKKFTIRNLKLRLDLFARLRHPHLVCLLGHCISSEGTDDSDSNKVYLVYEYVHNGNYRSLLAGNDPENVLKWEDRLRVLTGVAKAVHFLHTGLIPGFFNNRLKANNILLNEDGMAKLSDYGLSIIAEDIKQDANGEGAESWQMKNLEDDVYSFGFILLETLVGPSAASRKDEFLTNEMASFETEEERKKVVDPVVLASCSQESLSVIISITKKCFCGDSWDRPSFEDVLWHLQYAAQVQAGAHRPAEAVLFMGC >KVI06195 pep supercontig:CcrdV1:scaffold_54:454594:456564:-1 gene:Ccrd_015471 transcript:KVI06195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase, large subunit, biosynthetic MAAVASPNPSASAKPPSFSTQFQPRMTLLPRFTLPIYSNPQKRHPFLHISNVISNSKPTTTTTTTMLPVPESFISRYAPDQPRKGSDVLVEALEREGVTDVFAYPGGASMEIHQALTRSRTIRNVLPRHEQGGVFAAEGYARASGLPGVCIATSGPGATNLVSGLADAMLDSIPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRIVREAFYLASSGRPGPVLIDVPKDIQQQLVVPKWDEPMRLSGYLSRLPKPPNDSHLEQIIRLISESKKPVLYVGGGCLDSSDELRRFVELTGIPVASTLMGLGSYPASDDLSLQMLGMHGTVCANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCGDIKIALKGLNKILEGKGEITNLDFSSWRKELDEQKLNHPLSFKTFGDAIPPQYAIQVLDELTGGNAIISTGVGQHQMWAAQFYKYNRPRQWLTSGGLGAMGFGLPAAIGAAVARPDAIVVDIDGDGSFMMNVQELATIRVENLPVKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGNPSKESEIFPNMLKFAEACDIPAARVTQVGDLRAAIQKMLDTSGPYLLDVIVPHQEHVLPMIPAGGGFMDVITEGDGRTKY >KVI06184 pep supercontig:CcrdV1:scaffold_54:157388:162904:1 gene:Ccrd_015453 transcript:KVI06184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MSSFRFDLTDHSTLRSTSERNSDKKSSFAHLDPLWYTLYSENSNKEKVLNWIKKKDVFSRKYVVFPIVQWGHWSLLIFCHFGESLGSKLKTPCILLLDSLEMADHSKQVEPVIRKFVLDIYRNLERKEETSQLRKIPFLVPKMKKDWFSYEGLDEFCRTLDSFK >KVI06189 pep supercontig:CcrdV1:scaffold_54:178108:180428:-1 gene:Ccrd_015456 transcript:KVI06189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22/L17 MVKYSKEPENPTKSCKARGSDLRCHFKVKGLDVDALHISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEPETQLAPRTKKNQS >KVI06210 pep supercontig:CcrdV1:scaffold_54:212809:224842:-1 gene:Ccrd_015460 transcript:KVI06210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MDISLSRYQNTSVVQSSYWALTDVKFYQERHLFYGSYLLNKPKRRTQVSQISCSFVKQGLHPKPKPKPLKNGHDLVKKETHVEDSSQNIGSSSGLCGQIEKLVLCKRYRDALEMFEILEIECYDDHVGKSTYDALVDACISLKSIRGVKRVFSYMINSGFEPDLYLRNRMLLMHVKCGMMIDARMLFEEMPERNLVSWNTIMAGLVDSREYVDAFRLFLIMWEEQSEASSRTFATMMRASAGLEMISPGQQLHACAIKMDVDQDIFVSCALIDMYSKCGSIEDAQCVFDVMPQKTTVGWNTIIAGYAFHGYSEEALDLYYEMQDSGVKMDHFTFSMIVRVCTRLGSLEHAKQAHAGLVRNGFGLDIVANTALVDFYSKWGRIEDARNLFEKMPHKNVISWNALIAGYGNHGQGIEALELFNRMISENMTPNHVTFLAVLSACSYSGLSDQGWEIFETMGTDFKVKPRAMHYACMIELLGHEGLLDEAFALIRDAPFKPTVNMWAALLTACRVHKNLELGKFAAEKIYGMEPEKLSNYVVLLNIYNSCGKRAEAASVFQTLKKKGLRMLPACTWIDVKKQQHMFLSGDKSKSYVQILRNLKKLILEIAEYGYVPKKNSLLPDVDEREEQMSLYHSEKLAVSFGLLNTAHSMPLHLVQSHRICDDCHLAVKLIAKVTGRVIVVRDASRFHRFADGKCSCGDYWVCYASSSAHPEGTDPDHLQAPTNEHPLAKIAIHNAVNALEESSCIIVNPLLLGDKGEDTAWVHVELQTVNPRKDDWVGVFSPAKFDASNCYFESGDSPVTPYICTAPIKYMFVEDSNPAYTTTGQASLVFQIINQREDFSFALFTGGLESVREVTLSTTFCCLIHGFVDVTVPLTNYYLGITAKWIVLCCVNLLICFFLCFKWLHHRLYLVLVQMTITWTSGYSIDEATPFVEWGWKDGQSTYLSPAGTLTFSRSSMCGAPARTVGWRDPGFIHTSFLKDLWPNTMYVYRMGHRLSNGSIIWSRMHSFKSSPYPGQDSLQRVIIFGDMGKGERDGSNDYANYQPGSLMTADRLLSDLDNFDIVFHIGNLAYAKGYISQWDHFTAQIEPIASKKPYMVASGNNERDFPNSGSFYLTSDSGGECGVPAETMFYVPAKNRAKFWYSTDYGMFHFCIADSEHDWREGSEQYAWIEKCFASVDRQKQPWLIFAANRVLGYSSSNRYAKEGSFEEPMGREHLQKLWQKYKVDIAFYGHVHGYERTCRIYQNQCVDKERFNYSGTVSGTIHVVVGGGGSTLSDFSEINTDWSLYKDHDWGFVKLTAFNHSSLLLEYKKSRDGGVYDSFTISRDYKDVLACVHDGCGTSTVAT >KVI06169 pep supercontig:CcrdV1:scaffold_54:108969:112059:-1 gene:Ccrd_015448 transcript:KVI06169 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOP5, N-terminal MALYVLYESASGYGLFLAHGIDEIGQNTEAVRNSIVDLNRFGKVVKLAAFNPFESALDALNQCNAVSEGQMTDELRNFLELSLPKVKEGKKPKFSLGVADPKIGSHIYEETKIPCQSNEFILELVRGVRLHFDRFIENLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYSWHFPELVKIVNDNYLYAKLAKYIEDKSELSEDKLQDLIDILGDEDKAKEVIEAAKASMGQDLSPVDLINVKMFAQRVLDLADYRKKLYDYLVSKMSDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRIDCFSESSTTSFGDKLREQVEERLDFYDKGVAPRKNIEVMKAAMENAANQGAEMDVDKDSEPSSKKSKKKKSKDNDEAKTDDKENTATNGDAAEPKSGKKKKKRALEEEANENVKENGANGTTEKKKKKKSKDVEMEDVPAVSEGKKKKKKSAE >KVI06201 pep supercontig:CcrdV1:scaffold_54:571022:578339:1 gene:Ccrd_015481 transcript:KVI06201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGFLMNTQKPTWEANSLSPSGATLKGLNSLSSKFLSSSTFESLTSRKALEPSAKTLLSNVLFFKLSPSADMLEASDGHGSVLCSSIIQTDGEQSGDEMEIMPVRSMGIEDSNAVGKEACT >KVI06203 pep supercontig:CcrdV1:scaffold_54:615576:615929:1 gene:Ccrd_015487 transcript:KVI06203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDLGETYKAVVVDGKNVLLLGDEKAKAATSGDPIDVITVIELVVESLRHSDGLGGISILNDDQMVRLEKGSPLLQKVKVPDGRDDDVQFIGQWWYDGGRRDRH >KVI06179 pep supercontig:CcrdV1:scaffold_54:511808:513806:-1 gene:Ccrd_015474 transcript:KVI06179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNLCRQHPSVLQIKRCRGSRRTSRNVV >KVI06202 pep supercontig:CcrdV1:scaffold_54:614324:615942:-1 gene:Ccrd_015486 transcript:KVI06202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MSVPATTVIPPLTDELDIVIPTIRNLDFLEQWRSFFQPYHLIIVQDGDPTKTIRVPQGFDYELYNRNDINRILGPKASCISFKDSACRCFGFLVSKKKYIFTIDDDCFVAKDPSGQEINALAQHIHNLLSPATPFFFNTLYDPFRDGADFVRGYPFSLREGVTTAISHGLWLNIPDYDAPTQLVKPLERNSRYVDAVLTIPKGTLFPMCGMNLGFHRELIGPAMYFGLMGDGQPIGRYDDMWAGWCAKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEEIIPFFQGVALPKECTTPQKCYIELSKLVKEKLGPIDPYFEKLGDAMVTWIDAWNELNPSADAAPVKKTDAAPKKK >KVI06212 pep supercontig:CcrdV1:scaffold_54:235348:253994:-1 gene:Ccrd_015462 transcript:KVI06212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MPTMNNLLIVFFLLISNGFVYRTCDASSSYSRLISSGLEHHPRAPIGEQPLAKIAIHKAVIALHKSASIRANPFLMGLQGQDTEWINVNFEYPEPSNDDWVGVFSPAKFNASDCESESGNWPEVPYLCTAPIKYMFANHSTANYVQTGKASLRFQIINQRSDFAFALFTGGLENPKLVAVSDPISFANPKAPLYPRLAHGKAWNEMTVTWTSGYNIDEATPLVEWGWKGQTKQLSPAGTLTFTRGSMCGPPARTVGWRDPGFIHTSFLKELWPNTIYEYRMGHWLLNGSTVWSKTYSFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYANYQPGSLITTDQLVSDLDNYDIVFHIGDLPYANGFLSQWDQFTAQVQAISSTKPYMIASGNHERDFPNSGSFYDTPDSGGECGVPAETMYYYAWIEKCLASADRQKQPWLIFAAHRVLGYSSNSWLANEGAFEEPMGRAHLQKLWQKYKVDIAFYGHVHNYERTCPIYQNQCVNPEISHYSGTVNGTIHVVVGGGGSHLSDFTEINTFWSLYKDQDWGFVKLTAFNHSSLLFEYKKSSDGLVYDNFTISRDYKDVLACVHDGCAPTTLATYSDGLVYDSFTISRDYRDVLACVHDGCPPTTLATYASTCYFENDSKEQAPYICSAPIKYSFANYSNSGYAKTGKTTLKFLIINQRADFSFALFSGGLSDPKLVAYSNFISFANPKAPLYPRLALGKSWDEMTVTWTSGYNINEATPFVMWGVKGERPMHSPAGTLTFHRNSMCGSPARTVGWRNPGFIHTSFLRNLWPNTKYTYKMAHRLSNGTYIWSKKMYSFVSPPFPGQESLQRVVIFGDMGKAERDGSNEYNNYQPGSLNTTDQLINDIDNIDAVFHIGDLVYSNGYISQWDQFTSQVEPIASVVPYMVASGNHERDAPGSGSFYDGNDSGGECGVPAETTFYVPAENRAKYSTDYGMFHFCIADTEHDWREGTEQYKFIEQCFASVDRKKQPWLIFAGHRVLGYSSDKYYAAEGSFDEPMGRAHLQKLWQKYKVDIAFYGHNHCVNPEKSHYSGTVNGTIHVVVGGAGAHLSEFAQINTTWSVYKDYDHGFVKMTAFNHSSLLFEYKKSKDGKVYDSFTISRDYRDVLACVHDGCEPTTLAS >KVI06213 pep supercontig:CcrdV1:scaffold_54:265625:265897:1 gene:Ccrd_015463 transcript:KVI06213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis protein MQTYESTHHLALHNNTHNPKILPTIAEALVHYAASNITPQQTYKEISVSLRVLTKKSPCNFLVFELGYDSPMWANLNHXGRTVFLEEDKS >KVI06176 pep supercontig:CcrdV1:scaffold_54:29397:33489:-1 gene:Ccrd_015443 transcript:KVI06176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MAPTISIEFAGHRESKKLSQKVVSQMMGKTRKVSKGYPRGFVPDYRHAVETMAESEDFGGSGWLNAGITASEELRAPKRKCISLTGDASEQFGVPFQVLSLSKLSSFQRKDLTMRLKHELEQVRTYQKEIAKVSSGDVALFPSSKPVSRGMKRAPPPGQNAGGRAKKQASGRLDPVNKALPPVSGNAMLMKQCDTLLNRLIGHTYGWVFKVPVDVVALKIPDYYTVIKHPMDLGTVRTKLTSGKYADPWGFAADVRLTFSNALTYNPRGNDVHMMAETISKFFEVRWKPIEKKLSPAAEAVASPKQAVLMETVTAVPISPIEKKKRASVDSEIKQVPAKRVMSDVEKHKLSSELEELLADLPESIIDFLKENSSNGNQTTEDEIEIDIDTLSSDTLLKLRSLLDEYVADKQKNTVKAETSEIERHELGFSNSLMQPCKANDRNKEDLDIDGNDLPISTISPMVLEKDSAVRKSKGSNSSSSSSEDGSSSGDSGTSTGSDADDAKASAVVNNVKLTLGSERIAIPSATEPENQSANGVSQVEQKSRSNPISGEVDDRQEGESAQSERQVSPVKLYRAALLKSRFADTILKAQEKTTGKVGEKQDPERSRLEKVELEKRRRAEKARLQAEAKAAEEARRKAEAEAAAEAKRKREVEREAARQALQKMEKTVDIDENSQFLEDLELLQAAPMEPLIDETSPVHSLDPLGSFKFQGNSNPLEQLGLYMKDDDEEEEEVKPDAAPALIDDPEEGEID >KVI06171 pep supercontig:CcrdV1:scaffold_54:80434:91115:-1 gene:Ccrd_015446 transcript:KVI06171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0041 MDFFRTFLNSPVGPKTTHFWGPIANWGFVAAALADIHKPPEMLSGNMTAVMCVYSALCMRFAWMVRPRNHLLLVCHASNETVQLFQLSRWAKGQGPMMSMVSLQRCLSTAKLLMKFLKTFLNSPVGPKTTHFWGPIANWGFVIAALADTRKPPDMLSGNMTAASGMRFAWMVQPRNHLLLACHATNETVQLFQFSRWAKHE >KVI06211 pep supercontig:CcrdV1:scaffold_54:229201:234117:1 gene:Ccrd_015461 transcript:KVI06211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHDIRRPFKRAAISDQQKRRELSLQRQAQNRTEAQLQARRLASSILSLPNHNSEPQPLESLDLLPEPIVEEPETDAEDISDIDIRQAAKLKGPEARRWFASQLMLPEWMIDVPDRLNCDWYVFARPSGKRCFVVSSNGATVSRLRNGCLLHRFPSALPNGARTKESSRSAQSYCILDCIFHELDQTYYVIDMVCWAGISFYECTAEFRFFWMNSKLVESGACEAPSAHHRYRFSLIPVYNCDHEGLQTAYTGAVPYVKDGLLFYNKHAHYQTGNTPLTLVWKDETCSQYVIDTDNKGQVPNQQQVVLELQDNGQLVTSDDPAVVLGCLNAVFIQETGLHPGNLVRFAVNEGGLTFVDGKLEKADLQCLGKVHRARAFADSYSKIVFQYMVRHSPLRIEHLFASMSMITEKENNTNEMEMVG >KVI06205 pep supercontig:CcrdV1:scaffold_54:608173:613777:1 gene:Ccrd_015485 transcript:KVI06205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor biosynthesis, MoeB SPKSSQDLKVLVSEFRLQSQIFRHRYFLLVSTKLEACFASEHHLSLALHYYICSVYFLLILKMNGEELTEQETALYDRQIRVWGVDAQRRLSKSHIFVSGLKGTAVEFCKNIVLAGVGSLALNDDNPVTGEALAANFLIPFDENMGGGGSLAELCCDSLKDFNPMVHVSVEKGDLSNFGVDFFEKFDVVVISCCSLAAKKSVNEKCRKLSKRVAFYTVDCRDSCGEIFVDLHNYSYAKKKADETVQCQLQYPSFEEAIAIPWRSLPRRVAKLYFAMRERFEDVERRRPGETSIADLPSVMKLRNELCLAHSLNESQIPDSLLERLVVSTKEFPPVSAVLGGILGQEVIKAISGKGDPLKNFFFFDAVDGKGVIEDIS >KVI06191 pep supercontig:CcrdV1:scaffold_54:528082:529721:-1 gene:Ccrd_015476 transcript:KVI06191 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3444 MVDGSNAYAKANLGAEQVNVRRHGKLNNSLTYRNSRWKEILKNMDEWSVEKVSRNEGRESETLYSCRKDAEEIDGMRKDKDVEISSMITIKTDWNLIGTSYTTLMSIPDSDFHDFDDDKTEKAFGENQMWAAYNDDDDGLP >KVI06172 pep supercontig:CcrdV1:scaffold_54:97266:99217:-1 gene:Ccrd_015447 transcript:KVI06172 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MAKTKSIKNSILTFNPHLFPHFLYLFSPKIHPRNPHLSLATSTRTLFFFTLLLASILCFVAINPFLNTPQVSIIAHTASYSPLFLASLSSVFQDPSAGDNNDAPVSLSTTAMVPLPPRSVAGISSEFWQQPDGEGFRPCLDFSLKYRRRSRKIANERKRFLVVVVSGGLFQIRNQIVDAVVIARILEAALVLPVLQGDESEFSEIFDVEHFKKILKADIRVVSSLPSTHLVSWQLLENQIPHNVPPFWIRARFFKKFLDQTTTNNLQNLHVLQLSEEGSLVLTGLESKLSKNLPPDLQKLRCKVLNTITFPSFFQVSSSFNSRDHIFSLQVAFHALKFATPIRELGNRIARRMWIEGPYVALHLGLEKDVWVRTGCLTGLGHEYDMIINDERESHPEFLATKSNITCPLNALEAARLLKDLGAPANARVYIAGGEPFGGDRAILPLKKEFYNVVTKEMLARDGELNPYRNRTSILAAIDYIVSLSSDVFLPSYDGYMVRALQGHRAYVGHRKFVTTNMSFKGQPEPRAKRRDRDVIAFPVPE >KVI06177 pep supercontig:CcrdV1:scaffold_54:555146:557004:-1 gene:Ccrd_015479 transcript:KVI06177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase METTRRSLSSIFLLFLTVSCVLDPTRFADASGQQPIKRIVVLVLENRSFDHMIGWMKKSINPSINGVTGKECNPFSTNLTRTPNQVTQDESICFTDDAKYVDPDPGHSFEDVEKQVFGSNPIPSMTGFVEQALSVSPNLSETVMKGFKPENVPIFAKLVQEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAKGYPQKTIFDSLHENKKDWGVYFQNIPTTLFYRNMRKLKYVFKFHQYGTFKQHAKDGKLPNLSVIEPRYFDIKGFPANDDHPSHDVANGQNLVKEVYETLRASPQWNESLLVITYDEHGGFFDHVKTPYKDVPSPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTEVLPEAAPLRETEPDEARGLSQFQSEVVQLAAVLNGDHFLSSFPDEMGKKMSVKEAHTYVKGAVSRFITASKEAINLGADESAIVDMRSSLTTRTVIHN >KVI06173 pep supercontig:CcrdV1:scaffold_54:61738:64168:1 gene:Ccrd_015444 transcript:KVI06173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAAGRQNISRKRYSNHSEEENPSYKIRYNQRTSASGLDLPKNAISSGGRTNDHRTNRDVDGDLGRSCWEANGVRWSVLPIDHDLQDRNNEEFVSENESRSVSTKKRKFSPIVWDRVDKQVKISSKNRIISTSSVLSRSSSPKPLKDFVKLQAVKDGKIERCSIDADSELQISPVESLVSNVSDGLGVSCSLATSTHEECDQELENEDGEYMEERNLSKSKWAFNDSPRMASEINNSSPESGEFKRKGFEGMEEVSSLSTEIDPFLAQASEDEQSRKFDDKASSMGYVSSEGDDDECHVTDVPRCIGLGLMPSCRNVFEYERLGKISEGTYGVVYKARDKKTGDIVALKKVKMGKEREGFPVTALREINILGSLQHPSIVEVKEVVMDDFDGVYMVMEYIDHELKGYMERMKQPFSQSEVKCLMLQLLEGLSFLHDNWVIHRDLKTSNLLLNNKGELKICDFGMSRRYGSPIKPYTSLVVTLWYRAPEVLLGMKNYTTAIDMWSVGCIMAELLAKKPLFDGNRELEQINKIFRTLGTPNETIWPGYLKLPGVKPNFVKQADNNLRKRFPVATFTGSPVLTELGFDLLNKLLTYDPKKRITAEEALNHGWFRESPLPAENVRICR >KVI06174 pep supercontig:CcrdV1:scaffold_54:65135:69759:-1 gene:Ccrd_015445 transcript:KVI06174 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MADASFVNPQNGFCSKTNIFHSLRSSAPLPPPSQPLSITDYTLYLLRSNPSFSPSTTPFLIDSATGHRLFYSDFIRQFQSLSAALVTKFPSLAKNQVALIVSPPSFHIPVLYFSLLSLGVTVSPVNPISTESELADLVRLSNPVIAFAISSVASKLPSSFPLGTIIIDSPQFLSMLQNPRSSFGFPQVKQSDTAGILYSSGTTGKIKGVELSHRNFIAITSASHQDKFAKDENAPHPVSLFPLPLFHVFGFFMLIRAASLGETLVFMERFDFVNMLKAVEKYRVTYMPVSPPLVVALAKSDVVPKYDLSSLLLIGCGGAPLGKEVAGSFMARFPHVEIVQGYGMTETGGGITGMIGPDECQRYGSAGRLSANVEAKIVDPETGEALSPMQQGELWLRGPMIMKGYVEDKEATGATLDSEGWLKTGDLCYFDSDGFLYVVDRLKELIKYKAYQVMMIVLPTFLFSKQHLLKRKTLQVAPAELERYLQSIPQVADAAVIPYPDEAAGQIPMAYVVRRDGSKIKEAEIMEIIAKQVSPYKKIRRVAFIKAIPKTAAGKILRKELVKHAAAASANKL >KVI06181 pep supercontig:CcrdV1:scaffold_54:193705:196620:-1 gene:Ccrd_015458 transcript:KVI06181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MAATIEGQDRVLATAQQIVKSLNINTKATEDMILILSSFDNRLSNITDLMEGGAAGEEIDHRFQTAEKVILRHDSGGLGEPSSRNSSPWEESPTEATEYLEAVDTIIKYTEDLNIQSDGDTEIMDRAENALQLAMSRLEDEFLHILIRNTVPLDIDRLYGSIRKGSLSFASNEIEIGEDFDSFREEDHGGSSGYHHERGPSLGGDVFVDLIHPEAIKELKAIADRMIRSGYEKECCQVYSNVRRDVLDECLSILGVERLSIEEVQRIDWKILDEKMKKWIQAVKIVVKVLLFGEKRLCEQVFSESELIKEISFVETTKGCVMQLLNFGEAVAIGQRSSEKLFRILDMYEVVADVLPDFETLFADESGDLVCNEVKGVLSGLGEAAIGTFVELENAVKNESSRRAILGGDIHPLSRYVMNYIKLLVDYSDSLNALLPNSQDLDRGSSELDDIDSGDTLSPVSCRLLSLITSLESKLEEKSRLYDDNAMRYIFLMNNILYIVQKVKDSELRNLLGDRWIRKHRGQIRQWHTSYLRAAWGKALLCLKDEGIGGGSSSASKLVLKERFKNFNACFEDIYRIQSSWKVADDQLREELRISISEKVLPAYRAFVGRFGGQLDSGRHAGKYVKYSPDDLENYLLDLFEGQAAQLNNMKRKGCVLSHLTYPKLIPAFSIGNAPCPPTS >KVI06186 pep supercontig:CcrdV1:scaffold_54:172752:176053:1 gene:Ccrd_015455 transcript:KVI06186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF167 MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCSSHVLITDTQLQKMPKRKTDKAYVLDKKKHLTRLNINEAGKILLKRGEGKLEKQFRMNCMGCGLFVCYRSEEDLESASFIYVVDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNSKSKLLVVEDLSARQVYEKLLEAVQP >KVI06188 pep supercontig:CcrdV1:scaffold_54:190743:193042:1 gene:Ccrd_015457 transcript:KVI06188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MVVEEGSPRSPEAKLGLEVEDLWDVQEPQLSPTEKLNACFESIPSEKNSGIDAADVFARALQETTGPAVAAAASGMSSPRYRSSQPGSPKTAGNFFELLTSSDFYKNTKVKDISGSFRWAPFLALQPSNSFLTMLLLLSKYRMKSIPVVDLGERKIDNIITQSAVFHMLEECADLQWFKSWGSKKXYELGLPLMKSNRVIKTRMNQSITTKNFVTAVKNYLKENEKALPVMSGMITCRKEDTLKDVIMKLDSMKGHRIYVVDDQGNLEGLITLRDIISRLVHEPRGYFGDFFDGVLPLPQNSRV >KVI06209 pep supercontig:CcrdV1:scaffold_54:389707:399205:1 gene:Ccrd_015467 transcript:KVI06209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like protein MLFTCFAGSAIVADLQGKSVSAEKTSFKQMLRCYWVSFFLALSCLLGVLHASAGDADPLYRSCLGECERTGCVGDICFPHCNFSSDGASLNGPWYMQEPQYIRWKQWDCQSDCRYHCMLNREKDRAASGHEPVKYHGKWPFKRVFGIQEPASVVFSALNLAMHFHGWLSFFVLLHYKLASKSDRKPYYDYAGLWHLYGLLALNSWFWSAVFHSRDVEWTEKLDYFSAIALLGYSLIVAILRSFNVRLEAARVMVSAPLLAFVTTHILYLNNYKLDYGWNMKVCITMGVAQLVIWAVWGGVSGHPSRWKVWFVVVAGAAAMLLEIYDFPPYEGFVDAHAVWHATTIPLTYLWWSFIKDDAEFRTSILSKKLK >KVI06200 pep supercontig:CcrdV1:scaffold_54:559438:564166:-1 gene:Ccrd_015480 transcript:KVI06200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MTSSQAGSSRRSMVLTASSRKKASENGATDPSRRSITSSRSMGLTGERTVKRLRLSKALTVPDSTTVNEACRRMALRKVDALLLTDSNALLCGILTDKDIATRVVARELDLEKTPVSAVMTRNPVFVISDTLAVEALQKMVQGKFRHLPVVENGEVVALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGTSASGSNTFIETLRERLFKPSLSTIISENSKLVTVSPSDTVLMATKKMLECRISSAVATVDSKPCGILTSKDILMRVIALGLPPDSTLVEKVMTPNPECATIDTPIVEALHTMHHGKFLHLPVVDREGLVVAIIDVLQITHAAIASVGNTAGIDNEAASSMMQKFWDSAMAVPPADDDSETRSEGSLKLASEGTVPYPSPTLINTFAFKIQDRRGRMHRFICETHSLADLITAILQRVGGEIDRNNLPQILYEDVDKDMVILASDSDLAAAVEHARSVGWKGLKLHLDYSGMPRNRKGSLAAAAVAGGGGGGGGLEHVHADAWASAYSSVAAGAALVAGLGVLAFLRRGG >KVI06214 pep supercontig:CcrdV1:scaffold_54:421484:422005:1 gene:Ccrd_015468 transcript:KVI06214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDQRELQLLPTPPHNRAAYSRASPWRSDSMRYRSDNIQFPLESTTAPSLDLQLSISLRPIQQPTSDHCMLVESFGCRDSKSDNGRVEALKWQAADQIRIATMEKAYAERVREMTKREMELAQSEFSRARHMWERAREEVERVEKMKERATRRIDSTCMEITCQACRQKFRH >KVI06178 pep supercontig:CcrdV1:scaffold_54:553061:554758:1 gene:Ccrd_015478 transcript:KVI06178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEETSSSTITNQKSTQELAMEGQKHLEDTIESAFQILSSMNDELCNPHLWSTSSPPNVNTSNAHHAPSNGDATSSDTAHHFEMGGGALDEARLRYKSSVAALRSVLTAIPNSRKAKAYDIDPISTDELDAEKLEERASTLRKDLENKNKHLKLLIDQLRELITDVSTWQSPVSI >KVI06199 pep supercontig:CcrdV1:scaffold_54:592334:593329:1 gene:Ccrd_015483 transcript:KVI06199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGKVELKRIENPTNRQVTFSKRRDGLLKKAIELSILCDAEVALLVFSPSGKAYNFSSHDMDRTIRRYRNENGQHKMNSQGVRTIEVWKNEMDEMKKTIDTLEAKHKHLAGEDLSTLGMKELKQLERQLRIGVDRVRSKKALAPFVGAHKFIEEKCES >KVI06197 pep supercontig:CcrdV1:scaffold_54:630376:636787:1 gene:Ccrd_015488 transcript:KVI06197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MSLTISYIKKQNTEKKSELESRRKCLCKFVIRMVTTKFMTTMLQLTIQYQVQKPEEEKKPEVEEKKKEEEVPKPADDEKKPEESKEAPPPPPPPPQEIVLRVFMHCEGCARKVRRCLRGFDGVEDVMTDCKTHKVVVKGEKADPLKVVERVQKKSHRQVELLSPIPKPPAEEPEAPKEKEAPKPEEKKEEPPQVITVVLQVNMHCEACAQEIRKRILRMKGVESAEPDLKQSQVSVKGTFEAAQLVDYVHKRTGKRAVILKQDPEPKKEDDAAADKAKEEKKEDEKKEEGKAEEGGDGKEKEKDKEGEEKKKEGGGGGGGGGEEAGGGGGEEGGEGGGGGGGGDDTKVVEVRKNEFYYYHPQNYAVYPPRYTAESGYGYPPAPQLFSDENPNACHVM >KVI06206 pep supercontig:CcrdV1:scaffold_54:299683:304654:-1 gene:Ccrd_015464 transcript:KVI06206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MNIPFLVCILVRLLATSHASSYHSHGHRHVKINEQPLSEIAIHEAVLALHENATVKASPYVLGLKGEDTEWVNIEVDYPEPSSDDWIARADFSFALFSGGLSTPKLIAVSNTITFANPKAPLYPRLAHGKSWDEMTVTWSSGYSIDEAVPFVEFGMKGQVRSLSPAGTLTFIQNSMCGGAARGVGWRDPGFIHTSFLKNLWPNMAYTYRMGHMLKNGKSVWSKMYTFKASPYPGQDSLQRVIIFGDMGKGERDGANAYSNKHPGALNTTDTLIKDLDNFDIVLHIGDMSYANGYISQWDQFLAQMEPITSRVPYMVASGNHERDWPNSGSFYDTDDSGGECGVPAETLYSTDYGMFHFCVADTEHDWRIGTEQYAFIEKCLASANRHKQPWLIFAAHRVLGYSSNQWYGLEGSFEEPMGREHLQPLWQKYKVDVAFFGHVHHYERVCPIYQNQCVNDEKSHYSGTVNGTIHVVAGGGGSHLSDYTEIDTVWSIHKDRDFGFVKLTAFNQSSLLMEYKKSSDGEVYDSFVITREYRDVLACVHDGCEATTLAT >KVI06207 pep supercontig:CcrdV1:scaffold_54:312913:319110:-1 gene:Ccrd_015465 transcript:KVI06207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSFVEVKSDSHFSIHNLPYGIFKHAGGSITTSRPGVAIGDYVLDLSEIHSAGLFDGPLLSNSDCFHQLLTELRLEIEVLSVASEVLVERSIIFAVAYMMKSNLNKFLELGKPAWKEARATLQKLLSSAEPSLQKNASLREKALLPMVQVEMLLPVAIGDYTDFFSSMHHAKNCGTIFRGPENPINPNWYHLPIAYHGRASSIVISGTDIVRPRGQGYPTGNSPPYFGPSRKLDFELEMAAVVGPGNDLGKPIDVNEAADHIFGVVLLNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALEPFACDAPNQIPKPLPYLAENISKNYDISLEVRVKPAGEEDSFTVTRSNFNHLYWTLTQQLTHHTINGCNLRPGDLLGTGTISGPEPESYGCLLELTWNGTKELPLGKTTRRFLEDGDEVIFSGCCKGNGYNVGFGTCSGKIVPSSP >KVI06175 pep supercontig:CcrdV1:scaffold_54:21514:23643:1 gene:Ccrd_015442 transcript:KVI06175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MEGKASTATKKADEVEKSLNDWLTITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMGPGITVMILSWVITLYTLWQMVEMHECVPGKRFDRYHELGQEAFGKKLGLWVVVPQQLTVEVGVNIVYMVTGGKSLKKAVDTLSPHGGPPIKTTYYIMMFAAVQFFLSHLPNFNSITGISFLAAVMSFSYSTVAWMASVQKGVQPDIKYTPRASTTIGQTFGFLSALGDVAFAFAGHNVVLEIQATIPSTPESPSKKPMWRGVVFAYIVVALCYFPVAMIGYWTFGDSVEDNIMISLERPAILIAIANMFVMCIIIGVLLMCLAPIGAMRQIILQAKDYRFYS >KVI06192 pep supercontig:CcrdV1:scaffold_54:526551:527884:-1 gene:Ccrd_015475 transcript:KVI06192 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3444 MKSNKGLIQNFPRKGDVWALYRNWSPDWNEFTPNDLIHQYDVVVIVGDYIEEEGVMVAPSGDRFHNYPKGCWELVPAALPLELLQTRIEPRINGSKCILEGRWQEAENLKGKKLELNQHEVLIRNSKFSDRILCNQWTVQRAKVSGRLSCFKNHHLLIFPMQKLKDTCAPEIHGCGDANVGAYACIIN >KVI06180 pep supercontig:CcrdV1:scaffold_54:207266:210584:-1 gene:Ccrd_015459 transcript:KVI06180 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCaRG MTMEHSLWGNLPLLVRANSKESVEYILETLWRTRKVGLDSTDRDVIRDMLQLQNDADLDPLLVCLRMLIRRCVYENTNKDEIQKLFPTEVLPEIQRLLTLLLQKFHKEWREDILKVQDTLPSLKTMTWNMANENLESADPVAVISLKLQSNTRPSSGDMDVNFQLAKDTLETMLKSMYSKRDQLSDPEMNH >KVI06204 pep supercontig:CcrdV1:scaffold_54:597373:606732:1 gene:Ccrd_015484 transcript:KVI06204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like protein MASLARLSRKCLTSSVASHRHLVSRALATAAAVKATESSPAAAEKIIECPDRVKWDYRGQRKIIPLGQWLPKIAVDAYVAPNVVLAGQVNVLDGSSVWNGAVLRGDLNKITVGFCSNVQEKCVVHAAWSSPTGLPAETMIERFVTVGAYCLLRSCHIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRKLTHEETVEIPKLAVAVNDLSKEYFNEFLPYSTVYLEVEKMMKSHGISI >KVI06198 pep supercontig:CcrdV1:scaffold_54:573598:574479:-1 gene:Ccrd_015482 transcript:KVI06198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEEHKTEPCPSEASSISAEGESLKNKTLDSKVLAEGSRAFLDVKLSNVEEDKNLELKLFNPLRVAPEGESESSKEETQEKSRVFSCNYCKRKFSTSQALGGHQNAHKQERQIAKRRQMEVPPYGHLVPPPPHYGNFTYYPSFPYLTNASVSTNRSSLGINNESFIQRPSSWSTSQLNYPFATVGHHDQFTMRLPYFDRPKMLESFQRNSTTSSGYYGSPLGATASSSSKLDSGTGVARDFFGVSCGNGNPSATLNKGIEEEISANNLLAQIGVVHPEHNQDESTVGLDLNLKL >KVI06182 pep supercontig:CcrdV1:scaffold_54:140545:144877:1 gene:Ccrd_015451 transcript:KVI06182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSEKVVAVECSSSLWLASNPSKRWGELFFLLYTPFWLTLCLGIVVPYKLYEDFKELEYLLLGLISVLPAFLLPIFMVGKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTNVCFLFYHVTSNMTLRRLQHSVAHLPVKTQWIIKSAWILALSYFIAYLETVAISNVDPWDLPRVAIDALGAAMLVTIILDLWRLFLGPIVSVADTKQCAQPGLPWFPVHTEL >KVI09048 pep supercontig:CcrdV1:scaffold_540:192763:197867:1 gene:Ccrd_012576 transcript:KVI09048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSVHNFVPVISIFFAAILCIFQLSACLKIGETCSMDDNKCDGGLRCGTCPASGNTRPRCIRIQPVSPISKVNGLPFNRYSWLTTHNSFALSGSKSPTGSPILGPANQEDDVASQLRNGVRGLMLDMYDFNNDIWMCHSFGGRCYNITAYQPAMNVLGEIQKFLEANPTEIVTIFIEDYVTSAGGLTKVFNASGLSRYMFPLSRMPRDGGDWPTITDMVAQNQRLVVFTSKSAKEASEGIAFEWSFVVENKYGNDGKTPGSCVSRSESSPMNTTNRRLVLQNYFSTNPNVTGACIDNSAPLIAMTNTCQIAAGGRWPNYIAVDFYQRSDGGGAAEAVDEANGHLTCGFECDIWDMRYPGSFASTTSTVAARWF >KVI09047 pep supercontig:CcrdV1:scaffold_540:199109:211885:1 gene:Ccrd_012577 transcript:KVI09047 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase, family B MEDDQQRPKRNTKGADSEKRAEALRKINIRRQGGGGDNGIDRYQIKIDEPIYDTVDEDEYQALVANRREDFKGFIVDDDGLGYGDEGEEVDWTQSGVVPSSEEESEGELERKKRKKTKTEKEKKEHKKPSFEAAAALMGKQRISNLFTSAVFNKSKPAMVSSDSIVDDVIAEFAPDENDKEKRRRGNKRLSENDKILAPTSLITSVKAEKAPASLVVGSTLLSNSLHKHDVLEEEKELLEIPNNGKDLGVVEEPAVVPSQVEELMDEELKVEPMVNRADTWTLNAKIKEEKDPTFSATAGLQIIENSCLIADIGPGLNSDEKPPFVVDSDGSLPFYVLDAYEEFSGSNAGNIYLFGKVKAGITYHSCCVVVKNMQRCIYAIPNGPVFEDSAIVKLVRDVEESKVSSTAFHTKLHEMAFGLKANITKELLDRNVSNFSMKPVKDPPLPSDLKGETFCALLGTHSSALELFLIKRKIKGPSWLSISKFSSCTTAQRVSWCKFEVTVDCPKDIQVATSSKNTSEIPPVVVTAINLKTIINQKQNTNEIASASVICCHKAKIDTPMLASEWTRPGMLSHFTVVRKLEDGLFPMGFSKEAADRNLKAGSNIISMESRQVLVSNCLVLFENFCLIDPGFSFYDSDVLVGHNISGFDLDVLLNRVKACKVPNSMWSKIGRLKRSEMPRLNKGSTVFGSGASPGIMSCIAGRLLCDTFLCARDLLKEVSYSLTELAKTQLSKNRKEVAPHDVPRLFQSSQTLMELIEIGETDAWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKFMVPDKSYLPKEGKTVKRKSDGLEDKVINDLGVNDEIQSEPVKSKKAPAYAGGLVLEPKRGLYDKYILLLDFNSLYPSIIQEYNICFTTVERSQDDLLPRLPSSKATGVLPELLKNLVERRKHVKKLLKTATGLKLQQFDIQQQALKLTANRFVLLPCFLLLVESVVWLTSVSFPLACSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYTGLDDISKAKSIAGNVIKEVNKRYKRLEIDLDGLYKRMLLLKKKKYAAVKEQIRDGKVYKVIERKGLDMVRRDWSLLSKETGDFCLGQILSELSCEEVVESIHNKLRKVQEDMRNGEIGLEKYIITKTLTKPPEAYPDARSQPHVEVALRLRKLGYTSGCSAGDTVPYIVCCEQIHPVVSRLCASIEGTSPACLADCLGLDPSKFQSKSSEVANNDHSGSVLCMTDDEERYRGCEALSLSCPGCSATFECTSVLSYIRTATNLEKPADLASNTSFWHKLSCPKCQLEVPPPSIANQCDDETCDYTTRSLNLRVVGDSERGTICPNYPRCNGRLVRQYSEADVYKQLSYFCYLLDAARCIDKVDGKLRASVEKEVARITPLVELASLTVHKVRDRCDYGWVKLNDLF >KVI09058 pep supercontig:CcrdV1:scaffold_540:89891:92758:-1 gene:Ccrd_012568 transcript:KVI09058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHLNRPCKFAALFASCTQLSYLKPLKAQLIVHGFFKQDTVVGDFIRRCIDLGDPQSALSTLSRINPTLFLQNLTIRCLHDHELYQDVVVAYKFFQSSSCPSDNFTFPFVIKAFSALKAIQNCKEIHCVILRTGFDQNVVVQTALVDFYAKIGEISLARKLHDEITQPDLVSWNALLSGYSLHGLNQEVFEVFGRIRLTNLNPNVSTFASLIPVCSRLEDSRIGKSFHGHVFKCGYFNDFLIPAFISMYGSKNELSVARDLFDSVPKKNVTMWNAMISAYTQSLKPYDAIELFRKMTLDHVKPNMITFMSIVPSCEIVVSIDYGESLHSYVIKLGFQNQPAVATIILSMYAKFRHLNSAKFLFNHMTQRNLLAWNSMVSGYVYNECWTMSLVAFRSMQADGFNPDAVSIISILSACSGLKATLLGQSAHSFSLRRGMDSNLHLSNALVAFYINCHKMSYSFQLFERMVIKDDVSWNTLISGCVHNGEDENAVLLLQIMQKKGVKLDSVTLISVLPCFKNLENLVQGMAVHGCAIKLGIAFDVSLDNTLISMYMNCGELDKGKMIFDEIPDKDVVSWNVLITGYHLHGLEKEGIDLFAQMVKDDHSPNYVTLLNVLSMCYSSMQVKSIHAYAARRWNIMAETSFITSLISTYGRFNEVSSSYFLFQMAEKGDISVWNAIVAVLVELDHARMAVSLFSCLLRTEVQSDYITILSLTSACGQLNHIDFTNSIMGYVLRKGIDKYVAVSNAFIDLHARSGNISYAKKVFDEMPLRDVISWSTMISGYGSHGNGEAALALFTQMKDSGFQPDEATYTSILSACSHTGLVDQGRMVFEWMLKDTKILPRMEHYACMVDLLGRTGHLKEAYDVVRRLPFEPSISILESVLGSCLNHGDIEVGERIGRLITARDVTNSGTYVMLYNVYAAAGMWSEANKVRRCMEAKNLKKLRGFSFIEGQRSC >KVI09043 pep supercontig:CcrdV1:scaffold_540:133318:134028:-1 gene:Ccrd_012573 transcript:KVI09043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEMMPMMMELDELPESFPLPFQDLSDSPLVEFSSESSTSNHPTLPLPPVIYRHPVPPVINMPSPQIRTLHDFPRGGWFQQKPDTVEAMREMIFRMAALQPVQIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAAHYMKFLKKQVQSLEQAAAAGGGGGDLPTKTPPPPGVNAVGCRTTGTNHHAISNNLIRACGTDQASPMIGSMELLR >KVI09054 pep supercontig:CcrdV1:scaffold_540:39834:41452:-1 gene:Ccrd_012564 transcript:KVI09054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MEFTQVGVRTRARAMPIVGEEAADNLGAVKRRKIGNGKLRSPSSTFVQTNTFVRENYVNSAPEQDLDDSGDSSASLRSSGVPASCCSSTGSTEKLKVSDLEEESHVEIETVARYKLDRSERFSINDYSMCSFIIPSSEFKAKLGELDSTTVKQSSSVMINSRRTVLPAEKMPPAAELEEFFAAAQKDLHKRFRDKYNYDIVNDIPLKGRFEWIQLKPQK >KVI09053 pep supercontig:CcrdV1:scaffold_540:26300:27984:-1 gene:Ccrd_012563 transcript:KVI09053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MQGILVLKPRKKCLKILRALILVCTMVLVCGVYVCLRRTHIERKRELFEFQVIKRPRPNNLLYPKRQTLNRYVCARIPMHLFVIVSMQRSGSGWFETLLNSHMNVSSNGEIFGQLNRRQNVSSIIETLDSVYNLELLTSSSKNQCSAAIGFKWMLNQGLMQHPNEIVDYFAKRGVSVIFFLRRNMLRRLVSILANSYDKDAKLLNGVHVSHVHSHQEALTLSRYKPTINITSLESDLGEMESTVMKALDYFNSTRHIIVYYEDLIKNPSSKLIQVEEFLKVGRMKLSSQQVKIHKGALSEHINNWDDVNKTLSGTMYERFLRAEY >KVI09049 pep supercontig:CcrdV1:scaffold_540:183123:184808:-1 gene:Ccrd_012575 transcript:KVI09049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSMHAKTDSEVTSLTASSPRQPAYYVQSPSRDSHDGEKTTNSFHSTPVLSPSGSPGRQSRNSSSTRYSGSLRPGSRKGSQHQHHRKGEKGFDAIEEEGFNDENGRMGIPRRCYFPAFVVGFFVLFTFFALVLWGAARPQKPVITMRSISFDKFVVNAGADASGVATEMVTLNATVKFNFRNRGTFFGVHVSSTPLDLAYTELTLATGTLMKFYQSRNGHRIVSVNLQGRGVPLYGGGVNWSSENGKLTAPVPLNLNFTVKAKAYVLGKLVKPKFYKKVSCAIVYKLINKPISLKNSCTVE >KVI09050 pep supercontig:CcrdV1:scaffold_540:170402:171424:1 gene:Ccrd_012574 transcript:KVI09050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEWVRGQAIGHGSFATVSLAKPTTQSSSLPSLMAVKTCAVSQSASLMRERMILDELKDCPGILRCYGDCFTVENGQKLYNVTLEYASGGSLADRVKNSENLRLPESDVRRYTNSILQGIHFIHRNGFVHCDIKLQNILLFCDGGNDIVKIADFGLAKKSAEESNSKSKYELRGTPMYMAPETVTGGKQEAASDIWALGCLVLEMFTGKPAWTCSDIGPLLMKIGVGAEIPDIPGKLSEAGKDFIRKCFVKDPNQRWTAEMLLNHPFIEGQDQIPSTSPRNPFDFPDWESEKPLPVTPFCSPVFDFWIGEDACISPENRLRQLMTDEASDWSVGNSWISVR >KVI09045 pep supercontig:CcrdV1:scaffold_540:111405:118149:1 gene:Ccrd_012571 transcript:KVI09045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of white apricot N-terminal domain-containing protein MRRGIRFPFIYSVRLSEIESADQEGDTMWHEARRSERKVHDMMDAARKRAQRRAVYLAKRRGDPQQSIQVSGSRCRVYRDDGLYQATEDQQGLIPWNGKQDIMIDRFDGRALLDFIRDPDSRRFRVIEKTEEEEELEEFVSFERYRDLIKHRRRGCRDEEGLQHVNQEMEAKSAALFGLDRSQTTQAPANRGSYSQVGFSYDGDVKEENQDSDDDDEEEDDDEDEEDFNSDDSNDEGMDIIAKEFGVKRYGWLVYMDKKAKEEERRQKEVVKGDPAIRKLSRKERRKASQIERERERESARVSGSRVLHHDPYRESRRSPTYEAYSRSRRSRSRSYSPSQSRRHGRGHSDDTQRNNPRAPKIEYITEFGGSTDGGGPKLAGYTPPSSPPSQVDALNRSEHCSNYCIARFTSLSLLPCAHTAFFPLMLLLFCRPSSGQILEALHIDPASGVSLDGERNAKLSKPSAGSSSGLAKLNKPSGSGSLAKQQGEKKETPQERLKRIMSKQLNKQIKKDTAAEMAKKREQERQRLEKLAETNRLSRYRHRSRSRNDIAGTVEVQVGAEALEDIILGPVLAHVLYRQCGPLVPSLTLGLQGKTHVPD >KVI09055 pep supercontig:CcrdV1:scaffold_540:59525:69285:1 gene:Ccrd_012565 transcript:KVI09055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSIENPYFRQKFSSVNHAGSTQNVEDSEKSLAFDSVGDDPWKEEDTALKPCWSKPDLGQCYTLSETNLNIFIDVTDAVVVARYLRATLVIPDIRGSQPGDRGWCGGGAAVVRERETTVCEGEGDGREWWSREGGDEWSRWCRDERETMVCEGEGDGRERWSREGGDEWSRWSRWCGDERETMSELGGTAVSIVPPTLVPPSAFHSPVVAVPSPSVSSLCCLVSLAAGNFMVRVIRPMNSSTSIIPSPSASTPLTIFRQASKLHLSPSCLSTCINSSALIFPFPSKSNT >KVI09046 pep supercontig:CcrdV1:scaffold_540:104337:105572:-1 gene:Ccrd_012570 transcript:KVI09046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPYPAANPPSPSSQPPLSSLYTQKSWSPDLHRDEEWIRRKGKHDHRRSKSVTDEDIDELKACIELGFGFEHSPKLDDRLSNTLPALGLYHAVNKQYFDTISKSSSMSSSSSSLVSSYSYAVSEPDLFSPVSSPHTIFSRGDNPQTVKARLRQWAQVVACSVLQSSSSSSSS >KVI09057 pep supercontig:CcrdV1:scaffold_540:80677:88999:1 gene:Ccrd_012567 transcript:KVI09057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNHGGSGSKFVSVNLNKLYGQPSHHNYPPHSGPYGPGVGTNRARPGGHASAGGGMVVLSRNRPLQKAVPKLSVPPPLNLPSLRKEYEKIDSSGSGGGVAGGAGSGIGTRPTSSGMGWTKPGNVALQEKEVSGDTQVSESVNYTEGVATKGSGTYMPPSARFGGGLGHGDTVSAHHSNVASLGKAVVLRGEDFPSLRAALPSSTGPAQKQKEGSFQKLKQLVSEESSNDLRNSSNFSSPAHIQSSHRIANGVNENGGQSPRSSSARVDHAQKQEDPLPLLWLNPRSDWADDERDTGHGFSGRSGRDHELPKSEIYWDRDFDVPRSSILPHKPPNSLSERRGQHIGNVSKGSTSEVHKPEPYRREPNLYSREDRESNTWRNSSIHKDKPAAAQVINDGNNITVPDSLSNSRYMSSLGDNAQHGVGTGNRDPAYVRRDAGQGRQGGQWHWNHSVESPRYRGEGYQNSAGSRSAFPLASKGHSINDQALNFGREKSSFSKSERTYLDDPYQKDFSSSVYDERDPFTGNLAGVVKRKKDVIKPTDFHDPVRESFEAELERVQKMQEMERQRIVEEQERALEQARREDEERRRVIMEEEERRRRMEEEAREAAWRAEQERLEAIQKAEEQKIAREEEKRRMLLEEERRKQAAKQKLLELEARIAKREAEVGKSGTSVHTAADEEVPVGGKDSDVSVDSDLDNWEVSQRMVERITTSASSDSSAMDRPFDRPQFSRDVSSSFMDRGKPVNPWKRDAFEVGSNSSFLLNDQDNGHHSPRREASIGGRCFPRKEFYGGGGYMPSRSPFRGGMQDPDTDGFPHLGDRDHRWNSFGDGEPYGRNRDIESEFYDNVAEKYGEVGWGQGHSRGNARSPYSERLYMNSESDKLYSYGRSRYSMKQPRVLPPPSLASVPKSSVRGENELSAPSSSLESTARTQNYGGPQEGHEQTDIVDVQQEIMAQKLDKNDTLRCDSQSSLSVSSPPSSPTHLSHDELDDSAGSVIPTAAEGKETHEHEVVNDEPGEVTTMAASKSVSVDEDEEWSLENHDEMQEQEEYDEDDDGYGEEDEVHEGPDENINLTQEFEHMHLEEKSTTNVMDNLVLGFNEGVEVGIPGDEFERDLKTDGNMIEMAEVPVGVETQGSVDAVIHEPEKITEYSVVQPQTAQKSTSCSLLGDSSVSSCPSVLHTIPSSVEMASSTSSGQSTMPALSSVPSQADLPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGPSMGHMHQSQPPLFQFGQLRYTSPVSQGILPMTPQPISLVQPNIHHTHFNLNQNSGSSLPNQFCQENFGINMKKDGGSASILNNQSGNVSAMPDLPHENWSRGMTARGNAEYNDMVRNSQSDLSHSADNRIGSKPVEEKGHDATDNGSEARLQFAPASSRGLSGEKDVSMSKAPGPSSANRGKRTPYPARNSGPRSFQAYEASPSGSNEFQRKHRRPIQRTEFRVRDNADRRQPLGMGSSNSSGLDDKLSNKGVGNSTRSGYRRHMVSTKSLKRVVPDASAAGLSGSQEITSESGPGKGTARLTPTKTRASSSTVEGNLKRNIPEEDVDAPLQSGVVRVFKQPGIECPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRVTKQPRKTRSSVQGPIVSTGPNKISVSMVGEASNIRSDFVGSEGRSLVNKELSTEYSTMASQPMAPIGTPSADTDVQTGIRSHTSKTLQRGSVSAISGAVEDLGSNLMFETENKVTDNVQTSLGDWGNARMDQQVIPLTQTQLDEAMKPARFSTTHVTSIGDHSTLVSEPILTSSLISTKGKSISSSSSPINSLLAGEKIQFGAVTSPTVLPPSSRVVSHGIGAPGSFRPDMAQSISKAESDRNLFFKKDEHASESCVLTEDCEAEVEAAASAVAVAAIDTDEIVGNGMGPVSVSGTKTFGGAVEDIPGGLGGDQQSGSQSKPEESLSVSLPADLSVETPPISLWPPLPSPQSSSTQMLSHFHGAAPSHFPFYEMNHPMMSGPVFAFGPHDESGGTQSQSQKSTGSGSRHIGAWQNHSGMDSFYGPPAGFTGPFIGSPGGIPGVQAPPHMVVYNHYAPVGQFGQVGLSFMGATYIPSGKQPDWKHDPTSSAREEDMNSMNMVSGQRNPPNMSGPIQHLAPGSPLLPMGSPLTMFDVPPFQTAPDMSVQARWSHVPASPLHAVPMSLPLQQQAEAMTAPTPFSSHGGHPVDQSSFPPNRFSEPQTSTPSDSNGMVFPVRDSTMNQFPDELGLVDSSGPSISTTSAPITVSHISSGSTKTADAVQRGGISIGINNNNIETTNAFKNQHHQQGKNQQYNHPGGGYGYQRGGGVSPKNKTMGQWSHRRTGFHGRYQSMGPEKGFPSSTKVKQIYVAKQPASGGSSSTVG >KVI09044 pep supercontig:CcrdV1:scaffold_540:123254:126093:1 gene:Ccrd_012572 transcript:KVI09044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MRVCYSPEFRSMNKDSTSFRNSGAYTSPGTPDYKDNHGCSGGGGGGFQKGWCSERVPLPSNSNRRRASSNVLMNGRTLPSKWDDAERWITSPVSGSGFCKTLAPPSQRRPKSKSGPLGDTPYFSNHSPAFPFLETNGFLAGSPFSTGVLVPNGLSFHHAHTDYTVTPSANLSSYPDSQDEKIDENSVTRVISRRDMATQMSPVDSRGSSPNRRPPPSTSPPPIPSSVEPRSHHSARVEVRDVEKEEARIVAWENLQTAKAEASIRKLEMKLEKKKSASMDRIMKKLRLAQMKAQEMRKTMARSEAPGTSRKVMPLQRYANISLISCFRPDHS >KVI09052 pep supercontig:CcrdV1:scaffold_540:15466:23676:1 gene:Ccrd_012562 transcript:KVI09052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAGKQEGRQHRRRRQHRRGGKPRAQLRKGRDGKEAGGVGEAIDGREAMGEGRIWISNPATGMGTAIIGQFTHEDGVLFQSSLAIRSIIYSILA >KVI09051 pep supercontig:CcrdV1:scaffold_540:210888:220678:-1 gene:Ccrd_012578 transcript:KVI09051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate phosphoribosyltransferase-like protein MEAKPKINGGVKDGKQNRSTPAINGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNDRAVFDLYFRRNPFGGEYTVFAGLEECVRFIANFKLSKEEIAFVRECLSPTCEDGFFDYLGGIDCSDVEVYAIAEGSVVFPKVPLMRIEGPVAAVQLLETPFVNLINYASLVTTNAARHRFVAGKSKLLLEFGLRRAQGPDGGIGASRYCYMGGFDATSNCAAGKLFGIPLRGTHSHAFVSSFTGPDEITKKSLKSQDGSRVCKDFVSLTQTWLSKLKRLSLSEGVFGETNQSELAAFVSYALAFPDKFLALVDTYDVMRSGVPNFCAVALALNDMGYKAKGIRLDSGDLAYLSCEARKFFHNVEKEFQVLGFGKTSITASNDLNEETLDALNKQGHEVDSFGIGTYLVTCFAQPALGCVFKLVEINDQPRIKLSEDVSKVSIPCKKRSFRLYGKEGYALVGQRILCRHPFNESKRAYVVPQRVEDLMKCYWPGSAEKKREELPTLEQIRDHCMKQLEHMRTDHMRRLNPTPYKVSVSAKLYEFIHFLWLNEAPVGELQ >KVI09056 pep supercontig:CcrdV1:scaffold_540:67961:69656:-1 gene:Ccrd_012566 transcript:KVI09056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSSKSFFNFKYGVSRKSSSPKTPPPPPTQSLQPPKFSSAAAFHPNADEMRRVFNKFDKNRDGKISKEEYGSAVGLLGGKKAKSEVTKAFQVIDVDGDGFIDFDEFMEAQTADGGVKTGDIKSAFQVFDLDGNGKISAEELMQVLRQLGERCSLEACRKMVKGVDADGDGMIDVDEFMGLMTRTMKLRSKRDETTERRDRGRRHSNNGAVEGGRGNEGGRNDRHSSPLMASDTTTTNGRRHRLEGGRRNNVRGVGGAGGY >KVI09059 pep supercontig:CcrdV1:scaffold_540:95802:97530:-1 gene:Ccrd_012569 transcript:KVI09059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Red chlorophyll catabolite reductase MAAIAFHFSPPSFCYSSPSLQNSSYSSLSRLRFSCSVSSSVPQHGGRTGFMEFPYVSAPHKDLMVDLVSTVETRLESFLNPCNLPPDVQSCQNSTGTAHASIHLRSGVQSSVIDFILGSWLHCELPSGGALNITSLSAYLNSSTDAPNLLVELIQSSPTSMVLILDLPPRKDLVLNPDYLKTFYEDTHLDQHRQHLEKLSEVRPYFSSSLYIRSVASPTAILVLIETERLEEMIKTHVSPIAKEVLKTWLDICVFGERRVDETEKAYLKKRDEMSKSKTIEIDLGSNLPRLFGHETANRVLEALREVF >KVI01844 pep supercontig:CcrdV1:scaffold_5402:24602:24877:1 gene:Ccrd_019876 transcript:KVI01844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTIIVADARNLLEINFPDLPKPELPDLPEILKPDLELPDLPELLKPELPEIPMPKLPHLPSVEVPELSDLPIPKFPDLPNDFPIPSEKP >KVI01843 pep supercontig:CcrdV1:scaffold_5402:25656:27980:1 gene:Ccrd_019877 transcript:KVI01843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MMKVIAVFCFLALFGSSNADIQANKLFELLKTKKSRNSPVLDTWSGLDDAIDEYSPIYVGAQEGLAQLDKITTLPGQPAGVDFNQYSGYVTVNPSAGRALFYYFVESPTDSSTKPLVLWLNGGPGCSSMIGAMSELGPFRINSDGKTLFRNNYAWTNVANMLFLESPAGVGFSYSNTTSDYDNAGDKNTADDAYIFIVNWLERFPQYKTRDFYITGESYAGHYVPQLAYTILLNNKKTNQTIINIKGIAIGNAWIDDETSEKGMYDYWWSHAINSDATHDAIYKYCNFVNDSSTGMCQDSTDKAWDEMGNIDIYNIYAPICLNPDQRNASGTGSINVFDPCWQYLLISYLNDSSVQEAFHVRPTSWDVCSDVLTRWNDSAVTILPIINGDTDARVPITSSRYSINTLNLPIETAWRPWYLNKEVGGYLEAYKGLLLITVRGAGHTVPSYQPQRALALFSSFLGGTLPPPS >KVI01845 pep supercontig:CcrdV1:scaffold_5402:8020:12407:1 gene:Ccrd_019875 transcript:KVI01845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MWMDLCKGRGGQEGRDKQSLGLKRLVYYILKQFKMIKVMAAFCVLALFGLSNADIHARKLYNLVKEKHSRDSPGYDPWSVLDDTTDEDSPVHIGAQEGLAQLDKILTLPGQPAGVDFDQYSGYVTVDPKAGRALFYYFVESPTDSSTKPLVLWLNGGPGCSSMFGAMGELGPFRINSDAKTLFRNSYAWSNVANMLFLESPAGVGFSYSNTTSDYDHPGDKKTADDAYTFIINWLERFPQYKTHDFYIAGESYAGHYVPQLAYTILRKNKNTKQTVINIKGIAIGNAWIDDETCMKGMYDYWWSHAINSDATHDAIFKYCNFVNDSSTGMCHDSTSKAWDEMGEVNVYNIYAPICLNHEQRNTSATGSIDFFDPCWQDILVSYLNDSAVQEAFHARPTSWDICREYISIWNDSAVSILPIIKYLIDNGQRLWVFRQGGDTDARVPITSSRYAINTLNLLIETAWRPWYLNEEVGGYLEAYKGLLLITVRGAGHSVPSFQPRRALALFTSFLGGIVPHHYKM >KVH12515 pep supercontig:CcrdV1:scaffold_5407:20748:27019:-1 gene:Ccrd_025937 transcript:KVH12515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, HIT-type MLGRDDGLCLQLSPAFTMESLGKFSFRDMNQTMRKKRSDRRPRNDIQPENGNSPLSSSPPSNNGSKGLSDDNVGHDTNYQRKILDMDQCSSRASYTNLADAEMAPDVGSEFEAQESSDDKDASLDTSEVFASNYKDTKYDTPTSDGHNSGSLEADADADAKGVDNVVKKVKLKVGGVIRTIHAKPSADVVASLKPPQHSTSNRLKQKLISQGNLHEEKGSGLRGIPWKDFSGSGFSVGKVDSSRRTITEEIRGIKNSEKHDSVHKSKRVPRRRLLDDGDNDDDEDDAELRYLAKMRLKSAKVDDEDEGGSKKQRKISSVLKMESFGSSREGKKSKTGRESEDTDYTGEERASGEDSSREMTVTTRRQALHSGRDISTDSTASVVEFPHGLPPAPPRKQKDKLSEVEHQLKKAEATQRRKLQAAKAARESEERISNAATLASNTVRWVIGPTQTVVTFSDDIGLPNIFESKPCSYPPPREKCAGPSCSEPFKYRDSRSKLPLCSLQCYNAVQEKLQARDVC >KVI04381 pep supercontig:CcrdV1:scaffold_5409:7917:9191:-1 gene:Ccrd_017305 transcript:KVI04381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIMNSRWIHQNVTFFSQIYVPKNMVETMFEKYNFVGVFIRIQVVLTLYAQGAYRAAKQRSIVDVQAFNLELWIVNFTNHKSGRTGLKSDYAVQ >KVH88728 pep supercontig:CcrdV1:scaffold_541:134090:136960:-1 gene:Ccrd_025943 transcript:KVH88728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L39e MDVKLECKLEMHKFGILTPFLTTFQDEDKEGKNAAANAMPSHKSFMIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >KVH88733 pep supercontig:CcrdV1:scaffold_541:31742:36478:1 gene:Ccrd_025938 transcript:KVH88733 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein NHNPDQPKNPTHRLYFGPTINGKDLIRIHRILAYRDIPSDRLMMAGGNSKKKFNKSKIRKPTKPSLFVEGGLLSDYSPVITSPPSRGKSNNSNTTGNKLNASKNKSAVRFEIGSSSGGKSKASGSTSRNAGSQKPTKNAFAYKYPQVSPLVDESGDGHNKFEKSNPIVLLDSKESKIIAYIDETAATGSETVKYTYDYGASFEVDDSCHRGLGFHDVAEESPCGIEASPVIEKKECSGFDSSSSQEMETDMMDDDASDVNDEIAKDYFEGIGGSYKVANVDQLVGQALDSFDGRFNEMLKGIGGIGLQDASREYGMKKPCSRKKSRAKPSKFQAATDDCPVLVDLMLEKNPRYLHGKKKHAAKLPQSWPSKAEKSKNFRRFCGDKKKLRQDRIVSKRRERMIRRGVDLEQINSKLEQMVQNEGDIMSFQLMHSRDCSQVQRLAAIYRLRSVTQGSGRKRFVTVTRTQHTGMPSSSDRLRLEKLLGVDDEANDMTVNKVASNRSKKGTTRANGFMSPLESKSAKTMTPGESSKKKRRDKDKIGSYAAQPVSFISSGNMVSERAETIAVIEEPKSTDQTLSSIKPVVNSSSYGAFEMHTTGFGSRMMAKMGYVDGGGLGKDGRGIAEPIEAIQRPKSLGLGAKIPETSNTSEPMNVNTPPQRPNRAVGQGSRGSESRGKSGNVQFGCFEKHTKGFGSKMMAKMGFVEGMGLGRDSQGVVQPLVASRLPKSRGLGAKV >KVH88730 pep supercontig:CcrdV1:scaffold_541:169766:172774:1 gene:Ccrd_025945 transcript:KVH88730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSNIAAKFAFFPPEPPTYDVYKDEEDAPNGEKRYCFTGITADKNVDVHLLDTKVGNKVVATFWKHPFGRFTLLYSHGNAADLGQMQDLFIELRAHLRLNIMSYDYSGYGASTGKPSELNTYYDIEAVYNCLKDKYGIKQEDIILYGQSVGSGPTLHLAARLQRLRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKVQKVNCPVLVIHGTNDDIVDFSHGKRLWELAKEKYDPLWVQGGGHCNLETYPEYIRHLRKFISAMEKVSIASSKRSSGPSITGSVKHNKCLRFGKRS >KVH88725 pep supercontig:CcrdV1:scaffold_541:84050:121993:1 gene:Ccrd_025940 transcript:KVH88725 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MESPPVMKKVGQGGGSSESGGSESGAEEKVSSRTRLEYFGWVYHLGTNSIGREFCHLRFLYIRGKYVMMYKRDPHENPGIKPIRRGVVGNTLMVEELGRRKFNDGDLYVLRFYNRLDEEKKGEIACASAGETRKWMEAFDQAKQQAEFELSQSSTRHRLSMENEIDLEGRRPRVRRYAHDLKKLIRIGHGPETLVRRSSNLGRHHRSSDFMEGDAADAIEAHEWRCVRTVNGVRIFEDVSDYKRSKTVLVKAVGVVDASPDTVFEVVLNLDRHRRYEWDTLTGDLELVDCLSGHSDVVYGSYDPRCLTRWQSKRDFIFSRQWFRGQDGAYTILQFPAIHKKRPPKSGYRRIKINPSSWEIRNLRASAGTNGDRCLVTHMLEINSGGWFKWKRDQCSKFEKSIPYALLNQVSGLKAYIGANPALTFESSTTLVDTKVSDASGSISEFEDAEVAEEFYDAIAADSSSSSDDEEEEDDDNAQLDSKGQRVKLKNVSWAIARLALKAKKAMDANNELDPTVDPVTLAPSDFSGSMHQGKGDADTNCWTSPSGNGFKIRGKTYLKDSTKVPGGDPLLKLMAVDWFKLETSKSKVALHPKCLVQSEAGKKLPFILVVNLQVPAKPNYSLVLYYAADRPVNKNSLLGKFIDGSDAFRDSRFKLIPSIVQGYWMVKRAVGTKACLLGKAVTCHYLRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEGREEKELPEYILGTVRLNRVRLDTAAPLEN >KVH88734 pep supercontig:CcrdV1:scaffold_541:54672:57511:1 gene:Ccrd_025939 transcript:KVH88734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPALNPLKIPTTTNGETFEGFKRGYDHDHDHDQPLSPMARLFHEPGSNVYIVGIIGLKTKIKPDIFKHNLVHTLLRNPRFSSLQGKDKETGSMKWIPTHVNIDDHVIIAKLDPNMESSEKFVEDFISNLSRSPIESTKPLWDLHILDIKTSETEGTCVFRFHHSLGDGMSLMNLLLACASKASDPEAMLPGNTKKSGATKVSSLWSRLSVLWNSFVALVMFVSTALFLEDTKTPMKGSIGERDTEYTNIPENIRLRSTLFFNLRPTTEIEDVTDTSKHGTWGNKIGYALYSFKIGLKKDPLDYVRDAKAVMDRKKASLEPLFTYFFVNLILKLFGIKVAGKLNYKVFYNTTLWFSNVPGPQEKIWLFGHEVAYIAPSCYGQPNALMIHVVSYMDKLTFVLSADEETIPNPHQLSHDLQESLRIIKASLY >KVH88727 pep supercontig:CcrdV1:scaffold_541:130189:132562:-1 gene:Ccrd_025942 transcript:KVH88727 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MAPQRLTEYERKRLENIKRNDELLASLKIHSKLADLSASAKRQRLQIKSYRVSPEKKVKPETPIVIRRSLRTQGKEPDSATIPKSLVIPKKPPHELVPISMADANTCSESDQVLVEKILSVCRMKDGDSDGGYAKKGIGLRVRGSIDLESMELAPENIARVVAGRILSVKFFPSADMRMVVVGDKFGNVGFWNVDSENEDGDGIHTYQPHSAPVSGILIHPFCMNKIITSCYDGLIRLLDIEKEIFGLAYSTDDSIFSMSQRPDDMNSTYFGEGNGVLCIWDERSGKTSLSWNLHESRINTIDFNSENTNTMATCSSDGTACIWDLRKLSKRKPESLKLVAHKRAVHSAFFSPSGSLLATTSLDDTIGLVSGENYEDELMINHNNRTGRWLSTFRGVWGWDDSYMFVGNMKRGVDVISTATEEMRVVSTLESPHMSAIPCRFDPHPLNPGMLAGTTAGGQVYIWTSSS >KVH88726 pep supercontig:CcrdV1:scaffold_541:124037:128833:1 gene:Ccrd_025941 transcript:KVH88726 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor Mcm MSGWDEGAVYYSDQAQFPHGGGAGTDPEQAASRHTVLRKFKEFIRNFARQNEPNVFPYRESLIQNPKFLLVNLSDLLTYDKDQDLRDLLRKNPSDHLPLFETAAAEVNANLKSRVAGETGEMEEPQTGEVQILLKSDEDPVSMRSLGAQYISKLVKISGITIAASRTKAKATYVTLLCKNCKNVKVIPCRPGLGGAIVPRSCDHVAQMGETPCPIDPWIVVPDRSKYVDQQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTIMGIYSIFQAAKSSTSHKGAVAVRQPYIRVVGIEETNEASRGPANFTTEEIEEFKKFASEGNVYENICSKIAPSIFGHENVKKAVACLLFGGSRKTLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIRDNSTAFLFFVSLRYLLEQREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYSQDKNIASHIIRVHASADSANDARDTKDDNWLKRYIQYCRTMSQPRLSDSAATLLQESYVRIRQDMRRQANETGESTAVPITVRQLEAIVRLSESLAKMRLSNVANDNHVQEAIRLFNNSTMDAAKSGINQHINLTPEMANEIKQAESQIKRRMGIGSHLSERRLIDDLTRMGLNESIVRRALIIMHQRDEVEYKRERRVILRKA >KVH88723 pep supercontig:CcrdV1:scaffold_541:188483:197238:-1 gene:Ccrd_025948 transcript:KVH88723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calycin MANKEMEVVKGVDLQRYMGRWYEIASFPSRFQPKDGINTRATYKLNEDGTINVLNETWSGGKRGYIEGTAYKADPKSDEAKLKVKFYVPPFLPIIPVTGDYWVLYLDDDYRYALIGQPSRRYLWILSRQNHLDEEIYNQLLEKAKEEGYDVSKLKKTTQTDPAPETDDAPADSKGDKAKAQEEQWQNTLEHKHILETCGLIKMEVAKGVDLERYMGRWYEIASIPSRDQPKNGTNTRATYTLNSDGTVHVLNETWSDGKRGFIEGTAYKADPKSDEAKLKVKFYVPPFLPIIPVTGDYWVLYLDDDYQYALIGQPSRNSLWILSRQNHLDEEIYEQLVQKAKEVGYDVSKLKKTTHADTPPETEDAPADNKGIWWLKSIFGK >KVH88731 pep supercontig:CcrdV1:scaffold_541:177432:180933:1 gene:Ccrd_025946 transcript:KVH88731 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily HKPLRENHSICSSLCVELYSNFQVTGLFSLSTIQFLSLKSLSAFFFLSGFVFKKVKQKSELVSFLDSCLLFFLSSLVVLKILFEKEKMTVEEIRGVVAGNNEINRFPIGMRVLAVDDDPTCLKLLDGLLRKCQYQVTTTNQAITALKMLKENRNRFDLVISDVYMPDMDGFKLLELVGLEMDLPVIMLSGNSDPKLVMKGITHGACDYLVKPVRLEELRNIWQHVIRRKVESKPQSKSNNNHEKSNQGNEGGDQNVKLNRKRKDEDEDVEENGHESDDDPSSQKKPRVVWSIDLHRKFVAAVNQLGDEKAVPRRILDLMNVDGLTRENVASHLQVPRSFLENAIFGNQMGNSAYSMEKRLYIKRISHQANMVAAFGGSKDASSYMRMSPLEGLGDFRILSSSGRLSNAAYAPSGILGRLNSATGVTLHSLMAPPLVQPNHTQNKLQPVNHQNMNLFQEIPSSFDQCSNKPNTQLTDFSSIEESRIFTGNKLVDFNSIDESRMFTGSNPLMDPSVGLGSKSLKLSSTGSESFNLLSSSSNFLDQNGNQPPNFSSNQLPVGGNYGYSATVKGGICSNITTTDTIPLEAHGGGLVDEIVQNVNNQSLSNQKNGQYSKQDYSQYSQNAFSTLASLALASGGGGGLSGPLSHGGLNGGTSALFKTEMPSLEMKMRSSEDGLVVNNGYGSLDGLMTGMMKREQEARMIVDGEFGYDSYAFGPCA >KVH88732 pep supercontig:CcrdV1:scaffold_541:180613:183622:-1 gene:Ccrd_025947 transcript:KVH88732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1068 MTRRSGSCFRCCLVILAVISALCVSGPALYWKLKRGFNFKPSSSISCTPCICDCPPPLSLLKLAPGLVNLSITDCGKDDPDVKEEMEKQFVDLLSEELKLQKTVGEEHIHHMNITFGEARRVASQYQKEAEKCNTATETCEQAREQAEALMRQERKITSLWERRARQLATPFAMGKD >KVH88729 pep supercontig:CcrdV1:scaffold_541:137103:138600:-1 gene:Ccrd_025944 transcript:KVH88729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase II MEFFKPHTLFLFILTISSITNSMDSSHHAPNKGAYWPSWSDLSPSAIDTTLFTHVYYAFLSPSNITFKFEIDNPTALLLKNFTTSLHKKKPPVKTLFSIGGGSEGWLIFSRMASSHGSRKTFILSTIEVARKFEFDGVDLDWEFPRTPSDMANLGRLLRQWRAAVKKEAKATGKCQLLLSAATYYSPEVRLDGVYRKYPVDSINKNLDWINAMCYDYHGPWDRSATGALASLYDPNSNVSTSHGLQSWIGAKIRREKLVMGLPLYGRTWQLKDPSLYGIGAPAVDIGPGTDGQMSYADVEKFNAQNNATVVFDLLTVSAYSFAGTSWIGYDDVRSVTLKVAYARALNLGGYFFWAVSGDQNWKISQQGENLI >KVH88724 pep supercontig:CcrdV1:scaffold_541:211501:212722:-1 gene:Ccrd_025949 transcript:KVH88724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKTNEAPARETGSSVRVVAPLLPLHDRIMLSRPYLVHWMPSSILGHKTICNPEPLDYKLDFFDAA >KVI11230 pep supercontig:CcrdV1:scaffold_5411:19685:30477:-1 gene:Ccrd_010362 transcript:KVI11230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MDTSVKLSPQTFRLYLLIAIFKGQKQVSALTTSSFTPYPFGRKLFNMGIRYVWLAVCLCALLPSMFDASSDGLLRINIKKRPLDVNSIKAARKDGKYGNGLKTTPLGLGDSSVDFVPLTNYLDAQYYGEIGIGSPPQTFTVIFDTGSSNLWVPSSKCIFSIACYFHHRFKGTQSSTYTKIGDALQISYGSGTISGFSSKDAVQVGDLCIEDQDFIEVTKEGSLSFVLGKFDGILGLGFKEISVGDLQPVWYNMIDQGLVKEQVFSFWLNRNEDDEEGGELVFGGVDPSHFIGEHSYVPVTKKGYWQFNMGDFLIGNQSTGFCEGGCAAIVDSGTSLLAGPTTIVTEINYAIGAEGVLSSECKTLVTEYGDMIWDLLVTPGKVCSQAGLCFSNGAQSVSSNIKTVVEEENRGLQDTVYCEVCEMAVIWMQNQLRQKETKEVVLDYVNKYILKTGEGIAAVCISGFMALDVPPPAGPLWILGDVFMGVYHTVFDYGNLQLGFAKSA >KVH12458 pep supercontig:CcrdV1:scaffold_5412:5919:13359:1 gene:Ccrd_025950 transcript:KVH12458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, C-terminal MDPTRAFVKNVKRVVVKVGTAVVTRDDGRLAVGRLGAIFEQLEKLNSRGFEIILVTSGAVGAGRQRLKYRKMINSSFADLQKPQVELDGKACAAVGQNGLMALYDTLFSQLDVTSSQLLVTDNDFKNADFRVQLCQTVDSLLDLRSIPIFNENDAISTRRAPYEDSSGIFWDNDSLAALLAMELKADLLVLLSDVDGLYSGPPSDPRSKLIHTYVKQKHQKAISFGDKSRMGRGGMDAKVKAASNAAYSGTPVVIASGYAANNIIKVLNGERVGTLFHKDAHMWIPVTEIGPHEMAGSARYDEALIRALAESVRKLAEMEEPIGHVLKRTELAEGLILEKKTCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSALPENVGTKLIGLLTSREEIPDLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHSDGICHVYVDKAANLEMAKKIVLDAKTDYPAACNAMETLLVHKDLTSGGLQELVKELEQEVKDVDEAIDHIHSYGSSHTECIVTDDHDVAEHFLSRVDSAAVFHNASTRFCDGARFGLGAEVGISTSKIHARGPVGVEGLLTTRWVLRGKGQVVEGDKGVIYTHKDLLKAV >KVH12457 pep supercontig:CcrdV1:scaffold_5412:20919:34067:1 gene:Ccrd_025951 transcript:KVH12457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MADEVKLYAVGGSPFVCRVKIALNLKGIEYENFEENLSNKSADLLKYNPVHKKVPVLVHNGKSISESLVVIEYIDDVWKGVPILPQDPYEKAVARFWAKFIDDKVFGSNGDEQAIAEACGHLQILENELAVKGNKFFGGDSINLVDIAADFIAYWVGVMEEATEIKFFTNDKFPKLTEWADNFVECQVCMPALFKVYSSNEGEQAMAEACEQLQILENELSVKGNKFFGGDNINLVDITADFIAYWLGVIEEVTEIKLVTKDKFPKLTEWADNFVNCQVXKEILPPRQNLLASFRKSRKVGVAAIESENMADEVKLYAVGGSPFVCRVKIALNLKGIEYENFEEDLSNKSADLLKYNPVHKKVPVLVHNGKSISESLVIVEYIDDVWKGVPLLPQDPYEKAVARFWAKFIDEKFIPAIFKALSSNGDEQAIAEA >KVI01404 pep supercontig:CcrdV1:scaffold_5418:215:1674:-1 gene:Ccrd_020323 transcript:KVI01404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGAKEAVLVNHSECDTSFPAGSGYLAELTEDPQITLPIWTNKLCTTSLRGKDSSRPARLSQTIGRVISFPSPYKDLLDYPGSIGLVLS >KVI01405 pep supercontig:CcrdV1:scaffold_5418:12432:19511:-1 gene:Ccrd_020325 transcript:KVI01405 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding, type 1 MQSNSVKISPFDLMSAIFNGKVLDTSNASESGESTMPPALAMLMENRELLMILTTSVAVLIGCVVVLVWRRSSTKKSGKDFETPVIVVPKKIKEEEVDDGKKKVTVFFGTQTGTAEGFAKALVEEAKVRYEKAVFKVIDLDDYAADDDEYEEKLKKESLAFFFLATYGDGEPTDNAARFYKWFAEGDEKKEWLGKLQYGVFGLGNRQYEHFNKIAKVVDENLAEQGAKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTVSTPYTAAVSEYRVVFHDKPDTSAEDQSYTNGHAVHDAQHPCRSNVAVKKELHTPESDRSCTHLEFEITNTGLSYETGDHVGVYCENLSEVVDEAERLVGLPPDTYFSVHTDKEDGTPLGGASLPPPFPPCTLRKALACYADVLSSPKKDEYAQWIVLSQRSLLEVMEAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKMAPNRIHVTCALVYEKTPAGRVHKGVCSTWMKNAVPMTESQDCSWAPIFVRTSNFRLPSDPKVPVIMIGPGTGLAPFRGFLQERLALKEAGTELGSSIFFFGCRNRKVDFIYEDELNNFVETGALSELIASDIWNLLSEGAYLYVCGDAKGMAKDVHRTLHTIVQEQGSLDSSKAEHYVKNLQMSGRYLRDVW >KVI01406 pep supercontig:CcrdV1:scaffold_5418:6207:9050:-1 gene:Ccrd_020324 transcript:KVI01406 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA recombination and repair protein RecA MSKKDLALKQAIDQINTSLGKGSIMFVGQCASPRQVPVVSIGSFALDIALGVGGFPKGRVVEIYGPEASGETTLVLHVIVEAQKQGGEQEVPVSNTGFYLCQLAANDMGQVNDIGPMEHVGEQGNAIVGA >KVI11187 pep supercontig:CcrdV1:scaffold_542:47228:53754:-1 gene:Ccrd_010405 transcript:KVI11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b245, heavy chain MVEDKKSINGPHSDEHIFTTKKSGEKRFIKAKAICFIPFSISKQMGTEGSPHEPLLLPNKQDHTPFSYICGTSFLLKSTKWVLKFAMWFIFISWATFLFLVPSDSVNQLSSKLVHATSGTLFAVTVLMIAFLAIIYLRISGEEEIQEKKKKSASFRLWTFPILIDGPFGVVTAAELIGILLFSVNLLLLELTGLRFGYIGLICMAFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMMLFTLHGLFYVIAWAIEGRLIQELTAWKKVGIANLPGVISLVAGLLMWVTSLPPVRRINFELFFYTHQLYVVFVVFLAMHVGDFVFSIAAAGIFLFMLDRFLRFFQSRKTVDILSAKCLPSGTVELVISKPQGLQYNALGWVFVQIRELSWLQWHPFSVSSSPLDGSNHLAILIKVLGDWTEKLRGHISSVPEGETDRLLQSNFTLKASVEGPYGHESPYHLTYENLILVAGGIGISPFLAILSDILHRIQESKPCLPRNVLIVWAVKKSDELPLLQSLDLNSLCPYFYNTLNLEIQTYVTRESDPPLEEGKIPKYESTSVFPAPSHGGMSSLVGTGNIIWSGAYVVLSTIGLVVSVALLDIFYINPYNIMDWWYKGLLFIICMAASVVLFGGFVIGLWHLWDTKASVHKNSEGQKYLGGLQNDEANVYKNASREDFVNIIKYGQRPDFKEIFGSMAERWGNVDIGVMVCGPTALQTSIAKECRSKSFGRMSNEPIFHFNSHTFDL >KVI11281 pep supercontig:CcrdV1:scaffold_5426:24228:30275:1 gene:Ccrd_010309 transcript:KVI11281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRKVATMLPWLVIPLIGLWLLSQFLPPAFRFEITSPRLACVLVLLVTLFWYEILMPQLSSWRARRNARLRERKRFEAIEMQKLRKTATRRCRNCLTPYRDQNPSGGRFMCSYCGHISKRPVLDLSVPPGLGRLSNSGILKDLVGKGGKMLNGKVWSDNNWICGQDWLENGGNWVNGSLSGKSSYWKKNSGAFFSGGTDHFFSEKSYSFLLVFICKSLAAIFFGIMWLWGKLFRVVLAEDDTSADDIRGLGKKGENGVNCNESRSEKARRKAEEKRHARLEREQLEEEERKQREEVARLVEERRKLRDEKVVTEKDQGKASPQDIDEKREAQRKRQERKKEKDRGSSKSNSDVDEIEKRAGKETEKNRKGETDRHEHHRSGTESLRSNKTDTGHGSKVVAANNLYKGSVGAKYLDRMRGNFFPSSRTLSAGGFFGKGTNANASNIRENKSSASLDHVQVTANKRDLFQPERAYGKSNAYADDQNHSRPAIFESQPFPAPKRSWQQLFTRSSTTTLPTSTNVISRPNGKLQTEAQSSIASSYPATEGLDSPITSGLPYPPPKFSYGNCTSSTGLRLPSNPMLPRVGEVPTELLPEESDNFEDPCYVPDPASLIGPVSESLENFQLDLGFVPDLGFEKPCPIKHMPGLSEVNRPSPIEAPMSRLWFSDEMRANSFLFPSTQKIQDKTIFPLEDHDTANEKGWQMWNSSPLCQDTLGLVGGPSTWYLPPELSGLNNEGVVQLQPQKTMASMLRKEDHVPSNTNSQQELRQNVGTSNAFMHATSDDPWALRTSYGSMSSNNHLSLNLQEGTTHNEMVYGVPNGSAANHQFQLSHGSVWAKPCALCYSLQILYTKLMLFSYDAND >KVI11282 pep supercontig:CcrdV1:scaffold_5426:30901:31986:-1 gene:Ccrd_010310 transcript:KVI11282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MAFNEVKENTSNVNGNGMAEVDTSSPFQSVMEAVTRFGGIGFWKPHFQTIDQDAIKAEDQATTQLPNNPTPEERETIEIMKEILAMKTRFEELKAKLQKEAQINTHPSDDRNNATRIQVDDVELSKSEILKRVEEATEDVKHCTRVLEEVLGRVEAARHKANLFATNESYSGCKMLVSVPTMSIGQILSRKLVMAEERSEKSRIKWKVSLAQILSKGGSREKRGGGGEKGVPAKRMKFGFGGMSSLVAKSSTKKKKKKMT >KVH11580 pep supercontig:CcrdV1:scaffold_5429:8557:28229:-1 gene:Ccrd_025952 transcript:KVH11580 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MASCSCHLVILDMNRTSPIVQTVTRKRLPFELHRFREPGNFLGFMTKWRSHERRRLNLVVTAGAELSNPFSVNIGLDSQTYQSHNLTQLPWVGPLPGDIAEVEAYCRIFRAAERLHNALMDTLCNPVTGECSVSYAFPSEDKPLLEDKIVSVLGCMICLLNKGREDLLSGRSTIMKSFSASNVDIMEDKLPPLALFRREMKRYCESLHVALEIFLTPDDVRSLDVWRKLQRLKNVCYDSGLPRGDEYPPQSLFANWNPVYLSTSKEDIEPTDSEVAFWRGSQLTEESLKWLVERGFKTIVDLRAETVNDIFYETALKDAVSSGKIGLLKLPVEVGTAPAMGQVEIFSALMSDSTKKPIYLHSKEGVWRTSAMVSRWRQYMSREQLQLSSISKVDIAVEEDNEDSPTTVNQYKSSNGAYKGIDTQVLNEIDNDQDGSSVGFCISPMESQLPPCNLFSRKDMSMFFRNKTLSPTTYFTFERKRLEKQARSRKKHNYNESFLRIKNPDSGFVETERSNGSVTYTNLSTYSGNSVGQNHHNSLPAPSSLEEFNDADRHATSKVGAHVTGTDSLGVVSEILSNGKPVKSSTNEDVELIEGNMCASTTGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSSPKTVLLLKKLGQELMEQAKEAASFLYHQENMNVLVEPEVHDVFARIPGFGYVQTFYSQDTSDLHERVDLIACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHAFEDFKQDLKRVIHGNNTLEGVYITLRMRLRCEIFRNGKAMPGKIFDVLNEVVVDRGSNPYLSKIECFEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRICMSQHPLPTVNKFDQTGDWFRSLIRCLNWNERLDQKAL >KVI11677 pep supercontig:CcrdV1:scaffold_5431:12175:13224:1 gene:Ccrd_009910 transcript:KVI11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MGKGGALSEGVLKKIILSYTYVAIWIFLSFTVIVYNKYILDRKMYDWPYPISLTMIHMGFCSSLAYILVSVLKVVEPVQMTRDIYLKSVVPIGLLYSLSLWLSNSAYIFLSVSFIQMLKALMPVAVYSIGVLLKKEGFKGDTMTNMLSISFGVAIAAYGEAKFNSWGVMLQLGAVCFEATRLVLIQILLTSKGITFNPITSLYYVAPCCFAFLSIPWIIVEFPKLRDTSSFHFDYLIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGIAFLGVAYYNHAKLQALKAKEAEKKATQIDDESGKLLEDRSAEKSARKSESDN >KVI08379 pep supercontig:CcrdV1:scaffold_5433:11999:16900:1 gene:Ccrd_013248 transcript:KVI08379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MRVPAGGGGPFRARLWPIVIALTVLGVSNLIAASADDHPYIYSSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPLPYVYKSPPPPSPVPHPPYVYKSPPPPSPSPPPPYSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPMHSPPPPYYYKSPPPPVHSPPPYYYKSPPPPVHSPPPYYYKSPPPPAKSPPHYYYTSPPPPTPYHPYPHPSPRHHEVIVKVIGKVYCYSCYDWKYPIKSHAKHHLKGAVVEVTCKAAGEKKIVAYGKTKINGKFAITVEGLDYSKYGGAEACIAKLHMPPNGTTCNIPTNLHGGLKGAKLKVKSKNAHLVVLYAKPFAYGPKTPYKICEKPKPKPKPTPTPTPYYYKSPPPPSPTYLYKSPPPPTPTYHYKSPPPPTPSHPTPYIYKSPPPPTKSPPSTPYHYTPPPPPKESPPYYYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYYYTSPPPPKKSPPPPYYYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYYYTSPPPPKKSPPPPYYYTSPPPPKKSPPPPYHYTSPPPPKKSPHPPYHYTSPPPPKKSPPPPYHYTSPPPPTESPPPPYHYTSPPPPKKSPPPPYHYTSPPPPKKSPHPPYHYTSPPPPTESPPPPYHYTSPPPPKKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPTESPPPPYHYTSPPPPKKSPPPPYHYSSPPPPTESPPPPYHYSSPPPPKKSPPPPYHYTSPPPPIESPPPPYHYSSPPPPKKSPPPPYHYTSPPPPVKSPPPPYHYTSPPPPTESPPPPYHYSSPPPPKKSPPPPYHYTSPPPPTESPPPPYHYSSPPPPKKSPPPPYXPPYHYTSPPPPTESPPPPYHYSSPPPPKKSPPPPYHYTSPPPPTESPPPPYHYSSPPPPKKSPPPPYHYTSPPPPTESPPPPYHYSSPPPPKKSPPPPYYYTSPPPPVKSPPPPVYIYGFISGTSTIKKAPQGDV >KVI08380 pep supercontig:CcrdV1:scaffold_5433:12087:14968:-1 gene:Ccrd_013249 transcript:KVI08380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGMVGEGTSLVGEVRYSSKVEEGTSLVGEVRYSSKVVVVRCSDMVGEETSSAVVVMYSGMVGEGTSLVVEVRYSSKGEEATSLVVGVRYSNMVEEATSWVVEVMCSGKEEEVTSWVVEVMCSSKEATPLVVVVEAILSVVEVICRYTVSDVKGLVVVETCSGKWVLVAVETCKGKWARVVVETCSSKELELVLALALALASHKSCKESWDRLKEYAPISTSRSSCSSRLYRSPLRLLRGGEGLDGGRGGRELVAVEKCSSNEEGIWLVVVGICSSMVVVNAPVEEEICSNMVVVNALVEEEICSSMVVVVNASVEEETCSSMVVVVNAPVEEETCSSMVVVENVPVEEETYSSTVGEENVLVEEETYTHKVVAGMVMVEVEICTRMGVEVREMVVVGTCRHKEDEVVEETVMVVVETCRHKEDEVRGMVVVETCTHKVVEETVMVVVETCTHKVVEETAMVVVETCTHKVVEETAMVVVETCTHKVVEEMVMVVVETYTHKVVVEKVKEVVETCTHKVVEVKEKVEVVTCTHMAVGEMVMVVVVTCTHTVVEERVKVVVETCTHTGEVVKNICKDDHQPKQL >KVI01600 pep supercontig:CcrdV1:scaffold_544:91976:95482:-1 gene:Ccrd_020121 transcript:KVI01600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLAKLWDDTVAGPPPEKGLGKLRKQSNLSFRSLNSDKESETVGNTAVEYPSMKVTRSIMIVKPERSLGETPPASPAASTPPVSPFAGKLQFYSLAALKAAQTHLGFVGSQHQTISRRQVELEVGTLVLLTSSEI >KVI01605 pep supercontig:CcrdV1:scaffold_544:191533:192531:1 gene:Ccrd_020124 transcript:KVI01605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CKDWLWTSHLAGGPPITNGEPPQAVDNSYGHIFSANPVHIPVSESVQIHQPLDNMYGNHHPELQKFSSMGRATDSKPKEKNSSQPIIRTSSPPIGILESQINSNSHQPGYMQANNNVTSYQGHHPQSVRPSNPSGTPTLAPGNFFSPNPHLRTPHFMPPMPDGYSTTSASLTYVPDIATGPDFQQSSSSALDTQSAAATGCGVWGTPGCPKPSEYVQGLKGVISLALSTPKK >KVI01603 pep supercontig:CcrdV1:scaffold_544:131492:133316:1 gene:Ccrd_020122 transcript:KVI01603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFILPNQTSNVLSSLSKINTKGSREESYLSFVAEVVAGSAAWLGRGLSCVCAQRREDDSRASFDLTTGQVLS >KVI01601 pep supercontig:CcrdV1:scaffold_544:4775:16818:-1 gene:Ccrd_020120 transcript:KVI01601 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MATAMAEDTSFEDDQLASMSTEDIQRASRLLDNEIRILKEELQRTNLELDSFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEVEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVNHEDFNEGIIQVQAKKKSTLNYYA >KVI01602 pep supercontig:CcrdV1:scaffold_544:190230:194951:-1 gene:Ccrd_020123 transcript:KVI01602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxia induced protein, domain-containing protein RFVGGTKFVFLFLFGFLYLLIYVYPFPNLFPYHLQPFPFHLTISANMSDSNAKSNFQSMREWIVEHKLRAVGTLWLSGIAGSIAYNWSQPGMKTSVRIIHARLHAQALTLAALAGAAVVEYYDHKSGAKSERYAKFLPPVDSYSHKD >KVI01604 pep supercontig:CcrdV1:scaffold_544:192539:194035:1 gene:Ccrd_020125 transcript:KVI01604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEANITNCICYGNPKQRDNNVKKAVESAVKQQLVVKQNLGTLPFFVSKNKKLWKYNSMVSGLNMLGIKIKINFQLNSNLISIVEP >KVI06790 pep supercontig:CcrdV1:scaffold_5442:7052:11961:-1 gene:Ccrd_014855 transcript:KVI06790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid lyase MDQCTNGHANINHDXTDPLNWGVAAAALSGSHLEEVKRMVGEYRNTVVRLGGETLTIAQVAAVATTNVQVQLSESARAGVTASSXWVMDSMKKGGDXYGVTTGFGATSHRRTKEGGALQQELIRFLNAGIFGTGAESDHTLPQSTTRAAMLVRINTLLQGYSGIRFEIMEAITKFLNHSITPCLPLRGTITASGDLVPLSYIAGILTGRHNXKAVGPAGELLDAVSAFNRAGIXTGFFELQPKEGXALVNGTAVGSGLASVVLFEANILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKEAKKIHEMXPLQKPKQDRYALRTSPQWLGPLIEVIRTSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAVASIGKLMFAQFSELVNDFYNNGLPSNLSGSRNPSLDYGFKGAEIAMASYCSELQFLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAVEIVKLMSSTYLVALCQAVDLRHLEENLKSTVKNVVSQVAKKVLTTGXNGELHPSRFCEKDLLKVVDREHIFSYIDDACSATYPLMQKLRQVIVEHALANGEAEMESSTSIFQKIXGFEQELEALLPKEIESTRVDHEGGKLAIXNRIEECRSYPLYKFVRTELGTGYLTGEXXXSPGEEFEKVFSAICAGKLIDPLLDCLKTWDGKPLPIS >KVH10521 pep supercontig:CcrdV1:scaffold_5443:762:11576:-1 gene:Ccrd_025953 transcript:KVH10521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYEATIGNFEGCPQWLLDRLLPLLTVCDRLYLENLKADGDPSSYSCLGITTSDLDAFVNLSLGPMEIYS >KVH10518 pep supercontig:CcrdV1:scaffold_5445:33233:35135:1 gene:Ccrd_025955 transcript:KVH10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MGRGKPLLVSSVTELKKKEKIEKKAKSGGFESLNLCSNVFRGVKRKGYRVPTPIQRKTMPLILSGADVVAMARTGSGKTAAFLIPMLERLQQHVSQSGVRALILSPTRDLALQTLKFTQELGRFTDLRVSLLVGGDSMEGQFEELAQSPDIIIATPGRLMHHLEEVDDMSLRSVEYVVFDEADSLFSMGFAEQLHRILSQLSDNRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLTFFTLRQEEKLAALLYLIREHIRSDEQSLIFVSTKHHVQFLDHLIREEGIEPSVCYGDMDQDDRKINVSRFRSRKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKLFVHRVGRAARAGRTGTAFSFVTSEDIAYLLDLHLFLSRPIRPAPXEEEVLRDMKGVMSKMDQAMTSGETVYGRFPQTAIDLVADRVREILDSSTELDCLLRPCERAFRLYTKTKEKPSRESIKRAKNLPREGLHPMFINVLGGNELSALAFSESLKAYRAKQTVLESEGHAAKAKHLQVITEY >KVH10519 pep supercontig:CcrdV1:scaffold_5445:14868:27175:-1 gene:Ccrd_025954 transcript:KVH10519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 7 MMIDLGSFSDEKFDAKKWINNACQSRHPQDPLDKHLVDLEMKLQMVSEEIAASLEEQSSAAILRVPRATRDVIRLRDDALSLRQSVASILLKLKKAEGSSAESIATLAKVDTVKRRMEAAYETLQDAAGLTQLSSTVEDVFASNDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDSMVQPRLTDAITNRKVNVAQDLREILIRIGRYKSLESHYTKVHLKPIRQLWEDYELKQQRTKTGSERNQVESISSAHDYQSSLPTVSFPSWLPSFYDELLLYLEQEWKWSMLAFPEDYKTLVPNLLIEAMVAVGASFVSRINLATGDVVPETKALAKGVLDILSGDMPKGVKVQTKHLDALIDLHNMTGSFARNVQHLFGESDLXVLRDTLKAIYLPYESYKQRYGQMERVTLSAEIAGIDLRGAVTRGIGAQGIELSETVRRMEESVPQVIVLLEASVDRCISFTGGSEADELVLALDDVMLQFISTLQDILKTLRIVCGVDVAPDGVGSKKEIGSEKRGKFELSSEEEWSYVQSALQILTVADCLSSRSAVFEASLRATLARLNTNLSSAVFGSSIDPNSSHEAIDGTGDLSMAGRAALDMAALRLFDAPEKARKLFNLLEQSKDPRFHALPVASQRVSAFVDTVNELVYDVLISKVRKQFNGISNLPIWSAVEEQSAFHLPSFSAYAQSYXTTVGEYLLTLPQQLEPLAETISNNDTNAEEAQFFATEWMFKVAEGAAALFMEQLRGIQYITDRGAQQLSVDIEYLSNVLSALSMPIPPILATFHTCLSTPREELKDVIKTDSESLDLPTANLVCKMRRVNLE >KVH10515 pep supercontig:CcrdV1:scaffold_5447:26901:33143:1 gene:Ccrd_025956 transcript:KVH10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MISEKLVQEESKKMRETDAENDQQTTCTCTCMDMLHKIANLVLGENHEAKKTKVKGKVVLVKKNLLDFNDLGASILDRAHELFGQRISIQFISATHADRRSSDKSLRGKLGKPAILEDWISTITPLEVGESTYEVIFEWDEEIGLPGAFLVKNLHHSEFYLKTLTLEDVPGHGQVHFVCNSWVYPAERYTKDRIFFTNKAYLPGETPELLRPYREEEMVILRGNGTGELKEWDRLKAIYAFWLLTDPKTESRLSLIKSLKVYVPRDERFGHLKKSDFLAYGLESILKVLLPEFEALADDTLDEFDSLEDVLKLYDGGIKLPEGPLLDNLLEKIRFQMLKELVRSDGEGLAKYSTPQVIEAEISAWNTDEEFAREMLAGVNPVSIQLLKDFPPTSKLDTEVYGNQNSSIRSHHIVEHLDGLEVEKVLEANRLFILDHHDSLMPYVKRINATSTKIYATRTLLLLQKDGTLKPIAIELSLPHPDDEKLGAINEVYTPAKDGVEAATWQLAKTYVAVNDSGVHQLISHWLNTHAVIEPFVIATNRQLSVLHPINKLLHPHFRDTMNINAAARNILINSKGVLERTFFPGKYSIEMSSKIYKNWVFPNQALPVDLVLRGMAVEDPNAPHGLRLLIEDYPFAVDGLEIWSAIKTWVEEYCKFYYRNDNMVENDAELQSWWTELREEGHGDLKDEPWWPKMSSVQDVIDTCTIIIWVSSALHAAVNFGQYPYAGYPPNRPTLSRRLMPKTSEYDELMKDPKKFFLKTITPQLQSLLSVSLIEILSRHTSDEIYLGQRECPEWTMDAEPLHAFEKFGKKLKEIEKRIKDLNEDHERLKNRFGPVKMPYTLLHPSSQEGLTGMGIPNSVSI >KVI06893 pep supercontig:CcrdV1:scaffold_545:136200:170700:1 gene:Ccrd_014752 transcript:KVI06893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoglycoside phosphotransferase MLSAAAASAAVASIPASVLGCATQSSSRRTIKRHRNNCRAVAGNFGHFVQVVKKDVDFLKKNISAGINWTSGVIGFPEISKKVDEFVWLRNLEDPHYSAEVQSPSWPQPYYPELSGSDLIWADLKALEAYIIYYYHLSKMWSKPLPEVFDAQEADDYFKCRPHVVALRLIEVFGSFASAAIRIRIAGIKRSKNTNAGTEADEYNSQYNFGMVLKETMLNLGPTFIKVGQSLSTRPDVIGFQVSKALSELHDQIPPFPRSLAIKIIEEELGAPVETFFSYISEEAIAAASFGQVYRANTVDGLDVAVKVQRPNLRHVVFRDIYIMRVGLDLLQQVTKRKSDLRLYADELGKGLVGELDYTLEAANAAEFMVIFIKFDEVTSTIWHLILDCLEAHSLFSFIRVPKVFQHLTQKRVLTMEWMAGESPKELLSMCSSNFEHESQYSEKQRIDAKRHLLDLVNKGVEACLVQLIETGLLHADPHPGNMLYLPSGQIGFLDFGLICRMEKKHKFAMLASIIHIVNADWASLVESLAEMDVVRPGTNISRVTMVIPPSSNLILEMENSMDEIELKDGIPDIRFSRPTSAECFLPSSLLLPPTPPLDHPHWLALVASSDTLVPLENPADPKPPLTALWSYPYPFMDDSEEGTSSYVFALVAVLEDATRVLGKVWAIALKYHFRMPPYYTLLLRSLASFEGLAMAGDPNFKTFEDSYPYVVRKLLTDNSLDTRKILHSVVLNKKKELRWEKVAFFLRIGATSKGLHLAATSRTSTEYSFNGPSRVSDTINLVLKLLLSKDGVVVRRLLMTADGASLIRGIVSKEAGPFRQQLCRMIADVVYQSICAALGNTFMIARNRAIYRSSSTLAIDYQSLVRNRRLKVILLKVLESGRKDPILMMRLCWVSFVTLIAASALACHRVLISLSEDFLDRLSLASKKLAVST >KVI06892 pep supercontig:CcrdV1:scaffold_545:70864:76135:-1 gene:Ccrd_014753 transcript:KVI06892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MLMEKLTILLFCFGALISLLTISNAADTLATNEAMRDGDTILSAGGMFELGFFSVGNSRNRYLGIWYKKVSNRTVVWIANRDAPLNNTSGMLQVSSDGILQLLSDGDTIWSSSSVSLRNIAPVAQLLDDGNLVVRDERSTDQQIFIWQSFDYPTDTILPGMKFGKDLVTGIDRRFISWKSVDDPSTGQYTAYMDTNGFPQIFVRQGNDLHSRSGPWNGLRFSGMPEWRVKATALKEFVFNEKEIFYRYKVANSSIISRLYMNPEGDLTRMNWVDQTRSWFHILTTVPVDSCSPYGLCGPYGTCNANNFPVCSCMRGFEPKHPEQWSVADWSGGCTRRLPLNCGSGDGFLRISGVKFPDTRRSWYNSSMTLGECEMACRMNCSCTAYSDLDIRNGGSGCLLWFDELMDIREYDETQNLYIRMAVSELTSKHFLSRYWLLEESYLTFKYDGSKNRPFLEIMVLTGAESDSGVLDDGREIAVKRLSKTSRQGIDEFKNEIRCIAKLQHRNLVKLLGYCIQGDETMLIYEYMANKSLDLALFDEGQSSILLDWPQRYRIIHGIARGLLYLHQDSRLRVIHRDLKAGNILLDYDMNPKISDFGLARRFIGFETEATTNKVVGTYGYISPEYAVHGLFSVKSDVFSFGVLVLEIVSGKKNRGFFHEEHDDNLLGHAWRLYNEERTLELASSQIRDVCIDSELLRSIHIGLLCVQQHAEDRPTMSSVVVMLDNESTLPPPKQPAFFTQVSLPENNAISLGPTQNSVDNVTITMLDAR >KVI06891 pep supercontig:CcrdV1:scaffold_545:196988:200442:-1 gene:Ccrd_014751 transcript:KVI06891 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MRCYSHLGEDDKDNVSDDILQHHNRRFSITLKQLVVAVFIIQGRSVPLGTPNFSMSEDEMPNAELPDEVIRDVLSRLSVKSLLQFRCVSKHWRDLIADTHFVKSHLKNVLALSSHHQILVPTSPLLSLSYNASLDDINASIELDCPFPKPRTSIKILGSCNGLVCLIDGTRDMIIYNPSTRRHFKPYQSPQQVLHCSNRIEFVYGFGCGSNPNDMRVVRFPRFARDSEYIKFKVCGVGSGSRVSGVVAYNYDFIDTVGTFLNGSLHWLAHHSSNDDENRVIASFNISEETFRDLSLPPQEGSLPYYISGVLGGCLSALCDDMYYTDVDVWLMKEYGVVNSWTKFIKIPLNTGIENISYMMPLSSLNDDEILLEIDLQSFVVYNVKKKTFRHVTVAHDLKWFGDAAIYVESLLSPEVLCVLY >KVH89539 pep supercontig:CcrdV1:scaffold_5453:14176:16463:1 gene:Ccrd_008470 transcript:KVH89539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding-like domain-containing protein MAASLTSSLSSTFSTLSLRRFSLLPTHQQPNSLSLARPANFPSIRAQSADVDTTFFDNLDPDENNTFDPPEKPEDYVPPTSFDEGPPESEDEIAAAYEELYGPAYSGVSVLGNDVYVMDSKVKKTSSFGKVKKDKIRDGFEERVVQVRRVTKVVKGGKQLHFRAVVVVGDKKGQVGVGVGKAKEVVAAVQKSATNARRNIITVPMTKYLTFPHRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSNNALNNARATVVAVQQMRQFSEVARERGIPMEELWK >KVH99509 pep supercontig:CcrdV1:scaffold_5454:14219:16438:-1 gene:Ccrd_022256 transcript:KVH99509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MANRDSPVNGKYSKLSLTDVGNLVLKDADQYIIWSTLTESTSSSLQLQLHNTGNLVLHERGXQPLWQSFDYPTDTLLPNQPLTKTTQLVSSRSSTNYSSGFYKLSFDGDSILRLHYDGPEASTIFWPDPGLLSWQARRYQFIYSRRASFDSSGQFISSDGLGFVSSDWGIGPQRMMKIDTDGNLRVYSLVEDDERRKKWEVQWQAVSHSCRIHGICGPNSLCSYSQDSGRRSCSCLHGYKMXNSQDWSYGCEPDFMICRPDDDDDEFIELRHVEFFGFDTQYLENYTLDSCKKVCLQNCSCKGFQFGYDDEVGTYYCYMKTYLYNGYQMGFYNSMYIRLPKGLVSSFHQKYTTIKNSSFRCSAPVVTPIIRSYEKKHGNKLLAFMLVFGCVIGFLEIIGIVFFWYRNRRNSVSMEQTYFLPATGFRKFTYNELKKATCNFSEEIGRGGASVVYKGRLSDDRIVAIKRLKTANDQGEAEFQAEISTIGRLNHMNLIETWGYCAEGKHRLVVYEFMENXSLAEKLQTGELNWETRLDIAKGTAKGLAYLHEECLEWVLHCDVKPHNILLDGDNNPKVADFGLSKLFDRSGIEKSNFSTIRGTRGYMAPEWVFNLPITSKVDVFSYGVVILEMITGSGPSRKKQTSDENGEIEHELVDWVRDRIRGFDGTRTGSWVEEIVDPSISGEYDRTTMENLXRIALQCAEEDMEVRPSMSQVVNMLLHPDTDTYTYTDTYTNTNTDR >KVI00880 pep supercontig:CcrdV1:scaffold_5459:24709:25263:1 gene:Ccrd_020860 transcript:KVI00880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MASFITFSLFNLYLALIFAALVTANATFSEHFAKTKLTPTARKMTRLHFYFHDITSGKSPTAMAIIRPKTAFGTTVMIDDPLTVDTKPGSKVVGKAQGFYALASQHDLALLMAINFAFTYGKYNGSTLSVMGRNPVLDEVREMPVVGGSGVFRFARGYALASTVRYSLKTGDAVVQYNVTVMHA >KVI00737 pep supercontig:CcrdV1:scaffold_546:49579:51775:-1 gene:Ccrd_021008 transcript:KVI00737 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MGVIAVTDAPLHVLAVDDSNLDRKLIERLLKTFSYNDLQFFLRLLAAVTAVDSGTKALEFLGLQDVEKLQSFSPEQCHPEVKVNLIITDYCMPGMTGFELLRKIKESTSLKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVRLSDVDKLKPYLSKNKRKTTNEEDCVSNDKSRARYTDIEERQTFTED >KVI00738 pep supercontig:CcrdV1:scaffold_546:125256:130537:-1 gene:Ccrd_021010 transcript:KVI00738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSWGQVSRGPHNFFANDETLYWRNTYRAQGFVVPGKEAFNLLYYIVEVTDLPSSSTIFCINVIVVLGI >KVI00736 pep supercontig:CcrdV1:scaffold_546:102893:122900:-1 gene:Ccrd_021009 transcript:KVI00736 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEENQDHSIRNHKHSGYLCVVLSISPANTHNQSVDNGIPKTLNVNTSCDIFRDGPDVGFVSSDGFVMSLINSNSMNSTAIHEEDTGKPLKSKSERGGGSMSVSKKKLSKIGLVHGSASVVHQLHALVNHKCLKIASRVVRIARKKEKGEMRVVVLVDVYLPIALWSGWQFPRSRSTAGALFRHLSCDWQARGSMMDCNKPDSIDDHIWNVSDCHVLGCNQHCNAPDTSQKKLFELHEIFRSLPSVSMQGDSVHSKVNPADDACTSGFWLLPDDVLVNILTALDPLELLRVSSTCRHLRSLAATIMPSMKLKLFPHQQSAVEWMLKRERDPEVFPNPLYLKFATEDGFAFNVSTVSGEVVTGTIPMIKDFRGGMFCDEPGLGKTITALSLILKTLGTLADPPEGVEIIWCKQNGDQKCGYYELGGDSMNCGSVLASKKITGRTSRRGQTFLDNVTPGCQKENSKWNSSETAKTPMFVKSAAAACTVQCTRSWTKVKRNLLDAYEGASYPSSERKVGETSKKRKLAAVGAEDGLPFVLSRNMNKRTKKATVDYFELNETWVQCDACRKWRKLVDSHVTDSSTAWFCSMNSDPFHQSCSVPEESWDNCQSVTYLPGFYTKGTSEGKEENVSFFASVLKEHYALINFETKKALIWLAKLTEDKLFRMETTGLVHPLTGTKVLSTGEVRGFHKIFQAFGLVKRLEQGTMRWHYPRNLVNLAFDLAALRIALCEPLDSVRFYLSRATLIVVPANLVDHWKNQIQKHVKSGQLRVYVWADHKKPSVHNVAWDYDVVITTFSRLSAEWSPKKRSVLMQVHWLRVMFDEGHTLGSSLNLTNKLQLSVSLTASNRWLLTGTPTSNTPNSQLSNLQPMLKFLREEAYGQDQTSWEAGILRPFEAKMEEGRARLLQLLRRCMISARKKDLRMIPPCIKKVTFLNFNEEHARSYNELVVTVRRNILMADWNDPSHIESLLNPKQWKFRSTTIRNVRLSCCVAGHIKVTDAGQDIQETMDILVENGLDPLSEEYAFIRYNILYGGNCMRCEEWCRLPVITPCRHLLCLSCVALNSEKCTFPGCDNLYEMQSPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTSSSKVSYLVKRLKDLLEAKKIIDSCINEGHDGKEIDEFFSPFGRSKASARSINGFPEKVLIFSQFLEHIHVIEQQLTVAGIKFVGMYSPMHSVNKVKSLATFQYEEECMALLMDGSAALGLDLSFVTHVFLMEPIWDKSMEEQVISRAHRMGATRPIHVETLAMHGTIEEQMLKFLQDTDECRKFLKEEYVHEGARARRTLHDFAESNYLAQLSFVRKISKNKEPEQQ >KVI00739 pep supercontig:CcrdV1:scaffold_546:162502:177856:-1 gene:Ccrd_021011 transcript:KVI00739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMYNDPQQQQQPQQQQQQPIYQQHPPPPPPQQQMMQQHQHHQHHHQQQPPPHQFHQPQQMGEFQRGPPPQQHQQQPQPPPPQMMRQPSASSTTLGGSQEYHQQLAHPPFDAHADNFAAKRMRKIGQRRAVDYTSTVVRYMQTRLWQRDAWDRTVLQPTPAAAIDMLPTVAYSDNPSTSFAAKFVHTSLNKNRCSINRVLWTPTGRRLITGSHSGEFTLWNGQSFNFEMILQAHDQPIRSMVWSYNDNWMVTGDDGGSIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLSGNAGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGRELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELQSFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQIEIPNAHDSCVWDLAWHPIGYLLCSGSSDNTTKFWCRNRPGDPARDKFNMGHNQGYNDQNSALAGRMPVNFPGPEPPTTPGPFAGLNRNEGTIPGIGVAMPLSLDASTQGEQKPPISSSMPLGAPPLPPGPHPSLFAVNQQQNYQQNAQQAQHHHQGHQQQMTSLQPPNMQQLQHQTHMPLLPHPHLPRPPPQLPPHNMPSSMQGSMPMHGQMGTMNQMGPPMQQGHFMGMNPMHSGPGGAPPGGIPNMQGPSSASGNQMYPSGGAFNRPQGAQMPPMPGLGPYQPGNPSGTGMGPNFSMPSGIVPPLPPGPPPHGQTPQ >KVH10020 pep supercontig:CcrdV1:scaffold_5460:8664:16310:1 gene:Ccrd_025957 transcript:KVH10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPIVLAMDMVLMLMIPKVTGVVGWLAVDNKYEPRGRGNGFFGYNNENGDGLNELNRGLRARSVKKQKVLTPETLAMKGQNITFAATDTVEKETEVKEKPVFIYTAEALPCIHLHRRRLDRYSSSGIHHIYRSPLDRIRVQQIDLKQCSNRFNKASFSKASIDLQIVVYRFCVHTDCSSSEFCSVLIAAVRQIGQQVAGLNIESRKPIHSVQATIFTAVTANPTAAKTQQQQQVYKSKVVLPASLDSRKNETAENSSSDCFETVQQLQQTT >KVH10017 pep supercontig:CcrdV1:scaffold_5462:27452:27837:-1 gene:Ccrd_025959 transcript:KVH10017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAATLIPSLGPAALGPTLSLGSSSTVPEAQSPTSTPESNTTPALTPDSYTTPALTPPSTSGDSGVSTTNPAVVPP >KVH10018 pep supercontig:CcrdV1:scaffold_5462:1:5277:-1 gene:Ccrd_025958 transcript:KVH10018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIETLQVVGERWGSLGKLAVSMASASAAPSHAHLHAIKNRIRLQTREQQTTPADRSDAERDSQKGMPQQHAKNKMRVPRPDDSLSWGKQKSQSMSVKDAGVISSALSSLQKFTNDGSFLKEFKSQQDDDSYSDRDGKVASDVSKSEKPSRVDEGHIKPALSANQLAAKVMQLRMKGKHDEAEKLLKEAEVHXGESKAGTITTNPQGDGTMSRYIMHGIKAREKMKEEDADMHVARLIVQNKKYSISGQADDEYDYDEGPKRKKRGKGDDVPKSMGNTRFEKHILTLQERCNFCFENPKRPRHLVIAIANFTYLMLPQWKPVVPGHCCLLPMQAIDEAEEEWSQHNAKKLIDTSEXGLRNSIPKDFPYFHVEFGLKKGYVHVIDDESQFKSSFGV >KVH99455 pep supercontig:CcrdV1:scaffold_5463:25718:28651:-1 gene:Ccrd_022311 transcript:KVH99455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDTQIQANYNYMGRNFSNISLTNDDSAAFSDCNSDRSGEFPTTSAQSKRLVLACATEMSDDLIQQLVTDLESDSIEDQKQAAMELRLLAKNRPENRVKIARAGAIRPLISLISSSDPQLQEHGVTAILNLSLCDENKELLASSGAIRPLVRALKVGTPTGKENAACALLRLSQLEENKTAIGRSGAIPLLVDLLETGNFRGKKDASTALYSLCSVKENKIRAVQAGIMKPLVELMADFESNMVDKSAFVMSVLASLPEARAALVEEGGIPVLVEIIEVGSQRQKEIAVVILLQLCDDSVVYRTMVAREGAIPPLAEALIELLRRPRSGNAAATSDSSE >KVH09604 pep supercontig:CcrdV1:scaffold_5468:7961:19520:1 gene:Ccrd_025960 transcript:KVH09604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase IQKKKEKEQSDFPSHRPKRVQIPELAATIFKRLFPPTTRSRSRSRSRLPSCFAMDFNIFKLCSGLKFLGYLMILLVAAIIAVSYYVVVVITWGPQLFHGAFKSLLSFSIIILFHLLLVLLAWSYIMVVSQNPGSVPENWKPTSEENLEAGISTSLSDYMVLDNSASTSLDVPESRQALSYCRFCRNGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLLYTFLETTMDTIVLLPSFLKFFKEAKGHSNSPSDLALTFLAFVLNLAFALSLLCFIIMHASLLSSNTTSVEVYEKKGIVRWKYDLGWKQNFEQVFGRRKALWLFPLFAKEDLENIPALHGLNFPTCSDAES >KVH96748 pep supercontig:CcrdV1:scaffold_547:161282:164062:1 gene:Ccrd_001162 transcript:KVH96748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoadenosine phosphosulfate reductase MALAFTSSTSIAFSSSRTEQGVQLSSTKFQQSDRSHLVSPAALNLSRKRSGAVKALNAEPRRNDTIVPSAATVFAPDVVEKPIEIEDIETLAKDLEDASPLEIMDKALQKYGNDIAIAFSGAEDVALIEYAHLTGRPFRVFSLDTGRLNPETYKFFDAVEKHYGIRIEYMFPDSVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGMDGGSGSLVKWNPVANVAGNDIWNFLRTMDVPVNSLHAHGYVSIGCEPCTRAVLPGQHEREGRWWWEDAKAKECGLHKGNIKEETVNGDGNGEVHAKGSVSDIFNSNDIVSLSRPGMENLLKMEDRKDPWMVVLYAPWCQFCQLAGSDMKVGKFRADGDEKAFAQQELQLGSFPTILFFPKHSSRPIKYPSEKRDVDSLMAFVNALR >KVH96749 pep supercontig:CcrdV1:scaffold_547:186242:186763:1 gene:Ccrd_001163 transcript:KVH96749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKRLECEFSKKGSSSSLETMKDSADEQLKVSRQRLDGNSSKNSSNKSINQTHDHPKVPRLRWSTELHRCFENAVERLGGAERATPKMVLQMMNVKGVSISHIKSHLQVRYIIT >KVH96746 pep supercontig:CcrdV1:scaffold_547:12785:15840:-1 gene:Ccrd_001160 transcript:KVH96746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLPPAKEVSPETIKPEHYSNSPVHYAVALGDHTTLSRIVSSLPRLADPTRIHSESDSLTQEKLSDQISAVLDRRDNPKRETPLHLAVRTNDAFAARTLANAGADVSLQNADGWNALQEAVCRRCTDIVAILVQYHHVSAWSKWRRRLPRLLAVLRRMRDFYMEISFHFESSIVPFVGKIAPSDTYKIWKRDGNLRADTSLAGYDGLKIQRANQSFLFLGDGDQSLDIPSGSLLVLNHDDRKIFDAFENAGSPLSDTDIAGFCAQTSVYRPGMDVTKAELFARTNWRRQEKIESVGEWKARVYEIHNVHFSFRSRKIAAGDVDIAGSEQIMPLELEEDSDDGFLVAENPRFSVSDRRRHSSFVSGDREVVSVSRKSVDIIPERRRRPRAPPPLPMPPLPVVQPQTKEKEYVKTLRPSVWLTEHFPLQTEELLPLLDILANKVKAVRRMRELLTTKFPQGTFPVKVAIPVVPTVRVLITFTKFVELQPPEEQFFTPFSSPRQLFSGGGNNDDEEEEKGTRRASVSASSSWLSRNGSRSGTLTNRVQQKTMDQVVDPFAVPIGYGWSSFDEKGKKMKKSKSMSKKSK >KVH96747 pep supercontig:CcrdV1:scaffold_547:132929:137794:1 gene:Ccrd_001161 transcript:KVH96747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MSLKVIPLCVSATYPHSQSQSQSQSQLGISYFLQKNHTNLLFRINPAALTAKLGRSKTHVEGSKPAVRPSDFALNSANDDTQIIKDLNSLPKPLSTTDIVSNGSDGSKVRVAYKGAPGAHSEAAALKAYPKCETVPCEEFESVFKQVELSLVDKVVLPIESSIGGSVHRNYDLFLRYKLHIVGEVQLNIDHCLLGLPGVQMEELVCVLSHPQALDQCKIMLNKLNVVKVNTQDTAGAAQIIASKGSRDTGAIASSRAAKIYGLDILSNKIQDDPDNITRFLILAREPIIPGTVKPHKCINDDDFVFLKTSIVFTLEEGSGVLVKALAAFALRDINISKIESRPLTKCPLRIVDDGNNGTSMAEPRAQYALSHLQ >KVI07943 pep supercontig:CcrdV1:scaffold_5472:6417:7325:1 gene:Ccrd_013691 transcript:KVI07943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLATCYSEHAIKVSDSYCSGNSNQIYLSPTFIPTIQDEVSSLYKVKLSTQNHLFITLTWSTHTIDFAFSISINEYPSNSSKSFQFRKIKGSKKLEPFDSKIELIWDLTKASYEVGPEPVKGYFLAVLVNSEMILIGHDFEELELKKLVLDYPFAKSSLVSRIERFSGAEYSSRAKFFDTGIWHDIRITCVADDRKIKNSLSLSVFIDKKNVIQVKRLRWNFRGNQTVFLDGMLVDLMWDVHDWFFDSNSSTKSRSRAGIFLFRPRSGLDSRLWLEEKNLGEEEQEKVGSSLLICACKTPD >KVH91433 pep supercontig:CcrdV1:scaffold_5473:18957:24616:-1 gene:Ccrd_006545 transcript:KVH91433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLFIQIILFTIFLFSLSKVIAQKVAPTSQLASTPSFGIFDPIEISPSVLPHNPFVGEPWPPMYPSLPKTYEPLLTGRCPFNFSSISSIMDKTASDCSQLVAPFVGNVICCPQFGSSLHIFQGYYGTNSDSLVLQNTTADDCFLDMVEILASKGANSSIPAICSVKSSNLTGGSCPVKDVNTFEKTINTSKLLEVCSVVDPLKECCRSVCQPAIVEAAFHLYSSELIVDKNTGSSNIDALNDCKGVVYSWISRKLTSEAANSAFRRLASCKVNKVCPLELEQPAEVIKACHNVSASSPSCCTSLHSYIAGMQKKSLITNRQAIICASVFATMLQKGGVRTDIYELCKVDLKDFSLQACLLRSWPTDVVYDNSSGVSFTCDLSDNIAAPWPSSSMASFSLCAPEMSLPALPTSESGYAGTYCCLLLMLWFKLVWFNVADRLQWGSFGSACDGCRDLYTFEWILVFVGITDLAEYM >KVH91432 pep supercontig:CcrdV1:scaffold_5473:1878:18287:1 gene:Ccrd_006543 transcript:KVH91432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease V MERKSKLESLSSASSSVFHLSDQVYNKWLRAQDSLKKKLVAEDDYTWKLGDAEEICGVELLKYLGGVDISFSKVDPSVACGTLVVLDFKTLDVVYEDSSIVKIDVPYVPGFLGFREAPIFLGLLEKMRNGSHPFYPQLLMIDGNGILHPRGFGSACHLGVVANLPTIGIGKNLHHVDGLTKSRVRELLEAEENVNIDFTSLIGDSGNILGVALHSSKGSFKPIYVSIGHRISLASAVDVVKRTCKYRVPEPIRQADIRSRDYLQKHH >KVH91434 pep supercontig:CcrdV1:scaffold_5473:4510:6988:-1 gene:Ccrd_006544 transcript:KVH91434 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome f PLAPLAALAPLDDDLFRRIQAPDWYGLSCISWKQGLPSILVLTPLLDKSFSEIFNPLQCCYYLTLLYCLCEDRVIKSNNTVYNATTSGIVSKILRKEKGGYEITIADASDGRQVVBIIPPGPELLVSEGESIKFDQPLTSNPNVGGFGQGDAEIVLQDXLRVQGLFFFFASAILAQIFLXLKKKQFEKVYFYDQELRTWMDKVFEQHKILYHSAMPFTFLVLKLHGLGLRKNVENLEKYSETSLRSMVNIGSEVYTQADVYISLGEAFKIIPAREEKLDRFARDMRVATTASGSNSAKLLRQKQ >KVI11512 pep supercontig:CcrdV1:scaffold_5475:9004:9309:-1 gene:Ccrd_010076 transcript:KVI11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase, C-terminal-like protein MKVEVILLDRWLSSYAMRVKIALAEKGVGYECREENHPNKSPLLLQSNPIHKTIPVLVHNGKPISGRVLWGKGEDQEIAKKEFIQGLKELEGELGIKPCFG >KVI11513 pep supercontig:CcrdV1:scaffold_5475:27845:29420:1 gene:Ccrd_010077 transcript:KVI11513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MVSTPFIIITIFLAYFSSCLCVVLHNNNTFGLKDFEPALATWYGDETGAGSGGACGWEDDVKDPPLSSMISAGNANIFLNGKGCGHCFQACSTLVISLLFFIDGSQILMQIFCNQPPYCSGEPIKVTVSDECPGACNNVPFHFDLSGFAFGAMALPGTRITFKVHEDCNPYWFATAIEYADGDGGFGSIEIAAGGSQNFVGMDNIWGAVWKKDIDPSFIGPFSFRLTSVDGKTVVATNVIPATFSPGSKYSSSVNF >KVI11511 pep supercontig:CcrdV1:scaffold_5475:4757:5271:1 gene:Ccrd_010075 transcript:KVI11511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRRGWTTDKKDLLITILQDIVINRGRGDNGSFSSGTYEAVVSKMRDKIPGISLMSKHVQNKIKRPKDKYSTAYNMLNTSGFGWNDANQCVTIVTPKILEEYLKKHPNKNYTANKLFPAYEQLKLVLRKDQAMGNMVDQL >KVI04565 pep supercontig:CcrdV1:scaffold_5476:16723:17696:-1 gene:Ccrd_017117 transcript:KVI04565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding MARCAGIKPCTVVMDLEGTDSTEQGEGDTAFKEQTTLFALAVSDISQVESTPEAAYEELYQKPAANNYQQQNGKMLLQSTKQLGAAANGNKDRHKNNPQQIALANSANSSRQPIKP >KVH09203 pep supercontig:CcrdV1:scaffold_5479:12339:13115:-1 gene:Ccrd_025961 transcript:KVH09203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MNYSKAGCEDTCGNVTIPYPFGIGAKCSINEWFVVDCNSSTPYLPALNHLEVLAVNLENQTVTLYVPTIYDCQNQLLNRSHISSIILGSSPFLISKSQKKFVVEGCANAFISDDKGSVVAGCSNSCRNDTVSEGNNCYGIKCCTATLPHHLKSYSMNLTGLGGEGACGSAFLVDENSYVEGSFLGQSIASDNCSVPLSLLWMLTDSDDLHQSSCCDPGGDRISSPVDLGNGTSVDSRICYKYRNYEGNPYLPFGCNGT >KVI01557 pep supercontig:CcrdV1:scaffold_548:191965:192856:-1 gene:Ccrd_020170 transcript:KVI01557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTPLDDHVFQKYSSLKAGHPGLRVEGSNLLAAVIVRVDKDVRDLLVAANFVLAASKAVADRRSSSQRKWWKAYARLYKKVKDEWWCEPGHGDWCTFLEKYTLYHDGMYH >KVI01558 pep supercontig:CcrdV1:scaffold_548:193209:193627:-1 gene:Ccrd_020171 transcript:KVI01558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAFKVLSRAVCIATRYSAVRRQFGSRNGGLETQVIDYKTQQSRLFPLLVSAYAFRFVSELMIGLWLINLFL >KVI01556 pep supercontig:CcrdV1:scaffold_548:92815:95299:1 gene:Ccrd_020168 transcript:KVI01556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MECRNILLECARIFTITNDCDETIWPAITPGDSFGGGGYALKAKESRVHTAPVGWSGRIWGRTKCNFNSNGNGTCLTGRCGSSLQCSGSGETPATLAEFTLTTLEFYDVSLVDGFNLPMSVKPINGKGNCSVAGCIGDLRNNCPSELSVKSGGKVAACRSACDVFNTDQYCCRGNYGNPSTCQPTYYSKKFKEACPTSYSYAYDDPTSIFTCSGADYLITFCAPKNRTQCMYHDKRLTCSGSTAGFSTNWMSSLMIALTMVSLWTMM >KVI01555 pep supercontig:CcrdV1:scaffold_548:96594:97040:-1 gene:Ccrd_020169 transcript:KVI01555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTASTFHITSSPSFTLTAPKHQPTTISFRSLHRCRLRSTTTCSYKVSIEHEGQTTELEVDPDETILEKALDTGLDVPHDCKLGVCMTCPAKLLSGSVNQSEGMLSDDVIERGYSLLCVSYPKSDCHIKIIPEEELLSLQLATADD >KVH08887 pep supercontig:CcrdV1:scaffold_5481:8474:12314:-1 gene:Ccrd_025962 transcript:KVH08887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue MKARASSLNPYATSYVPLSRRGPNDESKGHESTVTDSKMRNQAAWLAYDPGSTTQNYDASTAVPDSPDSLKLKNHSVFGSSSHSAELAGKQAMDVDHDMNLAYLQMIFSGVSDESLSSVYTANGGDMEATVEMLNQLEVHSGDFSENLPESLDIGDVSEAGSSSEGGSQKLKKVAAIGEGSW >KVH08616 pep supercontig:CcrdV1:scaffold_5486:13701:16435:-1 gene:Ccrd_025965 transcript:KVH08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVEEKGFIFETLESKEEAGRRKKQEEGRRRKKQEAKEEAGRRKKEEGRSRKRRKKQEEGRRKKQEAKEEAGSEGRIRILDADSAAIEVVSLFSRMPDADLVAVVEWLVIGSLRQKKGWSNDASLKKKKDWWTLHFQISDNSYLDNYNYK >KVH08615 pep supercontig:CcrdV1:scaffold_5486:10024:17542:1 gene:Ccrd_025964 transcript:KVH08615 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY RRQAKVIKRIAIEEPLDDGYVWRKYGEKKILNSKYPRQYYRCNSKILHNCYATKQVERSTKDPSFFIVAYSGNHTCPRILSTNCSSTATELAARTVRTRDSSPATESASTINDSSFQNPTRLQSLDLKKKNTRNAECTTQVKIILKTGFDKPPDDGYAWSKYGIKRIKGADFRAYYKCRHCNVKKRVERSFDDQCIVEITYKGVHTCLRLPITSHSTTATKSASGILENSDTTSIAAESASSILTIAQSASIVTENSFGQDSIKKISGSASIQKWEQVESDIQSSFSPPFILESSSSCVTSKANMITSEVVGNSSRNLPSSANTPEDAKEFPLPAFMASKEMGDKITKFHQLVCPSGKV >KVH08614 pep supercontig:CcrdV1:scaffold_5486:7632:9613:1 gene:Ccrd_025963 transcript:KVH08614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLIGKNSDADEVHFDNSITSRLTPEVPPSPKLAKLFLQEKSSLTMLPPSFFEHVLVLKVLDLSHASIQSLPPSISKLCLLEEFILRECSLLIEVPPEIGAVKNLKVFDLEGTEIMYLPKEIGKLETLECLRVSLSAYADDYKDRNDIEHIIPRKTISKLTKLKELSISVDPEAKWWEVEVLEAIMHDLLVLPDLNTLKLCLPTTKLVQQFLRLERYQVPIFSGLWNFRFMIGKCEQLPFSVQLDMEENFLKLEKCVKYMNGEGCMDENAELIRQARALYLRRHWTIEKLSVFDIRRLKYCLLMECNEMQTLVHQXDVYEDXNKATNXGEDVILESLQFLALHXMKKLQRISMGPIGKKSLSCLRILALHTCPELTSIFVGCLLDNFENLTELIVEDCPKVKSLVTLEATSWSKGPFLPNLKRVSLLDLPELVSISSGVCIAPQLDTLLXIKGETEWWDALKYGKLTWNSVFVQIKRDGGLMDQLAEDTNSLQHFLELPMVPTHPGSSLQVDENSPRDDHPGLTEIREQVEQLQIDHNVPFFDETREMSTQKILNSDDDTQSQYAGTA >KVH08613 pep supercontig:CcrdV1:scaffold_5487:17430:26522:-1 gene:Ccrd_025966 transcript:KVH08613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase EKNKPFGYSSGRLTNLRQLLQTTHLSEFNFAVMLISDRILLKVIVLGDSGVGKTSLMNQYVYNKFSKQYKATIGADFVTKELHIDDKLVTLQIWDTAGQERFHSLGVAFYRGADCCILVYDVNIQKTFETLQTWHEEFLKQADPSEPESFPFVLLGNKVSEKTAREWCALKGNIPYFETSAKENYNVDAAFLCVAKTALANEHEHQDVFSENSNNNFRNRARKKLCLLISLEFNKDFQT >KVI07684 pep supercontig:CcrdV1:scaffold_5488:6357:13395:1 gene:Ccrd_013955 transcript:KVI07684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MHDLVLAFVLATVSKGDHVSIINHGDMSKWSGVDLSESCKRISLTCRGMCEFPKGFKCQSISLLKLMHGDDSFEFPQGFYENTKNLKVIAYEEMQYPPLPKSLQCSTNLRTLCLHECSFMFDCSSIGDLLNLEVLSFANCGIRELPSTIGKLKKLKLLDLTDCIDLRIDDGVLKNLVKLEELYMKVGRPETLMDNKKAIRFTDANVDELVWCSKNLIALEIEFQAPLKNMSFKKLERFKICLGCYLGEYEDENKYLFENTLRLVSNKCELIDFRMYELFEKTEVLYLQVDGINDLGDGLVESFLHHPFNNLRVLDIYKCSNLRYLFTSRVATGLKKLEQLTVSSCPDLETLVDGENSGVEVIKFEALKFLSLRELPKLKSLCNAVDVIELPQLMELNLDGLAKFTSIYPINKLATTCMSCDFSERQSFFKEKVVIPRLEILDIYGMGGLMEIWPCSEEVDVCMLREIRVKGCHSLVNLFPSNPMSLLPYLQELTVEVCDSLEVLFNIDFGCVGKIEEVSCCLRTIVVCYLGNLREVVRVKGANNSSLIISGFENVEILNIDRCKRFRNVFTPTTTNIDMRALSMLQIDRGYDECDEINVTSNEEISEVGDAVVFSSKVIHTFHHIRKLYIHHRKRAEEVFEIKTPSNREIATTLQNTQRSLLLPYLTDLELSNMECMSHVWRCNWNKFLIPQKQQPEGFSSFHNLANIYLHRCDNIKYLFSPLMAKLLSNLELIYITSCDGIEEVVSNRDDEDEVRVVSTSANTSNGFFPHLHKLYLSNLPKLMPVGGGGGSNAIFSNTTTNTSIHHQFKLSQVNDVAWSLCQYFKKIKIHNCEALPYVIPSYVLAQMQKLEKLKIEYCKSQVEVFATQGFKFDGTSSGTDHNTLLIPTRKNINASQLSTLKILVINNCDLLKHVFTFSTFESITQLEKLEIIKCKSVEVIVKEDNEEQTTISSKAVVFPRLKSIELGDLPNLTGFFLGKNEFEWPLLNKVMIDACPQMTVFTSSLSITPKLNYIHTKLGKYSLECGLNFPVMGSTLHEIPCSSLDSTNSCATTSEGTPWSFHNLIEIDASNNCDVEKIIPSNELLQLQKLEKIHVYGCDLVVNVFEALEGTGSSELQSVVEIPKLTEVNLDRLFRLEYIWKNNPWMVLEFPNLTKLCIHGCYMLRHVFSSSMVGSLLQLQEIRIIHCSNMEVIVKEEEEECDGKVNEIITMLPQLKSLILINLRRLKGCYLGNEALSWPSLDTLKIIDCPSIEVFTKAHSATPELQVIDTSFGRCELVKEESLNSFIANTKQQQGLEF >KVH97082 pep supercontig:CcrdV1:scaffold_549:7670:8942:1 gene:Ccrd_000821 transcript:KVH97082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVEIVCCMCGDVGFPDKIFRCINCHNRFQHSYCSNYYNESSDPPEICDWCQTDEIRSSKHGGSSKKPAGVSSSRSEYSGDKMIKQHDREEGPEKGKSSTGAPSPRTTRRYKLLKDVMC >KVH97078 pep supercontig:CcrdV1:scaffold_549:120134:124727:1 gene:Ccrd_000824 transcript:KVH97078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate dehydrogenase/glycoside hydrolase, family 4, C-terminal MVALGKGFDGASSVINSSVYPVSESWPQSNLGCCHGSSGQIGYALVPMIARGAMLGSDQPVILHMLDIEPAADILEGVKMELMDGAFPLLKGVIATTDVNEACKGVDIAIMLGGFPRRKGMGTKALISKNVGIYKTQALALEQHADPNCKVLVVANPANTNALILKEFAPSIPEENITCLTRLDHNRALSQISANLNVHVGDVKNVIIWGNHSSTHYADANHATVDIGVGYKSVRELVANDHWLDSEFITSVQQRGDAIIKARRLSSALSAASAACDHMRDWILGLNIGEFERGKMDDSARELIEEKAWAYSYLH >KVH97080 pep supercontig:CcrdV1:scaffold_549:70244:74553:-1 gene:Ccrd_000822 transcript:KVH97080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MLNGAVAVAVDEPTSQPDQSRHIFDQYSQSFNETTNTAYLNPNDFLHHTHNPNHHYLNNPTQTHPHFASLYDPRAYGGDCAYPHESPSPLLSLEPEGTGNVNSHGQTGYMLMPKSEPSGCAIDFTNNRIGLNLGGRTYFSSAEDDFVNRLYRRSRPLEAALVSSPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVITAGLTQRFCQQCSRLFATFTIIPLSTRFHLLSEFDNGKRSCRKRLADHNRRRRKSSQNQDHSKSQSSSSDIISIDFPSSLNHRKSHSYHWNVFGHQSAQVRGNIGQGRFWGDLSRMTKAARMGVKRRGNMKEKVSGDLG >KVH97077 pep supercontig:CcrdV1:scaffold_549:142194:159237:1 gene:Ccrd_000826 transcript:KVH97077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MMGGTGEADRKRHFSSISSPTAAAAAKKHPFVPPSEDKKLDTVVLKFQNQKLVQKLESQKVECVALENKFSQLREKQLPYNKTLAVVDKSWEELVDKVESCSIRTKYLLNHDRDGKHHPAAGDNASLPPEDVFLHRLLEKGATESSSANSIPSQVQECREISGNKVQNTFRNIVHAIDCLWGLKDGLYAESIKQLPEGSCRPNSSIELEREVKNLRLAIGDMHLKHRSLARQMQFHRDTDAKNKSELRQLRGELECTIAELEESNKNLAALKAETDAAKGAIFPVLLGNKRVANDRAREKEKDLHDMESLLKELLDQASSRQLELKRLHEERMEILKQLSSLQNTLKNVKGIFSSEAFVLVKDQIAKARGDIVQYQALFEKLQVVEKDNLGWREKEVNVKNDIGDVLRRTSAVADSRISDLQMEIQKQIDGRKMIEAKLEEASREPGRKEIIAKFRTFVSSFPEDMGSMQTQLRKHKEASSDVHSLRADVQSLSTILDRKTKELEALSGRSATQSAEIQKLRATIHDLEESDSELKVILDVHRRETIYSRDFLEARNSEYKAWARVQSLNYSLDEHNLETRVKTAIEAEAASQQMLAAAEAKIADLRQKLERSRREKCRLSDVLKSKHEENEAYLSEIETIGQAYDDIQTQNQQLLQQITERDDYNIKMKSCSDQVQKLSETRVQKTVALENTQRRLQDVRRTSQQLTESLEESQIKVDRSRVSLMDLQIELEKERFDKKRVEEELEAARRKHLRLRSHLDGSSVVEKLQQELKEYKEILKCSVCLDRPKEVVITKCYHLFCNVCVQKIIETRHRKCPLCAASFGANDVKPVYI >KVH97081 pep supercontig:CcrdV1:scaffold_549:98118:120010:-1 gene:Ccrd_000823 transcript:KVH97081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACERLKWRQKVNKSPASISTAAVLLPLPLAAVSRTKTYCPRIPSRCFVLDLPPLSGFNFRILIPSTRLARLKMDDTMKQFQEKLIEIEAEAEALLLARHQLVENDRMRNGNREALTALRKRSRTTKTSVPSPYEFVMKEIDQSRPLVKEICATCGNHDSKEKTWMMFPGTDIFARVPFHAAHTILEKDQTRLDYDVNKLQSIVKEKSFWISEQGVLADKIGPGVLKSLVTLHDKPNIAILTTRL >KVH97079 pep supercontig:CcrdV1:scaffold_549:127959:128156:1 gene:Ccrd_000825 transcript:KVH97079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase, large subunit, ferrodoxin-like N-terminal MSPQTKTKASVGFKAGVKDYKLTCYTHDYETNDTNILATFRVTPQPGVPPEEAGATVAAESSTNT >KVH91498 pep supercontig:CcrdV1:scaffold_5492:22597:23341:1 gene:Ccrd_006479 transcript:KVH91498 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 4L, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG01070) UniProtKB/Swiss-Prot;Acc:P26289] MRNLNIQRVFLNNPIFELFSHFVFLSSMLARLVNIFMFRCNSKMLFVTRLLCMCLELILNTVNLNFVTFSDFFDNRQLKGAIFSIFVIAITAAEAAIRLAIVSSIYRNRKSTRINQSNLFNK >KVI08070 pep supercontig:CcrdV1:scaffold_5493:16643:17296:-1 gene:Ccrd_013562 transcript:KVI08070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNVIGACCMATEGASVKVIYWEGNVKTLTGKGRLAGEIMFEFPESMVCDADRFFVGHAIPALSIDEQLRPGKTYLVLPLDIFSSEIFSSSSISAFAAYTPRQTPADLKEVPLEYIKGSNGRLLIKVKPEFMARHLMSRGGHDDDQENGGTAISNNPTNSSLCSTPELRKEYEQLVRSRVQIWSPNLDTISEAKKIRYSPYRVFGLEREEKEDDGLV >KVI07991 pep supercontig:CcrdV1:scaffold_5496:14156:14630:-1 gene:Ccrd_013643 transcript:KVI07991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSFWPHNFDYRLRVSLGPGGDFILTSRIRNTSTDGKPFLFTTAYHTYFPVQISVKFGWKDWSLELTIGEKKVVITKLLAAANKYDLNRLRRMCESHLCKDISVNSVGRSLALADCHHAIQLK >KVH08034 pep supercontig:CcrdV1:scaffold_5497:14169:24293:-1 gene:Ccrd_025967 transcript:KVH08034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MAVIEHLVSQARRHCFSSSLIRSCSSPNSSFTWLHRSFCSSSSPIQPDPNPPRNETNRNRPQPLSVQPISYAVKPKVPFPSSHQEPASLEQSDPNVDNPQPQANVESRAWSREDVRFVRDFNPSPVVSYPKRVAPLPEDKTQEADGEAERTNEEMDAERKKIEAEHRVMRRVFRIEEPKVPFPTLIKVEQPQNTKGQKVVHDLKEAVQLVKKNAMCNFDETLEAHVKLAVDLRRTDLKLTGSLSLPHGSGKALRVAVFAEGAAADEARAAGADLVGGEDLIEGIKNGNINIKEIDKCIAVPQLMPQIGKEISKKLNRLTPNARDGSVTTDISRAVKEAKKNIKFKKDKSAIVHVGLGKVSFTEEALCENIGAFVNALLLAKPTGLKKSSKYAGYVNTFHICSTMGPSFPVSIQSLSIAADRYTKLQLR >KVH98921 pep supercontig:CcrdV1:scaffold_55:608282:614206:-1 gene:Ccrd_022811 transcript:KVH98921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAICYAWLVDTRMRIKRNGVVDGDGSTMELVVPVLNVRRGKMWKQRQAAWLFHHAGIELEILMMNKQLSILVVGQEILKTDGEVGSKCTILTDNYCEDAYDLLQNPILKKLMLAGILLDTQNLNTATTKDTEAARLLSVGSAPNYGNSLYDQLTQEQRDGAFFEALRQNYGKPPNENNVESKSPAEERVPERKHQSPRTYEKISRKEQIQAKTDTISPP >KVH98929 pep supercontig:CcrdV1:scaffold_55:309434:310294:1 gene:Ccrd_022837 transcript:KVH98929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MARPIFILLFTVSLVPLIHGSGQGAAHLCRAYCGNITVDYPFALQSGCGHPSYRDLLFCFNDVLMFHISSGSYRVLTIDYAYRSMTLHDPHLSTCDSIVLGGRGNGFVVEHWRTPYLSPTPDNVFMLLGCSAQSPLFQGFPTSKHMPCRNVSGMGCREYYGCEGWTSVGLARGGLGYGSGPPVCCGLDFEAIKGVNLSKLECQGYSSAYTLAPLRVSGPSEWRYGIRVKFAVEVSNDSFCKACEATGGSCGHDVERFGPLCICGSWNSTSNCDSSKSKQSEKLAVN >KVH98912 pep supercontig:CcrdV1:scaffold_55:397169:404267:1 gene:Ccrd_022829 transcript:KVH98912 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAGALVQSTSFTSAVSGESEVRSKRSGSIKRPVKMMGLQAPSVRVRTFSGLRGVNALDNLVKRGQDFHSKVAAATSVRRAKPSRIVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVIRMVGESAEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIATGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARVRYHQSKMKFLSYKSYACFDFHKLYDHGFTFLIIQMLACIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKELRQITKEKNEAVRGQDFEKMDLKTQISALVDKNKEMSKAETEAGEEGPMVTEADIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALATYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKVKEIELQVTERFRDRVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSEGNVTVLNGSSGAPPEALPEPIPV >KVH98944 pep supercontig:CcrdV1:scaffold_55:60091:61041:1 gene:Ccrd_022863 transcript:KVH98944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MARIVSQDHQEDGISVQLPAPSEITISPPLLWVIVSVAAIFFISGILHLLLRIIKKKRTYPSVTQSNRYNELHHPQSLGRQLQQLFRLQDSGLDQSFIDSLPVFDYKNISGLQDPFDCAVCLCEFSPYDKLRLIPICSHAFHTHCIDTWLLSNSTCPLCRVNLSIGTTLCSHNQPLENFMNVATNSIVSEISCNEKNLGKRVLSVRLGKLTGFNSGERANGGEISRCNLDARRCFSMGSFQYVVNNIDLQVALFDVKDNVGCGKIEDDMDQRKIKYESFSVSKVWLWSKKGKFPVCSSETEVAGDSSDQNTRVPSL >KVH98951 pep supercontig:CcrdV1:scaffold_55:135864:138637:1 gene:Ccrd_022853 transcript:KVH98951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MDFLKNHHRHHSSATSNRSISDTDSQIDDFHSPLRADSPLRSDDFPSSTSKAIVTYHPPVRSPLSDNQKPSHTINVAPLAKSSPAVTHNRSVREELVTGVTTKVGPGGVDDGIGGGDGASRRSRVPVTSISSRSRNEVTIERAALGFRVCEMILTLIAFSVMASDKTQGWSGDSFDRYKEYRYLVAVNAIAFAYAAFQAIALTFHLIYKRHIFSYSIRSHFDFTIDQATATRVDDWVSNWGKDEFTKMASASVVMSFFAFFGFAISSLVSGYTLLNQTHV >KVH98904 pep supercontig:CcrdV1:scaffold_55:429687:430241:-1 gene:Ccrd_022827 transcript:KVH98904 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNDLLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVDRLQKELDAANADLIRYACNEIPTGLPAVPHLSSIQPITPRQRAIDQYPGRRIGNIDGGGGGGGGGFYQSPPSFPYPYANHLPWNPSNSGGNMGGGGGSDGGGGGQGNM >KVH98949 pep supercontig:CcrdV1:scaffold_55:50412:57705:1 gene:Ccrd_022864 transcript:KVH98949 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MVDNKRKRGRKAKSGVPETLDLQTSKATTSSPSVDDVDLSLNNDTPKTNHQHHLRRRRKTPVHAENPEKPLVSATPSRCIEANGNGNGNGSVLESVVMAMARVMPAMDAVVKVFCTHTEPNFSLPWQRKRQYSSSSSGFVISGRRVLTNAHSVEHYTQVKLKKRGSDTKYVATVLAIGTECDIALLTVEDNEFWLDVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGSYTGFPILGVEWQKMENPDLRKSMGMKDNQKGVRIRRIDPTSPEHKVLKPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSHKYTGDTAQIKVLRESKILKFNIKFETHRKLIPAHNKGRPPSYYIIAGLVFTTVSVPYLRSEYGKDYGYDAPVKLLDKLLHELPKSPDEQIVVVSQVLVADINIGYEDIVNTQVLAFNGHQVKNLKSLANMVETCTDEYLKFELDYQQIVVLQLKSARAATVDILSTHCIPSAMSDDLKT >KVH98962 pep supercontig:CcrdV1:scaffold_55:620186:621470:1 gene:Ccrd_022809 transcript:KVH98962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSIYSNSEVCESWFRFLLIESTTVSVLSFKKVIIISMEFCGGFVVGYLLFSCFAAACYGVTFSSLQKTLIVTASSPKGHDQLTVKWAFDQTFPAGTDSTYKTIRVKLCYAPLSQVDKPWRKSVDDLNKDKTCQHKIVAKPYTPSNNSFTWTIETDVPKATYFVRAYALDERQVQVGYGQNTDASKVTDLFDIRAISGRHVSLDIASVCFSVFSVVSLAVFFYMEKRKGNVTQKK >KVH98939 pep supercontig:CcrdV1:scaffold_55:196064:197990:1 gene:Ccrd_022847 transcript:KVH98939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MDSSDWFQGTIQEETGMDSSSPSGITDPMLACSRPLMERRLRPQHDQALKCPRCDSAHTKFCYYNNYSLTQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSSSSKKTSSATANNSNDNTLHHHHQDHHQQNVNTHLINPNANPNPNPNHHHHPLIMNSNDLQLSYPESSMQFSGPNLFGIGSSTNNIPSFMFENLNSTATRAIDFMENNGGGGNQFMGMMGSNGGYAAEMGGGNVLTANYHGGNLCSPFGGMTLDSINNSFMERGLIAYDQGNDVNDDTISGLDVKPKLLALEWQDQTGCSSDHHHVHGGSASSGGGGNGGSGGGYLTGLGSSWGGLMNGYGPSTTNPLV >KVH98923 pep supercontig:CcrdV1:scaffold_55:369929:378729:1 gene:Ccrd_022831 transcript:KVH98923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromosome segregation protein Spc25 MKPKPYDALQTVRNQISKQTMKTAGSATFGSAQFQSSYIIITRRTNTELQMTMHRGRVGDREVRGKMAELRLVSDKEIEIQQQRMESAAISFRKSLQSIKSSAQETVSNQEKIGKLKAQLRAAEDDLVKALSVKTRKEAKKMAIADSISATKARIEEHKKIVADQRARKDEYAEIISHQCEVLEECEKKHNQDAELREEIGEAISWYNRVLGFRIERGRGIKFIFTNINPRNSKEEYYFTIRLENDNYYCKEMKV >KVH98915 pep supercontig:CcrdV1:scaffold_55:565960:568596:-1 gene:Ccrd_022817 transcript:KVH98915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQYPSSTRMIPTSLLLTPQWPQPQSEELLLAIEESDFEDKCNEIRKINSNQIVIGKTMVDNDKEDFDNAADADNADNAVRVRRR >KVH98957 pep supercontig:CcrdV1:scaffold_55:81864:85417:1 gene:Ccrd_022859 transcript:KVH98957 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MDRETIRLIVGIIGNVISLYLYLSPTPTFINIVKHKSVQAFKPDPYLATLLNCAMWLFYGLPIVHPHSLLVVTVNSVGVVIAFTFCNIFFKYSTWICRVIFIAGMVAFTLIVEHTNDARSMVVGLLCVIVNIIMYTAPLTVMKTVIKTKSVRYMPICLSFGNLLNGSIWVVYAALEFDPFIMIPNVIGAISGIIQIALYVKYKKTTNWEDDEPPNELEMPPAPSNA >KVH98954 pep supercontig:CcrdV1:scaffold_55:159256:161098:-1 gene:Ccrd_022850 transcript:KVH98954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASTCGFCSSSSISFSRRSLNHRIVFQSATHHFRSTQISLQEPVSEVTNNLEFYNSNLSQLANEKRKKYIWVNPKSSKYLKDSNLSQLENEKRKSYIWVNPKSPKASQFRRKSYDPRKRKDVDDAEQLFDEMLQRGVTPDNTTFQMIIECALMSHLPSKAVAWFERMPEFRIQPDDATYALMIDAYGRVGDVKMALQLYDRSKIEKWQLSTNIFTTLIRIHGTTGNFDGCLTVFEEMRAVGIKPDLICYNTMLDAIYRAKLPSEIKSIHQEILNSGLSPAFATYASLLRAYCKSCCGDEAMNVYNEMLAKGMELNTLLYNTLLRMCVDIGFVDEAASIFEEMKRSRYGQPNSRTFSSLITIFSSCGQVSEAEDTLEEMLEAGFKRDVYVLTDLLQCYRKSNRIDDVVRTLDRITEVDITPNERSCICLLKVMTEVPREEMGRVTRCIERANPKLGNVVKRVVESPDVEDEIFKNEVSEVVSQVGDDDSRRAYCKCLIDLCVYLRKPEKARYVETLL >KVH98932 pep supercontig:CcrdV1:scaffold_55:300108:309453:-1 gene:Ccrd_022838 transcript:KVH98932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGLAMVQVRKDGGSENVGLDLGIEVGIQWGDTLLVMVEKIWLSRKGEEELKLSITRNHSRVGFTITNHKSLDLVNEFIQQQEPIGFLAFKLFTCVAGNRTSKLAGTVNWGTATIVGVFAGMLYGGTKEASASVSKDAEVTLKLGSTPDKREQYRLMRDAMEKRFIRITRGSIVGGVRLGMFTAAFYGLQNFLAEQRGVHDVYNVVGAGSATAATFGLIMPGSLAWRGRNVLLGSVLGATVCFPLGWLHLKLVEKANEESVVLPEHNLKPGVGAAIERLGGNPSE >KVH98940 pep supercontig:CcrdV1:scaffold_55:178217:182375:-1 gene:Ccrd_022848 transcript:KVH98940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase, catalytic domain-containing protein MPRSSLLALENEDQNFEGDNGDESSGFGGDSAVDRKVIEDIASMESYDADDEDIDDDAISDCKSCTYGSPISDTTKIHPQIGLDRLVGSNESEVHPENFVFENLVDEPNNLQPKAGIDGLIRLNEDDRLHEVVRKRFISGMKRLGVNAQIEHIYRNLFNASAISHARLQTFNIYAKAAKHTNWNQTNIRYAWFGASKDDIEKIISHGFSHDNIEKDGAFGYGLYLCTYNSLIESVKSSTVDDKGIRYILLCRVIWGRVEVVKPGSKQCHPSSDEFQLGVDNLELPKKLIIWSTQLNTHILPEFVISFKILSGSNGPKIERVQLDEPVTGPWMPIKALVSGLSKILPPEAIKKIDEYHRKYIAIVALLLQEHKISRHDMLLGFRDVAGDRLLLMVVKDFKEQEGNRWREPATERKLMERNIPVMDGETQNRGSAEGGAQDLKQAKAMDSKANRLLIE >KVH98937 pep supercontig:CcrdV1:scaffold_55:214839:217988:-1 gene:Ccrd_022845 transcript:KVH98937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKTSETLVEGLVASKQDGLGNPVEGGSFDFVEEKCVESVSNPVPETGGIKGFEPVAALVASVTEIGGRVDSSSHGDVPIKGISLFVELTGGVTSDGLHHSEDRVSLGNKLDTDGLVNGNQGEVIFCHQECRPNLGDFVWAVIKNQSWWPGIVCDGSNAPKEASKTQKPEDGLLVRCFGNGSYVRCLPYQLKPFVAYFEQFSKQNKSKSFVGALEKAVAEFGHRIKTEFTCSCFSKTMVEGKGDVGNLSATRFQPATFVDYIKDLARDISMSTKIDYAVNQNFLSAFYRSLGHCQIGLHQLKPASIKAEEENWVFNGDANTENKNISFVMGDDIKNEKSEKHYETRERRKSRFLSYPYTDVNGVKRSVSGERGMEESGVDVNGGMELNKANGQPVKKPRKKWCRKSVRKDDLAGKGVPANVCSSEVLSELQSAAQDCLFPIESTKFDSVERFIAGFRKWAFNDFTKEITIDLTAGQENGIGMGMVRTIDVNTTVDMAGKGTRGRAKKVRKKNNNKPVSSPISGTNPSRGSLIINFQNVGSQALETQAMPNKNMEVVADQVLQDNEVKEVISESNGKCTILDFGDLPVKERPPCKLTPKRRKKNVASKTAMHILPNVNGHVDPFLIHNLPQMNNLEGLNQPNVFHLTNEVGLTPPCSTENHGEPQGMNHEPKKRGRKRKTIDLQANPGSAVMPDLNENGAEKKRGKKVKTKETGVPCVDLSYNKVQQDTEEVTGTAFLLKFSSDHPLPSKQDLNSIFCKFGALNESETQVLDDSRSGQVVFLDSSSAGEAFWGLQNHHPFGQALVNYRIQHLSSAESNVGFRTPIKNPSLLKPLDSVSMGSQAISSSTVIPDLNGNTGENKLLKKRKKIAEGHLPSTDLSYGKVQQGNGEATVFCRYGTLNELETQVSPLTLSGQVVFVNPSSAGEAVQNLEKVRPFGETLVSFRVHHLYNVEPAIGFKNPLNVPLGLNKQAPDLGVIKKNLEMMTMMMEKAGDTLSPEMRAKLESEIKGLMNKVSTMDGSSSSSL >KVH98934 pep supercontig:CcrdV1:scaffold_55:273690:278139:-1 gene:Ccrd_022841 transcript:KVH98934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MQSSSFNLTNSISNLKPRNHRLNSSYLPLPLKSSINLHSINGVSARRLPSICCSSSSRKLSDWISTPSPVPERESDGFEVKAASVPDSADGGDEAALAKSKLTETIVLGSLFGLWYLFNIYFNIYNKQVLKVFPNPITVTTVQFAVGSVIVFLMWTLNLHKRPKISGAQLLAILPLAMVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGEESLDNITLFSIITIMSFFLLAPVTFFTEGVRVTPAYMQAAGLNVKQVYIRSLLAAICFHAYQQKPSMLTCIRHAGFLYDIAKGVSSYTLRWQLRETCGGDRDLGTGVALAGVFLYSQVKRMKPKTA >KVH98958 pep supercontig:CcrdV1:scaffold_55:91856:93897:-1 gene:Ccrd_022858 transcript:KVH98958 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein MDKRVHLNLFYFFFLLLSSFTVSLAQTSSTSAATVTLKTPDLLTKSSPFSPKSSLIRYWKTQISNDLPIPSFLLSKASPLPPFEYSLFTKLASNHSLSSHLSSFCSSADLVCFSDSSSPESSHPKDSNFAVYDPKSFSNYGASHIGGGDTFKNYSENTNFATSAFARYTGAATGHREGFASYGTDANVANSNFTSYASDATGGNGDFASYMPRVNVPDLRFAAYSANGNHHPMSFKSYVDDTNSGNQLFLNYAKNGNGVPVGFTGYGDTSNVVGSTFSHYSELGNGANDTFKAYSTNANNPKNDFRGYGGSGGNAGSDTFVSYRDRANSGTDTFVSYGRKSNSGKTNFVNYGKSFNPGSDSFREYGKGSTVQTTGFSIYAMNSTFKEYTKTGVTFGQYTKPGSKEGSTKVDGSSVNKWAEPVKFFREKMLKKGSIVTMPDIVDKMPKRSFLPRAITSKLPFATDKLSDVKEIFQAQDKTVLDRVLTNTLSECERAPSRGETKRCVGSIEDMVDFTVSVLGHDVVVRTTENVRGSKKEVMIGEVKGINGGKITKSVSCHQSLYPYLLYYCHSVPKVRVYVAEILDVSSKEKINNGVAICHIDTSAWSPGHGAFMALGSGPGRIEVCHWIFENDMTWTTSD >KVH98905 pep supercontig:CcrdV1:scaffold_55:495171:496914:1 gene:Ccrd_022824 transcript:KVH98905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITTASSFFFNHLQIQWVAILVVLSRSSERVYGHLKKMKSFLNTLPDSALVAGVLFLNTPFFPSSSSSMPMTAAELEQAFHANNGGLILSSSSSMDTGSFLTKSACDPLFLVEFQSDIDPITYNSNLLSQYQQTGYDTSLPNLASFSDHSGSKMNTTTSFIMNEARDSSSTTNSSQMNNSLSAGFQQMTFEGEMFHFNGVNVKSEEGCIGQWEQQNMHEVQVNNASDFNMYPMGSLSGEYTDVFHQI >KVH98952 pep supercontig:CcrdV1:scaffold_55:143649:146473:1 gene:Ccrd_022852 transcript:KVH98952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDEVHEDKVFDEMPENGNLLKTGENLDLKSQKDVGSVGMSSHDVGASGAVAEPSIEELYDNVCEMQSSNQSPSRNSYGSDGEESMIDSELRHLVGGEMREVEIIKEDEEISKRNEEESSKSSSRNSKKAYRSQLDTETSSRSSPKSKTHQTNRLLGKKNNKKSRKPVVGDKDLDLGPLLLKQARDLMSSGANPRKALDFTLRAASSFEKSANGKPSLDVVMCLHVTAAIYCSLGQYDEAVPILENSIEMPVIDEGQDHALAKFSGLMQLGDTYAMLGQVQNSLKCYTSGLEVQKQVLGETDPRIGETCRYLSEAHVQALQFNEAERFCRMALEIHSGLPAEEAADRRLMGLICETKGDHEGALEHLVSASMTMAANGQENEVSFVDLSIGDTYLSLSRYDEAICAYQKALTSLKSSKGENHPSVASVFARLADLCNKTGKFKESKSYCENALRIYENPVSGFPQEEIACGFTNISAIYESMDELDLALELLYKALKIYNTVPGQQTTIAGIEAQMGVMYYMMGKYSESYDSFKTAILKLRASSEKKSAFYGIALNQMGLTCVQRYAINEAIECFEEARRVLENECGPLDDAIEILEHIVEMREEKLGTAGLDVEDEKKRLAELLKEAGKVRSKKARSLMNLLDSNSREKTNNDAVKV >KVH98941 pep supercontig:CcrdV1:scaffold_55:166201:167323:-1 gene:Ccrd_022849 transcript:KVH98941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MQSNSVISVSPSFTAHSSNNLADIAARVVQEFRHENGEDDIYDDFPDDGNQFYVPSDDEKLKENDEDSDEDGEFEFDVVRTQSHSSPVSADEIFSNGEILPRYPLFDRSLLLDDDVPNFYKISDDSNANSKPSPVVRVPLSKLFSEERDSASCSSSETDDLDGITPGTYCIWKPKAESPGRCKKSNSTGNTSKRWTFRNLLHRSNSDNNFAASKDASIVVFSPVTATKKRAEKVKKAEKTSKVAVADGDDDGDMTTTTTVTIENNPANKTNKGGNRHRSYLPYKQDLVGVFTNIYRDAVAGKFTVGGADAWCFVLGPEMALEKK >KVH98920 pep supercontig:CcrdV1:scaffold_55:603545:604423:1 gene:Ccrd_022812 transcript:KVH98920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MQHPPGKPAIDDGTAVTRSGQSVFMSVYKTRVADQCWLITVTWCKNMLLHGLSVSVDRPHAETTCKVELKPWYFWRKQGSKRFVSDGKAIDVFWDLKAAKFHGETEPSSEYYVAVVCDEEVVLLLGDLKKDAYRKTGCRPALIDPILVSRKEHVFGKKKFVTRIKFHETGRFHEISIESKNTNTEHGADSEMEIRIDGHLMVHVKHLQWKFRGNESINFKKVKIEVYWDVHDWLFSPGLRHALFIINSTAPVVVPPTSTPSSLAAGGCGSSEGFNPNGFYEFCLFLYAWKVE >KVH98935 pep supercontig:CcrdV1:scaffold_55:271366:278024:1 gene:Ccrd_022842 transcript:KVH98935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDLLSKNHLNPESEPKSQHEFEFEDDFPMETKGVFQDLHQLDNISLVGSSFEPDYTNHVTGYDPFGPLPFGVGSNDVDLYEFKPLEHVNDGGGMVVSLNHQYKSYMSWGGSDFSTRLGIVECPIQDAKLMSFFVPDEGSCVTADNGIKKGNRKWCKGKKKTNSSKGQWTREEDRDIETTQFLTKKDFWTEEEDRILIAAHAEVGNKWSEIAKKLPGRTENSTKNHWNATKRRQYTRRKCRSKWPRPSPILQNYIKSLNLPRGRMNSNRSNQNHALTTVKPSSSAENQEDFDYNEVPEFAMDEKLLEWDNNIESLLDDVPSINGGGEEVDSYLMASASSSSGLQGEVKKEVDLMEMISQHGIWNDFKRSLASCASPASIEILRQGPLRYQSPNNDPSTIVSVNFDFAKAASSPPSALSGTDAALTSKPSDSRSGTGEGVEIQSLNFLDEDEQQIEGNLRADTPLME >KVH98924 pep supercontig:CcrdV1:scaffold_55:382580:389809:-1 gene:Ccrd_022830 transcript:KVH98924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLMASSSTLFLSISFFITQVFSLNLVIKSTLMYPLTCSNYNHTCDSYLYHISKGHKPEEIASFYSVNASRIMPMKHNSDIDYLVSVKCRCEQDDSGHGYYLYNSVYERKPGESVEYISDEYYSGQVWKFGGEDEEELTVRLVCGCIENEAQEVVTYTIQSKDTLIGISDLLSAKEAEVENLNKILTKDPNYMDVGLEEIELATNSFDETRKIGEGHQPLSWTARASIALDAARGIEYIHDHTKARYVHRDIKTSNILLDLGLKAKVADFGLTKFVERANEDDFVATRVVGTPGYLAPESISEMQTTSKTDVFAFGVVLAELITVNHFLYQNDQIRVIFQHQDSAEALEAQIDNNLKGSYPIEEINKKLLPPSSQMAETSFLCLSEDPANRPEMREVVSILAQIVMASIEWEASLGGTSQVFSGLFNGR >KVH98946 pep supercontig:CcrdV1:scaffold_55:72489:75773:1 gene:Ccrd_022861 transcript:KVH98946 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSS1/SEM1-like protein MATMEQPKAAAEEAKIDLFEDDDEFEEFEIDQAGLFDYSPCLFVKFLLLTPVSLETTADWDAKEEGKEVTQQWEDDWDDDDVNDDFSLQLRKELESNTDNK >KVH98945 pep supercontig:CcrdV1:scaffold_55:76199:76618:-1 gene:Ccrd_022860 transcript:KVH98945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVLEIVTSVVLLVVGIAVLVIIHVCMVGRTFRMNQESIESGTLVQSSCTISEDDIKKLPWYDYKMDEDDDDDDDVDEKKRTAECTVCLEGFKVGDKCRLLPNCSHSFHVNCIDSWLIKTAACPICRTCVDLRTKLLEGK >KVH98938 pep supercontig:CcrdV1:scaffold_55:209690:211985:1 gene:Ccrd_022846 transcript:KVH98938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12, chloroplast MKAFALLKSIRIRNITGPLQSRCFQPDFVPADPKSKPKRYKLPPSYDPYGPRPPPSEKIIQLAEKIAALPPEERMQIGPTLRERLRHPKMQSISVEGVVSGGSGGGPAKVEEKVEKTAFDIKLEKFDAAAKIKVIKEVRGFTSLGLKEAKEMVEKAPVLIKQGVTKEEANEIIEKIKAAGGVAVME >KVH98926 pep supercontig:CcrdV1:scaffold_55:369153:370808:-1 gene:Ccrd_022832 transcript:KVH98926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFVQFKSLVFELSKLNQTLSRTKLFHALLIKTCLLHDPFYATKVIRFYAINNDLTSAHNLFNETPNRTVYLWNSIVRAYAQTHHFLDAFGLFKQMLTSETKPDNFTFACILRACSERLDFGGLRLVHGQVIVSDLGLDFICGSALVSAYSKLGLIDDARMVFDRLNRHDLVLWNTMIAGYGCCGYWEEGLHLFIVMRRMEKQPDGYTVVGLLSGLMCSSLLEIGQGIHGYCLRSGFDSNAHISSMLVSMYSRCSCMNSAYKVFDGLSQPDLVTWSALISGFSQSGEHHKALVSFKRMNTEGKKSDSVLVATLLAVIAQLVILATGVQIHGYAIRHSLDCEVIVSSALIDMYSKCGFLDLGIKVFEHMSKRNIVSYNSIIASLGLYGLASEAFEVFREVLERGLKPDDSTFSALLSACSHGGLVKEGRDIFRRMYADFGIKAKNEHYVHLVKLLGMAGELDEAYNLVNSLGDHVDSGIWGALLSCCDVHNDSKLAEIVAQRLLEDNPDRSTYKVMVSNLHAGNGRWDDVKNLRDELDDVRKRKVCGISWIQI >KVH98911 pep supercontig:CcrdV1:scaffold_55:405351:405695:-1 gene:Ccrd_022828 transcript:KVH98911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MADRRQHHQPTATMNHPQTSNPTSKIMAVVTLFPIGGFLLVFSAVTLTATVIGVTIATPVFVVFSPVVVPASLTIGLAVTGVLTSGAIGITALSSLTYMKNCFRRAALKTRGRD >KVH98922 pep supercontig:CcrdV1:scaffold_55:614228:616053:-1 gene:Ccrd_022810 transcript:KVH98922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRRFSKSVANENLQKKLVENRRKGSRGQEQVPDIADFMNDMFFGVVKNEKKVYNLSGGGGDVIDEDDDFDSSTRSTSSRLTQEWLEEAKRLVASSPDRGSSRAEGNSPSRLVGSPRSVDGFTGEILTKSAKHSHSRNNSQTTVDSISNSSPQPTDVSPASAVQKWFSNILKPPTAGDPTPPATAATTSPDMIPPRASTHRRSRFENQSSPPLPPISPSATTTTTTTAAADPSAFVPPRLSTHRRSRFQNDPNAAQPQLIPSKRTIKTTATTTPADTQILSPPKHLLESAQRRSISSSTCSLNNQILSPPRNLVESAQRRSISSSTCFTDKISRKPSPIRGELKDSELGQQDLNGFLNNQRIKILKLLKGEIKGKAKIVLSGHSNSTFQFSRFQYHFKFSRITISFLVWG >KVH98933 pep supercontig:CcrdV1:scaffold_55:281799:286695:1 gene:Ccrd_022840 transcript:KVH98933 gene_biotype:protein_coding transcript_biotype:protein_coding description:ApaG domain-containing protein MHSMSLKLFVDCNDVESRYTAPGRVSFGVGDFPVRFNCRDQGRRNCRIIARASERDGGAGAGAEAGGAESQSQQNGSSFFARSQTYALMRQQMELAAKSENYKEAARLRDSLKSFEEEEPVLRLRGLMREAIADERFKDAARYRDQINEIAPHYLLKCSSDATTLGIRVQVRSVYIEGRSQPLRGQYFFAYRIRITNNSNRPVQLLKRHWIITNANEKSEDVWGIGVIGEQPVILPNNSFEYSSACPLTTPSGRMEGDFEMKHIDKVGSKTFNVAVAPFSLSTFGDATDNI >KVH98918 pep supercontig:CcrdV1:scaffold_55:586113:586493:1 gene:Ccrd_022814 transcript:KVH98918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRPTTTGTTVSCLFFMVSSFYILMFHNDHGGLRWSSCYVYYVLVVGVVVVAGLAVVMARATMVTLITVLVMLACAGKHRRVLVVEGRQISGEVVMYLLRVMKERSLVAVAGVAFVTSMAMVWTS >KVH98943 pep supercontig:CcrdV1:scaffold_55:65831:67894:1 gene:Ccrd_022862 transcript:KVH98943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MLKRFDQNGRRILKSPAVLPCQDVNPVTLLRSLITLSHSITSYQSGFFATQRRNSRESIRQIGILSMFFAEIIDRDVSDLPDSAVLCFSELHHSLQKMKFLLEDCTRRGARVWMLMKSHFVATQFLTLIRAIATVLDILPLNSIGISREIKELVEMVAKQAGKAKMEVNPDDEYAMKRVLLILNQFENRFEPDSIVIKRVLYYLGINSWNECHKEIRFLDEEICLESLENNEKDLHLLSTLAGFMRYCRGVLFENTVLEHADDQSAGKTHLEILSCLNPEDFRCPISLELMTDPVTVSTGQTYDRASIEKWLKSGNLICPKTGEKLATTELVPNLNLRQVIQQYCIDHGVPISKFQKQSRDISSTILPGSPASAEAIKFLSEFVVRRLRNGTEIQKSRSAYEIRLLAKSNIFNRFCLIGAGAIPPLLTLLPSSDSTVQENAIAALLKLSKHSNGKKVIINHGGLNSIIEVLENGLKPECKQIAAATIFYLSSVQSNQKLIGEIPEAVPALIELIRTGTSCGKKNSLAALFGILLYPRNHEPALKSGIVPLLSNLIRSSDKPEIITDSLAVLATLAESFDGSDAILKASSLPLIIKTLQTSPSRATKEYCVSILLALCNNLGVEVIVVLANNTNLIGSLYTLSTNGSSQAGKKARLLIRIMQGYHETSSSGLMANWDSSSRIATIHSC >KVH98963 pep supercontig:CcrdV1:scaffold_55:641188:642792:1 gene:Ccrd_022807 transcript:KVH98963 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MFLIFSILLISSFSILILFLLKPLSSSSQPHKNLPPSPPRLPLIGNLHQLGSIPHRALHAMAQSYGQLKLLHLGTVPVLVASSADVAREIMKTHDLIFSNRPKKNIINRLTYGSKDIAFSQYGDYWRQAKSIAVLHILTNKRVRSYRQVRNDETSLLIAKIQETHEPVVNLSELLISLTNNIICRVALGRTYDGKKFKNLLERFXELLGSFSIGSYIPFLTWVDRLSGLERRADDVAEEFDEFLEGVIDEHINKTLVDIHGQDIWAISELLRHPQAMKRLQQEACEIGQGRSMISEDDXDKMPYLRAILKETLRLHPPLPLLVPRESTQDVKLLGYDITAGTQVIINAWAIGRDGSTWVEPNEFMPERFLNSPVDYKGFHFELIPFGAGRRGCPGIQFAMVVNELVLANLVYKFDLEVAEGKDLDMSETIGLT >KVH98959 pep supercontig:CcrdV1:scaffold_55:100957:102961:1 gene:Ccrd_022857 transcript:KVH98959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MLVTPSASNKTPPPYPTTAVASSINHPENPQIHLKSIDRRYILASLAASITPFFAPQPPVSYDESPPLLPISVLPSAAARGLFQMPPVRLSNRYYLVRAGESEFESLGIINTNPVAKTSVDNGLSNIGKKQTVRAALRLKEMGACENSCWIWPSITQRSYQAAEVIAAVNGVNRSFLDARGLGAYEGKSLQSVSEIYASDGLSTNIKPPPINDGTPNESVADVFVRVTQLMSILETQYSEDTVIIVSPDSDNLTILQAGLVGLDLRRHNELSFEPGEVRFFDPSSIPTYKQPASALYKCLNPPRCT >KVH98960 pep supercontig:CcrdV1:scaffold_55:107791:113537:1 gene:Ccrd_022856 transcript:KVH98960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLTRRQAHSLRAQQGIPISEYGREAVEALIQELPKFMLKAGFLRPVRTGSTSDSDTALETAENGGQPVENQNQNQNHNLQTSTEPER >KVH98956 pep supercontig:CcrdV1:scaffold_55:123116:125506:-1 gene:Ccrd_022854 transcript:KVH98956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF599 MEKDDLDFVLVPLGLFVMAGYHAWLLYQIRHNPARTVVGMNAINRRFWVASMMETGVLAVQTLRNNIMASTLLASTAIMLSSMIAVLMTGGVTGHGGNTSSSTTTTNHHWSGITFGEGTKLRMTLKFFSITICFLVAFLMNVQSIRYFSHASMLINVPYKKITNFTSHHLTTAYVAATVNFGSYFWSLGLRAFYFSFPLFLWLFGAIPMFVSSVFLVFMLYFLDVTSDLGWVADVVSTDEETG >KVH98907 pep supercontig:CcrdV1:scaffold_55:509238:519128:-1 gene:Ccrd_022822 transcript:KVH98907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MASTSQKAPHSSDDSLPIHRQAVSADVVVVDNWHNNEKKTPIKNSSIISLINPADPSANASTKGIQILTRAQTSHPLDPLSAAEIKVAVATVRAAGATPEVRDGMRFVEVVLSEPDKNVVALADAYFFPPFQPSLLPRSKGGAVIPSKLPPRRARLVVYNKKSNETSLWIVELSEDAVEYAECEAVVKDHPPFQEAMKKRGIEDMDLVMVDAWCVGYHSEADAPSRRLAKPLIFCRTESDSPMENGYARPVEGIYILVDMQNMVVLDFEDRKLVPLPPADPLRNYTPGHTRGGVDRSDVKPLQILQPDGPSFRVNGQYVEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPIAHRLSIVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEDGKIEAEVKLTGILSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAYNQVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSKAKFLRRAEFLKHNLWVTPYALDENFPGGEFPNQNPRVGEGLASWVKQNRSLEETDIVLWYVFGITHVPRLEDWPVMPVEHIGFMLQPHGFFNCSPAVDVPPGACEADTKDSDVKEGVAPKPVSNGLIAKL >KVH98914 pep supercontig:CcrdV1:scaffold_55:562510:563085:1 gene:Ccrd_022818 transcript:KVH98914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MTRITNNDSKPSPAIIKFLYSYGGKIMPRQIDGKLRYVGGYTRVLAVDRSISHAELIVKFWEACGLSVNLKCKLPSEDLDVLVSVTCDEDLAAVIQEYDRVSPDAKIRAVLFPVKSLKTISPVPSYESLVEFSAAKPPPYPVSANSAVRKGTEQHQAVGSSFQKLRRYPVAKSCRENPPLAFRLRQDTIPR >KVH98955 pep supercontig:CcrdV1:scaffold_55:118278:121389:1 gene:Ccrd_022855 transcript:KVH98955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVVFSWERYHLCKLPLQLWIAVDYAAVFVFRLLMFVDNAIAAGVGLDISRQLRGPHLLGRVLVLSILYVVLYPFLWAWSVVGAIWFAGAKNCLPEKNQKWGFIVWLLFSFCGLVCLAGIFVKKWLASRQDHLRRAPQGTRISAYGVLVNMIRQPDRVFETAAQEMRGMDQDATYYPGMNLSDSQRAAVETVIQRLPIFVLKAVRGLPCAHNFHVACIDKWLRLNVKCPRCRCSVFPNLNLNDLSTIPVDPDRSTVSTSHHIRIQPSSYLVRMQSFLLPIRSEAAQPVDSSPSSNSSSSSSPGTALLFVENGGEPSSSHGLGAILEPVQ >KVH98908 pep supercontig:CcrdV1:scaffold_55:498079:503833:-1 gene:Ccrd_022823 transcript:KVH98908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAQHQKTIQEHLALQILLADRLVKSAKEVESSKFDCSDLAKLAVQLTHLLRSTARLVAGSATPTFYDRPLRSIFSHLSSNLHRAQTLVRKCKHRNSILRQVFAITTAADVRKVSNLLESSIADLKWLLSVFDNNGVNLSLPPIASNDPVLAWVWSNIAAVQHSDQLKDRVDAANSLASLAGDSDRNKKMIIEEDGVPPLLKLLKEGASPESQIAAANTLFNLAADQEKVAGFLPFLAVPTIVKALNDSTTVIVRTNLINLVSRMAEMDSWVQEEFGKENVIRPIVTFLANDNEIGNNGGFRSSSSSSLSFRVNKETDISSPEEKRKLQMSCGRALWILAKGSLLNSRKITETKALICLSKLIEHETGELQANCLMTVMELATVAELNADLRRQAFKPNSPAARTVLDQLLRVVNQEHNPKLVVPAITAIGSLARTFPAKETRVIGPLVSKLDHNVSDVPNEAVMALTKFVSPDNFNCVEHSKAIIDFDGVPHLMSFLKNNDNFKIRVHGLKLLCYLALHVGNSNALEQARALSVMEAAARSVVTQYPELKELKCKKEM >KVH98950 pep supercontig:CcrdV1:scaffold_55:39412:43759:1 gene:Ccrd_022865 transcript:KVH98950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MFLARVFGRRLFATATSEASAAGAAAASTVRTAHNPLEEFFEADRNPEEDKPVVYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHAQNLRIKHVLTERAIEDPDPRRTAEMKRMINAL >KVH98910 pep supercontig:CcrdV1:scaffold_55:537795:540206:-1 gene:Ccrd_022821 transcript:KVH98910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MAGERIADHQIMEIQEQNWRRVMSPEIVELEDHEIINHRTRDDVVVVESIGDVFVAVGKNDLDVVKWAVDHLVSAGARLYLVHVFPPVTHIQTPVGKLSRSQLSKDQVQIYVNEENNKRRSLLQNNATTKAILDLIHVVNITKLVMGTKRAPSLRRLRKGSSKSELVKKNAPDFCDVSVIYNGKPVMADQQRHVVSDIPTTSQNGLKTTPFSSERKFFECACFSRNFDS >KVH98947 pep supercontig:CcrdV1:scaffold_55:16667:24062:-1 gene:Ccrd_022866 transcript:KVH98947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAGGGGGGKSDEPAPHPPKDQLLGVTYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKAKVIQTLLFVAGWNTLLQTLFGTRLPAVIGGSYTFVAPTISIILSSRWSDPDPIKKFEKTMRAIQGALIVASTLQIVLGFSGLWRNVSRFLSPLSAAPLVGLAGFGLYEFGFPGYLSHVIHSGKAIFDKFSVLISITIVWIYAHLLTVGGAYNHTAPKTQISCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMTAFVALVESTGGFIAVSRYASATPLPPSILSRGIGWQGIGILLSGLFGTVTGSSVSIRRVVQISAGFMIFFSVLGKFGAVFASIPAPIVAALYCLFFAYVGSSGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYEAINAFVAGIVAYFLDNTLHRNDSSVRKDRGKHWWDKFRSFHTDSRSEEFYALPFNLNKYFPA >KVH98916 pep supercontig:CcrdV1:scaffold_55:570532:576240:1 gene:Ccrd_022816 transcript:KVH98916 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MRLYVYVLEGKNWEVDESFVKLRVGKSKSRTRVLKNTKTPIWNEEFAFRVHSLDDQLTVSVHHHHHHEGIGFLKNGSRNLVGRVRIPLWSVAAEENHHLPPTWFSIETHKSKKSINQECGKILVALSLHGRGQDVSNDYPFDLQSNITDNSNDECDSKHSPSHDSNSSKSPRKMMKSIVEKLFSKHDDSAMNSSDNGESTEEPAFTTSFEDSMETMESTDEGRETPENLQGGVLIDETYVIPPKDLNHFIFAPDSQFRKDLAAIQGTTDVHEGPWTWESDKMSSLTRTVTYTKAASKLVKASKVTEEQTYVRASGIEYAVFVRVNTPDVPYGNTFRVELLYKITRVPELGGDETSRIIVSWRVHFNQSTMMKGMIEGGAKQGLKESFDQFSALLAERFKLANPSAGLDKDHALASLQNEHQSDWELAVEYFCNFMVISTVFMVLYTVVHILLCEPPRIQGLEFNGLELPDSFGNDHGVKAQGEGWVLTVALIEGTNLAPLDSSGFSDPYVVLTCNGKTRTSSVKLQTLDPRWNEEPPSLLDVEVFDFDGPFGQPTSLGHSEIRFLRHTAAELADMWVPLDGKLAQSFQSKLHLRIFLDNTNGVETIKEYLTKVEKEAGKKGRLFVSSRIVGFYANFFGHKTKFSFLWEDVEDIQVLPPSLASVGSPILVMVLHKDRGVDARHGAKSQDEQGRHRFYFHSFVSMLSGYQKTDDDFEDQHEQDKADDFEDQHEHDEKGLQEDVASHLVFEDAKMSKIYSVELPFSVESTMEIFKGGNLEQKVMGKLGCLNYNTTNWEPVYDRSNVAERRLCYKLNRRVSSFGGDVMCTQQKTPIVDGKGWIVTEAMCLRDVPFGDHFYVQVKYKAMERRPGWCGCDVFVGVAWLKSCGFEQRISRNVVAKLSDRVREMLELMEREILLSCC >KVH98928 pep supercontig:CcrdV1:scaffold_55:342137:351497:1 gene:Ccrd_022834 transcript:KVH98928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MAGSSSSILDWKFSQVFGERSPGEDVENIDVISAIEFDKSGDYLAVGDRGGRVVIFEKKAGKDVKERKLKKVKEMDLGPYVSSENALLSERSFVSGESKSSVANGLSLEWIDKMETSISVSNAGHMNGQNIGETAFARCRKMYAHAHDYNINSISNNSDGETFISADDLRINLWNLEVSDQCFNIIDMKPPNMDDLTEVITSAEFHPLHCNLLAYSSSRGLHDAEPRGQKTFFTEIIASISSIKFAPDGRHILSRDYMNLKFWDMRMPTSPVVTYKIHDHLRPKLGELYNNDAIFDKFDCCLSGDGTHFATGSYSLAPEKQYDRVLDRKQLVHVLCIESMIK >KVH98961 pep supercontig:CcrdV1:scaffold_55:624147:628597:-1 gene:Ccrd_022808 transcript:KVH98961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEMERVTEFPLGPVDLRPRKRQRLGWDVGPQVTKAQLGLFCGQDVGNVASFAPAWAPSDSISSTLVKHGGRNGSPPWRKDDKDGHYVFAVGDNLTSRCNYLLHHQIVFILLIVDKIHGKMGEGTFGQVLECWDKEREEMVAVKIVRGIKKYREAAMIEIDVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVSINMIYLGDLFPQFDKCFGVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECIAFMHDLRLIHTDLKPENILLVSPEYVKVLDYKGSSRSPKDSSYSKRVPKSSAIKVIDFGSTTYDRHGQSYVVSTRHYRAPEVASWLSFARRHADKYIRKGRLDWPEGATSRGSVEANLIMQHVDHSAGDLIHLLQGLLRYDPLDRLTARESLRHPFFTRDNLRRY >KVH98913 pep supercontig:CcrdV1:scaffold_55:551744:553699:-1 gene:Ccrd_022819 transcript:KVH98913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MYLKSLVISLIFLLSPPIAAVDFLFNSFNSTTDLQLIRDARIEPPVIRLSNDSNQWSVGRAFYSTRIQMLRPGSGNLTSFSTQFVFSILPEISASPGFGIAFVLSNSTEPNGAIGSQYFGLFTGAAARTVAPLIAVEFDTGFNSELNDTDTNHVGIDLNNIASEMQVGAGYYNSTGSFIPVNMRNGQNVHAWIEFDGSRSEINVTVAPVGVSRPIRPLLSYRNPIIGNYVSSDMFVGFSASKTTWVEAQRVLAWSFSDTGVARDINTTSLPVFLAQSGSNSGLSTGAKVGIIIGSVVFFICLLGVCYFVWLKHHSRNQEDEIEDWELEYWPHRYSYEELKEATNGFSDDEVLGAGGFGKVYKGTLGNKTEVAVKCVNHDSKQGLQEFMAEISSMGRLQHKNLVQMRGWCRKRNELLLVYDYMPNGSLNTWIFDKPKNVLGWEGRKKVLADVAEGLNYLHHGWEQVVVHRDIKSSNVLLDLDMRGRLGDFGLAKLYTQGEEPGTTRVVGTLGYLAPELAMLASPTAASDVYSFGVVVLEVACGRKPIETWQEREEDMILVDLVREKYIEGKLGELADGRIIGQYEMEEMEAVLKLGLSCCHPDPQHRPSMKEVAALLLGEDTTVDPGVLLSEFSSSKNSYNGIQESKTQASP >KVH98906 pep supercontig:CcrdV1:scaffold_55:460721:463633:1 gene:Ccrd_022825 transcript:KVH98906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLAVDDNYSDLINRSWRNEHAVATEILRLDSAFVLRSREHILLKSLWIHTLPHKLKVPEPEDNDLSINYTSKRNDMVQAEDAAVVVGGGGGW >KVH98930 pep supercontig:CcrdV1:scaffold_55:322139:323689:1 gene:Ccrd_022836 transcript:KVH98930 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOX2-like protein MMEEMYGLMNYHEQVPPPDSARENYGHHAFDDQSPEVGAPPDISNLFDEIFRDNDFCRRSSACSCLGDDPELDEFMETYCEMLGKYRSDLARPFDEATTFLNNMQTQLTNLCKGTSITYHTVLIGNEALAGYFYAASFWIRQLQQTRRGIGKP >KVH98903 pep supercontig:CcrdV1:scaffold_55:446064:457428:-1 gene:Ccrd_022826 transcript:KVH98903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase MVESSSVGGASLPSGPDDFLASVTPDTLHDHTHARHLKRFNVGEDCPVFDGIFEFCQASAGGSIGAAVKLNRQDADIAVNWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHRRVLYVDIDIHHGDGVEEAFFTTDRVMTVSFHKFGDFFPGTGHIKDIGANQGKYYALNVPLNDGMDDDSFRGLFRPIVHKVMEVYQPDAVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPENKLPYNEYFEYFGPDYTLHVEPSPLENQNTPKDLEKIRNLLLEQLSRLPHSPSVPFQITPPITEAPEEAEEPMEKRPKPRIWSGQEYDSDVDEEEKPRRQSFNANILTRDHARGNIKEEDMMDGDDAPS >KVH98936 pep supercontig:CcrdV1:scaffold_55:222394:236707:-1 gene:Ccrd_022844 transcript:KVH98936 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF89 MEGTNHLWEMVAILKEDIKKRVEKREESRWCDRLGLQFSGQLHGHCLILVVQQFKGILKREIPQFCCLINLMIYHIWHWILELVYFSRHEDSLCNDKRKKSSEKRFGVINGHRRSFPVLGGRLHFVKFETTKINECLDFIHSKQLHRGDDNNAVIKATGGGAYKFADLFKERLGVSIEKEDEMDCLVAGANFLLKAIRHEAFTHKEGHKEFVQIDHNELYPYLLVNIGSGVSMMKVDGDGKFERVSGTNVGGGTYWGLGKLLTKCKSFDELLEVSQRGDNRAVDMLVGDIYGGLDYSKAISENKEIEDYRPEDISLSLLRMISYNIGQISYLTALQLGIKRIFFGGFFIRGHAYTMDTISFAIQFWSKGGAQAMFLRHEGFLGALGAFMSYEKHGLDDLMISWMEKFVQKGTQITDPVPMASPRTTGLGGFEAPSSKGDTLRSDESNLNVGVLHLVPSLEVFPLLANPKSYEPNTIDLADPNELEYWFTVLSEHIPDLVDKAVASEGGTDDARRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFNFFDAYSTIKQRENEASLAVLPDLLMELDSMSEETRLVTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRKKMQRPWRHCDILRGAAEAGGLLVDAMINIQEGSKQKPSSTRLMVVENGCGSPCIDLRQVSSELAAAAKDADLVVLEGMGRALHTNYDARFKCDTLKLAMVKNQRLAEKLIRGNIYDCVCRYEPAR >KVH98909 pep supercontig:CcrdV1:scaffold_55:544786:550666:1 gene:Ccrd_022820 transcript:KVH98909 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding 8 MAKPFLLVLKLLMVLLALGWVSIWVLKPTQLWTRKWKAAEDAARTTVFGSSGLDFVVYSFPIIVSVMIGFVYLHFKPKEQNGRKRRSSIAALSNPIVVNSFIGVLSAMEVLVISFFIIFLAWTFCIHISNDFKKMMPFEASVKYHIWLGIMMLSFATLHGVGTLFIWGIKNRIQDEVIYPVLPHFFGRIYLAGEIALIAGLVIGITSLPQVRRKRFELFYYTHHLYTVFIVFFLFHTGDRHFYMVFPAIFLFAIERLLRIIQSRPETCILSARIFPFKAVEVILPKEPRLKYTPTSIIFIKIPSISKFQWHSFTIASSSSVDDDTMSVIIKCDGSWTNSLYDIIQRIPVGGHDQRVQSLLLVAGGIGVTPFLSILQEISSAQNHGRKNFPTKIQLIYITKKRNSIGILNSVLPLLANKNTKDFHLKLKIYVTQEVQPGATISELINEFSQVETVNFHTECTSYSPYGHESSLLMAATVGFSSILFFVFLIIFNHIFLPQPQTKKASSKEKTPSSYVDLVLICAFTLSIISTTLVFLVLRLKHLKKQQPPMAIKHNGREMQASSFQSNRNVDEHEVNFGARPDFKDIFSRFPNETGGSFVGVLVCGPEAMKESVASICKLSMQRSTTNGQTKKPHFNFHSLNFTL >KVH98925 pep supercontig:CcrdV1:scaffold_55:357737:363757:-1 gene:Ccrd_022833 transcript:KVH98925 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g63050 [Source:Projected from Arabidopsis thaliana (AT5G63050) UniProtKB/TrEMBL;Acc:Q6NM17] MYDVVAYTGSYAPPRPLSLKRGIEPKKFLAAFPIVRRADIILLKHRSYLCVKAPLSKRKSLKISSFKGNVQNDESGGRENGSKSIKNPVKLSYVPQDRKETLAESPKVKNNHATPTSNVDETTAGSLAIQYLFKSWLTLLRTPPPPPPPSSQVPNDGPLEEPSLNEKVETDHKIQNSGRGVILKAFSGSLMGLDAAIKIPAMIFIPMYLAVNMKYGPEVAKELTPLWICGPLLVALYIKIVQGLCLLYIYSFKQSVKVVNNFPVYYDFVVSGKLEETINARLWKPVADFRNLGFKGIWKHVQEWIVDKYLDYIESIWPRYCKLLRFLKRANFI >KVH98917 pep supercontig:CcrdV1:scaffold_55:578192:582458:1 gene:Ccrd_022815 transcript:KVH98917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNPKNFPILSYVMTRIPSIKRPNHPESDIEQQRMSPRPAFNPEPYFELTERMPHLTDPQVLAAMRSAVADVSQTRSLLKTLGERPDHESVDMAKARLAEIESMMSNQLDEIALSDKVDPEEEKKKKAIEREKQMHKALISLDQMHESYDKMLSDAEKRLEKLYETAKNSRKSIPVVDEGSSVQGSAVDDFKEEVVAILTDALSNGAQRIDLSERRLPFLPEAFGKLHTLVYLNLASNQLEAIPDSVAGLENLEELNVSANILGSLPDSIGCLLKLKILDVSSNKLSSLPDSICQCRSLVEFDAGFNKLTYLPTKIGYELVNLKKLAIPLNKVRNFPTSIGEMKSLQFLDAHFNELRGLPKSIGKLSNLEVLNLSSNFSDLTELPDAIGDLTNLKELDVSNNQLQELPMTFGRLDKLQKLNVDQNPLVIPPKAVVAEGIGAVKVYMAQRWFDLLVEEEEKSRREAEAMAKASWLTRSTSWLAGAVFGAAGTASLYFGAGESEDPYLEEER >KVH98942 pep supercontig:CcrdV1:scaffold_55:9196:13573:1 gene:Ccrd_022868 transcript:KVH98942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MASYGGTTQKCKACEKTVYLVDELTVDNRVYHRACFRCHHCKGTLKVPPKPLELIDPIRANLTAEYQVGVDGNAYHRACFKCSFGGCVISPSNYVAHEHQLYCKHHHAQLFMAKGNFSQFDKQHQQDVSNGVTENTTEV >KVH98948 pep supercontig:CcrdV1:scaffold_55:13593:15479:1 gene:Ccrd_022867 transcript:KVH98948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MCGSCDYLRLWSGISSNTFLTQPMTQLNVRLYQLLKHVRLLTQTKQIHAQIVFRSLGGSNNLIGELIRSYRTHGKLKSVRKVFSEYPSLPPTFLWNLVIQAYSKTSFTEESLYLFKEMLLYGGRHSLAVPDDYTFTFTITACSRQRTLLAFGQNCHTMVIKHGYDSDVCVGNALVSMYVVYSRTVSAQKVFDEMPQRDTITWTSLVKGYAMNGNMPQAEKLFIKMPDRNEVSWAVMIAGYVGHEMYNDALRCFNDMLAEGNLEPNEAIFVSVLSACAHLGQISQGKWIHFYIDKNGVPKSSNIATSLIDMYAKCGKIDCAKQVFDETDRRDLLTWTSLISGLSMHGLGREALQMFDEMLAKGIKPDNVTLVSILNACSHSGQVEEGIAIFYNMERLWGISPKIEHFGCLIDLLSRANRLEDAFKAVKTMDMDPDVIIWRALLSACRVHGNAGLAERIIHHVIKAGDGGGEGHLLLSNLYASLGQWENVRRIRESKIEKEDISTPGCSYIEIDSVVHEFLAADKLHPRIAEINLKLNEVMKRISLD >KVH98953 pep supercontig:CcrdV1:scaffold_55:152222:157016:1 gene:Ccrd_022851 transcript:KVH98953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNFLSPTQTKLITFSDTSKSQNHLLNLQGGFCFKRKDVKVAIGKGIRCSAQPAPPPAWPGTALVDPGVKNWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLAEQVIMVNESLVNELKEALADADYMPEIIPGEQGVIEVARHPDCVTVVTGIVGCAGLTPTVAAIEAGKNIALANKETLIAGGPFVLPLARKHNVKILPADSEHSAIFQDSSVLAQLGWPDMRLPILYTLLGSLTFKAPDNVKYPSMDLSYSAGRAGGTMTGVLSAANEKAVEMFIDEKIKYLDIFKVVELTCEKHQSELVTAPSLEEIIHYDLWAREYAASVKPSSTTGLTPAIAL >KVH98927 pep supercontig:CcrdV1:scaffold_55:336188:337017:1 gene:Ccrd_022835 transcript:KVH98927 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELK-like protein GDESVERSKEDTSRGGEAEGVRSNWSNEDRALKDTLLRKYSKYISSLKHEFSNKKKKGKLPKEARQVLLDWWNLHYRWPYPTEADKVALAESTGLDQKQINNWFINQRKRHWKPSENMQFAVMDSLCGSFIVNN >KVH98931 pep supercontig:CcrdV1:scaffold_55:288507:296944:-1 gene:Ccrd_022839 transcript:KVH98931 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4206 MNGEGDSEALPEAVSLNDRLDDELLTWKVSDRQLLDRSNEVSPVSSRYSSCGESEFDRYCSANSVMGTPSLCGSVGTFQDFPDSDIGCVKSLRVGDVGVLESFSLGGRLERKSDDKNSLALGRLGEYRPRSESSDVKRGLNKRNNEKSEQTLVVRENEMHSYDELETSFTDLPDGGGVVLWKDDINSKRMQSTSTHRSLVNNISAETMEKQRVEEGSEPRMGIDQVNNIFEGEKHLDAHSEGETSARLEHSESEGSMFGYGTDDEEPTDLPPIGSMWKDNINSKSIQDTSNKPVVNNISAEAMEEHMIEGSASCKGIHHVNNVFEGGRHLDERSDGETSSMLEHSESEGSMYGYGTDIEEPTGLSSRGYPHYSQDKKSNSENTLLMTSAIAYGSNDWNDFMQETMENPQNLFVIDENQGHNQNEIGSGGHVSKSTFGCQSIDVSQKQENVKDFHMNNQIDVSHDLPMYSKTHSISHIDLLKHGEEDRGQFVNDMAVIAKQAEDVNQLKLEEVSRVEKAPLMDVLPRKPEHTNSKKTTDSLTSHLKLGHVSSTLTQEAEDHVAEMPKDKRPFSLQSLPNINVEKKPNATPISFNIPEDLQKASKPAKIDKRMKRLEGEEKIASRIATLAENYELNEFYDEVVYEMEEILLDSGESPAARFTRSRKHHSQLSLPSRDGGSTASTSSIDNSHSVVHKIDGIQVVGAKQKKGDVSLGERLVGVKEYTVYTLRVWSGTHQWEVERRYRDFFTLYRRLKASFANKGWDLPSPWSSVDRESRKYFGNASPDVISERSVLIQECLQSIIHSKFSSSLPSSMMWFLSPPKTGPGSPVFNTHISQSQISSGSKPTPVYTLGQSISLIVEIRPHKSMRQMLEAQHYTCAGCHKYFDEGKTRLWEFVQTLGWGKPRVCEYSGQVFCSSCHINETAILPARVLHWWDFTEYPVSQLAKSYLDSIHDKPMLCVSAVNPFLFSKVPPLQHVINVRKRIGRMLPYVRCPFRRSIYKGVGSRRYILESSDFFALKDLVDLSKGVFAALPVMVETISKKIVDHITDECLICYDVGVPCGARQACDDPSSLIFPFQTVTCPCGVDLGERSVRTPNVSTNEVPKNLVNDTESRSSMAFLSGLLSKATPAKFWRPKDNDTVIPMGSLPNSSL >KVH98919 pep supercontig:CcrdV1:scaffold_55:589097:593357:-1 gene:Ccrd_022813 transcript:KVH98919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MPLESSISDHEPDDSDTDFVEIDPSGRYGRYKEVLGKGAFKKVYKAFDELEGIEVAWNQIKFSDFLRNPDELERLYSEVHLLKTLKHKNIIKFYNSWGVKPASLAKVKDPGVKSFIEKCIAKVSDRLSAKELLMDPFLRDDHIDGVNKPGQPNLNPNPDRDAEKLDNGKTPKEFMPLDSARDFTVKGHMKDLNTVFLKLRIEDTTGHVRNIHFPFDTDLDTSIAVASEMVEELDLTDQDVSTIAEMIDAEIQSCIPDWAPRDYYDDQEDNEAPGSVDSTSEPQNESTSPLTIKSSAAPGCLALERLPSGRRYWSDSPKSCFSPLRPRPSNASEDNTQSGAICREEQHSSKDDDDDDDDDNDNDDNDDDNDDNDDDNDDDDSDDVKEMEEPLQEEDDDDRKGKGEDKDDEVENIVEKVEHLLVEQQKELDELKKKHGLIVSNMLNKLPPGTRKEVANICKVNISEATFS >KVI00184 pep supercontig:CcrdV1:scaffold_550:210913:213112:-1 gene:Ccrd_021569 transcript:KVI00184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEMDNKSLWFSSIFIALFIISFAPIALCQEVDDEHEFSYDVNSHNGPDHWGEIHPEWNLCNHGDMQSPIDLMHKRVQTTSELGKLDRDYKPANATLLNRGHDMMLRWIGGAGHIHVNGTEYHLNQLHWHTPTEHTVNGRRFNLELHLVHESIDGKVAVIGIMYKIGRHDSLLSMVRTVSREQVHMIREAVHDDAEINARPVQTLNNRWLKLYRPDDDQNN >KVI00197 pep supercontig:CcrdV1:scaffold_550:62518:68298:-1 gene:Ccrd_021559 transcript:KVI00197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MNVSHPSVHPVEVAPLPPTDGGALVPPRVRMKIVQGMPGTIGGLSLRFCQFAYAVVSLVVMATTSDFPSVTAFCYLVAAVSLQSLWSLSLAILDIHALLVGRSLQNYRVVSLFSIGDGVTSTLTFAAACASAGITVLIGNDLGLCAQNHCKQFETATAMAFLSWFTALPSFLLNFWSLSSR >KVI00188 pep supercontig:CcrdV1:scaffold_550:90660:96319:-1 gene:Ccrd_021561 transcript:KVI00188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDNGMCGVIDYNHEVYDEDCFGDILNILDLPVENLEGDGLAEDWALKLGPIPSEVFREMLPPVSQIGGVVNDNGGGGGSADLLTECFVLNDETCQPKCQPILKSKTSKFQPKPQPPSFPEGKKQYPMPRSFEAPSPDSVLERRTSGSTSKTVSFGTEIAIPVRSRTKRSQSGSTTNPWSRSPLLIPLQKERKKRRNLFQQPVNETKKCSHCEITKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFIPSVHSNSHRKVIEMRQKMDDDYVTKKVLSSNSRDTGLCSCE >KVI00186 pep supercontig:CcrdV1:scaffold_550:124513:133276:-1 gene:Ccrd_021563 transcript:KVI00186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLVLASGLRLFKEARNQEHQKKRNGYPALAAMSVIDGAPEAIFQILMSFGSSRSEWDFCFQKGSVIEIIDGHTDIVHKLLSDDWLPRGMKRRDLLLQRCWRREDNGTYTILYHSVLNKKCPPQKSYIRACLKSGGYVISPVYQSKQSVVRHMLDIDWKLWNSYLQKSSAQSLSVHMLGRLAALREFFKTNLRNYLSEFSLQEPKRKDLLHQSETSMRIAAQRNENGDSKEDAECTLLEVSSDRLGLVEMDDESDEYFDLPEPFVDDQSESSCAAGFPPEIYSQVLCSYTAVHRKGCANLEEMAWENGVSCNYILTLPKDPTGNLPNSWSVPEPSLFQIRGETYFQNRKKITAKSTLMQTVAVDWLRSDKREDHLAARPGSIVQVGLAFLILVHMIWKKFMIFVLQNFAADGRPEFFFIVNFQVPGSTTYNVASYYMTSTPVKDLPLLEKFIEGDDAFRNSRFKLLPHITKIDIDVGSSTLARGLATTALSCFSNLISETAFVIQANTPDELPEHLYGASRLNHLDVSRAFWTNP >KVI00193 pep supercontig:CcrdV1:scaffold_550:22390:22890:-1 gene:Ccrd_021556 transcript:KVI00193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKVMRPRSMAKELQGTVKEILGTCVSVGCTVDGKDPKDLQEEIADGSVEIPQD >KVI00195 pep supercontig:CcrdV1:scaffold_550:4226:7010:-1 gene:Ccrd_021554 transcript:KVI00195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MTKDVEVAEHHAGTEYAAKDYHDPPPAPFFDAEELTKWSFYRALIAEFVATLLFLYVTVLTVIGYKSQTDPDLDTDQCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVGYMIAQCLGAICGVGLVKAFQSSYYNRYGGGANTLADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRMFMVHLATIPITGTGINPARSFGAAVIFNDSKAWDDQWIFWVGPFIGAFAAAIYHQFVLRAAALKALGSFRSNA >KVI00191 pep supercontig:CcrdV1:scaffold_550:133283:135634:-1 gene:Ccrd_021564 transcript:KVI00191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSSENDEHRIMEGWLYLIRSNKFGFQYSRKRYYVLQNHDLKSFCSVSHSLHKYPSNISIVSCHFRSNNSGRVHDTNSVDYHLSLASITDPKTADLSSYWTIFGCHNGKHKKFQIVSHNL >KVI00192 pep supercontig:CcrdV1:scaffold_550:144677:151345:-1 gene:Ccrd_021565 transcript:KVI00192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQCILEAHYLAHGVGLSATKVGDLRQSRKHALGCSRKVRGISIPGNTVSHPYILEVAVCSLETVHAIGFAFDFKFRLSFAQMKNIHRGVVKKPNNGARVIITAIAGVVFGYLIGVNFPYVAPTKITLPSCIHSSSEETMTIDQSKAFEFNSPEFLGSVNTRATPQIYVPTNPRGAEPLPPGIVVPETDLYLRRLWGDPSEDLKKKPKYLVAFTVGWGQREMIDASVKKFSEDFQILLFHYDGRTTEWDRYEWSKQAIHVSVKRQTKWWYAKRFMHPDVVAPYEYIFVWDEDLGVEHFNADRYIYLVKKHGLAISQPGLEPNNGLTWQMTKRRGDKEVHKDTEEKPGWCSDPHLPPCAADYGSCVLTGSLAVHVVYDSVQYILSMGSFANNNANFGFIMHMKNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPTLGSQVSHCNLYMLFIVACMKLGSHCSSSHSYTWESGLYKKEKVVRNPMVCVLIQGNVMNGKYGWLAVKDRCKTEWLLFQDRLANAEKAYFKKTGRRLN >KVI00190 pep supercontig:CcrdV1:scaffold_550:164932:185608:-1 gene:Ccrd_021567 transcript:KVI00190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain/shadow MTNDDRLTRNRKLKDEGNTKSKKKNASPPSISGSADASGLRRSGRETSSKQATTSPSSARKSERIEKQASPSSPLIKKKSENIVKQMAGSPLRRSDRGKKQFSPGSSGSKKLAEIPASSNIKSKKEKIPSSSKESVGDANEDNKDERQDPDVGGRKRKRMTARGYKALFKPQRIRVEADDSKDPNGQEKSPQANSSSNGYTAMTITKHDNVETGPCLKEPRINHGLENQQELDPCYNTGEGGLCGTSSSKTVKLNKSTMPHKLLKKRLLLSFKPLNESQEDAGKVLDYHYEWLVEWQGLDNGKATWELESAVLGSPEGQHLIKEYDHGHGKMGISAPLVDKTKEPSVKPPISPAGGPPGMDQIHFSYVKKLQDSWTKEQNRHLQERAMRVVLFILSLNGVTHPFLIITTSGSLAQWEAMFLNVAPSNDLKVSIEVRDTQRSVRLLEAQKEGGELVFQVLLYCVDAFVEDLSMLKNINWEAIMVDESQCLEISAHFDDIKSLSTNKRLLLFGGPIGENMAEYLNVLSLVGYDDALSNNTRSKAESDDSLGKLKETLSQYVAYECKSDPSKFVEFWVPVQLSNLQLEQYCVALFSNAMVLRSCSKSDTIGAFHDILISNRKCCNHPYIVDPNLQGLIMKDREPTMLLDVGIKASGKLQFLDLILPEVKKRQLRVVILFQPTVGTAKGLASVGDILDDFLHHRFGQDSYERIDGVGIVPSKKQAALNNFNKDMSRFVFLLEHRGCQASIKLSSVDIIVIFDSDLNPAYDLKALQKISIDSQSEQIMIFRLYSSCTLEEKILRLAEQSVAIDGKLQNLNRSSCDALLMFGVSDLLNKVTQFHSSSVLNISSEESFLKDVLEEFLYLISHKCNSKDTSKSIITRVKSCGIYGKNIPLHTPLPFGEQPHLFWRKLLEGKCPRWKFVSLSTPRQRKRPHYFEDSSKETSAAHADVGKKRKKTLNSSIEPAASKLVVEAETGRTNEGASGVPAHNESQSSSGDHFWSTAVHSERSLHHLLKPTVSELCEVLKLSEDVKMTVERFLDFVLENYHVSKEHTSTLQAFMISLCWIASSLSGYKIDRRESFALAKKHLNFSCMEEEANSVYLKLEPAKEMFLLHTDNQEKCDAFKDCIQAPQATCTESTEPKMSHSLLPNPQEVKPEIVDGQHADVSGSHELSNEEMLQSAEKMQNECKDDLATKDQKEMERFNREWDEKRMLLENDYKVEKALIRTLHSNPSVRSEKLKSLDKVFANNLEEHDRMREIHLKDLMAKLSAGEGQIIANCIPSFSEGRANNELTLHESQHENQMVHSGNSIQEAQDVPEADPISSTNLIEVQNHDRMIEPSVSLGDLDGGNVKVNAISLEFVGARIEDQPKSGKNLHTGANLVEPNGLLLEEEPGTMVEPSNRVGNAHDGVNNIGSTGSHTSEKQRADATVSSVPTEENPAQQHDGIDNNVVGHVDSVESGVPEVDSNEENAKGGNTSPAVKDCNETGPGDMLPHVDSAVKDRNETGPVDMQPHVDFAEMGTLAGNCNKDTGQDSTIIPDTLHVEDQNDSCGSSSSNSPSAAVSPDKTPTVELVASPASDHSMPTIPEIQNESLQLQQLPCSGSQDKEGQPDPSSVAEIDVDNLQPVDAGQVGQINSEDPPLEPLDELSFPLSTDLPIAENQSDILPASGILLEATREEPTLPGGPSQSAENHTNPSDDTMLQSSGNLEEHQPSSDAVHDQPSVEPRNSAQNSKPPVSPLAAEIPNQNASRPEMSMFDVQGS >KVI00183 pep supercontig:CcrdV1:scaffold_550:202539:204335:1 gene:Ccrd_021568 transcript:KVI00183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MNSINSIYGQESYDSTSCIEHGFAPGTSYTCTNTTLTNISCQTFLIFRSNHQFPTISAISALFGSPPTTLLHLNNINYASDLLSADREVLIPVTCSCSGDVFRTRINYTITEKTTLSDTACGVFEGLVRTITLLEENPNLEDVIKVGFVLNVPLKCACPNKPYKYLVTYPLVSGDSVIKVSTKFDISMNDVLQVNGLTPDTTIYPNSTILIPLYSEPMINLSVPNLQPPTPGFYPTKPIERKVKTAKLKKVYIVVSIIGFGLVLAILIVTGLYVNALNKCKRDIFVIPSMQRSSFTSFSTPRVSSPRSRQSPITRSSPSSCLSPDLLAGIKYSLYNFTIDEIKKVARDFNEDCKVSENAYRGLFDDVQVLVKEIEFSEIRHVIDLYSKINHVNVVKLIGVCYNESSWSYLVLEHPGNGSLRDCLTNSSSLTWHRRTQIAFDVAMGLHYLHYCIVPPYMQTGLGSGNIFVTSKWRAKLTVLSRNTNLVLQIGSSNSEYENFDVLKASEKENILEFGMVLLEILSGKVKTDEKSIGFLGGEGNERGCFDHLRSFIDPNLKDDYPLAEALCLGVLAKACVECDPFHRPSMEDVLKVLARMV >KVI00187 pep supercontig:CcrdV1:scaffold_550:75813:89165:1 gene:Ccrd_021560 transcript:KVI00187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MNLLFSFLEPTRSHSALLAGYFSKVMICLMLRKTVPLMNFVQVHPYVFQQLVDLIGITSIMEVLVRLVGADDHMYPDSVDVMQWLTDSNLLEMIVDKLSPSSPPEVHANAAETLCAITRNVSSPLASKLSTSSFVSRIFGHALEDSHSKSGVVSSLSVCISLLDPKRSVPSPPFYSFRGQHVYESPVQVNQETVGAMLPKLGGLLMLLNVSLDEKILPTTYGQLKPPLGKHRLKIVEFISVLLKTGNEIAEKELISSGTIQRVIELFFEYPYNNALHHHVENIIFSCLGSTNNTIVDHLFQGCGLLVKILQTNNTPFLSGELNLPTLPAAGRNAPRVGNIGHITCIANKIMQLGNNNSRIQMHIQESREWNEWQGTVLQERNMVENVYRWACGRPTSIHDRNRDSDEDDIHHDRDYDVAALANNLSQAFRYNMYENEEGEEGRETIGRDDEEGYFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQDDKMGDAPMSTTSPSEMMDEIDFNAASTGGNSSNNDDLVVGVDEEFVDSKNSNHIISDSNPNRSPNPFSEDYEVNGGGDLKMGENEKTSASDAGFFRFEATDNEDLFADRPMPEWVGWGEPSNIAVRGSGVNPFEDYNNTSNVDIPSLAETVSPGSPSLPNGSSNDGLAGSCDSSQKEGAVASLFEEDVEFVGVELDGSEKAMDKALKEGVVGEAGALKRKVTPEKENVSEDTKEFNDSNYWRVDQEIAVSE >KVI00185 pep supercontig:CcrdV1:scaffold_550:110686:119642:-1 gene:Ccrd_021562 transcript:KVI00185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMDHALRLALLLMLLALVYPSSSAIDFEHCKSSVKQWASSSLHSDTKDGHILKDLLFFLHVPRTGGRTYFHCFLKKLYSSALECPRSYDKLRFDPGKPDCRLLVTHDDYSMMSKLPREKTSVVTILRNPIERVFSAYEFSIEVAARFLVHPNLTSVIKMSGRLRSKNGGISTLEIWPWKYLVPWMREDLFSRRDARKRRGPPYFYGNDSYNMEEIVMPLHEYIHHPVALDLVHNGATFQVQTFMNKMKFASQVAGLTNNSNIKGAHELRQCVITYQILGKYVLEVAKKRLDDMLYVGITEDHRESATMFANVVGAQVISQLMATTVNDSTSEQGPSFSDSSTDTIHDQDNSSSTVEAKSENMTVGKLMDTYETCISSLRSSQSQRRTASLKRISPANFTKEARQHVPDTLLKEIISLNSLDIELYKYAKEIFQKQHQIMEQKLLDSNQVKPAKWNMMLSSIDSAWTVFYIFVFVFLLFFICFYVSAKRRISKLKV >KVI00196 pep supercontig:CcrdV1:scaffold_550:38102:38581:1 gene:Ccrd_021557 transcript:KVI00196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MAASRSYLGRGNYRYFSGEREGPMGTDLMFEFNEFDIWNVASSPDFHKNVTASRISKKSVPAMEKRGEARGTAMSLPVDVPDWSMILKEELNENRRTGNDYDDFDEDLYGAENRIPPHEYLARGRIASFSVHEGIGRTLKGRDLSRVRNAVWKKIGFED >KVI00194 pep supercontig:CcrdV1:scaffold_550:4907:6360:1 gene:Ccrd_021555 transcript:KVI00194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAPKLLAGLIPVPVIGMVAKNMGVSCTPLGIGSREDGVNQDEGADNLSTESGSFVVTVGQRVGTTAVPVVV >KVI00198 pep supercontig:CcrdV1:scaffold_550:47146:58857:1 gene:Ccrd_021558 transcript:KVI00198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 MTSDSSSSSPSSDEEEESAGQKPNVDVNINSIDNSLDAIENQLSSIALKTSIDERTAPSTDYDHEPVVSEVDDGSLRESASGMQRSSIGESSSSRALGLWRNNLEVELEAEVDGAPSSPSSSGYAGERGSSGSVTSASGTDAIRELRDNGSSMGDDGMVSLDSSQTQWIRGKRHADEDDASISWRRRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRGQLELLYGQMILILTRSLDRCFEKNPKFDMTPLLGGTEAVFSSIIRAFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKDKVVSLVGLQKASLHPDDMLLLANFVMTSESFSPICLPRYNPMAFLYAYVQYLDVETYLILLTTSSQAFFHLKECRIRIETVLSKSNVLSEVQRSLLEGSMRIEDVPVDPTARSGSLFHLNQRRLATDSQERLHETFVGIGGPAGLWHFIYRSIYLDQYVSSEFASPVSSPPQQKRLYRAYQKLYASMHDKEVGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKGVAIRICNRVCQWVKDVENEIFLLGASPFSW >KVI00189 pep supercontig:CcrdV1:scaffold_550:154578:159281:-1 gene:Ccrd_021566 transcript:KVI00189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of rudimentary, Modr MFKFWGSQEQQVDPRPQEITTNSWYPPSVVSSPSSSNPATPNSSNSDTHTQRVGDRSHLSSPVSPTEAAGIIVYLKDKSVDELRKLLSNQEAYQQFLLSIDPVRTQNNVRNELRNETMQLATSAEEKLNDLTKRKAEILKYYSPGSLLHKLQECMDKTDKESEALHEQLLGKEIDLATFVQKYKQLRNVYHKRSLTYLAAKTSLGG >KVH98798 pep supercontig:CcrdV1:scaffold_5502:6367:26299:-1 gene:Ccrd_022974 transcript:KVH98798 gene_biotype:protein_coding transcript_biotype:protein_coding description:YLP motif-containing protein 1 MDHSWRPPPLPPIPGNASNICPICSISHFPFCPPPPFIENPRFHYSQSPNDYHNQPPHFDHHHSFPNHQSSLNYNYDCNPSDGYVARRPTWYGSNPSLNMSPYDGVGDPPMGNGNYNNYHRGGVGPASYGYDGYDNGGTKRMKIEPTDYNRGPRGSSMGGEIVDTSRVSSDSERILKLIHDHGNAANAAPGGLPKTGADLFSESSNENANRYTHGRFVETREVRNDMGLKRRQMSDFPSTGMGSFERKESSLYVGPGSDLLGSSREDRGVAYGKNNVHYDQRDELGQSQYDCTESSIPHSLNYSRHGSQPARVFTSTEQSEQTQDHHRGAAPYHSVLQRSELNKKLPMPQEMHDPTTEILPNIYHHLQPTTPSNVAIVNKASYSYAGQSQLPPPADTTQHNLQPNHSFLSSRLPTDVNRHVDVNALSQEGIPSSIRHHNSQPPYSWSESKGHYAHNSFRTNFGPVEVSHAYRGQPPLPASPPPPLPIDPPRHHVFEPLASPPAKKPSLFPISVSSSADSSSSRSAIHENQSPAQVYYSNNAGHHASTLSSAEEFRTRQSSSEKHVRDVQPFPLRHLASEKPNTIDASHIFKQPHRASRPDHLVIILRGLPGSGKSYLAKVLRDIEVDNGGEAPRIHSMDEYFMTEVEKAEDSELSKSSGSLRGKRQVMKKVMEYCYEPEMEEAYRASMLKAFKKTLDEGIYSFIIVDDRNLRVADFAQFWATAKRSGYEVYLVEAAYKDPAGCAARNVHNFTLDDIQKMAGQWEEAPSLYLKMDIKVDMDMEDEDAIEASPGPDVKNPQEDVKDQVDEHELTPHGPVKHPVTNHVTEEVNHLSRSKWSNDMDGRESLEAEGGKKNASALSGLIQAYGKEGKSVRWGDQGGNVGFSISATRKTNTRSLIIGPGSGYNLKSNPLSEEEYLKPTQKAVEPKSQNVFQERIRAERESFKAVFDKRQQRRIGGFDIDDE >KVH07493 pep supercontig:CcrdV1:scaffold_5505:27176:33258:-1 gene:Ccrd_025968 transcript:KVH07493 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K9 methyltransferase, plant MEQVLGSDSVPSGPMDKSKVLTVKPLRCLVPIFPSQRDTTAPQTSQFASVPPTGPFPPGAAPFFPFFASNESQRQGTGANQGRSYPIPSPVPLNSFRTPASAAGNGDIGTSRRSSRNRVAADEDGYSQGDAYENSFGMYTEDGSDVVKKRKSRKKAKGRPAIAVSLSEVDIESLVNNLLKSFNLVEIDTFYQANSDKELVERVLIVYNLLRRKITQIDDSKEAVPGVARRPDLRSGTILMNKGARANVKKRIGTVPGIDVGDIFFFRMEMCLVGLHAPIMGGIDYLTVKVSADEEPVAVSIVSSGGYEDDGDDGDVLIYSGHGGVQRKDKQQMDQKLERGNLALEKSLHRANEVRVIRGVRDFASPTGKIYVYDGRYIIHESWIEKGKSGCNVFKYKLVRVAGQPEAYTVWKSIQQWKDGVTTRVGVILPDLTSGAESLPVCLVNDVDDEKGPAYFTYLPHLKYTKPFASSKSFLNCSCSDGCEPATNCPCVQKNGGYIPYTALGILLSHNSLIHECGPACLCPRTCRNRVSQAGLKIRLEVFKTKDKGWGLRSWDPIRAGAFICEYAGDVIDSSAVESDDNYIFDATRSFDQLEFVPIDDPVKVPFPLIISAKNGGNVGRFMNHSCSPNVYWQPILRENHDQSYLHVGFYAIKHIPPMQELTYSYGMVRTDKDGPRRKKCLCGSSKCRGYFY >KVH07109 pep supercontig:CcrdV1:scaffold_5506:26341:29550:-1 gene:Ccrd_025969 transcript:KVH07109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTRNKLKLNKPHNRTLDPSTTMNIFKTLISALESPEGIKSQLLKSSYYLLIQLSTKQQLCLGKGADLNELFMKTNEGEVRLSLRDVCTLSNVLFKDLQRRLKQLHSSKHDVSATRSLELEQLNLLIRCCMVTLTFRVPQQHLLDSGRVLLLIFKMLSLLEVAEGGHGNVNFNKSLSCQCMYSGENYSDSFAKVSSLSSLELFEPCVPFITAILQVVIDELLVHSRLRKYLQIVDFFSSPDDRLFKHGASSGDFGVMMEMICSHFLLTISGEGALQEFLNRITWVRSNNSKSLEVSVIAARTLLQTPVVLSSPKLLQAHIVSLVSDVIGVCIDIESMTSDPRLIDSYLSVFESSVLLYTQHMSILKTEKSSTDAKDMHNESSHPCFELFIDPDKRQKLNQMITMLNDLWNSNLRKRFFKRKTDLVASSIEYIHQSLCMLDIECRDEALWFLRCMLVRAANDVNNIELPLNGDADLQDICLLASLLMLISNSLIQAVWCLRYGSDQPKSLKDLSEYDFIVGVINCFKEFSIRLPIQKFSYNMMEETHPTTSHEESRLMLLHFLGLLSLSFDSGLDFLVKSCISVIMALTNLFILEEGNIDVLMSLVGPPGEGSLVIYKEAPVSQYPTLRTAAKFQKIRTLYVSNACAANESMATEDVGSVALSMECADQETCRGEMYLKMRLKGSHGVDDFDDLADFVECKKGKDYDDWLKSRDKFRERKLRKGVKRRWEKKKQVWRSMKGKRDG >KVH07110 pep supercontig:CcrdV1:scaffold_5506:30591:31281:-1 gene:Ccrd_025970 transcript:KVH07110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKYGIGYISLLSLKEQNTICLPGNLQYVDFVRAN >KVH92143 pep supercontig:CcrdV1:scaffold_551:79368:80607:1 gene:Ccrd_005829 transcript:KVH92143 gene_biotype:protein_coding transcript_biotype:protein_coding description:MULE transposase domain-containing protein MEFEPLGIGSDVIEFDTMRLGDGAVNVEDDENKMFVDTQYEYGGRTMDSGYGGGEIFVSGGDTGLEPYEGMEFESEEAAKAFYNSYARRIGFSTRVSMSRRSRRDGAITQRSFVCAKEGFRADKEKSSCENGGGRVKRPRVETRVGCKAMMVVKIQGSGKWVVSGFVKDHNHELVPPDKVHCLRSHRHVSGSAKSLIDTLQRAGIGPSGIMRNSAVSLLSIRVLKVSSISV >KVH92140 pep supercontig:CcrdV1:scaffold_551:9732:16802:1 gene:Ccrd_005824 transcript:KVH92140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEIVPGEELDSGEELDSGEELDFGEDVPGDLIDFGEDINVKNIAFDLDFHPSNQLVAAGLITGNLFVYRYAEDSEPQRFVPTKNHAELLDSSMRDVSLMPFIPHKFVYAYGHSLSSVILTGSPDCSILATDVETGSPVARLESSHEKAVNRLVNLTETTIASGGDEGCIKVWDTRQQSCCNSFQVHEEYITDITFEPDSMKLLGTSGDGTLSVCNLRSSKVQTQSEFSEDEPLSIVIMKNGRKVICGTESGTMLLYSWGFFKDCSDRFTGLSPNPVNALLKRGLLLELKMVLLGILPNRVIQPIAEHSEYPVERLAFSHDRKFLGSISHDSILKLWDIDSLLQDSGKKVEGKSAADSDEDEMDTDTDDVLQKSSKGTKRKNNGGSKGLDTASNFFADL >KVH92135 pep supercontig:CcrdV1:scaffold_551:86979:93737:-1 gene:Ccrd_005830 transcript:KVH92135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase MSTTEDTNGIRPPSEPISEPTHAQFTGKKEPFIHSLLAMIVWLGSVHLIAFIILASFFFLSLHKFFLVLGMLVILVVIPINDRSRSGRTLGRFICKHAVGYFPVTLYVEDYKAFNPDQAYAYIANIYLRILWYSCIFSLWLRIDMNSCDFGDEMFLGMSPIQFGQLELWHWQISRVFYTPFLRHIWTWLGLTAATRKNFSSLLKAGYSCIIVPGGVQETFYMEHDSEIAFLETRKGFVRIAMETNSPLSYVYKWWKPRGKLFLKFSRAIKSPLPYHQPMHVVVGRPIHFKKNLKPTVEEVSEVHRQYVEAVKDLFERHKTKAGYPDLQLRII >KVH92136 pep supercontig:CcrdV1:scaffold_551:163648:168524:1 gene:Ccrd_005831 transcript:KVH92136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MGSSNNNVFIGLFICVSLAHITIFTSAKVYVVYMGGNDSDDPDEILMKNHQMLASVHSGSVEEAHASHLYTYKHGFRGFAAKLTDDQALQIAEMPGVVSVFENKIRRLHTTHSWDFIGLAGEETMEIPGFSTKDQVNVIIGFIDTGIWPESASFSDTDMPPVPAGWRGKCQSGEAFNITHCNRKLIGARYYLSGYEAEKQEKLENGEVEYNDDPKNKRSFQSPRDSNGHGTHTASTAAGRYVMDMNYKGLARGGARGGAPMARVAVYKTCWDSGCYDADILAAFDDAVRDGVHIVSLSLGPDAPQGDYFSDAISIGSFHAVSRGITVVSSVGNEGTKGAATNLAPWMITVAATSIDREFTANVVYCLESSLNYTKTRGKVLLCRHAERSSESKVTKSEIVKAAGGVGMILVDENVDVAIPFAIPAAIVGRKVGNRILSYINNTRRPTSQIFSSEAVLGSQPAPRVSSFSSKGPNGLTPEILKPDVAAPGLNILAAWSPAIGQMKYNILSGTSMACPHSGKPMRVDPEGRRGNAFDYGSGFVDPTTVLDPGLVYDATAIDYKAFLCSIGYDEKSLRLITRDQTTCENQPFTTPSSLNYPSIVVPNLKTRFSVTRTLTFVGNPEQRTVYEAVVAQPRGVEVDISPRRLVFDRYGQTMNFTASFKPTAPSLGYVFGYLQWKNGKSRVTTPLVVRIDPSDLGSGM >KVH92133 pep supercontig:CcrdV1:scaffold_551:170679:171548:-1 gene:Ccrd_005832 transcript:KVH92133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole biosynthesis, uroporphyrinogen III synthase MNTSNAITGSRLIAFTTPENYAGRLSHRIHLKGWTPLWCPTIAVEPTTQTKSSLLHYLSPPNPLLDQFSALAFTSRSGISAFSDALLELNSPPLSPSGEPFTISALGKDADLIDNSFIGRICDNSRRIKLLVPEIATPAGLARALGLGRGRKVLCPVPLVVGLEEPRVVPDFLRDLVSKGWVAVRVNAYETRWMGAVCAAALLRKDGSPAVDAIVFTSTGEVEGMLKSLRVMGLDWETVRRENPAMVVAAHGPVTAAGAESLGVGVDVVSSRFSSFDGVVDALALLMDD >KVH92137 pep supercontig:CcrdV1:scaffold_551:186660:187268:-1 gene:Ccrd_005834 transcript:KVH92137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTASALLLFSILIAVCAISATARPCKTIFFITSSSSSHYPTDDQLLPKPNFHLLRNPNNSPRLTFFVTEIRQFHRTRSLPRPIFFDRTIESSSSNDVVSSEPYYSAASSVKTSIRERTMDIMSIVGALLFGVGCGALTAATMYLIWSLFAPRRFDFGADSDEESDDEENDVRSINKGYVAVPAAAKPVPPTADEVAAMK >KVH92141 pep supercontig:CcrdV1:scaffold_551:44714:45082:-1 gene:Ccrd_005827 transcript:KVH92141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHMSYTFHFRGYQRTRGFRLNLKRFSVQRFRAKLFKFVRILVKAWRSCCSSVYAKKTSRSCNKVRDWSSPRSSVATNNVNQSNGCRSRSFGRSNSFYAEAIADCLEFIKNSSVSLDDKSNI >KVH92134 pep supercontig:CcrdV1:scaffold_551:173122:180446:-1 gene:Ccrd_005833 transcript:KVH92134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MGRNMEWAGRADHLGGLPRKTVIMAVGTFAKAVAILLNSTSVHNADTLLRLVRSRPPGVPLITVSNHMSTLDDPVMWGFKGFPTCDANLQRWVLAAEDICFKNTVFSYFFRLGKCIPITRGGGIYQEHMSEALDLLSTGEWLHTFPEGKVYQEDEPIRRLKWGTASLISRAPVTPIVLPIIHHGFEKVMPEKYMFGRRPPFPLWNRDIKITVGEPIEFDIAELKQRALSNSRNLSPFPSLGWPPIERMDEAAQRCLYIDISERIRTVMERLRAFGTKPKV >KVH92139 pep supercontig:CcrdV1:scaffold_551:20666:27165:1 gene:Ccrd_005825 transcript:KVH92139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAAYQPIRGNGPGLQFLNFQFGDTTHTKVFVGGLAWETQSETLHGYFDQFGDILEAVVITDKHTGRSKGYGFVTFNDAEAAKRACADPTPVIDGRRANCNLASLGRAQPSLTYGRVCLNLRHLRPAGQYFWSSQNPGGSFVGSSSYRQPVPYGYQQYPYYGYPAYGPEYVFPQVPYNPYAGQHHPQMLGLPGLVNPNAFSYGQMGPPPPGSPGYRAIQGMVTPGPNVLPYGRPNVSGATTDIIPMPPTPYLTGVAPPSFGQARSIVPAHPSSFSRSAGHEKSWTRVKSSQLSEVGTLKPGVRG >KVH92144 pep supercontig:CcrdV1:scaffold_551:51558:59992:-1 gene:Ccrd_005828 transcript:KVH92144 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g56990/F24I3_70 [Source:Projected from Arabidopsis thaliana (AT3G56990) UniProtKB/TrEMBL;Acc:Q9M1J9] MAYQGGNLKSTSINGVKMYTVAGQHRSLATWLPPKKIRALRKDKNYMERVDLIQDLRFETATTRIKATPDGEFLIASGIYPPQVKVYELRELSLKFERHLVSEIINFQVLSDDYSKLAFLCADRSVCLHAKYGSHHSLRIPRMGRDMIYDCWSCDLICAASSPDLYRINLEQGRFLSSLSTQSPALNVVSRSKYHGLIASGGEDGAVECFDMRIRSSVARLDTVTSANDGGQEVTALEFDGEGGYHMAVGSSGGKGEGMTSIEPTGGAINDMCVFGESGLMLLALDTSQIPSYFLPALGPAPKWCSYLENLTEEMEEDAQTTIYDDYKFLTKEELEKLNLTNLIGTNLLRAYMHGFFIDFRLYKKAAANPVVYEEYIERRKREKEEEERSTRITIKKKLPKVNRVLAKRLLEDEELEATKKETCDTTTADAKKPSKKRKGLTPEVLQDERFTSLFKNEDFEVDEKSQEYRALHPLAAPVQQSLVKEHFEPFMDDDEDQNDSEEFQLYEVKDERHAEAFGNRRSLAKEDALPLEERVKAMANNNNRGSNAFNKVKLGPGGSREISFISRSKGKYEEDEYDDDDDNHREKRRGIQSLGLKSDRSGFQGRGRGRGGGRGRGGGGGGGGGRGGGRGRRGGGGGGRRGRGGGGGRGRGRR >KVH92138 pep supercontig:CcrdV1:scaffold_551:199407:201068:1 gene:Ccrd_005835 transcript:KVH92138 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MDLLKPTSANSCPLTPLTFLDRAATVYGDCTSIVYNHTTYTWSQTHRRCLQVASSLAGIGIRRGHVVSVLAFNIPAMYELQFAVPMAGAVLNNLNTRLDARTIAIILRHGESKLLFVDSHLQALAMEAVSRFPPEIPRPVVVLIRDNVGSQATNDVEFFYEDLVEKGDENFQWIRPENEWDPITLNYTSGTTSSPKGVVHSHRATFIVAVDSLIDWPVLKKPVFLWTLPMFHSNGWSFTWGMAAVGGTNICLHKFTADDVFSAVNRHHVTHMCGAPVVLNMIANSPNAKPLENPVHFLTGGAPPPAAVVLRTESLGFIVSHGYGMTEVGGVVVSCAWKEKWNRLPVTERASLKARQGVRTLGLTEVNVLDSESGLGVKRDGLTQGEIVLKGGCLMLGYLKDPRATAMCMREDGWLYTGDVGVIHPDGYLEIKDRSKDVIITGGENVSSVEVESVLYCNPAVNEAAVVALPDKFWGETPCAFVSLLGDKDGSGNVKASSDEILKFCRERLPHYMVPKTVVFMAELPKTATGKIKKFTLREMAKNMTPSMGNSRM >KVH92142 pep supercontig:CcrdV1:scaffold_551:29411:39741:1 gene:Ccrd_005826 transcript:KVH92142 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1683, C-terminal MENYTEALRTPPVTLVSIVGYQDLHATISTHLHSQQPPINTLALPDFSKISVIGKNTKENENPLPAGYTSSGILKRDWLVKHRTRVPAVVAALFDSDQLSGDPAQWLQVCTDLENLKTVIRGRNIKLVVVVVVQFNSKDRLVALRKRAELDAKYVITFIPDDASELIQSLNRHVICLCLYMPMLGNTFAELAVTFYRDEGRRVKMRLEKKNYGSIEYNIRYCFKMIGTSTRLPAVQRLVEVKMVAEQFHFKISTLLLHGGKIVEAIKWFRQHDASYKKLTGPPEVSFLHWDWLSRQFLVFAELLESSNATMQNNSSPTLSVADRTSSVWEFYPAQVGLTNFLLHTAYLAAQFLKEKKTSIEIALSMSEASSETDETSDSVVPSLYVGQFVRLLELGDTSVMQLITDEVYIRFALAEEKKFRDSIKIIGLLKRSSEAYHNLKAQRAAAFCMLLMAKEYFASSEYSNAKNHFEKLTSLYRQEGWVTLLWEVLGYLRECSRKLGSAQDFIAYSLEMAALPVSSIASLQSLKDCGPAGSPSLQQVEIIHKEVFAIVREESGVILNEENMEMKVNSEHPLHLEIDLVSPLRVVLLTSVAFHEQIVKPHAPTLITLSLLSQLPSPIEIDQLEIQFNQSECNFIIVNAQRPRSSAISHIHPDRRVETVPILELSTNKWLRLTYNIKSVNSGKLECTYVIARIGPHFSICCGAESPANMHDLPLWKFEDRFEASPTKDPAIAFSGMKVTQVEEPDPQVDLKLGSVGPALVGENFLLPVTLTSKGHSVHSGELKINLVDTRGVGLLSPREAELSSNDNLHVELLGVSGAETDDDSQGANDNIRKIHHSFGLVSVPFLNVGDSWSCNLEIRWHRPKPIMLYVSLGYSPNTGANKVHVHKSLQIEGKTPVVISHRLMLPFRRDPLLLSRIKRAPDSGQTASLPVNETSILVVSATNCTEVPLRLLSMSIEPEDDVTPKNTCTIQSGVHDFDNPTLLVPGEEFKKVFSVKPELNVSKLNMGSVCLRWKRDHRSSKPVLTKQSLPDVKVEFPPLIVSLECPPHGVLGEPFTYFARIHNQTKLLQEVKFSLSDSQSFVLSGAHNNTTFVLPLSEHILSYKLVPLSSGSLQLPRVTITSVRYSAGFQPTLAASTIFVFPSKPQFQLTETETEKKSLVAEQIPA >KVI06569 pep supercontig:CcrdV1:scaffold_5511:23177:25987:1 gene:Ccrd_015081 transcript:KVI06569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MVDFESSSAMAVSATSVEGTECSSGGNQIVAAPEIQPMKKKRNLPGMPDPDAEVIALSPTTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRDGKEIRKRVYVCPEKSCIHHDPSRALGDLTGIKKHFSRKHGEKKWKCERCSKKYAVQSDWKAHMKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAQESARSQTQNVVISNSDHHSHRQETAKVEVTVLPPSCSPPPPPLTPSTGVLSPVPSVHSSEVPEKATGVLQHQKGAVAEAEAAEKCLATATATTTTTASGGSTSSGNNIVTDNTGVFASIFASPSVLPPSQSSPASYSNLVCGVVETDRNAKVEPMSLSLSSSLYRSSTAAPSLFPPPDQTLHRQYVQPALSATALLQKAAQMGATSSNTSFLHALGLAPPPPSSSADQYQETSIGQWSNIQSKQERNDNSGIGRDQMLMGPPAPTTLDFLGLGMGGGTGGSSGGYSAFLSSLGASVSGGVSFGGVQNKEWDDSGDKKPALL >KVH06555 pep supercontig:CcrdV1:scaffold_5514:23595:31669:-1 gene:Ccrd_025971 transcript:KVH06555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase, hydantoinase/carbamoylase MASHAANNKLCKSSKSTPCVISLFPIVILLLSQRSAAVSKHVSNSLKNDLFPEILRDEAAARLYELGKVSDAHGYLERTFMSPASIRAGNLIRTWMEDAGLTTWVDGLGNVHGRIQPPNADNKDLLIGSHLDTVVDAGMFDGALGIISAISALKVLNITGRLRHLRHPVEVIAFSDEEGVRFQSTFLGSAAIAGVLPVSTLQISDKSGLTVQNVLKENLIDTTEENLSQLKYEPETVLGYVHIEQGPVLESIGLPLAVVKGIAGQTRLKLTVRGSQGHAGTVPMSMRQDPMVAAAELIVSLESLCKRPQDFISSKGHCNAFSVESLSGSLVCTVGEISTWPNDIGREAILYELSNQMHTICDRRSVSCFMDRKHDANAVSCDDGLNSQLKSAAYAALKRMTGEDIGDVPLLMSGAGHDAMALSHLTKVGMLFVRCRGGISHSPEEHVLEDDIWAAGLAIQAFLETNL >KVI07975 pep supercontig:CcrdV1:scaffold_5515:15942:26295:1 gene:Ccrd_013659 transcript:KVI07975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLVLGVNEITSNEAFGEGNYKLVPWISWDDWSSVRESLFSSSPGSIDLALRRISAWRSRGCLPVVIEVTASIIEIQQKDSFFRDGLSESDILEEDMLTMLYCMAIMRLVNGIVEKTRKKNEVSIAEAADAIGIPRMLIDIRHECSHRDLPSLRLVRLASTKALDWLKAYYWEPQKMAISCPSERTANFRKEIKSKIRELAFSLDVKKAARSGSSVVKGKRKRAVLSYEAYFPMRTNIQMDQGTPNDASKTIVLVLDMDRGSKKHINKALKNLLKLYSSFPSEVVSILLEFLLKALESADVVELPDSSPVSNSGTYDTQLDDWKALVMKLSNKEPEMLLSLLKVVLQMIETHEASKHDADHLSCLFEWLVGNLKDLKTCRRKITTAGTPNKKSLPKSALVHLLRKCLMVSSLGDNHLTTAASVLAQRAGNQTLVVKLNKLASLHASNTDFVKEHIIDSESFYNQQENYIRQAANNLDILKQKLSQKNNLRTAVKTKITKWSAAKSWKPCPIGMLPSDVGSSGLVPVLDDQKVDQVKEKDSGEVKRCGGKREADGVLENSDVKKLKECKLFDEEDEDRMLKNGLMIGGVWKRVTEDELINMASAVRILV >KVH96923 pep supercontig:CcrdV1:scaffold_5517:25496:30576:-1 gene:Ccrd_000984 transcript:KVH96923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine/AMP deaminase domain-containing protein MDWWVSMPKVELHAHLNGSIRNSTLLELARDMGEKGTIVFSDFEHVIKKNDRSLREVFKLFDLIHIVTTDHKTITRITKEVVEDFAAENVVYLELRTTPKVPECYNFGKLLQRNDSIGMSKRSYMEAVVEGLRSVSSSLDINFACDYPEKSSATAEKKIYVRLLLSIDRRESTAAAMETVNLALEMRDMGVIGIDLSGNPTIGEWATFFPALKFAREQGLHITLHCGEVPNPVEIQAMLHFLPGRIGHACCFQDEEWKKLKSSKIPVEICLTSNIQTETISSMDVHHFAELYKAKHPIVLCTDDSGVFSTNLSNEYALAANTFGLGKAELFELAKRAVNFIYAGNRVKMELIEVFESAGRTLEYN >KVH96924 pep supercontig:CcrdV1:scaffold_5517:21267:22493:1 gene:Ccrd_000983 transcript:KVH96924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASVARFRILNSCNRRILFSIYQIQARHFSSILNPDSTTPLSSKEKSRAALSLLKFEKNPERIIEICRAASLTPESHLDRLAFSIAIKKLTEMNYFEGIRNFIDELLKTRPDLNNDKFISDAIVYYGQAGLLNNAFQLFDKMPQLGAAQNAKSLNALLFSCMLAKKYDEVKRVYLEFPGKYGVKPNLDTYNTVIKSFCESGSASSCYSVTAEMVRKKCKPNAASFGTMIAGFYKEEKFEEVGKVLEMMKKHEVPVGIGTYNIRIQSLCKLKKTEEAKALLDGLLSRGMKPNSVTYSHLIHGYCKEGILDEAKDLFRKMIGGGFKPDSDCYFTLVHYLCKGGDFKTALEVCKKSIEKDWVPNFSTMKLLVEGLANGSEVNEAKELIGQMKEKFPKNAGIWDEVEENLPK >KVH06262 pep supercontig:CcrdV1:scaffold_5519:18893:22905:1 gene:Ccrd_025973 transcript:KVH06262 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MGLNVGAWILLFLSSLALLSLIKFLHKVWWVPTRIKHVFSSQGVKGPPYRFIHGNTKEIAAMKDQARSPFTNISHDNLKSSKKLQAIEASLQQDLISEHFIRKCSGTAFTIFKSSDELKSDELQKGIEDLIMETIKERGKIMAVDPDTADFLGQLVKANHETDESYHVSIQDIIDECKTFYVSGDGTTSLVLSWAVLLLSIHTEWQERARHEIGMIINETLRLYPPGIAIIRKNEREVKLGNLVIPANVILHVPVLALHHDRTIWGEDAHLFNPERFSEGISKATKNNPSAYMPFGFGHRNCVGSNFATNTAKITLAMILQRYRFTPSPNYIHSPVHLLMLVPKNGVQVMLHAL >KVH06263 pep supercontig:CcrdV1:scaffold_5519:8576:14416:-1 gene:Ccrd_025972 transcript:KVH06263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 MAIDRPYISCLDVFKGVVTVPSPTDMHKFCHILGQPSSFSVLTAVMYDKSIKAIKVKVPDSNEEFLLHPATVRQNDRSSQSVRIHKELKDLQKDPPASCSVDPSDGKLYYGIATFKEFYIFDYGTRSRCCEKEDEDEEGLKRFKISGIDIGHAFLSLIVFLVFAISDTDVQSCLFPESGANMNVLLMNLQLSVGVLASFRFTIFPTTRRGLGYKDFPLHTK >KVI08546 pep supercontig:CcrdV1:scaffold_552:124940:125320:1 gene:Ccrd_013085 transcript:KVI08546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRVHLHLNLLPPHHLLQFTKFQTHPFLHCPNTPPKLQISCSSSSSNSNSSSIVDIELVMDLATEIEKMNAQTVQTQEAMKASRKLLYAELGLYLGLGKEELRRKWEKMEQDEKWILAEEFVSD >KVI08547 pep supercontig:CcrdV1:scaffold_552:126077:136396:1 gene:Ccrd_013086 transcript:KVI08547 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC-C motif-containing protein MAAVRRILFSSLSHSSSNYATEVVNNTKNKWISTTIHLNQSWMNKIKGAFSGQKPTSPTNEDVTAESFTLPRFADELQRAQKLGTFKQYIVGRSSEATYADAFGKQEAIIRFLGGLDPTGENLLPSQKQEAAKQCNCTIADVENTLAKFSWAKEAQKKIEKLKDEGKPMPKSINEVQKLMGSTPMDVAKSSMAKSGQISRNALCPCGSKKRYKRCCGA >KVI08539 pep supercontig:CcrdV1:scaffold_552:143254:151111:-1 gene:Ccrd_013088 transcript:KVI08539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHGDVNAQSLFHSFRHGFRSFSHNAHWVTSSGFQISLASSILSVAGLILALRDGRGRRSFNNLLSWSPSSESKSSPEELWAVPGLQNLGNNCFLNVVLQALASCSCFLNSLQKMVDEFEASSEDELKGVMPLAVSLNYLLKDILGVLGLFQYRPNLSILTEAAIFVELCKVQREGKVLSPRDVMLAMALYTPNFNLTSQQDAEEALAHILSSLRKECSVCFASNHKSLAVATALGSRILTPERRVFYSELERWTQSFLGPFNGIVGSILTCQSCSFQIPGCSIDDCLKQFFVAERLENYFCTHCWHATAIKYSLLKQNEILCLNLQRASINVYGEFVKLKGHISFPLTLNISPFQNRGVGIQHSDQKLPISRLVQQNQQANRYSDFFRLQTDAYPRHIYKQGTENSLTETYIAEETRQPSSEGYADGEIQEEQNKSCSDNSANTTTHQDSKVGETLNVTPSGHHSYNLVSVVEHFGNTRSGHYTVYRRVIKNRGTSESYYWVGVSDSRVCMVSEEDVLAADGVTLLFYQRVLET >KVI08538 pep supercontig:CcrdV1:scaffold_552:169510:181264:-1 gene:Ccrd_013090 transcript:KVI08538 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG, conserved site-containing protein MATVMQKIKDIEDEMAKTQKNKATAHHLGLLKAKLAKLRRELLTPTTKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKEKGGINFTSTVANTHLDLETVKAICSEYRIHNADVTLRFDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLEMIWEYLSLTRIYTKPKGMNPDYEDPVILSSKRKTVEDFCNRIHKDMLKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >KVI08541 pep supercontig:CcrdV1:scaffold_552:70773:87512:1 gene:Ccrd_013080 transcript:KVI08541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLSFWGETRPLCCNAKVAYGVPFSFPKIIFDDKAVTTNTDNGGGGVHQILTNNTIFSVRMKETCQLICVDQKNITALEEHLQDYHTNILKKLKGLKVQYSSTFKNTSSLSLCCFNEWLLGFLKEKANVSDETDAMVDDKYEEMVKRYGLTKWFLKAKSLPGRIGK >KVI08548 pep supercontig:CcrdV1:scaffold_552:138303:140948:1 gene:Ccrd_013087 transcript:KVI08548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein MSQERLMKPQESGEEASRQNQRKGDVSVSDKATESLSTKRNDDVQNCDDNELTISSQKCMAELKVGRKNSQQASPVVADAIFERGNITIGEALEATALTAGSRPVDYSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATRNARVTRDDDKTKLSEILAGVIGAELRNDPNLTTRPGGVAASLAAAARINQINLNPPNKE >KVI08536 pep supercontig:CcrdV1:scaffold_552:198954:206106:1 gene:Ccrd_013092 transcript:KVI08536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISVSLFGSLAQSRYRYPPHNVSRNWGIRSSSAAPPDVDFTSLKSAIAKLQLNFLQKDSDAVKEALNQLSEIGWAKKWSSQPYVSRRTTSLRELTTLGMKNAENLAIPSVRNDVMMQAAFLFTVVGTTGFLGVLAGQLPGDWGFFVPYLIGSISLVVLAVGSISPGLLQAAIGGFSTFFPDYQDRIARHEAAHFLVAYLLGLPILGYSLDIGKENVNLIDERLEKLIYSGQLDAKELDRLAVVAMAGLAAEAVMSAMSKKATVVECIEAIEKAAV >KVI08553 pep supercontig:CcrdV1:scaffold_552:41633:49374:1 gene:Ccrd_013078 transcript:KVI08553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MKLDETKSLDLQPQKQESEPPSLHNSSSHRSISSNSLRANSIKEVGFVDLRSKEVRYGSRGGSTSMSMSQREISDEDSRLVYINDPLKTNEKVEFSGNSIRTSKYSVLTFLPRNLFEQFHRVAYVYFLVIAILNQLPQLAVFGRFASILPLTMVLLVTAIKDAYEDWRRHRSDWIENNRLSSVLVNGNYEQKKSKDIQVGEIIKFSANETIPCDIVLLSTSDPTGVAYIQTINLDGESNLKTRYAKQETVSRIHENEKINGVIKCEKPNRNIYGFLAYMEIDQKRVSLGPSNIVLRGCVLKNTSWAVGVVVYTGMETKVMLNNSGAQSKRSLLEARMNREIIFLSLFLVGLCTVVSICAGVWLRRHRDELDIMPFYRRKDYSGEDVDNFKYYGWGMEIFFTFLMSVIVFQIMIPIALYISMELVRVGQAYFMIRDDNMYDETTDSRFQCRALNMNEDLGQIKYVFSDKTGTLTENKMEFQYASIAGVDYVDGLVLRPLMKVQVDRELVRLSRSGNDADTKTGKQIYDFFLALAACNTIVPIVVDTSDPVEKLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIFDSERKRMSVILGFPNNTVKVFVKGADTTMFNVIDEALNLDIVTATKAHLHSYSSVGLRTLVMGMRELSSQEFGQWRSSYEAATSALMGRASLLRKVAINLEKNLSILGASGIEDKLQQGVPEAIESLRTANIKVWVLTGDKQETAISIGYSSKLLTGKMTKIVINNNSKESCRKSLEDALITSSAPRTGTSRDDANLFALIIDGTSLLFELASKCAVVLCCRVAPLQKAGIVMLIKKRTKDLTLAIGDGANDVSMIQKADVGIGISGQEGRQAVMASDFAMAQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVYALFTAFTLSSAINEWSSVLYSIIYTSVPTIVVGILDKDLGRASLLKYPQLYGAGQRQESYNSKLFWVTIADTLWQSIVAFFVPLLAYWKSDIDGPSIGDLWTLAVVFLVNLHLAMDVTTWTWIIHASIWGSIVATIICGNLPSCG >KVI08544 pep supercontig:CcrdV1:scaffold_552:107858:117088:1 gene:Ccrd_013083 transcript:KVI08544 gene_biotype:protein_coding transcript_biotype:protein_coding description:HRDC-like protein MSAAGEEEENAADLKIGDEFLKAKCLMNCEVSLILERKYDQLQQIVFEKSLQYVKRFSRYKNPDAVRQVRELLSGYQLAEFELCVLGNLCPETVEEAIAMVPSIKSRGRVHDDEAIEKMLNDMSLIKKFE >KVI08543 pep supercontig:CcrdV1:scaffold_552:103505:106657:1 gene:Ccrd_013082 transcript:KVI08543 gene_biotype:protein_coding transcript_biotype:protein_coding description:IGR protein motif-containing protein VLEVDCFVWPSQRVPTSDFHSSLAKTRDFEEMAWRQTQLLNRNIFSSFPSNSNVFFARYSSKSNLYPLKVGIPEFLNGIGNGVETYAEKLESEFGDLQNLLVARGLKLKKLNVGGDPKVCKHRKLILKYAHKYRLGLWRPRIEPPKTK >KVI08545 pep supercontig:CcrdV1:scaffold_552:117609:122363:-1 gene:Ccrd_013084 transcript:KVI08545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Programmed cell death protein 2, C-terminal MAHFLTQTTLSIAAAATTGITVTEMGEVILGMPGPWADDNSEAADHYTTKIGGLPDWPCLKLVTRPNLLRCDSCGDNLCLVAQIYAPISSKILTVEERVIYIFVCVASTCETMSWCALRVQKSSHDRESETSGHDSSAAPLTTELRDDPWAFDFDDENDEDDAIDLDALGRALTEATTLASASQTKRQNTRPDAIAKCSPISLRGRAVDDKTAVLPCFYIYTQEEKYSRDVASVSTSISSLNIKENQSDGNEHAPEEAWEGESYEYDRALNADRTYLKFKKRLDIYPEQCFRYSYGGKPLLASAETGDPGRCLLCGELRHYEMQLMPPLLYYLQEATTNYSLESWNWMSLLVYTCSKGCAPTLKQESDGWVVAEEAIVVQTE >KVI08537 pep supercontig:CcrdV1:scaffold_552:187044:192082:-1 gene:Ccrd_013091 transcript:KVI08537 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MADQTSSVAITPTTSSDNTPPLATSSRPPLLIPQLPDDVAVQCIARVPRSYHLSLSLVSKLWRYTIRSPHFFATRSLLHSTQQSLYLNIRHNSSFKWYHHPNPNPTDKGPLSPLPPIPIQPVGPAYAVLGPKIYLIGGSINDIPSASVWILDCRVNKWEIGPKMRVGREFAAAETVNGKIYVMGGCLVDNRTRSVNWAEIFDPAVGAWCPLPSSSVDAKDKWMHANAVIDGKIYAMADRGGVVYDVAEMEWGRVPKRLDLGWRGRAAVIDGVLYCYDYLGKIRGYDVEKDAWKELRGVEKGLPKFLCGATMVDLDGRLCVVWECKEGGGGGGGGKTMDIMCAEIEVRKDKDGGLSGSILWVDAILSVPVGSSILHCMAVAL >KVI08551 pep supercontig:CcrdV1:scaffold_552:22353:28450:-1 gene:Ccrd_013077 transcript:KVI08551 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLDc MKLGRQQHQQEHQRLTTSIRSKAAHFVTDLTTVLLNPISDKPSSNPSPRTGDASSEPRRNTQQCSSEEDVPVLVDGPDTSSFTAFLYSFLSPGRSGNESEYAGWNENRNDAPSTNVLLKENSGKKGLFSRGKQSLGKALSQAARFGGYRSHASGKVNTESKIDDTKETTSQFGTDLGVPVESPSEPFPCDKLPKMSEPSQLMTEDTRSALYVALPSLSQGKKWVLLYSTWRHGISLSTLYRRSNLCPGLSLLVVGDRKGAVFGGLIEAPLRPSTKKRYQGSNKTFVFTNTSGRPVIFRPTGMNRYFTLCSTEYLALGGGSHFAMYLDSDLYESCSLAIFDLFNDLHFLAIRQFILNGSSLASETYGNSCLSHTEEFEVREIELWGFVYASEYEDVISMLRTEAPGICRW >KVI08542 pep supercontig:CcrdV1:scaffold_552:89340:95985:1 gene:Ccrd_013081 transcript:KVI08542 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWS-like protein MPAMKKKTEYVDIGQMFERLAKDIGEPVDFELPDWLNKWKPHYTIIKRNIYLTKKVKRRVRVEDDGIFCSCSSTAGSSGVCGRDCHCGMLLSSCSSNCNCDKSCLNKPFHQRPMKKMKIVQTEKCGSGVVADEDIMRGEFVIEYVGEVIDDKTCEERLWRMKRQGETNFYLCEINRDMVIDATYKGNKSRYINHSCSPNTEMQKWRMDRETRIGIFATRNIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPNKSKFPSSDAALKIVACQVAINSPKVKAVLSGKDAYKNGVRQAGCSRHATDQTRIPHNCIGEVLRIAHRGSFGIIKRFDVDTRKHLVMFEDGVVELLDLTQVDWELCNY >KVI08549 pep supercontig:CcrdV1:scaffold_552:6591:18004:-1 gene:Ccrd_013076 transcript:KVI08549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MKRGRTLVNKGKKGKTTLHLKNGNNLVSWKIFDTVANPHQIWNSWARFFVNIYLNTNQILHHQKVEFLSTTDDSEVVERLPSSKMEQRKKELDAEGSRKRLAIDDEVFTKTFTQRLRSDRRFQAIHSWRWCHPLPNTSWYFWEINPSAKPASSPDSCTTNSIPPISWISIVWDLRDHLWSVLATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTLKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDGKAREFGVMFVETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKSSSNTNQSDQQGGGCAC >KVI08540 pep supercontig:CcrdV1:scaffold_552:162578:165420:-1 gene:Ccrd_013089 transcript:KVI08540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTEDNSNFIVLIVIVKPHNISPASGAPVAGGNGVSGKNAPWLSVPQFGDWDQKGPLPDYSLDFSKIREMRKQNKREISRTSIGNEEDFISSNKPKLDTTPQPKQEKLIKLLQLLC >KVI08550 pep supercontig:CcrdV1:scaffold_552:2713:4969:-1 gene:Ccrd_013075 transcript:KVI08550 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDERKCHIAMYPWFALGHLTPYIHIANMLAKKGHMISFLVPTNTQYKLEPFNHHPNLISFVPITVPHVEGLPLGAETTSDVPSSLHPLIMTAMDKTEKVVERLLQDLMVDVVFFDLAHWIPNVCRRIRVKSVNYCVISPVTVAYVFSPARQTDWSKFHAHEARDFTSRSNMKFGGDITLIERAYIGLSLSDALAYNASRELEGPFSDYLRTQFHKPVLLSGPMVTDLPSTTLTENLSSWLDRYNPGSVIYCAFGSECALTPAQFQELLLGLELTRMPFLAALKSPVGMNSIDDAIPEGLLERLEGRGLVYGGWVQQNLILQHGSVGCFVTHCGWGSLSEALVNKCRLVLLPNGGDQVINSRIMSEVYGVGIEVQKGEEDGVFIKMDVFEAVKKVMDEDGEVGKEVKDKHERIREFLVNKEVKSAYIDSFSHELQDFLESYHED >KVI08552 pep supercontig:CcrdV1:scaffold_552:56263:59478:-1 gene:Ccrd_013079 transcript:KVI08552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNPITFNQFLVFLAFFPSLASVNGDAQAQHLLSFKSSLKIPNLLPDWLSGNHPCNFTGVSCKDSSVASIDLSNTDLSCDFGLVSSYLLTLPSLESFAAKNSNLTGVISFASGSRSQCSKALTSLDLSGNRISGSVSDVSSLSVCSELKSVHLSRNSMEFNGGLEPIGISLQVLDLSYNRVSGSELVPWIISDGCGELMEFNVSGNNISGGVPESLKSCSSLQSFDISRNNFSGVLPMDTLLNLSSLKTLVLAFNNFVGELPESLSEMTNLERFDVSSNQISGGIPSGLCSSTSRLKVLYLQNNRLTGPIPSNLSNCSQLVSLDLSFNSLTGKIPSSFRYLSKLQDLIIWMNLLNGEIPEELMYIKTLENLILDFNYLTGSIPASLSNCTNLNWISLSNNKFTGEIPATLGRLPNLAILKLGNNSFSGNIPAELGNCKSLVWLDLNTNQLNGTIPPDLFKQSGFIAAGYLTGKPFIYIKNDGSNQCHGAGNLLEFGGIRLEDLDRISSRHPCNFTRLYLGVTQPNFNHNGSMIFFDLSNNKLEGGIPKELGSMYYLNILNLGHNDLSGPIPEELSGLKNAAILDLSHNRLNGSIPNSLTSLSLGDADLSFNNLSGTIPESAPFDTFPANRFSNNSGLCGYPLPLCPKDLNGRSNSHKQSNRREASLAGSVAMGLLFSLFCIFGVIIILVEMRKRRRKKAATALEAYVDGGGNSYSGGGHASSAWKLTSTREALSISLAAFEKPLRKLTFADLLEATNGFDNNSLIGSGGFGDVYRAQLKDNTVVAIKKLIHVSGQGDREFMAEMETIGKVKHRNLVPLLGYCKVGDERLLVYEYMKFGSLEDVLHDRKKGGIKLNWATRRKIAIGSARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARHMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKQPTDSPDFGDSNLVGWVNQHAKMRISDVFDRELLREDPSLEIELLQHLKVACACLDDRPWRRPTMIQVMTMFKEIQAGSGLDSTSTIASGEAHFSTAEGVEMTIKEDSEQGKH >KVH92013 pep supercontig:CcrdV1:scaffold_5520:25338:25541:1 gene:Ccrd_005958 transcript:KVH92013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MMIEEDVELQNKNLNTALSLAAAAGTVHDIAKIMVEKKRALLTIPGSQAMMPLYVVAVFGKSDMVIR >KVH89693 pep supercontig:CcrdV1:scaffold_5526:13925:19833:1 gene:Ccrd_008313 transcript:KVH89693 gene_biotype:protein_coding transcript_biotype:protein_coding description:NMD3-like protein MDGEAGMFVVQQTIGSVLCCKCGIPMTPNAANMCVKCLRSEVDITEGLQKHVTITHCPECDTYLQPPRTWIKAQLESKELLTFCCKRVKNLSKLKLIHAEFVWTEPHSKRIKVKLRVQKEVLNGAVLEQACVVGYVVQDQMCESCSRSQANGDQWVAAVQLRQHVSHRRTFFYLEQLILKHDAAVRAIRIKQMDQGIDFFFGSRSHAAKFVDFVGKVAPVKTRHDKQLVSHDQKSNNFNYKYTFSVEICPICREDLVCLPPKLVANLGNFGPLVICTKVSNSIALLDPLSLRQCFLDTEQYWRASFKSLLTSKQLVEYVVLDVDIVSSDVNIGGDSKHVLAEVQVARVSDFGKNDTMFYVKTHLGHLLNVGDYALGYDLYAANSNDMELDKYKGLVLPEVILVKKSYEEKRQKRGGKPRPWKLKSLNMEVDISGASRGRVDEEKMDAEYEQFLRDLEENPEMRFNISLYRNRDYQPSEMASVIDDDDDDDAPVVPLEEFADLEINYEDVKDDDGMRE >KVH89692 pep supercontig:CcrdV1:scaffold_5526:30583:30810:1 gene:Ccrd_008314 transcript:KVH89692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYVEILDLGVRMVARFHSHCPQTARMYYHPPSNSVDSGCRSHAPSATEESAKTRRFQASMSFNTREIVFSSAM >KVI02878 pep supercontig:CcrdV1:scaffold_553:64777:70823:-1 gene:Ccrd_018831 transcript:KVI02878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MEMLLSDSKMFSWETYTEDVTKADESSVFTTTGLLEQINVTRDASDYLWYTTSVDVGSSEHFLHGGELPKLLVQSSGHALHVFVNGEHLGSGFGTRKHRKVTYKKEINLRAGSNKIALLSVAMGLPNIGGHYETWETGVLGPVALYGLDQGKRDLSWANWTYQAYFNAPDGDEPLALDMNTMGKGQAWINGQSIGRYWTTYATGDCQGCHYAGTYRPLTCQLGYHVPRSWLKPTNNLLVLFEELGGDPIKVSLVKRSTN >KVI02874 pep supercontig:CcrdV1:scaffold_553:165650:167818:-1 gene:Ccrd_018840 transcript:KVI02874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MNRDMATYVEPPNGFRQRGKHYYTMWQTLFEIDTKYIPIKPIGRGAYGVVCSSINRETNEKVAIKKINNVFGNPTDALRTLRELKLLRHIRHENVIGLKDVMFPIYRNSFKDVYLVYDLMDTDLHHIIKSSQPLSNDHCKFFLFQLLRGLKYLHSANVLHRDLKPGNLLVNANCELKICDFGLARTSQGSEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPLFPGTECLNQLKLIINILGSQNDNDLGFIDNAKARRFIKTLPFTRGIGLSSLYPLADPLALDLLRRMLVFDPAKRITVTEALHHPYMAGLFDPNNNPPARMPINLDLDENMNEEMIREEMVREMLHYHPEAAAYPMGMVLER >KVI02879 pep supercontig:CcrdV1:scaffold_553:84152:89389:-1 gene:Ccrd_018832 transcript:KVI02879 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKWEFICILMLLGWFVYTRIRKTGKDDTDDGRRLQPPRGNSGWPLIGETVEFIASGYTSRPVTFMEKRKSLYGKVFKTHILGRPIFVSTDPDVNKVVLQNQGNVFVPSYPKSVIELLGESSILQMNGGLQKRLHAIIGGFLRSPQFKARITKDIENSVKLTFSSWMDRKICLQDETKKITFEVLVRVLMSVEPGEEMEFLKKEFTEVIKGLICLPIKLPDDRKRAMEKNETKGPDSPNDAIDVLLRDTGESDGTQQRLPLDFISGNIIEMMIPGEDSVPMIMTLAIKFLSDNPTALARLVEENDELKKQKDELCEEYSWTDYVSLPFTQGVSFIHFGESVLKSVTISLKETDPMFR >KVI02884 pep supercontig:CcrdV1:scaffold_553:139298:146364:1 gene:Ccrd_018837 transcript:KVI02884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MAKQSSSTFFFQKKTRWLLLLLAMLSVSTAVAFIIRAAYDSSCDRHLFDVPAVGKRFQSTAKPKAPPPIQVKVASPLSFMKSRLVLLVSHELSLSGGPLLLMELAFLLRGVGAEVCWITIQKPPGKDEVIYSLENKMLDRGVQGQEAIDTAIKADLVVLNTAVAGKWLDAVLNKDVPRVLPKVLWWIHEMRGHYFKLDYVKHLPMVAGSMIDSYVTAEYWKNRTQERLKIQMPETYVVHLGNSKELMDVAEDSVAKRVLREHVRESLGVRNEDILFAAINSVSRGKGQDLFLRSFYESLQLIQEKKLQVPSIRAVIVGSDMSVQTKFETELRNFVAQKKIQHRVHFVNKTLTVAPYLAAIDVLVQNSQGRGECFGRITIEAMAFQLPVLGTSAGGTAEIVVNGSTGFLHPAGKEGVSPLAKNMVKLATHVERRLTMGKRGYERVKERFLEYHMADRIAGVLKEVLHKAKGHH >KVI02875 pep supercontig:CcrdV1:scaffold_553:150321:151509:-1 gene:Ccrd_018838 transcript:KVI02875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin MAQYGGDKQYGREGRLTDEYGNPVRQTDEYGNPIHSTTGGTMGDYGSTGHQQGLGTHGSTGTNYGTSGGLGSTGHQGLGANVGHPTGTGYGTGGGLGSTGHQGLGGHATGTEYGTAGGYGTTGHQGLGTGTGTGTGDYKTSGGYGTTGHHGTGTDVGRATGGTGGLYQNQPSATPYMGGGSEAGGGYGQHGGIGTGTTGTGIGTGTGTLHRSGSGSSSSSEDDGQGGRRKKKGVMGKIKEKLPGGGHSTDEQHRVSSTTTTTTAGGGYGQSHEKKGMMEKIKEKLPGHRND >KVI02871 pep supercontig:CcrdV1:scaffold_553:42915:45097:-1 gene:Ccrd_018827 transcript:KVI02871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METERGSQWPEDWPARVQTPPYWLNKTQIGIYGKPAPNDFEQDYENWKSVVTETYMNALMDLKLWVMNVVNIDSPDTLPMIYDRGLLGIYHDWCESFSTYPRTYDLLHADHLFSNLKKRTNTQRPKVNLAAEDIRDSKLIMIIRDQIDIGYRILNLRSRSY >KVI02883 pep supercontig:CcrdV1:scaffold_553:118153:120494:-1 gene:Ccrd_018836 transcript:KVI02883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTPHKIFVYKCLNPSFHFHSKSKHTRPSLTIGCSVEIAHHNTMAESLTMLMVLISILALANGSYLYPQFYDRSCPQAKQIVQSVVANAVAKESRMAASLLRLHFHDCFVKGCDASVLLDSGGSIISEKGSVPNRNSARGFEVVDQIKAALEKACPHTVSCADILALAARDSTVLAGGPNWEVPLGRRDSLGASLSGSNQNIPAPNNTFLTILTKFKLKSLDIVDLVALSGSHTIGNARCTNFRQRLYNNSGNGQPDLSLDQSYAAKLRSKCPRSGGDQNLFFLDPVSPRKFDNSYYKNLIVSKGLLSSDEMLFTKNQQTMQFVKQYAANQELFFQQFAKSMIKMGNINPLTGKSGQIRKICRKVNG >KVI02873 pep supercontig:CcrdV1:scaffold_553:15067:16917:-1 gene:Ccrd_018825 transcript:KVI02873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEIQTHISTFSIIFFSLLFSPLTSLACHPIDHHALLHFKHQITFDPSNLLNSWTPQTDCCKSWNGIDCDSTGRVVNVSRSGLVSGEDFILETSMSGTLSPSLSNLSSLQLLDLSNLKDLTGQIPPEFGRLSRLTHLFLDSNKLSGSVPVTFQFLFRLEKLYLSDNNFSGRIPPAVFRSFRSLLEIGLSGNQFSGKIPSSIGDMVSITKLDFHENNFSGVIPVTIGKLKNLKFLDLSENQITGTIPESLGLLSELQILYLNQNQLSGSIPSSIDGLISIQFCRLSENELTGIIPPSIGNLPKIQRLIFENNKLTGKLPATMGHLKTLTDIYFSGNRFTGNIPSSFGNLHNLQALDLSRNNLSGMIPSQLAKLQNLQTLDLSFNPLKLIRLPRWFSKLKLFRLMMANTGIQGPFPIILSSSSTISSLDLSSNSLTDELPHWIGNMTNLSFLNLSNNRFSNPIPPEFENLSLLTDLDLHSNNFSGDINTIFKKNVQDPLGHFNSIDLSYNSFSGPIKIGDEAAMDSIVSLVLSHNPVGGIIPESLSYGNELARILIARNNLMGKIPKQLLNLKNLKEFDASGNRLIGEIPGHNASIPASAFLGNPGLCGAPLLPCKHPF >KVI02881 pep supercontig:CcrdV1:scaffold_553:101531:104011:1 gene:Ccrd_018834 transcript:KVI02881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MEGMMKMGDVNHHRIKTNGIWMHVAEKGDEQGPLVLLLHGFPDTWFSWRHQIGHLASQGYHVLAPDLRGFGDSDSPPSPTAYTIFHIVADLLGLLHHFNHQQVFVVGHDWGATAAWHLSLFRPDKVKGMVAISEAGRAEKSFAKYDYLTVMKKFWMIEKVVSPPGMEFIDCLETPSVLPPWITEEELQVYADKFQESGFTGGLNYYRAMDLNWELQAPWQGSKVTVPSKLIIGDKDIGFGGTKEYVDGDVFKGLVPDIEVVILEGHHFIQQEKPKQVSDQIISFLEKLTAT >KVI02869 pep supercontig:CcrdV1:scaffold_553:52953:56468:1 gene:Ccrd_018829 transcript:KVI02869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF778 MESDTEPNHSMMIEHTVPQNMQIDPSRARFPCCIVWTPLPVISWLLPFVGHIGIGREDGVILDFAGPNFVCVDNFTFGAVARYVQISKEKCSITPHPSSMYRSEEEYRLVESGRNQHTWDDALKKSTQEYQHRTYNILTCNCHSFVAHNLNRLEFQNGGWNVVNVAALILLKGQWVNTTSMIRAYVPFVMIFLVGITFGGANFLTFLAFFAILLVGWFILGTYCFKNFIKL >KVI02870 pep supercontig:CcrdV1:scaffold_553:46102:49878:-1 gene:Ccrd_018828 transcript:KVI02870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAAGKSRGSKRPSGGGASCNSSTATVLVFIFVCIFGVWMLTSNSIVSPQKKSIIMDSVFDNVHRKRNLPRKIPTHHHTVFEDNPGDLPADAIKSDDDFMAHDRELDRNYSKHEDNEPKETEHDHDHEITRNGFETLNDSDINHHGFQEKVRKKEQKPKTKDTQSFEGNKKPYKQHTENMITTKKKTENEADHQESNRKKQVTDANEGDEDQENRKRDEEHEKGPDEDTEIRSHSIANETKAQFENQEAKKETITTSKKDNTKTNEIRSFGMIPDESNEAKNEWLTQADQSTNHNSRSRDGGNNDGGGNTGGVTVKNDVGTSEYKWELCNVTAGNDYIPCLDNVKAINALRGSRDHFEHRQRLCPDEAPTCLVPLPDGYKTPLPWPQSRDKIWFNNVPHKGLAAVKGHQNWVKLTGEFLTFPGGGTQFIHVPEIEWGKHTRVILDVGCGVASFGGYLFDRNVLTMSFAPKDEHEAQVQFALERGIPAVSAVMGTQRLPFSSGVVRFFWSLIAFFGQEVISFGRQHPFTRSTKRMSRYGKVHIVRKSCLSTRNSEVS >KVI02872 pep supercontig:CcrdV1:scaffold_553:35298:39310:-1 gene:Ccrd_018826 transcript:KVI02872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGKIEIKKIENNTNRQVTYSKRRNGIFKKAHELTVLCDAKISLIMFSNTGKFHEYISPSTTTKKMYDMYQTTLGFDLWSSHYEDTVRHLEKKYATGENRGEYESTITYSNGVPDLYGFCAHPNNIPHGYEPHDPRIA >KVI02877 pep supercontig:CcrdV1:scaffold_553:62264:63571:1 gene:Ccrd_018830 transcript:KVI02877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGQVISCHTVDAWNQQLQNGKNKLVLCFISYPDFMHSFNHTYWGVEAMPTFLLLKDGKTVSKVVGAKKEELQQTIIKHAGNSGSSS >KVI02868 pep supercontig:CcrdV1:scaffold_553:209295:215780:-1 gene:Ccrd_018842 transcript:KVI02868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVELVIEAANLASNGHPFEVVYYQEFCVKASNDIYQQAGISNVNDEKLAASVLNPSKVKYDMRMCMSIRPGRSNAGSSLSLWFVVKIMMRSSPQQDHSPSMKFRRPDKENYKSNKK >KVI02882 pep supercontig:CcrdV1:scaffold_553:104861:114046:-1 gene:Ccrd_018835 transcript:KVI02882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MAASQAVNWQWENAAAGSVAGLATVTFSHPLDVVRTRFQVNDGRNPHVPSYKNTRQALFTIARSECFGKEECKRSISDEILFFMNYMDNIFSGSQRALCWLLSCCTRLNYLLGVILLLRYLRFHIYHASDFSGVAYMHIRPPDMILSFYYSYSKAKQRYLKNRDKLTPGLHLASAAEAGGLVCVLTNPVWLVKTRLQLQTPQHQTRPYSGFHDALKTVVKDEGWKALYKGLVPGLFLQVSHGAIQFTAYEELRKVLVNFRFERSVLISGSADLLTTIDYATLGASSKLAAILVTYPFQVIRARLQQRPGINGVPRYMDSWQVMKRTARLEGLNGFYKGITANILKNLPSSSLTFVVYENILNMLKLARAKE >KVI02880 pep supercontig:CcrdV1:scaffold_553:93935:98166:-1 gene:Ccrd_018833 transcript:KVI02880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 3/MiaB/NifB MAASLSSFFTLHPLRIKLHRHCINHRLISSSSNSQQFFIISSRLPQKRHFKRPFSLKFSRNLSQCSGNPIFNDDRKNSTPSFQHFQAQAQAQAQATALTSSDDAVPSSEVLPRSRIYQETYGCQMNVNDMEIVLSVMKKAGYDEIVDEPESAEIIFINTCAIRDNAEQRVWQRLNYFWFLKRHWKSNVKTGRSQSLRPPKVVVLGCMAERLKDKILDADKMVDVVCGPDAYRDLPRLLEEVDNGQKGINTLLSLEETYADISPVRISKNSISAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVAELEKEGVKEVTLLGQNVNSYNDEHDEEVEAGGNWKLSDGFSSKCKVKSVGLRFADLLDRLSLEFPEMRFRYTSPHPKDFPDELLYIMRDRHNICKNIHLPAQSGNSNMLERMRRGYTREAYLELVHKIRNIIPDVGLTSDFICGFCDETEEEHKDTLSLVRAVGYDMAYMFAYSMREKTHAHRNYTDNVPEEVKQRRLTELIEAFRESTGQCFDSQIGSIQLVLVEGPNKRAPETELIGKSDRGHRVIFTTSPVPDWVDGDGDRNPKVGDYVEVRILKSSRASLFGAAVAITTMSSFYKVAHEEAVASANRS >KVI02876 pep supercontig:CcrdV1:scaffold_553:156449:156880:-1 gene:Ccrd_018839 transcript:KVI02876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MAAAGVMKSTESTQLPVLGSDDHHDSVSKKQKRMISNRESARRSRIRKKKHADDLIGQVSQLVSDNKYMAINLRDTTRMFLQMDSENSVLRAQLAELTHRFESLNEINNGFNSLSGYHEHLFLLPYNNMLHNDQHFRVDMFLN >KVI02867 pep supercontig:CcrdV1:scaffold_553:207580:209953:1 gene:Ccrd_018841 transcript:KVI02867 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MPTPSSLLSAYATLSTSIILFRTIFDQFFPPQLRRYVIEAIQLYWKPKSSKLTLIFEEKDGMTGNHVFDAAEAYLCTMISPDVDRLRITKNVKENHIKIKFAESEELVDSFDGISLTWKYVRQKLQQRHGGGGDDDFVLGGKRSSGGNFTPETKYIELKFDKKYKDIIINVYLPSVIDKFQELENQKKVVKIHSLQSYDGGPGGYKESVNLDHPSTFDTLAMDPKMKKVIIDDLDLFLKRRDFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLQLTNVRSDSSLKQMVLRTSNRSILVIEDIDCSIQLPDRKGVPPSKFSDVKPVRDPQFSLSGLLNFIDGLWSCCGDERIIIFTTNHKERLDPALLRPGRMDMHILMSYLTFDGFKTLAANYLNIHNHRYFSEIEKLINCTKVTPAEVAEELMKSNDVEVVLEGLVKFIIHKKMGGDGIKDGSNDGDDKVHEGI >KVI10893 pep supercontig:CcrdV1:scaffold_5533:9309:9806:-1 gene:Ccrd_010703 transcript:KVI10893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEQRIPIEIKKGPWKIEEDELLIKHVEEYGPRDWDIPLSKGHLQRMGKSCLQWLNNYQPNLKKGEFSEMEKQILFDLQGKYGNKWAKIAKYLLGRSVYHVKNVWYNHQTKMAPFYKGNTECLKFGLSPDEKKKKKERKRKKKKKKEKEEEEEEKEERRRIKRRKL >KVI10894 pep supercontig:CcrdV1:scaffold_5533:15907:18351:-1 gene:Ccrd_010704 transcript:KVI10894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGSEKPXKEKRXRKSKXVVVVDEKSPLLPTKKGEDGGFDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLIPGIALIIFMAFLTNASIDLLLRFSRAGKSISYGGVMEDAFGRIGRMLLQVCVLVNNICVLVFYMIIIGMARLIRDVLSGTTSDGIHHAGVLQGWFGEHWWNGRFFVLLVTTLGVFAPLASLKQIVVADLKQQRFPLCEQQVAKVRMLLTYKLGTGLVMARRAEGSWSAPSTIISAGLGQGPQ >KVH04873 pep supercontig:CcrdV1:scaffold_5534:4089:6347:1 gene:Ccrd_025974 transcript:KVH04873 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MRMLFLLKLLCPQILYSTGNPLAAAAARLVYDDRLIGSNCRPRDSNISRSYPDESMTAPPAFGCCHVGQFKISLISKSSRSILLCGAIARGREDSVISHKILSTNGRPVDGMVRRKNLKTFSFAQT >KVH04872 pep supercontig:CcrdV1:scaffold_5534:15259:15956:-1 gene:Ccrd_025975 transcript:KVH04872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15e MFGLEKSERFSLSEQALDRVACLYGTNIISVSKGITGYLNEFQTTHSRNVIYRFRVRCGGRKGPVHKGITTNQGITQLKFQCRKRSVAGRKLGGLKVLNSYWLNE >KVH96534 pep supercontig:CcrdV1:scaffold_5538:18330:23271:1 gene:Ccrd_001380 transcript:KVH96534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MKDFTDHVGSNPMTPREASSTPSSQLHSPSLSRSPLLNSPDHPQAVNKPKTGHKIKFSNTPPVVSAPPSQMHSPSMSRSPFLGTPDRPVTMPPSGARTPMAYIKSRNLTPRFLTPLGSPLRKALHLTKLDPTDAWLPITESRNGNAYYAAFHTLCSGIGIQALVLPVAFTILGWTWGIIALTVAFIWQLYTLYLLVNLHESPETGIRYSRYLQLANAAFGERLGKTLALFPIIYLSAGTCVALIIIGGSTAKIFFQVVCAASECKAETLTTVEWYLVFTCGAVLLSQLPNLNSIAGISLVGAITAIGYCTTIWAVSVAEGRLPNVSYNPIRIGSEVSKVFDVLNALGIISFAFRGHNLILEIQVSYTLIALCLFPLAIGGYWAYGQLIPPSGMLPALFMYHSQDVAKSIQALASILVIINALSSFQIYGMPIFDELESIYVIRFKKPCAWWLRAIIRTVFGFTCFFVAVAIPFLASLAGLVGGIALPVTLAYPCFMWLKVKKPKVYSPKWWLNWGLGLLGMCLSALLIAAGVYVTIDNGIKFNFFKPE >KVI06540 pep supercontig:CcrdV1:scaffold_5539:1548:2188:-1 gene:Ccrd_015111 transcript:KVI06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MHIYIYIYIYILLSSTISLMTKMMLISSIEEISSIVLDPAKNTATIIGEADPAAIIKRVRKFKKSARLISVGPAKEEKKDEKKEEKKDGVVPSIPRTCHRCDVWYVVQQDYVSPCHIL >KVI04448 pep supercontig:CcrdV1:scaffold_554:188475:198556:1 gene:Ccrd_017235 transcript:KVI04448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MGLQAQPYGIQGMLKEGHKHLSGLDEAVVKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTSDTATIVNELEIQHPAAKILVLAGKAQQEEIGDGANLTVSFAGELLQGAEDLIRMGLHPSEIIIGYTKAIKKACIQVCPKNPVNFNVDNVRVAKLLGGGLHNCTTVRGMVLKGDTVGSIKRIEKAKVVVIAGGVDTTATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGANVIVSGVAVGEMALHFCERYKIMVLKIASKFELRRFCRTTGAVALLKLGTVNPDDLGYVDSISVEEIGGARVTVVRNEEGGNSVTTVVLRGSTDSILDDLERAVDDGVNTYKALCRDSRIVPGAAATEIELARRLKEFSFTETGLDQYAIAKFAESFEMIPKTLAENAGLNAMEIISGLYADHASGNVKVGIDLEEGACKDVSTLNIWDLYVTKFHALKYAADAVCTVLRVDQIIMSKPAGGPGRRDQQAMDED >KVI04450 pep supercontig:CcrdV1:scaffold_554:111078:118666:1 gene:Ccrd_017233 transcript:KVI04450 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MYRRKIKKASFDMSEITEFLNQLTVEKPLFPLVIPLLLVLWSIEKWVFNLSNWVPLAVAVWATIQYGSYRRRILVQDLNKKWKQLILQTSVCSHFLSGFVDPTTPLEHCEWLNKLLIEVWPNFISPKLSLKFSSIVEKRLKHRKPKLIERIELQEFSLGSRPPILGMHGAQWSSAGDQRFIRMGFDWDTTDISIMLLAKLAKPMGTARIVINNLHIKGDLILSPILDGKAFLYSFVSTPEVRIGVAFGSGGSQSLPATELPGVSSWLVKLCSDTLNKTMVEPRRRCLSLPPVDLRKKAVGGVLHVTVVSAGKLSRSSFKGSRTNRQQSSTIDASVLENHEIGKDFHTFVEVELEDLTRKTLELAGPNPTWDSTFNMILHDDAGILKYCTDDSTIFWAIGAESSVIAQHAEFCGKEVEMVVPFEGINSANLKVKLTLKEWQFSDGLHSLSGSRLSSRGSLYGSSIFQPRTGRKIYVTVVEAKELLEKDKSVKSYVKLQYGKTIQRTRGATNASHPSWSQKFEFEEISDGEYLKIKCYNDDIFGDENIGGARVNLEGLMDGVVRDVWIPLEKVNKGEVRLQIEAVTIDDFEGSKGSHGGLIELVLIEGRDLVAADIRGTSDPYVRVHYGNLKRRTKVMYKTLNPQWHQTFEFPDDGSLLALHVKDHNALLPTSSIGDCIVEYQRLPPNEMSDKWIPLQGVKRGEIHVQVTRKVPELQKKTSASESTSSRGHKISIQMKEMMIKIRSLIEDENLEEVSSTLSELESLHEVEEECIIQLETEQMLLLNKINELGQEIINSSPSLSRRSSSN >KVI04449 pep supercontig:CcrdV1:scaffold_554:131112:141469:1 gene:Ccrd_017234 transcript:KVI04449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MQRAEVMIKGPGLGRDAALRAIRRSVNRNNNILKKDQFYRKHNLNTNKNHTRRTVDRDLNKESCNPIAHLYKNQKQLDELSNKK >KVI04451 pep supercontig:CcrdV1:scaffold_554:48706:50881:1 gene:Ccrd_017232 transcript:KVI04451 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MWLLVEEGFGVYKTKRGFPPLIADHISPPLQPPHPTFSFRPTMEDTLPPGFRFHPTDEELITFYLSHKVSDSTFTCKAVAEVDLNKCEPWDLPAKASMGEKEWYFFSLRDRKYPTGLRTNRATVAGYWKTTGKDKEIFRGGILIGMKKTLVFYRGRAPKGEKTNWVMHEYRLETAHAFKPNKEEWVVCRIFQKSTTLKKPMATASSPQSMGSPCDTNAMANDYGDIELPNLSGIGNSNISLQNYGIESNVNTNMNLNMSLASGLPSLAWPSNLLTSNLSMNALLLKALQLRTYQQRDAANFDYQFLHDASPSLQASSPRTYESLQQQQQQVQHQEEQPFNLDSMW >KVH91307 pep supercontig:CcrdV1:scaffold_5540:303:1563:-1 gene:Ccrd_006673 transcript:KVH91307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIKGLCKFGNYDTAIALLKMMNERGCKPTVVTYNTIIDGLCKDQXIDDALKLFKEMIVHKGILPDVVTYNPLIGGLRNLGHWDDGSKMLKEMEVDERISPDVVTFNILVDAFCKEGKVEDAEVLMNVMVEIGKDPNXVTYNSLIDGYCLRGEMTKAREAFDSMSIRGLVPNIVTCNSLLNGYCKEMKIDEALHLFHEITENGLVGEAKDLFLRMNERGCPPNSATYNVLIRGLLKNKQHDSIEMLLQEMDGHGFSLAASTLAMLLANTTARPFDAHLLKLIGKLVPKEGMDASCFT >KVH91306 pep supercontig:CcrdV1:scaffold_5540:1633:2181:-1 gene:Ccrd_006674 transcript:KVH91306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIPKQAVSFIVSNPFKGFAPASLLFPMTNFSFSELSSRIHLSQTILPQFYSNSALNHKPQFDRPRSMFEKITKLDNALELFDEMTQRQPLPSVVKFTQLLQTVTRMKHYSCSIDLFKQMVAISVPVDEYTLAIVIKCYCQLFHTSEGFAVVAYGLKRGVLPDVWIFTILLDGLILEDRNL >KVH91305 pep supercontig:CcrdV1:scaffold_5540:9973:22379:-1 gene:Ccrd_006675 transcript:KVH91305 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATH-like protein MNQTSTNEYYCLVIRNGEIGEGCRELWSEKMAGSSSEESGVSRSLEGISNGQQRSGEALAEWRSSEQVENGITSTSPPYWDTDDDDDCGPKPSELYGKYTWKIDKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFVDADTLIIKAQVQVIRERADRPFRCLDCQYRRELVRVYLSNVEQICRRFVEERRGRLGKLIEDKVRWSRAALEPLPPKDEKGPQNRTKDGGSGEDFSKDSIERDERRLTELGRRTIEIFVLAHIFSSKIEVAYQEAVALKRQEELIREEEEAWMAGSEQKAKRGASEKEKKSKKKQGKQKRNNRKSKDKVREEKAGIAIEEKPQQQSPTDERKGFLIEDAELLKKTDTPEDVSDVSDSVDCVTEEALLRPDSDDRDSSPVNWDTDTSEPHPPTEACSSGTISGLSAAQNGERKSPSVMDDSSSTCSTDSLPSVVISGRYRGNSHQNQKTQSSPSRGKVKRGKGTGEVSGGVSNMQKQPSDVVMSESTQPSDEPECDVPAALLLQDKMKWLAQDVVKKVEEVVSLQKKLNIKDEVESEKNSKEKMAVTPSSPKSPSKASPRALQKSELRKSAGSDSGVARKLSENPQNTVVPSISTEGSVLSKPYTHNTGTQKPAEKPSIVHQGPAPTQKSTNVVHQVLRPAEKAPVQQVSGAPSARPVPAMSRPLSAPLVEASRANATSTAPVISMVQTTPLLSRSASAAGYLCPEPSPMAQNYGPPSYRNAMMGSPISATSSAFGQSHSPKPTVNSYSQPMFLPQSSERMDSVRPSFSFGMMNHDVVLQNGSQWMERDNGGSSSRGLQSLNDIQNIDVYKPIHSRPQAQGPLADEFPHLDIINDLLDDEYAMPLSFPGDMGGXGSVDQGPSTSSCRFERTRSYHEEFQHAYFDFSREMILPQPNLQPPYVNGQIEGLIQNQWQIGGSDLSYGLRNMDGEGYPYHMAPDYSNLTMGVNGYTVYRPSNGQ >KVH04704 pep supercontig:CcrdV1:scaffold_5542:10768:27816:-1 gene:Ccrd_025976 transcript:KVH04704 gene_biotype:protein_coding transcript_biotype:protein_coding description:DBINO domain-containing protein RGRGVDHKETGSSLVQKGNTQAHVINPYARPAHSAAFQLPQHPMESDRNLRNSYNYSNLFNLESLTKFQLPHGDEFDYYANSSQGESRGGPMTDRSNGMMSEKRKRRNMYSSDEDQDDISDTYFSEEQYRAMLGEHVHKYKRRHKSNNLPTSASNRNGTSGMKNSLGSKDHKIVNGRSGGARKIETIPQNLGHYKEADFAPEYGMDRFVLFEVYMISMILICPMSQLSTLILRSVCEPAYLDIGDGITYKIPPTYEMLATSLNLPRTSEIRVEEFYLTGTLDLGSLASMMSADKRLGPRSGPGMGEPKPQYESLWARLSSPTLNNSPQKFSLKVSDDALDSYSAPEGAAGGFRRSIMSESGVLQVFYVKVLEKGDTYEGLLTYVHTIHMLLELFDPQIIERSLPKKQKEKKDPSMVEKEEMDKVDKYWVNMVRKDIPKHHRFFINFHRKQLTDAKRFSENCQREVKMKVSRSLKLMRGASIRTRKLARDMLVFWKRVDKEMAEVRKREEKEAAEALKREQELREAKRQQQRLNFLLSQTELYGHFMQNKPSSQPPGILVEKDKGDDQEAIMSSSEVGAEEDPEEAEMKMEALKAAQDAVSKQKMITSAFDDECSRLRQASAAEDPEQAVAGSSNIDLLHPSTMPVASSVQTPELFKGSLKEYQLKGLQWLVNCYEQEKNIWGPFLVVAPTSVLNNWADEIGRFCPDLKTLPYWGGIQERTILRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSTSIRWKTLLSFNCRNRLLLTGTPVQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLSRLHAILKPFMLRRVKKDVVSELTGKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSNRGQLNEKKFMNLMNIVCNHPELFERNEGSSYFYFGDIPNPLLPPPFGELEDVYYSGSKNPITYKVPKLIYQEIVRSSDVLLSGGKHNIKREFLEKHFNIFSPVNIYQSIFKQDEHGPSTKDGTFGFTRLIDLSPAEAGFIANSSLMERLLFSIERWDWHFSDGVVDFIMESEDKDIEYNHLGKEKVRAVTKMLLMPSKSETNVLRRRLATGPGDAPFEALVLSHQDRLASNVRLLHSAFSFIPQIRAPPIDTDCPDRDFAYRKVEELHHPWIKRLLVGFGRTSDCNGPKKPDGAPHHLIQEIDNELPVLQPALQLTHKIFGSCPPMQSFDPAKMLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNIIEDYMNYRKYRYLRLDGSSTIMDRRDMVKDFQHRSDIFVFLLSTRAGGVGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILHRASQKSTVQQLVMTGGHIQGDILAPEDVISLLIDDAQMEQKLKEIPAQDLMNPESPADVYTSSPAEKPVSSKKRKTSSEKGTPKPRPQKAPKKLDSLASNTIVSGSESDDRLPHANMHQQTPQRTKRPTKSINENLEPVFSAPVVMAEHE >KVH04001 pep supercontig:CcrdV1:scaffold_5544:26832:31732:1 gene:Ccrd_025977 transcript:KVH04001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EQIEKNKQALLELQAKVETEISKAQKLLLDKDAELHAAEKSLFGLKQVTDSKLEEKPTLFGEFGQVAADNKKVDIGVKTRPLQVRPRSMSKALQTPKGVRPLYRKPSITSQGSRLLY >KVH04000 pep supercontig:CcrdV1:scaffold_5547:33059:34454:-1 gene:Ccrd_025978 transcript:KVH04000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MEVEVISTESVKPSSPTPPHLKTFELSLLDQLVIXPYVPXIFYYPNHNGHNILQAQEKSLALKGSLSEILTQFYPLAGTXKDDLSIDCNDVGAYYALALVRLPLNEFLSHPDLSLTDRLLPFRPSFEASGIGGRVTNVQVNIFECGGIAIGFCISHKIVDAAALYTFLKAWTNMACGSKEVVYPNLIGARSLFPAKDLWLKEASMAMCGSWLKEGMCVTKRFVFDADAISTLKAQATRNGLQNPTRVEXVSGLIWKCAMAAFKENCGFQKPSLLTHMVNLRRKLSSTLSKDLIGNLLWFTGIEAWVNMDEEEMKILQSNSELLAFASLDPSPLPKDEVVALNKPHAMNTEIDPIK >KVI03197 pep supercontig:CcrdV1:scaffold_5548:12134:17914:1 gene:Ccrd_018507 transcript:KVI03197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MCASGLMMAREKIQIKKIDNATARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSSTGKLFHYSSSSMKEILERHSLHSKNLEKLDQPSLELQLVEDANYAKLSKEVAERTLQLRRLRGEELHLLGIEELHQLEKSLEAGLGRVVTKKGVQLMEENDRLRQEMVEISNARKQIHTDAENVIGEEDQSSESVANICNSAGPSQDYESSYTSLKLGLPYRG >KVI11040 pep supercontig:CcrdV1:scaffold_5549:8327:9977:-1 gene:Ccrd_010554 transcript:KVI11040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase-like domain-containing protein MTKKLIMEDEMETSFMSSSSSSSSSSMKEQEQKQEQLPLLSLNHVSFMCRSVIRSVKFYNDVLGFVLIRRPSSFDFEGAWLFSHGIGIHLLEMETTPTKKGAINPKDNHISFQCSDMDLIIKKLEELDIEYVTAVVKEGGVEVNQLFFHDPDGYMIEICNCHVLPILPISSCPLKKLPVIPQIINQPQSSFYGKSSPNNYFCGEVDVLMMDNLLIDMMLISI >KVH88710 pep supercontig:CcrdV1:scaffold_555:47955:48374:-1 gene:Ccrd_025982 transcript:KVH88710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKGVVRTAGCSYIEHGHMIHRFLVNDQAHPESESESIYAKLDEVIKKIQKAVYVPNPEFVLHDVEEEVKESLVSKHNEKLAIAFGLLVCNDSKPLIITKNLRICGDCHNMAKFVSFVEKRKIIIRDTRRFHHFADGL >KVH88721 pep supercontig:CcrdV1:scaffold_555:119110:122852:-1 gene:Ccrd_025988 transcript:KVH88721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MDKFRKSFNRSSQKESEIEPEEKQILLHLDDYAIDQIPSIGTVSAMRSSPSPPDSGERRRDLGKGTNGNVAGTATKEAELAACKFNPVADQKNPNKVWRDSSYDFTNDNEKFDFLTSDTPSPQQSPALSRIPESPNNYGMLTPKEVRVSFHDEVVEPQPVRLRSHGSGRNSGACGGEEVVVCSANASFRRKSTLMRTKTKSRLQDPPDTDQKSGRFSKSGVLGRVGSDIDEDDPFLDDDLPDEFKELRYSKWTLLQLFSLILIVAALVSTLTIPYFKHKQLYDLELWKWGVMILVLICGRLFSGWGIRVLVILVERNFLLRKRVLYFVYGLRKAVQNCVWLALVLIAWQCIFDQKVERMTHGKVLPYVTKIWICLLVGTLVWLLKTLLVKVLASSFHVSTFFDRIQESLFNQFVIETLSGPPLIEIQQEREEEDRMIAEVQKLQNAGATLPPDLKANIFKKSGRFIGTPRTSTPMAGKSGKFSEVNTPKKPDEGITIDHLHRLNQKNISAWNMKRLMNIVRTGVLSTLDEQLEGTTGDEDESSVQITSEKQAKVAAKKIFCNVAKRGSKHIYLTDLQRFLRDDEALKAIRLFDSESETKGISKRALKNWVVNVFRERRALALSLNDTKTAVNKLHQMLNVVVAIIIIVIWLLILKVATTHFFIFLSSQLLLVVFVFGNTCKTTFEAIIFLFVMHPFDVGDRCEVDGVQMIVEEMNILTTVFLRFDNQKIIYPNSVLATKPIANYYRSPDMGDAVDFCIHVSTPVERVAQMKEKITSYIENKSDHWYPAPLIVVRDIEDLNRLKISIWLSHRMNFQDMGERWQRRALLVEEMIRIFRELDIEYRMLPVDINVRNMPTLTSNRLPSNWMACAN >KVH88716 pep supercontig:CcrdV1:scaffold_555:187168:190647:1 gene:Ccrd_025994 transcript:KVH88716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein GYFFPQLLFSHPFVPLVSSAAPFPFISDLIIAPSSHSDCWSNSKHNLTPNRLVTRYNSCCTNPSLYFSFPSTNVRLYSGKEEMAAAIAKWRTLATYLQSDLYFHRLQCRFFLNNGPDTVEELLDRHVAKKEKKSYNDDEDEKLTRQRLTSTRREVLGLYRDIIRATRFFMWPDSHGVLWRDVLRANARKEFEEARFEKDPEIITRLLIGGHDAVQGALDKLVEKQRQQIAKEKEDRGSITFLFGNNVFICTVVAILVRFLANSAFL >KVH88708 pep supercontig:CcrdV1:scaffold_555:6658:54185:1 gene:Ccrd_025980 transcript:KVH88708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEIVTAIVSPLVESLMVPVKKHLGYLISYKKYVRDMGTRMRDLKATRLGVEDHINHNKSNCLMVPMEVSGWLEEVGNIEAQSIFMNHAAAMHYLINTPKSPLVESLMVPVKKHLGYLISYKKYVRDMGTKMRELKATRLGVEDHINHNKSNSLKVPMEVSGWLEEVGNIEEQVLETIPSDVGSCFNLKDRHKLGRKAFKITQDIDRLKEEKSRIDWTDHPIPLAKVDSMKLSTSTPSSYHNDFKSREPTFMEALKALQPDNKTHMIALCGMGGVGKTIMVQKLKKVVSEKKLFDFIIEISEVDDDIHNVAFPSYLMHNLHQLHTLKLDSFEGVEVVFKIESSSCRKLATTQNNQQPELLPHLKNLKLIGLKRMIHVWKYDWNQFLVSQQEPKSSSFVNITSITLSSCGRVKYLFSPLMAKLLSNLISIRIKSCDAMEEVVSNIDDEYEGMATSISCHTNTTFFPHLDNLNLGYLPCLKRINGVGNKCGGKKLMTCIVSASSVYDKFQCYQVGGAYWSSCQYAKKIDIRECDALLTLIPSQAVGHMPKLEVLKITSCESMREIFETEGVNEDVGDNANIGEGSGDTGAIPTPINMTSLELPNLKTLYIVGCHSLKYIFPTSVLESLKKLQKLKISYCSAIQVIVKQEVNGEHIIGLEDVVFPRLTTLKLVGLPNLKGFFLGKNDFQWPLLDKVEIYGCPQMMIFTSGRSMASKLEYIHTGVGKHSLECGLNFDWTNAPHEGQLYRSSSCLTAADIVELLQFPWSFSNLVEVDVGVFDVASSKAIFPSNELFNVENVGDIEEAEKVFEVVEGTNDETQSADDVILISKKKQVIWKSNWWIPSKLSNLTRVSLVYCQKLEHVFSHGMAASLVQLQHLNIDSCLNLKVIVEAVRDSTNEVVSFPCLKFLQLSLLYELEGFCLGNDQAFQWPSLDTLIINRCPRMTVFTKGQSTTPKLEVIRTNFGWCEAKDDINSFIRKRTQEVLAQVRISRNGDCCRHC >KVH88705 pep supercontig:CcrdV1:scaffold_555:114204:116291:-1 gene:Ccrd_025987 transcript:KVH88705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF295 MSTTVDWSELQPELIEAIGKKLRVHKDYVRFRAVCSNWRRSTTKTPKHLPCQLPWLMLPQSSNQNRQSHLRSFFSLSDNKIHRLSLPEASNIRRRCGSSHGWLVILEETPAVFLINPLTRVKHHLPPLSSFPNVTKFNFFDVGREYTLKTSDGDVYTCNLKEMRDSFIKKVVFSSSPSDEDSDYFALAILNQTGDLAYCKKGDSLWKFIDNAQSYCEDVVYHKGCFYAVSKYGTIAVCDISGPLPDVSFIPTPPQVGGDMQYLVSLEDELLLVTRYLELGFDVDQHQLDIFYKTTEFRVCKLVLNGPIWEIVSKLDEWALFVGENSSMAFRASDFQGCKGNRIYFTDDYSEWNYDGANGDHDLGVYDLEDGSVVALPCYPRKFYNGRRWPPPIWITPRVIEDSFGS >KVH88717 pep supercontig:CcrdV1:scaffold_555:182327:183931:-1 gene:Ccrd_025993 transcript:KVH88717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAVVKPSLRRVCRLATTINSFSSSSSAVISASHSDTETETTAVVTTATQKLSHSETLISEKLHSLIKNHHRQNPNPNPIVTPFPPDFSIPSLSFDFSNISHHQPLSPAVIRCVIEKCAAVRHGIPFAQALAFFNWATSMTDGTRTDSPDPYNEMIDLAGKSRQFDVAWQVIELMKARNIQISVDTFSILIRRYVRAGLAAEAVHAFNRMEEYNCKPDRIAFSIVIGILCKKRRAEEAQSFFNSLKHKFEPDVILYTSLVHGWCRAGNISEAERVFHEMKTSGIKPNVYTYTIVIDALCRNGQITRAHDVFAEMLDQKIEPNAITYNNLMRVHVKSGRTEKVLQVYNQMKRLSCPPDLITYNFLIESHCKDGNRDEAIKILNSMSKKGCEPNASSFNPIFKEILKARDVNGAHRLFGRMKEMKCKPNTVTYNVLMRMFADMKSADMVLKLRKEMDENEVELNVNSYRILIGMYCGMGHWNNAYKFFREMIEEKCLKPSHGDYEMVLGQLRKAGQIKKHEELVEKMVSRGFVARPL >KVH88714 pep supercontig:CcrdV1:scaffold_555:205033:219969:1 gene:Ccrd_025996 transcript:KVH88714 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MHRVASAGNASNSVRPRKEKRLTYVLNDADYTKHCAGVNCLAVLNPSEPDNGSYLFTGSRDGTLKRWALGEEGATCSTTFESHVDWVNDAVLVGGNTLVSCSSDTTIKAWDCFSDGTCTRTFRQHTDYVTCLAATEKNRNIVASGGLGGEVFIWDLEAALAPLTKTSEAADGDSSNVTNGSGNSLPVTSLRTISSSNSITSHTNQPQGYVPIAAKGHKESVYALAMNDTGTLLVSGGTEKAVRVWDSRTGTKMMKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLSTRESVLLCTKEHPIQQLALHDDGIWVATTDSSVHRWPSEGLNPEKVFQRGGSFLAGNLSFSRARASLEGSTPVPVYREPTFSIGGIPGIVQHEILNNRRHVLTKDNAGSVKLWEITRGVVIEDYGQVSFEKKKEELFEMVSIPAWFTADTRLGSLSIHLDTPQCFSAEMYSADLNITERAEDDKINLARETLKGLLAHWLAKKKHRFGSQPSANGELSSGRDISARSITLSRVEVDGNAENDSMVYPPFEFSTTSPPSIITEGSQSGPWRKKITDLDGTEDEKDFPWWVLDCILHNRLPPREHTKCSFYLHPCEGSAAQILTQGKLSAPRILRIHKVINYVVEKMVLDKPLDSLSGDGTFAPGLPGGQLQHSILGDGSLRSGLKPWQKLKPSIEILCNNQARSQDDELIGSMDVTHVSSAEAIAEASKLFSLNTDASHIGIASM >KVH88709 pep supercontig:CcrdV1:scaffold_555:27266:33470:-1 gene:Ccrd_025981 transcript:KVH88709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPIECVSVPSNKWCAGYIPESKVERWRRGVVRALMKEKGLIRTVGCNFTEHGHRIHRFLVNDQAHPESESIYAKLDEVIKKIQKAGYVPNLKFVLHDVKEEVKESLVSKRHKPLIITKNLRIYGDCHNMAKFVSFVGKRKIIIRDTRRFHHFADGLCSCGDYRGPLHNQIEYQRLAGTRLHLPDMPESHIHAPPNQHNHRQD >KVH88711 pep supercontig:CcrdV1:scaffold_555:56980:59581:1 gene:Ccrd_025983 transcript:KVH88711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALKKISINGIDGWGENKSNNEEINVTTNEGISEVEDDIHNVAFPSYLMHNLHQLHTLRLLYFEGVEVVFKIESSSCRKLATTQNNHQPQLLPHLKDLELHGLNRMTHLWKYDWNQFLVSQQEPKSSSFVNIMSITLCSCGRIEYLFSPLMAKLLSNLISITIKSCDAMEEVVSDIDDEYEGMATSISCHTDTTFFPHLDNLNLGYLPCLKRIDGGGNNCGGKELMTSTVTASSVYDKFQCYQVGGAYWSSFQYAKTIDIRECHALLALIPYQAVGDNANIGEGSGDTVTIPTPINMTSLELPNLKILYIAGCHSLKYIFTTSILKSLNKLQELKISDCSAIQVIVKLEDNGEHILGLEDVVFPRLTTLTLVGLPSLKGFFLGKNDFQWPLLDKVKIYRCPQMMIFTSGRSMASKLEYIHTGVGKHSLECGLNFDWTNAPHEGQLYKSSSCSTGADIIELLQFPWSFSNLVEVDIQYFDSKAIFPSHELFNVENVGDIEEAEKVFEVVEGTNDETQSADDVILISKKKQVIWKSNWWIPSKPSNLTRVSLHFCDNMEHVFSHGMAASLVQLQRLVIHCCTGLKVIVEAVRDSTNEMVSFPFLKSLELAHLNKLEGFCLGSDQAFQWPSLYTLRIERCPRMTVFTKGQSTTPKLELIRTSFGWCEAKDDINSFIRKRTQEGYEF >KVH88704 pep supercontig:CcrdV1:scaffold_555:104493:104985:-1 gene:Ccrd_025986 transcript:KVH88704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 10 MPMKRLVTGKFFQSLAGTTPVVVGAPIIQYFAPTPGSILHVKELTDVELVAKTMKQLAENLMAYNESLR >KVH88719 pep supercontig:CcrdV1:scaffold_555:172786:177543:1 gene:Ccrd_025991 transcript:KVH88719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MAAPNGSNSHWADQVPIAVAPLNCVPYTGPPLDHFDSDMSQNEVNLPLKNQPAMVFLPQQTTEKELNDILSITKHGVAVSGSAASGKIGPVIGSIDISESDEAFLFRVALPGVKKDEKFKCDIQPDGTITIKGVTNTGQKKVHAHNMEFEMHTQNLCPPGDFSVSFQLPVHVDPPTLKHMLANGVLEGVVKKKLVQGS >KVH88718 pep supercontig:CcrdV1:scaffold_555:178291:180579:1 gene:Ccrd_025992 transcript:KVH88718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSFDLQTFILRARVLKLYRQALRIAKRAPVPSRGELMQTIRQEMENNRACNDKQRIRYLISEGMERLKGLDEMLDMQGH >KVH88715 pep supercontig:CcrdV1:scaffold_555:193737:199986:1 gene:Ccrd_025995 transcript:KVH88715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MRKGRSYLGRHHQGKRSSTVALVLSMLLMLTIVLLVLLALGIFSLPIGSDDGSSSYLNDHITFKRKRLLVGDGDGDGDGMGKRGDQWTEILSWEPRAFVYHNFLSKEECEYLINLAKPHMSKSTVVDSKTGQSKDSRVRTSSGTFLRRGKDKIIRNIEKRIADFTFIPVEHGEGLQVLHYEEGQKYEPHFDYFLDEFNTRNGGQRIATVLISDVEEGGETVFPAAQGNFSSRPEWNNLSECAKKGIYVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIKGNKWSSTKWMHVEEYKI >KVH88706 pep supercontig:CcrdV1:scaffold_555:91478:91904:1 gene:Ccrd_025984 transcript:KVH88706 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase HVFGNLNKRNFGTDLVKATNGLPDEAIYLKINNKIPGLGMRLDCSDLNFLYYEWFLLDAILGEQRLFIRSDELDAAWSIFTPLLKELEAKKIAPDLY >KVH88722 pep supercontig:CcrdV1:scaffold_555:137898:140809:1 gene:Ccrd_025989 transcript:KVH88722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import protein TIM15 METLASSVTIVSSSPTPLQFFSPRSKNPFGKRLLRFPISSKNNDNDSDLRSESNDASIVPISTNRTFSKDDAMGLVLSAANVRGWTTGSGMEGPSVPVGGECESSTEKISTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVSPSFKYQDLNGNIGNLGFKYLDMDDDDDDDHMFPLL >KVH88720 pep supercontig:CcrdV1:scaffold_555:142663:151556:-1 gene:Ccrd_025990 transcript:KVH88720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSPPLDATAGSPTAPPHPLNFIPYSISLDGNANALPLIKLRNILMLLLCDATMGGGDSDAVVYLHGDLDFTIAEAQCLPNMDVFTQRVRRCLNAFDSCKPPVPPKRKVTPHRHKMVTCDPYVTVCLAGATIARTRVIPNSQDPVWDEHFVTPVAHPVTHVEFQVKDNDVFGAELIGVASISAERIKSGELIDDWFSIIGPFGKPPKPNASIRLQIQFTSCDDAERKDKSFDGEELFCLRDSYFPVRGGGNVTLYQDAHVVEGQLPEIELGGGGGGEEEEGVKCNFYKQRGCWEDICHAILEAHHLVYIVGWSIYDKVKLVREPNAPLPNGGDLTLGELLKYKSEEGVRVLLLVVGTLYTHHQKCVIVDTQAQGNYRKISAFIGGLDLCDGRYDTPEHRLFRDLDTVFENDFHNPTFSVSTKAPREPWHDLHCKIDGPAAYDVLTNFEQRWRKATKWSELGRRFKRISHWHEDALLKIERISWILSPSSELPTDDPALWVSEEEDPENWHVQVFRSIDSGSLRGFPKDNLVCAKNLVIDRSVQKAYIRAIRSAKNFIYIENQYFLGSSYAWPSNKDAGADHLIPMELALKIANKIRANERFSVYVVIPMWPEGHPNSVAVQQILYWQSSEVENGHPLDYLNFYCLGNREECPEEVSTSGICHSSNAHSVSASYRYGRFMIYVHAKGMIVDDEYVILGSANINQRSMAAMIDDEFMEPESLACMQSVNKLAEDNWRKYTSDDFSPLQGHLLKYPIKVDANGKVSPLPRHEHFPDVGGK >KVH88707 pep supercontig:CcrdV1:scaffold_555:97245:98937:1 gene:Ccrd_025985 transcript:KVH88707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CYQVGGAYWSSFQYAKTIDIRACNALLTLFPSHDVGHMPKLEKLVITSCTSMREIFEIEGVNEDIGDNANIGEGSGDTVTIPTPINMTSLELPNLKTLYIAGCHSLKYIFTASVLESLKKLQELKISDCSAIQVIVKQEDNGEHIIGLEDVVFPRLTTLTLVGLPSLKGFFLGKNDFQWPLLDKVEIYGCPQMMIFTSGRSMASKLEYIHTGVGKHSLECGLNFDWTNAPHEGQLCKSSTCSTGADIIKLLQFPWSFSNLVEVHVRPFDVASRASSKAIFPSNELFNVENVGDIEEAEKVFEVVDGTNVETQSVDDVILISKKKQVIWKSNWWIPSKLSNLTRVSLAYCQKLEHVFSHGMAASLVQLQQLSIQGCFNLKVIVEAVRDATNEVVSFPCLKFLQLSLLYKFEGFCLGNDQAFQWPSLDTLIIETCPSMTVFTKGQSTTPKLEVIRTDFGWCEAKDDINSFIRKRTQEGYKF >KVH88713 pep supercontig:CcrdV1:scaffold_555:223704:225095:1 gene:Ccrd_025997 transcript:KVH88713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKTWKLPRFVQIHSLFTDLQHIRSHGYDLYLHCWNRWSRNYRLILSDIDQIKWLPVRVIEAIELVKTYDDYIILVDIYSSPPKFWKSEPEPSCSAIKILSKTFSSEWDDKLSLHCPNWQYISGQSIEDLKIWYYHVHYSDFFWNSEILASEDYSLLGETPTIKIYVPSAIAAYSFLKFYKMKLMQKTSGESFSRTKLSKD >KVH88712 pep supercontig:CcrdV1:scaffold_555:1867:2358:-1 gene:Ccrd_025979 transcript:KVH88712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIYAKAGRWKDFSKVRALMKEKGLIRTADCNYIEHGHMIHRFLLNDQAHLESESIYAKVDEVIKKIQKARYVPNSEFVLHDVEEEVKESLVSKHSEKLAIAFGLLVCNDSKPLTIAKNLRICGDCHNMAKFVSFVEKHKIIIRDTRRFHHFADGLCSCGDY >KVH96949 pep supercontig:CcrdV1:scaffold_5550:20547:32338:-1 gene:Ccrd_000958 transcript:KVH96949 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin domain-containing protein MGKDGVLGLILFLIVLYGAWFFELGFSVTVTYDNKALIIDGKKRILQSGSVHYPRATPEVWPDIIGKAKEGGLDVIETYVFWNYHEPVKGQYYFEGRFDLVRFVKTVQEAGLFVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTTNGPYQAEMKLFLAKIVNLMKEENLFASQGGPIILAQVENEYGNVEWAYGVGGELYVKWAAETALNLNTTVPWVMCSQSDAPDPIINTCNGFYCDDFTPNSPSKPKMWTEDYTGWFLSFGYPVPYRPVEDLAFAVARFFEKGGTFHNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGSIRQPKWGHLQDLHMAIKQCEEYLVNADATHQSLGLYLEADVYYRTSDDCAAFLANYGSRLDANVTFNGRSYFLPAWSVSILPDCKNVVFNTAKVVTQKSVGDATFASSASVSELSLSTSPWSWYIEKVGVWSNDSFTATSLLEQINTTKDTSDFLWYTTSIEADEKKDAFLLIQSLGHAALVFLNKKVIAFGYGYHDDASFNISEKISIAQGSNTLDIISMMIGVQNYGPWFDIMGAGIYSVILADLKNAKEDYSSKEWTYQVGTEGENLGLDKTDLANSSLWTQGSALPVNQSLIWYKSTFLAPEGKGPLSLNLASMGKGQAWINGQSIGRYWSTYLSPSTGCTTNCDYRGSYDAQKCQKKCGQPAQILIESYLMNNAMQVCMGKQKCSVPVSTGILGNPCPGVMKSLAIEALCSV >KVH96950 pep supercontig:CcrdV1:scaffold_5550:15518:19849:1 gene:Ccrd_000957 transcript:KVH96950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MKAVVITTPGGPEVLKLQEVEEPKVKDDDVLIRVEATALNRADTLQRQGKYPPPKGESEYPGLECSGTIEAVGKNVSRWKVGDEVCALISGGGYAEKVAVPAGQVLPIPAGVSLKDAASFPEVACTVWSTIFMTSRLSSGETVLIHGGSSGIGTFAIQMTKYLGVKVFVTAGVDVILDNIGGPYFQKNLESLGIDGRLFIIGFMGGVKTDANISLLLAKRLTVQAEIVSEVEKNVWPAIAEGKVKPVVYKCLPLSEAGEAHKLMETSTHIGKILLLA >KVI03333 pep supercontig:CcrdV1:scaffold_556:94689:102863:1 gene:Ccrd_018369 transcript:KVI03333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MTIEELREDEEEGPPSQIESEITTKPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVITTHEGVPEEFHGAILVGSRSFPFPWYQKVFGALAIAKMLSVPIVMSYHTHVPVYIPRYTYSWLVQPMWLVIKFLHRAADLTLVPSAAIAEDLRGAKVAAANKIRLWNKGVDSDSFHPKFNSQEMRIRLSNGEPDRPLIVHVGRIGVEKSLDFLKSVMERIPEARIAFVGDGMPAVFTGMLQGEELSQAFASGDIFLMPSESETLGQVVLEAMSSGIPVVAARAGGIPDIIPPDQDGKSGYLFTPGDLEDCLKKLVPLLQDTELREKIGQAARGEMEKYDWKAATGVIRNQQYNAAIWFWRKKREELLKPLRWLANCFLPTDTCRKITAPPNP >KVI03331 pep supercontig:CcrdV1:scaffold_556:129516:130922:-1 gene:Ccrd_018370 transcript:KVI03331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MPSSSSSSSSGSVAIISKCTVYPAKNSITKSLKLSVSDLPMLSCQYIQKGVLLSQPPMPFNHLISLLKLSLSKTLSHFSAIAGRLSTDPQGHVHILCNDSGVEFIHATATHHFTDQILLPNCDVHPCFKTFFAFDKTLSYAGHHQPIAAVQVTELGDGVFIGCTVNHAVVDGTSFWNFFNTFAEISKGAKKVTNSPDFSRENVFISPVVLPLPAGGPSATFSGDEPVRERIIHFTREAILKMKFRANNQIWNPQNSDSNESEIYGKASNDVNGKLNGALKPKSEISSFQSLSAQLWRAVTRARKFPETKTTTFRMAVNCRHRIDPKVDPHYFGNLIQSIPTVASVADLLSHDLSWAANQLHQNVVAHDNAIVRRGVKDWESNPKLFPLGNFDGAMITMGSSPRFPMYNNDFGWGRPLAVRSGKANKFDGKISAFPGRDGDGSIDLEVVLAPETMAALEHDQEFMQYVS >KVI03332 pep supercontig:CcrdV1:scaffold_556:211062:213616:-1 gene:Ccrd_018371 transcript:KVI03332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MNRATEIPSPSSYFRGSTLFFEGTKETEWTLEENKRFEDALALFDKETPDRWHNVAQLIPGKTVVDVINHYRKLEEDISEIEAGVCVVPGYSSSDPVTLEWVANNHPQFYSPGGKRSASNRLPDQEKKKGIPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTSRTPTQVASHAQKYFIRQLSGGKDKRRSSIHDITTVHLNDTRTKTQLPENDTCPKPSECTTLVTQPPKPQHHHSNMSKALYKWSLPNQQVATNMAFNLPNSSLRMAPLHGSSSYGSQYGHLGTVFQGNC >KVI08038 pep supercontig:CcrdV1:scaffold_5560:2181:20551:1 gene:Ccrd_013596 transcript:KVI08038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 VDFTPDFHCPCASIRNREGQISIVKIDVDEGVFGIRNTFGEGRFSILQQPQIILLKEGTDTSQGKPQLVSNINACMAVADVVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIVHPASKILVDIAKSQDSEVGDGTTTVVLLAGEFLREAKPFVDDGVHPQNLIRSYRAASYLAIEKIKELAVSIEGKSLEEKKSLLANCAATTLSSKLIGGEKEFFATMVVDAVLAIESDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADCDIFCAGRVAEDDLHRVAAATGGTVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFNGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHALADGEGALYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAAGMGRGRGGGRGRGMRRR >KVI07027 pep supercontig:CcrdV1:scaffold_5562:20790:23406:1 gene:Ccrd_014614 transcript:KVI07027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MVADIQIDDPTPSHLLKLSAIDSQLFLRFTIEYLLIYRPPRHHGPADRSAVTARVKDALARALVPFYPLAGRVRARSDGSSLEVVCRGQGAVFVESTADHTVSDFEVAPRYVTEWRKFLALEVTDVLKGAPPLVVQLTWLSDGSAALGIGFSHCICDGIGSVQFLNYFSDLATSCRYGSVVELKPRPKPIWERHLLDPTPYRLQTSQHPEFNRVPDLCKFSTRFNPDQLTPTSTTFHEWRVNELKSVAASTSQLSKSSLTTFEVLSAHIWRSWARALSFPPQQSLKLLFSINVRNRVKPSLPSEYYGNAIVLGCAQTTVKELTENGLGYATELIKDAKNRVDDGFVREVVESVSLNRASLVPDSVGVLILSEWSKVGLESVDFGLGRAVQVSPVCTDKYCILLPVPDDIRSVKSMVAVPSVAVGKYEYLIRAVP >KVI06851 pep supercontig:CcrdV1:scaffold_5563:27552:29226:-1 gene:Ccrd_014794 transcript:KVI06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVWYLKRLPSYIVNLLDKLLNELEDLESTVQVFEIASSMYPPILNFGYINDKIVFDWIKYEPPIEDERR >KVI06850 pep supercontig:CcrdV1:scaffold_5563:23674:24588:1 gene:Ccrd_014793 transcript:KVI06850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MASTRLLQPHLLFLLLCVFNVSFAARRLSDTLLQYHNGALLTGDISVNLIWYGNFKPSQKTIITDFITSLSSSTSHSQSQMEPSVATWWKKTDKYFSKSAKKPTLRIGKQVSDVDCSLGKSLTEKHLVQLAAKGEPSNAVNIVLTAKDVAVDGFCSSRCGTHGSSRSKNSKFAYIWVGNSESECPGQCAWPFHQPIYGPQVAPLVAPNNDVGVDGMVINLASLMAGTATNPFGKGYYQGDAGAPLEAGSACPGVYGKGAYPGYAGELLVEESTGASYNAHGTNGRKYLLPALFDPSTSSCSTLL >KVH99508 pep supercontig:CcrdV1:scaffold_5564:4564:17768:1 gene:Ccrd_022257 transcript:KVH99508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MRATGFFSSDHFTNPNTFIKILILSFFSLSLFFAVKHFSSDATYSQELSLPFSSSITNATTAVHVAFNSTTNSSPNSSSPPPNAVSPPPPRPPPENVVERTGIVDENGAMTNEFVIGDYDSSLLENEVDESSVERGGESDHKWEKIEKFRVCDESRREYIPCLDNVDVVSRLNSTEKGEKYERHCPEKGKELDCLVWYDNVPHTRLVEDKGGQNWISRNKDKFIFPGGGTQFIHGANQYLDQISKMVPDIAFGQHVRVALDVGCGVASFGAFLLDRNVTTLSIAPKDVHENQIQFALERGVPAIVAAFATHRLLYPSQAFDLVHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEDKLQQQWKEMEDLTGRICWELIAKEGYIAIWRKPLNNTCYLGRNLGVQPPVCDANDDPDNVWYVPLKACISQLPENGYGANVTTWPLRLHHPPDRLQSIEMDAILSRNDIYKAESKYWKDITFSYVNVFRWRALNVRNVMDMRARYGGFAAALHDHGVDCWVMNVVPVSDTNTLPVIYDRGLIGVVHDWCEPFDTYPRTYDILNAAGLFSIEQKRCNISSIMLEMNRILRPNGHVYIRDANSIIYRLEEIXKAMGWITHVFDNGEGPNAGAKLLTCEKRM >KVH02960 pep supercontig:CcrdV1:scaffold_5566:899:1705:1 gene:Ccrd_025998 transcript:KVH02960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MTNLKFNINDTSLVSKFTNRLRSLASARFPANVRQKIDRNLFFTLGLGTNPCPKNRTCQGPNGTRFAASINSVSFVQPSVVLLQSHFFDRSKGVYSPYFLISPLDWFNYTQNTPINTFVSKGTKVMVLPFNTSVELVMQDTSILGAKSHPLHLHGFNFFVVCQGFGNYNPKEDPKNFNLVDPVERNTVGVPSGGWVAIRFTADNPVAIDDIFSFHHFDQGYGSCIAIWGLKMALDGKLPNDKLLPPPVDLP >KVH02959 pep supercontig:CcrdV1:scaffold_5566:17832:19952:-1 gene:Ccrd_025999 transcript:KVH02959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MSGILFSFAALTLLLSVYCVGGTTRSYEFNVDPATKCDTTVPYEEHGYGEREISRHGIRQLRSGWADGPAYITQCPIQMGQSYVYNYTIVGQRGTLFWHAHISWLRASLYGPLIILPKLNVPYPFTKPYKEVPVIFGEWFNTDTEAIIAQAXQTGGGPNVSDAYTFNGLPGPLYNCSAKGISKRMQIGYVHSRIMNAELSFLELADTFKLKVKPGKTYLLRLINAALNDELFFSIANHSLTVVEADAIYVKPFSTETLVLAPGQTTNVLLKTISEFPRANFLMSARPYVTGQGTFDNSTVAGILEYESSIPMKNLPLFKPXLPSLNDTLFVSXFSNRLRSLASVKFPANVPQKIDKHLFFTIGLGTNPCEXNKTCQGPNGTRFAASINNVSFIQPSVALLQSHFFDQSKGVYSPYFPINPLHWFNYTGTPPNNTFVSXGTKLMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYNPNKDPKKFNLVDPVERNTIGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLPNQKLLPPPADLPKC >KVH96922 pep supercontig:CcrdV1:scaffold_5569:20939:29252:-1 gene:Ccrd_000985 transcript:KVH96922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MMVAPDEDDNSNCFFDFSLIEQIPVPGGELPSLESDFHWSSNPFHGPSNLSSAGFLDSAGTPDCSKELGSRKRFQELNEILDPGRPPKTDKMVILGDAIRRVTQLRDEAAEKNELRDEKQKLKAEKERLEQQVKAFSRPPATAAFYPPPPHSAMPIPFPPRPIVDSKFLPVMGYHGVPMWPFASATAVDTSEDHVLRSPLA >KVH96979 pep supercontig:CcrdV1:scaffold_557:72785:78735:-1 gene:Ccrd_000923 transcript:KVH96979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIHPLPVRLQVLSSKFNTLSAKSFIFLPSIPLKPPPISLTVRVRSAPTPPPPETQRYILDILDGEDVKSLPCVRTYENDLGRLTVVGDVDLEQALIAAAADGGEAADEHIAHGLDVMVAETVFPGHSDEHSTISTRLFLPARKVKERAKDLKSYLTEDILSSTTSTNILAMTFRQVTLRQIWSFELLFFRPGTARNMKDLGNIREVPASFTFSSSDEQAISMIAEVISISALENTKKDFLDNSLGRSSFDIFRLFQKPEKFFSKDSSVILYKVLEDEVVQGAKSLLERFNSMKSSYKPKEKASKFNWWPWPVFSKLEKIGGPEFSAWASEYVPAYRLQIDSDKLRDLKFEGWKESAKNRWEVLLTHSQMVCLANILDMYYEDLFTLPDKKLPYSAAANTNMQIKKTSNSLLKMLSTVIVSGCFLVTVGAMGQIYMPHFRNGQKHPRGNQWSQSSYTGSIRLWSIESTMLEDVCVSIVKKLQDVYGWSGEIRKESGGGVSTGELPDYLRRMIQGNSSDTDIAPTPTNIRDEEILASGHKIASYQIVLSSEGKIVGFQPTSLLAVNNWASNPLTEELYGRKKLSPGFIEPVLKIKQPTEVVLLELVMAEISGSHFVLVRPVNEAE >KVH96982 pep supercontig:CcrdV1:scaffold_557:162579:162952:1 gene:Ccrd_000927 transcript:KVH96982 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb1, domain 1 MEDRKVGKRKDFLLRRMELAKHFIRTNIEPKWMTNNIDADKLVTSDINEIYIRISYQNNTLTDLLTSIATPEELIISQEKLLQEAXDALLDNGICGQPMRDDHNRVSPEMA >KVH96980 pep supercontig:CcrdV1:scaffold_557:46526:54721:-1 gene:Ccrd_000922 transcript:KVH96980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase substrate, phosphoprotein PP28 MGRGKFKGKPTGRRQFSTPEEMLAGTSSRPRTFKKMMVGETLANYAWSFLDCSIIYNSRPLEGGTTAKRIKKESQLSTAIEDEQHSGTAEEAEVEEVERSDEESEESSEEDSDRKKGTQGIIEIENPNMARPKTLKARDVDLEKTTELSRREREEIEKQRAHERYMKLQEQGKTEQARKDLERLALIRQQRAEAAKKREEEKAAKDQKKAEARK >KVH96985 pep supercontig:CcrdV1:scaffold_557:95609:97594:1 gene:Ccrd_000924 transcript:KVH96985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEASCRICQENFSTTITALTEPIDIYSEWIDECERVNALDDDGVPVEDD >KVH96978 pep supercontig:CcrdV1:scaffold_557:167004:174200:-1 gene:Ccrd_000928 transcript:KVH96978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 MLGSKTQTMIGRPVLPEAAVHAVVEEHALDAKVIIFKKKRRKNYRRKKGHRQVKLDQFTELTKLRITDIQGIEKPEMPTSAKTEKAAAKKVEKAAVAA >KVH96983 pep supercontig:CcrdV1:scaffold_557:105009:110714:-1 gene:Ccrd_000926 transcript:KVH96983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFVSDFDSYSESSSSDDQEDDEFMYGGQASCILLNLQETIGKIDDFLLFERKYVHGDIVCLLKDPYSQMGRVTNVEMVVDLENLNEKKLNDIDSKELQRIRSISTGDYVVSGPWVGKADQITDFVTVLFDDGTRCEFTITGMEKLVPVSPDMVDDYPYYPGQRVKLANSTISKSTQWFCGSREVKHDEGTVCTIDAGLVYVTWLGCALFGSESQPVPPSLQSSKDLTPISCFTHSHWQIGDWCTLPKIRHSGVHNQSSKSPEFQEIFVIAKIRSKIDVLWQDGSESYGLDSSSLGPINTLDAHDFWPHQLVLEKGTCDDQNDRKWGVVKVVESKEKTVKVKWESETEGEDMEETVSAYELIEHPDYTYSHGDLVFRLHKGQNFEDTEQFYSNCHLARIGTVAPHEIFRVEKSEGISATPLLNNDNIEPKLEKSEHDNHTPDSREKDCLKTIYDSTTFNVSRAAIGFLSNVATRLFGSPLYTSLPVASDHVPGNGPVSGFDSEEDDQMFVETSTEAEFKETEESKGVSVSSATKTMKEIRQFDMVNDCSNHHFIDSPGKGSISSQVKKCWLKKVQQEWNILANDLPETIYVRVFEERMDLIQAAVHFEALVEEHFRERCMHILRACKAYLEGVPIGCAFGSQPVELEAQKGNSTGFKIMLAKLVPKLVEAFTSKGFDCANLVTWHGK >KVH96981 pep supercontig:CcrdV1:scaffold_557:42614:43541:1 gene:Ccrd_000921 transcript:KVH96981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase DRFLPVHRHHRFLRLLHERYFNLPLTSQEKEMGAYQGDDDYDYLFKVVLIGDSGVGKTNLLSRFSKNEFSLESKSTIGVEFATRSIKVDDKIIKAQIWDTAGQERYRAITSAYYRGAVGALIVYDITRNVTFDNVERWLKELRDHTDQNIVIMLVGNKADLRHLRAVQTEDAKAFAEKENNFFMETSALESLNVENAFTEVLTQIYHVVSKKALDIGNDPMVVPKGQTINVGGKDDVSAVKKAGCCSN >KVH96984 pep supercontig:CcrdV1:scaffold_557:102264:106577:1 gene:Ccrd_000925 transcript:KVH96984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MTSGLVLTTTHLPFNSNADKFPQFYATCSSPRFRILCSSSGPELTLPTGTIGNDGLVTGGAYDFSKATTSVTHKLLSAPKQVTLVRHGLSTWNDESRVQGSSNSSILTETGIMQAERCKKALVDIHFDQCFSSPISRAKSTAEIMWAGREESLIFLDTLKEAHLFYLEGMKNNQSLGKLADADRVSTPLSVDRIQIRLTHYMSSLGENFLVVTHKSMLRALICAALGLSPERFRAFDVNNGGISVFKFNKQGEAMLQSLNMTAHMYTDHTYLY >KVH02836 pep supercontig:CcrdV1:scaffold_5572:15055:19489:1 gene:Ccrd_026000 transcript:KVH02836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MEKCVTPTNSKAEDVEYKLFPESLNAKAEDPFPESLNAIPPRIASGSIPGVSADMYMKDNRQWKKRVNAYKRIHRIIASGRYPNIMDMNAGFGGFAAALDSPKLWTSSTTFPVPHLVSHTTAMAILILEILEPSRSESVGSSIESPAKIDVTSPRVPCTSCRTSTWLKVKKTEAESMLATTSKTTGCGVRRQLAGE >KVH02800 pep supercontig:CcrdV1:scaffold_5573:20002:27251:-1 gene:Ccrd_026002 transcript:KVH02800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVLFLNAPILNAKLSQSFQHKILYLSEEIKGFAERIKGILNAIDDGEINAVAYDTAWVALVQDVNGSGRPQFPSSLEWIVNNQLPDGSWGDCVTFLAHDRIISTLACVIALTFWNFHPXKCQKGVEFLKENISKLDTENEEHMTCGFEVVFPSLIDIAKKLNIEVSEDSQVLKEIYARRNLKLAKSFTCTPLFFSVTNTENDRNGCVFVTKRIPKNIMHKVPTSLLLSLEGIPDLEWEKLVKLQCKDGSFLLSPSSTAFAFMQTNDENCLQYLTNVVTKFNGGGVTSILIHEHMLENSIGFDDVFSDKFKINEVFVTDVFRQFEKDGKFVCFVGETTDSVTVTFNLLRASQVLFPGEKILDEAMKFSYKYLKEKQSSNELLDKWIVAKDLPGEVGYALDIPWYVSLPRLETRCYLEQYGGEDEAWISKAVYRLGNISNNTYLEMAKLDYNHCMVRHQLEWNSMQQWYVDFNIERFGMSNITSLLVAYYLAAASVFEPERSNERIAWAKTTALVDAITSFFDSRQLSKEHRRDFVDKFRNTPSSVRPAKCWEMWLTRWLEEADAIEGQAELMVQTMNMIAGRWTSMELFAHPQYRQLSTVTNNLCLEISGSNQSKSETMKAKREHIMQELVQLVFSNSPDDLDQDLKQTFLTDGEC >KVH02799 pep supercontig:CcrdV1:scaffold_5573:18356:19982:-1 gene:Ccrd_026001 transcript:KVH02799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MGKLDYNNCVAIHQLEWNTMQQWYVDFDMGRFGMSNTTSLLVSYYLAAASVFEPERSIDRIAWAKTTTLLNAISSFFDSLQLSIEHRKDFVDKFRNKPSSLRTLHELALDTLMACGRDIHPQIPHACRKLWERWLTRWQGGGDATEEQAELMVQTISMIDGRWTSKELLAHPQYQRISTVTNNLCHEISQSHKSKENRITCFDSETANATIECRMQELVQHVLSRSPDDLDRDLRQTFLAVAKTFFYKAYYDTDTINVHITKVLFETVL >KVH89641 pep supercontig:CcrdV1:scaffold_558:74623:89454:-1 gene:Ccrd_008366 transcript:KVH89641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNQIFRNTDFTCRWRFRHVIFDASEFPMHSGKALSSFPVSLRVVEWSDGLHVSPTIVGYLEHSREIMEASNTLQKGYFVKS >KVH89644 pep supercontig:CcrdV1:scaffold_558:134938:141749:1 gene:Ccrd_008368 transcript:KVH89644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFIYMINNRIWSMHPRYKMLAPRQMLTRALLLQHFRQSSTAAALVQDHEEVPLTYLEGFPKPDPKHDEIICALPRALSGKNISAKERKAGRVPSIVFEQEDGQHGGNKRLISVQNNQIKKLVNHLGQTYFLSRLFELEVRPDLESEDIIEKVRVLPRALHLQSDTDAVLNVTFVRAPSHALLKVDVPLVFIGDDVSPGLKKGSYLNIIKRTVKFICPADIIPPFIEVDLSELDVGQKLVMGDLKVHPALKLARSKDEPVCKIAGARVSEQRKSK >KVH89642 pep supercontig:CcrdV1:scaffold_558:17979:18552:1 gene:Ccrd_008363 transcript:KVH89642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTILINGFHSEGDVMAKYVRNIFHKPFCNVVLYTTHMSICRKQIKGFAKFRLVSCGQSFSGFSYGKGECGFAQFPTFRLEAYDNIFYFDFSMTYVGTGMICLHISFSLLFGVVMSWGIMGPLIEKQKREWFPSNVPESSTKNLNGYK >KVH89643 pep supercontig:CcrdV1:scaffold_558:212236:220544:1 gene:Ccrd_008369 transcript:KVH89643 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein MPLHKRKPFSLLERPDDLKPEELVFQVRFTKEIFRDYREYLKRINLYRQRVWTCKITGKSNLTYEEALVSEKQANEKVQQFPKELMEPVLRDVQFSMLTLRDLVSTVAAKLQERLLEGTEIYGRKNNRIYPCKIVKVIDEEVEKTQYQVAWLDKDKKVTENAVVSGEDLIKKKLPFGRDVLKSFIRDSTCRSVPWVLHDKLAVKYGISTNPPEELRSKILSKSERKQKKLCDDAHEEDDVPIKYPIDDLLVQPAADDPVFIPRPPPTRDFNIPMECVGDVLMVWDFCCSYSKLLNLSPFSLDDFENALCHKDSNVVLIVESQSALLRLLIKDNGDYFIALQKKKRKPKITLVTWTDYMCDFLELIGNAELSIHISTIRRGHYGLLDIHAKVSIFLELVTQALATDIMREKLDEYIEERQALAAKRRGEALEEGRKRRERKELAKAGLNGKEQNDPARNGSVHHGQNSPNGDVAKKQGNTSSARQRYRSENSGKKQGKTSEKDVKTPKIDAEPTIASIKASTGKGSKKLMDKEYLEREIEKRFIRTNPLGKDRDYNRYWFFRKDSRIFVESSDHKQWGYYRTKSEFDCLMGSLNRKGERERALKKQLEKRYNKISGEMQKTSKEAQRIQMEIEAAVRRSSRVRAPPRDNPARAFLKYVNKLKED >KVH89640 pep supercontig:CcrdV1:scaffold_558:77165:89332:1 gene:Ccrd_008367 transcript:KVH89640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLYEDCKSLLEQVNLQEKELRHKRRWLIGLPTTRSETGKLKGSKFQTERPIPEYLLREDDVSYETIKSFVEKLFEEYNSKEKRHILEDDMQLIHSPRDLIGLFSLINDMTNQGLLHFAEVLTGGSIKFEKTRWKMKQIIKGCLSEEFSKQENTRMINLSENHSLLLKDPHNFCWSCESRFIPDSSSYHAAVHKILNVLEDLPTQSLSAMHRKLRGIKDYMPKLIPKKSGWGRDTLIKRLRKKCLGLLSKLSEGDSLQEPLAKAMEVAGLTLKLIQGRHYITNFKQFSPEISVLQNDIAMSIQLLDQRVTFSALEDIQVLLDPKAKLPERTLRASIRNLLTEYLFECSDMDTVPQCLLEVLAITRKGSEAPYKHLAEMKIEEEVECILSVSASIKQVLWDLIPEHGLDLEFVDAYMEDPEESDGDDMWEDIELEHVQNKMSHSCNSDEEIASTGEMEQANFNSAENSKCMAESSSTKSYSALLSTREVSNPQHTRYHLVSLRNTEADMNNQDSTLSSSPDRLFRSSVGGQEVTHASEKNPELDSSNFSPGERRLMFDNQSMCNNQYLAIQAASDEASMVAYRLIGCMLYDFAQTEGCELKSHDVSYLGARRYDLKQKEQGAARKGPATHEKDGCSILIKAVEELIPSCAKCEADRLKELMGSKVVRQ >KVH89639 pep supercontig:CcrdV1:scaffold_558:19399:20757:1 gene:Ccrd_008364 transcript:KVH89639 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MRYKVELGHAANNGLDIVVRLLEPLKEQFPIISYGDFYLLAGVVAVEVTGGPNVPFHPRRVTMGLDDKDIVTLSDGHTLLPTMSDLVLKDHGLPLIFDKRYFTELLAGEKEGLLKLPTDKALIAYPVFRPLVDKYVVDEGCFLCWLCRIPHKRFVFVRMIAEAINTNVLHR >KVH02541 pep supercontig:CcrdV1:scaffold_5585:24029:29104:-1 gene:Ccrd_026004 transcript:KVH02541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMSYSNLESFDMSDGNPQQPGTRQKLSGSCSKSKRLLRSLKILNLSFCEQLRSIGGFFEFPALERLILSNCSSLIEVCESIEQYDGLDLIDLSYCNNAGKLLRMINKVKNVKILNLDGCNLGETPIEMRNDVEEKLNRNNIGMNSQTSSSAIVEAIPRGFNSYLIYLPSSLVCLQLQDCKLSNESFPEDMSSLSMLKELYLDRNLFVSLPNWVRSLSRLEILGIGKNDRLESLEHPPPTLKDLIFDFDGYGEATFNREMSPILLTCRMATYDWEKFEGICKKEDMEDVEEKVLLSLGWSHLVNLDFTKIQPTESGSVEMVYEFGIFSTWYVGKEMPDCISDRRWKGSSISFTIPSSPCNLRGLNFFCVITPGKLTNYGDFLTSLYLKISNITKMCTWIYPALKYSRVSREGITYLSHWMFGKKEMEDGDQITIFVSDFYGRRECGVSFVYDEDDGLGYYKSWNHIIGGDLSPFQTTTPGEYHLHRNRFNGGYHINYVGEYKHNHFLVGLLPQPHAFVISKVTNTSFVE >KVH02540 pep supercontig:CcrdV1:scaffold_5585:7845:9390:-1 gene:Ccrd_026003 transcript:KVH02540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGSCSKGKQLLRSLKILNLSFCDQLWSVGGFSEFPALERLILSNCSSLIEVCESIEXXDGLDLIDLSYCNKAGKLLRTINKVKNVKILKLDGCNSGETTIEMRDDVEETLNCNNIGMNSQTSSSAIVEAIPRAFESYMIFLPSSLVCLSLANNNLSNESFPMDMSSISMLKELYLDGNLFVSLPNWVRSLSRLEILGIGGNDRLMSLEHPPPTLKKLIFGFNRDSVKLKATFDREMSPILLYHSIIYPFSEGNIEGMVKEEDMRDVEEKVLRSLGWSHLVNLDFXKIQPRNHRGVKRXVKMVYEFGIFSTWYVGKEMPNCVSDRRWEGSSISFTIPSSPCNLRGLNFLCVFIPKEFITSEGEFFAYISSIRISNITKMCSWIYDVQRLFKGSREGITFSSHWMFGKNEMEDGDQITIFLLDDEDDILKECGVSFVYDEDEDEDEEVLGYYKSWNHIIGGDLSPFLYTTPGEYYLQRFRFMSGFPWHDNSFD >KVI07874 pep supercontig:CcrdV1:scaffold_5588:8622:26085:-1 gene:Ccrd_013761 transcript:KVI07874 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRO1 domain-containing protein MGCFVSTPKDSGGKRRRPGNIGEVAVFVPGLRIPKPVDFSESLGDHLCKSLVERLSALRTRIVVMAGQEAPSITRTRRRSATQHGGSTLGDLLQALEDYLPVLLGLVKDGSPLQHKVQFTWINQEDDSEEMGMYSAWYEVLSVLHLMAALSLSQANLLLLPRTSTDGYLPKVSEESRRSAIDILLKAAGYLDCAVRHILPQLPPELRRDLPVDLAEGVLRALCLQALGQGVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNITNLPLANGWGEKHRFYIKWKYIEAKAGAYYYHGLILDEGNTEKSHGMAVSALQAADEYLKESKRACEAFNTAVPLSRIMETAPTLPDFALALKPDEYQLPDVDASWNHENANKGHHKD >KVI02403 pep supercontig:CcrdV1:scaffold_559:115425:120288:1 gene:Ccrd_019304 transcript:KVI02403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MVRLKEVIVTFFNNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQKQVARLGVAKDLGDSVGFLAGSLSEVLPMWALLLLGAIQNFVGYGWVWLIVTGKAPMLPLWVMCLLIFIGTNGETYFNTVALVSCVQNFPKNRGPVVGILKGFAGLSGAILTQIYALVNSPDNASLIFMVAVGPFMVIIALMFIVRPVGGHRQLRLSDGSSFTLIYCVCLVLAAYLMGVMLVEDLVDLNQTIVQIFTAILFVLVVVPIGIPLWLTFSSDPRTPEEESLLSEPQNKEPERLLEHDPNEIIFSEVEDEKPREVDLLPASERQKRIAQLQSKLAQAAAEGAVRIKRRRGPHRGEDFDLTQALIKADFWLIFISLLLGSGSGLTVIDNLGQMSQDYAYPRPVTMAVAQAIMAVGHLFFAMGWPGAMYIGTLLIGLGYGAHWAIVPATASELFGLKKFGALYNFLTLANPAGSLVFSGLIASYIYDSEAEKQAQQRHQLVSNSTSLLTKLFDTNDDVLKCEGSVCFFLTYMIMSGLCIIAVILSLILVRRTKVVYQNLYGKTRS >KVI02402 pep supercontig:CcrdV1:scaffold_559:120216:122661:-1 gene:Ccrd_019305 transcript:KVI02402 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAVGCRKSLLYCFIMVVVLTGKGKGQLVQNFYASTCPMAEALIKQAVTTKFSQTFTTIPATLRLFFHDCFVEGCDASIMIASPNGDAEKDAQDNLSLAGDGFDTIIKAKQAVESVCPGVVSCADVLTIATRDVVSLAGGPLYDVELGRRDGLISQASRVAGNLPEPFFNLDQLNSMFARHNLTEIDMIALSGAHTLGFSHCNRFANRLYSFSSAAAVDPSLDPTYAQELMDACPRNVDLSIAVNMDPETPTTFDNVYYRNLLAGKGLFTSDQVLFTDSSSQPTVNDFANNPQDFNSAFITAMRKLGQTVLKIPPLRYN >KVI02421 pep supercontig:CcrdV1:scaffold_559:43850:45691:1 gene:Ccrd_019291 transcript:KVI02421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MEADQDPSNLHLHDDTLTQQIDRFISSHDPPDHILESVQCFSLLFDEEVVQYESGTKKWTLHETSSFLVSVERTSKLLHSLSRFQSQDDYAAAINQISGIHQRAMSFMEDEFKSILDDYQTSHDRDQKNLDEAASSPSDDQPQPDDQPVDDNSKDNFLGYSDDMISNLKKLAKGLIGGGHQTECSELYFFVRRNAIEHNLKLLPFEKFSIDEMQKMQWEPIEKEVSAWTKMFKDFTTSLLPSERRLVDAVFSSSSSAISDSLFGNLARIVLFYFLTFAEGVMMTKRAAEKLFKFLDIYEALRDSIPQVEKYLSDDWLLQLRSVASLINNMLGDAIFTIFGELENSIKADTGKTPVPGGAVHPLTRYTMNYLKLACEYRDTLQQVFREHKKIIDRADSATGSDWDPSSEEVTQNVDEDHGSSFQLQLGRVMDLLDANLEAKSKLYKDPSLSLIFLMNNGRYILQKTKGTGEMRSLMGDPWVRKRSSDLRSYHTNYKRETWTKLLQCLSHEGLSVNGKVMKPVLKERFKSFNAMFDEIHRTQSTWVVSDEQLQSELRVSISAIVIPAYRSFMGRFSQVFTPGRQTEKYIKYQPEDIETCIEELFDGNAAQQGKKR >KVI02425 pep supercontig:CcrdV1:scaffold_559:67378:68101:1 gene:Ccrd_019295 transcript:KVI02425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSGDVLLIKLALQVLRTDASLAQLIPSTEVLGEAALNLQSILTSAPAHDTTTSLKPQEEDVNKGKEKKEG >KVI02411 pep supercontig:CcrdV1:scaffold_559:32997:38609:-1 gene:Ccrd_019289 transcript:KVI02411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase HFNTLSRKTPSFFLSLFSSLSWLVGQSNPELNYPPFFSSDSDCFPFFLFVNLSICLLELVEYRLLCFICFLVSRAHLHSNLLLKKISTPDLNSQKQKIQKKNMMYVVPPPKRSDPLSGSTNGSDNLRIYQTWKGSNIFFLQGRFVFGPDVRSLVLTIFLVVAPVSVFCVFVARKLMYDFDDHWGVSVMVVAVLFTIHVLVLLLLTSGRDPGIIPRNAHPPEPEGFDGNTEGSAQTPQLRLPRIKEVEVNGAIVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFSFCWIYVKRIMDSEDITLWKAMIKTPASIVLIVYTFISVWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGLVENFGEIFCTSIPASKNRFRGYMPREAGGIGGRSGSVGGGFVSPNMGKAVEDIEMGRKAVWGGGIEGQASDNEGGNMKEVGMGELSPEIRTTVDEGDRANIIHARRSSWGRKSGSWEMSPEVVALASRVGGGGGSSSGGAAVSSRPPEAKS >KVI02427 pep supercontig:CcrdV1:scaffold_559:173806:178618:1 gene:Ccrd_019313 transcript:KVI02427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MLRFGNAVTTNINPRAFRCVFLSTTTPKFCNSPITDIGISSKFPIMSMAVAAKIAPSMLSSDFANLASEADRMLRSGADWLHMDIMNRLIQYFLLFVAIFSKGVGYEIIHFVPNLTIGAPVIASLRKHTKGYLDCHLMVTNPLDYVEPFGKAGASDNWQELVHQIKAKGMRPGVALKPGTPIEEVYSLLQGEHRVEMVLVMTVEPGFGGQKFMSEMMNKVRTLREKFPTLDIEVRPSTIDDASRAGANCIVAGSSVFGAADPAEVISLLRKSVVEAQKCS >KVI02432 pep supercontig:CcrdV1:scaffold_559:167951:171252:-1 gene:Ccrd_019312 transcript:KVI02432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSIHHKASAMKVQVSFDSSKPDHKLVIQSSPMSGKLPMVNGHVAVKPQLPPSQSPPAFSDYGSKEETFFDSQAWLDSDYEDDFMSVNGEFTPSRGNTPVHHSLTVGTPQSNGRAIAIPPDNNLVHGSTLEPSPSPTPTEKKMRLLDLFKESLREKVEEIDEPPKKDGEMGLNKDGLKPKRGTSMQGCFSTLLSVRSVGRQKKGCRVIN >KVI02399 pep supercontig:CcrdV1:scaffold_559:99646:101410:1 gene:Ccrd_019300 transcript:KVI02399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3339 MFILLSPGLLFQMPARTRIIEFGNMYTSCIAILIHALLYFCIFTILVVTIENTIIQFGLGGGGGGGGVGEKVEVRLQVLDDGGVEGGGGGDEG >KVI02400 pep supercontig:CcrdV1:scaffold_559:105698:109780:-1 gene:Ccrd_019303 transcript:KVI02400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MDPDALSKAFVEHYYSTFDSNRPGLATLYQDTSMLTFEGQKIQGSQNIVAKLTSLPFHHCKHTITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSFYVYNDIFRLNYA >KVI02401 pep supercontig:CcrdV1:scaffold_559:103018:104563:1 gene:Ccrd_019302 transcript:KVI02401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MHDWAAPLISSTLCAFLAPGPIIQMSGKESAIDLKVDTLSFVKRVAGVSEAVRQCSDALMLSGESAMGSYGLKAISVLRMASTRMELWSHEVNRVQKFLPPLGVSLLDQIAEQICNSNKLEVDAFFMYTKHGEMASLLSRNRPNPPIFAFTNENSTRMALNLQWGVVPIAFDLSDDMGANISRTTDLMKAKGMVNVGDAILVVSDVILACVTPAVYQSLKVIVIE >KVI02426 pep supercontig:CcrdV1:scaffold_559:66699:74221:-1 gene:Ccrd_019294 transcript:KVI02426 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MEDEYAKLIRRMNPPRVKIDNSSSPDATVIQVESVNKHGILLEVVQLLADLNLIIKKAYISSDGGWFMDVFNVICQDGIKIRDEGMIHYITKVLENDAFYVPSLNGSVGLKPSEDYTAIELAGTDRPGLLSEVSAVLTHLGCNVVNAEIWTHNARAAAVVHVTDDKTRYAVEDPKRLSTIQKLLSNVLKGNNDLKAAKMTPGFTHRQRRLHQIMFAERDYEKSEEEEEEAEEEKEDGSRPQVTVLDCAEKGYTVITMRCRDRPKLLFDIICTLTDMQYVVFHGVVHTGEMEAYQEYYIRHVDGTALSSEAERERVMQCLEAAIERRTSEEAVELELCTEDRVGLLSDITRIFRENSLCIKRAEITTEGGKAKDKFYVTDMTGNGPVDPKTIDSIRREMGQHTTLDVRWKCSELAKPPAEETRMSFLRRNTVCYAYAHMYMKPSTSELANRISRALITASDQSTWTSLLEQSLHGIGCRHSLNPSLVSRVIDPFLIHHPSLALGFFNWASQQPGFTHTSISYHSILKSLSISRQFTALDTLMKQVKTLNIQLHPSLYRSLIASNVAGKKALNAFSVFNHVRCLIPDIGDHTCNSLVAALSSARNMGYAQQVFDEMTTRGVRVSTLGFGVFIWRFCITAELDKTLCLLDDVRRQDSGVNGSIVALLVVHGLCSASRVFEAMYMLDVLRKRDCKPDFMAYRIVAEALRATGNVVDVEKVLKMKRKLGVAPRASDYRDFIFQLISERLICEAKDLGEVIVNGNFPIEDDVLNALIGSVSTEDPCSALMFFKFLVSRERLPTLLTFTNLSRNLCRHEKHDELVQVYKILSWNQYFVDIERYHVMVSSFCKAGKVKEAYQVLQEMKKEGVGPDISSYNLVMEACCREDLVRPAKRLWDEMFANGCEANLKTYNILISKLSKIGQVKEAHRLFCHMLERGLQPDATTYGFLLEGLCQENQLETAFEVFKKSFEQDAVIAKDILREFILYLVREGQYMAASNLVHDYTTTIGHSESNMMLLKCLVDAGEVVVAIEHMKRVGEMWPSMLNELHAELLSWFTSSPKPQPILEFIQAIEGLQQNHFAFARCKERKNSSMESLIIFFVFSLLLTVWISLQVDASKPSIPHISVVGVVYCDACSNNSFSHHSYFLPGAEVRIDCRFNAASPRTAEQISFTVNRTTNRYGVYKMDIPSVDGINCAREASVLNTCRASLIRSTSPECNIPASITTSNQFSVKSKQANLCIYSLYALSFRPSKKDLAICGK >KVI02431 pep supercontig:CcrdV1:scaffold_559:183967:184260:-1 gene:Ccrd_019315 transcript:KVI02431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAAAMMDSDGDGLLSLEDLVNVVEGANEEEKVNDLKMAFKMYEETEGSGCITPKSLRRMLRKLGESRSFDDCKLMIAKFDVNGDGVLSFEEFREMMS >KVI02420 pep supercontig:CcrdV1:scaffold_559:49040:55365:1 gene:Ccrd_019292 transcript:KVI02420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFNPQILVEKLAKLNSSQQSIETLSHWCIFHMNKAKQVVETWARQFRCSPRDQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALHNVMDNTDESGRNAALRLVRIWEERKVFGSRGQILKEELAGRHLETSNKNEKHSGLKLQHSAGNALDKIVSGYQIIYGGQLDEDAMISKCKNTISCIEKVEKEIGGDLRSAQVNVEDFKGHHSTLRDFIEQLSAVESSRINLICHLREALQEQEMKLDQVRNELKAAHTQSEKADNLCRQLLNPGVTKLLPEQGTNTSKVLPNYGEQSAPVMYTRQIPVSEQSEENTKSAAAAVAAKLTASTSSAEMLSFVLSSLASEGVISSNSVKEKEYPPEKRAKIDQNDVKVPPVAEQQPPLPSCPPPLPPMPPMVPLYPVPPFMQTAGSVAYGYNTNQQAPRALPGYPSVGAPVINSGSSYTCPPPPKGYQNYQIEGGFCSQPTTPISRQ >KVI02405 pep supercontig:CcrdV1:scaffold_559:127755:132836:-1 gene:Ccrd_019306 transcript:KVI02405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIPEDPLLAPNPDRFCMFPIHYPQIWEMYKKAEASFWTAEEVDLSQDQRHWDSLTDDERHFITHVLAFFAASDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLETYIKDSNEKSRLFRAVETIPCVEKKANWALRWIDGSESFAERVIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLKTKVSEERVKEIVSDSVVIEREFVCDALPCALVGMNGDLMSQYIEFVADRLLVSLGCGKLYNAQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSNLNGKGDAHVFKMDEDF >KVI02397 pep supercontig:CcrdV1:scaffold_559:141991:146100:1 gene:Ccrd_019308 transcript:KVI02397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 THRLTAVEETHHHSSEPDSHQSTIVINLISNFRFFISLMAVPSSNLTAKSSPLCFGFHVAGNKPFLPSSYAKFGSLKKNRDGGIQITVCKAASPSSSSLSFRYLDADDFRHPLDKQNTLLLRAIPGLNEIGKALLGSIAEQVLVLENIGTSVLVSENQLSELHQLMVQSAEALKIEAPDLYVRQSPSPNAYTLAINGKKPFVVVHTSLIELLSRKELQAVLAHELGHLKCDHGVWLTVANFLTLGANTIPGVGGMIAQRLEEQLFRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKASASPVGWYIR >KVI02413 pep supercontig:CcrdV1:scaffold_559:3886:7319:-1 gene:Ccrd_019283 transcript:KVI02413 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MERNEACALRAFAGFDIGLGINFGGVQDNTYKTKKPAAAAAAAATATATDRDLVAAARFDFLKKKRMPRFRRGASAALRSFRPFTTPLSSTVSSSSFGLYLTCLWFLTHLMLSGSDNVDLIVDQGRLTFLFSKKLQKSDVGVLKRIVLPKKPAETHLPALIAKEGIILEMDDMDGMHVWCFKFRFWPNNNSRMYVLEGTGEFAEEHELQLGDYIMLYRDSVNLNYVIQAVKAYEVEEYAKKEVKANLGSQGKSGKPQPNNGVLMNQNWPWGGLEVEVLNPTNYPMNASTVEDQMGMTFIYDTSYSNDTLTPLDFLGGFMTSYPTVQPNFAIENLSIDDLYKI >KVI02423 pep supercontig:CcrdV1:scaffold_559:84451:88935:-1 gene:Ccrd_019297 transcript:KVI02423 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MLLSPERVGIVFQFPERYFVADNVFDEVTFGLPRQNSDLKVKEFIAKRLERAITSVGLTGISLDKSPNSLSGGYKRRLALAIQLVQTPELLVLDEPLAGLDWKARADVVKLLMDLKKELTILVVSHDLKELAPLVDRSWRMDVGGVLTEKPLPI >KVI02396 pep supercontig:CcrdV1:scaffold_559:148014:148485:1 gene:Ccrd_019309 transcript:KVI02396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLDLKKTIXWRVSLLRRCARSLWHQILACWIGKSICYRHLLHSGSGPLIPTSHRRPRRPERTTTAMDVATVYHQFPSVEMTASSGDGLASGEMTSSCGGSDHDKEVSDLVALKISLLDYVFKKS >KVI02429 pep supercontig:CcrdV1:scaffold_559:209835:217590:1 gene:Ccrd_019317 transcript:KVI02429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MMVFGHSGTGGGFLPGKQQVFPVDYEADVSQRLLQASSSGDLKSAFDCIDDPYVDVNFVGDVSLKVRMAEVHCRDESENVVRFEYKDFKTDVTALFVAVHTGNTTLVRKLLNRGADVNHKLFRGFSMTAAVREGHLEIFEILIKAGASQPCCEEALLEASCHGRGGKFIELLMASDMIRPHIAVHALVTACCRGFIDVVDVLLKCGIDVNASARVLLCSSKPSLHTNINCTPLVAAVVSRQAGADVNIKVQLGAWSWDMGSGDEFRVGAGLAEPYAITWCAVEYFEATGAILQMLLQHISVDTCHNSRTLLHHAVLCGNTSAVKTLIKCGSDIEYPFKSTQKNETRPVHMAARLGFPSILQQLIESGCDINSRTKYNGETAQMICAKYKREECLRVLTEAGADLGSISLAGQSARSIAESNRWSLGFQQAVLGVIRAGTIPKSSNPSVFSALMFVADSGDVHALKALIVREEINLDEQDEKGFSAVMVTAMRGHIEAFRLLVYAGCDVKLTNKAGETAISLSKMNENSDMFEKVMIEFTLEKGNQNARGFYPLHYAARHGDLHAVKLLVSRGYDVNMPDGDEHTPLMLAAREGNSQMCEVLISYGSICDFENSKGETALSLARKHTEKEAECVILDELARMLVLSGGDVLKHTKGGRGCSHMKSLKMIAGGVLRWGNSKRRNVICEDAGVGPSASFQRCRRKKGDAERAGIFWVVTMKNKEVHFVCDGGFEMAELWVRGIKIVTGAARKQK >KVI02407 pep supercontig:CcrdV1:scaffold_559:8429:9500:1 gene:Ccrd_019284 transcript:KVI02407 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM-like protein MNPQPPPSSSDQPPNYPGTHVMGTPMPPSNPYVQYGAPPPPPPPPNNNHNLDNVRKKLNTWGNKAETTATNIWTNLKTGPSVTGSAWGKMNLTAKALTEGGFESLYRQNFTVYPNEKLNRTFACYLSTSTGPVAGTLYLSNIHVAFCSDRPLSSIQPNGMESWIYYKVIVPLEKIATVNPVTVTGKKQIDKYIQISTIDSQDFWFMGFVNHEKASKHLLNGVSTAPRFP >KVI02404 pep supercontig:CcrdV1:scaffold_559:133492:139489:-1 gene:Ccrd_019307 transcript:KVI02404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific mitochondrial import receptor subunit TOM20 MEVGDGDERCRSVMVASRVRRRRVVSHQEEDDEDKSESHSSACQLPQLNSSISGSSTGKPLLLSPSTGDDVLTSPKPTGKRRIQPGDMDMQSDFDRLLFFEHARRSAEVTFAKNPLDTENLTRWGGALLELSTFQSVQESKTMIKDAISKLDEALSIDPKRHDTLWCMGNAQTSYAFLTPDKGEAKGYFDSAYTYFQQAVDEDPGNELYRKSLEVAIKAPELHSELHKQGLSQQAMGPGSGGGPSTSSSVRGPKAQKSSDLKYDIFGWVILAVTIVAWVGFAKANVPSPPPR >KVI02415 pep supercontig:CcrdV1:scaffold_559:14376:20031:1 gene:Ccrd_019286 transcript:KVI02415 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRO1 domain-containing protein MSSSLCDFCNHRSAVLYCKADSAKLCLFCDTTVHSANALSLKHFRSQICDNCASDAVSVACSTDNLLLCTSCDNDFHADSSLSTYHTRFPIHDFSGCPSPLQLASIWGFSLKLHPSSSNAKSNNFHHSHDKPPPPPPYDEVPSVDWKSSASPSGVHNKLLYQQLLELANQGSDADGPELRPQTPTGCGPPEISQGFEYEEHDDKDLLHQQTPLTYLLMTPHNPSNSKPNNGSITEFSNMWSYSPKRQTSQIWDFNLGRSRSSEAGCDNPGFAVNNCTDLVEDASFTTMEVLKEMDAINISFTTNPSQNISGCRSTLECDKRTPSERQMMENKISNWSMDGEQGMEIAAASKVDAQQLAQNRCNAMLRYKEKKKTRRIDMGCAVSVYRVGATKKQHTSIPLVTILAPSIRIPSPSNINNPLKGLVPKDLALRLTSFRNQIALLAQHQQASDDVASAVPELLRASEDYLSLLVGLTHQELGLQQLIEFKWKSLDDGQRQEICIADSWFELLSVVHMMAMLTLMEANSKLIPHQNVSTQPLESTDNIRCAVDLLVKAAGYLQFCLNEILVHIPPHIKTKLPADLQECVLEAAYIQALGQGTEMQLASAVESRNATLSVKRRLACEQLSYFSQAHYCLSTCENLNGYGKKHLSFIKWKYLEAKAAAYYYHGLIMDKGSEPSCHISAVCCFLAAEEILTESKKACLNFCLTVPITRVPYAWGAMKHLNKKIPETAAKKSQMYAYLLEQEKGLEVLPELPEFELSLKADEYEMPEKDAAWESEKWVIPSQTLKTHLTDDEEDDI >KVI02414 pep supercontig:CcrdV1:scaffold_559:9923:12109:-1 gene:Ccrd_019285 transcript:KVI02414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein subunit beta MAIFTMMNSLAALLLFLISSSSLIAASDSPFILAHKKATLNRLKSGAEKLSVSIDIYNQGSATAYDVSLNDDGWSSDIFSIISGNTSTSWERLDAGALLSHSFELESNVKTVFYSSPAVITFRVPTKAALQAYSPLLLQEAYSTPLLPLEILSDKPRESTLDLRALAKYGSLISVISIVVLFVYLVATPSKSGAAKGSKKKR >KVI02409 pep supercontig:CcrdV1:scaffold_559:161817:166392:1 gene:Ccrd_019311 transcript:KVI02409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRNRFLLPKRLIPSPRATISSPIAGIHVLETTKTNQGIQSKNHFGAFITPLQVSEMVADKGKKPEIGEKIMEDNTEQIMSLFYPLQSCRRSKMNLKRLELNTWGMSSMYKSVILLHISQRNSG >KVI02422 pep supercontig:CcrdV1:scaffold_559:39101:42317:-1 gene:Ccrd_019290 transcript:KVI02422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLFGRSRRKPVSLQCLLLASATFTAIGILLLTLRSLDDPSTSYIPQRHPTQTTTKACATVEEMGEDFRGGFLEQTFRVRNTIRRHFHLNGAARIRGLPPEEFCKQSFVIAKASEAGFGNEMYKILTAAALSVMLNRFQNTTDAVAAQFFLKNVHFEMRKAASELFGQPQSHHRPNVFGELMRILISPTEKVKQAVDSVLSGGSDPDITLHMRMLMNRSVRALEAALDCTRKVMQNAQLGSRPRLVLVSDTPSLVEDVKSNFDRYAEVVHFNYESYEGELSGQNGLRSLDFRTKDWGPAPRWVAFVDFFLASRARHAVVSGAHRRVGTTYVQLIAALAAANSAGNSNFSFFSSFQSSLISEGLSNQVGWGHVWNRFAGPLSCGNQTSQCAYTPLLPPSWWDGMWQSPTQRDIHRMEAYGIHLSGFGTFDEDQLLSFCTSRKEVGKFVTLV >KVI02412 pep supercontig:CcrdV1:scaffold_559:3303:3674:1 gene:Ccrd_019282 transcript:KVI02412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTDGGGGDQEADDEMSPRVPTNYSKQHDQHPEILLSSVKSNNVKPTAPPLPLVSPSSSNNCIGIFSHSIPIKCVVTGILGCSPIMSNKICIQDSSVTMLQHSGKMGWRIKRLNDTSHLRLV >KVI02408 pep supercontig:CcrdV1:scaffold_559:150353:151885:1 gene:Ccrd_019310 transcript:KVI02408 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 METHLFLLFILSFLLMAITSYCLFYNHQSNHSPPTYAFISFFNNRHRLLSWYTHLLSQSPSQTVLIRRLTPPKTILTANPQNVEYILKTNFINFPKGKPFTDVLQDFLGTGIFNVDGDLWLTQRKLASHQFTSRSLKQHVKTTIVQAVKTKLFPLLDSLAASDTAVDFQEVLRRLGFDIVCKLSLGFDPCCLGDQHSFSHQVPILKAFDTAAAIVAKRAATPISAVWKLKKFLGIGSEKVLKDSVLEIHAYITEIVDERKKIIINSEENELDLLSRMIMGGVNEELIRDMVISFIMAGRDTTSAAMTWLFYMLSRHTHVEEKLVSELAFQFKDDECENLKEMKYLQACLCESMRLYPPVSWDSKHAVDDDMLPDGTPVSAGDRVTYFPYGMGRMEKLWGVDRLEFRPNRWFDGEADEMGRMLVLKEVSAYKYPVFHAGPRVCLGKGMANVQMSYVVASIVKRFEIRAVGSEEAVYLPLLTAHMEGGFKVWIRRRRPTIGATCRHEYNLRK >KVI02417 pep supercontig:CcrdV1:scaffold_559:96592:96915:1 gene:Ccrd_019299 transcript:KVI02417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTKMRKGFIKGKLVMSFYRASKPSITTINKTHNTTALPSSSLIMNQEKVNPQPQHKVSYVIPHTTGTYGMFDNPYGVVADEAVDAKAATYISCVHERFQLEHVN >KVI02416 pep supercontig:CcrdV1:scaffold_559:20275:28339:-1 gene:Ccrd_019287 transcript:KVI02416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLEKFGRRLSLHKSDGKEKKDHQPSAHLDELAQASKDMQDMRNCYDGLLSAAAATANSIYEFSESLKEMGNCLLGKTAADTDRESELMFDICLEFFEKKEHLGLVQRSLEAIQDSLWVLKSPINFGEYAIGPSENCRYLFVTITNPSESLLSELRKVEEMKLQCDEKREAFEYMMTQHREKGQLRTGKVESSIAQKLKEAQDEYDEVTRLCVFRVKSLKEGQCRSLLTQAARHHAAQQLSFFRNGLKTLEAVEPCIRNVAEKHHIDYQLTGLCNSESREGEPMSGYESTDDGELSFDYRQKKQGIDDDGTSQNPMELDRIDLQGSNLEDAEVNKNNHQGEQLFGRQTRVSSYSAPLFPEKIDASERPKETQPSRKFYSYVLPPPAVDARNPISRPSTSVSYSSPFQSLQQPLPVDHGRRIGDDNMLTSASTSKAQSMIKDGNSINPSIQLPAPSAGRFSLSQRDTHIRSDGEVGKRQSYSGPLPPSKQFSFKIASNSGPITSTELPQPPFRVPVSQPSLSPNVSRSASPPPISSPKISELHELPRPPSGLPFSKPVVFSGGMTGHSAPLFSKNQEISPPYKRAMLTSTCAPLPPPPLVVPRSFSIPSSNQREMALHVNIPSSNSET >KVI02398 pep supercontig:CcrdV1:scaffold_559:100732:102202:-1 gene:Ccrd_019301 transcript:KVI02398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3339 MADWGPVLIGVVLFVLLQPGLLFQLPGNGRQVEFGSMKTNGKAIAVHTLIFFTIYSILILAVHLSTIDGRSRGSMLIFFQIHSFSNVNSIGNGWIQPTVMPTFFASRTLEGCGSHWKGSYLECRHHSTLITTTTTFDTSVIQYLQPHLHFLSDPTTTTTTTKAKLDYFRDLLSQQK >KVI02418 pep supercontig:CcrdV1:scaffold_559:91196:98271:-1 gene:Ccrd_019298 transcript:KVI02418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGKSSGVVSLVDGGDGRLRGSIERHDQLAFDEAFAHLGVGVHWLRIGLGLKGFNFDFGCEWTSILSWFGKMTEGGDVDVDVDVVSGRTSYLTTHHSPLTYIDPLGFFSSPIVVILKICKQQQPLCRSHVRKLVKDGVSDQARSGGESDGENWIQRAGDTSESEISR >KVI02428 pep supercontig:CcrdV1:scaffold_559:187004:195017:-1 gene:Ccrd_019316 transcript:KVI02428 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MLLGLKTKNRRSLYVQLDYLINLVEIKPWPPSQSLRSLRSALIQWVYGDKISGSTKAVAPSIVSGLSVGDGKIEFNESFRLCVTLSRDMSTKAGDIETFQRNCIEFNLYEPRRDKTVKGQLLATATIDFAEYGIVKESLMISVPMTCKRTFGNTAQPMLFLEMQTSERSNRMRSSSIDSLIREGSLDKNHSESVSALMNEEYAEEAETASITNDDNSSQSSMAAASSTIGSNGNLSPQRENGSEAISGRCGKVNDQEVLVQDKRVAESSFYEAHASLEGSSRILSSRDLYSEVENARTTLSNLRNSRFTMLPEKAESYSLKLSKSSITNNGTNGWLNGNSRNDPYVNLHEKVPERAIIGKNDIKSMESQLCGVDDEQSCIQLKNPDASQTGQGSQIMGDLGSDVFHADRLKNVKSISSSLDSGFRNGSDVLLDRLKNLKSVSSSLNSGFSNGSDVLLDRLKNLKPVGASLDSDFSKGSVRSDKVLEKFKKVGVPGQNGGQDVNKVQHLEQRIRFLEGELREAAAIEVSLYSVVAEHGSSVNKVHAPARRLSRLYLHACNENFQSRRATSARTIIHKTFEKEQASVSARQSSKTNAGISEKGKNEIISPFKWKKDSSLKEIRIGLPATSNEWEDPCMVTSILEKIESWIFSLIIESIWWQVFPQSHSFPVLRFLRSLRCLISSYIFQTLAPYMQTTAAKGILRRVVDSKSNKSCKTSPASYDHEQVNSSLELWKTAFVEVCERICPVRAAGHDCGCLPMFNAILRESADEVPTDPLSDPISDARVLPIPWITDLFGIEINDSLTDENEADYDENRESYGTSFNSFHLLNALSELMMLPKDMLLSNTIRKEDLLESGEDCIIGLPCAAPPIVYHPPSASLIGCILGDDGNGTRLSRSGSSILRKSNTSDDELDELDSPLTSIIDTSRTVTASSKPIWALKDGGSRNTVRYQLLREVWMNSE >KVI02419 pep supercontig:CcrdV1:scaffold_559:57599:57973:1 gene:Ccrd_019293 transcript:KVI02419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MHYQQSESWATYMPTATSCQLLERIERLASENAVVIFSVSSCCMCHAIKRLFCGMGVNPTVYELDQEPFGKDMEKALMRLLGTSSPVPVVFVGGKLVGAMDRVMASHINGSLVPLLKEAGALWL >KVI02424 pep supercontig:CcrdV1:scaffold_559:74776:78828:-1 gene:Ccrd_019296 transcript:KVI02424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGTETPPRSSVEISEPFLDESSYSHIQSDLETIPEWKDQITFRGLVVSALLGTLFCIITHKLNLTVGIIPSLNVAAGLLGFFCVKSWTTFLQKFGFSVQPFTRQENTVIQTCVVACYGLAFSGGFGSYLLSMDERTYNLIGADYPGNRAEDVKNPGLLWMMGFIFVVSFLGLFSLVPLRKVMVMDYKLTYPSGTATAMLINSFHTTTGAELARKQVSSLGKYLSISFVWSLFKWFFSGVGDSCGFDNFPSLGLMLFKNTFYFDFSPTYVGCGLICPHIVNCSVLFGAIISWGVLWPFINTRAGDWYPADLGSNDFKGLYGYKVFIAIALILGDGLYNLIKIIAISVKAVWNNSTKQQDLPVMKEIVDSIPTWFAASGYVVLVGISMATMPLIFPPLKWYLVLCSYIIAPALAFCNSYGTGLTDWSLASTYGKIGLFIIASLVGSNGGVLAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCVIAPLTFWMFWCAFEIGTPDSPYKAPYAVIYREMAILGVEGFSELPKHCLALCCVFFLVALGLNLLRDWCPGKVAGFIPIPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNKKDAEDYAGAVASGLICGDGIWTIPSAILSILRVDPPICMYFGPSTSS >KVI02406 pep supercontig:CcrdV1:scaffold_559:1157:2194:-1 gene:Ccrd_019281 transcript:KVI02406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MAMTTPTYPFGVKTPYHFSIRPSHSRSFCSHHPLNLASFGGSLQAPTSGRRIGLRVVCNVQNLHVLTRNEPESQASQGSIKQLSCVMKFGGSSLASAERMKQVAHLILSFXQETPVIVLSAMGKTTNNLLMAGEKAASCVSPVSELDELSFVKELHHRTVDELGLDKTLITDHLEKLERLLNGIAVLKEFTPRAKDYLVSFGECMSTXIFSAXLNKIGVKARXVCDFFITFNMLKVFKHW >KVI02410 pep supercontig:CcrdV1:scaffold_559:30089:32046:-1 gene:Ccrd_019288 transcript:KVI02410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAVVHLPPSFSLYATPLPTSAAAGEGGLDDGNRKFMVVGHRGHGMNLLHSTDTRMKAYKENSILSFNNAANHPLDFIEFDVQVTKDDIPIIFHDNFILSQHNATLHYLPFNLIEKRVTDLTLQEFFSYGPQRQVGEVGKSLLRRTNGNIVGWDVQADDHSCTLQEAFQKVNPCLGFNIELKFDDYIVYQQHHLTHLLQLIFKVVSQNAQQRPVIFSTFQPDAALLMKKLQHIYPVYFLTNGGTQVFDDVRMNSLEEAKKVAIQGGLDGIVSEVMGVFGNPMVVRDIKDSKLSLLTYGKLNNVPEAVQVQYLMGVEGVIVDLVQEITDAVADMKAKNTDLEKIELSFLLTFISQLIQH >KVI02430 pep supercontig:CcrdV1:scaffold_559:180502:181854:1 gene:Ccrd_019314 transcript:KVI02430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKMRAIRVYIAVSMLAFVICIVVAASVFYVIVCKRRKKKKKMKPKHCLSCNRSVDLELQQLSLSVRTASEKKVSFESSSQMESLDDHILPTTPRKVAAMVVESYTVEELRVATTEFSSTNLIEGSVYHGRLKGKDVAVKCTSPDTISKIKFELFQSPNRFHSNIIRLLGFCMGDGTITNTIATHDEFLVFEYAKNGSLKDWIHGGLAMKSHFIASCSCFLTWNQRLNICLDVANALQYMHQVLNPSYVHRNMKSRNIFLDEEFHAKVGNFGMEECVRYQNYPEEVPASGYLYSTYPSSWDEGYIAPEYSNSGAISPSMDIYAFGIVLLEILSGKPPIRRGKSESKDDGCRLSERIKHILNSGIVAEKVREWMDNALGENYPFEVAMKLVNLARECVDDDPSLRPTAGEVVVKLTELVAEGEEEQVIVRESSCRPLVAQPFAIKDVNDF >KVH99507 pep supercontig:CcrdV1:scaffold_5591:28106:29273:1 gene:Ccrd_022258 transcript:KVH99507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MPIPVIDFSKLDGPGRPEAMAQIATGCEEWGFFQLVNHGIPVELLERVKKVSSECYKLEREESFFKSSTPVKLLKELVDKKSGDKLENIDWEDVFLLSDDNEWPPNTIAFKETMTEYRTELKKLAEKLMEAMDENLGIPKGYIKKAFNGGEGEKAFFGTKVSHYPPCPHPEMVSGLRAHTDAGGIILLFQDDEVGGLEILKGGEWIDVQPLPNSIVINTGDQIEVLSNGRYKSVWHRVQALPNGTRRSIASFYNPSYNATIGPATQLIEKENKDINQFGYPKFVFGDYMSIYTEQKFLPKEPRFHAVRFV >KVI06789 pep supercontig:CcrdV1:scaffold_5597:10848:11359:-1 gene:Ccrd_014857 transcript:KVI06789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaJ, reaction centre subunit IX MRDLETYLSVAPVLSTLWFASLAGFVRISSNRSIATPEELIVSHEKLLHEAVHELLDNGICGRFFYPRAMSFDVLGVFVFVEALIFMLILIVG >KVI06788 pep supercontig:CcrdV1:scaffold_5597:9032:11070:1 gene:Ccrd_014856 transcript:KVI06788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDKIHPFSSTLGSHSLKIKGEVQTRKGLRWIPSHPEMRKAVVSDETLRGLENKHRSGDSRIAILLLDEILTNPSI >KVH94238 pep supercontig:CcrdV1:scaffold_56:72119:77863:1 gene:Ccrd_003691 transcript:KVH94238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVNLHSLYPRICYSSGSYSCKSQILAFGPSRLPCESLLKSKKRSMIPIVQNRKIRSRIYAAQSSFFRVLQTAYKVGKDGIEAGTSLVPVSIPRPIARISVAVVGATATMGLIYSAFIALNKDEGPTGGGGGGEGATTTSTEESLEEARRIMEKYK >KVH94212 pep supercontig:CcrdV1:scaffold_56:579015:590480:1 gene:Ccrd_003729 transcript:KVH94212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLTSSQKMANLSLSCAMKGGFVLILLVAATFVSAKKSGDVTELQIGVKHKPASCPIQAHRGDRIKVHYRGKLIDGTVFDSSFERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGEQGSPPTIPGGATLIFDTELVAVNGNGSGAEEEDIEKNDEMDEDFDIPAAEEMNDEFMDDIEAPVTLKVGEEKEIGTQGLKKKLLKEGEGWDTPESGDEVEAGFVFFVVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDQGIKTMKKGENALFTIPADLAYGESGSPPTIPPNATLQFDVELLSWVSVKDICKDGGIFKKIVKEGEKWENPKDLDEVLVNYEVQLEDGTLVAKADGVEFTVQEGHFCPAISAALKTMKKGEKGDSLLIYLVADGFGEKGKPASGDLGSVPPNATLQITLELVSWKVVSNVTDDKKVVKKILKEGEGYERPNEGAVVQVSLIGKLQDGTVFIKKGHDDFEPLDFKADEEQVIDGLDRAVMTMKKGEVALVTIEPEYGFGSTGSKQELAVVPPNATVTYEIELISFIKDKESWDMNTPEKIEAAGKKKEEGNAFFKAGKYLKAVKRYEKAAKFIEYDTNFEEEEKKQAKALKVTCNLNNAACQLKLKDYKQAEKLCTKVLELESTNVKALYRRAQAYINVADLDLAELDIKKALEIDPNNKDVKLEYKVLKEKIKEYNKKDAKFYGNMFAKMTKSSSNKSGTKDAEPMSVDSKA >KVH94252 pep supercontig:CcrdV1:scaffold_56:452823:459372:1 gene:Ccrd_003718 transcript:KVH94252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTRRRYSGSPPYEAPSRSRSRSRSRSWSRPRGRPVSRSRSRSRGRDEAINPGNTLHVTGLSTRVTQEQLEEHFSKEGKPRSRISRGFAFITMETLEDANRCIKHLNQGRPRGGSSRDDYGYRRPARRSPPYRGGRDYSPRRSPPPYRGGRDYSPRRSPPPYRGGRDYSPRRSPPDYGGRSRRERSPPPYSPYRSPERNYGRR >KVH94227 pep supercontig:CcrdV1:scaffold_56:227753:238884:1 gene:Ccrd_003700 transcript:KVH94227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTLLSEPASRLDVYIHDYLLKRKMHASAKAFMTEGKVATDPVAIDAPGGFLLEWWSVFWDIFIARTNEKHSEAAAAYIEPSASTMGVKMQEEPMKHSHSMVSETSPALLDASRMTLLKPANNHQGLLIPGNSPNVSSTLQQIQGRPQLNTSKSGLGGGGLNQGVTGLPLKGWPLTGIDNLRPSMGLQVQKPNMPNQNQFYLASQQQQALAHAQAQGNLGASPNYGLVGLPRGNLSMKDGQTGRNDGSMKMPQIQQSTSQQQDQLQQQSNRKRKQHSSSGPANSTGTGNTVGPSPSSPASTHTPGDGIATASSLQHVNSVPKSMMVYGSEGTGGLTSSTNQMDDIEHFGDVSLEDNVESFLQHDGGDAREHYGTIKQTVTEHKTESSKDGKLLASAGHDKKAVLWNMDTLQTESTPEEHQLLITDVRFRPNSTQFGTASYDNNNEIRYWNMNPFQCTRVSKGGSAQVRFQPVSGQLLAAASDKMSPATGMVASAGHDSSVKLWK >KVH94215 pep supercontig:CcrdV1:scaffold_56:554454:555742:1 gene:Ccrd_003726 transcript:KVH94215 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase I MGALDEGHYDLKLNGSVDLDIEQEPETVAIEDAVKVLLQSLGEDINREGLKKTPLRVAKALREGTRGYKQKVKDIIQGALFPEAGVENGVGQAGGSGGLVLVRDLDLYSYCESCLLPFQVKCHVGYIPSSQRVVGLSKLSRVADIFAKRLQDPQRLADEICVAFQHGIKPTGVAVVLQCSHIHFPNFETPFLDSEHQRWVKVLVTSGSGSFGKHDSPTWIDFLSLLRYRDIDLDTIHSRTSVKDSWCPSRSFSVIGPSRPGMVTAVASILRSLGQDPCRKELVGTPNHFVRWLTNFKDSNLEMELSEFPGIPLRTIERVNYKDHIKSEMNLSLWSLCEHQLLPFYGLVHIRLHIRRRTES >KVH94218 pep supercontig:CcrdV1:scaffold_56:484276:487915:1 gene:Ccrd_003721 transcript:KVH94218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-inducible basic MFPKPRAGDGTKLGFQKAKKFSSNQYRFPSFDTTMIYDVNSPLFRSFLSQKGGSSDKRKTEEQRPKEQKPKANENKPVMNE >KVH94206 pep supercontig:CcrdV1:scaffold_56:24371:29718:-1 gene:Ccrd_003687 transcript:KVH94206 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MGQGTPGGLNRQGNSGDRKNDGDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPHSKCRLRLLKLERIKDYLLMEEEFVANQERLKPQEEKTEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHGDFKKAKDKVMFKKKEGVPEGLYM >KVH94214 pep supercontig:CcrdV1:scaffold_56:529550:543638:-1 gene:Ccrd_003725 transcript:KVH94214 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 METFPQFLPVLGVLILLLISAYKRSSTRKSIKLAPEPPGALPLIGHLHFLRGQAPVAKILGKIADDYGPVYSLRLGSRQALVVSSWEMVKDCFTTNDRNFATRPDTATSRHMVYNDAGFALAPYGPYWREIRKLVTSELFTSQRLEKYKNVRNLEIKSFITHLFSLSTLKNGDQMSTVNMGKWFEQVTFNVTIQILARKRFSYGCRIEGDDEDSHVHEAIKKGLYLSGIFVASDFFPILEWMDIGGHLNAMKEVAKEVDIVIEKWLKEHAMKRNENDGRKEEEDFMDVMLSTLSKDSEMHGHRRETILMLTGSESTALTLTWALSLLLNNPHVLRAAQEELDIHVGREKWVEESDIKNLPYLQAIMKETLRMYPPGPLAGPHEAIEDCNIGGYHVSKGTRLIVNVWKLHRDPQVWSDPHEFRPERFLEQHSNINYQGQNYEYIPFSSGRRMCPAITFAFQVVHLTLARLLQGFDLSTPMGKPVDMSEGLGIALPKFLPILALFVFVLIYTHKRSIRNHPRNHIKPVAPEPSGALPFIGHLHHLHGQAPVARILGKMADDYGPVFSLRLGSYRAFVVSNWKMVEECFTTNDRNFATRPNMAVSRYMGYNQAVFALAPYGPYWREIRKLVTLEMLTSQRLEKLKNVRNSEVKWFVNELFMLSTVKNGDQKVEMVKLFENTMFNIIVQMLAGKRFSIGRSDEGGGEDLRVKEAIKKGLYLSGVFVVSDVIPNLEWMDIGGHLKAMKQAAKELDNILGKWLDEHVEKRKEYGGDKETDFIDILILTGSESTAETLTWALSLLLNNTRVLQAVQKELDTHVGREKWVEESDIKNLXYLQAIXKETLRMYPPGPLAGPXEAIEDCNIGGYHVSKGTRLIVNVWKLHRDPQVWSDPHEFRPERFLEEHSNVSYQGQNFKYIPFSSGRRMCPASSFALQVIHLTLARLLQGFDLSTPMGMPVDMSEGLGIALPKVKPLEVVITPRLSSELYDQTLETNQRI >KVH94234 pep supercontig:CcrdV1:scaffold_56:162902:172093:1 gene:Ccrd_003695 transcript:KVH94234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MTSEACSPGRWIEQDSGSAAILKDGLVVGKSSNNNISLRTGEEFSMEFLQDRGAKRIPNGYDMDQNHRTVVGSKSNVNGHIGYKELNGMLGSQRDSVCNSSSADIISAKGPPVINSIPSLSHRASGSGVSDDSQRGKIKFLCSSGGKILHRPSDGKLRYVGGETRIFSIQKNMLFDELVKRTSEFCNQPHTIKYQLPGEDLDALISVSSNEDLQNMIEEYNGLGSREGSPRLRIFLIPLTECESTITIEANASLQNNPDYLYVAAVNGIVDDSPLRNNDPQFLANDISQSNPLENNGGLNISNVTPRVSESQILVASDPSFLVSPVLVPQDARLNGHAQMYNDPLSDSIEIPILKGRAFHSENRILHPSDQVKQYVGPNGSHLGIPHASLSRVHEDAMGINEDVKEHLNKNAGKLIDDNFNYPSRKAANLGHEERHDKVRKEIPSSSKDREQKQVELNDLLGDVSNTTSRSKMLGAVNTEALPSSATEAEHTLSDSSIKDAAMNNGDQDVPFNNALIAEMEADIYGLQIIKNAELEELRELGSGTYGTVYHGRWRGSDVAIKRIKKSCFSGRSSEQERSLDRRRKLIIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNMRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSTTRDHSSKALHHRVDAAGYLCNLHEPYTQQ >KVH94235 pep supercontig:CcrdV1:scaffold_56:177930:182455:1 gene:Ccrd_003696 transcript:KVH94235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MEVNSRKKEDDEFHIIHKVPYGDGPYLVERDQEGAIVWFWKAINAGDRVESALKDMAVVMKQLDRTEEAIEAKCGKVDEQIGLLKQKLRMIYRGEAFNGRPTKTARSHGKKFQVSVKQETSRILGNLGWAYMQKSNFMAAEVVYKKAQMIDPDANKACNLGLCLMKQARYDEARSVLRNVVNRELPGAEDIRTINRARELLTEAEMWRPPAGLLPDLPGLDLDDDFVNGLEKLMNVWAPNRSKRLPIFEQIENFRDQLAC >KVH94208 pep supercontig:CcrdV1:scaffold_56:3288:11019:1 gene:Ccrd_003685 transcript:KVH94208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFETDDDYFFSASANSKRHKKSAMGDEDIMELLWQNGQVVVQSQNQRSAGNKKSETMPSTAGREIRSGVIDEETTPSNLFMQEDEMVSWLHYPSDDNSLDLYLHNNDIPYPVLPSSSSAPATTLPSSLPPPPPPLVTVPPTRPPIPPLNRVDEEPSQPKFANFLHFSRSNKRGLAGSGPSSSKQMSQAITQSTVVESNIGCRGSMSSFGGAGTSSAGTGREPETCDVSASSSPGTGGSGASASVEPSSHKPPPATDDRKRKGRDTDDTECYSEDLEFEYHDPKKKSRVQRYMPTMPAMGMGMGMGMGMGMDHIGMNRGMVPYPSVLPGPQMPNSAAAHMGQRFPVPRFQMAQVPIMGPGTGEAANPSDPMMNSFPTQNANQPRADL >KVH94228 pep supercontig:CcrdV1:scaffold_56:240055:251270:-1 gene:Ccrd_003701 transcript:KVH94228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MGSSEEVHKMSNEEEGLQKVMQINSGIVLPMLIKTAIELDLFEIMAKTPGARFSSSDLASNLPSQTPQTRPLLERILRFLATQSILESTLATDEHGNSINLYSMTPVSNHFVRNEDGTSVGIPFDKAHGVNAFEYPAKDNRFNQVFNKAMYDNTRIVMKLILEKYKGFEGAKELVDVGGGLGATLQLIVAKYPTIKGINFDLPHVIKDATPSQGVEHVGGDMFESVPKGDVIFMKWILHDWGDDYCIKLLKNCWAALPEYGKVVVVEVIMPDPEHQPISANDSASSQAAVGSDMIMLIANPGGKERTKKEFDVLAKEAGFTSTKIISGVWSMWEEICSKVFLKEMLSSRSVAKYGQVVVVEVIMPSPEHQPISDTDFASSQAASLAMNAKQEECKMSIEEEELLRVTQMVGATVLPMVIKTAIELDLFEIMAKVTRDCGGSGGGGGGGGGQFTSSDIASHLPTRNPETPIIIERILRYFVTKSILTCEIHTSENGEIQKLYGMAPICKYFVMVEGGIPFNKAHGENIFEYQAKNKRFGEIFDKAMYGNTAILMKMVLEKYDGFEGVEEVVDVGGGLGVEHVGGDMFQSVPKGDVIFMKWILHDWGDEYCIKLLKNCWKALPENGKVVVLESIVPEEKAGKSDVTSNIDGDMVMLAINPGGKERTAREYEALATQSGFAASKIETESGLASTSALGLKQKSSPSASTSMADCRERRLWTP >KVH94243 pep supercontig:CcrdV1:scaffold_56:361562:366006:1 gene:Ccrd_003711 transcript:KVH94243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MDFHPWVIMVIALISFKIFFLFNNALRNTGFNENYTVTLGNQHVLFLNQGREVQLSLDRSSGAGFQSKEYFGSGYFQMRIKLPDKDSAGVVTAFYISTTTNSYGTDTKLYTVHLPLVANDGGRSKANYSNVPFQAHFRDFNIDGCPSIPTNPNKECHSTKYWWNGKKYNHLNPNQLKAYENVRKKYMTYDYCADRRRYPTPPPECIR >KVH94249 pep supercontig:CcrdV1:scaffold_56:439271:445587:-1 gene:Ccrd_003717 transcript:KVH94249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKGSPVAPAIYNASAKDATCALTQSRSIGSVSIRRKYNVFLCNLSSRKVSPLLAVATGEQPSGLASLHEAEKEKSLGNRLRLGSLTEDGLSYKEKFIIRCYEVGINKTATIETIANLLQEVGGNHAQSVGFSTDGFATTTTMRKLHLIWVTARMHIEVYRYPAWSDVIEIETWVQGDGRIGTRRDWILKDYANGEKVSDDVREEYLVFCPRTLRLAFPEENNNSLKKIAKLEDPAEYSRLGLVPRRSDLDMNKHVNNVTYIGWALEVSKRFQRECQRDDIVDSLTSREPLEDAEVIEFTGCNGSVPSKKDEQDLSRFMHLLRSSGNGLEINRCRTEWRKKPAKR >KVH94223 pep supercontig:CcrdV1:scaffold_56:293548:302642:1 gene:Ccrd_003706 transcript:KVH94223 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MPEALRYDLGKFEEEKRRIRVRSFKKKAMIASTKSANSIKKRHVSNCQFAHIAIDDVRDEEEEKAVNAFRQVLIEKELLPYRHDDYHTLLRFLKARKFDLYKAVQMWAEMLNWRKEYGADSIIQVQRYYPHGYHGVDKEGRPVYIERLGKVEPNKLMSVTTVDRFLRYHVQGFEKAFSEKFPACSVSARRHIDSCTTILDVLGNKYQNKLLEVIDSSQLPDFLGGTCSCPNEGGCLRSDKGPWCDSELMKLVNNGDTRKSGSFYDDIDLEVKSIVSEDSMSYHVSKGTRVEPVVCGTQIHTLPTNDWTRRSMDNMVKFLYKVVACIFVVVELGKFLARNMMRSSSHEFVDDWSPEKEDFLHPFEEKLKQLEALVVELSSKPSRIPEEKDEILADSLNRIRSMESDLQKTKKGDVLSKIFWWCMWLGWLLIDS >KVH94210 pep supercontig:CcrdV1:scaffold_56:44694:44897:1 gene:Ccrd_003688 transcript:KVH94210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSKEAIGAADNIAEKAKQTAKEAWSATKDAAQKVQNTVAGKADASAATIKDNIETAKRSINTKN >KVH94209 pep supercontig:CcrdV1:scaffold_56:56378:60521:1 gene:Ccrd_003689 transcript:KVH94209 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding pseudobarrel domain-containing protein MYPKEEKDVDDHHRMEGYDHHVIDEQENCKSTGFDALGTTMMTSTDDQRGIWEIDVRAQQQNLLHEMNDPSMFYNDQFPQIPDFPCMSSSSSSSSNPAPAKPISSATTTSSASSASASSSTSSAASWAVLKSEYVTEEEDDQLEELGVDRRKRCHRSEEMPAAVMSTAVVEAVGRPLEMADGSGSIDCMDVMENFGYMDLIDGNEIWDPSSIFEQNPPENLQQECLNNSNGSEVVQDGGGVGGGGRLDELGAVFFEWLKSNKEFISAEDMRNIKLKKSTVECASKRLGSSKEGKKQLLKLILEWVQQHQLQRKASGGVEGPAPATAPTPTAAATTTQYPLQHFQGLPSQPPPPTTPPPFHCNSWVQPNDMNHGFSPTPWIPPPLPYPPYMADQATNGMAMVAAQPSGTFSYMGGGDYNSAMNCHLNPYNNPGSGEYQVLESAPSWNPSQITMAAASPYNNQFPDVGNNYGPPIMPLPSAYTDQYPYNPVFAGGGGGGGEQRLMRLGSSATKEARKKRMARQRRTYFHHHHHSRQNQQHNHPNQVANSDHHPHTMLVDDNCSGKSQGGNWLYWPSTPSATTPAATVLPPPMEAPQRPPSRIPQTSDRQSKQTSSDKRQKVLKQSDVGSLGRIVLPKKEAESHLPDLDSRDGISIAMEDIGTSQVWNMRYRRFRESKRAPRGRFHCAVLRCQVWQILKYDSLTQSSTDDH >KVH94240 pep supercontig:CcrdV1:scaffold_56:123730:140176:-1 gene:Ccrd_003693 transcript:KVH94240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQPTVDELSPKPEQNQDEPSSSHASGEVSDKFEKKVASGRNGAVVDNGRDDGGRVSNGAANSSGSDGRSLPSLHNLSSEIPSSHKLESPSSQLRLRKLASDISSSEKLDSPSSQVRLEKSKTEKPRRNNILVEEASQIFDENISVHQKLKLLNRIATVKDDGTVEFDIPADVEPHAYGAGAGAGAGAGEVQNECNEDTLDSTEPRYFPPLQIVMLIVGTRGDVQPFVAIGKRLQVLHISFHFPFSINGFEYREYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAEYMVKNKGFLPSGPSEIPVQRSQMKDIIFSLFPACREPDLDTGISFRAEAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRVSSLPLPILRMQLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSESHIPHGYIWSPHLVPKPKELLSWLKAGPKPIYIGFGSLPVQEPEKMTQIIVQALEMTGQRGIINKGWGGLGNCKFLVPSSFAVSLTVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDQFSLPKLVDAIKFMLDPKVMNHSLNMPSVFGSECQFMVKEQAVELAKAMEQEDGVDGAVKAFLRHLPRNTPEVDSPTSAPS >KVH94220 pep supercontig:CcrdV1:scaffold_56:513400:515636:-1 gene:Ccrd_003723 transcript:KVH94220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLETKSVGIVDENAEENELVLELSIGGIFGKTNGNNGRESVEKEVPAVAVEALNVQSKREIQAFRRRELRRKREEKLKKSVGFGSCGSVSGPFVESKEWLDEVLEIEPARKKERIGNLPENIGLIPAAAPLTNGFVNHNVMQVTSGGEGQVVRRIDESVNTKSSVFRPTVCRSFRPYQGSRNLKAIEDEIEGNGRRNGNVNSSGSGGCGSSAFSDSQCSSRQGGATDDSRSNSSNSQLDQQLPNTSVASDPSDSSKPTVSTNKLTDSTVCEPGPLKPNDQSNLPGSNISNPPSKLDFATQQASFLSRMPCVSTTGNGPNGKTVSGFLYRYTKNEVSIVCVCHGQSFSPAGFVEHAGGVDIIHPLKHITIFPTAFA >KVH94251 pep supercontig:CcrdV1:scaffold_56:470900:475345:-1 gene:Ccrd_003719 transcript:KVH94251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin-like cysteine/serine peptidase domain-containing protein MGVLGESWCFCNGLGKSEKTKSAIFSGKGPSMARISTGTGFLIHRNLLLTTHAILPSVAAAEAAEIQLQNGAGACLFPNRFFITSSVLDLTIVGLDVIDGESNPPVQQSHYLKTCSKPNLDLGSTVYLLGYTEKKELTVGEGKVVIATDNLIKVSPDGLSWSPGSAGFDVHGNLSFMVCDPMKLATSPNTKSSSTSSSSTSSWKKNPQTQFGIPIPIIYDWLNQHWEGSLDDLVNKPKLPIIRLMSTGQKSEHSCSSFTKRRVFKTAEDENDPTTPSSANMISKPKDQDHPGSSSSPMARSFQDETPPIDQNRTIATHLQGIPTPEIYDSPKLISVPFRKTENAQIQLLDINFPPRIAKPTATPLPVRKKEVPIAEDDIVSTGSVNGAHSEVQSCASPNPIELPQGQNGYNSEGETTMYSAETAESRNFPSPKDGRFHHQQQVGRSQSCVNYTRWGSGSGSVQRNLNGRRDTKGYSQGTTSHRSNDYYSPTVSSIMKKQTNSERQPYRPRPMPIRSSPKWTF >KVH94239 pep supercontig:CcrdV1:scaffold_56:81155:90142:-1 gene:Ccrd_003692 transcript:KVH94239 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MAVSLRLFALCLLLGFMVFVSVNAVSSVEEKNVLQSLIDNSTMADRFEMSDRLDLQFNTNLKLELHRRNEDIAIEKNDSAVDEDPERVASMVDMNIRNSTERRKLGFLSCGTGNPIDDCWRCDRNWQRHRKRLADCAIGFGRNAIGGRDGRYYVVTDPNDDNPVHPRPGTLRHAVIQDQPLWIIFKRDMVITLKQELIMNSFKTIDARGVNVHIANGGCITIQYITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWVDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVTHRVQTTGRWRHWNWRSEGDLMLNGAFFVPSGTGAGASYARASSLSAKPSSVVASLTSGSGALVCRRGRQC >KVH94247 pep supercontig:CcrdV1:scaffold_56:418997:422152:1 gene:Ccrd_003715 transcript:KVH94247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MARPNVPKFGNWESEDNVPYTVYFDNARKGKTGGKMINPNDPEENPEMFADKTPPAPTLRSRNHTQPEEPVGRRAVRPTQDNRVSREDNEYPAPNENTGRRTSGSSANQRGGQGTGAGRPVKHSAGSDQSFDRSPMHPHYQAKAANKGSGSPAWEGKNSYDSSHGTPTRSRMKPTRGDESPDRGAAVPRFGEWDENNPSSGDNYTHIFNKVREERVTGSPMVSGSDARPNYNIP >KVH94219 pep supercontig:CcrdV1:scaffold_56:506213:509191:1 gene:Ccrd_003722 transcript:KVH94219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAVSRTFRSSRTSLSDRNNGFSPPSTRPPVAATGFTFKLFASALSVAVLLLLTVSFLFTSSSGVGSSGLDIGFSFNSNGIGKFRRSVLALKSDPLKPRFDQIRKQADDHRSLALAYAAYARKLKLENSKLVRVFADLSRSYTDLIPKPAYAALFDSNAESIDEVTLRQFEKEVKERIKVTRQVIAEAKESFDNQLKIQKLKDTIFSVNEQLTKAKKQGAFSSLIAAKSIPKSLHCVAMRLMEERIAHPEKYSDEGKPRPAEFDDPKLYHYAIFSDNVVAASVVVNSAVKNTKEPWKHVFHVVTDKMNLGAMQVMFKMKEYNGAHIEIKAVEDYKFLNSSYVPVLKQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPTLHRVLFLDDDIIVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYSQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIAMNQFRPLWTKYVDYDMEFVQACNFGH >KVH94229 pep supercontig:CcrdV1:scaffold_56:310915:323217:-1 gene:Ccrd_003708 transcript:KVH94229 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAS subgroup ENVKKGPNLCTKRQSPLLRLLHVQPTYSHRDDEIVFRKVELQDEQRRTRLDAQFRGCEKGPANGTSSPRLDISLEVLLLLSLTAPLERIVNAEVDSMGGGIDGRLGISSTFSPQQSTNLEKTQAELSQHQHNGDHSHCRQMFTAAEQFRRELEFLQKGGNPLDLKTASAASVSVQSTSLTDLHQEQFVTSEAKGSFAVTASPHGDSVGSSGRLGGPSVCEPNSADNLVLFGGDSKFRELEKRSVRPPTSNISPSKHCSLLDSGQNARESGDSAALELPKKSYKRRIRSRPIRDGARSSSSDAALSRGGQLFFPFHHASRDGKGLVAAANQECNGLSSCNSKPKGSNTSVAIKNVVSNNRLDGALAVETTLGRMHGPSSAVSDANASQNPRRKQHDHPLKSDTRESSPGMDFVEPESFEGAEQDNFGGSRRPPCVDRQRANNFSILGQPNGFGTTGERKSMPYDGTFTAAISTKGLDSESSCTQTGQSLDVNNEDELPASPRNINCNGTVKQVSASNEVPCKKDDNLLKEKEGKLLNVANNNYNSCHRSYNEDGFVLKEEEGLKGSESSWQNELMNPVSAEGVKPNGSTASETERKPSEVLGSNSFPVGGNATGTPQGSNGTSFLDSTLPVTSRTDVTELSACSQNNLKLATKEHEESILEEARIIEAKRKRIAELSVSTLPLQNRQNSHWDFVLEEMSWLANDFAQTSLCDSHFPVHKQERLWKLTAAAQISRRVAYASQVRNQQEDSSWKQKEVAQTLGRAVLEFWHAIQVNRKELELQCLNTGSKQGLQGYAMKFLEYNSTHVQYSAAQVPLTPDLIYDVGIRDIAWEDNLTEGAWSSLQEEVGTSGYDAVAAFGSQDNAFEEDDEMRAGYLPGVFEGSKSSKATKKRRKNFKFYGARSYEMGDDLPFMQPIEKNIGTQPSVLSGKRSGGSLNVPIPTKHVRTASRQRVVGPFNAGTSSYIQAPSRTDASSGDTNSFQDEQSTPHGGSHIPNYMEAESVGDYEKQLQFDSVEVSDRPRKKKKAKHLGSSFDHRWQPDSQFQNDQKDHSKRRLDGHQLDSNGSNGLYIQHNMKKLKMKQSSDNPFDNLTPMAPSIPSPVTSQMSNMSNPKKFMELLVRDRGRKSKTVKIPAGQPGSGTPWSLFEDQFLVVLVHDMGPNWELISDAINSTLQVKCTFRNSKECKERHKILMDRNTGDGADSAEDSGSSQPYPSTLPGIPEAIFCYLFGSARQLFQRLQGPMEEDTLKSHFEKIITIGKKQYRRTQNENQDSKQLQQPHSSHTLALSQVSPNNLNGRPVLTPLELCDTIASTPDAPNVGHRGSHSGGLPISDQVNAATMLPGSSSSSSVPGSSNVVLGNDFSSASVPLNPSVR >KVH94237 pep supercontig:CcrdV1:scaffold_56:64343:68448:-1 gene:Ccrd_003690 transcript:KVH94237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPMLRHYHYYLFLLLSIVVFVVDASNDEAVVLLSWLHSPSPISPHSSFSNWNPSDENPCDWSYITCSSQKHVTQINIQSIELALPFPSNLSSFRFLETLVISGANLTGKIPDEIGECNALQVFDVSSNSLIGSIPTSIGKLLNLQDLTLNSNQLTGTIPQQIGNCSSLKNLVLFDNYLTGELPVELGNLQMLEVIRAGGNKEISGMIPSTLGNCQNLEILGLAATKISGSIPRSLGSLTKLRTFSVYTTQLSGIIPQELGNCSELVDLYLYENGLSGSLPSEFGNLHKLEKMLLWQNNLFGPIPPEIGNCRSLKTIDLSLNFFSGGIPWSLGNLVNLEELMLSNNNLSGSIPPVLANCTHLIQLQLDRNGLMGSIPLELGMLSELSVFFAWQNKLEGIIPSTMAGLVSLQSLDLSHNFLSGSLPSGLFDLKNLTKLLLISNDISGSIPSSIGNCSSLIRLRLVNNKISGQIPKEIGFLENIDFLDVSDNDLTGEIPDEIGNCTRLQMLNLSNNTLSGTLPSSLASLTNLQALDISNNRFVGQIPESFGQLAFINRLVINRNFFSGSIPTTLGRCSNLQFLDLGGNRLSGNIPVELFSLVSLDIALNLSWNFLNGTIPSEISSLNKLSALDLSHNMLEGDLMALSGLVNLVSLNVSYNNFTGFLPNTKLFHLLSAQEFDGNEGLCPLGHKSCFIGQSGGTGIADKRGIRQSKKLKVAIALLAIAAVALVVLGVIAVYRVPKSKSQDTDSETGGWSSSNWKFTPFQKLSFTIDQILRCLVETNVIGKGCSGVVYRANLDHGEVIAVKKLWPTTTATAYCRNDHHAASSVVRDSFSTEVKTLGLIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGAVLHENSGCCLEWNLRYQIILGSAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEPYIADFGLAKLVDDRDFARSSSTVAGSFGYIAPVTEKSDVYSFGVVMLEVLTGKQPIDPTIEDGLHIVDWVRQKRGAVEVLDSCLRARPDPELEEMMQTLGVALLCVAPSPDDRPSMKDVEAMLTEIRHDREEGSKAETTMILKGEENRKACEGPSTDAMKCLYIESNNSSFDASSLLYSSSANAEKDGV >KVH94224 pep supercontig:CcrdV1:scaffold_56:307666:310493:-1 gene:Ccrd_003707 transcript:KVH94224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTGSSPKDDQQRMQQYNRMLSARNLQHSSLSPGSHSGAERGVRMLPARNGVGVMPGMDRSMTMARPGFRGVASSSVLNSGSVLSPGMAAANPANMHPRIGPGQGNSTLRARDAMHLMKPNQNGDHRRQILPADFQMQQVSQGGSSQGVSHLASGTSSTLSNQVAQPPFQSYAPDHHQQPHPVSAQQPPHVLGSNSHNRNNLHGTPNHATNTSHPAFGMRILKERQLQQQRVLQQQQIAMSNAQKSQLPISSPQNGTQIQSQSSLPVLHSPMGTPSMGSMAQNTQKHPLLAAPNPQTGGNQILKQQRQSQQFMQQQQALSQPQTKFMKGGRGALIPVDPTLQNGLSGDQSVAEKGEQLAAHHLLKSGQRNLNPSVQTTSKHSVPHSSLSQPHQQKAYSGQMQVASSNKLQQLKPSLLSDTSNHLNHAPPSLVASSSTMSVVTSSNHQHPLPQPHPKLVSLSRAATAKRTVNNRSVNSSEPPTCKLQASSVGISGEHSGPEVNQSMVHGQPSPDSLPNPVGHDVGVQWPPLPSS >KVH94230 pep supercontig:CcrdV1:scaffold_56:340113:340922:1 gene:Ccrd_003709 transcript:KVH94230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEGGVVGVGGGRSRRYEFGGETNAQPGGLTLGGILSIKQTPPYRIQDRTLLDLIRDDQTCGKESKKTWKMFREKLRLKLSGFVCTTTLPTLASHVVITTTDSNRVTTRHGSTQQNSTDESRRLNSPPVHNTSSDRIIETQTFSVQNEPPVEEIEQTTTEEERGGGREGEPAAARMSLMSLLEVDGSAYLDDDEEEVEEEEEAAVVEEGRGGGGGGYKYNNCCVCMVRHKGAAFIPCGHTFCRLCSRELFVQRASCPLCNHFISEILDIF >KVH94242 pep supercontig:CcrdV1:scaffold_56:213203:217655:-1 gene:Ccrd_003699 transcript:KVH94242 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MAEEGYKVSLHAYDLSGGLARQLSMSFLGKAIEAIWHTGVVVYGTEYYFGAGIQQIPAGTAPYGAPLRVIDLGVTHVPKDVFEMYLQEISPRYTAETYSLLTHNCNNFSNEIAQFLVGASIPEYITTLRAGAVPQAPQFRPSPVQTIPEVSKKPNESSESIQIRPQENASASNGNTKSTHENTIPPAVVPAGTPQDQKPIADPLGDARSKVQEEIGKEFAAIMASGTFRASEAAALATKKVMQRYGHMKAAQS >KVH94226 pep supercontig:CcrdV1:scaffold_56:265273:271276:1 gene:Ccrd_003703 transcript:KVH94226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVIFFVVYWLLLVILWLFAVCGHVSCFWCCQRSMDMKSESHCPLCRHTYHHFPAICQTLHFLLKKLYPISYNRRSIQTREDEKSTSGFSPDIDSLVTSEESNGLNNVGQTSDLSFEDDVLVDPFSTKEKKLNESSVRTCSVSDVLCATCKQLLFRPVVLNCGHVYCEACITIPTEGMLKCQVCKCRHPSGFPKVCRELDHFLEEQFASEYALRRNTIQLNQEQTQNRILPNEVNTQVPRLSFPTEENFLQWWTVHGSKFHANIGCDVCGMCPIIGDRYRCKDCTESCGYDLCGDCYNTSSDLPGRFNQKHTSRHQLELVKPVINRNIIYRLLRGQLAVVSSIASRNQSSPANGSPDLPSPSLDEDDVGIATREDQSDQAPI >KVH94233 pep supercontig:CcrdV1:scaffold_56:147235:159679:1 gene:Ccrd_003694 transcript:KVH94233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding MLYLYSGDKADCCSTHLIDGDGTFNAAGLDSFMKAVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFHTNFKEMDAFKGRQTTKGIWMARCAGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREHAANKPLLKTVMMRLFSPRKTTLIFVIRDKTRVSVVYLSSILLCRSFNILYIKSSSANPLGHNLELIGPTPLENLEPVLREDIQKIWDSVPKPEAHKQTPLSTFFNIEVVALSSFEEKEEQFKEQVANLRQRFYHSIAPGGLAGDRQGVVMVATVRCEEIANEKYSAFVANKVHRFHVSLFTGISSLEEWCELEDAVKSQLVPDFGKKLSSMLETCFSGYDDETTFFEEGVRMSKRKQMEEKLLLLVQPAYQSTLEHMRSETLEKFNKAFDSALSEGKGFATASRNCKTSVMKLFDEQCKDAIIKQSEWDSSKVRDKLSEDIDAHIADVRNAKLSDLSTQYESKLKESLNGPVEALLQAANDDTWPAIRKLFKRETEAAVAECSAALSGFEMNEDSKKDFLSKLRNHARDISLKLLSVMAAIRLDGDAVDRIAETLVLTLLDAKKVPASRTLITPVQCKSIWGQFQRETEYTNLNLSYMAVSEANKRSSNWLPPPWAIMALFVLGFNEFMTLLRFFHSIHIFKSLLLITSLLKRYLNPLYMILIFVTFLLLKALWVQLDIGAEFRHASSHHPQPYEKTLGARTKAQQSPNRPSTRLLVSRSFLSVAVNNISFK >KVH94221 pep supercontig:CcrdV1:scaffold_56:283063:285476:1 gene:Ccrd_003704 transcript:KVH94221 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold FPLVSRSGRIRKLVSEHREPAISRVELLCLPGGSETFELAAKFCYGINFEITPSNVAQLCCVSDYLEMNEDFSNNNLGSRADEYLNYVVCQNLEMSVEVLNQCENLLPLADELKIVSRCIDAVASKACVEQIASSFSRLEYSSSGRLHMSRQKCEADWWIKDLSILRIDLYQRVIVAMKCRGVRPESIGESLMNYAQKELTKKPNGQLKVAMAGGSSDHERLVVETILSPEAGAHAAQNERLPLQSIVQVLYIEQLRLRNALYCSELGESHKLPVHHQSWRINSGALSTTMSPKDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKMNMEKSNSRKFMSSFSKKISKLNLFGHSSSRGSTSPSRNSQRTDSKVTVRTE >KVH94222 pep supercontig:CcrdV1:scaffold_56:286210:286827:-1 gene:Ccrd_003705 transcript:KVH94222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTKERGVLKLVHPGRIVEYHTRSITAAEIMRKNPRHSITRPDFFENPHIVVNPESVMVPGQVFYIVPNKTIHRLVKARCQQFDRPSPQQYESPNTSDYKQASPSKALAGSTPKHQIGSSSCDDSLPDQAEETTQSSLVEYQTFQARDYYLNRNGSAMAITTNYDQQQKKSSNLKSCLKKVGSIPTSPKRRVSFNFSTMIQYI >KVH94241 pep supercontig:CcrdV1:scaffold_56:210062:212459:1 gene:Ccrd_003698 transcript:KVH94241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEGDCFMCFRRRAKKESTQGKMQNVNCFPCFPTRDPTHKMNCFPCFRVRKMNFFMGFAVRDSTQAKTDPTCGKKRKMNGFSCFPTRDSTHRKKQKGSCFSCFRPKDSTQGETGKTNRVSCFPTRAKNDPTQGKIQKTNYFPCFPRRAKNDPTQGKTRKMKWFSCFPTRAKKDSTQGNARTTNRFLCFQIKGKRQQSHFFRAVDFNDVKHSLSCYCAENKRKVQAEIQNHVVCPLKRARKGATKNMAGIIHEPNNNIAVRTFPLNELSTATKNFNEEYLLGEGGFGQIVAVKQLKLDGLQGKGEFQVEVMMLSHLHHPHLVNLIGYCVDNDQRLLVYEYMSAGSLENHLLGKQSALVMHASRTNTCPCHTFLILADLPPGKPPIEWSKRMKVALHAAKGLEYLHEKTNPPVIHCDLKPSNILLDKDFNAKLSDFGLAKLAPVGNKSHVPTRVMGTAGYCAPEYQKTGKLTVKSDVYSYGVVLLEIITGRRAVDLTRKSEELHLVRWVSSYRPPNPIFKAEPRIKDPQKYSELVDPLLEGNYPRADLSQVLAIAAMCLSVDASVRPSISDVVTALAFLVQDTVQ >KVH94244 pep supercontig:CcrdV1:scaffold_56:346074:352475:-1 gene:Ccrd_003710 transcript:KVH94244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNNNDNTEMWDWQGEDYGLQANAPNSLWSNLNDNQDDLSYVFNETTPAKEAYSQAKRRRMLHFDDKVLDVDVMPLCDEDFSSIYLKSKEREASLDGALSDMTQWVAGFADDTSASGSGYEGLDQSSEGWLADCLINDTEMQLSPYDVNPSGTVAVQIDLTELKDAQPKNGGSIGQEQSTPHQNIIFKGKKSLIKTPTKMTSSVVLPFAFVKPCGMQGVVTLKDINQKILTPPPSKSKKTHQDNTTLYPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >KVH94250 pep supercontig:CcrdV1:scaffold_56:427560:431137:1 gene:Ccrd_003716 transcript:KVH94250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGLAVREERRVFLSHIESYRPDPFIRKEPFWFYSSYTPRFLLSSSSQTLDSTEKSEPSLPPSLCTSHHFITRISIGRRIATEGSLVRQEKQTMNTQGQVVRYARGGRRRKEVLNVDLNVPPPVENQEQVGGPAVSVAQGGQGGVPAILVAHGWQGHQPAGSTQPAPIDVEELDDDVIISSPRAFEEVAKNKSRRSRRTLVVDVESEGGAVRLGLNQGNKRRRGSAIPPVINCERYVNLEGSSDSM >KVH94216 pep supercontig:CcrdV1:scaffold_56:557184:561800:-1 gene:Ccrd_003727 transcript:KVH94216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDTISPSDSSIDDEDVMKKQLADRLSKKPYSRPGGLIGGKTAEDNNESIFGEKISRSLRDPYKCLESEANDRRMNNAYAQSVITYTPGLWVENVGGMTKSDYDVPITTTLLLIGPKGSGKSSLVNRISRVFEDDKFAPERAQVTYNSRSTNGTLFLQEYMIPRSSTSFCLYDTRSFSDHKPAIAITHGDLLSLSERARVRIYLGELLGVHPSKQTFDVPVFVAPLSDNSERTTDLTIIDMDSIPVWACLLLLVALGIIIITNRLAHGESIPEPSVEVDWKTIRHLWSE >KVH94231 pep supercontig:CcrdV1:scaffold_56:597449:601573:1 gene:Ccrd_003731 transcript:KVH94231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MGSRVGKIIHSCITITLSEFYKVNSHYQTRIVLHNRDIQGETLHALHTGSESTAEETFLKVLGDEARIPILSLSPTPSSNKHPYFLQVTQDETTQFNGIAAMAESFGWKNLIVICENTVSALAMSVESMQAVKSKYLETSGSSQKRGITSHSKQLGSKTSELSFQRHSRSRGIFQVNYDAQTNSTVVSGFCAEVFQVAFTALGPDVALHYIPIGNNSKIGEIDYNDLIDLVHSGEFDAAIGDITITANRSRYVDFTLPYTDLGFATLSRDADASXWIFMEPLSSNLWLASACFFILLALVIWILEHRTNQEFQGSRGQQVGTTIWFAFLTLVYAHRQELQSNLSRFVVXVWLFVVLVLTSSYTATLSSLLTVEQIQLASKRNSXGXSPSFFXXRGYNKNSIXGTRLKLYSXLEAYADALSRGSKKGGIDAIVDEIPYIKEFLAQYPSGYSMTVSEATTNGFGXVSLLIGNFHYFCYFQFVV >KVH94211 pep supercontig:CcrdV1:scaffold_56:566234:568446:1 gene:Ccrd_003728 transcript:KVH94211 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSMAGKNGDLHLVMFPFLAFGHISPFVQLSNKLSSYPGVKISFLTAAANVRRVESMLNPTTEIISFTLPPVAGLPPGVESTSDTSPATVELLKVALDLTQPQIKALLTDLKPHFVFFDFAQSWLPPMASDLGIKSIYFSVFLTISTAFMIVPSWLRHHTTPPTIEEIKRPPPGLPATINPKTFEVRDFQYVFKSFHGTPSVFNRLMKCFNECDAILIKSCTEMEGPYIDYVSNQLGKQILLVGPVVPEPHSGELEEKWSNWLNHFPPKSVIYCSFGSETFLTDDQINELSLGLELAGFPFFLVLNFPANLDGSAELKRTLPEGFLERIKDRGVVHSGWVQQRHILAHDSVGCYVCHAGYSSVVEGLVNDCQLVMLPLKGDQLVNSKLMAFDLRVGVEVNRRDEDGYFGKEDISEGVRRVMADVEDEEGESIRENHKKWKEFLKNNEIQKSH >KVH94232 pep supercontig:CcrdV1:scaffold_56:590198:595841:-1 gene:Ccrd_003730 transcript:KVH94232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWERSSTEPWPRTCVSVNHALATGFWLINQTWVAVSLGIKNTEVRAYRTDPVQITSSIKKYFQPNNHVTMPRHDHTGWQRSSTEPWPRPWVSVNHALATWFWLINQTWVTVFLGIKNTEVRAYRTDPVQALLSTLIGSASFVPDFCKQ >KVH94207 pep supercontig:CcrdV1:scaffold_56:14423:22212:-1 gene:Ccrd_003686 transcript:KVH94207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, HIT-type MGKRKKGFTEPKTPPKKGCKVCEEAESKYKCPACLIPYCSLVCFKKHKEISCVKPVPAPENDTTSTILPPVDVDRACYVDDISDVLPHSQLECIALSNEIRDAMKGKELQELIRNIDCSADAEAELDKAMKQEEFRLFTEKILRMVNQPENQRI >KVH94225 pep supercontig:CcrdV1:scaffold_56:255415:255702:-1 gene:Ccrd_003702 transcript:KVH94225 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MKNCWTALPENRKAVVVEVIMPNPEHQPISAIDFASSQAAVNNDMIMLIASPTGRERTEKEFTALAKEAGFTCFEIVCGVSMYSIMEFYKDCMTI >KVH94253 pep supercontig:CcrdV1:scaffold_56:611769:614074:-1 gene:Ccrd_003732 transcript:KVH94253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDRDFFLNAGLHFEPSSQMSSWKLMASVPEMNCPPEQSSVDRFNHFESALSSMVSSPVSSSVANNDNFAVRELIGKLGSIRNGGGEVSLAAIAPATATVTARYNSNNTSCYNTPLSSPPSKLNWPIADHFAKETTPTLRNSIPYGGAGLPSLAADPGFAERAAKFSCFGSRSFNGRSSQLGLNSNNPDFQSRSSVSPLSGNAKLHRVSSSPSLKIDGSAMAAIQENKNPTETHINGSGSDNKTNSIEDFSASEQMGFKNQNDSNSRKRKSSSSRGKAMEIASTIVKEEGNDDSNSKKPKKTEKESKTEIDTNGETEKKTNLPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQHQVEFLSMKLATVNPRSDFEISKDMSQSNGNLSQQLYPIESSASDFYQQNPQQQVLFIGSTPITQSPVDPLTPVHRFTEPFSQFAGFEGDDLHSIVKMGFGDNRNNQTSEMKIEL >KVH94236 pep supercontig:CcrdV1:scaffold_56:183278:187696:-1 gene:Ccrd_003697 transcript:KVH94236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MGQQSLIYSFVARGTVILAEYTEFTGNFTNIAAQCLQKLPATNNKFTYSCDGHTFNYLVEDGFTYCVVAVEAIGRQIPIAFLERIKDEFTKKYSGGKAATAVANSLNKEFGSKLKEQMQHCVDHPEEISKLSKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHSQAQGFRTAGTQLRRKMWFQNMKIKLIVLGILIALILIIVVAACGGFKCGK >KVH94217 pep supercontig:CcrdV1:scaffold_56:476197:479242:-1 gene:Ccrd_003720 transcript:KVH94217 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit N/Rpb10 MIIPVRCFTCGKVIGNKWDTYLDLLQSDYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSDTS >KVH94246 pep supercontig:CcrdV1:scaffold_56:368538:381913:1 gene:Ccrd_003712 transcript:KVH94246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MGSRSWQITTMMVIFFEIFFLLTDGARDASFGVNYNVTWGRDRILFFKRGLEVQLSLDHLSGSGFASKAYFGSGLFQMKIKLPDKDSSGVVTAFYVLTITLNAFVNYFLQKGYPKRPMQVVVSLWDGSAWATDGGQTKANWSNEPFKARFQDFNIDGCPSTPTASNMDCYSSRYWWNHKNYLQLDQRQLKAYEDARKAYMNYDYCTDRARDASFDENYNVTWGRDRILFFKRGHEVQLSLDHLSGSGFASKAYFGSGLFQMKIKLPDKDSAGVVTAFYVLTKTLNNNKLKHDVPIRVLKKNRLKGIGYPKRAMQVVVSLWDGSDWATDGGQSKANWSNEPFQAHFQDFNIDGCPSIPTVPNKDCYSSRYWWNRKNYRQLNQRQLKAYEDARKVYMNYDYCTDRGRYPIPHPECTE >KVH94245 pep supercontig:CcrdV1:scaffold_56:388528:396298:1 gene:Ccrd_003713 transcript:KVH94245 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUB domain-containing protein MDVPKVNSKLLGELEEMGFPFARATRALHYSVVGSKLIYGLSSTCLSLRYFAELKVSLVQVRIEIEGSKSSSMSEEVKLKAQKLRKGFGQEKSCKSQRRLQKKTKDNAVRKAEKEEEKRARERIRQKLQQDKVERRGKVGLAPESHASYKTATPMVQENKDDDIKVKRAFETLLIYIRNVARDPDEDKFRKIRLSNPAFKERVGIFKQGIKFLELCGFEQVEGGRYLFLPRARFDMAEFKSAGNELQSAITNPFFGLLSTEE >KVH94248 pep supercontig:CcrdV1:scaffold_56:400168:406114:-1 gene:Ccrd_003714 transcript:KVH94248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-glycan biosynthesis class S protein MAEITETMGNESSPSEENRNENSERSNFDNKTMRKSKPGLKRLALTLTVFFSFLLGLPWLLKSIEIYRSPLPFRDIDSLSNAIDSNPFLFPCKFHAVFVNFEHSTPIKQNAEQLGFLVSSHMLQLADGNSFCGTCESKYTVSVSLDSGNGCVEYEDADRGRAWRCGALSEFKFDETVKNDEVLDEYLESVVGRRGRVYTVVVVNAGEGYRVRAVVGKHRHAWIVGRFSEVDMLAEKVAEMFVKIFVGGAKEEGAMQGEFMPVGADGKIVLSFNLLNADPRDWIYDWDFKEVDEKVLSPTLEALGPIANISVESQVLYHTPKSSYSYWDVEVESHIFSTKDLPFFVNSNEWHLDTSIAAGGRSKILQFVVYIPSANECPLRLQLPNGEISMTNAFISPTWGGVVVLNPPNCLGNANSMHPLRRKVSPQELNQIFEVFMGQLRQLFGLKSTGFYKGASGSSILLASERGFTEWELDVLARQHACFNLRQCGTTLGSLSRLVQSLPRMIIKDEIGKQVSYKRCTVIGCLQSSILTRSNYPDIWRACAT >KVH94213 pep supercontig:CcrdV1:scaffold_56:519525:523444:-1 gene:Ccrd_003724 transcript:KVH94213 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MGRRRGSAVNKLFAWVRRQSMKVKIFFGITFIISSLVALRYLIKDTNQFFVASESVHAAGIVVLIYKLTTQKTCSGLSLQTQELTAMFLAVRLFCSFMMEHDIHTVLDFATLVSTLWVVPCAILALFIYPHTYHSHLSKVMWAFCVYLEAIAVLPQLLFMQKTKMIEPSTAHYVGYLWLPMVLLAESVQTFILADFCYYYVKSVVNGELLVSLPPV >KVH97063 pep supercontig:CcrdV1:scaffold_560:204521:212528:-1 gene:Ccrd_000843 transcript:KVH97063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, A20-type MNGASWKTNDGWSDRALMKKTTLRFDTKIKRDIIFIKRGLIISSTAAQFFSLYEQKSHIMEKNGAGCEASPEAAAILCINNCGFFGTSATMNMCSKCHKDMILKQEHAKLATSAIKNIMDGGNDKESARVPSLFGAPSGSAASEMAQPSCGSPTQAESNSKSKEGPTRCMTCRKRVGLTGFSCRCGNLFCCVHRYSDKHDCPFDYLTASRDAIAKGNPVVKGQKLDKI >KVH97074 pep supercontig:CcrdV1:scaffold_560:43423:46352:1 gene:Ccrd_000828 transcript:KVH97074 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MAISKSVNHKKHHHQCYISVPSEIINSLSSNSLQSLLLSPKKAAAASKTGAFARSKVLIKNPTTWFLFLFLCGFLLMLKMWYNFDPFSPNPCGIIPQKDTSSLISNGVSNSQLKTEERDEEINGFWKQPDGFGYRPCLDFSREYMKSSVEILKDRTKYLVVVVSGGINQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDVDHFKEALADDVRIISSLPSNHLMSRPVEEKHTPLHVSPQWIRARYLKRMKREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPPIRELGNKLTERMRSKGPYLALHLRMEKDVWVRTGCLPGLTREYDEIIHNERKYRPELLTSRSNMTYHDRKLAGLCPLNAVEVARLLKALGVPRNGRIFWAGGNPLGGPDTLLPLVTEFPHFYNKEDLGLAEELEPFAKKASIMAAIDYIVSENSDVFMASHGGNMGHAIQGHRAYAGHKKTITPNKRQMLSYFLNTSLDESEFNKIILGLHRDSMGQPELRTSKAGRDVTKFPIPECMRNDTSDRLSS >KVH97075 pep supercontig:CcrdV1:scaffold_560:49111:52611:-1 gene:Ccrd_000829 transcript:KVH97075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole methylase MALLTKLPSSSLSSSSSAITQFQNPQISTLKPFNSIHFTKTSCSASSPFTEKHSIERYQRDKWVFRNQLDPTPSENLPNFSTRDYEIALQLPELKNLLQVLREKREEGGDEERRKGAPGNVFLVGTGPGDPDLLTLKALKVIQNADLVLYDRLVSNDIMDLVGPHAKLLYVGKTAGYHSRTQEEIHELLLSFAEVGANVVRLKGGDPLVFGRGGEEMDFLQQKGIQVKVIPGITAASGIAAELGVPLTHRGVANSVRFLTGHSRKGGADPLFVAENAADPDSTLVVYMGLSTFPSLVLKLIHHGLPPDTPAAAVERGTTPQQRVVLAELKDLADKIASEQFISPTLIFIGKVVALSPLWPQYCSKETSELIQMADMGS >KVH97073 pep supercontig:CcrdV1:scaffold_560:96202:98591:-1 gene:Ccrd_000836 transcript:KVH97073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MYGHGGGGSSDAISRDMNSLLYSSTFKHPADGEFAKIKQLISLDPYPNYDHHDHNQQQQDENHGSNHSSLLRYRSTPSSFFSNLLDDNGDEEFPDPVTASSNHQSKAEESYFTGNQQKKSESDARDFLQFESSSSKPERKESAIQNQDLPPPSTAAMHGGSSRRAPNLPPSNNNSSYGYTNQNNLDSASSFRVMDSTSSNLIRQSSSPDGFLSCLTVDNGFGGMKDARKGSSSSSSLNNHISFSLGPSSSSRFLPQIVENESDSTFGSLKRSRDGEMADVENILHFQQESLVPWKARAKRGFATHPRSIAERVRRTRISERIKRLQELFPNMDKSLNEAQARCKCSSKQLQPGSTM >KVH97061 pep supercontig:CcrdV1:scaffold_560:176402:181805:1 gene:Ccrd_000841 transcript:KVH97061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein EPVSLSPLTRQSPFSFTSSFKHPPAAGDSASVAPPFRINRLDLLVNFRVSKDQKETVLDLAKFVDKGVQVKLTGGRQVSGTLKGYDQLLNLVLDEAVEFLRDADDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTEEIANPFIQPDGA >KVH97065 pep supercontig:CcrdV1:scaffold_560:158122:159282:1 gene:Ccrd_000839 transcript:KVH97065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVTVSLKTSIAKLEFGERGRERMEATYLVVMMTLLAGVVISCPPSDRGALLAFKSALTDPYLGIFNTWTGSDCCTGWYGISCDPTEGRVNDIILRGESEHTLFAVAGRTGFMSGSLSPSLCSLDRLTTLIVADWKGISGEIPACITSLPRLRILDLVGNQITGEIPVDIGNLGKLTVLNVADNNITGNIPQSIVSLGNLMHLDLRNNRISGEIPANLGKLSMMSRILLKQNELTGSIPTSITSIYRLADLDLSMNQISGSIPAQLGSMPVLSTLNLDSNQLSGEIPGCLLRSNGLNIMNLSHNALEGYLPDVFSSTTYFSMLDLSFNELKGSIPASLAFARYIGHLDLSNNHLCGEIPVGFPFDHLEASSFSDNDCLCGAPLMRVC >KVH97072 pep supercontig:CcrdV1:scaffold_560:54685:57240:-1 gene:Ccrd_000830 transcript:KVH97072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MATISSSIISSTSYLHHHSTSKSFKIQQPKFTPTLSQTSLRFTISNPRHPTRLLSVAEEAPATAVTYDPSSEAARRLYVGNIPRTTNNDELHKVFEEHGAIEKVEVMYDKYSGRSRRFGFVTMKTVDDANAAIEKLNGTEIGGRKIKVNVTEKPLNGVGFSVLQAEETPFVDSPYKLYVGNLAKTVTSDSLKTFFSEKGNVVGAKVSRVPGTSKSSGFGFVSFSSEEEVEVAISSFNDAVLEGQKIRVNKA >KVH97069 pep supercontig:CcrdV1:scaffold_560:95116:95720:1 gene:Ccrd_000835 transcript:KVH97069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MENNGRMAALVVVLSLLVHGTVAHPCGSTFFSALVQLIPCRASVAPFSPIPPNEACCTAIKVLGQPCLCVLVNGPPISGEIEKKKE >KVH97066 pep supercontig:CcrdV1:scaffold_560:138562:148274:1 gene:Ccrd_000838 transcript:KVH97066 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1747 MAEIVLQYLEFGTMTDGHVFNNISLGGRWGTNPGQLKVHSGGILWKKQGGGKAVEVDKSDILGLTWMKIPRTNRLAVEIKDGLNYRFTGFRDQDVISLTNFFQNSCGLTTGEKQLSVSGKNWGEVDLKGNMLSFNVGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNNNTQFVGDENRPPAQHRSSLRLVSHQVFREKIISMADVGAGGEEAVVTFEGIAILTPRGRYNVELHLSFLRLQGQANDFKIQFGSVVRVFVLPKSNQPHTFVVVTLDPPIRKGQTMYPHIVLQFETDYVVESTLTMNEDLFATKYKDKLEPSYKGLIHEVFTMVLRGLSGTKLTRPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILYDEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFINSKGLKIMNLGGAKTTDGVAAVLQDEDDDNVDPHLERIKNEAGGDDSDEEDEDFVADKDDGGSPTDDSGGDDSDASGTSSSKKRTKGSEDDGPKKRKQKKKKDPNAPKRAMSGFMFFSQTERENVKKDNPGIAFQEIGRVLGERWNKLSAGEKEPYEAKAQADKKRYRDELSGYKPPPPPTNSGNGSDTA >KVH97060 pep supercontig:CcrdV1:scaffold_560:162250:173300:-1 gene:Ccrd_000840 transcript:KVH97060 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Sae2/CtIP MFIIIKISEIEHHTPAAGDLASAAPPVTDQPQEETALHLAKFVEKGVEVKPTGGRKEDPRTSPELAYHVDGTDTEYISGLSTLLAATIQETKESVSQIEYLFCKQLFPDFQSKLKKIYSEAKKAAEDEWRKKENDLMVQVKTLQSEKQKVFEENQVLKMENSKVKKFPRPPFKHANDIEEELKRKTKEVAEKRELQQTLREFLDSKASMVYDNEKRLKELEEENSLLREKTHVHDLEVEELKLKLRSKYMIIEEKEESNCKLLQLVQSKVLLIEQKDQQLKDKDDKMNNLFTKLHTLEKNFDEMQEELREKTKEVHTKKEFQETLLIKLKTQALEILKTRQLLDNCEMENRVLTDQLRIQEDNCKRQQQLIEQNGSNNSELMKTRHDMEELQKENKLLIVKLKGLEEEVDKLQVNLRERSNESTEGMELHGKLLQQIEGKNSEIMMEKQKRREVIDAYKKLKSQYNYLCSKSGLTQENMLDSTSTKVNKDPLVHDQNILNSPDVGGKSLNTPMADWETTNQNINQQNSEDNKEIRLIHGSSSGSPLVAQPDSNQKTTLRSGTKRPISHWRDMRPTKNRNGADLHDDFLDTPLENIKEDLKKGAKEEEIHHLPGTVPKDMNFDSSDDETQDLSTADGGLRRSEKKSFKYVEPVRKKAERANLKGVECKQCKKFYDAVLPDGSGDNNKQNLRCEHHEGVSRHRYSSLHEDIARSSNIETLDKSNRKAPIWKHFDLVRKDCILYARCHRCSKLMTSRGNSSLSRHWN >KVH97070 pep supercontig:CcrdV1:scaffold_560:75703:93880:1 gene:Ccrd_000834 transcript:KVH97070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal MEQIPSVSTTAVAKKRCASTDALQLTNASIGSRLPWATVLPIVLCRVRLPSWSWPLLLDHKWFVLTALNSSHGLLAAPSLTTPRWLEEVIGSLAHQFTVITRYLTVRITEMVNHMGKEIRFVFSQFEFLGACVLSVYLTEAGYFLAVVNPSSWSHLGTSSAYNGKPRSIHGTSFMASKDYYDTLGVSKNATGSEIKKAYYGLAKKWHPDANKDDPEAETRFQEISKAYEVLKDEEKRAQYDQLGHETFEASANGGAGPDAGHWRNPFQDLGDIFGFGPFGRNFTGKDVKSLCKSCNGQRVVRGPKSVKLNIMPGVDTNEELRMSRSGGADPDGNQPGDLYAILGGTIQVPTLTGDVVLKVRAGTQPGQKVVLKGKGIKTRSSYSYGDQYVHFNVSIPTNLTERQRELIEEFAKEEQGEYEKGAAAGASN >KVH97062 pep supercontig:CcrdV1:scaffold_560:182369:182866:-1 gene:Ccrd_000842 transcript:KVH97062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, A20-type MAQRTEKEETEFKVVPETLTLCVNNCGVVGNPATNNMCQKCFNATTTASSTTSQRARSRSSSSRPASPRDVSVDLVLDRTIVALDEESKEKERTDAVVIKKEVNRCSGCRKRVGLTGFRCRCGDLFCAEHRYSDRHDCSYDYKTAGREAIARDNPVVKAAKIVRI >KVH97064 pep supercontig:CcrdV1:scaffold_560:214667:216206:-1 gene:Ccrd_000844 transcript:KVH97064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTESGCTKGAEAVLRVLPNSSIPIAYHPLFGPHSDLLLLELDEKLLPDVINQRISLRGQPEEDAVLCTQSKTYAIKFVGTSNSVFLVPPSDQFAVDENQQDCNEKGSDSNGPHASVLKVAPGTMELVEVAPRIDKLKSLLSENPYKFDEDSEMYDTGLYRWDDLIDRVQASDEEMRFALRVISAVEINGYWRIVDEKYMDGILNMLLNNTLLNDWSLNALDEDEVVESLVKDGFSNVIAHHCLDVFGSKTNRSEEGGKSFWELDNRRVSVHFARRILTCGKMKLESFMEEWTRKVPGGMVVSFDMLEGEVLVEKLGIQSLVYAFSVSSLPSDPANRFSRLFQERSKWDWKDLQPYIRDLSVPGLTSDGLLLKYTRRTQPTADSEPVFTAR >KVH97076 pep supercontig:CcrdV1:scaffold_560:3747:9871:1 gene:Ccrd_000827 transcript:KVH97076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, HIT-type MGEQEGGVVICSTKPLMEPPESSSKSCEECKTNIFKYKCPGCSVRSCSLPCVKAHKQRTGCTGKRQQHTEFVPLSQFDDNLILSDYNMLEDVKRIADSAKRRRLQLCGKFFHGLPHNLKSLMRAAGNRKIKLFFLPNGMSKRESNQTFFDNRNKSISWTIEWRFHSTNIKLFDHGVHEDSNLYSMIENHLKSGPRNHALQPFRLEPVESLKFFISKHPKQPRSPFRELDIQAPLRQQLASLVIIEYPVIHVFLPSHSLNFEVVKDIITHIVQPKPDPVKDSQPSPKGTFFREEEIKDHDSADPHVLDLMNRSNSKTEPKDIPKSLDSTNKNEAGVELGVADLMNFDFDPELVDVYSNLISETNPDDFLDMDSLLCDDMQLHEEKRDCKVIKEELEEGEIADSD >KVH97068 pep supercontig:CcrdV1:scaffold_560:68040:70355:-1 gene:Ccrd_000832 transcript:KVH97068 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MQHEPVNPTLEQADYELKDTSPQLGERWLQGGAYGSERSTSTYDLVEQTYHLYVRVVKAKELAVGTDPYVEVKLGNYRGRTRHFEKKTNPEWNQVFAFSKDRVQSSTLEVYVKDKEMFGRDDYVGKVVFDLNEVPTRVPPDSPLAPQWYRLEDRRGQGAEIMIAVWMGTQADEAFPEAWHSDALFAQGEGVFNVRSKVYVSPKLWYIRVNVIEAQDVIPNDRSRLPEVSVKVQVGNQVLRTKISSVRTMNPLWNEDFVFVAAEPFEEHLVLTIEDRVHPSRDEVLGTLSLPLTMFEKRLDHRPVHSRWFNLEKYGLGEMKFSSRVHIRVCLEGGYHVLDESTLYISDQRPTAKQLWKPPCGILEVGILGAQGLLPMKMKDRRGSTDAYCVAKYGQKWVRTRTILDTLNPKWNEQYTWEVYDPCTVITMGVFDNCHLGDEKPGTGRDSRIGKVRIRLSTLEAHRIYTHSYPLLVLHPSGVKKMGELQLAVRFTNLSLANTVYMYGRPLLPKMHYLNPLTVNQVDTLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMSLISGLFSVSRWFTNVREWKNPITTVLVHILFLILLWYPELILPTICLYMFLIGLWNYRFRARHPPHMDPKLSWAEAVHPDELDEEFDTFPTSRPPDTVRMRYDRIRSIAGRIQTVVGDVATQGERLVSLLSWRDPRATSLFVIFSLCSAVVLYMTPFRVVALVGGLYTLRHPRFRSKLPSVPSNFFKRLPARSDSLL >KVH97067 pep supercontig:CcrdV1:scaffold_560:65081:67652:1 gene:Ccrd_000831 transcript:KVH97067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 domain 2 MAPQQRKPHVCRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGAFPKHEKKAVAAAPAEKPPKFYPADDVKKPLVNKRKARLTKLRWPFKINGVPLRRVNQSYVIATSTKVDISGVNVEKFDDKYFGKQVEKKKKKGEGEFFEAEKEEKNTLPQDKKDDQKSVDAALVKSIEAVPDLKSYLGARFSLKAGMKPHELVF >KVH97071 pep supercontig:CcrdV1:scaffold_560:123795:126582:-1 gene:Ccrd_000837 transcript:KVH97071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimeric alpha-beta barrel MGEVKHILLAKFKDGISEEKIEDLIKGYANLVNKVEPMKSFRWGKDVSIENLHQGFTHVFESSFETTEGIATYISHPAHVEFADDFLAHLDKVIIIDYKPTAVLPSTS >KVI12090 pep supercontig:CcrdV1:scaffold_5600:21623:28663:1 gene:Ccrd_009492 transcript:KVI12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MELEKENMINEETVEMAKQKKLGGIKTMPFILANEICDRFAATGFHANLITYLTQQLNLPLVKASNTLTNFSGVASFMPIFGALLADSFVGRYWTILIALLIYILGMVIITISAILPQFRPQQCPTQENCKEASQSQLWVLYISLLLTSIGLGGTRPCVVAFAADQLDISKFKTQAKSWNFFNWYYFSLSLASLSALTVIVYVQDRVSWGWGLGIPTIAMAMAFVAFLVGSPLYQKTKPEGSPFVRVTQVIVAAVKKWQVMVPIDAKLLYENKELDHSISRDGRLVHTDHLRWFDKAAVITEDDTVLVSNSPKLWRIATVHRVEELKSIIRLLPIISTGIIYVMAYSHQNSFTVMQARTMDRHLSSSFQIPPASMAVFGVIGTLISLAVYDRLFVPFAYRFTNNPAGITCLQRIGVGFVINVLATFIAAFVEMKRKQEAKDHNLLDKPTHTIPISVFWLIPQYFLHGVAESFFHVGKLEFLYEQSAESMKSTALALYWIAIGIGQYAGTLMVTMVHKYTTNGRGRNWLPDRNLNRGKLEDYYMLITGIQVLNLVYYFLCAWFYTYKLLEDTVKGGEDGNLELVVDKAVIDVTNDPPHYNPILARDLVDIP >KVI12089 pep supercontig:CcrdV1:scaffold_5600:871:1707:1 gene:Ccrd_009491 transcript:KVI12089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MIHLLSGNVKGSLELSEEEWDSTMRTNLTGAWLVSKYVSILMRDANRGGSIINISSIVGLDRGQLPGGLAYACSKTGVVTLTKVMAMELGTYKIRVNSINPGIFKSEITDGLMKKDWLNTVAQRTVPLRTFGTADPALTKLARYLIHELSEYVSGNVFIVDAGNTLPGVPIFSSL >KVI03801 pep supercontig:CcrdV1:scaffold_561:121961:125337:1 gene:Ccrd_017889 transcript:KVI03801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVVMVPFPGRGHINPMLNLCNLLSSRVNEPNRTTVFTVVLTEEWLGLINPDLKQANVRFATIPNVLPSELHRGSNMIAFLTAVCTKMELPFEEVLDQMEIPVELIIADAHMYWPFDVANKRNIPVTAYWPLSASMFSVIHHADLLESHHHLGVDVSERGKECIDYIPGVSAFTVADIPEILHVGILKDITSDLLVVTQKANSLLLSTIYDLESDAIDVLKSRLKIPIFTAGPNIPLSSQINSINPQPIYINWLDSKPPRTVLYVSFGSFLPISDVELEEIVAGLRSSGVSFLWVARGKTADLKEMCGEEGMVVEWCDQMAVLLHSSIGGFWTHCGWNSVKEGLFSGVPMLTLPIFLDQPLNEKAIVEDWKVGRKARTEMGGFKRRDEIAEVVRRFMDSESVERIGMMERAEKLREICRDSVGDGGSANEDLGAFIRDIVTIRK >KVI03809 pep supercontig:CcrdV1:scaffold_561:49198:53426:-1 gene:Ccrd_017883 transcript:KVI03809 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein EREIQRSTTTTRGKWAAKIRDPHKVARVWLGTSDHEVANVNKCTWQKRNLFKTKSQDKHIVDLWFYSITCGTRVLESSKQSVKMNVSSWFRRTKKGSSSQPAATAIINQNDEQRHLYGITDDLIGLINSFTIETFKNFNLQDEEAAIDGAESTSGNVQKDLSDWQEKHAIIVLSKVKELSQLRFKLCPRHLKEREFWRIYFTLVKNYVAKYELHAIRLEKLKQIAVENTKDSNTSAYEVEMLESRKSTNLEPATSLE >KVI03802 pep supercontig:CcrdV1:scaffold_561:55393:64169:-1 gene:Ccrd_017884 transcript:KVI03802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MMNRKRDNFFSRFILTIITVITVSLSCSFVHFSHAASSDSSSVHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHENAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDADELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFSQLHSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLSSLFSSYNPNLLLSTAYYRTDLYQQQMEKEYVIDFHLSAHQVPVTNFFGSVMETIHTGSAYRGVSGKDSNRAKFEVSSDQSYDRDAKSITTSSDPEKQAVKSGTCPHADLWNMIVEKVQRIKDVDSIVNYGFVGLLTLVAVSTWHSS >KVI03812 pep supercontig:CcrdV1:scaffold_561:170331:177223:-1 gene:Ccrd_017894 transcript:KVI03812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase SLSFDHNSLGPIDGELHKSSPLAHVHLFNQRLFRRPPPARYTTMLSRVVPTAAAAATTYNGVFKDNSIAVTHSRSVEFVSIRKGNTGLLCNSPSRKVAPVMAVKTNEQPTSVAVGLKEAEAEKSLADRLRLGSLTEDGLSYKERFIIRCYEVGINKTATVETIANLLQEVGGNHAQSVGFSTDGFATTTTMRKLHLIWVTSRMHIEIYRYPAWSDVVEIETWCQSEGRIGTRRDWIIKDHATGEVIGRATSKWVMMNEDTRKLQKVNDDVRDEYLVFCPKTPRRECQHDDIVDSLTSSESLDDPAISKLEGTNGSSAPKKDAADLSRFLHLLRSSGAGGLDFRILETRQVLSLSSYVLHVEWHE >KVI03805 pep supercontig:CcrdV1:scaffold_561:100932:111895:-1 gene:Ccrd_017887 transcript:KVI03805 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAS subgroup MAFDLSRARLSVVVDLRLFCKQEKRKRIAGMSVGIFSSEGRHRSHWHFVLEEMSWLANDFAQERLWKVTAAAQISRNAAYTSRVRFQQQNSLWKQKEVAHTLAEAVKCQGSELEGLKKDSMLGLRQYGMRFLEYNTSHAQYSSAQAPVTPDRISDLGSIDISWEDNLTEEDLFYTVPPGAIEAYRKSIQSHLLQCERTGSSMQEEVDASGYDAVADNTLEEEEGETSTYYLPGAFEGSRSSKTAQKKRKQFRSYGARSYEMGVDLSFMQPLERNIGIQPSVLSGKRPASSINVSIPTKRVRTASRQRFSGTSGYIQASTRADASSGDTNSFQDEQSSLHGGPQIPSNMEAESVGDYEKQWQFDMTEVSNQPKKKKKAKHPGSTYEHRWPLDSNIQNDQKDHSKRRLDTRQFDSNGNSGASQMNNMSNPNKFMKLLVRDRGRKAKSLKSLQTPAGQQGSGSPWSLFEDQALVVLVHDMGANWELISDAINSTLQFKCISRNSKECKERHKILMDRNTGDGADSAEDSGSSQPYPSTLPGIPEAIFVLTYSFYMLSIGSARQLFQRLQGPMEEDTLKYHFEKMIMIWQKHHYRKAQNDNQDPKQLQQPHGSHALALSQVCPNNLNGGPVLTPLDLCDAISSSPDFLPIGYQGPHTGGLPVPNHGNAAPMVPGSSSASSLPGSSNMVHGSHLVSASAPLSPSVREGRYGIPRTGSLSIDDQHRMQQYNQMLSARNIQQSSLPSGTHSGTDRGVRMLPGGNGTGVMNRNMTMTRPGFQGIASPSMLSSGVGMPTAANIQAGAGPSQGNSVRPREALHMTR >KVI03815 pep supercontig:CcrdV1:scaffold_561:133242:137190:-1 gene:Ccrd_017891 transcript:KVI03815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNRNDNDEMWDWKGEDYGLQLDESNSLWNNVSESGDDLSYVFNETTPVKSCGDLAYQVTDNASSQAKRRRMLHFEDEVLDVDVLPLCDEDFSSTFLKNLSGTSDIQINLTDSNNLQPENGGNMVPERHTPTRQNVIFKGKKSFIKTPTRMTSSVVLPFAFVKPCGVQGAVTLKDINQKILTPPPSKSKKTYEDPTISYPTSAFSGKPIVGKTKIRTEGGKGSITIMRTKG >KVI03816 pep supercontig:CcrdV1:scaffold_561:127652:128350:1 gene:Ccrd_017890 transcript:KVI03816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDGKNSVSDRIRHRTIMDMLQDDDQASGSKKSWKAFRDKLRLKKAGAAWTSTVPIPASDIPIQTNTNRMMMRRGSARYPSDPNVDSLDAEGTRQVAHMERQRSIRLLPLETDPDEEDENNSPEDDEQKIPAAEEINLQSQTSLMALLEDYGANSVEDEGEHEAEAEPEPEPEEEDEDIGDYHICCGCTGKHKGAAFGPCGHTFCKSCTRELHVSRGNCPTCNNYILEILDIY >KVI03808 pep supercontig:CcrdV1:scaffold_561:35985:42390:1 gene:Ccrd_017882 transcript:KVI03808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MGKYMRKAKLAGDVAAVMDVSQSSLGVRTRAKTLALQKLQASKSTTSSPPSPVPEHRHELSYLQLRSRRLEKPPFQPLTCCNQQNPNPNEAGSRLVMCSSVSGSVHSVSIGEEHQIVCENQIRAGEGTEESCYFGVEEASFGEDDIHFGGRERSSRESTPCSLIKRDLNAVGTTRSSTRARNLEASSRMTQNSIRSIPLAQEIEEFFARHDQEQQRRFAEKYNFDMVNEKALEGRYEWVRVESQ >KVI03804 pep supercontig:CcrdV1:scaffold_561:88831:95264:1 gene:Ccrd_017886 transcript:KVI03804 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MTALNQEDRSTQRYGLGTFGDDKRRVRVRSLKKKTMGASTRPMNSFKTRSNKRVLNCQFASISIDDVRDEEEEKVVNAFRQILIEKDLLPNRHDDYHTLLRFLKARKFDIDKAVQMWAEMLNWRKDYGADSILQGRPVYIERLGKVDPSKLMNVTTVERFLRYHVQGFEKAFSEKFPACSVAARRHIDSCTTILDVHGMVLGNKYQNKLLEVIESSQLPDFLGGICSCSNDGGCLRSDKGPWHDQELMKLINTGDVEKNASFYDDSSLEVKAIVSEQVVSGTPIDVGPRNDMIQTRAMEEPTVKRVVVFLYKVLAYIFLLVFRLVKFSAKNIAKSLSNGQNAPEFVNVSSPLRKDLLHPCEEKLIQLEAMVAELSSKPSRIPQEKDEMLAESMNRIRSMEYDLQKTKKALFATASKQMELEERTKT >KVI03814 pep supercontig:CcrdV1:scaffold_561:148584:153167:-1 gene:Ccrd_017892 transcript:KVI03814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESKRTVKLFCPSLSKAVQLVADENQRLDLGSIARSFGLEPATLKLNGHFISRGVDLIASSVTWKSLISFFSSRGLSTGTSGSGALVIDGKLSKSGSKRTHDSVDGTPSMSDRGYDNINRRPPLEDSNSIKKTKFKDPDVDNGSRKHFITNALKRKQEDASSSLKRFTIHLLRNPFQNTTSVQFSEHKYEADERGRNGSPGFLQENQIISRHQL >KVI03807 pep supercontig:CcrdV1:scaffold_561:13633:19260:1 gene:Ccrd_017881 transcript:KVI03807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEEGNESEQISDHFICAFVAMPPASGVATTPWMGEGNLIAHYVGTQDEEQKLLAFSPDVDHLVTGEELDHPSIGDQPSDLSCEDNLLINSSPSEETKSNDGSSAENGNRVTVADVLCTACRQLLYRPVVLNCGHVYCEACITIPEDGVIKCRVCEGRHPNGVPSICKELDYFLEEQFSSEYALRRSSMQPYTEQIRNGNPFKDCKEKSGYDVCGDCHKTGSKLPGRFNQKHTSEHRLVLVKPVINRDVIYRLLSGQLAVVSAARNRSNESSEFASSSIDDDDGGGGGGGGGGG >KVI03806 pep supercontig:CcrdV1:scaffold_561:2921:10965:1 gene:Ccrd_017880 transcript:KVI03806 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAVAYIETQQMKAKEHQQQLQMQQMHLIQQRNAQLQRRDPNHPPLGGTVNAMNTDGLMGKPSASTLGMKMYEEPMKPSHSMDSETSPALLEASRMALLKPATNHQGQLVQGNSPNMSANLQQIQGRSQMNTEIKQEVNMGNTQKLLPSDPSSIYGQAILQSKSGLGGAGLNQGVTGLPLKGWPLTGIDQLRPGLGLQVHKPNLQNPSQFYLASQQQQALAHAQAQGNLGASPNYGFVGLPRGNLIMKDVQASRNEGSVGSPGQMNSPKNNRKRKQHSSSGQANSTGTGNTVGPSPSSPASTHTPGDGVTTASSLQHVNSVQKSMMMYGADGTGGIASSTNQLDDIEHFGDVGALDDNVESFLQQDAGDYGTVKQTLTEHKTGSSKGFSFGEVGCIRTRNKAVLWNMDTLQTESTPEEHQYVITDVRFRPNSTQLATASFDKSVRIWDAANPSYCLHAHTAHTSHVMSLDFHPKKNDLFCFCDSSNEIRYWNLSPFQCTRLSKQGGSAQVRFQPVTGHLLAAASDKIVSIFDVETDRQTHSFQESVKVWSLASGECIHELTSNGNQFHSCVFHPSYSTLLVIGGTRSLELWNMAENKRMTVPAHETIIAALAQSPVTGMVASASHDSSVKLWK >KVI03810 pep supercontig:CcrdV1:scaffold_561:210460:213755:-1 gene:Ccrd_017896 transcript:KVI03810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase subunit 3 MVIWGNRFIRPDDVCDDLIIGGCNFISYYIIASVNCELPLYLVVSLGCYGLFMVGFGLMQFPTCPQEAVLLQQDVIEVKQFLKRKGVDVGSD >KVI03813 pep supercontig:CcrdV1:scaffold_561:156803:163579:1 gene:Ccrd_017893 transcript:KVI03813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein MYADRVETAPKSSIKDRLNGGALDNSRSRRQITGKRQRQNDDKWEHDLYEQADPQISNRRVGVLDLRLKLQKKSNQQATQGARGPLSGGVRDLREKLSGITYSLPAVTVQAKPKSVPESSKSTRRSVVAEAPVAETKKVANIASKKKKAETVDTFLQALGLEKYSITFQAEEVDMTALLHMTDEDLKAIGIPMGPRKKILLALESKA >KVI03811 pep supercontig:CcrdV1:scaffold_561:205930:213686:1 gene:Ccrd_017895 transcript:KVI03811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVVEVRSPEMDTGVEDAVEACGLQARRKPRLQYSGPAIVHGLTKGDNGGGEPSENATYLHQNGGAIKDLDIHKIQNPGKYDAINSGITETASASSEETGESLRSELTEEDIVEEEVVELEFDKAISKLHTHRMHCPNCDAQITKVVLRRKITRRPRPPIDEPEKPIDLLGCLSCFSLFTSAGNPFSIFRDKPRVNAVPQPDGNEEEIESVFRNEPNVPTIQDMTKPGLPSPSPTTDTIYVPSKPLGEHRGSSLDVTPEKPETSIEIPPATSPAIGAPQTQEPVGQDSTSFEILKSVVYGGLMEVIASLSVVASAVAADAATLTIVALAIANLIGGIFVIGHNLWDLKDDCYKFSTQQITRNKYKELLGRVEHFPLHVFFAILSFFVFGIIPPVVYGYSFHETNDKDFTIVVVAAASLVCVGLLAIFKAYVDRCTRFLGYVKTIAYYLTTTIAVSGISYVVGNLVTRLIEDLGLFETIPGFLKRINFDADLIVVHLTSTPPSSKI >KVI03800 pep supercontig:CcrdV1:scaffold_561:111925:114002:-1 gene:Ccrd_017888 transcript:KVI03800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCTSGSLLLVDAGVDSMGGVIDGGVEISSAPSPQQSSDLEKTQAELRETFTAAEKFRRELEFLQKGGDPLDLKIGTATTVSLQSTSLTDQHPEHFVTSDVKGSFAITASPHGDSVESSGRLGAPSAGEPNSADNLMLFDGDNKFREVERRSLHPRTNNTPSEHYSQLDVGRNGKESGESVALELPKKSYKRRIRSRPNRDGARSSSTDAGPRSGQSFFPSRHAARDAKGLVHDADNQEQKYLSNSNSKTKSPNVSLSPKNLSSNSRVDVKSYGMQTQKSTLGPTNGPLSAVSDASASQHPQEDLFDQPLTSDTRETPLSMSSVEPGSVGRVELENLSGSEHLPGVDKQTVGNLALLGQTNVFGNTGEKEIIPNDCNGGAPSLANGFNFKSSCSQTDQSLDGNNKNESLTSIRNFNANGIMELNLSSKEVLGVESNDLNTVKDDKILNIGNDNSNPHPPSRNENGSTLKEEEGLKGSDNALQHELKEPVSSTGIDLGGCTTSEIERKPCTVLGSDSTSQSINICTDKPLGTGCTSFQESTLSHKCSTDGAQQNTFSKNSLKLATKEHEDSILEEARIIEV >KVI03803 pep supercontig:CcrdV1:scaffold_561:68043:69974:1 gene:Ccrd_017885 transcript:KVI03803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MVNGSGICAREVVVDARHHMLGRLSSILAKELLNGQRVTVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPTPYNRKKRMVIPDALKVLRLTAGHKYCLLGRLSSEVGWNHYETIKDLEKKRKEKAQVVYERKKQLNKLRAKAEKAAEEKLGPQLDILAPVTY >KVH02538 pep supercontig:CcrdV1:scaffold_5610:17134:19871:1 gene:Ccrd_026006 transcript:KVH02538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MWNGVTCSQYTGDVIALDLPCAMLRGTIHPNSTLFSHPHLQSLNLAFNDLTDSQLPHKIGMLSNSLTHLNISDCGFTSQIPSEISLLPKLVSLDLSWNLDLKLEPHVFYNLLHNSTSLEELLLDEVNISSTLPTYLNISSSSMKSLHLSDTRLQGKLPDNIFNLPYLEELDLGFNINITGQLPKVYTNTNIPLKFLDLTYTNLSGQILDSIGHLKSLTYLSLSNTNLSGQIPDSIGHLKSLNTLMLYHCSLMGPLPKSQLNLRHLTTLDLSYNKLNGTLPSWLFTLPSLEAIALSNNMFKGSLPTELFNHQSLKRLSLGANQFEGMIDVLDQGSIQQTFHQLPNLTLLDLSKNKFRGVWELDTLLSRLGTLEYLYLSYSGLSVVTNNASRYVNPNFKALELASCKIKVFPESLRAMRKLQFLDLSRNEIDGHIKELGGNELVYLDLSHNIITGPFPPSIWNMDNLRYLNLSNNRFSGVIKPGDMKFSPSVIDMGNNNFNGTIPHVCGGELTGLILNRNQFEGKVPSCFSKCAYLEVLDLGNNRLTGAFPDQLGRLPTLKVLVLRSNKFHGPIERSSSMIEHPFPSLRVLDLSQNEFGGHLPGKYFQNFDAMKNEGQSDSMQLLMK >KVH02539 pep supercontig:CcrdV1:scaffold_5610:20952:22783:-1 gene:Ccrd_026007 transcript:KVH02539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHRQHIRNKGLHLMQLAAMFSRFNERLEEALWLEGSADWYEACVPFFLLVDMTTHMLVIDTGTAPIFIGWWIIWHLKVWQKLRFLVFRLLQCTWYLVRALSTHNAEKDAEKCKIIDGMIAIFRVLVEGGDRLK >KVH02537 pep supercontig:CcrdV1:scaffold_5610:4955:10555:1 gene:Ccrd_026005 transcript:KVH02537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNVELPVRWWRRGEGRRSIGGWGRRRIPELVLQVLLCTKNLNIALWKSCLEYIFDRQACRLSSQSV >KVH02536 pep supercontig:CcrdV1:scaffold_5611:26373:26766:1 gene:Ccrd_026008 transcript:KVH02536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAQKAGTINLLGILLGGNFAEKDLCFFIAVFARMRIKLLVWRFECYEEEQFRHWKANSENQMLQLKKESWKCEFERHKLLALYQRQTQVLQRKIEETARATKKAKRVA >KVI00809 pep supercontig:CcrdV1:scaffold_5613:7327:17643:1 gene:Ccrd_020937 transcript:KVI00809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MANKTLLVLFLFLSACSFIHGNKQLHSLVRFYKAKMSKDGTVNTTSHFEPVQHLHNSATLIDHGAQKENDKIKKLPGQPYVKFDQYGGYVTINESAGRAFYYYFVEAENPKKSSSLPLLLWLNGGPGCSSLAYGAMQELGPFRVNSDGKTLYRNKFSWNRAANVLFLESPAGVGFSYSNTSSDYKNGGDKSTAADNYVFLLNWLERFPEYKGRDLYLSGESYAGHYVPQLAHTIIYHNIIANKTLINLKGILIGNAVINDETDTMGMYDYFGSHALISDETSYDIRKYCNFSADALTQPDKCIDATTDADYNIEVLDIYNIYAPLCFDGNLTIKPKKMSWQNIDPCSDYYTYAYMNRRDVQDALHANVTKLDHDWEPCSEILKGWHDSAATVIPLLKEFMKYKLRVWIFSGDTDARVPVTSTKYSISSMKLPIKTKWHPWIHQGEAGGFVQVYKGDLTLATVRGAGHQVPSYQPKRALALVKHFLSGKPLKDSSRPS >KVH02507 pep supercontig:CcrdV1:scaffold_5614:4093:15468:1 gene:Ccrd_026009 transcript:KVH02507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MSANQEDQFNMTDLSSTLPAATAALSAEDRAGLVNALKSKLDDLTGQHPDFLDTLSANARQRVGVLKDLQNQHDELEAKYIEERAELEAKYLKLYEPLYAKRYEIVNGLTEVEGVLKDTLVDKENNELAGDKGVPNFWLTAMKSNEVLADEISESDEGALQYLKDVKWCRVTGAKGFKLELFFDPNPYFKNSVLTKTYEMINEEEHILEKAIGYYVLLFNPNFLEICISSSLVLVVIPKFLLHYPCLTSDLSWKNYRTVIEWFPGKNLTQKVLRKKPKKGSRSPKPPITKTEDCESFFNFFNPPQIPDDEEDIDEDIAELLQSQMEHDYDIGSTIRDKIIPHAVSWFTGEAAQNDEYEIEDDEDDEDADEDDEDAEEDEDDDEDNVNNKKK >KVI01447 pep supercontig:CcrdV1:scaffold_5617:22252:28646:1 gene:Ccrd_020283 transcript:KVI01447 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type MQSRPTKITIKMGGKVHEIKASTVQGHQESVEKDHSVLDGPKVPSNGDSKGTDQNIVDVSSSDYSTEEDVRTPSYDDSRKQMVLYDPSVNGSEAIEAVQNPERGSVSRQVFDNRKVVPEVGAFTVQCANCFKWRLIPDQERYEVIREHITDQPFTCETTREWDREISCDEPTDLEQDGTRIWAIDKPNIAQPPPGWKRLLRLRSEGSSKFADVYYTSPTGTKLRSIPDVQRYLDNHPEQKQVADVRRFSFQIPRPLRENYVRKRPTQMPGPGTPGSLGPSAVEPIAWAGPEENLDLQLAEPLAWAGPAEERNGMPYMKTPELDPVNREVKGAAKKAKKFLDCL >KVI01446 pep supercontig:CcrdV1:scaffold_5617:3250:4665:1 gene:Ccrd_020282 transcript:KVI01446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM7 MSSKVVLKAKGKSTKGSKPSDDNSTSKLLKEWSTWTIKKAKVITHYGFIPLIIIIGMNSDPKPSISQLLSPV >KVI10323 pep supercontig:CcrdV1:scaffold_562:65871:68029:-1 gene:Ccrd_011269 transcript:KVI10323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MYLLYTTLQSSLRFAARAIFSCSPVRLAMADNGLVNDDTNDQNSPPLEIVKNEASVDDLSRDVQETLTLSQSHKFWETQPVGQFKDLRDTSLREGPIESPTPLSEVKQEPYNLPNLYEWVTCDMDSEETCAEVYNLLSNNYVEDDENMFRFNYSKEFLRWALHPPGYYKSWHIGVRVKSSKKLVAFITGIPARIRVRSDVVSMAEVNFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITSCQYWHRSLNPKKLIDVGFSRLGPRMTMSRTMKLFKLPDSTVTPGFRKMELRDVPAVTRLLRTYMMQFVVAPDLDELDVEHWILPKEDVIDSYVVESPETHKLTDFCSFYTLPSSILGHPTHSTLKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNETFLKELKYGPGDGKLHYYLYNYRLKHILRPSELGLVLL >KVI10329 pep supercontig:CcrdV1:scaffold_562:39411:41371:1 gene:Ccrd_011263 transcript:KVI10329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MGKLLIALFLFAFLTPCTVKSWTGEIHGRVVCDVCADGSVGPEDHVLEGAEVAVLCITKSGEVLNYQAFTNLRGIYTVAETMPESDRWDACLSRPISSFHEHCTHLGDGFSGVKFSYNHVSGYSHAVKPFVYRPLTIPIYCI >KVI10319 pep supercontig:CcrdV1:scaffold_562:168198:170628:1 gene:Ccrd_011285 transcript:KVI10319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAGATSVHGLTAFLVFSGLIRLGVCQPHQAAPAVYMIGDSLVDVGNNNYLPLSIAKANFPHNGVDYPAGKPTGRFSNGQNAADFLDYFALVRDQLVQQLGPAAAQTHLSKSLFAIVIGSNDLFAYFTIGSIVSNQFNPQQYVDLMTSTFRNLLKTLYGMGARKVMVAGVGEIGCCPVQRKLNKTGECNVELNYWSAKYNDGLKIMLESLKSDSPGMNYAYFDTYGAMANLFQKPDTYGIMIDLFYDSLTAIKWASWDVHDPLAKLNDLTRSSIKEACCGLGNLNADVPCIPISRFCSNRKKYVFWDLYHPTEAVSSMFSDLLYSGSQEYTFPMNVKQLLDI >KVI10341 pep supercontig:CcrdV1:scaffold_562:96831:101293:-1 gene:Ccrd_011274 transcript:KVI10341 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MNPVNQEVRPDASLIIPEKRKRGRPRKDPSERRAAKARSQAARMPPGFVQMNSTTPRVDPINDPNEVMIGQSVTGVVEATFDAGYLLAVRIGNSNITLRGAVFKPGHTTPVTAENDVAPHVEMIKRSEVPFPEFHTPVRRRKRRSKEKNMQLVTYVGNGSPLGDAVMTNSPSKGNYVIAPSVPPVGARGTVVPVVLQPINLSNGLSTNQPAPHLRATQGKPVHTVLPLAVYPPNGSTSQASESQTSSQFTPTGSGNENASFKQGMPDAQQVEGVKPTKSSDVQLQGGGLFANQVKTESDIADMNEPLFVEPLQTRHVVHHFQPAPVLGPVMHSGTGRMTELLQAVQQNLGDDQVPRNGHPTAGFNVGRNRDEETDHHHHQAYSQAGRM >KVI10315 pep supercontig:CcrdV1:scaffold_562:139882:143332:1 gene:Ccrd_011281 transcript:KVI10315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGLGQHKHKSEKGGGRETANRIHRISIGIRKSLRFDFQAISFLLHHLMDQGKALESIQTSLRNIDLNFNRKNPNKFARNCNPWLQSSWSPLGKRRPPALVSLCLGIIGKHLEDIIEDLEVLTSFPPDIKMAMTAIARRRKLLNDDVIVALAESSWEILDLSDSEVSDIGLLKVIKICNNVRAMDISRCNKITSFGVSEIVKHCHCLEILRWGGCPRSEHTARSSLSILKPTLNDVEGDSWEELDTTEIVDGAQSLRWLPKIDKDSLESLSTECPRIIVNPKPSLFGHKGIDIPQHALASVALDEFVVEDIDSKSWAVPGSRLRTNPPVLSTIELPIAERFRLAFVERDARLAPKRAKNARQRQRRAERDWVTTSTNAKSMVLAAQMSKNLRN >KVI10330 pep supercontig:CcrdV1:scaffold_562:6891:13268:-1 gene:Ccrd_011260 transcript:KVI10330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee [Source:Projected from Arabidopsis thaliana (AT3G22380) UniProtKB/TrEMBL;Acc:B3H7F6] MDRNREARRATNMAAPPNGLSSSRRRHRSSSLRDSTDEDGAVELQESGRLRDRGVVKKDRGDRDRDRERERERSSRSKRRRENRMMIHHVTTGINRDDGDDTSEESVNDEDEEDDDDAGNGGGLRMLPPPPANPTTATAAMTSNHHNHNPHPQQLHHRKSFPPSANKVFRAAAAPPPAWKAADEMIGVSVPRKARSASTKRSHEWISGGAVVGGGGGTEQVHRQSSTSPVRLASSVASPALVAPPSPSSSNVSVRKKMKANGGGSKPRPPKSSSKSSSSNPEELEIEIAEVLYGLMTQSQAPSKKEIPSNDSTTKFDSNKSSSDTKSRVSSPISNSTAPPQNSTSLSTVAPKRKRPRQVSENPFSVRSSPVSSSIKNEIDHHQHHQSPKTDISSPYLENNSPGSAAAAAENGVSFGFTSVPAPSSEPPLPLPQPPVEEEGKCGVGVVLTKEEVEKESPAVGINNGNGNTEAMITTAASTTATNLNTANLETESQKEEKFEIDLMAPPPQLRTSPGRDGDIGFSDHKQMAKQETSKNLKDKEDEKLKKSGKEESMDLTDEQKQPKAGTLHETGESSKQVMNEERNIELHIDLEKPNKDSASMVSGGSNRSLHQALKHQQLSAKPARDETHTEKTAQPSSMPTLPMSLANWPPMGYVAPLQGVIPMDGNKAPSAPIQPIFTHPRPKRCATHCYIARNIHYLQQFMKLNPFWPAASGSAPIFGAKHCNLNVLPELHGSIPMQAIPDKGQGVGIFPGHTAAGKDNKSSQSAAIPDPSAQRKQQVLLQQPLPPVTPNNILHGPAFIFPFNQQQASASVRPGSSKLTSSTAGASGAPANSATMSGASTTPAAPALSFNYPNMTANETQYLAILQNNPYTFPIPAVGTPPNYRGNPHAQAMPLFNGSFYSSQMIHPSQIPQPPQQAPPPTQAQNHHPSHSQTHQNSSGSTSSSQKHLQTQQRQQGSGAAVNGGGSGGSLHNSYPGHKSSRSQAPSQQQQQHMNPPPHTRHLENEVAGGEDSPSTADSRVSRAATTMSNHAIFQNLPESVRQSYHHMMTGPPVTASAHHSQQKKDFRISEEASRTVGHDSSNGDDERKGGSTGLAGKSGSIAFSRPDLAVDASGSSPISGTSVIDSSSRNINLGSNNRSSRSPMSTSVAAAQSSHHLHAQFHHQQQQQQVLQLQKQQQQNASLNARNKNQPSSNGSVYPDHLITSSSMAAKFPNSISSFPQNLVQTSNTSSSPAQSPQWRNSVRGPTTSQVPSSLAASANTSSSLKNLHQTQQQQSSRSHTQISFGAATQKLPSTTSSSQMQQQNPSNSSNQCPSPPMLVGSPTTSSISKGNTTTTTGATGAGGSPRTNASASTSGRTGQPPASLSSSQQPKNSPVGTQRSSPSPSILGNPHITNSNSTKSQQQLPKQTLQQQQAQQAHLFFTHPYMPVQQQQQQQQPQAQQHSGGGSTAATGTSGYYLPRKQQQQQNPQSSSTGMLSLASGTTSDPAKAIAAATSNLKGGGASQQGIIHAYAAAQTSNAGHQLLPAGFPQYAPTPVQVKPAEQKQPAGES >KVI10340 pep supercontig:CcrdV1:scaffold_562:103971:114352:1 gene:Ccrd_011275 transcript:KVI10340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligase, class IIc MRVHITRNLNLALGYRRIVARSFASILSFRAAAADYSLTKIRRKNYLSALLGRFNLSTVAVVGDLSSVKTMGSQAGEVEWPANKVRDAFIKFFEEKNHVYWKSSPVVPVNDPTLLFANAGMNQFKPIFLGTVDPNTSLSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKNEAIGWAWELLTTVFKLPTDRIYATYFGGDEKLGLPADNEAREIWLKYLPPKRVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGGRDAAELVNNDDPTLIEIWNVVFIQFNREADGSLKPLPSKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIQQATGARPYSGKVGPDDVDGIDMAYRVVADHIRTLSFAIADGSCPGNEGREYVLRRILRRAVRYGTEVLKAQQGFFNGLVKVVVDVMGHVFPELKQQEAHIREIIAEEEASFGRTLLHDAFVLWDTFGFPLDLTQLMAEERKLVVDVEGFNIAMNEARERSRNAQNRDHKSVIKAIYTGSEYVEHAATGDDVGIILESTSFYAEQGGQIFDTGVLGGSSGSFEVCNVQVYGGFVIHLGKITNESGKFCVGDEVICKVDYDRRQLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRYDFSHGKPVKPEDLRKIEAIVNEQIKAELDVSAKEASLADAKRVNGLRAVFVEDLLADPENEEWLSISAELCGGTHITNTREAKAFALLSEEGIAKGIRRVTAVTTDYAFEAMKKASELEQEKVTSLNGQVESAAIPTAKKADLKAKISILQNQVIKAKKKIAEENIRKAVEAATKAAEVASSEGKAFCISHVGVGSDTTAIREAVVKVMEQKGLAVMVFSTDEQSKKALVCAGVPEKGESSNRLKVLEWLKAALKPLEGKGGGGKGGLAQGQGPDIKHVDEAIDVAKSFAALKLS >KVI10342 pep supercontig:CcrdV1:scaffold_562:118403:120060:-1 gene:Ccrd_011277 transcript:KVI10342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MLPLLSSLFNAILIPTPTPTPTATVLLHFSADKMADSKIRNVINRIFTALLTCAFALGGAGIGIITGAIKGQTTETGLVRGAGVGAVTGAITALQLMEMMANGESFSKVALLHSLLNGKVFMEWVSPAVLKAYQWQASAMEMSFSDMFDMDSNGIRGLSEDIIDSLPKRIFGNSTQNDNECNETSCTICLQDFKNGEEGRELLNCRHVFHLKCIDEWLIRQGSCPICRKDV >KVI10313 pep supercontig:CcrdV1:scaffold_562:205627:210540:-1 gene:Ccrd_011290 transcript:KVI10313 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/Surp MPDHHLHPYFRFLVDHQDLLQSKDNGQAENDEKISNTENAAGAGALSLLGSVYGTGEDEDGGAEHASSSRGVSDVTIPLAAGKTMLPAEKDEPVSKHSLSSKEDAPIIKRNSLTNALKTGRTSSMRKGESLGSLSAVPDKLRASSLPPIPKIENMLLDPPSDMKRLVDKIVEFIIKNGRQFEAVLMEQDRAHGRFPFLIPSNKFHPYYLKVLQKTQESKLTGKSLHNEKEDFRGHVLNKKTSISRDTESCLMESDDIPLDSERKEKFKMVINKSKREGSDLPSKATQPQLGVQVDAAQAAAILQAATRGIKHPNLGILSKMSLNGNSYGPRSEGGQPSNSSHANNAAKEVASEADSSEAHLTQEQKLKAERLKRAKMFVAMLKSGAAPSKTESSRGLSAEPQGSGESLGDDNRFAAKERERSMVPLDFETSDKKIKSEKEHLDEERRAKRKYRARSSRDEEGEAAEDEEEEEEDDDDDDDDDDDDNGEGDERGGKRSSKHRTKKHRSHNHLVEEESEERYGDERAYKHTKRRHRSHRTSHKHGDRDKHDEERDHKHSRKKKRRSSSSVEVDEQESEPERVHEYARKKHRSHHSSHDEGSHDEGESRLKCFRKTRSSRGEDEGREDRHSRRKRRSHKSSHHSRDKHKHRKSHSSRHRRKPDVSSEEDRMDHNKAHKHVKGSNSEREELEEGEISSKLSGQSRGGPLVDVACREPSVDVSSSYQDRRPLTQPSETTDVPDDLRAKIRAMLLSTL >KVI10322 pep supercontig:CcrdV1:scaffold_562:62219:64929:-1 gene:Ccrd_011268 transcript:KVI10322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0136, Transmembrane MHDFCFTIPYGMIVFCGGIIGFARKGSTTSLAGGAATGLLLFLASYLSLNAFHKRKNSWFGFILETVCAAVLTWVMGQRYTETAKIMPAGVVAGIRYVIQKFSFGKKIGDIWKAMDLVQIFTIGAMTAFYLYKIATGGNHFSTKTE >KVI10332 pep supercontig:CcrdV1:scaffold_562:187729:189182:-1 gene:Ccrd_011288 transcript:KVI10332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWKKGPVIGCGSSATVSLATSASGDLFAVKSTQLSTSHFLQKEQQFLSRLRSPHIIEYIGFDVDYDDNIPMYNLFMEYASGGTISDLHSNNLVHCDIKCDNLLVTGDGVKIGDLGCAKLAAANGGVTSSAFSGTPVFMAPEVARGEEQGFGADVWALGCAVIEMATGCNPWPEMENPVSGLYRIGFSGDVPLFPVWLSVEGKDFLEKCLRANGEERWTAKELLRHPFVNSNSGFQKVEAFTKNSPTAILDQGFWDSLETSDSSPAATQFMNFSGESPVDRIRQLVEGTGTSSCLPNWVEEDDWITVRINDIDESSRISEHDLYIDLFDVVDDESESTRTDSFWFSDLYVEDELSSSVRMMSGSDYDMGVSRFLEFKNMNNDISFLIDSNLTFVLLDDSYSFKSFLNGNVSKWEQISSS >KVI10339 pep supercontig:CcrdV1:scaffold_562:84297:90764:-1 gene:Ccrd_011272 transcript:KVI10339 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MKKPKSKQLRIQSRTSEVQEIELLEKWIEFGKPESGSNPLSLPPLPAKSPVGRIDESTYSQFAGCTKFQQLPLSKNTKDGVRQAGYRNMTNIQRASLPHALCGRDILGAAKTGSGKTLAFIIPVLEKLYKARWGLEDGLGSIILSPTRELADQLFSVLKSVGKHHGFSAGLLIGGNEYDEEKDHVNRMNILICTPGRLLKHMDATPNFDCSQLQVLVLDEADRILDAGFKKEVNAIISQLPKYRQTLLFSATQTKSVKDLARLSLKDPEYIAVDEEAIAATPSRLQQKVMLVPLDQKLDMLWSFIKAHLNSRILVFLSSCKQVRFVYEAFKKLRPGIPLKCLHGRMKQIKRTFILQQFVEQRSVLFSTDVSSRGLDFNKGVDWVVQVDCPDDVAGYIHRVGRTARYDSAGRSVLFLLPSEMKMLERLQEKKIPVQFDKANTKRLQSVSGLLAALLAKYTDLQPLAQRAFKTYVKSIYKQKDKEVFDVTKLPIDDFSASLGLPMTPQLRYLDRKNVDKKMPGESNLVPEVPVKKDSVKLLRQKPTNNLFEESEEEEEEELDLLKSKETADGEEGKAIAADHLLPTTRVLKKKKLKINVHRPVGTRVVFDEEGNTLPPLATLADMIMKRYAEMREDMKTRDQEDKLLDRQRRKEKRIKEKKKQKRARDEELEDEDEDEDTRNVKKSKVYFNSDSEEEEGRSKVALKTDAISLAEQEELALKLLSCMHS >KVI10343 pep supercontig:CcrdV1:scaffold_562:114833:118279:1 gene:Ccrd_011276 transcript:KVI10343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQTEYQGWQFWNNRCFLKQPTIYNLPLPIRGGFWVMEDFEPPSFSLGLDSSFLLSTAAATLQHDHFQTLVLLVDDSEPQFPDPHPKRLRRLLTASPYTKPALHSREVVDDEDVHVFSSPEDWPTDEQICTQHPAVCTTSKLSPDIQNRNQIDCETDDPSVSDGAHNNINGSKSYPNRRQSGSGLLQHVGLMKQRKVIESIDVSTTEHPWEDFRSMKHDTNAVDLDDSLPPAHRYFFHFDSRIQELVRNRLPNFSPLGNRDLDHHPSTSTIDYMGQFSFGESSDRKIKDKKSSSSRKTSKRSRIEDGSQGWVNPKLGVCKGQSKGAAKRKAHAVRQAAGHWLTYPDGKKESGAGAKKAKKREAATK >KVI10320 pep supercontig:CcrdV1:scaffold_562:176807:180332:1 gene:Ccrd_011286 transcript:KVI10320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit MKDLMISSRDSSPVKERSTLSLSAMKSLVVGEKEDTFASEFGRDEKVMSLIHSLTNAEGHLSQTKNGSRMEKNTNITNFAKEIHGAPPECFVTELAEAIGSLKTLRKMALFWSRVVVEVRRLWCEGQHIPGIPPDEIPDLNSCMLYQQLQVINCCISRKRRRAIATESLDSVLKLAKLDGDNKCGDTPPLSPVVYARISSGELVLRLGADKRRENCTLLETGEPVYTPVMQEGPLLTEDLIKETEEFVLRTGRSAANPGCILEDFVRWHSPPDWTEASTDDESKESADGDNLSSKGQLSNLWRELWETAKPVPAVRQSPLYDEDLSVEGILHSLENISPSGLFEQLFLSLVYETVAMMALNPDGVIRVTTKPQQPDDDNESFEQKGGSFGKLGSMFGGGGMDSKTPPKNQEPTMFSKKPPKPATPLENIGLTPQ >KVI10325 pep supercontig:CcrdV1:scaffold_562:58945:61679:1 gene:Ccrd_011267 transcript:KVI10325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSSSSFICKTHFLIDLIAILIVSCTHSSQVEAIKAPIRPQDILPLLPRQVSWPLLNSLRGASDLLPSFVGAASISNHSDLQWKGSCFYQNTAWLELHNKSGSEFGGGTLHIKVSNAHSWTCMDIYVFATPYRVTWDYYFLSREHTLEFDEWGGKAEYEYVKRKGVAIFLMEAGMLGTLKALWEVFPLFTNTGWGESSNLAFLKKHMGANFEARPEPWVTNITVDDIHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHSAWWNFELTEDDANPHIALLPLHPDLRSKFNETAAWEYAQSMIGLPYGYHNLIFSWIDTISDNYPPPLDAHLVASVMTVWNHLQPAYAANMWNEALNKRLGTQGLDLPEILVEVERRGSSFAELLAIPEQDEWVYADGKSTSCVAYVLEMYKEAGLFGDLASQIQVTEFTDAYMLNFFENDSSRLPKWCNEGDTVELPFCQIKGKYRMELPGYNSMDPYPHMTESCPSLPPDYSRPDYC >KVI10324 pep supercontig:CcrdV1:scaffold_562:55032:58219:1 gene:Ccrd_011266 transcript:KVI10324 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30-like protein MRRRAGIGGLQNAAAARDQYRLLGENVARLRTDLMKEQLSTFRSQLEDFARKHKNDIRKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDVCLATRPHNGGLINLEELCKLLSQRRKGAREVVSEDDCLRAIGKLKVLGSGFEVITVGKRKLVRSVPTELKKDHNEILELSQAQGFVTVEEVERRLSWSSGRATDALETLLDEGLAMIDEGHRDGKRRYWFPCVSSVSSFVASETL >KVI10334 pep supercontig:CcrdV1:scaffold_562:130832:131901:-1 gene:Ccrd_011279 transcript:KVI10334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19, bacterial-type MISKLNANDEKHTIKSWSRASMIIPEMVGHRIAIHNGKRHRPIQIKDAMVGHKLGEFALTRARKPQKPQN >KVI10337 pep supercontig:CcrdV1:scaffold_562:68604:78219:-1 gene:Ccrd_011270 transcript:KVI10337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MVSNRLNIVLPLAAAVLVISALAVTVTADYVRPPPRKTLHFPWVSRPSSQPQQVHVSLAGNKHIRVTWITSDSSSPSLVEYGTSPGKYNFRGHGDTTSYSYLFYSSGTIHHTVIGPLEDDTVYFYRCGGQGPELKFKTPPSEFPVTLAVAGDLGQTGWTQSTLEHIDLCQYDLHLLPGDLSYADYIQNRWDTFGELVQPLASARPWMVTQGNHEKESIPFFKEGFESFNARWKMPYEESGSTSNLYYSFEVAGVHVVMLGSYTDYDETSDQYNWLKADLSTVDRKRTPWLLALFHVPWYNSNSAHQGEGDNMMEAMEPLLHAAGVDIVFAGHVHAYERSKRVYNGKSDPCGAVHVTIGDGGNKEGLAHKYKEPSPEWSVFREASFGHGELKIVNSTHAFWSWHRNDDDEPVRSDEVWITSLMSSGCVAEERKEAREILWSP >KVI10331 pep supercontig:CcrdV1:scaffold_562:21836:34061:1 gene:Ccrd_011261 transcript:KVI10331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase, beta chain MGKGQPPLLIGWGLRSRRPLWLIRYKRVGRMYFCRPAKKQLLKRKMLTLSPQIHSSSENGPEFSIANLDKAHMDVDRDLHGDVLIEGLSSLLGPIPLAQQSILGPFPAGPGIASNPRRSLLLHQSPVSLGHQLITGTSSLLGMECPLQVKYTCGSGSGSHHAYQTLPFQIRMAFSSATPLHRITAISSSSSSSSPFFKPYPSQLSLQFNKIASCSPKSFALANHQMGLDKAPVLHDLSILQRPDSLGRFGKYGGKYVPETLMYALSELESAFKALATDHQFQKELDGILKDYVGRESPLYFAQRLTEHYKRPNGEGPEIYLKREDLNHTGAHKINNAVAQALLAKKLGKERIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGRPDVLVACVGGGSNAMGLFHEFVDDKDVRMIGVEAAGFGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDTGRAEYYSITDEEALEAFKRLSRLEGIIPALETSHALAYLEKLCPTLPNGTKVVLNCSGRGDKDVHTAIKHLQV >KVI10335 pep supercontig:CcrdV1:scaffold_562:125776:129044:1 gene:Ccrd_011278 transcript:KVI10335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCSSKTKTGATNPDAHKPTSTSHQPNTLYTKSPAPAVQIPATKQPPSPKRLYKSDTILGKPFEDVKQHYTMGKELGRGQFGVTYLCTQKTTGQRYACKSILKKKLVTKSDKDDMRKEIQIMQHLSGQANIVEFKGAYEDKQSVHLVMEVCEGGELFDRIIAKGHYSERAAASICRSIVNVVHICHFMGVMHRDLKPENFLLSDKSENALLKATDFGLSVFIQEGKSYRDIVGSAYYVAPEVLKRKYGKEIDIWSAGVMLYILLSGVPPFWAETEKGIFDAVLEGYIDFESDPWPSISMSAKDLVRRMLTQDPKRRITSAQVLEHPWIREGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSAEEIQGLKSMFMNIDTDNSGTITYDELKTGLARLGSKLTEAEVKQLMEAADVDGNGSIDYLEFITATMHRHKLEREEHVYKAFQHFDTDNSGFITRDELETAMKNYGMGDEATIKDIIAEVDTDNDGKINYEEFCTMMRSGTQPGKLF >KVI10328 pep supercontig:CcrdV1:scaffold_562:34472:38771:-1 gene:Ccrd_011262 transcript:KVI10328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQYMNQFVFRKSESPTMSNHGGYMKLNIYIRMMLSAIYPIVFFITFCPTKEVVKTSILSTRWKNLWDSVPNFDFDDALLYATMLVRDASNIEKFCLSCRVCFNASQIHSWIYDAIVHNIQELDLCLFAEDPSVIPRSMFDSKSLVSLKIEMNCVVELPSRISFPRLRILHLSLVTFPNDDSTEKLLGLSCSRRIDCEWVNLKNIAISNSTLKNLSIDDMPYFGPLDDHCGSKIKIDATNLTFLEYIAYLSNEIFLDDVSSLVKACIHIPNPQERQKEVVCRTVDILKGLRYVESLRYARVLESMDIQCCKSQLLDLKKQRDRGLSLRQAIALTHDECGTDQAYIKDNHSPHMKKKKERKRNLMTEGETATEIRGNTVGSEEIRAAGRPETATGRATGDGNRKSDDRGGGRRRPTIVGVGGDVKGVLYRRGCASPATRGWGRVFERRWRRWGR >KVI10312 pep supercontig:CcrdV1:scaffold_562:212202:224416:-1 gene:Ccrd_011291 transcript:KVI10312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFESEKQRLIAAPFVPLHVADMIVRLGLGKNPLEFYGKIPWISVILHHLLRELPTEVVCSNAVEILHLVECSDDYSFDQCLNYKMLGLKLCEGISQVKDVDPVINEVIQVATERKSLDEYLKILDAYMDIILQHKMDLYLNSILSEIFERLCNEVVTEAELASLQSIALKLITHFDDMKYVFELNYFVDILDVMHGSSRSIVDMHILTNATRNDRIDDPTTIKLLFDVAQSLHDSVNFPSTRQDDNHQGERLIARFVDKVDHGKELDRHLTFLIECRGAFNNMNDLKEILVHSSNLLAARALREKTDHINFIKSCITFNEVTIPCIPSYSRQLILYLETAEVSLFGGLISYSDGLVDSAIGCLQNVYLVDGLRKSNEDADGIVSLMQRLCSFMLLVPGVTYIPKSILSLLDSQSWMTPKLRIRVLCSLLSLSATFAQNELPYHAIHEGAARGKLALEACNCIASLLKVDQEISSICSKLVETAVSCLGANNKYLQSTINFVHKQSPISVGRE >KVI10338 pep supercontig:CcrdV1:scaffold_562:92585:96606:1 gene:Ccrd_011273 transcript:KVI10338 gene_biotype:protein_coding transcript_biotype:protein_coding description:SM domain found in ataxin-2 MGCRNGGQFQPQEQDRRSSSIVNDALLLTTMCIIGFPVDVHIKDGSIYSGIFHTASVDDHYAIVLKRARMIKKGSCDSNVANEGVIGTLRVLLPADGFAGHETGDDTEAVACAFPSNGTPLTEANKTISSMSNVDQPHANQTRCSSGTENGLANGFRPELAIHSSNVLEVNRKADLRGSTKVTFFRQLDELMYTGTELWAKKEESLNDQDDNRKNGSKVPANGILPDGAASSTSKKQETVDAVPSATISSEPSSTPSEPVTSKILNPNRATKEFKLNPGAKIFSPSFPNKRSATPPAMPAGANLAYVPDGYPAVPVATPQPEVEVSPYAHHRPVPVKFVPYGNLISGNGGGDVQHPPPIVGYVANRSQPVRYGGQYHPVQTAPTYVQPSSQNVMVGRLGPVFYVHPLPQDMVPSTTGFSQGSTCSILTPHQVHVPKHQGTGAAQALQLCATPPFIAAGGQAPFVLPSHIPISQPPYPVIRPISVPGSNGFLVSKYS >KVI10314 pep supercontig:CcrdV1:scaffold_562:138164:139072:-1 gene:Ccrd_011280 transcript:KVI10314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor SUI1 MSLFSLSHSSIFLRGKLEGNSVIVVNKHPEPIFHSNKFMVDIQVQIPCAFDPFIDIKQDPDCGVGGKQYVHIRIQQRNGKKSLTTIQGLKKGVSYEKILKDLKKEFCCNGTIVQDKELGKVIQLQGDQRKNAFAFLTRVGVVSRDQIKIHGF >KVI10321 pep supercontig:CcrdV1:scaffold_562:182891:185844:1 gene:Ccrd_011287 transcript:KVI10321 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenase acyltransferase, catalytic domain-containing protein MFGALRRKVVSGSCSASIVGKSCRKLRPVASTVIPPFTSGEEILLHRRTIGNCPSICHLMQPGNAVNTRPISEGDLVDVVVPFMGESISDGTLATFLKKVGDRVEVDEPIAQVETDKVTIDVASPEAGIIQESAILGTHSIMNKPMVVGGNIVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEEPRRLLLDI >KVI10318 pep supercontig:CcrdV1:scaffold_562:161153:166006:-1 gene:Ccrd_011284 transcript:KVI10318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MGRILGGKKKGRPSNADLPRRNSSAEPESQPRRSCRRRNVRYNFDIDDYVDDEEFYKDVGRREKKLRMLLGEYDSDSNHCEESSSSDEYDEVKPSKKRKIDAGDREFDGEDDEIGETRGGKLEEEEEDDDAETGRANSVPGTPSDPPNGVFLPDKKTLELILDKLQKKDTYGVFAEPVDPDELPDYHAVIKQSMDFATVRKKLAKGTYLTLEQFEVSPYDVILICANAMQYNAPDTIYYKQASSILELAKTKFQRLNFIVDHPINSSSSPPKKQPKRSMVRTVQEPAGSDLSGEFQNVLSAHTKPPDDGNRRTTFNMSIQPVIESESVFSTFQGESKQLIPVGLHSDNSYTRSLARFAATLGSVAWKIASRRIEEALPEGIKFGPGWVGEYEPLTSPVLVIKNCTLKESDFLTRYLGIIDVRTDDKASRNTADGDEKLSRNPARKHESPKGSSSEMGPPSVSFLQAKLREMASSKHPQQNSDSLNLMELNASDIQSPRPAGVVSRNKNVSSVSYFKHPNRNDDGSVPQNWKPVSVVSDCNTIGSNVGPVNTSFPQQQGLADAVQMTSKLAQIHSNGSMVCPQRENPSNVEWMSLGGVTRPHVAENRNSHHHRRQHHVSPFHGEFPTSMAQLQQPVHGFVNEPRFQNRQVIFPRLVTADLSRFQVQSHWRAVSPQKPQQQPPRPKHQESRPPDLNIGYQSPVRQ >KVI10326 pep supercontig:CcrdV1:scaffold_562:41475:44792:1 gene:Ccrd_011264 transcript:KVI10326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MIKKLLITFGASFIWLVCFIYFTQGFRSFVWTAVSYQLKDRLKLSPSASQFVTSISFFPWSIKPIYGILSDCIPVKGRKRIPYLVIATLLSLFPWVILGLQESIRNSRHQLMIFLLLQNLGSAMADVVIDAMIAEAARQEKAKFAGDLQSLSWMTMAVGGICGSLFGGYALSNFQMGSIFLLFSVLPTIQLFSCGFVTENPIGSSHLPEFSTSNDTNIHHENVSDEDRSSTDMPKPGTLMRKRSNKSSKKLTSDTSKIPGKDGSLPSQWFQSFKVAGYTLFKAFRRPVTIPNLSTVMFYYQTEVLNLDASFLGTSRVIGWMGLMLGTFTYNRYLKKMKLRKILMFAHVTLSLLTLLDVVLVYRLHVPFGISDEILVLFGSALSDAVHQFKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTMSSFVGAGLASVLDISSGSFDNLGFGIGIEVICTFIPVAFLFLIPKEATGISA >KVI10317 pep supercontig:CcrdV1:scaffold_562:153562:160998:1 gene:Ccrd_011283 transcript:KVI10317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MSWKNRESNSRNVMSRKLTLFLCAGCFFAGMLFTDRMWTEPEAKGLSRPTGTEDERLQVVADGCDTRLKDVRRDSKDIIREVSKTHNAVQTLDKTISSLEMELAAARAMQDSILTGSPISDDLLLPEPIKKRKYLMVVGINTAFSSRKRRDSVRSTWMPQGDKLRKLEEEKGIVMRFVIGHGGVRYHEPEHWKFGEEGNKYFRHATGQLYAISKDLATYISINQNVLHKYVNEDVSLGSWFIGLDIASGRLKQATYVWRLSTGAVAGFAERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLSVGQFVYVVRKRIKLSAEKAIFIFVKNVLPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGAE >KVI10327 pep supercontig:CcrdV1:scaffold_562:50550:51149:1 gene:Ccrd_011265 transcript:KVI10327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLFPKSNSSFLLSSGKALRSQVSRFKDDLYLVDAGIGAPKMTTADELRGVPNNPGFSRFENKVGFMDTTAGESLIKTQMLERFFMDLVAGEPAMKERAAARFSDMVGPTDAVAGEPAILSPRRFTQKQVWMELKKMSQSTKKVRGFLMEKVRGGYSVAIAGYIAFLPYRSSIERRKLSNDRYLIETINSKRKKITVG >KVI10336 pep supercontig:CcrdV1:scaffold_562:78989:83540:1 gene:Ccrd_011271 transcript:KVI10336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPGNGMPPPPMAPMQPPPQQYQQAPPQQQWMMPPPPQQQHQQPLPPHMWPQQQPPPPQQQMMQPTQQQQQQYAAAPSTTDEIRSLWIGDLQYWMDETYVTNCFYNTGEVLSVKIIRNKQTGQPEGYGFIEFRSRTGAENALQTYNGTLMPSTEQNFRLNWATLGAGERRNDDTPDYTIFVGDLAADVSDYILQETFKAVYPSIKGAKVVTDRTTGRSKGYGFVRFGDESEQVRAMSEMNGVLCSSRPMRIGPAATKSGAAGGMQKVVLVDVCVQVVSMCICVIPSKAGVQVVFKLEILFLSNRLLFLPLIVCAFVVLEHDYVTNIMLLFPLIDMALRCGELGQTDQAQYGGGGGGGAYYGYAQGYEAYGYAPPPQDPNAYYGVYAGAAGYGGYQQPQQVCDDVEVAEDVFTLVRCEKCWVCSK >KVI10316 pep supercontig:CcrdV1:scaffold_562:149027:149528:1 gene:Ccrd_011282 transcript:KVI10316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEYGMYRKGSSGWSSMVGSEEFQETDIWSVLSERKGFDSKFKGSSSSFTSKSISFPSAALMIPRSNNPEVPQQQSAPVQEEHLKAEI >KVI10333 pep supercontig:CcrdV1:scaffold_562:195543:198326:-1 gene:Ccrd_011289 transcript:KVI10333 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEARCENMVLNSFDGHKFPLTTTTIHENGSSIINEMDFFSNSNNDNNNNNNPLMSIHVKEEKEYDQQLQLNLNLAILREDLAKMKRENEGLRSMLAQVNEKYVFLHRIIQEKMVTRETIDEMKSIMTKDDTKQSLLVPLEFKNLNQTIKIDPNVDTNKNGEFDSPKDGDRGGAVEATIRRARVSVRARSQASMITDGCQWRKYGQKLAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDQTILITTYEGTHNHPLPQSAMAMASTTSAAASMLLSGSISSSDHHHHNLISPGSMLSSPNLTTTLSATAPFPTITLDLTSDHHDLRQPSPFHFPFSTNMNCNIDQNSNIPMATGQLAAAQQYNNNATKFSGVQRSDQQLMDAATAAVTSDPQFMAALAAAIGSIIGHGNDNNNDNNFNRN >KVI05637 pep supercontig:CcrdV1:scaffold_5622:18307:20303:-1 gene:Ccrd_016027 transcript:KVI05637 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein MHKFKNFIPFLILIFSSINVLVFAGKPEDPFTPRGYIIRYWRKQISNDKPKPSFLIEKASPLTAVQSAAFSKLADDQNSLATNLPSFCSAANLLCFPDVGPSLEKHSGDVNFIEYSEKNFTNYGSGRLGGLDSFKNYSNDGNSALDSFRRYSRDSVGHDDKFSTYAKNTNVPDQSFNTYGTKATGGTGDFTGYAEDVNVPNMRFSSYSDDVNGRDQKFTSYSQDANAGDQSFTSYGKKGNGAVNDFKGYGNNSNVIGSSFSGYGENGNGANDSFTSYGENGNVPQNNFKSYADGGNAAVDTFANYRDQSNVGDDNFKSYAKSSNAANMKFSNYGKSFNEGTDTFSGYGGGTVTNQKFGFKGYGVNNTFKDYADKKRASFSTYATKPTAAQLAAMKTKSPASGKPVNKWIEPGKFFRESLLKSGTIIPMPDIRDKMPKRSFLPRVIVSKLPFSSSKIDDLKKIFHAEDNSSMASLISNSLSECERKPSQGESKRCVGSVEDMIDFATSVLGRDVVVRTTDNINGSGKKVKIGSVTKINGGKVTKSVSCHQSLFPYMLYYCHSVPKVRVYEADLLDPSTTNSKKINHGVAICHLDTSAWSPTHGAFLSLGSKPGKIEVCHWIFENDMTWAVAD >KVH01645 pep supercontig:CcrdV1:scaffold_5623:24502:28153:1 gene:Ccrd_026011 transcript:KVH01645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVCVCERVCECECVCVCEAAATPYSPKPFALCFEILTSLSLIPIIKSNPLSIIFQIDIFSPSFISKFRSNFAVKTSNFKMPINKEPATPPMIGKIGPYTVFVTPPPTPKPSSEPSIASVSELPKRKNVSPSPVKFSPPIQPPVAKSAPPVLPPPVQYEKSASAYDSKYGFFWDAVAKVQNEASPAFPDRLMDGTLVAGRYNNGMYSGDGQTGNRLRLQLR >KVH01646 pep supercontig:CcrdV1:scaffold_5623:12044:20684:1 gene:Ccrd_026010 transcript:KVH01646 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEWDSNSDLSGDEEGFLLNDGGSLPFPVDTLLQPAPCGFVVTDALEPDHPIIYVNSVFEIITGYRAEEVLGRNCRFLQCRGPYAKRRHPLVDSTVVSEIRRCLEDGIEFKGELLNFRKDGTPLMNRLRMTPIYGDDEVITHIIGIQFFTEVDLDLGPLPGSTTKGNLKSSDLFRSSLLSCRLTPEGNRNSGRGLCGILQLSDEVLSLKILSRLTPRDIASVGSVCRRFYEVAKNEDLWRMVCQNAWGSETTRVLETVPGAKRLGWGRLARELTTLEAAAWQKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSKPEWQHVKVGSPPPGRWGHTLSCVNGSNLVVFGGCGTQGLLNDVFVLDLDAKHPSWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRSVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILVFGGSVAGLHSASQLYILDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSAI >KVH01640 pep supercontig:CcrdV1:scaffold_5624:3378:10663:1 gene:Ccrd_026012 transcript:KVH01640 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic YSIDFFSSSHKDLEPDCILPFLDTTTIAAARPNFFHDSLKEADSVAGDESLVIKVLAANSVAVEEQLVLNILELRKEAKKKSSYDIDSAVHTQTYAEGSVGGVVKLNHKYCDSTSVSTENIVQKKLNKVLEEWIGADISQCI >KVI08122 pep supercontig:CcrdV1:scaffold_5625:8351:20241:1 gene:Ccrd_013509 transcript:KVI08122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCHKRLCFPRCIFDNLTFPQTPDSILMQMSLRLEYGDGTYSKSGRSQTAESSNQNCRLGDSVLREVLRVTPNHARLMLRCCEGLEIVDIELLELRHCELYVYMWDRCSLTLRAPKIEYFMVQDCISIRVHETNCLKNLLSVAMKLLSNVDFSNLMVLESLYVHGLMMALERVKQNASTCKPSEASLRFLHFPEIDFLDLFKSHLKLKSFNICGAMFDALCRKNMDSSFVIPCLEEVVITKKSPSKITSMSELLVKYGKKLKKIKILQRIKNGADDFFEDIGSYQTLRVEKPEDAAAREAELKM >KVH93593 pep supercontig:CcrdV1:scaffold_563:73788:82969:1 gene:Ccrd_004356 transcript:KVH93593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLWVQMDQTMRDNTLDIHRRYETFKDNISSCTNNDRALVSMRNVDMQDMMILHLLREGHDAGKVYAEMDQERIRTRWQSNESSVDSGVMLMRHMETYVRQVLGACCRDNFRKSIFGEAYEVELKFINSRRLSKKDEVEPPSQSSSSELTFSAVKMADTKAVTIRTRKFTTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLGRIYDVKDPNSIFVFKFKTHFGGGKSTGFGLIYDSVEIAKKYEPKYRLVRNGLDTKIEKSRKQMKERKNRSKKIRGVKKTKAGDAAKKKK >KVH93591 pep supercontig:CcrdV1:scaffold_563:8880:9864:-1 gene:Ccrd_004354 transcript:KVH93591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MDYYQDNKEGCLHVTLELGGKDPFIVCKDVDVPHVAQVVVRAALQSSGQNCVGAKRFYVHKDVYSSLVVVVVKIVKLVTAI >KVH93590 pep supercontig:CcrdV1:scaffold_563:183930:192465:1 gene:Ccrd_004358 transcript:KVH93590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MGVKNMMACVKNSNKNCGGEEAEKKKINGTVVLMKKNFLDLNDFGSSILDRLYEIFGKRVSIQLISSTHRDPCNGSKGKIGKAARLEDWVTKRTAMAAGEVSFSVTFDWEESMGAPGGLMVKNHHHSQFYLKTVTLEHAYLPCSTPEPLQHYREQELVNLRGTGSGKLKEWDRVYDYAFYNDLGLPDKGPKYARPVLGGSQEYPYPRRGRTGRGPTKTDPKSEKRLFLLSLNIYVPRDERFNHIKFSDFLGYAAKSIGQVVRPELKAIFDRTPNEFDSFKDVLDLYGDGVKLPKQSVSKIKNRIPLELVKELLRSDGEKPLTFPMPDVIKDDKSAWREDEEFGREMLAGVNPVVIRRLQVEYTEQFGLLFILDHHDALMPYLTRINSTASKIYATRTILLLQDDGTLKPLAIELSLPKSEKDNHGCISDVFTPSAEGVCGTIWQLAKAYVALSVMHPINKLLQPHFRDTMNINALARQILINAGGILEMTRNTPWNCPPLFIRTGSSPSRRYPLIYSRDYPFAVDGLEIWSAIQMWCTEYCSIYYLSDDMVKADAELQKWWTELRTEGHGDKKDEPWWPQMQTRSELIHTCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPKRDSAEYAELESDPERGFLKTITSQLQTLLGVSLIEILSRHSTDEIYLGQSESPYWTSDNSALEAFKRFGTKLVEIEKSIATRNDDKGLKNRLGPVKVSYTLLYPNTSDYTREGGLTGKGIPNSISI >KVH93592 pep supercontig:CcrdV1:scaffold_563:10144:73465:1 gene:Ccrd_004355 transcript:KVH93592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L39e IIGLLNPTILRIEEIESGRFIGFRTWNSIWDFSSDEKKRDIHPIEKISDGRRTLGFLPIIDPSSFKPPSLPSSFRFIFRSSYWNQSMAPLIQSIHHPVIFHLQPSHKMIMIKKKLSKKMRQNRPIPNWIHMRTGNKIRYNAKRRHWCRTKLGFENRNRTMDDLGGMQGEGNRVRGRRMSERLMKKHTFSNFKNIIDNPVDVDAETSNTETSSRIGLNQESMEVKQTSPVLNESQKEWWTQCHNFEAKYRWFRRRMVGKEKSLQSLERMQICKKHCKLVEDEPKWNQTKEWETVSSDEMHLPPRLLKTASIRTVDDSSRGINDEKMDRSREDVTCVRDSDFQSLEQTRGKAPSIVDRKDKGVAIRQEKEVMVGQNDAKDMNPCIRTRTSPKTLYETMKILTVDQQKAIKEMGFASLLDMTVDGIPSKMGFYVVDNLDTEAMNITMNGSVIPITVKSIHDVLGLPMGGVDLNSVDPSPAINDIITAWRKQFSKERMRPKDVMNVIQKSGDAGAMFKLSFLVIVINTLAECSRLLYVHATKSDHIQIDNDTHPMKAWTMDLLRKRQEVDLRRGGFGYAELKLISTSQDRACEQDVEVGIASNNMAEQSAKGQAEDGRITKELLELQSDRGGDSKWDTPATSHVPDLPIVEVTPPKLSTIPDPDMLSPLSQFWTSPTVIAEVDRASNQKLLQIGKENKRFARSFQNETVGMPSLQVPREKVGILGIRSAEPTDFRKNKMCKRADSNDKAIDPYEGIEPPSFDLGISPSGSHVVAVGSALXTSSMQEGVRPPLSKRDPKLSFKLRSPYVTRAVTFDVTSDERXLRDWILRGIGGIL >KVH93594 pep supercontig:CcrdV1:scaffold_563:177779:180908:-1 gene:Ccrd_004357 transcript:KVH93594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 eukaryotic/L18 archaeal MIQSSIQTLLDFSILPSLLDPSIAPRSRTGCGEENHFSVAIDSILMEDEPENYQSHFSQYIKAGVDPENIEELYKKPFVLIQPQRKLEKQPPNEHKRFNLKKLTYDERKEKLIESLNAPSAAAGGADDE >KVI02592 pep supercontig:CcrdV1:scaffold_5633:10990:14677:1 gene:Ccrd_019118 transcript:KVI02592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICFSRKSTRATRIYSKRIANQSSASINKSSVNRWSRVRSSSVKKEKFDDALLHEQAIAAALLFQQHQQNGDYLPHFDRSTSIRHPPGGANSKCQQVVSRSSSTRRRSVTDPMPPPHLIQEVKHDELETSHIVLVHGGGFGAWCWYKTIALLQECKFKVTAIDSSGSGINQFDANAIGSLSQHVKPLTDFLEKLADGEKVILAGHDFGGACISYAMELYPSKVAKAIFIAASMLKTGQSTLDMFSHKENTNDLMRQAQKFLYANGNNQPPTAIDLDKSLLKDLLFNQSPAKDVALASVSMRPIPFPPVLEKLSLSDSNYGSVRRFYIETPEDNAIPITLQQRLINENPPEKVFRLKGADHCPFFSKPQALHKLLVEIAKIK >KVI02593 pep supercontig:CcrdV1:scaffold_5633:16952:23189:1 gene:Ccrd_019119 transcript:KVI02593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVPTSEEDPVLSVVRFTAEMSWAEAGPEVAEPQVTSLCLEAQEFMIAGRWLDLASLMITSADLVFSKASEKDLECIFTIICNLVKKPESLDELLEMAKLLSTKIAQQPNDKPALRLKILFNLYNLLENPYSRFYVYMKALKLAMDGKVIEHIIPSFKKIDSFLKEWDLGVQDQRELFLAISTILKEYKSSPKETFKFLTKYLATFSGEEAHTMEEAKEEAFHTIIEFVKATDIFQHDLLDMPAVTQLEKDTKYALAYQLLKIFLTQRLDAYMDFHTANSALLKSYGLVHEDCIAKMRLMSMMDLASDESGQIPYRLIKDTLQIEDDEVEPWVVKAITAKLIDCKIDQMNQVIRVSRYTERVFGLRQWQALREKLATWRGSIANVITTIQANKVTEEGTQAMQGLVIR >KVI02964 pep supercontig:CcrdV1:scaffold_5635:13330:30600:-1 gene:Ccrd_018744 transcript:KVI02964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGVLTLFEVAAMPIVQMLIVSVVGAVMATEHFNLLSNDTRKALNKIVFVAFTPSLIFACLAESVTFHDIVSWWFMPVNIGITFLCGGTLGWIAVKLIRPEAHIEGLIIAMCATGNLGNILLIIVPAICTQSGSPFGDHDVCKANGLSYSSFSMALGCFYIWTYTYQLIRNSSLKYNAMKEAENLTKEPNKNLDANEKSRLLNKEGQDQSGVIVPVSYATSMDPENQTMVYEESTSKESDVGSSWSTLKENLQKILDELLAPPTMGLRNATIRPLIIITIIVVRYVILPVIGIGVVKAAGALGILPSDPLFSFVLMIQFIVPPAMNISKHCFTS >KVH01119 pep supercontig:CcrdV1:scaffold_5639:22050:22643:-1 gene:Ccrd_026015 transcript:KVH01119 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 30kDa subunit ARRFKARPTTPRALIHKPVTGTNNIKKCNQQYGVDQPEEVCIKVFASRRDPRIPSVFWVWKSVDFQE >KVH01120 pep supercontig:CcrdV1:scaffold_5639:19540:20441:1 gene:Ccrd_026014 transcript:KVH01120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MASESSSTYLLNHTDLPSLYYQTNNENGGIIDLGLSLRVLQPETYTHSENPHVADDYHDLLEWNQLHRFENPKVGTRNIDDNVGRNVLRSRKQQSDFVKVNMDGVPIGRKICVLDHSSYLSLAYQLEAMFGRQSLGGLRLFESGSEFSLWYKDQDEQWKIVGDVPWKEFADSVKRIRIILKEETLFRSITTLI >KVH01118 pep supercontig:CcrdV1:scaffold_5639:17134:22037:-1 gene:Ccrd_026013 transcript:KVH01118 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 30kDa subunit MLGISYDNHPRLKHILMPESWIGWPLRTTFLPYSAYACKQAKKPRKKTETAEENERCWRYHRRTRTVVDVMYRQNYSVVTWRE >KVH88702 pep supercontig:CcrdV1:scaffold_564:46167:170690:-1 gene:Ccrd_026017 transcript:KVH88702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDRDLSRRQLRSDIDGQEDMKLIYGTIVVEERGNQEKDVKTLPLSFFHIKYIEFYDAMSVPMAIALSGHLLLGQPVMVKPSEAEKNLVQSNASTGGAGGIAGPYGAVDRKLYNSIKELEVGQGSVRGRSPNRLVLCEEDKSSVKVTSEEEAIRTSLCPGMTKSGRSVRHKFEVEQAYVRGRLQYGLTPDEIVTEESLSLAKSCPRMVTIRTGLSCPRIMQSGQACVRK >KVH88703 pep supercontig:CcrdV1:scaffold_564:26297:30483:1 gene:Ccrd_026016 transcript:KVH88703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHVLLENEVHAICKTLTMMPISKFMLYVLICKKKLRANHTNC >KVH91995 pep supercontig:CcrdV1:scaffold_5645:19136:25714:-1 gene:Ccrd_005976 transcript:KVH91995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MNTTSGKLRSVELNVISQHQINIPSAPSQSLPLNLPCQDLLDGRSEEYLRICFPLYKASMKGDWEAGKDILDKHKEVVRFSINTNFETALHVAVYKGNTLFVKNLVAFMENKDMELQNSSSNTALCLAAVAGHVEMAEILVNRNKTLLDIAGSEGKLPLYMATLSGKHDMVKYLYENSKRMTGNFWTHTSRGCVLLSCVEAGLFDVALQIVNDSPELAINSGECVLRLLAPQKYKGFVDEENQALKLLKVIWANIEKLPKPKIDDILRGPADEIKEDENQTAPEVEIPKDVTRKYSSRILFVAAKMGNVVFILELIRQHPERVLELNDNNQSIFHIAVSYRHDYIYSLMYEIGSVKDSIVNLEDVNGNNMLHLVGILRETTESNELVGGVGALLQMRQDLIWFQEVKRILPVSFQEKKNTAGLSPCELFNENHKVVASTAEEWVKKISSELMLVAVLIVTISFAASITFAGGYNQVTGLPMFYKRKSFGIFTEASRMSFMQATISIFVVLSIIISRYGRRDFMRSIPMKISLVIGSLSMSMMNMVVAYFCNSYLLIENKDEQVTTIIETVTWILSQWVSV >KVH00729 pep supercontig:CcrdV1:scaffold_5648:4372:5701:-1 gene:Ccrd_026018 transcript:KVH00729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MNFLVQFVSLLNPSMSVETEILRDCYEAFAMYCFGIYLIACLGGEKRAISFMEREGRAGVKSPLLEQSSEKGTINHIFPMNLFLEPWQLGQRVYQIMKAGIVQYMIIKAVTAVLAVILEAFDVYCEGDFKWQCG >KVH00728 pep supercontig:CcrdV1:scaffold_5648:16260:20927:1 gene:Ccrd_026019 transcript:KVH00728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MKSKYMMIFLFIIFLQFITSSNSKSIIKNLPGFHGDLPFTLQTGYIGMGEDDSVQVFYYFVESQRSPSDDPLLLYLTGGPGSSGLYPFLYQIGPLSFNFESSKGDNITLELNPYSWSKAANVIFVDLPVGVGFSYAKTLEASRSSDSILAWLVENPRFLNNPLYISGISYMGIVVPNVALEIYNGNELGNQPQLNIKVNILPHKLVNGCLIVNPLTDKFISFNARFEFAHRLALISDDIYESTKATCGGNYVYNDPLNILCADNLKRCTSIITFGNILQPRCDARDMEPTCKTYTDTFIETWANNKDVQKALNIREGIIEKWETTNKSISYDFKKNDTIYYSYDVWSSIPTHKQLLTKNCQVLIICGDHDMVFPYVGTEKWIRTLNLXIESPWEPWFVRNQVAGYQMTYAQSGSSIKFATVMGGGHPLAAYSPEEALVMMDGWLTSHAYLSDS >KVH00720 pep supercontig:CcrdV1:scaffold_5649:24184:26788:-1 gene:Ccrd_026022 transcript:KVH00720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal signal peptidase 12kDa subunit MADDAALRKSLICLAAIMVVIGVYTYSLKKIMATYLFGMFAIGGIVLPDWEFFDRPVSEWISPMTVPYLPPLHPPYSPPSSSRFRLYPLRTILYTMVYGYGFYKWWMYIST >KVH00722 pep supercontig:CcrdV1:scaffold_5649:17174:19502:1 gene:Ccrd_026021 transcript:KVH00722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 135 YIYKRAIPIYLPVYLIPALIVHRQGLAKRPFTILAKGLLGTTRSSMFLSTYATSAWAWTCFLFRIFKRCNLTMLALGTTKSRRIEISLYCLARAIESFFTTIGYIPQFNNLKRVDVLIFSIMIAIIMHCSAMERDVFRSKYLNVLDWVFGVPLSLYETTPKRIRNQTSL >KVH00721 pep supercontig:CcrdV1:scaffold_5649:8781:16317:-1 gene:Ccrd_026020 transcript:KVH00721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MDDVGYALPPLVLIMRLKVSFLVTGSKTTFYIGLERLYLRPIRQIIDLLIVLLVKSGFGFKLLDVKNRFFFGDYLMENLCCLQFIGGPSSYSSGKGKNHEGSVKFGFDLVKGKANHPMEDYHVARFVEIEQHELGLFAIYDGHSGDRSEFWVDPKRSISKAYEKTDEAILTHDSDLGRGGSTSVTAVLINRQRLWVANLGDSRAVLSKGGEAIQMSTDHEPSAERVSIEHKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPAIQDTIVDSKTDLLVLASDGLWKVMDNQEAVDIARRIKDPQKAAKRLVAEALKRDSKDDISCVVVRFR >KVI07016 pep supercontig:CcrdV1:scaffold_565:9721:13517:-1 gene:Ccrd_014616 transcript:KVI07016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MEKQNLKKAHRTSIQWLWCLLFIVSSSSSSLLPVSATENSITTTNSITKNQTIISAGAEQREVVWTSNVTVNSFNITSSTVAVLLDDGNLQLRSGEGGYRWQSFDHPSDTYVAEMKIAPTEELVKNCILRHGLAVAVAIVSIGLLLISIFGYLRRRKRQIITGKGNTSFHGTENSPTVSEWIVTGKVNTSFHGTENSSTVSEWAWDNSMEGRALDLIDPSMRDTYNPLQAVKCINIGLLCVQEIMSERPTMSEVVVMLSNETATIQPPKKPAFTIHRTDRSSQMSSRCSNNKITLTNIEPQ >KVI07021 pep supercontig:CcrdV1:scaffold_565:116205:120476:1 gene:Ccrd_014622 transcript:KVI07021 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDSFLSLLIALSLSTITFLLFRTTTAVSRRKVRLPPGNVGLPLIGETLQLISAYKTENPEPFIDSRVARYGSVFTTHVFGERTVFCTDPETNRFILQNEGRLFESSYPGSIFNLVGRHSLLFMRGPLHRRMHSLTMSFANSTIIKDHLLVDIDRLVRLNLQSWTGRILLLEEAKKITFELTLKQLLSIEPCEWTENLRKIYMRVIEGFFCIPIPIFSLTYRRALQARRRVAEALSLVVKERRREWEMGMKKNDMLTALFDSGGGGIGFSDDEIVDFLVSLLVAGYDTTSTTMTLAEEHDEIRAKKATSMALEWEDYKSMPFTQCVINETLRVSNIIGGLFRRAMTDVDIKGYTIPRGSKVFTSLRAVHLDQDNFKDARVFDPWRWQKTSDPTTFMPFGGGPRRCPGYELARVALSVFLHHLVTRFSWEPAEEDKLVFFPTTRTQKRYPIIVRHRSNVAEPEQCRE >KVI07025 pep supercontig:CcrdV1:scaffold_565:206257:209541:-1 gene:Ccrd_014629 transcript:KVI07025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MGSLCDWPEPVICVQSLSESGDLVIPDQYVKPPLERPILCFNNVNIPVIDLAGLVTSREAIMKQISKACREWGFFQLVNHGVRTVLVDRVPEIWREFFHEPMEVKQKYANSPKTYEGFGSRLGVEKGAILDWNDYYYLNYLPHCHTKWPSQPPSLREVMEEYSSEILRLGAVLLKIFSINLGLEEDYLQNAFGGRDIEACLRANFYPKCPQPDLTLGLSSHSDPGGMTFLLPDKQVCGLQIRKNDQWITVKPTRHAIIINIGDQLQVKFPLDPKPLNCQFVLSNAIYKSVEHRVVVNPDKERVSLAYFYNPKNDLLIQPIPELVTSKTPALYPSMTFDEYRRFIRTRGPHGKVQVDSLKPPR >KVI07019 pep supercontig:CcrdV1:scaffold_565:44401:48316:1 gene:Ccrd_014620 transcript:KVI07019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRSYNHHRIHGMSDVAFECVIPYIHDSRDRQSVSLVCRRWCELEAQTRKHVMIALCYTATPQQLWRRFPYLESLTLKGKPRAAMYNLIPEDWGGFVTPWVEEIATSFACLRDMHFRRMIVKDEDLQLLATSRGHVLQVLKLDKCSGFSTDGLLHICRSCRNLNTLFLEESQVIEKDGDWLHELALNNTVLETLNFYMTDLSKVNFKDLELIARKCKNLVSVKIGDCEILDLVGFFRAAVSLEEFGGGCFNNQAEEYAAVSYPQRLCRLGLNYMSTNEMPIVFPFASRLKKLDLLYALLDTEDHCLLLQRSPNLEVLETRNVIGDRGMEVLARYCKKMKRLRIERGADEQEMEDEEGIVSQRGLTALAQGCIELEYIAVYVSDITNAALECMGMHLKNLCDFRMVLLDREEVITDLPLDNGVRSLLSGCHKLRRFALYLRPGGLTDVGLTYIGQYSQNIKWMLLGYVGESDAGLLGFSRGCPSLQKLEVRGCCFSEQALAIAVLHLRSLRYLWVQGYRGSPTGCDLLTMARPFWNIEVIPSRRVLAGEDQEVEHPAHILAYYSLAGPRTDFPPSVISLNSIPVLDE >KVI07022 pep supercontig:CcrdV1:scaffold_565:151081:164369:-1 gene:Ccrd_014625 transcript:KVI07022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2A, beta propellor-like domain-containing protein MKTQWQGEGGKKTNLIHMGLSESDDLDPIFLAKINPAKANLKPYRSFQKVTCRLSDGPVMSVANSSPALEILVREPGGLAIWNGPPFTNNQPSIKLDRVPCTSAKFSEDGSKLMVIKSESTISIYDCKSSKEIRSFESSNILAAALSPCGTYLQTFQKVSSPQEKNVTVWKTETGESVYTLSQKNMTKATWPSIRFSSDEAVASRMATNELQFFDAGDFSKGIVHKIRIPGIAAAELSKKPGSHVAAFVPESKGMPASVQIYACAPDSQSQPIARRSFFRCSTVQQHWNHGSTGVLVVVQSDVDKTNQSYYGESKLNYLTLDGTHDGLVPLRKEGPVHDVQWSYSGKEFAVVYGFMPAMATIFDKKCNPLLELGTGPYNTIRWNPKGRFICVAGFGNLPGDMAFWDYVEKKQLGTTKAEWSVTSEWSPDGRYFMTATTAPRLQVDNGIKIFHYNGSLFFKKMFEKLYQVDWKADSPDKFGEIEELVKSVGSLKVESKPQGQGSKSSQTSVKPTSTNPPVQKKPAAYQPPHAKAAAAKQTELFGGSPSGEMSKNALKNKKKREKQREKKAAEAGGAD >KVI07015 pep supercontig:CcrdV1:scaffold_565:1658:2023:-1 gene:Ccrd_014615 transcript:KVI07015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEARGKLCGEEFEDMLPKMAEKLGGEGLIKELCNGFDLLMDKDKGVITFESLKYNSSLLGLQDLRDDELMSMLKEGDYDGDHALNQMEFCVLMFRLSPELMTESKALLDQVLQQEFGSN >KVI07026 pep supercontig:CcrdV1:scaffold_565:202261:206111:1 gene:Ccrd_014628 transcript:KVI07026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3594 MEMAAISSSPRTVEEIFKDYSARRSGIVRALTYDVDEFYATCDPEKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMHRRDWLSLVAVHTDSWLLAVAFYFGARLNRNERSDGESDLPRKRLFSLINDLPTVFEVVTERKHVKDKPNVDNGNKSRSSTKRTNDGQAKSTTPRVYDESYAEDEDEHGETLCGSCGGNYSGDEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCSTKKAGRP >KVI07017 pep supercontig:CcrdV1:scaffold_565:17025:20439:1 gene:Ccrd_014617 transcript:KVI07017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MILVSCQLFFCLFFFFLPFLSSGADTLVVNQSLSGDQTLVSLAGNFELGFFRPGESPNYYIGIWYKKVSPTVVWVANRETPISDRFSSKLKILDGNLVLLDESDTRIWSTDLTISTTSSSVSVLLLDDGNLVLRNGTSSSPPIWQSFDHPTHTLLPGSKIGYDKRTHRGQVITSWKSREDPAVGLFSLEIDPNVSQWVLWWNKSVQYWTSGPWNGRTFSLVPEISLNSIYNFSYIDNENEIYSTYSLYNPSIISRFILDVSGQSQQQTWLESEKQWTLFWSQPKIQCEIYAVCGAFGTCDQNVTPSCKCLTAFKPRSESDWNLSDSSGGCVRKTKLDCTVKEEKLGFITSYVPPKFLSLFLENGIELLDESVCRRSCLDDCSCDAYSFISKRCRLWNSESLRNISLVFVSDDANRNNVPLSIKVSSSALATNPAKINTKVLVAALALDSTKKGLKDEFIHVTKSGRRDENRGILELQFGDNEAHVRYLVDPGTLSAQDRKGIVVPFFEFRTISSATQNFSLANKLGQGGFGPVYKGMLPGGTEIAVKRLSSRSGQGLKEFKNEVVLIAKLQHRNLVRLLGYSMKDHEMILLYEYMPNKSLDRFIFDRTLCMCLDWAMRFDIIMGIARGLLYLHQDSRLRIIHRXLKTSNVLLDEEMTPKISDFGLAKIVEGRETEASTGRVIGTYGYMSPEYALDGLFSVKSDVFSFGVVLLEIISGKRNTAYYQNQQAFSLISYAWGLWKDKTPIDLLDQALAESYNSSEVLRCMIIGLLCIQEDPRDRPTMMEVIMMLGMDIKSLPDPKEPAFVSKTQVDSMQTSSSKSEINQLTITNVEGR >KVI07023 pep supercontig:CcrdV1:scaffold_565:135084:135811:-1 gene:Ccrd_014624 transcript:KVI07023 gene_biotype:protein_coding transcript_biotype:protein_coding description:MENTAL domain-containing protein MMGVFKDKRALRGFRTLFFLITMIISFLFFSVPILLAVSDLLLPTALLSASLSSSLDGPAFSFLQTLSSHFTNYDYRYSLIDIPIISIIRSAIILCVYGFCDGPGLSTGPYLGITTVCSVASLLFVSIKASYVFGGGSVGVGATEVALFVCSLALAIGHIVVAYRTSCRERRKLLVYKIDIEAVSQNIIYLFY >KVI07024 pep supercontig:CcrdV1:scaffold_565:181819:186045:1 gene:Ccrd_014627 transcript:KVI07024 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MIHSPMSKQTYRVCFCFQRRFRIPPAEPPNGIKTLFNQYSENNLMDANHLQRFLVEVQKQDKATIADAETIITQNTPFIFHRKGFNLEAFFRYLVSATNSVLSPSHSASQDMTAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIQALERGVRVIELDIWPNSTKDDVLVLHGRTLTTPVELRTCLMSIKNHAFSASEYPVVVTLEDHLTTDLQAKVAEMIHQIFEDMLFTPDKDCLEEFPSPESLRKRVMISTKPPKEYLKGEKSAKKGNGSQEKDSSVEAWGGEIPSSADDDSDDSDDDDDEDLQADNVASEYKSLIAIHAGKGKGGLDDWLKVDPDKVRRLSLSEHELEKAAKTHGPQIVRFTQRNILRVYPKGTRFNSSNYNPLVGWMHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYVKKPQLLLKGGDEIFDPRIQLPVTMTLKAIVDIGIQITLYMGEGWYYDFEHTHFDAYSPPDFYARIGIAGVLADSVIKKTKMVEDSWNPAWNEEFEFCITVPELALLRIEVHEYDMSEKDDFAGQTCLPVKELSKGIRSVPLYSQKGDIYPSVKLLMSLNTL >KVI07018 pep supercontig:CcrdV1:scaffold_565:51889:53232:-1 gene:Ccrd_014621 transcript:KVI07018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSPSSNSLSSSNKVMQDTKNKQWTDEQNTWFESALAIFDTETPDRWSNVAALVPGKSEYDVKKQYEVLKADINNIEAGLVPIPGYFSSSFKLELVEDHGFSIFRKRPSKFRSLDQEKRKGVPWTEEEHRRFLMGLQVHGKGDWKSISRNFVMTKTPTQVASHAQKYYARLNADGKEKRRPSIHDITMINLPDNTNSPDGSDRSSKSLGLPKGLLHWNNSSEETVMTYPFEIAKLNRNGGNGMNIRVYNSGLHFQPTRYQVQG >KVI07014 pep supercontig:CcrdV1:scaffold_565:21879:25730:1 gene:Ccrd_014619 transcript:KVI07014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MCQVYAVRGSFGRLNTRRLLVSTPAKTNTKVLVAEYALNGLFSVQSDVFSFGVVVLKIISGKRNTAYYQNQQAFSLISYSIKNISSQNLKDIM >KVI07020 pep supercontig:CcrdV1:scaffold_565:121243:121528:-1 gene:Ccrd_014623 transcript:KVI07020 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MPFGGGPRRCPGYELARVALSVFLHHLVTRFSWEPAEEDKLVFFPTTRTQKRYPIIVRHRSNVAEPEQCRE >KVI07013 pep supercontig:CcrdV1:scaffold_565:21371:21874:1 gene:Ccrd_014618 transcript:KVI07013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MISTSSTSVSVVLLDNGNLVLRNGSRSSPPIWQSFDHPAHTLLPHSKIGYDKRTHRKQVITSWRSREDPAVGLFSLEIDPNVPQWVLRWNKSVQYWTSGYANRQIFSSMPEIRLNDLYNFSYINNENESYFDYSVYDPSIISRCLRAHPAADMVWKCGAVACDLVST >KVG99932 pep supercontig:CcrdV1:scaffold_5655:3151:14092:-1 gene:Ccrd_026023 transcript:KVG99932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/TrEMBL;Acc:A0A178UW68] MEATTFGSSQFTTVSGSLGSRRWPLKLNRNIISFKSPTKISVRASAIRGDDSAVTLLDYGAGNVQSIRNAIRYLGLDIKDVQTPEDILNAERLIFPGVGAFAAMMDVLNNNGMAEALRTYIENDRPFLGICLGMQLLFESSEEKGQVRGLGLIPGVVGRFDSSNGCRVPHIGWNALQIKQDSVILDDIADRHVYFVHSYRAVPSEENEEWVSSTCNYGIDFISSIRRGNVHAVQFHPEKSGGKFLMLVFRYCGSSCYQVPRLRQKPFQGKATKLAKRVIACLDVRTNDNGDLVVTKGDQYDVREQTKENEVRNLGKPVELAGQYYKDGADEISFLNITGFRDFPLGDLPMLEILRRTSENVFVPLTVGGGIRDFTDGNGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYLKTGIKTGNSSLEQISRVYGNQAVVVSIDPRRQYLTSPYEVGFKSVKVKGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGTVEHFSEVPIQSVKDHLLKEDIEVRM >KVG99933 pep supercontig:CcrdV1:scaffold_5655:20177:21463:-1 gene:Ccrd_026024 transcript:KVG99933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein GPVIGRGSSATVYLATTAAGDLFAVKSSELSASVFLQKEQRFLSRLNSPHVISYMGFDVDYDNNKPKYNLFMEYAAGGTISDVIKNNGGSLDESLIRSYTRQILLGLNHLHSNHLVHCDIKCENILVGENGVKIGDLGCAKLVENAFSGTPVFMAPEVARGEEQGFAADVWALGCVVIEMATGSNPWPEVNDPVSALYRIGFSGDLPAFPRWLSAEATDFLTKSLKRDAKERWTTEQLLRHPFVDNSNPDFTKVEEFTKSSPTSILDQDFWSSLEVPESSPAVIQTVKFSGESPVDRMNRLIEASTSMNPSLPNWEDDGNWILIRSNDTEEGFKMSEWIQSYLFSNFRVGKMGGFDLLVMENHVVEGGNQSVTN >KVG99624 pep supercontig:CcrdV1:scaffold_5659:254:16130:1 gene:Ccrd_026025 transcript:KVG99624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MDILHYSRLQNPTNKLLRPHPRLTVPSTSSSLSLRRFSVRSSLPLPVQNAKYNRELRAAVDAVERACCLCVDVKRSLFTSDGRILEKNDHTPVTIADFGVQALVSLELGKLFPSIPLVAEEDSAFLRSNNLVNSVVNVVTDKASSQDKALTQTDVLEAIDRGGKFGPNPATYWVGLALVVEGHIVLGVMGCPNWRVDKSNKSTSKISGMIMVAHVGCGTWSKGISDLQNGMNWIKCLVDGYTLVHKGRFCISESQTWESLPLSSSFGSTTNVDNVGVKEVLLLPTCCGSLCKYLMVASGRASVFIQRARDDRVWDHAVGVICVQEAGGKVTDWNGDQLDLAADEVERRALFPPGGILVSNNSLHKEILHIIASNSTVVS >KVI04519 pep supercontig:CcrdV1:scaffold_566:7759:8355:1 gene:Ccrd_017164 transcript:KVI04519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDTSMNMLVAKNCGTKQASTSDPWQGVTGGAXEIVETPTQFFSNPETIKGVDKTIKIYEKLQMPDFSLGLTQEFEEVADPKENAPNKEDDDVVPNVKPISEMYTGPIGPRASKAKGKICSPYMNRQVDAHRPNTKVELILSNLIF >KVI04516 pep supercontig:CcrdV1:scaffold_566:39350:47178:-1 gene:Ccrd_017167 transcript:KVI04516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIKRKRIHQPHLFISFFKSFATSPLPLSDPPTGTSFPTVSPCQQDHKSLCFSLAENLIKRGLLSSARRVIQRLVLQSPTIVDVISVIDFAVFRGVSLDLSTYSALICRLVSAGETRIAENLYIDRIVRLGHKPDAPLLGSMIICYCKLGKLKEENDHFQKLVGLKSFSSGRACSKFLGQIFAQNRFFDAYDYFVRINDAGILLSVSCYNMLIVGLSFRGYVDEARQVFDIMHERGVPPVSHLLKSLVFGFCKTGKVEEAELLSAEMESYGFFVDKVMYTSLINGYCKNRKIKMGMRLFYKMLKMGCQPDSYTYNTLIKGFVNCGLFDKVWILHKQMIELGLEPDVLTYQIMINKCCKEKKVDWALALLRSMCDMDIMPNVYCYTPIIPALYKENRVEVDELYQKMLESGVIPDQVLFFALMKEYPKGHELHLTLKILSAIAKYGCGFDHSYPSVAFVPAENIQSEIDHLLGRIIESRPHMANMVYSIYIIGLCMGGKSDAALRSAVFMVNLGFQPLLSAYNSLIKSSCEEGFVEHAKALIELMEDMGMVPDSTTFLVMVNEHCKRGDLASAFDVLRQMDERRMKPNVAIFDCVIGCLGREKRVFDAHSMFKKVLESGVDPDEALYVRMINVYSKNGQAFEANRLFNRMMKEGLQPDSHAYSALISGLIKKNMMEKGVHYVGSMFKDGFMPNKVLYTSLIDQFLRKGELEFAFRLVSLMERSHIECDQITYITLLSGICRNLQCYTGMWHDTRTKFGKEREKLYHVLHQNTLFPKENDMRISMTTHEDLKFLARKLIRGIKDSCYMPNLYLYNGILSGFCRMGKFEEAYGELDAMQRQGVAPNQVTYTILINGHVQVGEIDMAVQLFNKMNADGCFPDRIVYNSLIKGFCKNRRLVDALSLSHAMCKRGFAPSKIAYEYMLISLCASRLINEAFSICEDMITHNYLPCHYNGNWLLHILLEEDKLHEAQMEKMVSKQSNMMWASMPFSYSGDVVQFLLSNQSELHLIYETAPAVPMVHGAWSAGTEPGSDLMRHGFDCAEQGSVQLETAPTQLINTQFLDSVTGDSSDHILCQIDDHGIDKSWNSFRQPWSMELGQVVLSQGSDLMKHGFDCADQGSVQLELNPVDHYCICPAMNGTAVAGNHALPAIRPSTVNIRASLELILAWYPAHFTRFSMAAPGPKQPYSP >KVI04515 pep supercontig:CcrdV1:scaffold_566:110482:113044:-1 gene:Ccrd_017168 transcript:KVI04515 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MHLKSNHSAPVLIDPMNKSRLGLYDALLPYSSGAVFSPGLFLTVTWKKPGVHCDVRSTSSLDAMQSSSPTHRKLKIDSGIDISSNDVAVAYGNWMLKYPSALTSFDHIINNAKGKRIALFLDYDGTLSPIVDNPDLAFMSDAMRTAVKNVAKDIPTTIISGRSRVKVHEFVGLRELYYAGSHGMDIMGPVRPPNDHMDDIIWCSGNEGEEGNLFQPASEFLPMINEVLEVRPVLKWDKGKAVEFLLESLAPKESSAFYSLRDPSEVMEFLKLLSDDHK >KVI04517 pep supercontig:CcrdV1:scaffold_566:37954:39711:1 gene:Ccrd_017166 transcript:KVI04517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MNSFNLFLSFLFYLFVLYSSFSLFVQADDELVGTDINHWCQTTPHPNQCNFFLGRGKHHVPRHRTDFRMLALQAAVDNANEALGCTRDLGKMCQTMATTAVWNDCYKLLNNTVFQLNQTLVGLKNNRSSNFDAQTWLSAAFTNLQTCFSGCVEMNLTDFASPIKSSNLTEMISNSLAINHLFLNQNPNYDFNTNDFPAWVTHHDRRLLATTSIQSIANVIVSQTPDSRFPTIQSALDYARSIDHGDQRFIIYIKGGVYNENIQIVNDLKNIMFVGDGIRYTIITGNRSARGGSTTYSSATVGVDCSGFIARGITFRNTAGPESGQAVALRSASDLSVFYACSFEGYQDTLFAVSQRQFFKLCYISGTIDFIFGNAAVVFQNCVILVRKPLNGQANMITAQGRGDPFQNTGISIHNCRIIPTPELIPVVSSVQTYLGRPWQEYSRTVFMKTYLDGFINPQGWSPWGNTDFAFNTLYYGEYGCFGPGAAMENRVKWAGYHANMSSSEARMFTVEGLIAGRAWLPATAVPFIAGL >KVI04518 pep supercontig:CcrdV1:scaffold_566:13609:16465:-1 gene:Ccrd_017165 transcript:KVI04518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSYRLQKTKKESKFSDTAENPLDVEDESNEVAILNVETICKGPPKSREDSKDDDNFEVQDQNIRKKVKSVKGDTKGREDNVKDGIPAKLGYYVVDSFDSQNMLIELENGVILITVKKIHEMIGATIGGAPLDSLVNDNCGCPYFCLSKMDDFNVVGKELCSGSSYSNDDSRGFSQDCFLEDNFFESISDNDEEDHFVLPAQGVTGSPCGDGVRIPSVPSEFQPVVGSIFASLEDGIEMYRMYADIAGFDIRLST >KVI09684 pep supercontig:CcrdV1:scaffold_5661:1888:5829:1 gene:Ccrd_011929 transcript:KVI09684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor MFVLKEERKAFVIQEKHKCSAKCLNEVMCLLQGAVGSKSYFPASRELHVELNWRKEYYSLNPFKEFKELDPNGIWAYDAVCALAMGVERAAGNLSRFVATVSVFVVLVLTSSYIVTLTSLFTVQQIASKEECIGFQDFPPIAEGAVFNSLEFAEVRNCEIKFTS >KVI09682 pep supercontig:CcrdV1:scaffold_5661:13722:13991:1 gene:Ccrd_011930 transcript:KVI09682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTPSKKTKGRQKIEMTKILDEKSLAVTFSKRHSGILSKANELSTLCGVELAIIMLSPTKKPVSYGVPSVEAILDRYMEQLPPPDLSMSQ >KVI09681 pep supercontig:CcrdV1:scaffold_5661:29316:29857:-1 gene:Ccrd_011932 transcript:KVI09681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MAKKPSLGRQKIKIAKIQIKNHLQVIFSKRRSGLFKKASELCTLCGVEIAIVVFSPARKLTHLLNELEAEKKRSETLDEMRKASQSQFWWEAPIEDLILHELEQLKDSMEELKKSVAIQANKLSVENSNSADFRC >KVI09685 pep supercontig:CcrdV1:scaffold_5661:244:903:1 gene:Ccrd_011928 transcript:KVI09685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MAKKPSLGRQKIKIAKIQIKNHLQVTFSKRRSGLFKKASELCTLCGVEIAIVVFSPAGKVFSFGHPKVESIVDRFLTRNPKLNSTSLHVIEAHRIASIPELNMQLTHLLNELEVEKKRSETLDEMRKASQSQFWWEAPIADLTLHELEQLKDSMEELKKTVAIQANKLFVENSNSVPIFGANNNIRGGLDHYDIKPPPIISSSSSASHIHNYGYGLGLF >KVI09683 pep supercontig:CcrdV1:scaffold_5661:17763:21054:1 gene:Ccrd_011931 transcript:KVI09683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCVFYRGKEVGMMGEGYMWIITGKTTNFLDSLDVETIALMQGAVGSKSYFPASRELHDFELNWRKEYFSLNPFKEFKELDPNGIWAYDAVCALAMGVERIFDLFWHQCSYSHLLHIPTKLIVLGSAGERLQSNLSRFVVTVSVFVVFVLTSSYIVTLTSLLAVQQIASKEGCIGFQDFPPIAEGAVFNSLEFAEWDVDDVGSFGVHSLSCS >KVG99573 pep supercontig:CcrdV1:scaffold_5663:29050:32089:-1 gene:Ccrd_026026 transcript:KVG99573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MIKLSSTLTIVFLLLVLQETILFSAVADMKFIQDTCKSTPNYDLCVKIILADPKSQDADLTDLALIIVNAVKEKDVYNAIVTADVPEAVTALTQGNPKFAEDGIADCAVESQACESTFGQYGQASPMTNVNKDMEYLTNVVFHNLKNGNSCLSLISYLPPFATIAQRVRHHTGRLVHCPFAMVSTPLQVYFVVHGPLVLPTPRKLQRKVRAQASKNRTNSEEGVVE >KVG99462 pep supercontig:CcrdV1:scaffold_5665:30563:30884:1 gene:Ccrd_026030 transcript:KVG99462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKTQRXKGEKERNPIYQTETAEPSYLSSSIYYGGQEVYPPTSHNTCSQRTVSISHISFKKSGGGEDPNGSSASRGNWWQGTTCP >KVG99459 pep supercontig:CcrdV1:scaffold_5665:1203:1466:-1 gene:Ccrd_026027 transcript:KVG99459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MGKVSKPDKNIAYDQKLCQLLDDYTQILVATADNVGSNQLQNIRHGLRGDSVILMGKNTMMKRSVRMHYEKTGNKAFLNLIPLLVVS >KVG99461 pep supercontig:CcrdV1:scaffold_5665:27174:28659:-1 gene:Ccrd_026029 transcript:KVG99461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MEAREDGVRLGTHRFRERRPLGTEAQIHDKDYQEPPAAPLFEVAELFCWSFYRAAIAEFIATFLFLYITLLTAIQVSNSPSKCSSVGALGISWAFGGMIFVLVYCTAGISGGHINPAVTFGLFVGRKLSLTRTLFYMVMQCLGAICGAIVVKGFEGNSVFELHSGGANVVSDGYTQLAGLGAEIIGTFLLVYTVFSATDAKFSACDSRVPILAPLPIGFAVFLVHLATIPITGTGINPARMDILGGTIGRGSVSGLLPPSCDKGISIQV >KVG99460 pep supercontig:CcrdV1:scaffold_5665:1316:15924:1 gene:Ccrd_026028 transcript:KVG99460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family NRLEAHVECSEAGWNRHYQPSPQGSACSHREVGIVSDQIRNEWCCRAKWLELEEYEALSTKQKWRNEAVNRKQTWYAVCRRTAFEVVAGSGDKPKIMVKYKDRRRIFSAEEISSMILMKMKGVAQTFPGSTVEKAMITVPAYFNDSQRQSTKDAAKIAGLEVLRMFNEPTAAALAYALDKRASTDGKSLYERIIPDEAVAYGTGYLAANLSDLGDEVVLARELTTGRNKAIKITDGGGLSKAEIAKMIKDVERYKQEDEAHIKKAMAHKALNDYAYRLRVNLNRYKMRLSLIMDMGLSVKDLEEIEYEINETIE >KVI01545 pep supercontig:CcrdV1:scaffold_5667:9100:15588:1 gene:Ccrd_020182 transcript:KVI01545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAKPGRGRPAAPSGSGSGSSSRSRSISRSSRSSSRSRSRSRSYSSSSSPSRSVSSRSRSPPPKKSSVDGPKRGRSPPPQSKRASPPPRFFGLSSLLVFYRKASPVPESLVLHIGQLTRNVNENHLKEIFGNFGEVVHVRLAMDHVVNLPKGSGYVEFKARTDAEKAQLHMDGAQIDGKVVQAKFTLPERKKVSPPPKPLATGSRRDAARNDNVGVDAEKDGPRRPPPASPRRRPPSPSRRRSPVARRGSPRRDLNSPPRRRVDSPPARRRPESPPYRRGGSPPPRRRPASPPARGRSPSPPGRRYNRSPLRTSPRRVRASPIRRRSPPPRRRSSGRARSPPPRRSPISRRRSRSPIRRPGRSRSKSISPRRVRPPVGRRGRSSSYSDSPSPRKVTRKVSRSRSPKRGVRRRSSSSNSSSSSSLPPPPPPPPRRP >KVH98615 pep supercontig:CcrdV1:scaffold_567:150717:160309:1 gene:Ccrd_023164 transcript:KVH98615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAMIGIVSEELKEENYEFWKVCLKSYLVGQGLWDVVSTEPTSKEEAKPEWQQKNAQALHAIQLACGSRAYSKYKKKTHVSAKFAWDHLAEMHLTTPSHHTQVTNDQDVSEVKEHLRYGNLYSAVVKDITGEVHDILTNDPGASTAIVSSHRDTALHVAILHGHMKMALELVKRMPPEGLEMANEFGATPLSLVAITEDTKLAMAMVQKNSKLVNIKRGDTEESSLPVIVASMYGKKRMVHYLYNKTPKDLFDPTKGLDDIASMLLHKFPKLGVTADCHGDYALHKLAHKPSAFASGSEFSFWKHWIYSCDKLLSCIFKEIKEMSSLQLEKMEIDKAVHIAIKHGIVEFVVELLKFNPEFIWRKDKRGRTIFSHAIILRQEKIFSLFYRLGMKKSIVASRHDIFRNNFLHLAAKLSPPSQLERVSGAALQMQRELQWYKEVESLVQPKYKDQVNENNRKPSTLFTEEHKDLAKEGEKWMKNTAGSSMIVGTLIAAVMFTTAFTVPGGNNELNGLPIMLNTDSNPFMIFTVTNGLSLFTSSTSVLMFLGILTARYAEDDFLVSLPTKLVFGIACLFFSIVTMMISFAAALYLMLHKSLPWVSIPLIVLSTFPVLLFSALQFPLLIEMVFRTYRYTIFDKPKKNGTTSKDILHHLH >KVH98619 pep supercontig:CcrdV1:scaffold_567:41303:42427:1 gene:Ccrd_023158 transcript:KVH98619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQILQSVLAAIASFAVITLIFSFVYLICRTTRKHRPDIESRNRTRAVRTVPDMATSTSFVSVAESQLFDPTLTQIDMSDLVKGTRNFSPDLIVGDGSFGLVYKANLPTGVTVAVKKLGADAFQGYREFLAEMETLGKIRHENIVQFFGYCATGTDRILIYEFIEKGSLDQWLFDTSPENDTSSLSWKTRVKIIRGVAKGLQFMHNLETPIIHRDIKASNVLLDSNFEAHVADFGLARRVDGAHSHVSTQVAGTMGYMPPEYFYGAPSATVMGDVYSFGTLMFEVATCKRPNLPIKEDEKEMRLVEWATRMVSQNREMEMVDVGIPREELKDAEVVEFFKIATFCTTESHKLRPNMNEVVELLDRLQDEATNQ >KVH98616 pep supercontig:CcrdV1:scaffold_567:192398:193567:-1 gene:Ccrd_023165 transcript:KVH98616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDYQGSSSPNFTSFGRSILGMKHDPMVHSIDHENFPSQDKEIEAFQRQVTQRFLDLASVDSNELLSVSWISNLLDVFLCCQEEFKAILFNNKSALSKQPMDKLVSDYFERSVKGLDVCNAIRDGIEQIRQWQKQLEIVLCALDNQKSLGEGQIRRAKKALIDLAIGMLDDKESSSTNLSHRNRSFGRYQKDSQRHKSLKHFRSLSWSVSRSWSASKQLHAIGYNIVPPKTNEIIATNGLSMAVYTMSYVFLFVMWALVAAIPCQDRGLQSHFNVPKNFIWGAPILSLHERILDESKKRERRNTCGLLKEIHGIEKSARYMNELTDSVQFPFGEEKEQEVKKRVEELRIVYRDLKNGLDPLEKQVRDVFHRIVRSRTEGLDSIARGNE >KVH98613 pep supercontig:CcrdV1:scaffold_567:106253:114184:-1 gene:Ccrd_023162 transcript:KVH98613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MDTKGRLIAGSHNRNEFVLINADEVGRVTSVKELSGQICQICEDEIEITVDGEPFVACNECAFPVCRTCYEYERREGNQACPHNHRRDPHSYAEAVLSSRLNFGHGASNGSGFATPSEMEAAALDPEIPLLTYGQEDDGISAGRHALIIPPFMGRSKRIHPMQPMDPKKDLAVYGYGTVAWKDRMEEWRKRQTDKLEMVKHHGNGGGGGGHSDGDLDDADLPKMDEGRQPLSRKLPIPSSKINPYRMVILMRMAILGLFFHYRILHPVNDAYGLWLTSIICEIWFAVSWILDQFPKWFPIEHGKASELAPIDVYVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSEKVDYLKGKVHPAFVRERRAMKRDYEDFKIRINGLVSMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGTNGLLDVEGNKLPHLVYVSREKRPGFDHHKKAGAMNALIRVSAVITNAPFMLNVDCDHYINNSKALRESMCFMMDPICGKKICYVQFPQRFDGIDRDKRCMDTMLRSRRNPPEKRATACRNGVAVVFRQFGQSPVFIASTLLEEGGIPPEASSASLLKEAIHVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKPLERFSYINSVVYPLTSIPLVAYCTLPAVCLLTGKFIVPEISNYASILFMLMFLSIAVTSVLEIQWGGVGIDDFWRNEQFWVIGGVSSHLFALFQGLLKVLAGVDTNFTVTSKGGDDGDFVELYLFKWTSLLIPPLTLLIFNIIGVVVGISDAISNGYESWGPLFGKLFFAIWVILHLYPFLKGMMGKQSNVPTIIIVWSILLASILSLLWVRVNPFVSKDGIVLEGQGVL >KVH98618 pep supercontig:CcrdV1:scaffold_567:70880:75315:1 gene:Ccrd_023159 transcript:KVH98618 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAASSSSTTLFLVFSSFLIFASLCYVSEADYSAPIKKGLSWDFHHKSCPKGCDGSVLLDGSHGGPSEQTAPPNQSLRKQAFNIIEDLRGLVHRECGRVVSCADIVALAARDAVHLSGGPDYDVPLGRKDGLTFATQNATLDNLPAPTSNATTILSALAAKDLTATDVVALSGGHTIGISHCSSFTSRLYPTQDPTMEKIFAHGLKEVCPTNTTDATTVMDIYSPIKFDNKYYVDLMNRQGLFTSDQDLYTHKETKPIVESFAHDEKLFFEKFVQAMIKMGQLEVLTGGKGEIRAHCSIRNPDNKGYFSYLAEEEDQYDLETRAELR >KVH98614 pep supercontig:CcrdV1:scaffold_567:145184:145591:1 gene:Ccrd_023163 transcript:KVH98614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolamin-like domain-containing protein MAFVRVLPITLLLLSCLMIMTFEVGSTARKMHVIEPGQGPVVRVQGEKNGVGGLMECWNALLELKSCTNEIILFFLNGDSYLTMECCRAIRIITYGCWPSMLTSLGFTSEEGDILRGYCGGAPLPQPVMLLGPHV >KVH98612 pep supercontig:CcrdV1:scaffold_567:90379:100269:1 gene:Ccrd_023161 transcript:KVH98612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRSMKRRELQALSKAHNIPANSANSVLADKLSELLNEKQKPTSRQRTCMKSVVETTDVSEPAVSRRQTKKVRFSPNNDLVEYELRSGKKKKDMVTETEHRRKSVAKSVVDNINTVDGSVQIPVRLTRSRVQGKDDSIPIYEKKQGKRTVKVVRKETDAVKELEGHLGKVTRSKVHTLGKGGGVQLDENKQNKGAVKDVEKAGESVDRTEVGRVRVTRSKAQILMEDGVGPNLNPQVKKKSGRGVVNDVDNVEPSKEVMDVPVRVTRSRGKTLTDDVANMVAVTQAEKKITRRETKVSDPSNNLSNVASENNQELPRRKSSRGRGVEIATEDVSEKLGHGKRKKRSEESTAEVSESQHHADKESKRNKAKVEDFTLLNPSVSKVEMVVERKVTRSKALLAMKSSEGNNKSIAKNKVEVQRESTMAQQLEEPLEHAGRKNVNRRKSVMQPENTEVVLHLEEYMNRPARKDTRRKSAMQKVKGKGIYKPLVEKKEVVKEIKMVESPNIGTRKTAGKRKSKEQSGNLSVLDEGLEDEENVTGSGKDATENEIKAVASVTRSHQSKKQRGNPVIEDQNIETVCVSPVDESPRRPTRSASKSEGKSVPYSFQNFVAGKQQDSSVTTPALRMDNQGAVESEFIEGHRSASRTIISGAIIKDNSSKRRAKLSESKPSDSEELAEKFSTEGASVSKSENLELDEKVTEIARTPAIEESIRRLTRSAIRSERNAAANTTPGRMTRSGIKHRENAGSRLFEMVDKEKQQSQSAHQRQPLADARMFSPEVTGVGAHPDVTNSREDRASMREAAIVMENVSLVSAEDASGRAPGEVMEVAGADIEKSRVQFTCSAAKNETSATPYLTENLLSGMQQSSVDLPNIEDETGINLSDIKGTPFSTAEVAETMPDVHDQNEEVYVKSATFSEAQVQVAVDLEKSVVKSARPESYVEEMELTTKRLQTDVMVEEPAVSIPSVDISPGVITKGNNDDADNNSDSISCEAGPSAVCHASFKESYDKRKSKEMEALLEPSMIEDDKQFIADNGSYAQIEKGSKQDVVAFNDPLQNPSAGKQEVADNDAHNDDDDDDEGANARKQSMDESVSEDNRVDGSIDMLPSSDMGSRCVAGRVEAQVMDSLWEQSTNKYASQTPAEKVYDTEANGDDPLQKSTGDDVLQGLPMDENDIKDGMVATQDSLAENDVKDDMVATQDNLAEQSELSIGKNTTYVTDDKVDNVKELKSEVMPSASNDVFQIPILNNSDGEDGMVNATKACETDLIPSTAGNILEDTVLSSGNGEGVNANKEHESNSKLSTDNDDDFNMTMEVEPISEPSTTNDILETPISGNGIDDECDNPNNALKSNLKPSTGYDLFGTAVMSKDDEEDDGIYVPNELEPGLGPSNIRNSLEIPVSGNGVDSECVVDEKTDGQNGESEPEPSTIDDNPAMDEHDVGDDEPELTQNENMPVELEVLIRSSDKEAEPVLNVAVDEVQCDIVDVCASVERSDSSPQTPATNGNGITDLVVDINKDAVSKTLGDIDWLENSTGVIDTKNDTSFCGSEQKSKESESISPGMNNEQGTEEAEMMIQNDHVSGDRNALDSDKFKEDCSNHGVDEENIGTPEKPTRDETSPIVYTQEKPNVDKLGSDRFTEDIGVHRGPITEDKEECSVDDTVSDPPTFFKDDDINMQGSFIFSLSCSMVHDFVANELSTFAEETQEASTCVDLGNHSFGIDEYQKPDSANECDDALAEIMKDDRVSYLQTSARASSSMEKGFSPAAELANSVTDLEKASRNTADGGFNQDTEEFLNWSDSSLKALFTTPTTNITSNVKHDEEDAVRFTFSDDRSCDDIEIAGRRAHDFDHQWENKTQDPATQSPEYGVSNFEEFSHKYFVDGSSDMSMEKEKKDKPS >KVH98617 pep supercontig:CcrdV1:scaffold_567:12491:27028:-1 gene:Ccrd_023157 transcript:KVH98617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MEKRVYEVWKGRNKFFLGGRLIFGPDGKSLVVSASLIIVPVIIFCIFVGRHLVHEFHAGYAIVMAPIVFTIYVLVLLLLTSARDPGIIPRNTHLPDEEFRYGTSISHEAAGRQTPRIQFPRTKEVIVNGVSVRVKYCETCMLFRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFLFVSSSTLLCIYVLSMSGLYIKILMRDHECTVWEGIQESPSSVVLMAYCFITLWFVGGLTGFHLYLISSNQTTYENFRYRADNWLNVYDRGCAGNFGEVFCSKIKPSRNKFRAPMQEVQLGPGGSTQESGADSCPEDRRMKVEDDMDIGGDLLKISQRHNIKDVESHDRNSLEIDSALGSGTHTPTANSDRNSRWDIAPEVFAMNSNVNESRSYADQKQGY >KVH98611 pep supercontig:CcrdV1:scaffold_567:76350:78757:1 gene:Ccrd_023160 transcript:KVH98611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYWFPSQHPGTGGIFGSFLLHVLVCIMCRLVAGEDDSGGEVDHHQSTVNENVRMDPQIQMQIARLEARISRVEARMERLEVSVLYMQRRMYLYGFVCLISVLYAIFK >KVI02803 pep supercontig:CcrdV1:scaffold_5675:12327:13817:-1 gene:Ccrd_018906 transcript:KVI02803 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MPQILPDFSSSVKLKYVKLGYQYLVNHILTFLLIPIIAAVAVQLLRVGPEEIITTAKSLHLDLVQILCSVFLILFISTVYFMSKPRSVYLVDYTCYKAPITCRVPFSTFMEHSRLILKDNPKSVDFQMRILERSGLGEETSLPPAIHYIPPNPNMEAARGEAELVIFSAIDNLFQRTGLKPKDIDILIVNCSLFSPTPSLSAMVVNKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVLPNSNALVVSTEIITPNYYQGNERAMLLPNCLFRMGAAALLLSNKRRERSRAKYKLVHVVRTHKGSDDRAYRCVYEQEDPQGLVGINLSKDLMVIAAEALKSNITTIGPLVLPASEQLLFLFTLIGRKIFNPKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELSYIESKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRSIKTPTGGPWEDCIGRYPVHIPEVVKL >KVI06541 pep supercontig:CcrdV1:scaffold_5676:25493:26817:-1 gene:Ccrd_015110 transcript:KVI06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPADSETFSDHEPPATTNARTTGDLEFDFIYGIRVLQGDDSGPKATIHYSRVYKRSILSILMFLSLIVSTIDSLFPQSFHRFSTYFIQDLLIFKLLTSLLEEIQASMDLHIEVVKQRMLTCQFASAPDVVRLIVSKEGFKGLICGMNLCWKLMGVCTIYSCN >KVI01475 pep supercontig:CcrdV1:scaffold_5678:626:2219:-1 gene:Ccrd_020253 transcript:KVI01475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MGDFAQLRGTRDNKLRSKSVNHNTQYALFKKGMLSCAKNNWEVVQMRNVDLVFFPLLDKGHYYLVVFNLKNPSVVVIDNRYQEVXDDDQLLQMYDFITDIMQRLMIRHLNAVGHPVGKELDEIGQERLSMDWQTRNNFDDCGVFAMRHMETYMGDVRTWNTGLSKEGKT >KVI08321 pep supercontig:CcrdV1:scaffold_568:146164:148817:-1 gene:Ccrd_013308 transcript:KVI08321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase MEALRAPSPVSPPSLIKRQLPKPICSLPFTHKKPYSPFPYSTQFTKSNFKNVNVTSPFISTEPTSPLEEESETLDQHEKFDWFSQWYALMPVCDLDKRVPHGKKVMGLDVVVWWDKNENAWKVFDDSCPHRLAPLSEGRIDQWGRLQCVYHGWCFGGSGDCKFIPQAPRDGPPVHTFQKACVAVYPSTVQNGIVWFWPNTDPQYKDILSKNKPPYIPELDDPSFTFQMFNRDIPYGYARTCLTQVSFTEKLDREGGKPLEIEVNKIYRNGFTSNQGNGLWNFVPPCLFHGSVTIGGGPDNASATSGLTTKGKVSQKSPRTALLIFVCVPVSPGNSRLIFVSPRNFGVWIDRIVSRWMYHIGQNLVIDSDLYLLHVEEKKVLEAGLDNWQKACFVPTKSDANVVAFRKWLKKYGGGRVEYWSHVVNCSSCNAAYKSLNAIEVSLQVFSLASVAIVAATKQGMISTAARNTLVVAAVLCFVGSKWLSHFIYKNFRYHDYNHALR >KVI08327 pep supercontig:CcrdV1:scaffold_568:99115:103244:-1 gene:Ccrd_013305 transcript:KVI08327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGEYIKVCFEDLVKVESLIEQCLLHYMTQKQVIDILYQLQHIEPAFTETVWQKLEEQNQEFFQSYYLRVVVNEQIMEFNNLLGRQVALMNQVDPSVASFPPVSNVSHMPAMKTENMQPSVPTVLVNGFNNCGPFIHSGMQHALDRSTYSRRIDVPSNMVLAHNSDIRMMEGIVIKSEPGYLDNSRYMISSNIGEVASILLTAAIGISEGRRHDSCSASMDESCYRWTSCNNLGLIQQTNTS >KVI08325 pep supercontig:CcrdV1:scaffold_568:132402:135325:-1 gene:Ccrd_013307 transcript:KVI08325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPDSTTDPIGQNLIKLISNVCFSVFVFTVLVITVIAITYQPPDPWESSRALTRAFTKVENATFQTDTSVLKTGEDIGMVVVPAAAPTEADLEASDSQNDPVIDKSEEKSTNMSLSSGTCDDSKLVNCSDRGVLMTIKKFNLKRFKSIVFLEYQPPVNGSNPNECDVSWRFRNRKEKSWRRYRDFRRFKIGYTDSCTYKVVWARGWHSGVNARRPRSRFNGTRSGERPKISPSFHDDEINDTIPVMDSDPAFRNGKYLYYSRGGDYCKNMNHYIWSFLCALGEAQYLNRTFVMDLSICLAGAYTSSKKDEEGKDFRFYFDFEHLKETAPIVEEEEFLKDWRRWEKNHKRKIPVRKVPTYKVTPMQLKKDKSTVIWRQFDTPEPENYWYRVCESRAANYVQRPWHALWKSKRLMNIVSEISGQMDWDFDAVHVVRGEKAQNKEMWPHLDDDTSPDALLAKLQGIIQPWRNLYIATNELFYNYFDRLRSHYKVHLLDDYKELWGNTSEWYNETTLLNGGHPVEFDGYMRVAVDTEVLYRAKTQVETFYNLTSDCKDGINTC >KVI08328 pep supercontig:CcrdV1:scaffold_568:76254:83312:-1 gene:Ccrd_013304 transcript:KVI08328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MGEEKPKPIGGVWPTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAVSVTKNMLKNDGVGAFYKGLSAGLLRQATYTTARLGTFRILTNKALEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADATLPLAQRRNYTNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDNLGFGEGATILGASSVSGFFAAACSLPFDYVKTQIQKMQPNAEGKYPYTGSLDCAMKTLKEGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKLEKKAGL >KVI08317 pep supercontig:CcrdV1:scaffold_568:173457:178078:1 gene:Ccrd_013310 transcript:KVI08317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small-subunit processome, Utp11 MSSLRNAVHRRAHKERAQPKERKRFGLLEKHKDYVVRAKAFHKKEEYLQKLKEKAAFRNPDEFYFKMINSKTVNGVHRQTSEANQYTQEELMLMKSQDIGYILQKVQSEKKKLEKLTATLHSFDSQPSNRHVYYAEDREEAKRILSETKSVRGMQAFEDLPDKIKRRTAASYREVEARRSRVQQLEKVYSEMAMKQELQKKGKKRKLREDEIVCPTSRPVYKWRTERKR >KVI08319 pep supercontig:CcrdV1:scaffold_568:187201:189741:-1 gene:Ccrd_013312 transcript:KVI08319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFSCPFTAYTDLEKVSRSIAIGLEDDEVKSLMQSNSFRNQDSETTVIQSMGTENLRIERSVIFEVPLAEETGIDYAKMKEMCTGFPGFDNVMDEFTKTSIFDPGSPEHEAAIKLQKVYKSFRTRRKLADCAVQIEQSLYVLFVIQYFFNLFVVFSGIQKWKLLDFAELKRSSISFFNLDKQETAFSRWSRARTRAAKVYSHVNTIANEFPSKCKKINELGKAYPKTAKHKNLLCNTGLRRLIQDIDMGTIFTSIMENGCSLKAKNPFSTVPESNLFDSNTQVGYRRRERNKSCRKMPKIKTSAAMHQIPWPSMSLIERKAYEVVMEDGKLLFKQTGEFLDTTGEPKGAKWIFVLSTSKILYVGVKKKGSFQHSSFLAGGATLAAGRIVAEKGTIKAIWPHSGHYRPTQENFQDFISFLQENNVDTTYVKVRMRFFLHMLQIKIKIHFDSFLHLYCCSQMDSDDDKELFGSHIRTHSSEEDMSEKERPQNINDPSSDNTNVIKQHAGFPSESPMNPKLFHKNLSTLEIPNSSDDTLSSSCSKDFGLETILDGYEAAEDAFDTHKANYVPSAHELSDDEDEPCVLERIKSHKEPNSCFQLGRQLSCKWSTGAGPRIGCLRDYPTELQSQALEEANLSPRSAPSCSLRYTNTVLCTSPLSFENRSLLRRNNRPYRTQSTPLNMGWMNEKQSRNTRLFD >KVI08326 pep supercontig:CcrdV1:scaffold_568:113350:115695:-1 gene:Ccrd_013306 transcript:KVI08326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP01589, plant MSTGEYIKVSFEDLVKVESLIEQCLLHYMTQKQVIDILYQLQHIEPAFTETVWQKLEEQNQEFFKSYYLRVVVNEQIMEFNNLLGRQAALMNQVDPSLASFPPVSNVSHMPASKLPSTSRFFHGCIPQSHLSTENMQPFVPTVPVNCFNNCGPFLHSGMQHALDRSTYSRRIDVPSNMALAHNSDIGMTEGVAIKSEPGYLDNSRFVYQTGGDVLGAHPAIEHASISPFGSMDPNSQTFFRQISQQIGPPG >KVI08322 pep supercontig:CcrdV1:scaffold_568:63810:69668:-1 gene:Ccrd_013303 transcript:KVI08322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein MMASSMKLSVLFMFITLSILFSVSSSSPSLSSRCHPENFPFLRDLQHQCPTTIVYSSPIEMDGESLDRLLSSTNVYKAILFYASWCPFSRNAQPKFDALASMYPQIMHVKVKQSSALPSVFSKHGIHSVPSILIVNGTTRMQYHGPKNLHSLSDFYQRTTGLEPLMYLTEDQVMPSSENRPRSVVSWKDIMSEEPYLVFSLSLVLLKAFLILCPEVVSSVIALWVAYIPRLNLAIFGESRQVLTHALQLFDVKSAFSKLKVSKSRKFHNGARSARVLASLSLGETSSGRA >KVI08318 pep supercontig:CcrdV1:scaffold_568:183471:188865:1 gene:Ccrd_013311 transcript:KVI08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRFSNDRGLVHNTVFVYLRLQLGALLGDRLASSNACDCSSVGTHGSSSSSDSSCADGTNDSPGGQCGSTGQKTRVLKRPFLLYSNSQNSGLMVRGINKRHTGPSRKRVLCFERATIFHNRSEDCAHIDVLDQSVIEKQVETN >KVI08324 pep supercontig:CcrdV1:scaffold_568:12801:16100:1 gene:Ccrd_013301 transcript:KVI08324 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MAVAYFSRSRKRIVFLFIFFIVCGLISLSCAARQPKASRQKLQVHKHLKRLNKAPVKTIQSPDGDMIDCVHISHQPAFDHPFLKDHKIQMRPNYHPEGIYDENKVNTESNKRENTINQLWHVNGMCPEDTIPIRRTKEDDVLRASSVKRYGKKKHTSIPVPRSIPKSADPDLVNESGHQHAIAYVEGDKFYGAKATMNVWEPKIQQPNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNNIAMGASISPVSAYRNSQYDISILVWKEGNWWMQFGNGYVLGYWPSFLFSYLADSATMIEWGGEVVNSEPDGQHTSTQMGSGRFPEEGFGKASYFRNIQVVDKENNLKAPKDIGTFTEQSNCYDVQTGSNSDWGHYFYYGGPGKNPNCA >KVI08320 pep supercontig:CcrdV1:scaffold_568:161994:162740:-1 gene:Ccrd_013309 transcript:KVI08320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNESSLLDPDFSDFSPEEEFGGMWHVHRHNSNFGRLHRRHPIAPTPVVSISADPTLLDLLLDLLLGELSVEIRNVKVWNIGSSSEAGSLVLPLTTLSCKKFMQP >KVI08323 pep supercontig:CcrdV1:scaffold_568:57138:64368:1 gene:Ccrd_013302 transcript:KVI08323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIKLFVVMLVVMMMAVATVTASDGPAPGPVSDATTVFVPTAIASLSAIAGRGNGRGIREPKINPEMITIIRLEVEVEAWGKKQVKVYDSSFIPSHALPEDVSPKDNDANTRALLAPLWNFLLLLTFSLLNADFTSNSWRACVKTCLLSPKIARFNRGMYATHNAITLDTTSGHKIRNAFSNTNDKENTRYGSSLIMSFHETTLLGLFSEDGIT >KVG98788 pep supercontig:CcrdV1:scaffold_5686:2057:23325:1 gene:Ccrd_026031 transcript:KVG98788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPTTFQAAVDAAEILEQDINRQPQEKVGEKRKGEATMTDFRRPRTGSKANGSKSNHVGGNGTGSKANGSKSNHEGGLNNGGNSKGGSNTSDGDTLYVTELNSEEKYTWYPSTHWEEANCLLTTCFLFHHTEKRRDLKSTRALQLNPICLSIVRKLANSEALNGK >KVG98787 pep supercontig:CcrdV1:scaffold_5687:1268:2088:1 gene:Ccrd_026032 transcript:KVG98787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKLDCFPLHSNTCFCPQNPKPNPTSSTNQSFQASSMAESDGYPKEYYDQQIGTRIPSSSSRSTSTTSIHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLENRSACDADISVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKALRFGMLGSAVGSVMGCLFLMLSMVNVIEIRLGMLTCGSRSTVNAVASMIVLVTSALLVYISTAVYAFLH >KVG98786 pep supercontig:CcrdV1:scaffold_5687:4392:9316:1 gene:Ccrd_026033 transcript:KVG98786 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MIGTRIGDSSQDTAELVDVNLAAGSVGDGVGSDVGCEQRAVAEPRVGMEFDSEDAAKKFYDEYARHLGFKMRIDQCRRSEVDKKIISRRLSCNKEGYYTKAKNQFGQIRKQHTSSRQGCNAMMLVRVNKFGKWVVTRFEKEHTHPLVLSACLSINEGDCKERRIEALTTELKHQDQLINFYREHLSTFLKDLEQQTEVLSTKIQVAVNNVREIETKDQKQ >KVG98783 pep supercontig:CcrdV1:scaffold_5687:20628:21083:-1 gene:Ccrd_026035 transcript:KVG98783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDPAELRRVFQMFDRNGDGKITRQELAKSLENLGIYIPDDDLVQMIDKIDVNRDGFVDMDEFGELYQTILGERDEEEDMREAFNVFDQNRDGFITVEELRSVLGSLGLRQGRSLEECKMMIKKVDEDGDGMVNYKEFRQMMKAGGFAGLQP >KVG98785 pep supercontig:CcrdV1:scaffold_5687:23630:31435:-1 gene:Ccrd_026036 transcript:KVG98785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase CRYVTIDENHGKKLYYYYVLSERNPSQDPVVLWLNGGPGCSSFDGFVYEHGPFNFEKTGSLPKLHLNPYTWTKVSNIIYLDSPAGVGMSYSGNKSDYITMDTKTALDSHKFLLEWFKLYPEYLSNPFFIAGESYAGVYVPMLSYEVMKERSRNPVFDNPLGLDAGDKPTLNFKEVTKECQGTYYSPANDDCESNLAKVDQIRLGNTNLPLSFRKLGETERPLPVRTRMFGRAWPFRAPVKAGYVPTWPELLNSAENVPCTDDEVATVWLNNEAVRSALHADPISVAGNWELCTDKIRYYHDAGSMIKYHQNLTARGYRALIYSGDHDMCVPFTGSEAWTRSLGYAVTDEWRNWQVDGQVSGFVQGYDNGLTFLTVKGSGHTVPEYKPKEALAFYTRWLEGMKI >KVG98784 pep supercontig:CcrdV1:scaffold_5687:10512:14723:-1 gene:Ccrd_026034 transcript:KVG98784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTVASMAFCKSKQLKNLIFIPFLHRKFLHKPRYLHGGIIWSHSAPTMDMVHKVLEPAPRSGTANGSAEAKPKRKKLKGKRAVARRKEERLVESLNKIEPKETSETTHDPEILTPEEHFYFLKMGIKSKNYVPVGRRGIYQGVILNMHLHWKKHETLQVIVKTFTPDEALDKSKYRDALRAVRKYIPRLEQDLELLRAKAEKETVVMVDAETPLIGTDTPESEELSNIQNEAKQKLRELVAKNDEFNEDDHSVTGSELVSDSEDLSDIFETDNETDDEENNEKPLYLDEFEKFPLKSNDIEDDFEEHLRRISAESKKEKDLEPDFDEVDRVVLRAASLLKKRRR >KVH98796 pep supercontig:CcrdV1:scaffold_5689:10673:11422:1 gene:Ccrd_022977 transcript:KVH98796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIVRKILVNKFNHLLKEGNIYVLKNFKVVENSGAFKVIDLNLKIIFTLLTKVENFDIHVPSIRMHGFQLSSEKIVNDRLNDDNILTGMSKTVNDRPTVERLPFHLPGEQPVQFNKNDILESVVVKSGVWNAKDKIWTRRKNGISIGIIYFTHLSSGERFYMRMLFVKGCTSYEHIGRVNGIIYPSFREANDAIGLLDGDDKLVDCLTKAANWATGH >KVH98795 pep supercontig:CcrdV1:scaffold_5689:2067:8497:1 gene:Ccrd_022976 transcript:KVH98795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLANDMTQNPLFTISHVYLKMTSCPFLKHFISSQILIIRKRMYKLHPSVPDNTDVVNCVVLHKLAERMVDSSPLKILNKSDPDKNNLECEITSLYGKKLYYGPGHVNYIFGSKFLKTLQLLGILVNRITLVDIVVPSCGMKKDLERTKRHLIQSL >KVI03159 pep supercontig:CcrdV1:scaffold_569:107152:108705:-1 gene:Ccrd_018546 transcript:KVI03159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MEKKDGGNGHSLNPSSLSSFKPPPFAAMEVVSNIGSSESMAGLMPMCSTIEEGGNSPVGYTREFQSMLMEGLDEDGGGEESAGGQISEKKRRLSVDQVKALEKNFEMENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANFDSLKNNYESLKHDNESLLKQIRELKSKLYGEDEETHVPVKEETGDKPKSPEMMYENMTTYFKDGSSDSDSSAILNEDNNSSNMAAAIVMNCQQLPESKAIMGDAQKAYQPQFVKIEEHNFFSGDESCNFFSDDQAPTLQWYCQDQWNLTEDN >KVI08241 pep supercontig:CcrdV1:scaffold_5690:17813:18358:1 gene:Ccrd_013389 transcript:KVI08241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKEFVARAGRTDRRSCCNDDRKRESMSGRNHDTGWLSDDYKRTMRSGLGSSGLSWDCLSIS >KVI08240 pep supercontig:CcrdV1:scaffold_5690:29488:30846:-1 gene:Ccrd_013391 transcript:KVI08240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHRKILIVAFSGHGHVMPSLRFAKRLLNMGVDVTYCTSLSVVRRMEKETTPHGLTFAPFSDGHDGGKQPNTTLQQFISDFAANGASAVAEIIGSATAAGQPFDHLVYTTVTPWAAWVANAHGVKSTLLWCQTATIMNIYYYYFNGNQSLISSTNSDPIFSINLPGLPPLTTADLPSFVLPSCPKEDDIYLRILKDHVDALKLSSRILVNSFNDLEFESIGAIKKLEFLPIGPLVPSEVVVGKESKNNTSGKDFFEKWEDGYIHWLNTKATSSVVYVSFGTIATFSMDQMEEIAIGLLESRRPFLWAIRDSGIAEKLSKIEELKKQGMIVDWCSQVEVLSHQAIGCFLTHCGWNSTLEALVAGIPMVVFPQWSDQQTNGKMVEDVWRTGIRVRRREGDGMVEGVEIQRCVKIVMGDEEMKKNAENWRKLAREALNNGGSSTINLQAFLDDI >KVI08239 pep supercontig:CcrdV1:scaffold_5690:22605:23116:1 gene:Ccrd_013390 transcript:KVI08239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MLIPLFIFQRFSISFTTHLKMTDCEGKSSWPELVRQRGEDAVVTIERENPRVDAFVILDGSPVTADFRCDRVRVRVNSRGIVVRTPSIG >KVG98669 pep supercontig:CcrdV1:scaffold_5693:3135:7559:1 gene:Ccrd_026037 transcript:KVG98669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIEAKKLDEQRRSMVRGTPSTTTKPTGAGGELRWKWIEEYCWKKGCFRSQNQCNDKWDNLMRDFKKVREFERRRMSVKDNNSDGVGGDGSYWKMEKMERKNHNLPSNLLPQIYEALAHVVEAKLGISVLPTHRKKERKRRRSSEEGSGLMEAIKGYEEREETRHKELYIMHQKRYKIEESKIEMERQGFNGLVDAINNLSHSILAFTSQRTPH >KVG98338 pep supercontig:CcrdV1:scaffold_5695:9667:10803:1 gene:Ccrd_026038 transcript:KVG98338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAQFGARTPNNGRRKNKRISKGKKGGKKKAIGMISKLHHYSTLEMLAKLLLPVLRIASEGLKHRVFEISLANLQNDEDHAYRK >KVH99454 pep supercontig:CcrdV1:scaffold_5699:31683:31844:-1 gene:Ccrd_022312 transcript:KVH99454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKKEKKKEKKKQKEQKKEQKKQQQKQKQKKEQKKKQKKKQKKKKKKEEKEEKE >KVI07393 pep supercontig:CcrdV1:scaffold_57:184119:186711:-1 gene:Ccrd_014211 transcript:KVI07393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYDKLLSEAPKFKLITPSILSDRLRINGSLARKAIKDLMARGAIRMVSAHASQQIYTRATNT >KVI07407 pep supercontig:CcrdV1:scaffold_57:437159:438606:-1 gene:Ccrd_014235 transcript:KVI07407 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MASIRKSFTILFVLSVLIQFATAALSGKPTNATTQINSNSVLVALLDSRYTELAELVEKALLLQKLEEAVTNHNITIFAPRNEALERELDPEFKRFLLEPRNLHSLQTLILHHIVPSRLAQSEWPDESTQHTTLSPDPIHLSNLDSVKLAGNAEVVRLDDVFRPDGIIHGISRLLIPKSVQQDFNNRRSLRAISAVKPEGAPEVDPRTNRLKKPAPSVPAGSSPVLPIYYAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTNLATEMGKLVSEGYVLTVLAPNDEAMAKLTADQLAEPGAPERIMYYHIVPEYQTEESMYNAVRRFGKVRYDTLHVPHKVVAQEADGSVKFGDDDETAYLFDPDIYTDGRISVQGIDGVLFPASEKTDSKKPESITTVDTIQSKPKSRRGTLTILLNSI >KVI07413 pep supercontig:CcrdV1:scaffold_57:63036:69960:-1 gene:Ccrd_014202 transcript:KVI07413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MARWWESVRELRPLLHLLFPLCFHWIAEEMTVSVLVDVTTEALCPGQNTCSQAIYLNGLQQTVVGIFKMVMLPILGQLSDEYGRKPLLLVTVSIALLIFCPVYMAVFLAETVESTTPKMDRHASYLSKTLTVVQKRYRTMKYAAKIVLSTPMLSSISVISFFYELGMSGISTVLLYYLKAAFGFDKNQLSEILSMVGVGSIVSQLVVLPLANPLVGEKVILCAGLLASIAYALFYGLAWASWVPYLGASFGVIFILVKPSTYAVISKGSRSTDQGKAQTFIAGVQSIASLLSPLAVTPLTSEL >KVI07406 pep supercontig:CcrdV1:scaffold_57:416396:425843:-1 gene:Ccrd_014234 transcript:KVI07406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNTCVGPNISKSGFIQSVSAAMWRTPSPEDAPPTPNGEQSSTRGVQPESPLPVQNKPPEPITIPKTEKKQEEQPVKPKKGPQMKRVSSAGLRTDSVLQRKTGSLKEFFTLGKKLGQGQFGITFLCIEKATGEHYACKSIAKRKLLSEEDVEDVRREIQIMHHLAGHPNVIAIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYSERKASELTRTIVGVVETCHSLGVMHRDLKPENFLLVDKKEDSLLKTIDFGLSVFFKPGESFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVIVYILLSGVPPFWAGHPWVQVDGVAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAESMSEEEIAGLKQMFQMIDTDNSGQITFDELKVGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITADELQHACDEFGIDARLEELIQDDGRIDYNEFVAMMQGNSGVAPKKSLDNSFSIKFREAFKL >KVI07441 pep supercontig:CcrdV1:scaffold_57:532557:533229:1 gene:Ccrd_014243 transcript:KVI07441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNRLFLFLVVLFAFVLISSAGRTLDTTFQSQNEKPKGDDVVKAEKVVQPDKAAGCCGCWRCWPPKRGRRL >KVI07395 pep supercontig:CcrdV1:scaffold_57:200833:202089:1 gene:Ccrd_014213 transcript:KVI07395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFSFSLASFALLSNKNLVSENSQNTHENDSVVGDDSSGMNKSKYTTALTGGNGGGRSGGDGEQSNSSPQGGNGVIPVYAAGAAAAQRRNHKGDAATCSPCWKGGFAIFIVIVLSSLLLQIPM >KVI07392 pep supercontig:CcrdV1:scaffold_57:181323:181529:1 gene:Ccrd_014210 transcript:KVI07392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MLWFKTIVTIGIAEETMVKVIEAKMCQTTGHAFSCVNDSTCNGSCEKQGFASGKCDGLRRRCTCYKQC >KVI07425 pep supercontig:CcrdV1:scaffold_57:12285:12701:-1 gene:Ccrd_014195 transcript:KVI07425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MITLVYDVSVKFISCIWVSFLQTKNVFFEILSTLVLMFQPQHYHDSDWDDLNVYPNSYHLSDFRFHDVATTDATSRVVDDACSICLVEFRGDDTVSQLDRCRHVFHACCILMWLHNDHFTCPICRSSLTHMIYCQYVE >KVI07421 pep supercontig:CcrdV1:scaffold_57:47011:48906:-1 gene:Ccrd_014199 transcript:KVI07421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPRRNLISWTTLISSYSQMGMAVEALDCFRSMVIDGFVPNHYTYVSALSASASLGVLRIGKEIHARIIRFEEKVNSFVENSLVNFYGKCGMLSNAISVFDGILEPNEVSWTSLVSCACHCGENLEGLKIFSRSHKAGVMVNEFASASVLGACATVENTGLGMQVHSHAVKSGTRLDQFVVTGLVNLYAKCGDLGSAQRAFWEVDKPHLTAWTALMGGCVQQGKGKEATDLFRKLHASGDGPNERTFATVLGAFLDVKEIDIGKQLHSLILKLGYISFTFVGNAIMDFYFKSGFLDGGLKVFEEMNEHDVVTWNALISGHMNLGCYEEAVKLLREMLLKGFELNLYSYSSILNICGDLPAIEWGKQTHCCIIKLEFDSNVVVGSALINMYAKCGRLSNARVIFDNFPSKNTVSWNTMLMGYAHHGHGKEALEIYDMMLDFGVKPNSITFIGALSACGHSGLLEDGLRHFRSMTKDYGITPKTDHLACMVNLFSRKGQTKLAFDFITSFPTKPDKVVWRCLLSGCKTSKDFDLGKYAAEKILDIDPDDVSAHIMLSNIYAESKMWNEIAKIRKLMKEKVLKKDTGYSWTELKNKTYSFSSGRDANSDGNCVSEVLTGLTEHLIDEGYVPSGIV >KVI07427 pep supercontig:CcrdV1:scaffold_57:356185:368805:-1 gene:Ccrd_014228 transcript:KVI07427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MGVPILAAAAKDNLSDKAAMMRDSLHKSQSITDKMVSILGSFDHRLSALETAMRPTQIRTHAIRRAHENIDKTLKAANVILDRFDLSREAEAKILRGPHEDLEGYLDSIEQLRSNIRFFTNNKSFKSSDGVVSHANNLLSKAISKLEHEFKQLLSSYRLLIHLHNLLNYLIHLTNSFSKPVEPDRLYECLPNSLRPSSGSPEASGKNPSNSHTDHITAENAVYNPPVLIPPRVLPLLHDLAQHMVQAGHAQQCLIIYRDTRSQVLQESLHQLGVEKLSKDDVQKIQWEVLELKIGTWIHFMRIAVKLLFAAERKVCDQMFEGIESLKDQCFAEVTRGSVAMLLSFGDAIAKSKRSPEKLFVLLDMYEIMRELHSEVYIYYLQIETLFSGKACKEIREAALGLTKRLAQTAKETFGDFEEAVEKDATRTAVADGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFERGDDSNSQLASVTMRIMQALQTNLEGKSKQYKDPALTNLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRNAWTKVCGGGSAGGDGGNSSGASRALVKDRLKIFNLQFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFIKRFGVLVENGKTPHKYIRYSADDLDRMLGEFFEGKT >KVI07444 pep supercontig:CcrdV1:scaffold_57:619214:628460:-1 gene:Ccrd_014249 transcript:KVI07444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase MAAREVGRVGLPFMNIVRMKGVPILQQLEMEERLLRTSLDNWCIINDGTDSPTIVMGISGKPAELVEISPVLEDKIPVIRRFTGGGTVIVDHGTIFVSFICNKDAVADVQPYPRPIMSWSSLLYHKVFQGIADFRLRENDYVFGHRKFGGNAQSITKNRWIHHTSFLWDYETRNMSYLKLPKRAPEYRLARDHLDFICPMKDHLSRSDFISKTIDAAGSHFSVSSTTIEPPSNSKFTPTSRLLTKQELESAAIKLYQ >KVI07440 pep supercontig:CcrdV1:scaffold_57:544511:545243:1 gene:Ccrd_014244 transcript:KVI07440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNRLFLFLVILFAFVLITSAGRTLDTTFQSQNEKPKGDDVVKAEKAVQPDKAAGCCGCWPCWPPKRGRRL >KVI07403 pep supercontig:CcrdV1:scaffold_57:389908:395841:1 gene:Ccrd_014231 transcript:KVI07403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MKRVFGVKKDKEPPPSLNDASDRINKRGETVDEKIKRLDGELARYKEQIKRTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTVCFNLIELALLGFRCSPYIAFVGSALWEIVQSMQGGAFSVLWLEVAAYLHEMSALKSANKELKGMMKTVKIQDIDVSIQF >KVI07443 pep supercontig:CcrdV1:scaffold_57:512721:521881:-1 gene:Ccrd_014241 transcript:KVI07443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVMRILPPFPLKPLADLLNSLVDFPVIVSLFRNPNRSSSFTSFHSLRNSVEIDLQNDLALYDELVSFNKFVQFQPLPSIKDFCKLLTRIVKMHHYSVVISLIQRLDVLGVKSDIYAVNIAINCFCHLNRVDYGLSVFGKALKRGYEPDCATFNTLIRGLCADGMLDHALQLFDQMLESGFKPNDVTYGTMIYGMCKSGNTSDAIILLRKIDEESKRVRSPGIIVYSTIIDTLCKKGKVIHALNLFSEMIQKGVMPNVVTYMCLMQGLCGFGLREEANRLLDDMIGRNISPDLQTINLLEDPKNYGSLKNLDNIKDAILTNQMESLQKIMLSMNKTLEELSSVVYSLAKTLRDAKQQVKGGSVQPSVKQLHQRIGIKPSIVDCLEGLRLLHEMYQSEYLLKSSLISALASITLKPRHLRFPGTILILQSIYDVIFAEEI >KVI07414 pep supercontig:CcrdV1:scaffold_57:60486:61334:-1 gene:Ccrd_014201 transcript:KVI07414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, A20-type TFSQTPGPHFPFLLYISSSNPFFSPTSSVWSSHTHLGFSNSLPIFASFHLPMAEEQEWHEASNHVLCANNCGFFGSPTTLNLCSKCYKDHCLKEQQMSEAKIAVEKSLSPPQQSSPVTSSSSSSSGTLLSLSVATDLDSGTVIPSPAVSKPQQRNRCGCCNRRVGLTGFTCRCGTTFCGTHRYPEMHACTFDFKTMGKEAIARENPVIKAAKLDKI >KVI07415 pep supercontig:CcrdV1:scaffold_57:52429:58751:1 gene:Ccrd_014200 transcript:KVI07415 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MYSNFKEQAIVYVKQAVEEDTAGNYAKAFSLYMNALEYFKTHLKYEKNPKLKEAITQKFTDYLRRAEEIRAVLEDGGGNKPSSNGGDTGVATRPKSNKKNGDGGDGDDSEQTKLRSGLNSAIIREKPNVKWNDVAGLQSAKQALQEAVILPVKFPQFFVGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLVGQRGEGNESEASRRIKTELLVQMQGVGNNDEKVLVLAATNTPYSLDQVLFLDIRIARFPLAQLTQNELQAIRRRFDKRIYIPLPDVKARQHMFKVHLGDTPHNLNDRDFEQLAYRTEGFSGSDIAVCVNEVLFEPVRKTQDAMFFIKTSDDTWVPCGPRHPGAIEITMQDLATQGEASKIIPPPISRTDFDKVLARQKPTVSKGDLELHDRFTKEFGEEG >KVI07418 pep supercontig:CcrdV1:scaffold_57:87444:97807:-1 gene:Ccrd_014205 transcript:KVI07418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphosphomevalonate decarboxylase MGAESEKWVLMVTARTPTNIAVIKYWGKRDEKLILPINDSISVTLDPNHLCTTTTVSVSPSFVEDRMWLNGKEISLQGGRFQSCLREIRARANDVEDKKKGIKIKKEDWQKLHLHIASYNNFPTAAGLASSAAGLACLVFSLAKLMNLKEDNSQLSAIARQGSGSACRSLYGGFVKWIMGKEENGSDSLAVQLADEKHWDDLVIIIAVVSARQKETSSTSGMRDTVETSALIQHRAKVAYTFDAGPNAVMITRNRKTAALLLQRLLYNFPPQPGTDLDSYVIGDKSILEDAGVKDIKDVEALAPPPETKDNIVGEKSKGDVSYFICTRPGGGPVVLSDEIHALLDPLTGLPN >KVI07398 pep supercontig:CcrdV1:scaffold_57:226935:232689:1 gene:Ccrd_014216 transcript:KVI07398 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMMECR1-like protein MVSANREMVVYCFDTLVAHYNGEQVPPPAFDEGQHPLFVTWKKVVNGYEPRLRGCIGTLAARGIVNGFKDYALTSALRDHRFPPIQAKELPFLQCTVSILTNYENAANHLDWEVGKHGIIIEFTDPDYNTKRGWTTIEAIDSLMRKAGYNGTITDSVRSRIRLTRYQSTLFTMHYTDYVNYVKTTRGTAPSVVGLKAH >KVI07396 pep supercontig:CcrdV1:scaffold_57:205961:210425:1 gene:Ccrd_014214 transcript:KVI07396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane translocase complex, subunit Tom22, plant MAAKKSSSEGVLSRVSSTISESPIVYRGKRAASDAGFVAKKLLKSTGKAAWIAGTTFLILVVPLIIEMDREAQLNELELQQASLLGTPAVGVPQKYGSNGVKFKQKQNERKQQQHQLV >KVI07434 pep supercontig:CcrdV1:scaffold_57:293148:304361:-1 gene:Ccrd_014223 transcript:KVI07434 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase MEDTPKGISESNAMTLLKASKNVCSSKTPIKTLKDFSKVKNKGNTQYVPQKNSVAYALLITLYRGIANGNDFMRKQELIDAAEASGLSRSPIMPTAGKGKPGQFGVSSDRDWYSGWSCMKKLIDKGLAVKSSCPAKYMLTEQGKEAARDCLLRSGLVDPVEDLTTGDKTLDLNLHNMKDPVCTHARSVEDVTSQHTSSSCQKKSVEIPPDTLNKLVCMGYSKEQVIRAFSEVAEKSPYEDTSSLWLSVLCRLREVEVYSSPLDSENILEESSSVANLASAWRSEIPKPSLKACSSTDQDVHKRGRDNLEAKLNVLSMPPLMFGERFVDIYEVVLILDDREKFTKESRSRNLLENLRKHLKIPIEVRRLPVGDGIWIARHKHLGSEYVLDFIVERKNVNDLRSSIRDNRYKEQKVRILRSGLKRLIYLVEGNPNTCEGAESIKTACMTTEILEGFDVQRTNDLVDTLHKYGHLTRSIIEYYKSLGNEAQNQDFPVCPPFDQFIKRCQELDQMTVSDVFAIQLMQVPQVTEDVAIAVLDLYPTVLSLSRAYSNL >KVI07426 pep supercontig:CcrdV1:scaffold_57:370319:374797:1 gene:Ccrd_014229 transcript:KVI07426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06340) UniProtKB/Swiss-Prot;Acc:Q9FNH4] MAICRYSFFIGNPPLQQVLANPTFFLPPKSNNFIQLPLTVPNRIRTSVNSASPSSSMEAPPAGYRKNVGICLINSSKKIFSASRLDIPDSWQMPQYLILLMKQGGVDEGEDPRVAAIRELREETGVTSAEILMEAPHWLTYDFPPQVKEKLNRQWGTDWKGQAQKWFLFKFTGKDEEINLLGDGSEKAEFGEWSWMSPEQVIDRAVNFKKPVYKEIISVFSSHLQ >KVI07438 pep supercontig:CcrdV1:scaffold_57:592997:593830:-1 gene:Ccrd_014246 transcript:KVI07438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRWWAGQVNVAGVETSSGGGSPALKKPDLGISMNDNTTGTGSEEDERDNNSDDPREGAIDPSNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVASGTDIAESIAQFSRKRQRGVCVMSASGTVMNVTLRQPSAPGSVMALQGRFEILSLTGAFLPGPAPPGATGLTIYLAGGQGQVVGGSVVGSLIASGPVMVIAATFSNATYERLPVEEEEEGDSVAPGLGGGGGGGSPPQLGMADPMPGYNLQPNLIPNGGGQLNHEAFGWPHGRPPY >KVI07423 pep supercontig:CcrdV1:scaffold_57:25034:34867:-1 gene:Ccrd_014197 transcript:KVI07423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALTGDDLMRTMSRSMSRNMSRKGSLASGSKKGWGTASIREAFMTPGDDVFQKSGREDDEDELMWAAIERLPTYDRLFPSKKRVVKILHEVSGIVTPSRMTLLLGPPGSGKTTLLKALAGKLETDLRVTGKVSYCGHEMDEFVPQRTCAYISQHDLHHGEMTVRETMDFSGRCLGVGARYEMLAELSRREKAEGIKPDAELDAFMKAISVSGQESSLVTEYVLRLLGLDICSDTMVGDEMRRGISGGQKKRVTTGEMLVGPAKVFLMDEISTGLDSSTTFQIIKYMRQMVHIMDITMIISLLQPAPETFELFDDIILLAEGQVVYQGPRNNVLEFFEHVGFKCPERKGVADFLQEVTSRKDQEQYWFNKSIAYRYVSVDDFVHFFRNFHIGFKITAVLHVPYDKSSAHPAALVTHKYGISNKDLFKACLSREWLLMKRNAFVYIFKTTQITIMALFTLTVFFRTTMKYGDLDDGGKYYGALFFSLINVMFNGMAELALTIFRLPVFYKQRDSLFYPAWAFALPIWLLRLPLSLLESGIWILLTYYTIGFAPSASSFFRQLLAYLGIHQMALGLFRFIAALGRTQVVANTLGTWAYYLSPMSYGQNAIVLVEFLDKRWGAENFDTLYRESTVGKELLKARGMFTEGHWYWICVLALFGFSLVFNICFVLALTFLTPMVDSKSVVDENDGKKKKKKSVSMSTESEPNEISKKGMVLPFKPLSLAFDHVNYYVDMPAEMKRQGVEENRLQLLRDVSGAFRPGILTALVGMFVEEVMELVELGPLRHALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQVIYAGPLGRFSHLLVEYFETIDGVSKIKEGYNPATWMLDVSSTAVEAQIGVDFAELFANSDLYRRNEKLIKELSTPPPDSHDLHFATRFSQPSFEQFKASMYAAVLFLGGTNTSAVQSVVAIERTVFYREKAAGMYSALPYAFAQVLVETIYVGIQTFLYSLLLYSMIGFELQLGKFLWFYYYVFMCFVYFTLYGMMLVALTPSYQIAAIQIPIWWRWYYWGSPVAWTIYGLITSQVGDKTTLVHVPKHGNIEVKVYLKEFLGYEHDFLPYVALAHVGWVLLFFAVFVYGIKALNFQRR >KVI07432 pep supercontig:CcrdV1:scaffold_57:249677:250694:-1 gene:Ccrd_014221 transcript:KVI07432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQDTDWAIDAAAAVAAESTWSRYEDKLFEDALVMYPDDVVGRWQKIADAVPGKTAEQVRAHYEVLVHDLLQIDSGQVELPSYADDDGDESFLSWDPELRASQISFGMGKGSKHGDGERKKGTPWTEEEHRLFLIGLQRYGKGDWRSISRNVVVSRTPTQVASHAQKYFLRQNSMKKERKRSSIHDITTTAETMAVPPPPPTNFHGGATPPLGYERQQNFGYPM >KVI07424 pep supercontig:CcrdV1:scaffold_57:19771:20205:-1 gene:Ccrd_014196 transcript:KVI07424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MICLVHDGYGASTTTLVFITCIWVPFLETKQAFFKIISTFMMVFHPQRHHDNDYDYLNVYPDFYQLSALRFNDVAAKEAASRVVDDVCSICLVEFTGDDTVSQLDRCHHVFHTSCIQRWLHDDHFTCPICRSNLTDAISCQYFK >KVI07410 pep supercontig:CcrdV1:scaffold_57:162595:165264:1 gene:Ccrd_014209 transcript:KVI07410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMEFCSQLPWSFNPNPPPTFNLFLSITNPRFSFVNKVNKSQQLCHSSSLSSSDQQLQEPVVDDDDDDDDGDDDDSKGQFGNLVKEYGWQVRKMVEKDEEMRSVANIQAEAFHQPLIFFNDVFFQYACLVAETSTTDDQEKQQLVGVVDVTVFRDESVIKHLSEANEYLYVSGIAVLRNFRYSIF >KVI07408 pep supercontig:CcrdV1:scaffold_57:490084:492916:1 gene:Ccrd_014238 transcript:KVI07408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin, N-terminal, SH3-like protein MEKLRRVSWPRISENYVRRSDFTGRKYSKFCNADQLWSPEWNNGGNDLELAQDEASSDLSSTSVNIIVGSHVWVEDPDQAWIDGQVTKITGQEAEIETPNLKKVVAKLSKVYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLRIRYELNEIYVSFLLMLKSKIVFVCFLMEIYCKVNPYLFQAMTDLHWKYSNRNQSIPKITSFV >KVI07411 pep supercontig:CcrdV1:scaffold_57:139153:155396:-1 gene:Ccrd_014208 transcript:KVI07411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNPHSNSLTSRGLDESAPPSSFKSTTIIFSVRTPTSILCSPTPYLRLQHHRRRHLGTKDLKRHRDPLIHLGFENDVQQQQEEDYWGLRRRSAQKTYGPAHAISGFSCSDMADSSSVNVILEFLRSNKFARAEAALRSEIGNRADLNGFMQGLKLEGKEIEGKLVGEEGMAKSGVSGYQEKSYRISDEALKEEFIVKEIEYGTTTNGSASNWKNVVEEQNRAGEPIGTSDKKYMLSNHSEETVLDLYSRKFSSSNGSVDLYQNDASTRTNDAKDYEVSSQSKIHPTEVADIDGVTVKVGAETDFSAGRKQSLLASTFKASLVPKQQSMDKVMEPKEFNRQHQVMGAVSRDDFMDNPWSRNDELKYSSSELWKDCSVKTVFPFPKGDASTSYETNCGVGEKEDGIRRPDNNIRATIKEQVDEVGRALYFATAQGNNESKAFGGLGFPVISQKQKEELPRLPPVKLKSDDKPSSITWEEKYQRDGPVSNTITADSSYLIGSFLDVPIGQEISSSGAKRLAGGNWFSVSQGIAEDTSDLVSGFATIGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDESWFLAHEIDYPSDNEKRTGHGNSQDAQERGPEKNEDDDQSFAEDDSYLSGEQYFQSKNVDPDAHSDGAIVLSTADMYSQDDHDLVAQYDGQLMDEEELNLMRAEPVWKGFVTQTNELIMLGEGQVLDKAGRPHLEDLGIDEDQHGSVRSIGVGINSDVADFGSEVRESLVGGSSEGELEYFRDNDVSMSPHDSEKKYIDRAMRDKTRKAIXDHDKPRSSGILTKNVSGSGFSFPPPRDGPSITNKAFWINKENAIISEETADQTLTGNDDLLPPWRRKSSSSSPVKSSRDEDDADAVGSANSSPSTLSNYGYAERVRLSKEEEQKVAGSREEDPGASLEDEEAAAVQEQVKQIKAQEEEYETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFINKHDPGDKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEFLHGLGMIHCDLKPENILVKSYSRCEVKVIDLGSSCFESDHLCSYVQSRSYRAPEVILGLSYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIISPIDQDMLAKGRDTYKYFSKNHMLYERNQDSNRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEINPKKRPSATEALKHPWLSYPYEPISS >KVI07422 pep supercontig:CcrdV1:scaffold_57:38273:41288:-1 gene:Ccrd_014198 transcript:KVI07422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVEMRRTTRVFGARVLRSGRRLFTTQEELKHLRRPPLAVADAGDDWIELLDHHGGGGGGGGRDLEFKENGWRNSNEENKNGNDEVSDIDDGEPETEIKLGESLEVDSVDVFCDSGDHENENRRWGVVYSRKRKRSVSVDSGSLEKVSSSDKKYGKKFFRKQSRNKKTITEELSFPSVSEVSPPNAVTEQTQSPVEPTLAAKSKSRRKKLTTAINLPLRNGVSMHRGIQKRRSSLRSRIQRNPSSFAHLKAAGGGLAQDGVPFFPIKSNPDISRSIRRANFKELKSTLVELTQDIDSASCNANILMIESDRCYRDGGAVITMEMSDSKQWFLVVKIDGLERCRIEAQNVMRPCFCNRITHAIIWATGDESWKLEFPDRQDWFVFKELYRKCSERSVRLPASLASIIPVPRVNEVSGYADVEYSPFKMPDSYIRSRGDEVSRVLETSNPVYDMDSDDERWLNEFNKEHGSRVDEDTFEKIIDAYERGIYASPVDYSDAISAVDRCLTLASKDVLEAVHGYWMSKRKKKHSALVCVFQFYKPKKTEQLNIKAVLRKKRSFRQRGNQLGRGKQLNFLKAALNEKSAADDAQNATNKVEETERAAKRAEQAAIVKRQRAQLLMENADLLTYKATMALGIAEEARATSGSVTNEVFSGE >KVI07429 pep supercontig:CcrdV1:scaffold_57:309436:318492:1 gene:Ccrd_014224 transcript:KVI07429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MDNFSLASSTPHYCSTFSGKPYSISATTLPFYSITTCCHDSENPSTTSSSSSIRRPTNNKPFKPIANSPINPHPPKPKTHITNPLQTLLNPTPKRNPIDNNSQTLTEKLWLTSKLSPPPPPPPPPPPDSSLSETDLNEFENSNLDRSGDFGSKRSEYREKGKIFVGNLPLWIKKNELTEFFRQFGPIKNVILIKGYNDTERNMGFGFVIYGGSTAEKSAMKAVEFDGMEFHGRVLTVKFDDGRRRKEKSVERARWIEGDESVDYKSKWEEEREGSRRELKKVLETQPENWQAVVGAFQRIKKPSRKEFGLMVNYYARRGDMHRARETFESMRARGIEPSSHVFTSLIHAYAVGRDMEEALSCVRKMKDEGIEMNKWFKEAKETQTTLNAIIYGNIIYAHCQTFNMERAEALVREMEEEGIDATMDIYHTMMDGYTMIKNEDKCLVVFKRLKECGFTPSVITYGCLINLYTKMGKVSKALEVSETMKSDGIKHNMKTYSMLINGFIKLKDWANAFAIFEDVVKDGLKPDVVLYNNIIRAFCGMGNRDRAIRMVEEMQRARHKPTSRTFMPIIHGYARAGEARRALEVFNMMRMSGCIPTVHTFNALILGLVEKRQHTYTTIMHGYASLGDTGKAFEYFSKVKNEGLDLDVFIYEALLKACCKAGRMQSALAVTKEMHARKIPRNTFVYNILIDGWARRGDVWEAADLMQQMKQEGVQPDIRTYTSLPNVKTYTTLIHGWARASLPEKALRCFEDMKSAGLKPDRAVYHCLMTSLLSRASVAEDYIYSGVHRICAEMVESGLTVDMGTAVHWARCLRKIERGGGDITEALQKTFPPDWNSQDTLDEISGVEGSDGDGDYDDGLRYDDDSDDDFDEV >KVI07442 pep supercontig:CcrdV1:scaffold_57:523986:526385:1 gene:Ccrd_014242 transcript:KVI07442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTRLSFFLVVLFALILVSSAGRAPHATFGIQNNKPKGIFFSLTIVSPYPPQGIFFSLTIVSPYPPQGIFFSLTISPSISSYTSDLIQILFDDQIPSTI >KVI07399 pep supercontig:CcrdV1:scaffold_57:234127:239194:-1 gene:Ccrd_014217 transcript:KVI07399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase phosphorylation domain-containing protein MYHPSRGGVRGGRDRKDLHWYARDKKSKEADMEAAKEEIRRIKEEEEQAMREALGLAPKRSAKPQGNRLDKHEFSELVKRGSTAEDLGAGHAEAAHVQGLGFAKAPKAWEESSSAQPTVTMEAPKITNVPASPSTRDAKEDSEDESRRKKRRREEKKHEKHERREERKHERREKRHTHESDDKRKHKKDKDKRRHNSD >KVI07390 pep supercontig:CcrdV1:scaffold_57:241128:241727:-1 gene:Ccrd_014218 transcript:KVI07390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MALTLLGLLAVVLAISRTTVADPDILTDFITPNTSSVGAYFFTYSGLSAFYNPNPKNFTVIKASMAEFPALNGQSVSYAVLQFPPASVNPPHTHPRSAELLLVMLGTLEVGFVDTKNAIFNKTLQVGDMFVFPKGLVHFQYNRNHNQSAIAISAFGSANAGLFSVPKSVFTTGIDDNVLAMSFKTDVATVQKIEIGLKN >KVI07433 pep supercontig:CcrdV1:scaffold_57:245007:245615:1 gene:Ccrd_014220 transcript:KVI07433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MMSKPEIYCVLAVFAILCSVTVGDPDILIAFVVTGNTTIDANYFTFTKMRQLVGGEYPSTFGVLKASMNEFPALVGQSVSYAVLEFPAGSINPVHIHPRASELMFLVSGTLQVGFVDTSNTLFKQSLEIGDIFVFPKGLVHFQYNNDAKEPALAISTFGSANAGTQSIPTSVFNTSIFEGILDASFRAKRATIRKIEDGLKA >KVI07430 pep supercontig:CcrdV1:scaffold_57:349407:354230:-1 gene:Ccrd_014227 transcript:KVI07430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPWRHHVPPFRSSHYSSMLLSLLLRSTSTLSHHRHPFFLNLPRSAMEANSKDTEEPKKVQQGETCYKGASDVDPSKKVSDLILPHILNLYASRALPRDFEIYAPDASFEDPLMCARGINQIKSAFYSLSKDMLLNLLPICFSRTSSVTELYDVFSESRIVEYSIKENILPHGRREIVIDNKQYYKFMGKDIDMISLIKLYVENGKVVRHEDWWNKKPLWDRETSKVPLFGQIMEMTRRASMLATHAMMRFGKDPTK >KVI07435 pep supercontig:CcrdV1:scaffold_57:281975:286892:1 gene:Ccrd_014222 transcript:KVI07435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MDCHGSSFSSFKSYFHALSDTPRRFLRRAGSVSTTFEETSRLRSRSGVDMRKNLRWYDLICFGIGGMVGAGVFVTSGTASHDKAGPAVILSYAIAGLSALLSAFCYTEFAVHMPVAGGAFSYIRVTFGEFTAFLTGANLVMDYVLSNAAAARSFTTYLGTAIGISAESKWRITISSLPKGFNQIDIIAVLIILILTLIICYSTRESSVLNMILTALHILFIVFVIMMGFWKGDTKNFTEPSDPNHPGGFFPFGAPGVFNGASLVYVSYIGYDAVSTLAEEVKNPITDIPIGVSGSVILINPESPFTGAFVGHSNGLKWVTNVIGVGASFGILTSLLVAMLGQARYICVIGRSGVVPVWFAKVHSKTSTPVNASAFLVIYRRYVSIETTSSWPTLSFLLSFSFTCIMFTLLWWLAPPGKPKGFMLGACSLAAVGLVQLFVYMVPQVRKPDFWGVPLMPWIPSVSIFLNVFLLGNIDKPSYVRFGFFSAVIVLVYVLYSVHASFDGEQEGNLCQKNIEMVKEPIDIENLTPKL >KVI07405 pep supercontig:CcrdV1:scaffold_57:484290:487953:1 gene:Ccrd_014237 transcript:KVI07405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPVLEKFMFDEPSIWVTAATVVALIFTAYLGLAELIGNHLQYSKFATSNSDQGIKVSGRTGFLIFYTPAFLAGLLSFFVFPATGDLRFLLLKFAVIFVHKYSGDVILGSTILISISYFSIAACLIVVHYLSLGLPEPSFDLKYIGLIVFTLGIFGNFYHHNLLAKLRKPNEKVYKIPQGGLFNLVICPHYLFEILVFWAFYFISQTPMAFACAFGDSIYLISRSYMTRKWYVNKFEDFPKNVKCVIPYVF >KVI07391 pep supercontig:CcrdV1:scaffold_57:242881:243498:1 gene:Ccrd_014219 transcript:KVI07391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQFLLLLSFSYAILQLSMAGDPDILSDFIVPPNVGGPLDGNFFTFTGMRSLVGAPFPTTFKVLKASMAEFPALNGQSVSYAVLQFPSGSVNPPHTHPRASELLFVLMGSLDVGFVDTTNKLFTQKLQQGDIFVFPKGLVHFQFNSDAKSPALALSAFGSASAGTVSVPNTVFNSTIDDQVLALSFKTDVATIQKIKSGFSG >KVI07417 pep supercontig:CcrdV1:scaffold_57:109705:118537:-1 gene:Ccrd_014206 transcript:KVI07417 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MGPIVGLVTHIRYSNSDSYITFSGHSVSITGNSSPEKQTLRQSMATSGAGAAFSSSSFDIRGDSSARLSGFEFLFSTTRQLSPFKLSSLHLAFSPRGSSHFVRKNIFQASIDGVDSLGISSLDADNIPTPIVLIDQDSDPSATIVQVSFGDRLGALIDTMKALKHLGLDVAKGTVTTEGSVTQTEFFITRLSTGRKVEDPDLLERIRLTIINNLLKYHPESSSRLAMGEAFGIQAPVKKLDVDIATHIHVKDDSPKRRPGLLLEVVKIMADVNITVESAEIDTEGLVAKDKFHVSYRGAALNSSLSQVLINCLRYYLRKSETGEDSY >KVI07394 pep supercontig:CcrdV1:scaffold_57:189277:195907:-1 gene:Ccrd_014212 transcript:KVI07394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFDNQKHHAGKDAMLGCALWTDGLICAFEAVRGHRKCRPQDVIRDKKQGSKNRITSSVPVQTVKRNISNSVPFPESYDGGIDSYDHGCNSEHYFGYAHNNMNTGNCWIPIGWSRITQLVETVQVNGCWDSQHEEFEIDDNEVTVADIAVPYREQAVGPTWWCHVDAGHPSIITQSGYIQLSALLYEMKVPVRVAGGLLFELLGQSAGDPYVEEDDIPVVLRSWQAQNFLVTALHVKGYAANVNVLGVSEVQELLAAGGGNLPQTIHEVIALLASRLARWDDRLFRKYIFGAADEVELKFMDRRNHEDLHLFSIILNQEIRRLSSQVIRVKWSLHAREEIVFELLQHLRGNAAKRLLEGVTKSTRQMIEEQEAVRGRCGLVLSIITGLFGINVDGIPGSESSPFAFLLFSCILILLGITLIVVGLLYLGLKNPVMEEEVEVRRLELQELVKMFQQEAESHAQLRRSDSRTKMSSAPASTIFPGSCDGYVLMP >KVI07397 pep supercontig:CcrdV1:scaffold_57:218677:223239:-1 gene:Ccrd_014215 transcript:KVI07397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MNQKDLSYSFVAKGTVSTPVMNTPSPSYLIFDLRSKLKAWITEVKGIMMDNIEKVLDRGEKIELLVGKTDNLQFQAAAKKNVAAKSLDEAYDLRSLTFIIIVWLK >KVI07420 pep supercontig:CcrdV1:scaffold_57:635244:638477:1 gene:Ccrd_014250 transcript:KVI07420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYVLDNMIRRLLEVKGRPGKQVQLTEPEIKQLCVVSREIFLRQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPNNFFLLRGNHECASINRIYGFYDECKRRFNVKLWKIFSDCFNCLPVAALIDEKILCMHGGLSPDLNNLDQIRNLRRPTDVPDGGLLCDLLWSDPCKDVKGWGMNDRGVSYTFGANIVTDFLQKHDLDLVCRAHQVVEDGYEFFANRQLVTVFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKPKFNPGINFFGSTTTAKPGNTPPGVKPFLGARV >KVI07439 pep supercontig:CcrdV1:scaffold_57:562085:565300:1 gene:Ccrd_014245 transcript:KVI07439 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MLRRLVLGFLIASLVIGHSLANDNDFAHCHCEDEGSWNAHIIESQRVSDFLIAIAYFSIPLELLYFLSCSNVPFKWVVVQFIAFIVLCGLTHLINGWGYYGNQTFQLMMALTVAKLLTALVSCATAITLLTLIPLLLKFKVRELFLKQNVLELDQEVGIMKKQKEASWHVRMLTQEIRKSLDRHTILYTTLVELSNTLVLQNCAVWMLNEKKTEMNLTHELRPNLSAYHPSIPKNDPDVLAITQKKGVMILRSDSVLAVQSRGGLAESGPVAAIRMPMLHVSNFKGGTPELVDTCYAILVLVLPNDSERDWSFDEMQIVEVVADQVAVALSHAAVLEESQSMREQLVEQNRVLQQAKENAMMASQARNSFQKVMSHGMRRPMHSIMGLLSILQDEKTNQNQSNIVDTIAKTSSILSTLINDVMEISAKDTGRLPLEIRPFQLHSMVKEACCLVKCLCIYQGFGFTMEVPNSIPNMVTGDEMRTFQVLLHMVGHLLNISEQGRPVIFRVSLENGNEGRNDKVWGTGRSGSVDEFVNVKFDIRTGDSGSRSELAVSSMHSGFKRQNASEVKDSLSFSMCKKIVQMMQGKIWMSSNSQGYIQSASLVLRFHIQQTFTRPLFDLGNFVDQPNSNSIFKGIQVILADDDGVNRMVTKKLLVKLGCHVTTVSSGFECLSSLGPTMTPFHLVILDLHMPEMDGFEVATRIRKFRSRNRPLIIALTASAEEQVWERCLQVGMNGVIRKPVLLRGLEKELRTVLQRAGERLSS >KVI07431 pep supercontig:CcrdV1:scaffold_57:336603:339344:1 gene:Ccrd_014226 transcript:KVI07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp, N-terminal MRAGGYTIQHTLTPEAATVVKQALGLARRRGHAQVTPLHVASAMLASPTSLLRKACLQPNSHPLQCKALELCFNVALNPKVQDEDVMSVIEMMMNKKRKNIVVIRECLASADAIVRGVIDKFETENNVNLRFMQFVSLPLHSLNHLSREDVEDKVRELKCLVKSYVGRGVILYLGDLKWVSDYWSNHSEQKLIRSCYYSPMEHMIMELSGLMFGVDSGKLWLMGIANSQTYMRCKTGHPSLETLWDLCPLTLSVASLDLTLNLESNKDSGNKMKMLTCCGECSVNFTREARTITSYGRTNRSITTATTTGSTLPSWLQHSLHKQPMWPVIFESNRSPKEYQFFTSIVDDECFDEPNPKTLMPELLSNPNSSPNSASCSEASEDDDHQYYLHKFKEVTSENEKVLSNALERVVPWQREVIPEIVSTILQCRSGSTMERKGKEETWLSFLGADNHGKGKIARELAKVVFGSRNNLVHIGLSSFSATRADSTDDDQEFVSNKRVRDENGQSYLETFAEAVQENASRVFLMEDIEQVDYHSQTGIKKAIQNGRITLNGGQPVLLDDAIVIFSCESFSSVSRVCSPSIRRKYNNEQRETVDESITLDLNVATEDHSRSNDQNSVSDIGILDSVDKQVIFKLQML >KVI07401 pep supercontig:CcrdV1:scaffold_57:408285:413561:-1 gene:Ccrd_014233 transcript:KVI07401 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MAVRMMNWRPWPPLSSKKFEAKIVVCRLQGLPEKITSSGGGGGCGESRDFSKLGVEVKWKGSIKSNNRLNFKRSSVKRNLTKEESLNDDGVVEWNEEFVAVCNLVAFRDGFHQWEVAFRVFDGLNQGPKRRDHAVATGSLNLAEFSSAEQEKTPISIHLSVPDSVESVVPPPLLPYNEEVSTTFKDEPSGLKAGIKKFKIFRVISANRTKIACREEEVSDGKSITVRTEGADYPFDTDSLNGSDGVDSEDVDYADSSVRKSFSYGTLANANHAGRLSYFNSSGSEDEDQIYYRNYKTEAGDLSVSMIDHSEKLISKRRIFPWRKRKLSFRSPKAKGEPLLKKDHHRSDEGSTTSRSSISGFGDDDFAVGRWDNMEVTSRDGQMKLQTQIFFASIDQRSEKASGESACTTLVATIADWFQNNRHEMPIKSELDSLIREGSLEWRNLCENEVYKKRFPDKHFDLETVLQAKIRNLAVIPEKSFIGFFQPNELQKGVFDFLDGAMSFDSIWDEISQIGSNHDDIMNPLVYIVSWNDHFFILKIDRDAYYIIDTLGERLYEGCSQAYMLKFNQDTRIQRVPNETENPNEKKEGKKADRNEVQKEEFTVFGGKESCKEYIKSFLAAIPIRELQADLKKGLTPASSPLLSC >KVI07419 pep supercontig:CcrdV1:scaffold_57:85193:85936:1 gene:Ccrd_014204 transcript:KVI07419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yip1 domain-containing protein MSKEFNVPPVVFPSGGNPNTGPQQRRLPTAPFQPARSANSSIPFMSFDIGSSPASTSFVTPQFGTVIGGASANFDDEPPLLEELGINTKQIWNKTASILNPFRVKADLHEDADLSGPILFIMAFGLFQLLAGKLHFGIIFGWTTVASLFLYVVFNMLAGRNGNLDLYRCLSLIGYCMLPIVMLSALSLFVPQGGVLIFAMTGVFVIWATRVCTSLLVELASCGDEHRGLIAYACFLIYMLFSLLVVF >KVI07428 pep supercontig:CcrdV1:scaffold_57:326688:327524:1 gene:Ccrd_014225 transcript:KVI07428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MKLIPSSLLSFNKRKETWLWPSCKNPKTLSFRVASGDIIFKTVNSVFFDPKYSQPEAETLTTPDSYFTNSSESASISTESEEYLNTNEYGSSLETIVRGARSERLFFEPGPVTSSILEGNKQCAHAEIGGDGGGGGGGGDESGGDGGIPYKESVAMAIESDDPHGDFKKSMEEMVERHGLKEWECLEELLGWYLRMNGKNHHELIVGAFVDLLAGISGGGSSGGGYGGTDGGGGGSSCDHSTISFTSVASTFTSPISSPLSKGGGEKIIEEEKIIINH >KVI07412 pep supercontig:CcrdV1:scaffold_57:73155:80113:1 gene:Ccrd_014203 transcript:KVI07412 gene_biotype:protein_coding transcript_biotype:protein_coding description:R3H domain-containing protein, viridiplantae MAGSVDDVGGGVEATPPDSWEVADLDATMSRLMLSSKRECNNSSPNLTTTSPSLLEFTSASSAPARSSSGGILEDMVNSVDQFLREALQNPRERLSVLRMEQDVEKFIRDPSQQQMEFQQLPTSYLRLAAHRVAQHYSLQSAVLLDNNLPDGSGSRIIVRKTSECRLPLIRLADIHVNLPTDDSSSSIVKVAIKQRPNKRSQIFGGANSNSLRSNSLKSVEERKEEYNRARARIFCSGSSSGGVSGGKQESEPRMREGFHHGSLGASRTEEVSASGADVNGGRGSVDSLMGTSRSGRSRMEEPIGRSMSNSRVAIFRDREVEKKDPDYDRSYDRYAQRFDPGFGFNGGSYPVQPMYTPVLNYNTEFPQLGSAAHRPPSSISVDHQSHTLSQHLPRPWVAPSSPATGMGYRLPESMVTPFSPNHAATAHSGSSTLYMQYPSQRSGMAYSPQQQQQSEGSFGLGRTSR >KVI07404 pep supercontig:CcrdV1:scaffold_57:451368:480165:1 gene:Ccrd_014236 transcript:KVI07404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19 domain-containing protein MKRALDEQYGGEEEVIGQITNMLLPLSQNKCCFSYFLLVLCTLLKQLPQANPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDVAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARDEDLHEQIGKNIFFDLVDHDKVRSFRIQKQISFTLFKNPIVLSMVFSVPRQEEVAKELGIPVQYQRFWLWAKRQNHTYRPNRPLTPLEEAQSVGHLREVSNKANNAELKLFLEVEMGQDLRPVRPPGKTKEEILLFFKLYDPLKEELRYVGRLFVKGTGKPTEILTKLNELAGFAPDEEIELFEEIKFEPNVMCEHVDKKLTFRASQLEDGDIICFQKPLQAGTVKCRYPVVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLNNYDDVVERVACHLSLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLAHYNQVMITHYFRYIDELGHVHFGEWQTLLFTWLLCFCCSQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVADVINDLKTKIFPLNEKIENINDQYWTLRAEEVCSKYLSLGTFIIHNIPEEEKDLGPQDRLIHVYHFMKDASQNQVWKFAFLSLGRPTYLQDSDVVSSRFQRRDVYGAWEQYLGLEHSDNASKRSYAANQPKLHQIVGRVVNLLKGVQA >KVI07409 pep supercontig:CcrdV1:scaffold_57:492927:507293:1 gene:Ccrd_014239 transcript:KVI07409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dil domain-containing protein MDEYKGAPFGELSPHVFAVADVSYSGESGAGKTETTKMLMQYLAYLGGRKATEGRTVEQQVLEEIEKYQLGSPKSFHYLNQSKCYELVDVNDAHEYLATRRAMDVVGISKEEQEAIFRVTAAILHLGNIEFSKGKEIDSSVLKDDKAKFHLKMTAELLMCDSMGLEDALCKRVMITPEEIIRRSLDPLSAAVSRDGLAKTIYSRLFDWYEAVFKTKLNSRLVEKINVSIGQDRTSRCLIGVLDIYGFESFKNNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAINWSYIEFVDNQDVLDLIEKVSMFPKSTHETFANKLYQTFKNHKRFIKPKLSRTDFTIAHYAGEVQYQSNQFLDKNKDYVVPEHQDLLGASGCSFVAGLFPPIAEESPKSSKFSSIGTRFKYQLQQLMETLNATEPHYIRCVKPNNQLKPAIFENNNILQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFGLLAPEAIGKTKVFLRAGQMAELDARRTEVLSSAANIIQRRIRTHIAHKQFKEVRKASAVVQSFCRGGPLEYLLHDLLSNFMSSSKLQSRTPIIELFFKLLACKRFDELRRITAAIKIQKNFRKYRDWKAYSKLRVSVLKVQTALRALRACKQFKLRKQTEASIKIQNRWRCHRSSSHYKRLRKGAIVTQCRWRARLAKRELRKLKMHSSLHGKIRYRLQLTSLQAARETGALKEAKDKLEKQLEELTWRLQLEKRLRTDLEEAKANEAAKFQSSLESLQKKLDEANATIIKEKEAARKAIEETPPVIQEKEVIIEDTKKIESLTREVNDLKTSLESEKQHSADLEKKYAESQELNEERRKKLEDSEIKVQQLQESLTSLTLELQEVKLFSILPRMEEKLQNLESESKVFRQQAVSMGPNKFLLRSKSILQDLHSVSMNPRDLAEMEDKPQKSLNEKQIENQELLIKCIAQHVGFSGNRPIAACIIYKCLLQWRSFEVERTLVFDRIIQTIGHAIEVPIYDNNDILAYWLSNASTLLLLLQRTLKAGGTAAGMGPQRRRTSATLFGRSFRGAPQGVNLSFVDGGLSALDAVSQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVNSAAQQALIAHWQGIVPPFLVRKIFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELQRWCYHATDEYAGSAWDELKHIRQAIGFLVVHQKPKKSLEEISRGLCPVISSMRVMMTEENNAISNSFLLDDDSSIPFSVDDISKSMEQIEISDVEPPPLIRENSGFSFLLPRTE >KVI07437 pep supercontig:CcrdV1:scaffold_57:606102:608630:1 gene:Ccrd_014247 transcript:KVI07437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MSNPKVFFDILIGKMKAGRVVMELFADVTPKTAENFRALCTGEKGLGASGKPLHYKGSAFHRIIPNFMCQGGDFTRGNGTGGESIYGAKFADENFKIKHTGPGILSMANAGPNTNGSQFFICTDKTSWLDGKHVVFGKVVDGYKVVKEMEKVGSDSGSTSSTVVIEDCGEIKEN >KVI07400 pep supercontig:CcrdV1:scaffold_57:396760:401450:-1 gene:Ccrd_014232 transcript:KVI07400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl-AMP cyclohydrolase domain-containing protein MAFVHFNGLRSLQISSRGCIFNPGSGHTRSDISNNRCSAVKACIKQPDQKVHLESKLNSVLDSVKWDEKGLAVAIAQNVDSGAILMQGFANREALATTIASKKATFYSRSRSTLWIKGETSLNFINVHDIFLDCDRDSAEENNLALTTLYSLESTLSRRKEELLAPQQGKPSWTKRLLLDENEEADEFCRTLEENEDESRTLSEMADVLYHELVLLIHRGLKFEDVLEVLRCRFAQSGIDEKNSRKPKTVK >KVI07445 pep supercontig:CcrdV1:scaffold_57:617015:617659:1 gene:Ccrd_014248 transcript:KVI07445 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDYSPETCFSSPEYSSPAIQFDYSHYSDHHFLPFNENDSQDMLLFGLISDSTGEPEAKTKTHSSFPANYQVKEQEEWISYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAAFALRGSTAVLNFTEEVAYESLKEMGYSYEEGSSPVLALKRMHSVKRRFEMKNKKRVETKVESVVVLEDLGSDYLEQLLGFEELGGNCMQT >KVI07416 pep supercontig:CcrdV1:scaffold_57:121131:136364:-1 gene:Ccrd_014207 transcript:KVI07416 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-alcohol phosphatidyltransferase MALMHCTDTSTVELITLILQNMCYNHFGLVLLLSSLFGCHFYFQITLTGFMFLLISASLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACALEALAFGSTAMCGRYTFWFWVISAVPFYGATWEHYFTNTLILPAVNGPTEGLMLIYMAHFFTAIVGAEWWAQDIRKSIPLLSWVPLVDGIPTYGAVLFCMIVFAVIPTITFNVQNVYKVVRLRHGSMLKALAMGRMILAHLCDEPKGLKTSMSMSLFYLPLAIANALTAWLNDGIPLVDEKWVLLGFCLYTGLQEKKLEIFI >KVI07402 pep supercontig:CcrdV1:scaffold_57:378389:386964:1 gene:Ccrd_014230 transcript:KVI07402 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MAEMTPERKKKRVVVVGGGVAGSLLCKTLLNHKQLDFTLIDSKEYFXVSWANLRSMVEPAFAKRSVINHHEYLPGASIITSDAIGIEDDQVSTMEGRLIEFDYLVIATGHMGTGYVTKVEKLRQYEADNEKIKSSESILIVGGGPTGVELAGEIAVDFPTKKVKLVHRGSRLLEFIGEAAGKKALDWLTARNVEVILGQSVDLSSSSDGVYQTSSGEDIVADCHFKCTSDPIGSSWLQETVLKDSMDDNGRLMVDANLRVKDFEHVFAIGDVTDIPELKQGYLAQRHALVVAKNLKLLSNGAAESTLVKYTPASPMAIVSLGRREALLQIWCVTLIGRIPGMLKSKDLFVGKTRKQLGLKA >KVI07436 pep supercontig:CcrdV1:scaffold_57:508647:512257:-1 gene:Ccrd_014240 transcript:KVI07436 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 3'-terminal phosphate cyclase CEGLRQKEYLQFRPPLLCSNIEIGGRRRREEEMGKISYMKLKGSQNLRQRLLLSTLSSTPILIEDIRADATWPGLLPHEVSFLRLLETVSDDCHVEINETGTKLKYKPGIVMGGKHLEHDCGLSRSIGYFLEPLIVLGLFGKKSLSIRLKGITNDSKDPSVDTFRSTTLPLLKRFGVPSEGLELKIESRGVAPKGGGEVILSVPIVHNSLKAVTWTDEGMVKRIRGISFSTRVSVQFENTMIHAARGIFNRLLPDVHIFTDHKAGAQAGKYVFLKPISPGYGISLVAETTSGCFLSVDTTISYARGNDEMDIDEKKELMPPEDVGEQIASLLLGEIEQGGVVDSTHQGLLFILCALCPQDVSKVRVGKLVPYGIETLRNIRDFLGVKFVIKPEPATGTVELKCIGCGLKNLSRKLS >KVI10662 pep supercontig:CcrdV1:scaffold_570:67638:70801:1 gene:Ccrd_010934 transcript:KVI10662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30, conserved site-containing protein MVEVAKAGQVVPESVLKKQKRSEEWDLAKKQESELLKKKKAADRKLIFNRAKQYSKEYEDQQKELIQLKREARLKGGFYVNPEAKMLFIIRIRGINAMDPKSKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRIPLTDNSIVEQGLGKFGLICVEDLIHEILTAGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDFINELIRRMN >KVI10663 pep supercontig:CcrdV1:scaffold_570:115212:122271:1 gene:Ccrd_010937 transcript:KVI10663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLKRSSSVITHFSVPHIRKKVSNSLSAIEDTFLSTKDTFERHKVVFTILTSIASIGTAWAGYTIRHLHETKVEERLDSIEKAMRKNYDLEGKEFKKLVGTGSSNAAACVATAGVSLVVGYGLGWRGGRWYANRKFQREQMKLLGQVKPKKWQLQKFLRRPMIRLKKANSSVKAPESVQNTISDAANNAREANPA >KVI10658 pep supercontig:CcrdV1:scaffold_570:219886:220779:1 gene:Ccrd_010946 transcript:KVI10658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine rich protein MASKTFLLLALAFAVVLLVSSQIAAAKDLDESEVEDAKYGRDDRGYYNGGGRGGYNGGGRGGYNNGGGRGGYNNGGGRGGYNHGGGRGRGYCRYGCCGGGRYYNGGCRCCSTLAEATAYKQAHEAQTHN >KVI10665 pep supercontig:CcrdV1:scaffold_570:139149:139793:-1 gene:Ccrd_010939 transcript:KVI10665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLYNHNKGKIHPSPPPTTTTADHLSLLPVAIAALAAALAPEDKEVLAYLLSCSLTTTTNNNNKNFSGNTKPTNKTSGGDGGGGGGNHPPQFNCNCFTCYTSYWVRWDASPNRQMIHEIIDAYEDGLIHNKKSGKNKKERRKNKVISSSSASPHPPPPLSAAEKVSDAPPQVEENKIEDNDAEDTLMMGSSSEKGSVRKIVSFLGERIWGVWG >KVI10654 pep supercontig:CcrdV1:scaffold_570:185240:191408:1 gene:Ccrd_010942 transcript:KVI10654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRWWCKSAQLCYRVHRSFSSSTVSHEPFKPPLFLPQRRVVVTGLGMVTPLGCGVETSWKRLIKGESGIRALSLEDLKMSGFDKDTQLYTFDQLTSKVAAIHGKSIARFISYALCAADEALRDANWIPSEQEEKEKTGVSIGGGIGSIGDILDASQLICEKGPNHAAVTACATGANSIGDAARMIQFGDADVMVAGGTEASIDALSIAGFCRSRALSTKNNSSPQEASRPFDCDRDGFVITPLTCFLMQHRRRCWRSCLGSDAHHITQPHADGRGAILAMSHALKQSGLCPDQVDYVTWWKPMQLNLCFLDMQHLGAIGHLLGAAGAVEAIFTVLAIHNGIAPLSLNLSNPDPIFEEDFMPLTASKEMQIRAALSNAFGFGGTNAALLFATMS >KVI10656 pep supercontig:CcrdV1:scaffold_570:195066:198284:-1 gene:Ccrd_010944 transcript:KVI10656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bactericidal permeability-increasing protein, alpha/beta domain-containing protein MSTTTIYLIFIFSLFIPPHPATADQSFISLLITQNGLDFVKDLLISEAISLLTPLWLTKIERTIKIPVVGKVHIALSDITVNRVNIGSSYIKPSVTGVSIDGSEVTCELNMKWHYSYGTWLAPISISDGGTASVQVNGAGVGLTLGLDNQEGSLKLSTIESIEKAITEKLKTGVSKLGSFLQALPKRIPVDDIAALNVTFVNDPFLSDDSLGFEINGLFIESKKDTLSRRKALLPPVSCSDSSKMVGIALDEAVFVSAAALYYNAMFMHWVVDKVPEQSLLNTAGWRFIVPQLYRKYPNADMNLDISLSDPPVVQILWQNIDATVYADLIIDVLEGDETIPVAFEVIGNNLAGHLRLDDFTMSLKWSKIGTLHMFLVQPVMWTLIETVFMPYVNAQLGNGFPLPIIHGFTLQNAEIVSTDSRITVCSDVTYQESFDRSSYAS >KVI10657 pep supercontig:CcrdV1:scaffold_570:202727:204988:-1 gene:Ccrd_010945 transcript:KVI10657 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MGITGELVRSVFSKNRSFGTTHDANVMRNNAVERKRWASVRSYLCGDEFNSVLAGEDAASRRSSKAAMNSVLMEDNSGSGRSSKATVFSSRIDDEGSEATVTQPISDHSNETSEADEKTELASKHDAAVIIQSEFRSFLIRHRNKKLESTNSGGLELVPSSKESIATSVEVQTGNSVVEAKEGSDGFANRMQHHKGARSHASKLKQEDWDDSTVSSNISKMRIQNRLEASTRRERALAYAFSQQLRICSKKKQSVRSGNESEANMSWSWLERWMATRQHDTSFGDVSKQFEQLNGNQKLMVKSRVLSDLPGEEKESCGSNEVSMHFDTISSSSKTDEKSYYKPARNRLKATSVSRRKSKMKRQGGGEIRCEG >KVI10664 pep supercontig:CcrdV1:scaffold_570:77605:85072:-1 gene:Ccrd_010936 transcript:KVI10664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCDSSRQLYPLISFQIGDLQSYLSDLSLFLAPDSKTFYVLVDNRPWLEDLASRPAHLWQLMVTKSRMSPFANTRGKKGRKGRKETAEFIDQKVRSKASSRSENLKKWFSVVNAATLSRKRALLPVKKLRSSLLANSKLHRTLYGFIVFQVTWKDVRGINYLNELQTDTSLAVEAKYMKRWEFDSIAQSAKGITSWFPGTPSERSLLEEHLNSMLGDMFHDAPKEFPDCCSTNGDEKTSNASARGENASPCNPCSNLGEQLESLEDQTSILQTPPPTGPYKRRKLMNPEGVDVEANFYTEVAHGGAVCTQMPSSYASDCDETAEATEYRDVLLLFRFNDRDLPFDLKEIIVSDLRLLTLLEAGLPSWVIFFQSYPVFCHIYRPWMCPLARALYVVISIVTVVIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNSEKAVMWFLMMTRTVRSFVSIITQPLAGPFLDFLEVLLPFWNLFVQIAQYFGSFIWILMETSWDLVENLTEVILVPVWFTLSVIWTIVTTVMYPIFWFLWGILYAPIRLILGLSDFVGYVYNNIYDLVGDIWLFVSGIFKLASNAEATVNTYEVSIWRSLWNDLFSQVFRALRSILNGFVAFFTACNRHRLSIYNHLHEFTRTINQPSQRSQLLTPSHGSQGSGSRISAEAVERKQLRRRNSKKS >KVI10653 pep supercontig:CcrdV1:scaffold_570:180088:184491:1 gene:Ccrd_010941 transcript:KVI10653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHESNFHEISVTWRGKKYVVNMDLGATLEELGHELQKLTAVKEDTLKLIVQSHKMSILLSPFSDEQSRLTLRETSITEGKPIRMMGSRKEEIDQVLKDARTDMRIAGFDEEEKRTRQRMSNGFHTPQKLPQGKYIFGDFKTLDLPGIEVLNDSCLGNLLIYLNPPASEALRLMHTLAADRGIVAVMNKHQWRVGIMTEMAPEGYVGVSPVCILGLNKNKGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMVFSEHDANFYALDKQLNLEADALDWTRSTRHTLSGTQKYQQHEPEFHVSDSSSSLSHKLGGNSSLDSLANARASSVAAAYQRLVIASNNPSRTPESLSEPDPDASMDISEGQKKRTYEPDPDDYEVLTNNHEPDPDDSINGEAMDSEPCRESTENIITSELDHSETEEILVGVSENEVKRENNEPDPDDTHSDRKTGAEPDPDDLDGMLHDEELQRIQDPVMVACNRLKKSIETLQSEVSPSEAAMVFQTLVKIVRNVIEHPDETKFRKLRKANPVIQKNIASAMEILFLIGFCEDVVQDEIGRAESYLVLKRNDPGLLWLAKSSLETCIAF >KVI10660 pep supercontig:CcrdV1:scaffold_570:21429:22217:1 gene:Ccrd_010932 transcript:KVI10660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGLAGEDDGEKGFAGKLISCIYVLFFKAKWEKSKKKV >KVI10652 pep supercontig:CcrdV1:scaffold_570:150975:153788:-1 gene:Ccrd_010940 transcript:KVI10652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLVVFTLLFMQIYTIGANIPAVIVFGDSSVDAGNNNQIRTVLKSNFKPYGRDFFGGKATGRFSNGRVPPDFISESFGLRPYVPAYLDLAYNISDFATGVCFASAGTGYDNATSAVLSVIPLWKEVEYYKDYQRKLRGYLGDQKANTILSEAVYLISMGTNDFLENYYTLPNRKSQYNVNQYQDYLRVIAESFIKELYSLGARKISLGGLPPMGCLPLERTTSFINGKGDTCNDEYNKVALAFNGKLYGMVKRLNGELSGSQIVFSNPYAIFQQIVRKPSSFGFETAAIACCSTGLFEMGYMCDQYNPFTCSDANRYVFWDSFHPSEKTNRIISDHLFKTVLSKFLP >KVI10655 pep supercontig:CcrdV1:scaffold_570:191980:194605:-1 gene:Ccrd_010943 transcript:KVI10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MRFSMAVTSSGFPSLPPFHQSTSHPLSYKHPPIITLQKPIKPHDSCIKSVNNSGFLSAIGNAIEEQEYRKARAEVIRKGTGLEGYTIEGISIGGHETCVIVPEFKCAFDIGRCPARAVAMNFLFITHAHLDHIGGLPMYVATRGLYSLSPPTVFVPPSIKEDVENLMELHRKMGQVELNLDLVALDVGETYELRNDLVVRPFKTHHVVPSQATFLDEKCNIEHAREHGHMHIDEITEHAKWIRNKAILLTHFSSRYHIEDIRQAAAKLQSKIQGKVVPLTEGFRSMYSA >KVI10666 pep supercontig:CcrdV1:scaffold_570:123183:132157:-1 gene:Ccrd_010938 transcript:KVI10666 gene_biotype:protein_coding transcript_biotype:protein_coding description:RZZ complex, subunit Zw10 MDVLFNSIDVRDLLSSHDLDDSSPLAAPDLRLLIDRLQVRSLDIKSKVHNYIVSHNDDFSALFSRCSDAVINSEQLSYQVSNLVNLLSSDRQPIDVKIKETVEQVRRKRIETKEKKETLDLVKVVLKLSQELSVVENDLKVGKVVEAAKALTDLKVALRIRDNVEDRSGEREPMVFGLLRKKWTDCFEEIQEVLVKFVENAVRFDQQSNAVYVKNTMAMNDIQAVELNTVLKAMHVVGILDYGLAKVADLMTRYVIAPAVQSGPHSFSVEERTLDSGCMAEVVLRITPPSDPQVENLDAETIYSGINVVIQFIFNFICFKNSSWMRYFGRLTWPRMSELIISNFLSKIVPNDASKLADFQTIRQLTFEFESSLKEIMFISSGKDERLSNFADNVEVHFATRKKVEILATARDLLLHSDFNLPKDYSRNNAEFKNGGTVDLLFSSERCVISEAASQLIELVHQTLKDVCLSSATVALEFYHAARDALLLYEAVIPVKYQSDFPTSMKGAVFIDLGIRYKLMAEEILQRQIQLVKHNLMEAVDGADGFQNTHQPKRYESAKFSIDQVAFILEKVRIIWEPLLLPLTYKGSMCTVVEALQRLIHMLLESLSALLESLVAMNQTTKPQDASSGSIDDLIPSLNMLDLPLKSITASWESGELAKCGYTSSEVRDFIKAIFTDSQLRKECLWRIENIKF >KVI10661 pep supercontig:CcrdV1:scaffold_570:72850:75967:-1 gene:Ccrd_010935 transcript:KVI10661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAVAPLLSLFLLAIFTFADAGSIGVNYGRIANNLPSPSKVVNLIKSQGIHRVKVYDTDPAVLKSLAGSGIKVTVDLPNELLSAAAKKQSFAYKWVERNVAVYHPHTQIEAIAVGNEVFVDPKNTTGFLVPAMKNLHQALVKFNLHSDIKISSPVALSALQSSYPSSAGSFRTELIEPVFKPMFELLRQTGSYLMVNAYPYFAYESNSDVISLDYALFRENPGVPDGGNGLRYFSLFDAQIDAVFAAMSAMKYDDIPLVVTETGWPSKGDENEVGASVENAAAYNGNLVKRILTGAGTPLRPKADLTVFLFALFNENNKNGPSSERNYGLFYPNQQKVYNVPFTAEDLKDYRDKQSPVTGDVGGGQVRVSTPTNGSVSGQTWCVASDVGKERLQVALDYACGEGGADCRSIQPGSTCYDPNTVEAHASFAFNSYYQKTGRAAGSCYFGGAAHTNMGNVSSPQAIEEGEGEGEGEGEGEGEGEGEGSIY >KVI10659 pep supercontig:CcrdV1:scaffold_570:53722:61674:1 gene:Ccrd_010933 transcript:KVI10659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, active site-containing protein MKVDQEFSQQPPDICRTVQVKLSTMLAADNFDSNGNELYTPPLNFSMPYPEHNVEFLKANRIRLFQFGIEGTKEPFVNIPEDAIREALKVVLDVRNHPVLIHCKRGKHRTGCLVGCLRKIQRWCLTSIFDEYQRFAAAKARLSDQRFMELFDTSSFKDIPPCPCLCSKRLLHAWQVTAKQKELHIHSSVDFAQTESSCTLVVKR >KVG98064 pep supercontig:CcrdV1:scaffold_5701:28073:30940:1 gene:Ccrd_026039 transcript:KVG98064 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30-like protein MCAKVGVDPLASNKGFWAGLLGIGDFYYELGMCLFLLLLLGGVQIVDVCLATRPHNRGVINLDELCKLLSSEDDCLRAIGKLKVLGSGFEVITVGKRKLACSVPNELKKDHNEILELSQGPSTHSLLKTSGNISSSKIKIVSFRTSIMNMRTLGLMLELKVNEDMQ >KVI07942 pep supercontig:CcrdV1:scaffold_5704:14351:19516:1 gene:Ccrd_013692 transcript:KVI07942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNTQAQKNQNVKCYFPGALIEAWRAPITTEAIINARCRGSGSGCAAEPGARNEIYALQPTPIEVPYMGFLFVSPTQFSHIYFEKLVHLHHCYFVNDYKQIAFSVQTFFRYSYGLFFFHEHKNPDVLDPNCQPKRSAYGLPENKLIFACFNQLYKMDPGIFMTWCNILKRVPNSAFWLLRFPAAGEMRLRAYAALGEHIRRSSLADLRLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLYLATGDDCK >KVI07941 pep supercontig:CcrdV1:scaffold_5704:21354:31064:-1 gene:Ccrd_013693 transcript:KVI07941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MMLSLQTDPRQVQQQQFQQQQQQQQQQQQLLQQAARVSYNNGDHQRESSFVLSTEIDPSPSNVNLKLSQGLDIREADEDMLMSLAHQKYKSGDFRQALDHSKAVYDRNPMRTDNLLLLGAIYYQLHDFDMCIAKNEEALRIDRNFAECYGNMANAWKEKGNIDVAIRYYLVAIELRPNFADAWSNLGSAYMRKGRLTEAAQCCRQALSLNPRLVDAHSNLGNLMKAQGLVQEAYNCYVEALRIQPTFAIAWSNLAGLFMESGDLNRALQYYKEAVKLKPTFADAYLNLGNVYKALGMAPEAIVCYQRALQSKPDYAMAFGNLASIYYEQGNLEMAINHYKQAVARDAGFLEAYNNLGNALKDAGKVEEAIHCYRQCLSLQPSHPQALTNLGNIYMEWNMMTAAAQCYKATLTVTTGLSAPFNNLAIIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVNEAIQDYSHAIVIRPNMAEAHANLASAYKDSGHVEAAIKSYRQALAIRPDFPEATCNLLHTLQCVCDWDDRKRMFIEVESILRRQIKMSVIPSVQPFHAIAYPLDPMLALEISRKYAAHCSVIASRFSLPAFNHPLPLPIKSTGGSNRLKIGYVSSDFGNHPLSHLMGSVFGMHDRENVEVFCYALSPNDGSEWRLRIQSEAEHFKDVSAMTSDMISRLINEDQIQVLINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGASYIQYLVTDEFVSPTRFSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPNCQPKRSAYGLPENKFIFACFNQLYKMDPEIFMTWCNILKRVPNSALWLLRFPAAGEMRLRALTKLHMMLASVDAAAQGVQPDQIIFTDVAMKQEHIRRSSLADLCLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIVNSMKEYEERAVYLALNRGKLQDLTNRLKLSRLSCPLFDTSRWVKNLERSYFKMWNLHCSGQQPQHLKVVENDSEYPYGH >KVG97593 pep supercontig:CcrdV1:scaffold_5707:321:1230:-1 gene:Ccrd_026040 transcript:KVG97593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKTFLDMLQHRISPNLVTFNTLLSWHCKAGDTSEAREFLKMLLQRGFKPDTYTFSSLTHGLCRTHQIDDALDCLNEMVDWGVHSNAITYNILIXSLCIIGDVFKAKALMKKMQTNGVKPDVFSFNALIHNFCRMKKVEKAHRVLLTMLTLVLNRPSFITSIAISVDLFWKVSNSRNLARSEAGSLVLPLKDLEKSDDEDDNHNDISSKSLFVFYEEHLEWLLFGWI >KVH88688 pep supercontig:CcrdV1:scaffold_571:56203:56622:-1 gene:Ccrd_026044 transcript:KVH88688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MSPITPEIRACAEIITGDDICKEKTKSLLREYDLPDGLLPAEDIEELGYVKDTGFMWVKQKKDIVHKFEKVSKQVSYATEVTGYLEKCKIKKLTGVKSKEVLIWISINEIFVENPTADKVTMKTPTGISKSFPKDAFQA >KVH88699 pep supercontig:CcrdV1:scaffold_571:50286:51712:1 gene:Ccrd_026043 transcript:KVH88699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQQLQAVNTTPVIMEHQQEGRDDDEDAVSGGCFRLFCCFDTHHDGETAGFLHHQSGDLIAQDTWFMKRAKSLKEFSEVVAGPKWKNFIRRFSKRPKTRSNANTPFQYDPQSYALNFNDHEDDDLLPRSFSTRYAPPSRSTQL >KVH88689 pep supercontig:CcrdV1:scaffold_571:70378:70797:-1 gene:Ccrd_026045 transcript:KVH88689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MSPVTPEIRASAEIVTGNDICKAKIQSLLSEYELPSGLLPAEDIEELGCVKDTGFMWLKQKKDIVHKFEKASKQVSYATEVTGLVEKCKIKKMTGIKSKEVFIWITVNEVFVDGPPANKITMKTPTGISKSFPKAAFEA >KVH88691 pep supercontig:CcrdV1:scaffold_571:96378:96680:-1 gene:Ccrd_026047 transcript:KVH88691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTHRYGALDEIEEFGEVKDISFVWIKQKKEKAHNFEHIDQLVSYDTEIERLIGVDINESSIWITLNEIIIDDPLARIITFRNPSASTNLFQH >KVH88701 pep supercontig:CcrdV1:scaffold_571:129914:133085:1 gene:Ccrd_026049 transcript:KVH88701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MSKGIFNGHVKLDSVRTYNNQERRVKMVPASLPKTSGLRLLSYCNQSYSGGIAFRPLLSICSSASYYYSAAKAYGQQRRNNSCNDISIANTPSLSLSISSLGRKEASCVISKSSRTFCTASSVAADPSTPPTSSDDNKAKDEGVVDMMKVKDMANTLDIRVGKILKAWRHEEADSLYVEEVDIGEPEPRIICSGLVKYIPLDDLQDKSVVVLANLKPRNMRGVKSSGMLMAASDASHENVELLEPPEGVVPGERIWFGTGDEKDTLPEAASPNQIAKKKIWEQVQPHLCTNASSVATLGGTHLMLTSAGSVVSKTLINANIA >KVH88692 pep supercontig:CcrdV1:scaffold_571:18418:29339:1 gene:Ccrd_026041 transcript:KVH88692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAGMLPGVETARRRRLRGWCDSSSVIGSSFGSMRSRLSHDTHLTHDSFLERNQSDEDDNLGGAAREAKERLNGRLRGHLKSEIRRQKSQESVGGYGGRSNTTTMVMENLQMDVFGLKKSGSKRSQLNRLHFHPMAGMLPGVETARRRRLRSSSVIASGFGSISSRLSHDTHFIDTLFLVSTNPTRHCFSFDLWGKIVIISIILGFFPQQRNQSDDEDDKLGGAAKEAKERLNGRLRGHLKSEIRRQNSQERVGGVWRRSNATTTSMVMQDLRMEVFGLKKSGSKRFGWGRIGSSWKSSNQDECAICLDQFKAGETVTHLPCAHRFHSDCVCPWLEDNAQCPCCRRTVLGSN >KVH88696 pep supercontig:CcrdV1:scaffold_571:153717:153971:1 gene:Ccrd_026051 transcript:KVH88696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRAAGMMFHCVFEGSLSMSEMDKEQRPYHKNCNCALHKAKDETPRACVHHGKISYSKKRSWNKCSLTKTTFSSSVQSSCHSG >KVH88693 pep supercontig:CcrdV1:scaffold_571:218564:218896:-1 gene:Ccrd_026054 transcript:KVH88693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAAGDGIFGNVFGGCISSDDIGIQRRPYHRNCNCALHRSDENHCTHAPLPKVSYPIRRSWSEGCLVAMMASAGPSPGSSPCCPSSPAPTAPSAARLPPHIPNHQLNH >KVH88695 pep supercontig:CcrdV1:scaffold_571:166776:166979:1 gene:Ccrd_026052 transcript:KVH88695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAAGMMFHCVFEGSLSMSEMDKEQRPYHKNCNCACIRQNMKPQELVPIMGEFLTQRNHHGTTVR >KVH88698 pep supercontig:CcrdV1:scaffold_571:40205:48836:1 gene:Ccrd_026042 transcript:KVH88698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MAYDQNALPNSLRPIHIARTLGEEYRIVAPVTLPTNNPLPREVPSVTPATIPVYHPSTTPVAAAAVAAADAGYVGLNCGNVVPAVANWLPRMPPPVTSGIGLVPGYGYSTSLVPRGYDPSLAPVGGGGGGSNASDHTSDEGGDDSVSGRKVKFLCSYGGKILPRPSDGVLRYVGGDTRIISVRRDVGFNELLQKMFDSYGRNVVIKYQLPEEDLDALVTVSRPDDLENMMDEYDKLVERSSDGSAKLRVFLFTELESSSVIRFKDLQDSGQKYVEAVNGIGDGFGGSSGGGGGSSSGAGFGRKGSIASASSTQNSELSGTEGGADSIGHDQGEVNGPPSAGLLSPGGSSASGVPVAKSVTVPASPSQSEHELEISERMMPVHVHQQRQLGYDLQQPGATIPPPAPYVQSYVDPRHGTFNRTEYVQVPAAQMGFPSQVMGTVGPVYSQPQLYDNVVGMNPHQFIPPMHMTSPSTHISFKPNPVPIPVPVPVPQLVQQQEVRMEHFPEVGQRIVHLPSDQSYTMYQHQPQPQSQSQSQSQIPTPVIPGPYGWNPVPSPEQVPFSEGRVPTQQLLYPETVPRFDGCIMCQKALPHAHSDPMAHERRGSPRSTVSDMNPVYQSMRLEDTRRIMQPNRVPASGALVDSNLEMQGVGVRSRYDDHEAGRPQFEGVMPLYAVQGQYVNDRTISQRAETGDQAMVSSPLSVVGLAGEMQSPYGVLLHPVPHAGHESLLQQSAVTMQSQAKQETVVNTRVSGDSNSAGVPSPTSDSQVHESPREYPGNFPIIVPKEDNVESGFTYDSLIQIDARMKDLRIRPHEVLVNNDQSSFRVENPREDVLENRPMDIGGKELNLDNPLGKPQMVLDANYITQNEMMPYSSEVPRLHGFQPMESYGMAQQPLYSSPEYPHASVAMVGNEGSSAFSGVDSAHMTEGNSPVSEWNVYPLQFDRKSDVEPELMDSLNPFNGSDETQDGTSSLFSNQDPWMLRHDSHFPPPRPNKFLTKKEAVAKEAFVDNRLGHSGESPTGDMSVDDGAYQPNANLDLNFSVEDGHSNIGSAEELIKQELQAVAEGVAASVLHSSTPSNPDSSRHGRSEHALESNQSGEAQNSNADVQPGDKVEDLKTKLPEKTNLGFPVSDGLGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALQKNERNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKRQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGDEPYADLHYGAIIGGIVSNTLRPAVPESCDPEWRILMERCWCAEPSERPSFTEVANQLRTITSKVPPKVQAYQ >KVH88694 pep supercontig:CcrdV1:scaffold_571:204874:206022:-1 gene:Ccrd_026053 transcript:KVH88694 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MGKTSKWIKNLLARKKDKYAVHENLTPSTSSLPPGTPKEKRRWSFRRSSVTPPTTTTTTTTTTTNSKDVISTVPLSSVHEPENNEQKKHAVEVMIAATSTANAAAAAAAAAVIDHAAATKIQSVFRSYLARKALSALKGLVKLQALVRGHLVRKRAVATLRCMQALVTVQTRACARRRRSNDGVNSYYPDSEFYDGIRTHDVSEEHIKIVEMDTRSTRKSYSKERSSPAPSEPSPRAFGRHFEDFSFGIAQSIPTRKSDYAESLYEFPSYMANTESSKAKARSHSAPKQRPADYGSVYERQSSSVARRRPSIEGRNVPRAVRMQRSSSHVGSGGQNHHYPWSVKLDKSTASLIGSECGSTSTVLTNVNYCQSLVGFEYLSSK >KVH88690 pep supercontig:CcrdV1:scaffold_571:86704:87123:-1 gene:Ccrd_026046 transcript:KVH88690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MSPITPEIRACAEIITGDDICREKTKSLLREYELPDGLLPAEDIEELGYVKDTGFMWVKQKKDIVHKFEKVGKQVSYATEVTGYLQKCKIKKLTGVKSKEVLIWISLTEIYVDDPPTDKITMKTPAGISKSFPKSAFEA >KVH88697 pep supercontig:CcrdV1:scaffold_571:137435:138185:1 gene:Ccrd_026050 transcript:KVH88697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQRFQERVLKSLSMSEMDKERRLYHKDCSCALHKPKDETAKACFHHGSISYSKKLLWNKCWLTKTLSQTPSKSDNL >KVH88700 pep supercontig:CcrdV1:scaffold_571:98349:103325:-1 gene:Ccrd_026048 transcript:KVH88700 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELK-like protein MAFHDNISHEMALQHYADQHQLTESVEVLRGILPSDHHHHIAHSSSSPDAGPKTSQSPPPTWLNSAILRQQNHHYAGDGSGGSFLHLQTTNSDSSNSNNHWLSPRPIDNDDSNRESMFVSAINSDNNIDDNAMKVSQRQSESDGNGGNHERDWEFAKCKADILSHPMYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQQVISKYSVFGNHNQPLDDKDLNQFMAHYVVLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDDEEQVDSDTNLFDGGLDVSDSMGFGLPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTAVKSKRKSCCNAIYNRNNVKPKKGNIKFVTAESTRSGHCCLFLVQKEVNEEQVKSHSCLSLKLKVCPYIYIYIYIHNTWLFFYF >KVG97588 pep supercontig:CcrdV1:scaffold_5712:19157:26088:-1 gene:Ccrd_026055 transcript:KVG97588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthetase, conserved site-containing protein MASLAFSSGSVAATNKFRSSIPAKNGLISCRVNFKENGRLGAQTLISEQETVPDFLTSNHFEKTPIRKDENRLRIFSGTANPLLSEEIACYMGLDLGKIDIKRFADGEIYVQLRESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKTITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVHGQPVILDYLASKTIYSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTISKGAALLHHEGAREVYACSTHAVFSVREELFSATDCPIGSKPTWREHLACA >KVG97392 pep supercontig:CcrdV1:scaffold_5714:21822:26017:1 gene:Ccrd_026056 transcript:KVG97392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MGTRNCSSFQSHNYFHRLAKALEFAVSEWLLMFLLFIDACFAYLIAKFASYCQLQGPCLMCSRFDHVLARDKKGIYLDSICNHHKSEISSVVLGHVHDKLIDVHGICENCLLSFVTETKNTFNAETFRLLVGKMGSNPSLEVRNHDSSCSRICFCCNEECVSRDYDMNLHPTSSIHPDAPKNETDVFEDEFHEPFRSSQMEKNQIDGSLEFDYEKINVNSDGESELPISDNECGRAFIHLDSRSKVLDLHNDPVTVTASDSGELKWRTNANKEETSRVTEQISSDEVQLSNLAETPADVFLEITDQPRTGEVIKDPVAESDPSTTMNGHRVSNHLDLGDAYKLAISSKGRQLLDQKSFQDSSTRVTEDIKLLLSHRSNDNLISPKPSFNSDELMGIQFLQKRLSLERNESNISLDGSIVSEIDGETVVDRLKRQVEHDKKVMGILYKELEEERNASAVATNQAMAMITRLQEEKAALYTEALQCLRMMEEQAEYNSEALQKANDLIEEKEKQILDLEEIVRKISEDGRE >KVI04106 pep supercontig:CcrdV1:scaffold_572:174445:174747:-1 gene:Ccrd_017578 transcript:KVI04106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMRLPSSLISNVKSFSRINAYRKRSHQRDVPKGHLAVYVGENQKTRFVIPISFLEQPLFQELLRHSEEEFGFDHQMGGLTISCQEDQFFKLTALLHIS >KVI04111 pep supercontig:CcrdV1:scaffold_572:103854:108845:1 gene:Ccrd_017585 transcript:KVI04111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 beta-sandwich MADQPNFSAGYSVGITPSTPEAQSRRPDTKLTPPPFTPPPGPRFAPPNVQTNQLLSPSIKPSQLSLSANGIRTGSSPHLSTPPGPPVFSSPVQPAAVPFRTSPATPQPVAFSAVPSLSASPPSNFSDGANEFQRQVLSDTEDMVSLSDAPNVLLSARKVLKQKKLMNVPSLGFGALVSPGREVLLGPQIIQRDPHRCQNCGAYANLYCNILLGSGQWQCVICRNLNGSEGEYIASSKEELLNLPELAFPMVDFVQTGNRRPGFVPVSDSRMSAPIVLVVDDCLDEPHLQHLQSSLHAFVDSLSPTTRIGIVSYGRTVSVYDLSEGSIASADLLPGNVSPNQESLKQLVYGTGVYLSPIHASLPVAHSIFSSLRPYKLNLPEASRDRCMGAAIEVALAIIQGPSAEMSQGVVKKPGGSSRIIVCAGGPNTYGPGSVPHSFSHPNYPHMEKTALKWMEHLGREAHRRNTLIDILCAGTCPVRVPILQPLVKASGGILILHDDFGEAFGVNLQRASTRAAGSHGLMEIRCSDDITVSQVIGPGEEAHTDNHEAFKNDNSVSIQMLSVEETQCFAVSMETHGNIKSDYVYFQFGIFFSNLYQADIIRVITVRLPTVDSISSYLDSVQDEVAAVLIAKRSLLRAKNFSDAIDVRKTVDERIKDITNRFGSQLPKSKLYQFPKELSYLPELLFHLRRGPLLGSILGHEDERSVLRDIFLNASFDLSLRMGAELAAQEGRSAAALAACRTLAEELTEMRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQLDRA >KVI04110 pep supercontig:CcrdV1:scaffold_572:148917:155450:1 gene:Ccrd_017582 transcript:KVI04110 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MKSIVLYLLILGIFIMVSCSSEFKSFSYLYNEDHFIISLRSDVIIVKDLPPKLKLARKRKECPIFKPEKSASPEYYIKEVLPKLKKGKIIGLVVVNGGCLQPILPPKLVEYQRLRCRVAFHALHFRSEILALAHQMLKRLRASGQPYLAYHPGLVRDTLAYQGCAELFQDVHTELVQYRRAQLIKQKLVGLLLRAMGYPPTTRIYLAGSERFGGQRVMIPLRAMYTNLVDRSNLCNKYELNKLFGTERPLSSNPFNQTRNITAEEMKKEWDQAGPRPRPLPPPPGRPIYRHEKEGWYGWITEKDSEPDPSPIDLREKAHRLLWDALDYVVSVEADAFFPGFNNDGTGWPDFSSLVMGHRFYEMASSPTYRPDRKFLANLFNTTRHNLYFPTRNWTLAARQHLNNSLGEEGLNRHFLESKPISFLSHPIPECICTISQSIGDNECPKWLKDSSFRSKTQETNENEETDDETDEGQLGNSQDEGRSKSSVFERDSEMDPND >KVI04120 pep supercontig:CcrdV1:scaffold_572:20326:25747:-1 gene:Ccrd_017591 transcript:KVI04120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein (TRAP), alpha subunit MTKIRVSFLLALLLLSSYCFLQDSDADAELVAETVEEGGDLGIVDDDVVDFNAGNYSPAPGVETVCIFPKNLAKTVVAGQETELLIGMKNEGDQNVKVLAVHASVHLPYDHRMLVQNLSAQAFNNASVPASVQATFPYIFAVSKFLQISRANNAVVILQPGTFDLVGTIVYEIDQLPYQNTFYNGTIEVIEAGGLVSIETVFLVSLGISLLVFLGLWVRGQIQNLSKKTKRGPKVETGTRTVDASMDEWLEGTAYTQSKASKSKKKK >KVI04113 pep supercontig:CcrdV1:scaffold_572:67636:75911:-1 gene:Ccrd_017587 transcript:KVI04113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNPAVLSSYSLHRILLRFRHTLSSQRPAGFIIPMATTEDSLRQALADKQSTIDASGNAIRQLKTSGASKSEIDDAVRALNALKLEKTSIENQLRASISGDANGSVVSRDAFRQAVVNTLERRLFFIPSFKIYRGVAGLYDYGPPGCAIKSNVLAFWRQHFVLEEDMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDHCKDKLEKDLGISTEKAAELKHILAVLDDLSAEELGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGLSAGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPDDKSHPKYSEVANLKFLMFPRDEQMSGQSAKRLCLGDAVSRGIVNNQTLAYFIGRVYLFLTRLGIDEERLRFRQHLPNEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHTDKSGVALVAQEKFAEPKEVEKLVITPVKKELGLAFKGNQKMVVEALEAMNEKEAMDMKATLESKGEVEFEVCTLQKTVTIKKNMVSISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFYMRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEEVAKHISRSLTAAGISNKKDITGTSIGKRYARTDELGVPFAVTVDSTSSVTIRERDSKDQIRVSVEEVAAVVKDVSEGRSTWTDILKKYPAHTSASADE >KVI04107 pep supercontig:CcrdV1:scaffold_572:163311:166114:-1 gene:Ccrd_017579 transcript:KVI04107 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSKHKKPHVLCVPAPAQGHINPMLKLAKILHSKGFHITFVNTEFNHQRLVRSQDSDVLRGLPSFCFETIPDGLPIPENLDASQQVAALCKAIDENFLGPFRSLLTKLMSGSDSPVTYVVADVIMGFTLEAAKEMDIPEMLFWTSGAGSLLCYEQYPNLLDKGLMPITDSSFLVNGYLDTIYDCIPSMSAIRLKDIPPYIRITNAGDEYMVEFLCRQVERMKTASTIVLNTYDELESDIMDTVSSIFPPSYGIGPLNLLEKEIVHGSLASIKSNLWKEDTECLKWLDSKAPMSVIYVNFGSIAVMTPQQQVEFCWGLVKSGYSFLWIIRPDLVVGESTMLPPDLLAETKSKGLLASWCPQEQVLKHRSIGGYLTHSGWNSTIESISSGVPMICWPFCGDQQTNCWLCCNKWGVAMEIDNDVKSDEVAKVVIELMNGEKGKEMRKNAIDWKNKAEEACAFPYGSSMVNMDKLIHMLQTSTK >KVI04103 pep supercontig:CcrdV1:scaffold_572:196448:196744:-1 gene:Ccrd_017575 transcript:KVI04103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGIIRFPSLTAKKKHFSKLHSLCNRNKLDVPKGYLAVYVGEIQKTRFVVPLSFLEHPLFQDLLRQSEEEFGFDHPMGGLTIRCREDAFTDLISRLPIS >KVI04114 pep supercontig:CcrdV1:scaffold_572:78889:94051:-1 gene:Ccrd_017586 transcript:KVI04114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar 27S pre-rRNA processing, Urb2/Npa2, C-terminal MADYQSPRAEKSSSSAMKRKKKRKLMDLEKKHEEMASKSSRIRQSDGFVNESIEEDMETTTELLQDSIPWRNLQLVLSLQKKTIDIEKKVELAHKFVKLSSSQVADDTTQSSEAVSFERAIIFLNNWVQSTLISSEKEIRVEVLKPQYGVSGSCLDYRCWEILKFCLEEGTKLHVSMSFARDILKVLQCIARNMEIKDLNDDEVTLYDTMLDCVSAIFTSHGGVSNESLDLWILLVDTLLELVLEIITSKLDCSKTGTIILNWTCLVLQPFTKFLRLHPNRKNGFHDFVDRLLEPLLCLLHVLPPSVLASKSAWMSKLLKLIEEVLTQGLFHPSHIDGFLTLQSLAKYKLSDDGKPKDSKTVIKSYHRHLFDRLGRIAVEKKALALFGEGGLFRLYVRCIKRQEGVEVTEGCSKLTDDFSGEMFKRSSGSHNELHHVSALNAEIRKSLFDFFVQIMEPFLGDIDAYLQDEVEVGSVLEDVHCTLKSTNSVLVSLMQEKVYVRVDDTSEGACANFLKLICDKISLLSAKVGQLVPSTFDVNSGTCKELVEVVAKELILCLHYLLEIDYEVLGSDLESLWLLMFSYGTLGHPSTDLQDKLVVIPEILHLGCHMINLYSELRQVGTSVFALCKAIRCMVSSVRDIEADHSESWRSYHESWTKSLRLIICSPELRLSIHNAVKSIPEGQVSLSIRELATDLSKSLEWMKGNSPLSTESGLENSCEDGSSSSCFHLKAEVLGSGLSEVYALVLDSLMVTTGNSSLVGVSLNALMTVIRPSMSILITQPRDGVYEFLSVVFGRKFSNQVRCKDETLPAHWLFLFFFRLYMSCRSSYRQAVSLAPPATSEKMSKEMRDSSTAYSGNDWLEKTEKDKGYFSWIVKRPASLLLTIEAISGICSKESLSDLSPLTYVMNAMTLQRLVDLNRLINSFEFLLKRNEMIIAKANKEDGTYSKQNKRLRKCLTKLAEEATGLTSFMMGHLSLLSKCQPNYPSAEDEWNFAVAAIDKKSLPSAFWYIICQNIDIWSTHAAKKKLKMFLSLLLQNSLPHLGSNFKQFGELNANKAGGLKTVTPREISLELLSNTMLYEEKFVRRHMASRFCRFLDELVSPLFSNGVDIELQSQPNWPEVLSSLTIPSVVSNIINVVNDGSSREPSFSLSIRKSCSEQEVDLSSRMMYTICQSSLNFLCWMPRRCISSKSFSLYATCILNLERLLVGTLLGNNDAPSLNDQYELLQLLLCCRKTLKHLMVTFCEENMEVSQKSLGAIHFGGEFPALWLLKSLLSVQHAFSKDGDAHVKDMMFSLMDYTSYVFLTLIKGSLTHASHYLISAREAFPQKAVSGFDQEDSGHSRSSSCLDQSERIDACNFMIHVAEALKDQSQVMITSKKETIYCGTARGFADVYNLQKLSSIISCFQGFLWGLSSTLCHMDTQNSNLKAIFLRRNFEPVDKLKLCIDSYTTFINNFLCELILQDDKGLKILSDSQASPVRLCNEDLLVAKASSVVSPDSFDISDHREAKTSKVLEKRFSLENVDMGSMYLNNSFLRSLVDGENLEAAFFLRQLFIAYSALLRLNLQIKTSLSLNLVNTFIGISEILLLEFSNNTGTLSQFTFVFLDGIGKFLEEFANHLSLTNPTLPINVYARFIDLHLKAIGKCISLQGKVATLESHETESSMKTMNGPLGFSECAYSSGPSSLDELKSRLRMSFKVFVRKPSVSYISSAVQSIKKALIGLQKGSMVNYQIYTGSSGGGEVSSVVAAGIDCFDLVLEAITGRKNLSTVKMDILGSVSCLFNIITHLQGPGIFYKDPVLDIHYCADPDPGSVVLMCIEVLTKVSGKHALYQMDACYVAQALSIPATLFQNILHHRTSEASAQSAFLMFSDTETKNESMNAKLFDRQYSIELYAACCRMLYTFLRHHKSCVVWGVQEGAKCGAFLRRIYEEIRQQKDVLGQYCRLFLSSYIVVYSGCGPLKVGIKREVDEALRPGVYALVDACSPDDLQYIHTVLGEGPCRNTLANLQHDYKLNFQYEGKV >KVI04108 pep supercontig:CcrdV1:scaffold_572:160408:160704:-1 gene:Ccrd_017581 transcript:KVI04108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MRLRSSLISNVKSFSRLDANRNRNYHQRDVPKGHLAVYVGENKKRRFVVPISYLEQPLFQELLRQSEEEFGFDHPMGGLTISCQENAFFELTTLLQAS >KVI04115 pep supercontig:CcrdV1:scaffold_572:43205:51476:1 gene:Ccrd_017589 transcript:KVI04115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MAMVSTSLTPASQLSGHKSAAGSPPLFSGLRRSSKLDSASLSTTQSFFQSVNSHIRICSPSTRPCRGIVAMAGTGKFFVGGNWKCNGTKDSIKQLVSDLNSATLEPDVDVVVGPPFVYIDQVKSSLTDRIEIAAQNSWIGKGGAFTGEISAEQLTDIGCKWVILGHSERRHVIGEDDQFIGKKAAYALGQNLGVIACIGELLEEREAGKTFEVCFKQLKAYADAVPSWDNIVIAYEPVWAIGTGKVASPEQAQEVHVAVRDWLAKNVSAEVASKTRIIYGGSVNAGNSAELAKKEDIDGFLVGGASLKGSDFATIINSVTAKKVAA >KVI04119 pep supercontig:CcrdV1:scaffold_572:30183:35906:1 gene:Ccrd_017590 transcript:KVI04119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDNLSPPNQFNSESRPGSEPKDRKLQKADREKQRRDRLNEQFTELGKTLDPDRPKFDKATILGDTIQMLNDLTDQVSRLKSEYAMLTEESRELTQEKHDLREEKASLKSDIENLNLQYQQRLRAIYPWGHMDQSVVMHPPSYPYPVPMPMPPGSIPMHPTIQPYPFFGNQNPGVVSNPCSTFFQSIQYVSPVAQPSTRSHVSSRQGSRNKSSEQGESGSGKDVDSNDVATELELKTPGSTGDQGSLAQSKSKKLHRKENSVSDESSPSGCSSSRTIQASSSNSVVGGKNCDR >KVI04112 pep supercontig:CcrdV1:scaffold_572:118393:122243:1 gene:Ccrd_017584 transcript:KVI04112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 100 protein MSTVLVDLMQNDSASNGDCVNNGDCDSDYDFSKLGDKPKHLNMERQRSFDERSLVEASPGHTPWAENGQRHMDLDNLFSPSRRSSFNTPRSICGFEPHPMFAEAWESLRKTMVYFRGQPVGTIAALDNSDEKLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNTETLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAEMPDCQKGMRLILALCLSEEIQALFFMALRCALVLIKQDAQGKELVERIVKRLHALSFHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWVFDFMPTYGGYFIGNVGPSNMDFRWFCLGNCIAILSSLATLEQSMAIMDLIESRWEELVGDMPVKVCYPAIEGHDWKIITGCDPKNTRWMLLWLLTAACIKVGRPQIARRAIEVAELRLAKDGWPEYYDGKSGRYVGKQARKHQTWSIAGYLVAKMMLEDPSHLGMIALEEDKQMKSIVKRSSSWTF >KVI04118 pep supercontig:CcrdV1:scaffold_572:1529:2047:1 gene:Ccrd_017593 transcript:KVI04118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MGNPTVFFDMTVGGAKVGKIVMELYADTTPRTAENFRALCTGEKGVGSSGKPLHFKGSSFHRVIPNFMCQGGDFTRGNGTGGESIYGSKFKDENFIKKHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHVVFGKVIEGMDVVKTIEKVGSGSGTTSKPVVICDCGQLS >KVI04102 pep supercontig:CcrdV1:scaffold_572:193920:204993:1 gene:Ccrd_017574 transcript:KVI04102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta MTTFGKPSAMKTIGKPSVTRTIGFKQSVMRTIGKPSVMRIIGKPSRDNEPSLVDLTNVHRKMTFRHIPLIISAAKRVQSTKAFYIRNQRRQSNKTHVLESEENDMHLHGNEWLDLPWDGQIQTPLRTGAANLEIEDVPEKIMEPQTESSEFLQHRQPNSLWYGSVPGLKVLVPYSSEDARGLLKAAITDPDPVHTTTQHHWKFIEHHTKRSVVWLVESHILMPKLEMEANGMQLELTNAHGLQQKGLSRMEIESIARISDRLRLRPE >KVI04117 pep supercontig:CcrdV1:scaffold_572:12246:12563:-1 gene:Ccrd_017592 transcript:KVI04117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MCQGGDFTRGNGTGGESIYGNKFADENFIKKHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHVVFGQVIQGMDVVKAIEKVGSGSGTTSKPVVIANCGQLS >KVI04116 pep supercontig:CcrdV1:scaffold_572:62403:62801:-1 gene:Ccrd_017588 transcript:KVI04116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTRNTNSSILEAFSLNPLPYPVLLILALIFFFLGFQWYSSYEEAIEATEESFNWLLLVTPLILLFAVKWLSSMENPEKLFGFGLSPWERHRRQAYQLPAAEGSSPWGVAALIVMVLVLVQFHSTVLESWF >KVI04104 pep supercontig:CcrdV1:scaffold_572:180041:180343:-1 gene:Ccrd_017576 transcript:KVI04104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGLMRLPSSLIANVKSFSRLNAYRNRNYQRDVPKGHLAVYVGKNQKRRVVVPVSYLEQPLFQNLLRQSEEEFGFDHPMGGLTISCQEDEFFKLEAMFHAL >KVI04109 pep supercontig:CcrdV1:scaffold_572:127101:132900:-1 gene:Ccrd_017583 transcript:KVI04109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEEGPKSVIKSGEVEVMDGSDIMELVENDKVFSNFVDHKFRDLDRDCDGHLSVKELQPAVADIGAALGLPAQGTSADSDHIYAEVLSEFTHGKDGKVSKTEFKKVLSDFLIGMAAGLKRDPIVILRIDGEDLLEFIDGPSFEPEMMSIFSEIDLPNGSLTDYINKAFEKLTVDKGMPPSCGVGQDQPVSQDTFLVEFRKVAETVAQRLKEQPVIVAHSENTFDGTGIRRLLSNKFELEKMDQVIGESCKMFDMDDGKLVKEEEFKKLLVELLGSIMLQLEGNPISVSTNSVVHEPLTSASTLLQPSSM >KVI04105 pep supercontig:CcrdV1:scaffold_572:176902:177201:-1 gene:Ccrd_017577 transcript:KVI04105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGLIQLPSLLSNLKCFSRSSSFPDRSCQRDVPKGHLAVYVGEIQKKRFVVPITYLDQPLFQVLLRHSEEEYGFDHPMGGLTISCKEDEFVKIITLLKSS >KVG97115 pep supercontig:CcrdV1:scaffold_5723:5779:25077:1 gene:Ccrd_026057 transcript:KVG97115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MLARLLDDKPRSDSTDPSHYSSDESSQSFVHRRGVDDLGDVKEDAQEFHEGSNPDDLIDWLNDIENLFDVKGYSDEKSYKVVVLKLKKFASLWWENMKAKRERSGKSKIRPWRKLKKLLKKRFLPDSYKQDLYIKITNFKLGNLSIDEYTREFEQLVLRSGIQERPEQTMARYVGRLNHDIAEKLEFQPYWSFEEVRKLASKIEKRAKNKKAEKATPKPFSKYSSQNQKKCTEGTENENEGDIGPNENGAVQQNNEGDNLNVNKPLQHEEPSLVSPVEHVDQNNDEAQAPPSEPSDVAFGRPSMQIRTSNADGFRISVSSTPSCSSHNSGPDDIESLGDVYLWGEVYCDGTCGDGSANGFPSKTDFLIPKPLESNVVIDVQQIACGVRHFALVTRQGEIFTCGEESGGRLGHGIDRDFGRPRLVEFLAVTNVDSVACGEYHTCAVSTAGDLYTWGDGSHNAGLLGHGTEVSHWIPKRVSGPLEGLQVLSVACGNWHSALSTVDGRLFTFGDGTFGALGHGDRESVRFPKEVTSLTGLKTIKVACGIWHTAAIIEITNPQSGHSMAKKLFTWGDGDKYRLGHGNKETFTEPTCVAALIDYNFHQLACGHTMTVALTTSGHVFTMGSPAHGQLGNPHADGKSPCMVQDKLVGEFVEEIACGAYHVAVLTSRSEVYTWGMGANGRLGHGDMDDRITPTLVEAFKDRLVKGLSCGASYTASICVHKWVSGADQSVCSGCRQAFGFTRKRHNCYNCGLVHCHGCSSRKALKAALAPTPGKPHRVCDSCYVKLKKAAESGNNNNNNVSAFNRRLSSQLPMDGSRLDRHGRTSRILISPIMEPVKYHEVKSGRYGSKSDSYSIVRASQVPAFQNLKDVAFPSSLSALHNSVRPGAANQQFPPHPQPQRLASPCARRPSSPRSGTPIFSRGMIDGLNKSNDLLNQEVSRLQNQQKSDKQDAAIRKLRNNAKQAESLAAEKTAKCNVAVDVFKCIISQLNELTEKLPPEISNDETFKALIDEVNCYVNTYGNDRPPSCSPLQSDQPDENSSSSEVTRKKYHKITENEDANEGNKSTQEVDGVGDTSQIVDGSQDASQSSGVAPPETNESSATTTEGQSQKSIEGSSGINKHRRKEGVKEVIEQFEPGVYVTLLQLSDGTKIFKRVRFSRRRFAEQQAEEWWKENKERLLKRYSQAKPRSAKSAPPAAPPPST >KVG97026 pep supercontig:CcrdV1:scaffold_5728:23617:27169:-1 gene:Ccrd_026059 transcript:KVG97026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MNKMVINHLDKLFVTSDTATIHPAVKTFGFGRESPAGGNWYGANLTVSFVGELLQGAEDLIRMGLHLSEIIIENTKATNKVLLLGFLEVVAQELESACRI >KVG97027 pep supercontig:CcrdV1:scaffold_5728:5797:16724:1 gene:Ccrd_026058 transcript:KVG97027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MVRDVLALQPETETTPGDGGVGMLVKKKGTASARSWILIDTNVNETIMDIDKYAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIVTAEEVLLRDPSDDNVIPVVEELRRRLPVANANRGDLSGQHDEAGEEDESPFEFRALEVFLEAICSFHAACTTELETDAYPALDEFTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELERLLDDDDDMADLYLSRKLSSASPVSDSGAASWFLGSPTIGSKISRASRASVMTIHGDENDVEELEMLLEAYFMQIDGTLNKLTSLREYIDDTEDYINIQVVILSGGFSAVLFLLIVSYARLKGLVRKY >KVH96687 pep supercontig:CcrdV1:scaffold_573:151930:155723:1 gene:Ccrd_001218 transcript:KVH96687 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase, conserved site-containing protein MMMIQSGINNATANPLSSFAASQIFGGCHERNILLKKTNASTAAGGVTHRKISLSNGGNGGSRRRSPDVVCPKAVSDSSYSQTCLDPDASNSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPDWFQGTADAVRQYLWLLEEQNVLEFLVLAGDHLYRMDYEKFIQAHRESDADITVAALPMDEKRATAFGLMKIDEEGRIIEFSEKPKGEKLQAMKVDTTILGLDDKRAKEMPFIASMGIYVFSKNVMLDLLREKFPKANDFGSEVIPGATSIGLRNCKIHHSVIGLRSCISDGVIIEDTLLMGADYYETDTDRRLLEAKGGVSIGIGKNTHIRRAIIDKNARIGDDVKILNKDNVEETARETDGYFIKSGIVTVIKDALIPSGTVI >KVH96693 pep supercontig:CcrdV1:scaffold_573:64991:70359:1 gene:Ccrd_001214 transcript:KVH96693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGGGAAGGGGGSNKLKTAARKLLHTCGSFSFGQIHLDPPPVLPSSTVVVTSGSTNNSPKPSKVKPEINMTEMAPNADLTTSSSKTMCAICLEALNYSTCTSPGQAIFTAQCSHAFHFDCIASNVRHGGVTCPICRAQWTHLPRNLKIPPCSFLYGNQTDDPILQILDDSIATFRVHRRSILRSARYDDDDPIEPTHSIDHPRLHLSILSEPLSHPTFNPCLHQTGSAICHLCRSSSQHRLNTSSSLSPEPAYRASLCVRLAHQPAIDLVLVACPNGPHLRLIKQSMALVVFSLRPIDRLAVVTYSSAAARVFPLRRMTSYGKRAALQVIDRLFYMGQADPVEGLKKGVKVLGDRAYENPQSCILHLSDNPTRSYHGFDTEVAIQIHRFHVGCSFGTSNGFVMHEFEEFLGRVLGDKIKDIKLRIREDGRIVRLGELRGGEERRIPLNVSESGNVWVEYSYIEDGMSEYIRTGEVMVGSGEKRETSDDGGGGSEDGRNSNAGSWDYHDPYMARRWAKHLHGYRL >KVH96696 pep supercontig:CcrdV1:scaffold_573:199842:200215:-1 gene:Ccrd_001222 transcript:KVH96696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYCDVCNLQQESLWFSSVSDNPISHLPIISTLESLISLSIQPQVVKLVTTELQVVLVVVVEAEAKSPVVCPLQELAVAMSLKVTRATHLPTPYRLPFLLFTY >KVH96697 pep supercontig:CcrdV1:scaffold_573:192668:199229:1 gene:Ccrd_001221 transcript:KVH96697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MDVSGSDPQKVSQEAVVMTQEENDCPIEQVRLTVPTTDDPTLPCLTFRTWFLGIISCGVLAFLNQFFGYRQNALTVSSVLAQIVVLPVARMMEASLPREPIRILGTRWSFSLNPGPFNMKEHVLITIFANAGSSSVYAVGIITIVKAFYHTEIHPLAAMLLTQSTQFFMIVLISSFSYYIVPNYLFQSITALSFVCWIWKDSFKAQQIGSGLKGFGIGSFGLDWATVASFLGSPLATPGFAMMNMLVGYIIIFYMVIPLSYWNNWYQARRFPMYSFKTYNADGTIYNVSKVLNQSSFSFNQAGYDDYGKVNLSILFVLAYGLSFATLAATISHVLLFHGRTIWEQTKASMSNKFGDVHTRLMKKNYDPVPQWWFHSLLIVVIGLALLTCEGFGRQLQLPYWGIILAICLASVFTLPVGVIVATTNQGVGLNVITELIIGYMYPGRPLANVVFKTYGDNSMRQAIQFLGDFKLGHYMKIPPKSMFIVQLAGTFIASSVYFATSWWLLTTVEFICDPSKLPTGSPWTCPGDDVFYNASIIWGLVGPQRMFGNLGLYSKMNYFFLFGILAPVPFWFLSRKFPEWKWVRLVNIPILINCPGPPIKPVNYNMWFAVGMFFNFVVYKRFKSWWARHNYILSAGLDAGVAFMAILCYLVLQNRNINGPEWWGLEVDDHCPLANCPSVPGILVEGCPIVQ >KVH96692 pep supercontig:CcrdV1:scaffold_573:125002:131775:-1 gene:Ccrd_001217 transcript:KVH96692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGWAQFGACKLMMKTHPFPRVQWWSVVLVWYKDETEDTTSMNSAPTVGFQVWFLKSTTGFRVWLSMADLVLVLFSISTLAERSRTLIWAKNSRFTSVTQIKTRGSDSRFNWRRSSRFKRRSKSAIFSTIKAYTFRRGIWEECHAGLAVGLASIGPGVDQGTAADQAVEKRDKLNEPMFGENRHDKAS >KVH96694 pep supercontig:CcrdV1:scaffold_573:81925:88303:1 gene:Ccrd_001215 transcript:KVH96694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MYHHHSSRLIQPGEACIDVASLHRAIGVGLGGDPCLLLTSDPKPRLRWTADLHDRFVDAVSQLGGPSKATPKAIMRAMGVKGLTLFHLKSHLQKYRLGKQSGKGLCEPNNDGLYHMESPQVMCSSQSLETTHVNEGYEVKEALRAQMEVESRLHLQVEAEKHLQKHQDAEKRYLIMLEKACKMLADQIIGSEEMNGSQTEYAEAEKRSGV >KVH96698 pep supercontig:CcrdV1:scaffold_573:178601:182649:1 gene:Ccrd_001220 transcript:KVH96698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGIGMITITAMAVLLVLGGSTQVVESAIGVNWGTISNHRLSPSTVVNLLRDNKIQKVKLFDADPDCLRALMGTGIEVMVGVSNDLLATLSSSTAASDLWVSQNVSRYMVKGGANIKYVAVGNEPFLSSYGGQFQSYVMPAMTNLQQSLAKANLAGNVKLVVPCNADAYESSLPSQGAFRPELSQIMTDLVTFLNSNGSPFVVNIYPFLSLYGNSDFPQDYAFFEGTTHSVTDGPNVYYNAFDGNYDTLVSALNKLGFGQMAIVVGEIGWPTDGAISANVNAARVFNQGLINHVASNKGTPLRPGQPPMDVYLFGLLDEEAKSVLPGSFERHWGIFSFDGQAKYALNLGSGNGLLRNAKDVHYLPSRWCVADPSKDLSGVADHFKLACTVADCTTLDYGGSCNGIGQRGNISYAFNSYYQVQKQNSQSCEFDGLGIVTFLNPSMGQCKFLVGVAEQVVTKHLSLGLCLQSRFVVIWLSIFWALVI >KVH96689 pep supercontig:CcrdV1:scaffold_573:5028:8006:1 gene:Ccrd_001212 transcript:KVH96689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MAAPVAPADQGAPPLPHRRPRVREVSSRFMSPAAASSSAGDLHSLSMKSPISRSKYAISTPAPERSSKPSQRRLLLRSQEQEPFSCCSHENIPEMVRSLDTPFQHAKPETLRKQRPVKFCKENGYGDQIAKSTSIRTAKSCRPDTPTAATCGASDRVVPSRFRLPQRQTSSSVTDAAKLLQSTGLSFSSSNLGLEPSSGSSNDTGISVSQTPSANELEPIQGGSCPNSPLSSQHTRNRALHDTRSSMTEEDTLSTRLLVRSSSGSNTIASGNCQTSSKFSTPSLCSRSLNLPISASQNSFKSSDRTLCKPPTTSMENRTLPPQPTSMKLGVDSRKGKRPHSSQEDAHSLKLFHNHYLLWRYANAKAQVSMDAQRAEMENQFFSLGADISRLRDSVKRKQVELAALQQMKALSTILEAQMPYLDEWSALEEDYTCSLSAATNALTNSLLRLPVSGNVQVNIKEAAEALDSSVKTMDTIIHDVQRFLPKAEEMDVLMSELATIADVEKALVEECGSILAKTHISQLEDCSLRGHLMQLRCSNLF >KVH96688 pep supercontig:CcrdV1:scaffold_573:153904:159657:-1 gene:Ccrd_001219 transcript:KVH96688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MKTRLRKPVLVLLFLTVIAPIVLYTDRLASFTSFSTDEFIQEPSTLSLNRDAIPLSLLPQELSAAKKESSGDVYLENLTRSVQQPDGERSRKTRQLTEGLFYPNPNPHPISYDQLDNFNAEVLSESKELGTIVSAQDDNPIRQLTQGLHGVDGSENGFESVENKENVKLKVPEYTLLATQAYTETKQEGQFIELPQKVGERGPAKTKSEKPNERVLVDSRVRYLKDQLIRGRLYLSLSATRTNVHFIRELRLRMKEVQRVLGDATKDADLPKSSQLYYSLTLSCPKSSASDKLKAMEQTLAKGKQIQDDCTAVVKKLRAIIHSTEEQQRVHKKQALFLTHLTAKTVPKGLHCLPLRLSTEYYSLNSSAQQFSNQEKLEDPKLFHYALFSDNVLATAVVVNSTVSSAKYFNVLIFNIKACSLGQDSSKHVFHIVTDRLNYAAMRMWFLANPPSKATIQVQNVEEFTWLNASYSPVLKQLASQNMIDYYFKTRRAEPDSNLKFRNPKYLSIMNHLRFYLPEIFPKLSKVLFLDDDIVVQKDLTGLWSLDLKGKVNGAVETCGESFHRFDRYLNFSNPIIAKNFDPRACGWAYGMNIFDLEEWKKQNITEVYHSWQNLNQGRQLWKLGTLPPGLITFWKRVYPLEKSWHVLGLGYNPSVSQREIERAAVIHYNGNLKPWLEIGIPKFRGYWNRDTSYCYKKKQGQSITVTT >KVH96695 pep supercontig:CcrdV1:scaffold_573:215300:217970:1 gene:Ccrd_001223 transcript:KVH96695 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPH3 domain-containing protein MTGRAYNTRKQWHDYGAGQDSDFGKRCYLDQPREEKEQDIKDEVHETWDKARHLLYRRSHEFPLLPKCGLLQLLCSGRGHAGSVTLDLHDIPGGEDAFELCAKFCYGIKIDLSAHNFVPAICAAMFLRMIETVGDGNFVSKLEVFFNSCILEGWKDSIVALQTTERFPEWSESLGIIRRCIDSVVDKILTPPPKVRWSYTYTRPGYAQKKHHKSAPKDWWTEDIADLNVDLFRCVVNTVRSTNMLLPQLVGEALHVYACRWLPDFTRGRPDPETSTASQLTQEKLVNGKKQLEMIVILIPEDRGSVSVGFLLRLLSMANLLRVSPAINMQLIKKCSLQLEEATLNDLMLPSHDSCADHHIYDIELVGSVLEGFITQWRKSYSRDEYLLRKVGEIIDSYLQVVSMDANMPVQKVVSLANVLPEFARPEHDILYKTIDIYLNEHPQMSKEEKKHLCSILDCHKLSAEACAHAVKNEKLPLRIVVQVLFFEHEKHGRKSTRSHEKQQPEIQPQGIEQTETTVCSLERSQLFSGERSSKQEDAKRRSAPSSTLQKLEPESEQKSRWKKVGEMGSDDGDERGIMEERSIQDLTKIRMRR >KVH96690 pep supercontig:CcrdV1:scaffold_573:14682:20671:1 gene:Ccrd_001213 transcript:KVH96690 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBF1-interacting co-repressor CIR, N-terminal domain-containing protein MALKFLNKKGWHTGSLRNIENVWKAEQKHDAEQKKLEELRKQIHEERERSEFRLLQEQAGLVPKQERLDFLYDSGLAVGKGNSDGFKALESFPAKAEPEQPSSANKASTPGALFEDKPQSANDAWRKLHSDPLLLIKQREQEALARVKNNPVQMAMIHKSVEAKKHKEKTSDGEKHKEKHHRKKLKHEKHTSSKHHINSDDDVGQGEGQRSTSSSHYSKHKRNIQTERVRDGDRYQHERYHGKDHFGPQSTKRDREDHFSSQSAKREKEDHFGSQSTKRDREDHSGSQSTKRDREGHYSSQSAKRDKEDHFGSQSAKRDEADHSGSQSAKRDREDHIGSQSGKRDGEDRKHLPPRHHKVGEHNRRNSSMKLSEEERAAKLKEMQMDAEVHEEQRWKRLKQAADDDAKEATRASSSGRNFLDAAHKSVFGAEKGGSSTIEESVRRRTHYSQRRSEENAFRR >KVH96691 pep supercontig:CcrdV1:scaffold_573:92134:136295:1 gene:Ccrd_001216 transcript:KVH96691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDDLNVVGKEVCSGSSYSSDDSVGFSQDCFLEDNFYESSSDNDEEGVPQPEKIDILPPQGIRNKGCGTSKRLIGAVKAAYSSNLVCNNILCIDQPFSGVSIMDVVNGANGINNTPSLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSEGKAFDQKESGDMLSSLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQKLSGVLSEVPDNFPESKNATDAPPIMMDQSAKEVNNDETLSSSSRREPLTPDSSCHLVENPKGERSVKKQRMDATYSKQALGLTHQILESSIRPPFQQQQQFGHSSGMSVDNED >KVG96971 pep supercontig:CcrdV1:scaffold_5732:2106:12446:1 gene:Ccrd_026060 transcript:KVG96971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLIQPSFLISKTRSYVKIFIGSRLFLTAMAIHLSLRVAPLDLQQGGNSRIPYVHVLAAWMNSFFPFFRYAAPRARRKLSDVPFVYNNLNRTSEEVQSTGKNFFRLVVVYLTTGHPERQHYTREIRAPPREAPYVIFSLSLPLSGWWMKVPFQGRTTYYDTFYFVERKRGSKCPINKFPFSLSKPFIFFHPNPILNIFLDSLRAFKSSRFIKRK >KVG96848 pep supercontig:CcrdV1:scaffold_5734:13908:19264:-1 gene:Ccrd_026062 transcript:KVG96848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MEVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACITLASLKNTEKTYYKTXSLISNSCKSIALLTGQTAEVSMLAYEYGKNLGLAFQLIDDVLDFTGTSSSLGKGALSDIRHGIVTAPILYAMEEFLELRSVVDQGLDDPANVDLALEYLGKSHGIQRTRELAAKHASLASAAIDXLPENDDEDVQRSRXALVELTXRVINRTK >KVG96849 pep supercontig:CcrdV1:scaffold_5734:9263:13472:1 gene:Ccrd_026061 transcript:KVG96849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAASSSQQIQDLDYLYASNANFSNFVSVKLSGKHNYHRWETQMLCLMETHYMRGLVDDTFVHGPRASSEKIRKQYDNLLKGWIFGSVSEGVLDTVVDLSSAKDVWNKLKSLYELRSAEDVQPTMSSQQAEKEILEKKNADGSTALHVAVSVGNKYAMKLLVDQHKDLLTIRDKKDQDPLIKAFNNMQFQTFAYLFKVAVDNHKAKQLVISQGSKKGASLVVNAITAKQYSTAYELINTFPDFCTEIIHRSDKIYNRLYLIGEDKNRYRTIKDSSENNMLHLAGRLAPSQELKNFMHPSAITEENIFGETPHQVFTREHENLVKEGEKWMKTTAESCSISAALITTIVFAAAITVPGGSDQQTGIPMFRKDIAFTIFAISDAISLFTSTSXLMVFLSILTARFAENDFLVXLPKRLIIGLCTLLLSITSMMVAFGATLYLLFCRENPWMLGPICGFSCLPILFFVTLQFPLIADLYRSTYVPIFHKTLV >KVH89397 pep supercontig:CcrdV1:scaffold_5737:28511:30705:-1 gene:Ccrd_008614 transcript:KVH89397 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MENFNGFPSNNSKPFPNLLNASGFLSTQTQDCQTSYPYTQHASALLQPHLSSSYIGETSRMKTFEPTVCLNSFPFVVPSRCTVRDTAHSIRSLHIPGSGENKKLQAKVARAHRKKAREMNANRRINTSTSASSSGPLSFNRSAMTRSTRPSSRTRIIIRSSCCVLNNDIQSQREAEENLPALEFKEGIQIVMKEVYSNREWKMQYRFWANHKGRMYLLDNCDDFVKKNMLEAGDHLKLYQDELGNLYFTIKKKEKQQENVGNNYMSETTEEEDSSLRTLLEALKHNDDSEANSLNNLYTKWTDHLRIQT >KVH89410 pep supercontig:CcrdV1:scaffold_574:153615:165425:1 gene:Ccrd_008604 transcript:KVH89410 gene_biotype:protein_coding transcript_biotype:protein_coding description:FY-rich, C-terminal METVVNEEVDIDIVNQCETVKVKRLLRRRSSTRYQLSDMSSRAQISHEKRKKITARWDPSEACRPNIDEAPIFHPTVEEFEDTLGYISKIRPVAEAYGICRIVPPTSWKPPCPLMQKQFWQEARFSTRIQQVDLLQNREPMKKKKGRKRRRRTYTKIGARRRCPRSEGSESNASSDSDDKFGFRSGSDFTFEEFQSFAKKFKEHYFGMNDVNPKTIVNGHEETQIWEPSIEEIEGEYWRIIEQPTDEVEVYYGADLETGVFESGFPKASSIENNESDEYVNSGWNLNNFPRLPGSVLSFEGSDISGVLVPWLYVGMCFSSFCWHSGEFIVTFPRAYHAGFNCGFNCAEAVNVAPVDWLEHGQGAVELYSEQRRKTSVSHDKLLLLSAREAVRALCELPVSNEEAYENLIWKSLKRIEQLQSGFQFQKTEEDFDATNERECFLCFYDLHMSAASCKCSSDRFACLKHANLICSCDPDQRTIYLRYTFDELTILVDSLEGNSDALRKWASQNLGLDQEKVFVGDGFKKDKCVPLSPIKIETCSFSHHHDQMGVIQSDPHQKTSDVYPKEEPICMADRSFGGQRCLIDLNLDSISVDDGRENKVALNVSGSTDVQNYSASDDKKLLGFDNLASHSDMKLWGSNLDVELLNIGSVAFGKLWCNNQAIFPKGFRSRVKYFNFLNPPVMSSYISEIHDAGLIGPLFKVFLEECPSESFMEVSADKCWELVLQRLNQEMVKGCKLANHAMKPLGTISGLEMFGLLSPDIVQVIEALDPEHRCLAYWSTKFNQNSRE >KVH89411 pep supercontig:CcrdV1:scaffold_574:167660:175352:1 gene:Ccrd_008605 transcript:KVH89411 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MGRCYSEVHICQCLSRLATFRRNSPVTIYGNRVNTGRQFVDGVLDLSYGLVQFGLKSGDVVAISAFNSDLYLEWLLAITFVGAIAANLNYRWSLEEALFAMEDVKPIMLVTDQEYTTNWHLDFQANSVPSLRWHVVIDTHNRYRSTGNILTTNKLKMPSPCPESFNYSSTCNGTAIVCFTSGTTGRPKGVMLSHSALVVQSLAKIAAIGYREDDVYLNTAPLGHVGGLSSALAMLMVGGCHILVPKFEAKSSLQAIEKYHVTSFITVPTIMSDMISLIRTKDTWKELPMVKKILNGGGSMTEACSSITFMTLTDPKKQTTTDQALDQTTDLKLSLFHQQEGVCVGKPAPHIELKISTKDSAHVGQILTRGPHLMIGYWDQIPVKEKSGYEGWHETGDIGRIDDHGNLWLIGRMKGRIKSGGENIYPEEVETVILKHPGISAIVVIGLPDIRLTEMVVACIQLNHNWTWAGSSSDHTTAQKEQCISSETLKQFCRTNNLTGFKVPKTFIIWNKKFPLTTSGKLRRDQLKGEVMFHMKLFPSSL >KVH89412 pep supercontig:CcrdV1:scaffold_574:97375:100971:-1 gene:Ccrd_008599 transcript:KVH89412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSSIVFYLASKATFLAYSTSEGAIDLLIKEEFQNVGGYLIDXQSNCSCIHLNYAARNFHLQALKQLKSSNVKIDSYCSCLGNRNGNVDKLRMPMKKACHWKILPVTCRSDLYSFGHVESLPNFECIGLFAIHLLIWWFLLLL >KVH89408 pep supercontig:CcrdV1:scaffold_574:181732:187115:-1 gene:Ccrd_008606 transcript:KVH89408 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MEDKEEVKTFKELGVVDQLIEACDSLGWKNPSKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQALLEAPQAFFACVLSPTRELAIQIAEQFEALGSSIGVKSAVLVGGVDQVQKLQRACLRNPVKIEAASKYSTVDTLKQQFRFVPAKHKDCYLVYILIEKSGSTSMVFTRTCEATRLLALMLRNLGLRAIPISGQMTQAKRLGALNKFKAGECNILICTDVASRGLDIPSVDMDYIHRVGRTARAGRSGVAISLVNQYELEWYIQIEKLIGMTEEAEVLLLLERVTEAKRLSLMKIKETGGGKRRRGDDDEGDVEKSHTQNKKSSKRR >KVH89415 pep supercontig:CcrdV1:scaffold_574:30375:30676:-1 gene:Ccrd_008594 transcript:KVH89415 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding pseudobarrel domain-containing protein MGLKQNRQPAEFXCKTLTXSDTXTHGGFXVPRRAAEKIFPPLDFSMQPLAQELVARDLHDHSWTFXHIYR >KVH89409 pep supercontig:CcrdV1:scaffold_574:206422:210089:1 gene:Ccrd_008607 transcript:KVH89409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MPKYRQEELKISLLRPTLFSSPSPASHFRTHLSISLSSSLLITRDLMEYERIHKLQVGIISPSKLRMKLMGQRKKEGGSKSNSARTSPSKLEDTEFVKNSLLASNSGVSDDEVSGMGVSSVTLDSLQINQNLGQPKDVTKENRVRTHQFQKMDCGNSSSVHPLRSLEDENLDYDSNASSSSFEFHKGERSTHNPISRSLLRPMSSKWNDAEKWIINRQSMQNHNPKNTMQNRANRAGMLNAIRGSSESISSVKRVDFCQPALQTGTEKFSFTTSGPQHHPVSAESIHPCPESNDLNEVDTRDSLVEHNSTDDKTVRSVSMRDMGTEMTPIPSQEPSMTSTPVGATTPLRSPNSSIPSTPRGAPTSTPFEYTANNDTRSPKELTEQEMKVRTRKEILQLGVQLGKMNIAAWASKEDTEKNGMAVETATEEALRIEFEKRAAAWEDAEKTKHNARFICEEIKIQSIVKYMFRFIREEIKIQAWESQQKAKLEAEMRRIEAKVEQKRADAEARMLKKFALTRQRSEAKRAAADAQKSKQAVRAAAQAEYIRQTGRIPPSSPNSCCGWS >KVH89404 pep supercontig:CcrdV1:scaffold_574:125856:132432:1 gene:Ccrd_008602 transcript:KVH89404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNTNEMLGAQRSKKFQGQGPNWILIAGGALLSTLSVRLGYRLKQILDLKQPENTNGSLKENGKLRVRKRSGNCRSHSNLCCFEQDDGCFNCMSGMNFVGPFKLQCLILFLEVSTTFHLTVGTEGEAETKPQRNGQLQTGPEMVLPLVTVSAPVYNKENGVMWASSPDRLELPQKPFHHSNSSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIAELQNSLSSQLSHSAHLQSQLEASNRELFDSEREIQRLRKAIADLCVAQPGPEKPHGFANGYLEVDSSMESVDRMDILKREVGELKELIEGKDYLLQNYKEQKSELQLKVKELQHRLDSQVPNIL >KVH89406 pep supercontig:CcrdV1:scaffold_574:109889:113519:1 gene:Ccrd_008600 transcript:KVH89406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFMPFTNRNLDIFMCVLRPTVAIVDDLLHTLKHFSFFTERLGCIHSSIFNSLHGNMLSALTNLQNMAVLLNHNFMVTYGGVAKDGSLAAKFSTGDTICFSSNHLLPDTKLNEQDFGYACFSIFQSYFPTMDGVVAGVCLKCASQPMVANFYVWKNLQSCYSFLLNNDHREMLENCFQDAAVFVKYDVYKVVYVSADNISTFQYYPPHKLLENHVSNVVEDLE >KVH89413 pep supercontig:CcrdV1:scaffold_574:73234:74136:-1 gene:Ccrd_008596 transcript:KVH89413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MAAAAAAAAFHSYSPQEQQQSTDTPQLSKKPKTLLHKHPLYTPTHTKLSLQFKEKILCLEVMGVDSGKALAQNPSLHSATLNSIHDIITFLQSKGIQQKDLPRIIGMCPKILTATITTDLLPVFNFLSHNLKIPDHNYRKVINKCPRLLVSSAKDQLEPALSYLQRLGFRDLQALAYQDCVLLVSNVENTLIPKLDYLIGLGFSRGEAVEMVLRCPGLFTFSLENNYRPKFEYFEREMRRDLGELKDFPQYFAFSLEKRIKPRHLEAVECGVHIPLPLLLKTTDDEFAELLKKGNRSKKL >KVH89405 pep supercontig:CcrdV1:scaffold_574:137320:143761:1 gene:Ccrd_008603 transcript:KVH89405 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MKGGTLQINWHDTKPVLTLDFHPISGILATAGADYDIKLWLIASEAGEKKVPTATYHNSLSYHGSAVNTIRFSPSGELLASGADGGELIIWKLHNSDSGQVWKVLKTLSFHRKDVLDLEWSTDGAFLISGSVDNSCIIWDVNKGSVHQILDGHFHYVQGVAWDPLNKYAASLSSDRTCRIYVKKPQNKTKGSEKLNYVCQHVLAKVEPQVVEESKSAKHHLFHDETLPSFFRRLAWSPDGSFLLVPAGSYKLSPTSEPVNTAYARFNAPRCQQSGCSSAFLPFGLQPSWVDLMSPTAKFLALSSQDGYCTLVEFENDELGLPVSLSDKLVVADQNTIVVHDVEKPEEMIKEKEIVNADTREKKEVNPTGKQTSPSTTLPSKPAKRRITPMAIG >KVH89417 pep supercontig:CcrdV1:scaffold_574:91884:94184:-1 gene:Ccrd_008598 transcript:KVH89417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLFQFVRHSCQFQESITCRRTLLHAFHSQNPNQNAYLSHEKSGADKFRVLNRFGSGRDFDSSVELGDEVDFKLSRDYIGNGQEIGCFRRVENNGDETQHPLVKEVSRLIDQRSTWTPNFEEELRHLIRSLKTQQVCAVLRDQKDARVALQLFYWADRQWRYQHHPIVYNAMLEILGRTSLYQGAKRVIRLMARRRIRLRAEVFGYLMMAYSRAGHWRKSLQVFSMMEKAKIEPNLVIYNIAVYVLVKASKLEMALKILERMELVDIAPNVVTYNCLIKGYCDADRIEDAMDLIRDMVAKGCAPDKVCYHTVMGFYCKTNRIKDIRILMKKMLNESKLIPDQVTYNMLIHMLSKYGYGVEAICFLREAEEKGFSFDKVEYSAIIHSFCQVGNIEKAKELVNEMYTKGCKPDIVTYTDVINGLCKMGKVDEGKQLLQEMLKLGCKPNTVSYTVLLNGLCHDGKSSEAKEMLNLSEEEWWVPNSITYSVLMHGFRREGKLSEAHDVVKDMIGKGFLPPPAEINPLIQSFCQEGRPEDAKKLMEECLNKGCTVNVVNFTSVIHGFCQKEDFDSAFSVLDDMYLNNIQPDAVTYTTIIDTLGKKGSIEEAVEMTKKMLHKSLIPTPVTYRVVIHRFCQHGRVQELLSLLEKMLSRQPWKTAYNQVIEKLCSLGNLDDAYKLLGKVLRTASRTDANSCHILIESYLKKGDPLSSYKVACRMFSRNLVPDLKLCEKVSKRLVANQMSLDAEKLMLRFVERGMISPNYTKKMQR >KVH89407 pep supercontig:CcrdV1:scaffold_574:114690:124572:1 gene:Ccrd_008601 transcript:KVH89407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco LS methyltransferase, substrate-binding domain-containing protein MVSSILLQQSINCLIYNNQLGKNLKNGLTISKPNLMQKCTSSKRIGGIKARASFDTIETTLSSTGFPLFQTESPQEDTLASKFELVDPEFIKMGFLRDVRTYGVEFREGPNGMGVYASRDIEPTRRAKKDWDLRMTCLLLYAFECEKNFWQLYYDFLPSAEECTSLLLATEHSAMPLKVKRLAREPERFMWALSMAQSRQIGFKIRVGSLVQHANMLAPYADMLSHSSQPNCFFHWRFKDRMFVVMTIAGRRVKKGEEMTVNYTNGMRNNMLMQRYGFSTPANPWDVIPFSGNAKIHLDSFLSAFNICGSPKEYYHNAKLANEGDNFVDGAVIAAARTLPMWSEGDLPPVPSLEIKSAKALQEECQEMLAEYSTTSEQDQHILDSSPEMSWTREAAIKYRLHRKLFLEKVIQALDVYQDRILF >KVH89414 pep supercontig:CcrdV1:scaffold_574:75329:83184:-1 gene:Ccrd_008597 transcript:KVH89414 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MISHGPSFQAYFPDNQIPKTMVFTHYNPTLRKPYFTILTFGCFVSSMDESWRMRMGSKTAAKQPNNLPPRRSTEENNRQNVYEALNPEDFDDVFGGPPRSVLSRQYSVEADFARSTGLVYEDIFRKPKGNTDFGGRSDRTLPEFRIPASRTIGGRRRSEEEFYSDIFGNPRRSRSRSKSQTNSNSKSSSILSSEELSPFRPAVMDDDDVSFSSFASKLRPINVSSKWNTSEKMHEVQQMADGQLDSPCNCRSFARNHESDCTQRFKGCDSGFSRRVSSPEIISFGPNSYNSLKESIEDLQVNSPASVVSSFYQEEEDEQIDPEEDDIMSSYIIEINPSNRERIGEMHGIDEAIAWAKEKCQTSSSEKEWNKREAEEHMCVGVEEMTGVNEIPEVHIARYGSRESPLLDKQKEWITEESLQQLEKDASPCDQILWPSSGWNMILLTNLKDSSSVKKAYQKARLCLHPDKLQQRGATISQKYDAWAAFISQDVRSSIL >KVH89416 pep supercontig:CcrdV1:scaffold_574:65336:72500:1 gene:Ccrd_008595 transcript:KVH89416 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAF domain-containing protein MASSRSSRTRVGRYELGRTLGEGTFAKVKFARNTETGENVAIKILDKEKVLKHKMINQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFDKIATRGRLKEDEARKYFQQLINTVDYCHSRGVFHRDLKPENLLLDASGCLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEESNLMALYKKINKADFSCPPWFSSSAKKLIKRILDPNPVTRITTSEVIQNEWFKKGYVPPRFEQEDVSLDDVDAIFNEAGDSQVLVVERRDERPTAPVTMNAFELISKSQGLNLSSLFEKQMGLVKRETRFTSKCPANEIISKIEEAALPLGFDVKKNNYKLKLQGEKTGRKGHLSVATEIFEVAPSLHMVEVRKSGGDTLEFHKFYKNLSTGLQDIVWRNGDEANGDLTGAAPAAPSSPSPAPSSPSPAPSPSS >KVI04299 pep supercontig:CcrdV1:scaffold_5742:13773:17279:-1 gene:Ccrd_017390 transcript:KVI04299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MPPPPPPPSSPSPPPLLFFLCLLLLSATTSFSLNQEGLDLIQAKSTLTDPTGILSDWNHRHDNPCNWTGITCSHSTTVTSIDLSSASLSGPFPAILCRLPSLTTISFYDNSLNSTIPATISACRNLTHLDLSANYFNGLLPSTLTDIPNLISINIQDNELSGEIPRSIGNFRRLETLILTNNLFNGSFPAILSNITTLKELRLAYNYFISGPIPPEFGNLSNIEHLWLSSCGFVGTIPDSFSKLQKLSNLELSYNSLSGPFPTVVFQLKNLYQLELFNNSLSGELPKRAWSNLTALRLFDASVNSFTGTIPVELCRLPLESLALSDNNLEGLVPESLARSPNLYDLRLFDNFLIGPLPSDLGNNSPLQTLDVSFNQLFGELPTSLCEKGQLFDLVLIGNSFSGELPAILGECKSLGRVRLSKNKFSGEIPGGIWGLPHVYLLDLAENLFSGNISSMISGGFNLSSILISGNSFSGNIPDEIGSLSNLVELMASDNKFSGVIPATLFKLNNLGTLDLSENDISGQIPVEIQSLKQLNELNLANNKLSGEIPDEIGNLPVLNYLDLSGNSFFGEIPSGLENLMLNTLNLSNNRLSGKIPSAYSKQVYRDSFLGNPNLCGEFTHRCPENQRAKNNHNLWLLRFIFIFAAIVFIVGVVWFVFKYRNIKKTKEPVSISKWRSFHKLGFSEFEIIHRLNEGNVIGSGASGKVYKAILSNGEAVAVKKLWERSIKKENETNLNGDGSQKDEFESEVETLGKIRHKNIVRLWCCCKSGNSKLLVYEYMPNGSLGDLLHSSKGRLLEWPMRFKILLDAAEGLSYLHHDCVPPIVHRDVKSNNILLDEEYGARIADFGVAKFIKPTNKGAESMSVIAGSRGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRKPVDQAFGERDLATWVHATVNQKGHDHVLDPELEYESNDQICKVLDIGLLCISPLPINRPSMRTVVNLLQEAVADGKPKPTMNDGKASPYYDSSDQASLV >KVI00538 pep supercontig:CcrdV1:scaffold_5743:429:2329:1 gene:Ccrd_021211 transcript:KVI00538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MTNDILDVITCKAAVVRELGGPVTVEEIKVDPPKASEVRVKMLCASICHTDILCCNGVPVPLFPRIPGHEGVGMVESVGINVKTGVKPGDIVMPLYLGECGECLNCKSGKTNICHAHSIGLTGLMPDGTSRMSMAATGETIYHHFSCSTWSEYMVIDINYVLKIDPKMPLPYASFLSCGFTTGFGAPWKETPIHKDSSVAVFGLGAVGLGAIKGAEMQGASKIIGVDINETKSTKGKVFGMTDFINPKDHPNQSVSNLVKDITDGLGVDYCFECTGVPTTLFNGRTLKGSLFGGVKTRSDLPILIEKCINKEIEVKELLTHEIRLEDIHEAFDTLKKPDCLKILINF >KVI00539 pep supercontig:CcrdV1:scaffold_5743:13489:15576:1 gene:Ccrd_021212 transcript:KVI00539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MADNVLDVITCKAAVVRELGGPVTXEEIKVDPPKASEVRIKMLCASICHTDILCCNGFPIPLFPRIPGHEGVGMIESVGINVKTEVKPGDIVMPLYLGECGQCLNCKSGKTNICHVHPLGFDGLMPDGTSRMSVATTGETIYHHFSCSTWSEYMVIDINYVLKIDPKMPLPYASFLSCGFTTGFGAPWKETPIHKXXSVXVFGLGAVGLGAIKGAQMQGASKIIGVDINETKSTKGKVFGMTDFINPNDHPNQSVSDLVKDITDGLGVDYSFECTGVRLLLNEAIEASKITWTSF >KVG96451 pep supercontig:CcrdV1:scaffold_5747:22468:24932:-1 gene:Ccrd_026063 transcript:KVG96451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNNPPLGRTLNLYQLKEQIEKNKQALLELQAKVETEISKAQKLLLDKDAELHAAEKSLFGLKQVQMEYWG >KVG96450 pep supercontig:CcrdV1:scaffold_5747:29853:30390:-1 gene:Ccrd_026064 transcript:KVG96450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKEQIEKNKQGLLELQAKVETEISKAQKLLLDKDAELHAAEESLFGLEQVQMEYWVFGEESSSFLRKVADSKLEEKPTLFGEFGQVAVDNKKVDIGVKTGILVVYSIHIGTV >KVI06857 pep supercontig:CcrdV1:scaffold_575:37640:38422:1 gene:Ccrd_014783 transcript:KVI06857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LESPVTPTSSLGSLVSIKRGHGKNRFKITINSFFKSCCEPCNRRPFRAILAESEFAAPTITKLIPIPFSTSGASVAYNVNPVADQFQRAFQTSTFCNRLYSFFNKRXFFDQSDRSCVSDMKFQNRKKKRFFAQLAHSAGPTCISYLAEEASDRLDFLPSWDSMDQDLLLLYGQYRSTLVDHMDVEKASHFDELETSLFHFYLPSSYLCFVCSPEEFDHLLIL >KVI06861 pep supercontig:CcrdV1:scaffold_575:124607:127511:1 gene:Ccrd_014785 transcript:KVI06861 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXTL2, alpha-1,4-N-acetylhexosaminyltransferase MVSGQTIGGTTEKTHRLRWWRVHDNGGVSSTLSFLLGSFMVLSSIGFLYTYMVYKNPIVNNNSLGCEEDSEGSWGIGVFYGDSPFSLKPIEAVSVRMNIWENTSAAWPVANPIVTCGSVTDSGFPSNFVADPFLYVEGDTLFLFFETKNSITMQGDIGVARSIDNGASWEQMGIALDEDWHLSYPYVFDYDGQIYMMPEGSKKGDLRLYRAVEFPLKWKLEKIILKRPLIDSFIMKYESRYWLFGSDHSRIGTKKNGELELWYSNTPLGPWKPHKKNPVYNTDKNSGARNGGRPFVYNGNVYRLGQDDGETYGKRVRVFKIEVLTPYKFRETEVDLGFEKPTKGRNAWNGARSHHLDVQQLRSGQWIAVSDGDRTPSGDLSRRYIVGCVLIVAAGSLVFLMGMLLGFVRCIVPLSWCPHNIKKRSDAFLVWERSNLLSSKLRQYCSRLNRLSSVLRCKMNPKTSLGRFAFVVILMGSIVLMCVGIGYIFGGSGGREPYVVDNHYSQFTLLAMTYDARLWNLKWYVQHYSQCPSVREIVVIWNKGTPPDPTEFHSAVPVRIRVEEKNSLNNRFKIDPLIKTKAVLELDDDIMMSCDYIERGFKVWRENPERLVGFYPRLVNGPGPLKYRAEKHARKWNGYNMILTGAAFMDHRMAFSRYWGEEAKAGRKVVDELFNCEDVLMNFLYANATSWGPSVEYVRPAWAFDTSKLSGVAISENTQSHYELRSKCLEKFTELYGGLSDRKVEFKRRKDGWDL >KVI06859 pep supercontig:CcrdV1:scaffold_575:155734:158246:-1 gene:Ccrd_014787 transcript:KVI06859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNCLPCFGSKNPENNEHEDLPVAQAKGNPSSQPPVNNTASAPSGPASQANDQKQESVVAASPTSAAAPPPPPPTATNTNEANDAPNGSARSFSFRELAMATKNFRRESLLAESGFGKVYKGTLGTGQVVSVNQLDKHGTKANKEFLVEVMTLSLLDHPNLVDLIGYCADGDQRILVYEYMLKGSLNNNLHDVPPESEPLDWMTRMKIASGTAQGMEYLHEKANPPILYRNFKSTNILLDENFEPKLTDYGLIKLENDSGNSMQQRIAQPYFKEPKRYPELADPQLHGAFPERSLNQAVGVAAMCVQEEPSVRPFISDVVAALGFLTVAPPAGSSSPTTTNHPHQKTHDSSSSSSSSSAEPEQGQKYGSEDLSSSYSEDVDEQSPIKIKSNKIEYDEDRSGSSSESLYNEDDYDEDESQQEETNYGSKSKPKSIKRKVTFEKESPNLCKKSVDRKSSKNNKTADVSLSKKSDNVFQNDQPKSNCKLSPRRSKSKKLENGDSSDWSLSSDDG >KVI06858 pep supercontig:CcrdV1:scaffold_575:142507:150027:1 gene:Ccrd_014786 transcript:KVI06858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology-like domain-containing protein MLVMVGDEMDKDFDSKLSIQNNSDNHVDANANRSKGFVFRAPQENFTIHDFELGKIYGVGSYSKVVRARKKDTGKVYALKIMDKNFITKENKIAYVKLERIVLDQLDHPGIVQLFFTFQDTTSLYMALESCEGGELFDQITRKGRLTEDEARFYAAEIVDALEYIHNLGLIHRDIKPENLLLTSDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEILNSSPATIGNDLWALGCTLFQMLSGTSPFKDASEWLIFQRIVARDIRFPDYFSDEARDLIDKLLDIDLAKRPGAGCEGYASLKNHPFFQTIDWHDLRSRSPPRPALQGHSSGGEDTHDSSFNPSNVGDATSFSEASNVTRLASMDSFDSKWQQFLEPGETILMISMVKKLQKLTSKKVQLILTNKPKLIYVDPAKLVTKGNIIWSDNPNDLSIQPKKVMSFEDPKQRAFQWKKAIEALQSRR >KVI06862 pep supercontig:CcrdV1:scaffold_575:15517:20930:-1 gene:Ccrd_014782 transcript:KVI06862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase, catalytic domain-containing protein MNCLQNLPRSSSVLSSQSFRIQSAIQPCFVNMTTIHYEKWLMNATDLRRNCLVKGTPSSTSSIEMEGAKESEEKSDVYSTNMTEAMGAVLTYRHELGMNYDFITPDLIVGSCLQTPADVDKLRSIGVKTIYCLQQNSDLEYFSVDIGAIREYASTFSDIQHLRAEIRDFDAFDMRLRLPYVVSKLHKAVNRNGGITYIHCTAGLGRAPATALAYMFWVQGYKLQDAITLLLSKRPCFPKVDAIKSATADILTGLKRKPVTLTWKGDECSTVEISGLDIGWGQEGRYEYKYVVDGNWVTNNNELLTPANKDGQINNYIQVSDNDPESVSSALWERLGADDFDLTTNERDVIRQFLDDCPDDE >KVI06856 pep supercontig:CcrdV1:scaffold_575:209100:213689:1 gene:Ccrd_014788 transcript:KVI06856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAYQHYRSPFGDTTLTKVFVGGLAWETQTDEMRTYFQQFGDILEAVIITDKITGKSKGYGFVTYRDPESAKRACDDPNPMIDGRRANCNIASLGRPRSSSQGRNQGGNIAFQGTNTMGQGASSSSYGGVAPPLGTPPPPPPPPPPSVMYPPYGYTTYAPDYAYQQQAMYNPALQQPYYHQVYGPTSPTVSTPYYYGYSTTQAPTPPRGTFAAQAQRIQGPSYLYYPTPQMDPSTFPGYVTPPLLLPSRHPFPSPSPTPTPTGSQTPQNTTAETEGGAVTSESPNT >KVI06860 pep supercontig:CcrdV1:scaffold_575:39762:41245:1 gene:Ccrd_014784 transcript:KVI06860 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase MGLIHTPCSEKYLPSEKRKKQSLCLKKCVEKGQMMDLERYEIDLKKIIAYSSVAHMNLVTIVPTKDQTNDVYKASSSNYDSE >KVI11360 pep supercontig:CcrdV1:scaffold_5752:27099:28046:-1 gene:Ccrd_010231 transcript:KVI11360 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding domain, ovate family-like protein MGKQRFRLSHMIPNAWFYRLRDMNTAMGATHNKKPLPSAYSSTPNHTRNSFCYTPRLHRYNNSPTPTSNTNIINHPAVSICTQPTEFTHTHDQDLFLSPIRSSYNESPVSESILSLARPPSTSCSCGVISSSTTDVVIEFNKKSYTKKIQDSSGYELIRRIELQKPPPIITKSNKDTLTLVEKKEESSNQSSISIKIVKDSFSKKENPERKPVSGVKIRSNSSRTPVCKRIVDANNDHKRQKNNLSSSCCIVKSSFDPQKDFKESMVEMIVEEKIWASRDLEKLLASYLSLNSNEYHEMIIKAFEEIWLSFKFVD >KVH91390 pep supercontig:CcrdV1:scaffold_5758:4371:25302:1 gene:Ccrd_006588 transcript:KVH91390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase, aerobic MATMATMVSAFPSTSAFQLPTSNPSPSTLALITTTSTAISFNRRKPKKTRNLLIRASSRMIEKETAETHRPDTFLRESDGVSSDQDVGANSVRVRFEKMIREAQDSVCAAIEAADGGGKFKEDVWSRPGGGGGISRVLQDSGVWEKAGVNVSVVYGVMPPEAYRAAKPANAADNGNLKPEPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPAYIFEDDVQKNACDKFDPSFYPKFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQEMLLAFSTECANSVVEAYLPIIERRKDLPFTDQNKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPKDWI >KVI06662 pep supercontig:CcrdV1:scaffold_576:112368:119202:-1 gene:Ccrd_014987 transcript:KVI06662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MRFMMLRRIFIIRPTVDHHRRRCYVSNFSFSSSPSASSHENSIILNKNLQDFQNAGRLPVLNRLRPNSLSRFAIAASFISAAVAASYVIFLDDDEFKEKQQKEKRGFGDFTIDRSNESLRRILDTMKHTGIAVSVLLKSLSSVLSSANHEVRSGFEGRVASLLADIVAADETRRAAIVGAGGGMVLDWLLDSVALNRGGNYGTQAESARALAYLIADSNVSEAVLGRPHAIPNLLKFIFSAQPHPWEQQKRPSSFGIADPLKGRSMLIAAIMDIVTSNCDNVAKVKHKPMLSGKAAMRDIAAALQVVEEGGMYLDERPGSEDDGDDGTGLKGIGIKVLGGTTIVGLSRRNGSMEQSDSSPSAKVKSTPKTKTILFNKISDNSAARKSLSLTVIPGLWDDLHSQHVAVPFAAWALANWAMASDVNRSHIQELDHDGNAIMNALVAPERSVKWHGSLVARLLLEDQNLPSDDFVPDWGSSLLSTVSQASRSDDIPLTQVALSAFLLSIERCPGAQKAVMEKNLYLMRETAKRMKNHESVQEALAKGLELLCTQNMHLSLEEGEKWSSILLQWVFGDTSLDATRSSAIKILSHILEDYAPSSIPISQGWLAILLTDILKYKMSSLKGSAQPRDKVKTQIDQANVISGTLAANQLASAVVSLAVNQLGTEFGNGDSSAFEDLLCVEPFVVPFKNLKKDGVPKVNAADSALATLKGIKTMSEICSDDSFCQTRIIDYGVISLLRRLLLRDDYEKLAATEAYNASTDLESGDQSANVPDARDRVPPVAHIRRHAARFLAVLSVHPKVKKMILNDKVWCEWLEECANGKVSGCNDLKTQSYARATLLNIFCNDDDDARDTVNSSVSYKKHRCRHFTEMIYLINPNLPHWKYPVKERRDSAVGTSMEKEKPINPFSEIDEDDLDGDSSPLTKDPVDNTNSRSVSHLDPSLDVVFVHGLRGGPFKSWRFSECKSSSKSGLVEKIDEEAGKQGTFWPAEWLSSDFPHARLFSIKYKTNLTQWSGASLPLQEVSSMLLEKLIAAGIGDRPVVFVTHSMGGLVVKQMLHQARMENRDNLVNNTAGVVFYSCPHFGSKLADLPWRMGFVLRPAPSIGELRRGSPRLVELNDFIRRLHKRGSLDVLSFCETKVTPIVEGYGGWAFRLEIVPIESSYPGYGKLVVLESTDHVNSCKPISKSDPSYNETLQLLHKLRARVDENTYSRCT >KVI06657 pep supercontig:CcrdV1:scaffold_576:27605:28984:1 gene:Ccrd_014982 transcript:KVI06657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MRKGWCGRCVEVPWYENQMKGVFRSFDTNGDGKLSRKELKVGLKSFGIRFAGFRAWRAVRHSDANGDGIHFLSLLDQEKAFVDFKAEES >KVI06659 pep supercontig:CcrdV1:scaffold_576:77125:80149:1 gene:Ccrd_014984 transcript:KVI06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MHDSGCYMQTSKMTNISYLLLLVFSPYLLGFPVASADNQIKNLNKLIKSRGSESPPNADPWTEQDVSNLSPVHIIPQEGSQEADRIDRLPGQPQVDLDQYAGYVTVDPQAGRALFYYFAESPQNSSTKPLVLWLNGGPGCSSFGYGAMEELGPFRVNNDGKTLHRNDYAWNNVANVLFLESPAGVGFSYSNTSSDYTTGDKRTAKDSYTFLLNWLERFPQYKTHGFFITGESYAGHYVPQLAALILSENKKANQTIINLSGIAVGTYMHNDITSLLILRTNEPDWNIGNAWIDDSTSYEGMYDYYWTHALNSDETNAGINKNCDYVSGNFSDACYQYQSQGDGEYGGIDIYNIYAPLCDGSTQTPGSVKSFDPCSDGYVSSYLNRAEVQEALHVRNTSWTHCGGVGWTDSPTTILPTINQLIKSGISVWIYSGDIDGRVPVTSSRYSVNRLKLPIETAWRPWYYNEEVKSISKLDILNNSPSVNQLQRSGTDHSKRRRAYSPKLPTRKSTGDDLIIPSGETSSTFNRIIFKPH >KVI06658 pep supercontig:CcrdV1:scaffold_576:77040:91740:-1 gene:Ccrd_014983 transcript:KVI06658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole biosynthesis, uroporphyrinogen III synthase MTTKICLFSLSTPPPPPQLLLSHRRSNLFLPFKVRASTFPVNSFSNHQVVVTRERGKNDKLISALAKHGINSLELPLIQHMQLPDLEKLIYLLSAATSFDWIIITSPEAALVFLQAWNYSHFIYNLRAAGTPSVKVAVVGTGTASIFDEATPSSKQLIEVAFTPSKATGKVLASELPKHGSERCTVLYPASAKASRDIEEGLSKRGFQITRLNTYTTEPVQHVDQMILQQALSASVVAVASPSAVRAWVNLLPEPENWSGSVACIGETTASAARKLGFRSVYHPSSPCLQGWVDSILDALRIHNQFLKVEEVSPEGMMRSSPVLFLVGSLGLYAVLEQHRALMQPAGFSD >KVI06665 pep supercontig:CcrdV1:scaffold_576:150840:164110:1 gene:Ccrd_014991 transcript:KVI06665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MSAVKGEHHTIPLSVLLKRELASEKTERPEITSGQANQSKKGEDLTLLKSECQRVLGDGVTTYSVFGIFDGHNGSAAAIYTKENLLNNVLGAIPADLNRDEWIAVLPRALVAGFVKTDKDFQEKVQTSGTTVTLAIIEGSVITVASVGDSRCILESADGGLYYLSADHRLECSEEERERVTASGGEVGRLNAGGGTQIGPLRCWPGGLCLSRSIGDLDVGEFIVPVPYVKQVKLSSAGGRMIIASDGVWDAMSAEAALVCCRGLLPDAAAAQIVKEAVQFKGLRDDTTCIVVDILPPEKTNPHVSPVKKTGKRVLKSMFRRKSSGSPPQVDGGELEQDAIQ >KVI06663 pep supercontig:CcrdV1:scaffold_576:127922:128859:1 gene:Ccrd_014989 transcript:KVI06663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEQPPNPSKRCNFLKDALATCHTFCTKISFQTPDLEQEDDDVSDYDDEHQMEAKSKRKVGLTVDSFIWGFSPTELLPTKKETQHASDVEDMDEFYSSCSHLSRCSSATTAEAFKSVKTNLSRCSSTGSFEFPIFRRRRSIIRELCHCEGWPFGLCRKALLVPPLPKSPSESWLWRKTTRIIKIP >KVI06661 pep supercontig:CcrdV1:scaffold_576:104309:110638:-1 gene:Ccrd_014986 transcript:KVI06661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRVYTEIWEQFVRVVTQQSHKRATYQRYLKPWMHFTILISSQGLMANHKEIAAYLNKKGVSIILLLRRDQLRPMISVLANSRDKNMKLLKGIHQSHVHSSQENNILSAKMATICYRNYHLSLSFMEAKILAAYKPNINATQILPTLMEKTVAFQYFNNTRHMIIYYEDIITNHTVKTVESPDQFKIHTTLFLKQIENWDEIQKALNAIEIKENYLPDVAKRLWRMQVSTPSSINNIRTKAAAKWAKTCTTPITIFLFRDTLEGTKEIAATNSNIAPTEDMYDCPTMVIPACLQLHALPYTMKVAEEHTQKDERNNVNNGERSHLRKIAPNKKKIPTMQFDLRIIIASTKSPSSHTRNKTNVPEAKEY >KVI06664 pep supercontig:CcrdV1:scaffold_576:119804:131425:-1 gene:Ccrd_014988 transcript:KVI06664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPYSSILRTHYTNAASVHFLQGMAEASDLLGGGFARRKIWRGKTLRLHFAATDESLPPPYSSAFVNF >KVI06666 pep supercontig:CcrdV1:scaffold_576:134318:140210:1 gene:Ccrd_014990 transcript:KVI06666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase MSSEEAPRLPLQRPSYGNLITILSIDGGGVRGIIPSVILNFLETELQKLDGENARLADYFDVISGTSTGGLVSAMLTVPNEENRPLFAAKDITNFYLEHCPRIFPHDSNPFGHAEKVIRALTGPKYDGVYLHELIQETVGNIRLHETLTNVVIPTFDIKRMQPTIFSSYQKTIDELEMFQLKKNPSLDAMLSDICIGTSAAPTYLPSHTFETQDSEGKLLGEFNLIDGGITGGSIDFFPIKPTEYGRFLVLSLGTGSPKYEEKYDANTSSSWGVFGWLASSGSTPLIDVFTQSSGDMVDYHISTVFQALHSGENYLRVQDDTLSGDLASMDLTTEKNLEDLVNVGEQLLKKPVSKRSNTQSNPGQKFKRVGRCHVCGETGHYARECKDRKSGPPVANYVKGIQHLVANLHMEEIDMISEVATRIVAARGGWYLDS >KVI06660 pep supercontig:CcrdV1:scaffold_576:103792:109442:1 gene:Ccrd_014985 transcript:KVI06660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDKQAVGILDTINMETVRTILTHTYPYPHEHSRHAIIAVAVGCLFFISSDNMHTLIQKLDKNIKWWSIYACLLGIFYFFSSPFVGKTIKPSYSNFSRWYVAWILVAALYHLPSFQSMGVDMRMNLSLFLTIFISSIFVLLVFHLVFLGLWYIGLVSRVAGRRPEILTILQNCAVLSVACCVFYSHCGNHALNEKPFGRKDSGLFSLWRKGERSTLVAKFLKMYEFKDEVCKSWFAPVGSARDYPLLSKWVIYGEAAMSGGHEGAKQEDFLYDHFSERDDFWFDFMADTGDGGNSSYSVARLLAQPSLRACNDDSEIKLHRGNLLLIGGDLAYPNPSAFNYEKRFFRPFEYALQPPSWYREGHVAVNKPELPCNVSELKQYDGPQCFVIPGNHDWFDGLQTFMRYICHKSWLGGWLMPQKKSYFALQLPKGWWVFGLDLALHCDIDSYQFKFFTDLILEKVKENDSVIIMTHEPNWILDWYWDDVTGKNVSHLICDYLKGRCKLQMAGDLHHYMRHSYISSENPVNVQHLLVNGCGGAFLHPTHCKLDHILQDDTISGHIRSFFSTVWDAFMYMVGQSYISSVGAMLLLVAAISFVPSKVSRKRKIVIGVVHVLAHLAAALVLMLLMELGVITRYISGTDQWKVNIFRTRLALGRVWSNGLSAFIQHVMAVTRTNICKNGMVSLSRGGAIIYYASVFLYFWVFSTPVVSLIFGSYLYICINWLHLHFDEAFSSLRIANYKSFTRFHIRQNGNLEVFTLAVDKVPKEWKLDLDWDNELKQPHQLSHHRKYPSKWRANSFHQDPVNSVRIVDQFVIQTDKSELVVGNGSVSHR >KVG95880 pep supercontig:CcrdV1:scaffold_5760:24571:29391:-1 gene:Ccrd_026065 transcript:KVG95880 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSLC12 [Source:Projected from Arabidopsis thaliana (AT4G07960) UniProtKB/TrEMBL;Acc:A0A384KRZ4] MAPNWWVKPVVVKMENPNNWSMVELHQVPSAEDFLNDKRRGRNKNAKQLTWVLLLKAHRAAGCITSIASTTFSLSYAVRRRVTSGLTDTETDIGKPVTTSIRFYTCIKACLWLSVILLGFEIAAYFKGWQFRPPDLHLQYLYTLTNPFAFKGFFDWIYSKWVLIRVEYLAPPLQFVANVCVCLFLIQSLDRFVLCLGCFWIRFMKIKPISKQSLPDLESGDGDGFFPMVLVQIPMCNEKEVGNFGIAICQLADVLVKVYQQSIGAVCSLNWPKSKILIQVLDDSDDPTAQLLIKEEVHKWKHNGANIVYRHRVIRDGYKAGNLKSAMNCSYIKDYEFVAIFDADFQPSPDFLRRTDNEELGLVQARWSFLNKDENLLTRLQYINLAFHFEIEQQVNGIFFNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWRMIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPAIIESKISIWKKWNLIFLFFLLRKLVLPFYCFTLFCIVLPMTMFVPEATLPSIVIYYVPVTMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSDGDLISLIEKDPKQNSRGISEPDLEELQKKMKKEEMKAYRNKKHNRVYTKELMLAFLLLTAAARSLLAARGVHFYFLLFQGIAFFLVGLDLIGEQVE >KVI06601 pep supercontig:CcrdV1:scaffold_5766:13660:15715:1 gene:Ccrd_015049 transcript:KVI06601 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFHLWFPTIVAITFTITIVASLIHVVKGTWAKRGKKIEPPQAKGAWPIIGHLHLLGGSHLPHFVLGDMADEHGPIFTIRLGIHQALVVSDWKMAKDCFTTNDKAFASRPKSEAIKLMAYNYATFGFALYGDYWRQVRKIIMLEVLSQQRVEMLGRIRVSEVRTSIKDIYDVWVENKESENADEVKVEMSQWFGNLMVNIMVRILSGKRFLPNDDEGVRFQLVVKKFYDLVGAFVVSDFIPYLKWLDVGGYIKAMKKTAKDFDNIFDGWLKEHKIERESEQQREGSQVFMSVLISILEGASEEDFHGFDQDTVIKATCQQLLVAGLDTTSLTLTWALSLLLNNPKALKIAHDEIDEHVGRDRLVEESDLKNLVYLDAIIKETLRLYPAGPLSVPHESMEDCVVGGYNIPKGTRLLVNLWKLHRDPNIWSDPSEVRPERFLASHKDIDVKGKHFELLPFGSGRRMCPGIFFSLQALRLTLASLIQQFVLKRPSKEPIDMTETSGLTNSKATPLEVLLSPRLSFDMYCVGS >KVI00723 pep supercontig:CcrdV1:scaffold_5768:26082:27781:1 gene:Ccrd_021025 transcript:KVI00723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF724 MGTRHPLEGLHHDGGSSRSSNVDKCDEPSDASNPAVLNNHEISEYQQEWPFVKRSPIWATIESLELYQNPPQKPHFLLLKIMKEDYREGLAIGHMVTFANVVQRTSKLQPDVPSDIIDNSLETLVELETHGFDVGAVRARLNELLARKAKLGELKDKLKQVEKELEKRNLEKSKIKEEVDELEAKMQELQEKLVQSVKKKNVKDEEIMMLQSNLYLVGNEIMDWKVEFEKLAATSF >KVI04417 pep supercontig:CcrdV1:scaffold_5769:23334:28954:-1 gene:Ccrd_017269 transcript:KVI04417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MEPSRSGVCVSEKVKLLIAVLVLQMCFAGFHIVSRVALNIGISKVVYPVYRNLIALVLLAPFAYFYEKYCFYLLIDSIMFSSFRRITANQGFYILGLYYATPTFASAMQNSVPAITFLMASTLRLEKVNIARRHGLAKVIGTLASVGGATVTTLYKGPPLFHQHASIVNDSLLYEDMLSGSPKMLNWTWGCIYLLAHCLSWAGWMVFQAPVVRNYPAKLSLTSFTCFFGLIQFMVIAAFFERDPNKWKIKSGEEIFTILYAGIVSSGIVISLQTWCIQKGGPVYVAIFQPVQTVLVAIMAFAILHDQLYLGGLLGAVLIMMGLYSVIWGKTEEQRIVASQEEREGPLTKHLLNDDSKNEEYNTVSDIP >KVI08283 pep supercontig:CcrdV1:scaffold_577:75704:77713:-1 gene:Ccrd_013344 transcript:KVI08283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19 MKMEAKKICLLVIGMLFVILSIANADSSVPVLVKKVKGKKVCDKGWECKGWSEYCCNLTISDYFDTYQFEELFAKRNSPVAHAAGFWDYKSFITASALYQPHGFGTTGNKTTQMKEVAAFLGHVGSQTSCGYGVATGGPTAWGLCYNKEMSPSQDYCDDYYKQYNYGKIGEALKVDLLNHPEYIEQNATLAFQAAIYRWLTPMKKGQPSAHEALVGTWKPTKNDTSAKRFPGFGTTMNVLYGDRTCGKGDNDDMNAIVSHYLYYLDLMGVGREEGGPHDVLTCAEQEPFNPSAPTQASSR >KVI08275 pep supercontig:CcrdV1:scaffold_577:196208:200017:-1 gene:Ccrd_013354 transcript:KVI08275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAKSNSRLKAFHALVLVLLCFVHLCFSNETASLIALRKLLLQRKDVISTWFDSKIPPCNWTGIRCEGSVVHQISLSCTLSPPTLPFPRIFREFRSLKHLNISRCGFNGHIYSDFWILEDLETLDLSNNRLLGVLPPSLSRLKKLRVLVLDNNGFSGRLPSTIGQLRNLTELSLNSNSFSGRLPYELGNLVKLKSLDLSLNLFSGDLPSSLGNLTKLTYLDASQNKFTGPIFPEIGNLKMLKSLDLAMNSLTGSIPEAIGALTHVHRLHLHSCKFTGNIPAQMSNMTSLIRVNIGQNYFEGGLPSNFGALTNLVYFIATNAGLSGPIPRTLGNCKKLRALDLSMNTISGPLPDSLAMLESINSFFLHSNHLSGALPEWFSKWKSVESINLSDNLFTGRLPLLNIPSLTFLDLSVNMLYGVLPAEICLGNALGLLKLSNNDFSGTITSTFRNCSILTDLVLSGNNLFGEIPAYLGDLPLITLELSKNRFSGTIPTQLWESKTLMEIYLNSNLLEGHIPAAIGKASTLQMLQMDNNLFEGNIPSSIGQLKNMTNLSLHGNKLAGNIPLELFECTKLVSLDLGSNELTGPIPKSISQLELLDNLVLSKNLLSGPIPEEICTGFQKITLPDSEFVQHYGMLDLSNNELSGPIPTSIKQCIIVTELMLSRNQLDGTIPHEISEFIKSLEYLDVSMNYFSGPLSFRLSSTTSLLALNASNNLFSGALDASISNLTALSIFDVHNNTINGDLPSLSNLAALTYLDLSGNDFQGYFPCSICDIEGLSFVGLSGNRFSGQVPESCNTPNSCYPYPSQHSYTAAHTLTHASVLGIALGTSFVFVVVLLIAFLRQRMLKQEAIILERGKGKNFKATETHSEYGLLRKRPKEPPSINIATFEQSLLRLNPQDILSATENFSKTYIIGDGGFGTVYKALLPEGRTVAVKRLNGGRMHGEREFLAEMETVGKVKHENLVPLMGYCVFADERFLIYEYMANGSLDMWLRNRADAVEALDWPTRFKICLGSATGLAFLHHGFVPHIIHRDIKSSNILLDSKFEPRVSDFGLARIISACESHVSTVLAGTFGYIPPEYGQKMVATTKGDVYSFGVVMLELVTGREPTGQADVEGENLVGWVRWMVANRKESEVLDPCFYGSWMWKDQMLGVLAIAQACTNDQPRKRPTMLDVVKSLKELKTETGFAALDHSKQIV >KVI08285 pep supercontig:CcrdV1:scaffold_577:128639:133367:-1 gene:Ccrd_013349 transcript:KVI08285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIELVPGSSGRIDVGVDHKITYFSNKYVLGLTVIAGIGGLLFGYDTGALLYIRDEFEEVDQSSVLQETIVSMALLGAIFGAASGGWINDLYGRKSATLIADVIFVLGSFLMATAPDPYVLLFGRFLVGLGVGVASVTAPMYIAEVAPSEIRGGLVSTNVLMITSGQFLSYLVRGTWRWMLGIAAVPAILQFSLMLLLPESPRWLYKNEELHQLSAALEQECRSQNAVRYRDVFRIKEIRLAFVAGAGLQAFQQFIGINTVMYYSPTIVQMAGFGSNQLALQLSLIVALMNVAGTVVGIYLIDHVGRRKLALSSLIGVILSLVLLSIAFFLESSGHANIRWLAVLGLVLYIASFAPGMGPVPWTVNSEIYSESYRGMCGGMAATVNWVSNLIVSQSFLSVADAVGIGMTFLILAGVSVAAIGFVVMFVPETKGLTFEEVEKLWKERAWGSGNGAESLLEEDEA >KVI08277 pep supercontig:CcrdV1:scaffold_577:165997:171092:1 gene:Ccrd_013350 transcript:KVI08277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MDSEDDMHDANDVDSYDEDYYYSDGMYSDDDDDAGYEFMDNDSDDSDNLTVNRQQKNYTILTEEDIHQRQEDDITRVSSVLSISRDAACMLLRRYSWSVNNVHEAWFADEEKVRKAIGLLVKTDIISPKSRELVCGICFDSYPHDMIRNVACGHPFCSTCWTVSNDDLFISLIPSIMAAYISMSINDGPGCLSLRCPVPSCGAAVGVNMVNNLASDEDKKKYHRYLLRSYIEDNRKTKWCPAPGCDCAVEFDLGSGSYDVSCHCSYGFCWNCTEEAHRPVDCETVSKWILKNSAESENMNWILANSKPCPRCKRPIEKNQDHGERTGGFYACNRYETAKQEGAYDEAERRREMAKNSLERYTHYYERWATNQSSRQKALADLYQMQTVHIVECRRVLKWTYAYGYYLPEREHAKRQFFEYLQGEAEAGLERLHQCAEKELHTYLTEDASQDAFNNFRTNVTRNYFENLVRALENGLSDVHAHGASGKATSSKNPAESSKGKGAKGKISAKSGASSRNSDDANGWSCDQCTYMNLRTATACQICHTRRS >KVI08282 pep supercontig:CcrdV1:scaffold_577:81195:87891:-1 gene:Ccrd_013346 transcript:KVI08282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSVNSLNHSPCRTTNPSIKHFATASPKWFHHHPHISTLKPHIHRPTTFTTFCSLRRRRHFRRRRHPDSNSYFPTTDADFSSPHSDSKLHMVIDLEQYIPDSTSLNKLLKVAELKFNQFVDSGSDAVEDLRTMITIDGDRRVVVSCRKTTVYFVGQLIALSWVTIFAFRVLVKIGLGFRNLFMHQSNDSGGVVTRRDRSLGGREVVVSTQKESKKELNVSVNPLSSGEESIMSYSNSMMKNWEKSKKKLPDWWPDSRPAPLEGIDKEENQKKANWLIGAIMDYRTSGKDIQEDDIILLRRICRMSGVRVSIDTPNSRDSIYRASVDFTLNTCGRIASHSTIVQIDGEDAREFIAGLADNIGLESIRAARMVAAAVAARTRSWLLQAWALEMQGKHIEAAGELSKLCLIHRIFPPEEGSPEMEMVARGLEKHLKLEQREYLLRMLMGVSSEENRRSLVEALGLAMSGGSIGDQQENIRS >KVI08276 pep supercontig:CcrdV1:scaffold_577:208774:213226:1 gene:Ccrd_013355 transcript:KVI08276 gene_biotype:protein_coding transcript_biotype:protein_coding description:NnrU MASSILLSNSFSSISQFPGRPKFIFCNPKLNRTVTPINSYSNIGFLKDTQLLPSNCLRKSRRVFVVGESDTSVSKDVLVGEDSANFDLAKQKITSWIYFTGVLGVVLFILDVAWLDNSTGFGKVFIDSVSSVSDSPEVAMFLLTLIFAVVHSGLASLRDPGEKLIGERAFRVLFAGTSLPLAVSTIVYFINHRYDGAQLWQLQNVPVLHHLLWVTNFVSFFFLYPSTFNLLEVAAVDKPKMHLWETVAASVGLIGHHLFGAWNGDRRLAIRYGEAFEVVKSRTSILPFAAILDGRQKLPKDYYKEFIRLPYLTITGLTLGAYFAHPLMQAASFRLH >KVI08281 pep supercontig:CcrdV1:scaffold_577:96723:102894:-1 gene:Ccrd_013347 transcript:KVI08281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel EKKRHRFVSYDQSSSHLCIWLSVVGLGSSAEVSTRLKPTPTVLNLHCALLSIRPGVTKKMILIRSIRPNWRSFSSMLDLQPRSFYYSSSSSSSAAAAEAERTIREGPRNDWKREEIKNVYDSPLLDLLFHGAQVHRHAQNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYNTGVNAQKLMSKDAVLEAAQKAKEAGSTRFCMGAAWRDTKGRKTNFNQILEYVKQIRGMGMEVCCTLGMIEQQQALELKKAGLTAYNHNLDTSREFYPNVITTRTYDERLETIKHVREAGINVCSGGIIGLGEAEEDRVGLLHTLATLPSHPESVPINALVAVKGTPLEDQKAVEIWEMIRMIATARITMPKAMVRLSAGRVKFSVPEQALCFLAGANSIFTGEKLLTTPNNDFDADQSMFKLLGLIPKPPSFSDEAEPCEEEAVSSSAA >KVI08286 pep supercontig:CcrdV1:scaffold_577:107061:108209:-1 gene:Ccrd_013348 transcript:KVI08286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 MGSYPYEPTSTFSQEDQYQDLDFSDQTTFDHQNSSGFFKKQDSWPKNSIHRRKNQVFLQGYVETPDEDELVRSKSLTDEDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEHQKSPESPSSAVVDETASPPPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCN >KVI08287 pep supercontig:CcrdV1:scaffold_577:76211:87594:1 gene:Ccrd_013345 transcript:KVI08287 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, B chain/subunit B/MI25 MVVPNPGNLLAEVSCPQVPHGPRADPSSSGLTTCKLQPGRPRWHSARCIQEVNHGDQELTRSLAANMAKKCCNLLHLSSLVAGCTKSMGLIKGRGCDKRLVIPETGGMSHRRISLCKQFFKLVGVEIVGNCKVTTIFRPAFTFPAFITYFLPLHLLHQYWHRRISIGDRKDYKQHTYYQKAYLFCLHFHLVLQKNRTSRNKTKDCKSAFKKLKYINARYPDSENSIIPRNNSCSVRVSEGGREKHKNSDTLERTEVALKTMMDPHTQPQRQDAADTSPSFLFSRTLGDSFPEFDLKLSSSWMEKTENLRCHTSSISRSHERVRAATAAATILAARMLSRPMLSASPAMNSRFGGPKLTWLRQKASSLSTNMQARKMLFAAILAICASSLKKISIYNEEMIVARCFIGFIIFSRKSLGYYDSPITVDGDHSPKVLHGVASRVDYHVKLRIRVRRGKVGIGGREVAVTIGVAATAKMATTA >KVI08278 pep supercontig:CcrdV1:scaffold_577:171437:174586:-1 gene:Ccrd_013351 transcript:KVI08278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGGCMSIANKKVLKKVRNNVKPITSLDQDHETAKLHADAKSGRPVNVNVLWESSGAEIYRRYRFGKELGRGEFGVTYECQDKESGEKVACKKISKSRLRTEIDVEDVRREVDIMRHLPSHPNIVRYKDVFEDKEAIYLVMELCEGGELFDRIVAKGHYTERAAALVTKTIVEVVQPENFLYVGRDENASLKAIDFGLSIFFEPEQRFREIVGSPYYMAPEVLRRNYGAEVDVWSAGVILYILLCGVPPFWAETEEGIAQAIIKGDVNYRRDPWPRVSQDAKSLVKAMLEPIPQKRPTVQQVLGSQWIQHAHNAPDIPLGEQVRARIQQFSLMNKFKKKVISADLDHNGVLNCEEFLTMVVHLKKISNEDQLRQAFHHFDRNRNGYIEFDELKECLFDGHLNPHNEKMVHEIIVDADLDKDGRISYPEFAAMMTTGIDWKMASRRYSRAMLNAISMKMFKDP >KVI08279 pep supercontig:CcrdV1:scaffold_577:175053:178719:-1 gene:Ccrd_013352 transcript:KVI08279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGFLQLFIFTVLANSFAINADQSLNLNDEVLGLIVFKSYLTDPSSHLSSWNQDDDTPCSWRFITCNPATGRVTGLSLDGLNLSGKIGRGLEKLQNLKVLSLARNNFTGNLNPELSLLTNLQRLNLSRNGFSDRIPGSLMNSGSIKFLDLSENSLSGPVTEELFVKCLSLRMLSLSGNNLEGPIPNSLLKCTSLNHLNLSNNRFSGNPINSGLWSLTRIRTMDLSRNMFSGSLPNGIFALHDLKELSLEGNHFTGALPSGIGLCPHLNKLDLSNNLFTEAIPEFQALSSLNYLNLANNILTGEFPQWIGSLTSLEYLDFSGNDLTGTLPESMGDLRSLSYVSLSDNRLSGSIPLSLVSSSKLSVIRLRGNKFNGSIPDGLFELGLDLVDFSRNELTGSIPPGSSRLFENLQSLDLSANRLTGDIPAEIGLNSRLRYLNLSWNNFETKMPPELGYFQNLTVLDLRNGAFHGSVSHNSLSGSIPRSMSLLKKLKILKLEYNQLSGEIPPELGGLENLLSVNISYNRLQGRLPTGGIFQSLQQSSLEGNLGICSPLLKGPCKMNVPKPLVLDPFAYGNENGRHQGGRGGEGADQSSRSLRHHRFLSVSAIIAILAAIMISIGVLVISLLNISARRRLAFVDNAIESCSSSSRSGPSLSMGRLVWFDSKTDPNWVVSPESFLKKAAEIGEGVFGSVYKASLGEENGSDNILAIKNLVVSNMIQYPEDFDREVRVLGRVRHPNLVSLKGYYWTPKLQLLVTDYVPNGSLQTKLHDRPPSSPPLSWPNRFKILLGTAKGLAHLHHFFRPPIVHYNIKPNNILLDDNLNAKISDFGLTRLVSKLDKHVMSNRFQSALGYVAPELACQSLRVNEKCDVYGFGVLILEIVTGRRPIEYGEDNVLILNEQVKVMLEEGSVLQCVDESMGEYPEEEVLPVLKLALVCTSQIPSSRPSMAEIVQILQVIKTPIPQPF >KVI08284 pep supercontig:CcrdV1:scaffold_577:62802:68795:1 gene:Ccrd_013343 transcript:KVI08284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MRRWLCCTCQVEESVPSNDNEFVKNSTEHGDGHQKGSKGSPIKSEVQKAVPPMEVPALSLEELKEKTDDFGSKALIGEGSYGRVYFATLNNGKNVAVKKLDVSTEAESNNEFLTQVSMFSTLKHENLIELLGYCVEGNVRVLAYEFATMGSLHDILHGPVLDWMQRVRIAVDAARGLEYLHEKSQLSIIHRDIRSSNVLLFEDLKAKIADFNLSNQSPDMAARLHSTRATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKASSAPAPAAEV >KVI08280 pep supercontig:CcrdV1:scaffold_577:194039:195561:-1 gene:Ccrd_013353 transcript:KVI08280 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MRDIMERFLVLPFSMGCMSKSNAAGAVESSQCKEQKPQPKQLVTRMQEGKSSSGMKLKNSWGLVALRRSNISRGFRKLVLETLESFSRILSYKDVEEIELELEIGLPTDVKHVTHIGYDGSMTRNPVKNKNWDNMETPEIHSFPCISMKQFEHAMAAQSQTPPPPNHSTNDKDYTS >KVI01357 pep supercontig:CcrdV1:scaffold_5772:12638:15725:1 gene:Ccrd_020372 transcript:KVI01357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular solute-binding protein, family 3 MEHKNPIISWSFFITLLCIHFMIDFVKAFEVHSKAYMIDAAIQNRSILEQGGIGNDACAGILGPNWQNVAKQNSTACPNLVVWVPKKPGFTEFVKVNEAFEVEGGLSIAIFCHALQLLPFNIQPIFIPFTCEAVAGDITIRLNRTQYVQFTTPYLSSEVYMLVHGAQDWNQTLLTFIKPFTWRLWITIIGACIFIGVAIAILEYRVGNPRFAIPFYQKLIMVIWFPISTFFFHEGKILNKCSKVVLIMWLSMIFIVIQIFTAMLSSWLTLNQLHPRLPSSLDNVGYQDGTYLKDFITQNHNQYRIGNNPVPLKGIEDFEKALSNGSVNVVLDELPYVELFLAKYGSDYMKFGPIERASGLAFAFPHGSRLVDDFSRAIINVTENDLVMKLMEKYLGIYTAYKSEPNQPLPKSLGVQSFLGLFIFIGSVTLAAIILSEISLWSRNNKTIPISTVASVHL >KVI01358 pep supercontig:CcrdV1:scaffold_5772:27711:30088:1 gene:Ccrd_020373 transcript:KVI01358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular solute-binding protein, family 3 MELKKRIVPLSFLFTLLYIHCTVDYAKGSVATMVKSMTRRRSIQEQVGAGPEDVCAGILGPGWQKMSTNQSPCPELVVWVPKKPGFSEFVQVNKESKLEGGFSIAIFCHSLQLLPYNIQPIFKPFVNDKGESKGTMFQLLENIKNKNCEAAAGDITIRSDRAKFIDFTTPYLSSEVYMLVRGSHEWNQTLLTFLKPFTWRLWITIIGACLFIGVAIAILEYRVGNPKFAIPFYHKLVMVVWFPISTFYFREGISLFFRYIINIIKALFGKYQ >KVH93361 pep supercontig:CcrdV1:scaffold_5775:12352:15082:1 gene:Ccrd_004587 transcript:KVH93361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MGYSNNISLLIFVMSTAILHFSQAQNSPQDYVDAHNRARAQVGVGPMTWDSRVASFAQNYANQRQGDCQLIHSQNRPYGENLAGGSGFELTGVGAVNLWVGEKADYDYNSNTCAPGKVCGHYTQVVWRKSVRLGCARVGVGPMTWDSRVASFAQNYANQRRGDCQMRHSQNRPYGENLAGGSGFELTGVGAVNLWVGEKANYNYNSNTCAPGKVCGHYTQVVWRKSVRLGCARVKCNNGAWFVTCNYDPPGNYVGEKPY >KVI00847 pep supercontig:CcrdV1:scaffold_578:70248:83923:1 gene:Ccrd_020896 transcript:KVI00847 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MIVKRQGKSLEGMNDGNKKVHFFKLFSFADRYDVMLMMIGTLGAIGFGMAQPLMTVIFGQLINSLATSDSSNVTDNISKVCIVYLYLAIGIGIASFFLFKDMFSCAEVSCWIISGERQSIRIRGLYLKALLGQDVEFFDTQTSTGEVIERMSSDPIIIREALGEKVGKFIQLVTTFVGGFLVSFIRGWRLALVVCFSAPVLVVAGGCMSFIISEMAVRAQASYAQAGNVVEQTVASFNGEKQAIKKYDDKLEIAYSATAHQGLASGLGTAVSLLVSSCSHGLAIWYGSKLVLEKGYNGGETSPCLSAFAQGRAAAYTMFETINRKPKIYAYDEDGIILEDIKGEIELKDVYFRYPARPHIQILSGFSLHIPSGMTAALVGQSGNGKSTVISLLERFYDPETGEVLIDGVNLKKMQLKWIRSKMALVSQEPVLFTTTIRENIMYGKENATDEEIEAAIHLAPIARAILKNPRILLLDEATSALDAESERLVQNALDTVMASRTTVVIAHRLSTIRNAELIAVVHAGKLLEKGNHEELIKVPAGAYAQLVQMQSGKNHQAEEEQQVVVDIELEDIEQSSCDGSSVKTSPANRTSFGDVICSINTQETGIIGERKEETTGPKRVKNIPIKRIAYLNKPELLVLFLGSIAAVAHGVLFPIHGLIMSSSIKIFYEPPNKLRKGSSFWALMFVGLGFCSLLFVPLQNCFFGVAGGKLIQRIRSFGAVGARLATDASTMRSILGDALALVVQNVAMIAAGLVIAFTANWILALVILVLLPLLSLQGYIQLKFYKSISAGAKAMYEDASQVASDAVGNIRTISSFGAEEKVINLYQKKCEQPIKQAVKSGIVNGAGFGLSSFVLYSSTSIFFYIGSILQQHGRITFNEIFRVFFSLSTLSSGLSQSATLFSDLNKAQESIISIFEILDSKPEIDSSIESGTTLDQVMGNVELQHVSFKYPTRPYIQVFKDLSLTIPSGKTVALVGESGSGKSTIIGLLERFYNPCSGHIYLDGMDIQKFKISWLRQQLGLVSQEPILFNETIRANIAYGKQGGEQMSGGQKQRIAIARAVLKDPKILLLDEATSALDAESEHIVQDALDTVMIGRTTIVVAHKLSTIKGADIIAVMKNGVIAEKGRHDELLNLSGGVYATLAALQTTSI >KVI00849 pep supercontig:CcrdV1:scaffold_578:132337:133497:-1 gene:Ccrd_020900 transcript:KVI00849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAVWFCRVVEVVKVGDKMIYSITHKNKNSKVKATYKVVHDXRXESFDCSCNHFVRNGILCRHAFKVMLNSEVQSIPEKYIMPRWRRELVPVMLLSARVRYGEMDVEKQALINQAISMFDLIIGRVRNDKGSLTEFVEKLERLGDEISVEVPILIGTEQKRNDIQELLCVSEPESVDVLPPTRIHNKGCGTGKRLVGMLERVSMNAKKPKRLCITCDKMGWHDSRNCPSKGDSTK >KVI00845 pep supercontig:CcrdV1:scaffold_578:109074:109637:1 gene:Ccrd_020898 transcript:KVI00845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MVSLLKNTLTITSYFILLSSFSHLSHGVFSEELFETISMKRMEKTTHLRFFFHDVHSGKTPSAIKIAGTPDSGLFGDTYMMDNMLTEGQEAASRVVGKAQGLYAFAARKEPALLMVVNFEFTQGDYNGSSISVLGRNPVMVDVREMPIVGGSGQFRYANGYVLVHTVWFDPKTTDAIVEYNVYVKHY >KVI00848 pep supercontig:CcrdV1:scaffold_578:208113:208670:-1 gene:Ccrd_020901 transcript:KVI00848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAIFTTRFMFFATIIFSLAVILGNKSVSAQCQGDVQGLMEQCARYVQKSGPKIQPSVGCCSIVKNVDLACVCGHITTEVENIISMEKAAFIAQACGKPLSHGTRCGSYVVP >KVI00844 pep supercontig:CcrdV1:scaffold_578:110482:112564:-1 gene:Ccrd_020899 transcript:KVI00844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MSGMAQEDGSSSISSSPLHLFSLMSLSPGIGSPYPWLREMESEERGLYLIHLLVACANHVAAGSIENANIGLEQINHLASADGDTMQRIAAYFTEALANRMLKGWPGLYKAINSTRITSVSDEMLVKRLFFDLCPFLRLSYIITNQAILESMEGEKMVHIIDLCSSEPAQWINLLQSLRSRPEGPPHLRITGIHQQKEVLEQMALRLNEEAEKLDIPFQFNPIVSRLDNLDIKCLRYKSGEALAISSVLQLHTLLAFDDEMAKKSPASKRVLHMNSQTLGDFLKKDVTSAFSPSPDSTSSSPFSLANSAKMMNFLNALWTLSPKLMVVTEQESNHNKFSLMDRVIEALIFYAALFDCLESTVPRASLERQKVEKMLFGEEIKNIIACEGIERRVRHEKLEKWVPRLELAGFGRVPLSYNGMLLATRLLQNYGYDGYKMKEENGCLVICWHNQPLFSISAWKFTRYS >KVI00846 pep supercontig:CcrdV1:scaffold_578:48992:54698:-1 gene:Ccrd_020895 transcript:KVI00846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGPKRRKAAKKKQVKESNNSNSSSTTHSHHGESDGGELCSPAAQDQHNHQVPFTEGEVVAVEKNEAGLYQNQSVTVESTEKAGKEEEGSTEIDKGSKLSKSQNSSSSSISSSVDDESHVSEKKVVELESAPVAESVHSIESFPKKIAHEVKDSGVETSSVLHPVKPVDSLLEAESHANDCTQIEKLVPKSLFSEQMLVVNGSALKDNCSTSSEVGESAVVENGTAKSPSPEENASLEARDDDVAVSTHPMGSISEPETSQYSDKQVGPFKTDHVMVLRSWESNYKTRVLYISVVPILWRYRYVNFAMKVSSQT >KVI01568 pep supercontig:CcrdV1:scaffold_5780:7608:10497:1 gene:Ccrd_020158 transcript:KVI01568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II Pbs27 MTAFLSSKIRPPPILNSVDKITREKEYKPQSRCHIVCQETWSSRRHVIANGGVALVSVLAFNCGFRPLVAWAGDEAIEQEENDESLVGAFKSLFDPNEKTKSGRVLPKAYLNSAREVVKTLRESLKEDPNDMAKFRRTADAAKESIREYLGSWRGQPTVVNEESYVVIEKAIRSLARFYSEAGPSAPLPEQVKLEIISNLDTADKFL >KVH88687 pep supercontig:CcrdV1:scaffold_579:104167:105206:-1 gene:Ccrd_026066 transcript:KVH88687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MMNKEEKPNSVYVGDMNETELVRNVANFGAVSLPLLFAFKTSSSDDETIIKLYKAMDHQNVIKMDMAFGSSSMLLLGKWNEEDHVNLQEFDIKPDDHVVPPLQPLTVPLRKRKNPADLDAAEIVALSPTTLMTKNRFVCEVCHKGFPRDQNLQLHRRGHNLPWKLKQRTRDVRVKRKVYLCPEPSCIHHNPCHALGDLSGIKKHYSRKHVSERNYKCLRCPKMYAVESDLKAHLKVCGKKGYRCQCNTLFSRYIIS >KVH93589 pep supercontig:CcrdV1:scaffold_5791:13574:26280:-1 gene:Ccrd_004359 transcript:KVH93589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MQRRCFIPSVMSYSVLKQVVTMASISGGIPNPIVRTSWLWSRKLLPSRSSIRVSINSFVDPAKAKGREEGEPFPRQSQEKQPGKEHGKVALVTGGDSGIGRAVCYCFAKEGATVAFTYVKGYEEKDAEDTLEIINKSKMSDSSNPIAIPVDLGYEKNCKNVVDEVIGTYGRIDVLVNNAAEQHATNSLEDITDERLERVFRTNIFSHFFLTRHAVKHMKEGSSIINSTSVLAYTGSPKWLDYSSTKGAIVSFTRGLALMLVDKGIRVNGVAPGPVWTPLQPASRDEQDTPTFGSQVPMGRAGQPFEIAPSYVFLASEDSSYVTGQVLHPNVV >KVG95000 pep supercontig:CcrdV1:scaffold_5796:7799:22312:-1 gene:Ccrd_026067 transcript:KVG95000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 135 MSPSGNNPTVAGDGCLCEDACDGRKTCSQCGRNSKKTSLTSSSSSYALLSFDSFPVEDYDKRWRIFTASVKGFAIGAGIRGGLSLFAILARLRRRRSLSSVKKVQMASGGQDLILALKETIRYGLFLGTFAGTFVSVDELIAALGGHQRHPPLSVSSRWRALLAGAVAGPSMLLTGFNTQHTSLAVYIFMRAAVLASRCGIKSKRFGRICKPLTWAHGDLFLMCLSSTQILCAYILKQDSLPPTYKSFLNKHGGKDPIILQGIRDIACGVPFTNLEQIQKYYKSTGVDVMLDPQMEVPCSIIHENQSCSWHAFSFFLQSYKRAIPVYLPVYLIPALIVHRQGLAKRPFTILAKGLLGTARSSLFLSTYCTSAWVWTCFLFRLFKRCNVTMLALGTFPTGLGLAIEKKSRRIEISLYCLARAIESFFTTMSDIGYIPQFNNLKRVDVLIFSISTAIIMHCYAMERDVFRSKYLNVLDWVFGVPLPLYETTPKRIKNQTSL >KVI04398 pep supercontig:CcrdV1:scaffold_5798:5414:20727:-1 gene:Ccrd_017287 transcript:KVI04398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MIWNINNEISVLLQFKNNLIDQPNRLSSWAGQDYCHRSSVVWDNSTSHIHEIRLRGPDDGIHGHCHGSYDTHDELKEDQNKLGGIISPSLINLKQLRYLDLSCNNFESSKIPAFIGSFKNLSYLNVSNSQFYWESINATELHFSSCELTRIPSNPTKVSFASLMVLDLSDNTFDSSLLPGLQYLVLLDLSNCYISGINPGTHRDFRNMPSLHTLRVTSNAFVNSRSILNGSSNLSDLHFLDVSNYNLSSPILGNLQNLSLIVHIELSNNEIQPLQLDYLDLESNEFHGDVSELLTNFCEYESLKLELLALQGNYLSGRQPAKLGRLKNLGSVDVAYNNFIGTIPDSLGSLSLLKTLEMNINQLAGSIPNIVGGLSSLNFLDLSYNKLNGSLPESIGQLGKLTFSSLHHNSLTSIVTEHHFANLSALKTLCVRDNKLVFKLSVTNWILPFQLDVSRIGSSSLGPSFLLWVRSQANLTELYLASANISNTIPIWIWSTFSSVTFLNISHNDILGKLGDVSFLTPGAKLDLSANHFYGLLPYNLSRPDLEFLDLSYNNLSGSLIQFLCSIIQEPRQLKVLVLGNNNFLGVIPNCWINWGSLEILDLKENKLSGEIPYSLGNISSLVSLAVHNNRPSKKLPVSLSNSKSLVIIELAENRLSRRIPTSIGGDGTSLRLLSLRSNKLEGESQMKFVVLVLFKSWILLTMIFLGTYQHASEISVSFLEGTSQVQLFFMILFSKVMDKFPYSTILYLVTTIGLSSNKFMVLTLPGLWYLNLSRNNLTGNISQRIGEMRQVESLDLSINHLNGVIYFEFIELVEQQSFVKNPNKYSNSKASMNLASLPMLFVELLFHLANNRLALMRMRLFMKLAARLTQFSDHLGCDMHL >KVI04399 pep supercontig:CcrdV1:scaffold_5798:13198:20494:1 gene:Ccrd_017288 transcript:KVI04399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MFLFSSFWITSLLLARWKRSSTKSIGNEARFIEALEFEYLLGFLTKDCCSTSSMNSKLRSLKLVPSPPIDVGILLESRFSASSMMTKLLEFDRDTGATPKSVLELMDVKDLTLSHVKSHLQGDGILADSGTEQLEFITLIHHEYHKIHGNGRESHRQVHEGESRGCDWWSYPRPHWTDGSSLDRPKKRGGWVGR >KVH94803 pep supercontig:CcrdV1:scaffold_5799:23806:26571:-1 gene:Ccrd_003132 transcript:KVH94803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEADQDFVEILFSFLTLPLGKIARLSINHEDEKNVKVGSLTSLYESVVNLDIERFSNEECKVALVNPRNSSADLCQKLKVNLNEMKPTIDDSNDDDHGDAVLIKEKGSFLITDDLNIIPVLLDRGIELFNTLGVDYIDLLDERTVVFGLEEFSNLLKWSLLTNNPLTNLVLGGSKTFPCSSCITNSTPSSSHLPSSESVQPQTMKLLVQKSKKKVLCAQVEKFFIELLFSFLTIPLGAVKRLTMDNSSPMAIDNLYNSISSLGDXNYLKSEDVKTMLLSPTIAANYLRVTDFLPIYAANTRLGSFTKEQPTFIVSDDLEVSLPPSISTISKFNTLGIPVGDIEVLEVSIGEQEESNPLRTLHSFFRLIGIGWIRLV >KVH94802 pep supercontig:CcrdV1:scaffold_5799:6457:11998:1 gene:Ccrd_003130 transcript:KVH94802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAAAAAVTPKSAAFGARSSNLSDAYLNSICLRKPSSGFRYNIERKWGNLSLQGGGGRNRGAIVERENRGRRGVGLVKCTAEGIERGILTGGRAQKDGFVMPERLKVVALMACVMCLCNADRVVMSVAIVPLAAKHGWSSSFLGIVQITRTEWGSDILWFPCNERATAVGLSMGGFHLGNVVGLVLTPIVMSSVGISGPFILFSSLGLLWLTMWTLRVTNDPQESRSISNSELRLIQAGKSKAPPLKKGELPPLRLLLSKMPTWAIIFANVTNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAVPWGTMAFSGYVAGAASDYLIKEGHSLTFVRKVMQSIGFIGPGLALLCLNYAKTPVIASVYITVALSLSAFSQAGFLLNMQDIAPQYAGFLHGISNSAGTLAAIISTIGTGYFVQWLGSFQAFLTVTAGLYFVTAIFWNIYATGERVF >KVH94801 pep supercontig:CcrdV1:scaffold_5799:14292:21946:-1 gene:Ccrd_003131 transcript:KVH94801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase, alpha subunit MKASIGNIDTRCFLLTTLVLLQALSLDLSDALSLPLPLPLLCLSACPQFYPNALLSQGENLKCYTFGSRDGFSISVYASELLRSSSNGVNGVPLRTLGRGHTGTSKKRLSVSAKVRKVKKHEYPWPQDPDPNVKGGVLSHLSPFKPLKEKPKPVTLEFEKPLMDLQKKIIDVQKMANETGLDFSDQIISLENKYQQALKDLYTHLTPIQRVNXARHPNRPTFLDHVFSITDKVWYLMLSKLSNFSEVENLKSYLKESHMIDPFLNQNVQFVELHGDRGGYDDPAIVTGIGTIDGRRFMFMGHQKDHHGFPIVTFIDTPGAFADLKSEELGQVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKVAAEKLKITATELCKLQIADGIIPEPLGGAHADPHWTSGQIKAAILETMDELEKMSTEDLLRHRMLKFRKIGGFQEGLPVEPIKKVNMKRKEEPRPGLISDKVLRGEVNKLKEQILKARESSSVDMDQNGLIEKLKREINYEISEAAKALGIEEKLTKMREEFAKATDEQLTPAQFKDLEDIKNEFNKNLATAPNHGRMKYKLNLLKEISEAKIFAENYKKSLPLKTKVNEKFREVLEKPNLRQKILGLKDELEKSGVSAIEELDQELKEKVLEVRKEVQSEFVNALEASGVHVASRVDQSSMAMIKAKVNELNAEINQIIQDVIESTPDLKNKIETLKLEAAKNKNAPSDKSKEKMEVLEQQIKQGIAEVMSSAAIKEKYEQLQAELTELSGGSDGNLIKEPTYA >KVH95699 pep supercontig:CcrdV1:scaffold_58:110856:113384:1 gene:Ccrd_002217 transcript:KVH95699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MYEALFNNKFTDALVFYFSVLLLLLFSPFLFTWELITTLRSCFQKTENVAGKVVLITGASSGIGELMAYEYAKRGACLAIIAIKEANSRLEEVAERARELGSPDVLFLFADVSKVDECRMFVDDTVKHFGRRIGPLYAIDIDVTKFRPVMDINFWGSVYPTQFAIPHLQKTKGKIIVNASSAGLLNLPKGGFYNASKAALISFYDSLRFEVSPTITITILTLGFIQTDFITAKYSTNGDMGTLYPSMGAKPCAKAIVDGVCKGATSIAEPRFIKALIVVKFLFPELHRIIFDVSLPSDSPTD >KVH95714 pep supercontig:CcrdV1:scaffold_58:591604:594046:1 gene:Ccrd_002176 transcript:KVH95714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKQNGVVFFLCLVSLCCECAFGGDREALLGLKSSIDPSNSLQWNGTDFCRWEGVRECLRGRVSKLVLENLNLKGTLDSGNLNQLDQIRVLSLKQNSISGEIPDLSGLPNLKSLYLSYNNFSGEFPASLTTLHRLKTIVLSGNRLSGAIPETVLNVQRLYVLYLDDNRFTGKIPPLNQTGLRYLNLSNNKLSGQIPATPVLVRFNSTSFFGNIDLCGDAFGIPCSLAPSPSFAPANPTPPTTKKSNHRRNIKIIVIIAGSIGGFLLLCILIAVLVICLKRKKQKSPDVAVKGAEAVPAAGGGGGAAATGSGKNGGGGFSSEGDDSGGGIGKLVFFGGGDQPEMSYSLEDLLKASAETLGRGTVGSTYKAVMESGFIVTVKRLKDARYPRVEEFRRHVDVIGRLRHQNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSRTSAGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGSDFESCLTDYGLMSFRNPDFPEESSASSLFYRAPECRDMRKPLTQQADVYSFGVLLLELLTGKTPFQDLVLEHGADIPRWVKSVREEETESGDEPTSSGNEASEEKLTALLNIAMACISLVPENRPVMKEVLRMIRETRAEAAHVSSNSSDHSPGRWSDTVQSLPRDEHLSI >KVH95738 pep supercontig:CcrdV1:scaffold_58:284993:289696:-1 gene:Ccrd_002203 transcript:KVH95738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MATIRNLKIKTAACKRIVKELHSYEKEVEREAAKTAAMKEKGADPYDLKQQENVLAESRMMIPDCHKRLESSLADLKGILSELAEANQKEGPEVTDAENTVADIEKLFQTKEG >KVH95711 pep supercontig:CcrdV1:scaffold_58:632463:632931:-1 gene:Ccrd_002172 transcript:KVH95711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DALTQLLDVRFFGDIEDHLCHFQLTPWVKGFEKEIYGFLLSWFLLDMFMSFGIAVDAWVAMVDSKKEWKGSYQRSLLMVHPAINLKCLEGILCGSFARWVLLQSFGKLFASAFQSFMESMDANSSGQPFGGRELEVLLE >KVH95707 pep supercontig:CcrdV1:scaffold_58:70676:73003:-1 gene:Ccrd_002219 transcript:KVH95707 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MTNITAVIVAAPRLFNKSILTYLSRPSLHLISFHLSLSLSQMEINNGGMFSYTSSSTSSPPPAAAINSSSYLSLNMMNNDEYSHVNNYSKQQQQQQQQVVGLMEKDGIQMYESSDDMVADQPTSSRLTDDLNMASGKSSIRKGEKKIRKPKHAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRNYYRCTYQGCNVKKQVQRLSNDDGVVVTTYEGMHSHPIEKSTDNFEHILTQMQIYSR >KVH95737 pep supercontig:CcrdV1:scaffold_58:291027:293369:-1 gene:Ccrd_002202 transcript:KVH95737 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPS-induced tumor necrosis factor alpha factor MEKKNEEPVMGIPYNPNYHAPPVYPPPQSQYHVGHNPYQSGQIPSNAVVGDPKGVPLQQTIYRDTPAPINCAFCGNSGLTTVRSKPSLAAVVGCMMPFMLGVCFLCPSMDCLWHKYHYCPHCNEKLADFEKNDACAVMDPPSWCQPSFALPA >KVH95726 pep supercontig:CcrdV1:scaffold_58:1:688:1 gene:Ccrd_002226 transcript:KVH95726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGININQTQALELPKACNVQTPPTSRCNASSPTNSPSGTTPSGTGTQSNTVPSTDNGSSDATSTRFASIPLVSSLFVVAYTMVF >KVH95740 pep supercontig:CcrdV1:scaffold_58:211315:216009:1 gene:Ccrd_002209 transcript:KVH95740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCFDSRVEEKSNPQKVGADRPEVHPSAPSNISRLPSGVDRLKSRNNVSLRRESSGPKDGPYSQIAAHTFTFRELAAATNNFSPDCFLGEGGFGHVYRGRLQGSGQAIAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHGNHFDLPPDREPLDWNTRMKIAAGAARGLEFLHDKANPPVIYRDFKSSNILLGEGFQPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTAPQGQQNLVTWARPLFNDRRKFASLADPRLEGQYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANHAYDPSAAAATGHSNRHNNGEKNGRISKNEEGGGSGRSRWDLEGLEKGDSPRETPKMLNRDLDRERAVAEAKMWVEKRRQSAQGSFDGNNNG >KVH95716 pep supercontig:CcrdV1:scaffold_58:608988:625219:1 gene:Ccrd_002174 transcript:KVH95716 gene_biotype:protein_coding transcript_biotype:protein_coding description:DBC1/CARP1-like protein AKVHTAPTFLLINSFCSFVELGWRSYTSDAFAVIDLTACILLEGVMRMGNNNSNSQSHSHTPVNLLMVKTFSWTCQVTHSSIQLGPDTASQMSIASRHSALLGGHSSAGAHYGGQYTSVYGSTALNSALQAHHLSLSCFTICKHKEMYPTLDTPLCVFVEKPLLIVDIMTFSMDMSLVAALEVPDIYDRLDAASALRQEMLQAQSLQSASIDGSSRQADYLAARAATIRHAGQELLPYGGRMDADLHTISMISSTPHGAQHAPSILGAAPRREAEDLVFAHSSANPGYGVSLPPGRAYASGKGLHGTSLESDYPSGMLARGGYGRLDDRKDDMEYAREIERREEEHRAIHLLKKNEENMPARLLSVRCHPCAFAMYPHLHHLFDLSYGVIPVYSFNLLDVERDYLSMDKRYPRLFISPECSKVVVNWSQEDLNVSLNTPISFEHDFVQEEMPTEHEMPSTKTTADDHVKSESGTIRWNAKMLLMSGLSHNALEELSSERNYEDRIPHLCNMLRFAFLKNGNSFMAIGGLWDTVDGNDPSVDKSTLVQTVLRYAKELTGLDLKSCRHWNPFLEIHYDRVGKDGLFSHKEVTVLYIPDLSDCLPSIDAWRDQWLAHKKAIAERELLHALKREKLREKKEVPKDKEPGTTKDLKKDEKPAKKKEAESSGSASKLNGKGKLTTKIKAKETGKEDDKTKTVIEKKVETVDEGNNSGKKNQTEAVGIQTPGSGKKKIIRKVIKKKVVVEKDGGGKTPKQDDMMDPKKVEETAAKAKVIGEDSEPSANRSTVKTSAQKKATKKAPAVKIIKKEDEGTQSEVFKEPEFSEDKPKTADVAGAGVKTTVKKKIIKRVTKRKVAARDADNKDKVALENELGTTIGEQTAALGNQESDVKMENNELVSEVMPQNVVPELQKDVGGLNGSGNKGVNNQAREEKKADVDAGSVSKMKIENDDLNVPQTDGQSEKNEKSKDRKERKVKDGKAESKVKEVKDKKKAEEPPRHPGLFLQTKGSKNSKLRSLSLSLDSLLDYTDKDIEESTFELSLFAETFYEMLQYQMGSRILTFLQKLRIKFVAKRNQKKRQREEVLENNEKEKTSSAKKSSPKRLKTEDVTVESESVKTEDVTVESKSIKTETLDEGCPADGKTVVKDENMLVDNVENTKPEDESDKDEDPEEDPEEDPEDENMADASPKNDVDDEASLTEKAEGEKVNMDLKSENVDEIVKGEQEENAKVTVNLNFKLEAEEKKETPKVDTKKKETPVTTDKELLQACHLHNAFRFFDRNRVGYIRELVQSALLESNTGRDDRILYNKLVRMTDI >KVH95701 pep supercontig:CcrdV1:scaffold_58:156883:160286:1 gene:Ccrd_002215 transcript:KVH95701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MYEPLFNNKFTNALFFYFCMLLLLLFSPFLFIWELINTLRSCFRPTENMAGKVVLITGASSGLGEHMTYEYAKRGACIALIAIKEPESRLEKVAERARELGSPDVLFEFADVSNVDECRMFVDDTIKHFGQLDHLVCNAGIGPLYSMKIDDINFWGSIYPTHFAIPHLQKTKGKIIVNASSAGVLHPPRGGFYNASKAALIGFYESLRFEVSPTITITILTLGFIQTNITTAKYSTTGVGVHLKRDLADVSPSMGVEPCAKAIVDGVCKGATSITQPRII >KVH95718 pep supercontig:CcrdV1:scaffold_58:13787:21876:-1 gene:Ccrd_002224 transcript:KVH95718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MTTSGYHLRYLVEEAQVRWLKPGEVLFILQSFEEKQLTHEPPQKPPSGSLFLFDRKALRFFRRDGHSWRKKKDGKNLGEAHERLKVGNVEALNCYYAHGEQNPNFQRRSYWMLNSEMEHIVLVHYRDTTMGRKIVGPLSVSSPGSSTPVQSPISYASQLTRSAAASSEIGEPYESTSSPSCIEVTSSLLNKDEGSSHLNIMEGTEGISGSPNSEIDQALRRIEEQLSLDEDQLKDIGAFYSENEDSYDSGLTVDEQSYNAFSGMQVNVILESAQEQLNMKRFCVGHSGRLHEYQQQSQDKFTSTSQQTSFWKDMLIYDGGAAGDGESQEDQVYPGDKNGIHLPQPRDYPVEKQENCYSGDNGAKNDPFMFLPAELVDLKFSSYTHAKNMYGSDPDFRPATFDQEHIQMPLESDSSLTISQEQKFTIREISPGWGYATESTKVLIIGTFTCDPTKCDWTCMFGDIGVPVDIIQDGVICCQAPPCLPGKVTVCITSGNQEACSEISEFEYRDKPNSYGHANSIEKESHWSLEELLILVRLVQMLLSDQVTQKRESTRTDLLASSMAGEDTWGQVIEALLDGSLASSSATDWVLEELLKDKLQQWLSSRLQDNNAPPALSRREQGIIHMISGLGFWWALKPILNSGVSINFRDINGWTALHWAARFGREKMVAELLASGAFAGAVTDPSNQDPTGKTPASIAFACGHKGLAGYLSEMALTGHLSSLTLQQTELSLSSADIEAERTVNSLSNTNLVMNDDHLSLKDTLAAVRNATQAAARIQSAFRAHSFRRRQQKESAASGSEDEYGIFSSDIEGLSAASKLTFRNAHDHYAALSIQKKYRVQAHVRGHQVRKSYKVICWAVGMVEKIILRWRRKGTGLRGYRQESPSVDEGEDEDVVKAFRKQKVDVAVGEAVARVLSMVDSQPAQQQYRRMLENHRQAKAERGGDVTNMEKDDLY >KVH95745 pep supercontig:CcrdV1:scaffold_58:510036:515407:-1 gene:Ccrd_002183 transcript:KVH95745 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor Mcm2 MAGENAGGENRPNGSPSSSDQGGNLNSSQFNGNPPSTPLTPDSPTSAGFNTDQLPFNTSRTSENYSDYDDDEAAVDPEIIRDEPEEIDEDEGEGEDLFNDNYIEDYRRMEENDQYESVGLDDSLEDERDLDQIMADRRAAEIELATRDGTASQRKLPHLLHDQDTDDENYRPSKRTRADFRPPSGARDFDDTDAMQSSPGRSQREHSREDVPMTDQTDDDPYEDDDNDEGEFEMYRVQGTLREWVTRDEVRRFIAKKFKEFILTYENPKSEHRDLAYLRQINEMVSVNKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVANKVVFNLHPNYKRIHQKIYVRVTNLPVYDQIRNIRQIHLNTMVRIGGVVTRRSGVFPQLQQVKYDCNKCGSVLGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVIEANHVTKKQDLFSAYKLTQEDKEEIERLSKDPRIGERIIKSIAPSIYGHEDIKTALALAMFGGQEKNVQGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGPRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKNFSQNVELTDPIVSRFDILCVVKDVVDPVMDEMLAKFVVDSHFKSQPKGANWDDKSINNSQEDIQASAMVADPEILPQDMLKKYLTYAKLNVFPRLHDADLDKLTQVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVTQEDVDMAIRVLLDSFISTQKFGVQKALQKSFKKYMTFKKDFNAIVLHLLNQLVKEALHFEEIVSGSNKDVTHIDVKVEELQSKVLDYGITDLKAFFSSVEFGRGNFELDEERSVIRHHLVR >KVH95744 pep supercontig:CcrdV1:scaffold_58:505911:509164:-1 gene:Ccrd_002184 transcript:KVH95744 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MGGGSKFGLLLAVVFGVVAVLKIKGSSSSFDKEVVVEFFRHMSHRLGNWAIPVYVAIHTISLSLCLPYAVFFEAGASLLFGFFPALLCVFSAKVLGASLSFWIGRLLFRSSGSAMGWARSNKYFNVLLRGVERDGWKFVLLARFSPIPSYVINYGLAATKVAFLRDFLAPTVVGCVPMILQNTSIGSLAGAAVGGSQPDKSKVWSYVFPMMGISSSILISLRIKKYSSILSLDDKPEEKTR >KVH95721 pep supercontig:CcrdV1:scaffold_58:414250:419228:1 gene:Ccrd_002195 transcript:KVH95721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske [2Fe-2S] iron-sulfur domain-containing protein ITNSSFPFSFSSFFASFPFEETLNRNHRETLKYSDMLRVAGRRCSSLSSWWPSTPASSAIISRNPINGCGVDSASDASRSVSNPNPVFLNSAVLHHIRGLSSGSIAPTHDLGFDVPATVAAIKNPTSKITYDEHNHERYPPGDPSKRAFAYFVLTGGRFVYASLIRLLVLKFVLSMSASKDVLALASLEVDLTSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVNSLRDPQEDAVRVKDPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLADNKLMIG >KVH95727 pep supercontig:CcrdV1:scaffold_58:10413:12913:1 gene:Ccrd_002225 transcript:KVH95727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MTGDGTVVRRQRAETRAATGMNPLTFYFISPYSYAQNPSLPIQRCRRRQAAEMDPDAVAKAFVEHYYSTFDANRTGLSNLYQESSMLTFEGQKIQGSPNIVAKLTSLPFQQCKHSITTVDCQPSGPAGGMLVFVSGNLQLVGEQHALKFSQLLQLHCRMFLNTLICLQMFHLMPTPQSSFYVLNDIFRLNYA >KVH95705 pep supercontig:CcrdV1:scaffold_58:195307:195741:-1 gene:Ccrd_002211 transcript:KVH95705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MDIVKSNDKKGLILKTWERCKSFHSVGIKTKRALAKRSISLPRLDANKGKSLNIAPKGCFSVYVGPQKQRFVIRAEYANHPLFKAFLVEAECEYGYRNEGPLELPCDVNEFVKVLLEVDNRSENLNSPGCIFGNKEYQYESYPV >KVH95743 pep supercontig:CcrdV1:scaffold_58:487165:491895:-1 gene:Ccrd_002185 transcript:KVH95743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MNKTSCPSRGLSDKQNSNKNVNAPSLRLYQVWKGNNIFALGGRLIFGPDARSLYLTISLIVVPVILFCSLVSQTLVDNFPNNLGPLLVAIPAVFTVYVSCFFLYVMILCCKQQIDEKEQVTYYYILVLIFLVSARDPGIIPRNPPNPDLDDDWDACSMSTDWAASGRYLPPTKSVNVNGMVVRVKFCQTCMLYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGKRNYLYFFMFVSSTALLCLYVFAMCWVNIILIMNAKNCSLWEAFMKSPASGILISYTFTVSWFVGGLSVFHLYLVITNQTTYENFRYRYERRMNPYNHGCASNIKDVLFSKIPPSQHKFRSFVKPEWYSQYNSSRYFAYAFSGNYTKKSYDTDVSYEGSEFDLERCETHQTDHSGKWSNGPELHRLASKFIAENVTREKSKKNGGL >KVH95703 pep supercontig:CcrdV1:scaffold_58:174646:178380:-1 gene:Ccrd_002213 transcript:KVH95703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MALSFTSLSNIGSLSAQRSLSSVKTANTSCLSSQKLGKSTLLASSSFLSGSLRLSSSPNLSFQRKSGGLSCSVRASAEVATQAKVTNKVFFEISIGNPVGSSVGRIVIGLFGDDVPQTAENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLTHTGPGTTPWLDERHVVFGQVIEGMEIVKQIEAQQTDRGDRPLKRVVISDCGELPLV >KVH95719 pep supercontig:CcrdV1:scaffold_58:383606:385274:1 gene:Ccrd_002197 transcript:KVH95719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2301 MQPITVASQTMAMATANFSSLAPFSNSKLPSFHGYLKPISSSNSTNLFRVSIASIGYRKPISTQLQGNRLVSFGVKCQAAGDDGFLEPPRVYQGVYGPWSVDSTDVREVISYRSGLVTAATSFVLVSSTAFLPDDFFLTEFLEQNIDILYTFGATGLGLSLVLIHIYVTEIKRTLQALWVLGVFGSFATKICLAQPADESLVQYVVDHPTAIWFIGPLFAALTGLVFKEGLCYGKLEAGILTFIIPSLLLGHLSGLMDDGVKVTLLGVWMALFVIFAARKFTQPIKDDIGDKSVFIFKALPEEEKAALIQKLEQQKFSES >KVH95717 pep supercontig:CcrdV1:scaffold_58:594419:603214:-1 gene:Ccrd_002175 transcript:KVH95717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3755 MVTSLAVEWSVEEQYKLEEGISKYADEPSIMRYIKIAATLRDKTRKRRKQDELKLGKKLKDKKDMLVESSSKPSISSLSTLNVAPFSVTMNNWFQSGGIPFEALSGSIRHLLEQNNRVLGQISTNISSLKLQDNVDLFNHAKNNITAILNDMRYMPGPPLPVSLNEDLANSILPTTSQKMTLGSSSRMHLKQEPDY >KVH95736 pep supercontig:CcrdV1:scaffold_58:295124:296329:-1 gene:Ccrd_002201 transcript:KVH95736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSSPSKKAAASASASASASSEDTEKWGTHVMGQPAVPTKHPDNQKAALWNAADHQQFYHQQSPYLLYSPVDKPTNNPLEPVIHLFDFWTTKADSIARNIWHNLKTGSSVTGAAWGKVNLTAKAITEGGFESMYKQIFSPSDPNEKLKKTFACYLSTSTGPVAGTIYLSTGRVAFCSDRPLCFTAPSGEETWCYYKVVIPLGNVGTVNPVTMRENPWERYIQIVTVDGHDFWFMGFVNYEKASQHLMNSLSEFNAKVAATAN >KVH95747 pep supercontig:CcrdV1:scaffold_58:525375:531250:1 gene:Ccrd_002181 transcript:KVH95747 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair RAD52-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47870) UniProtKB/Swiss-Prot;Acc:Q9FIJ4] TALPWEGQGWSTIKALTYLLDLQTILGVLLFLLSSPREEPAPAPEASASAQKMAVQSSRFVARPMEVPAIEAHRFPAAITFNLNSRATGTENLFKLNIKTTASVGGRRATLVGVFALGDRNNSSSGGDVNSNTKGKGGSAVPSSNYMVPLDNSSSCITRPLAEILRDLNKRIADNIIVKQSDNTNSAFIPWYHANRMLSFYAPGNDLSFPPALEKLMIEFLLLYEITCALTPEPTSTPNELQRDEGWCGEIRDVIFSDTGSVTVVYRVTVRGSDGEAHRESTGTVSSATNDENIDPVAAAEEIAFCRACARFGLGLYLYHED >KVH95739 pep supercontig:CcrdV1:scaffold_58:221085:224222:1 gene:Ccrd_002208 transcript:KVH95739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MDTVFKFISPDSSKSPLNMALKAVHVTQVPNLDQVPDDLNHSNNAPPAFNIYPPMFHNNSSHHFMIMGHRGTGMNLLQSPDPRMKSLKENSILAFNAAGKFNLDFIEFDVQVTKDDCPIIFHDSFIFTEEKGVVIEKRVTNLKLDEFLSYGPQREPDKVGKPLFRKTKDGRIFEWKVEKDDHLCTLEEVFQNVNHSMGFNIEFKFDDNIVYKEDDLVHVIQVVLRVVFNYAKDRSIIFSSFQPDAALLIRKLQTTYPVFFLTNGGSEIYTDIRRNSLDEAMKLCLAGGLNGIVSEVRAILRNPGVIRRIEDSKLSLISYGQLNNVQEVVYAQLLMGVGGVIVDLVQDITEAVANFGKTVKEENVVKEDEDDEIKCSQYQLSNLMKLIPGLIQP >KVH95750 pep supercontig:CcrdV1:scaffold_58:460177:461225:1 gene:Ccrd_002188 transcript:KVH95750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27e MVLPNDVDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >KVH95729 pep supercontig:CcrdV1:scaffold_58:55063:65387:-1 gene:Ccrd_002220 transcript:KVH95729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1664 MALPLGKLTIIIGAGLVGSVLAKEGRMPSVYDFFSGASKVLKIIKTDDKPSSNPKPHSDSLMAQVNSLRQELQLLASNRPVTIVTSNGSAGATGKYGVIIIVVVVGYGYVWWKGWKLPDMMFATKRGLSDATNAVAKQLDNATKRHLSSRIDRVDCSLDECAEIAASTKEEVSALRGDTKLIALDVQSVHNAVYSLESKLSRIEGKQDNTNLGVAKLLRTALTMEQQASMDRIQVLQETRPDVGESRNTPRGASNGLNITEEGTSSRVFGRTFSGISSVFSRNRT >KVH95741 pep supercontig:CcrdV1:scaffold_58:465137:472458:-1 gene:Ccrd_002187 transcript:KVH95741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MEDLKPLNTTADSSTENGATIEARFRDFCKGRLTMDDNPLEEAMKLFKQSKHLFLTNASSLGSGTPEEAEHYWFAFLLFSLKRLGQRDAAEDATSTNENGLNMCRILRVAKLNFVDFFKELPQFIVKIGPILSNLYGSDWESRLQAKELQANFVHLSLLSKYYKRAFRGLFLATHANVDGQPIVANSPDYISDCHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSILAILIIHIPARFRNFSLNDSSRFVKKDKGVDLLASLCNMYETSEEELRKTMAKTNNVVAEILKKKPCLASECPNGNLDNIDTDGLTYFEDLLEESSLSSNLNVLEKDYDAAICQEGELDERMFIDDDESLLGSSSLSGGAINTSGTKRKFDLMTSPTKTITSPLSPYRSPSASHTNGSLGGVNSKMIATPVSTAMTTAKWLRTNVSPLPSKPSVELERFLSSCDKDVTSDVIRRARVILEAIFPSSGLGDRSVMANIQSTNLMDNIWAEQRRLEASKLYYRVLQAMCTAESQILHGNNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPVLSSEINRLGLLAEPMPSLDAIALQVNMSCGGLPPVPSLQKRESSPGKNCDLRSPKRVCTEYRSVLVERNSFTSPVKDRLLGINNLKSKLLSPALQSAFASPTRPNPTGRGETCAETSVNLFFSKIVKLAAVRINGMVERLQLSQQIRERVYCLFQQILSQRTALFFNRHIDQIILSSSRRNGKTGQDHVDIIMFYNETFIPAAKPLLVELAPVGVAKNPNQVSEANNNDEGGPCPGSPKSTHAYQSPSKDLTAINNRLNGRKVRGTLNFDDVDVGLVSDSLVANSLYLQNGNGNANGKAPSSSSHQLKTE >KVH95749 pep supercontig:CcrdV1:scaffold_58:447061:458049:-1 gene:Ccrd_002189 transcript:KVH95749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPELQPRSYRPYISPSMSAPNFPTTFNNGYSPERNPSPVYANGSTNTRRSLKNSRFAPSSFVHNARIAIALVPCAAFLLDLGGTPVVAALTLGLMIAYILDSLNFKSGSFFAVWFSLISAQITFFFSSSLFLTFNSIPLGLLACFVCALANFLIGVWASLQFKWIQIEYPTIVLALERLLFACIPYIASVLFSWATVSAVGMVNASYYHMTFNCIFYWLYSIPRVSSFKLKQEVSYHGGEVPDDNLILGQLESCLHTLNLLFFPLMFHIASHYSIVFSSASTVCDLFLLFFIPFLFQLYASTRGALWWVTKSEHQLRSIRLVNGAVALVIVVICLEVRVVFHSFARYIQVPWPLNYLLVTATMLGGAAGAGAYEMGMIADASSSLAFTGLAVFVSAAGAIVVGFPLLVCLSQSTAIFPSQGYISGVGMPLFLPLPSVAGFYLARFFTKKSLTSYFAFVVLGSLMVTWFVLHNFWDLNIWLAGMSLKSFCKLIVADVILAMVVPGLALLPQKLHYLTEVGLIGHALLLCYIENRFYTFSGIYYYSFDDEVMYPSYMVVLTTFVGLALVRRLSVDHRIGPKAVWVLTCLYSSKLAMLFMTSKAALWASAVLLLAVSPPLLLYKDRSRTASKMKPWQGYAHAAVFALSVWFCRETIFEALQWWNGRSPSGGLLLGFCILLTGLACVPIVALHFSHVMVKNISFRSWLRFRSAKRSLVLVIATGLLFIIMQPPLPSSWTYHSELIKAARQSSDDISIYGFITSKPTWPSWLLISAILLSLAAVTSIIPIKYIVELRMIFSIAMGIALGVYISAEYFLQATVLHILIVVTMVCTSVFVVFTHLPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKTILAESGVGDVGEEDSKLTALLAVEGARTSLLGLYAAIFMLIALEIKFELASLMREKATERGGLRHSQSGQSSNSLATFPPKMRFMQQRRVSTVPAFTIKRIAAEGAWMPAVGNVATIMCFAICLILNVNLTGGSNRAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVVISGYLVLTSVYSIWEEIWQGNVGWGMQIGGPDWFFAVKNLALLILTFPSHIMFNQFVWSYTKRNDSMPLLTIPLNMPSCIITDVVKIRILGLLGIIYSLTQYLISRQQYMSGL >KVH95730 pep supercontig:CcrdV1:scaffold_58:35309:44949:-1 gene:Ccrd_002221 transcript:KVH95730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSSMLKTLERYQKCNYGAPESNVSAKEALELSSQQEYLKLKTRYEALQRSQRNLLGEDLGPLNCKELESLERQLDSSLKHIRSAR >KVH95722 pep supercontig:CcrdV1:scaffold_58:442809:445154:1 gene:Ccrd_002190 transcript:KVH95722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLAAGWFSSFKEVGSAKPTPEDEEVPWIGDDTEQSRFALLAVSPAVFLRQLPHSSNDFGFREGNSSVSSSPIPSPPFDFSHYVEMSVYENVVAGKLKLKGKALDVKPGGIKKKKKKKKMMIIDISDQITQTLENEISEGYEDRKDGNKSKGGCYMDHLTPAERRYMEQRQKIDMQKMAKTSNKSHRDRISEFNQYLANMSEHYDIPKVGPG >KVH95732 pep supercontig:CcrdV1:scaffold_58:268189:273673:1 gene:Ccrd_002205 transcript:KVH95732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arfaptin homology (AH) domain/BAR domain-containing protein MKTPFIKVRGLGLHRDNKRDRQAIQRSLAQLDELSQASQDMQDMRDCYDSLLSAAAGTTNNAYEFSESLQEMGDCLLAKTALSDDEDSGRVLLMLGKVQFDIQKLIDNYRSHISQTITVPSESLLNELRVVEEMKKQCDEKRMTYEVMKKQYEKGRSKSSKGEYVSSRQLQSARDEFDEEATLFILRMKSLKRGQSRSLLTQAARHHAAQLDNEDLTFPKIGSVNSTQTNTYRRTFLNTGSKSAPLSAETTFDPNERYRPRKLNTYVLPTPLEKSSAPSRTETQHPQQIPPTNTNMWHSLPLEQKNYENIFKKENNSRPIVSNDSKPTPVAEAPSSVQLDSGPASTSKKIKRDVFSGPLMGKIKRDAFSGPLIGGFQSKPLLSTSGSIGSTTNHLPSSAPPFRTTLTQPSEVHVSSVPLPMIRELHELPRPPPANIASNKTSKVGFSAPLVAYKGDIDDSAAAYTLPLPSSKSQRETWKLRGDESPPLSPITFRNF >KVH95748 pep supercontig:CcrdV1:scaffold_58:531507:540162:-1 gene:Ccrd_002180 transcript:KVH95748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLWVSYGKFASPQFESKLCRSHVRLYGIHHHPQSSVGFRKVNSFKVLCRNSDGQNSNNQPGRTGIQLYSEIERLLTETVRQSQDGWGGSRDWHEVEGAWVLNPKNSKPTSVVHFVGGIFVGAAPQLTYRLFLERLSEKGVLVIATPYPSGFDHFFIADEVQFKFDRCLRSLHETVKDLPCFGIGHSLGSVIHLLIGSRYAVQRSGNVYMAFNNKVLGHFSFHI >KVH95715 pep supercontig:CcrdV1:scaffold_58:575331:581682:1 gene:Ccrd_002177 transcript:KVH95715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication fork protection component Swi3 MEANGGSGGAAPTGCYKCGRPGHWSRDCPSNPNPNSNNDRANNKPAASSHPFKASGAVGGGSFPSQKQPIEKPKKVPKTRPKLTPELLLSDDGLGFVLRHFPKAFKYRGRGHEINDLGNLLSLYAEWHSHLIPYYSYNQFVHKVEQVGGSKRVKLCINDLRERVANGGDPTKLLETPEPHEDSNHEQDAMNPEEPNLFHQEETLPNHDADDFQHDMLHEIYENTTEEPSRSLNVEATVAVQKEVPSQPADANNIEMSEELKARIESNRLKALERAAARKRDSQSQAP >KVH95708 pep supercontig:CcrdV1:scaffold_58:97747:100004:-1 gene:Ccrd_002218 transcript:KVH95708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPSLDDFEYYPKSNYYAKPSSKARETNHRKSFACLEAVRGDDESMEDASAALSVLFHGFLAIGTLGTDPVTSDPATPTFSTSVEYIMEKETEATENELQFINDELEKVLGGEGREDDSSGRNSHVSIGRSSHGSTITLSGKPLEAADNENGAVACPLQGYLFGSAIELTETTTGKKEHRTSLGELFQKTKLAEENTGPKCNRVEKQKEKETDKSAVHLMKKILKGRKASGGTIDTASADKKHKILQMFQRKVHPERTKSQNQSKYAANGTYVNEDGYKKRNQMLSGEDITTFSESEISKKSANNTRSNMPQATCGASDSNGNRECWIKSDAEYLVLEL >KVH95702 pep supercontig:CcrdV1:scaffold_58:170498:173914:1 gene:Ccrd_002214 transcript:KVH95702 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MASIVYIVDEQSGSPIEDVDRLAKIKQLLVFILKGDRDRRGAQTTVSVGSTHTQRRLHQLMYADRDYDKELTGEYDRAESSCGVKRLVTVENCSEKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVFHATIVVEGSEASQEFYIRHTDGCPISSEAERQRVIDCLEAAIKRRTSEGLRLELCGEDRTGLLSDVTRIFRENGLSVTRAEVTTRGSKVVNTFYVMDSSGDHVKSETIEAIRTAMGESMINMKEDDTVKPNPKPNLQQTGRFSLSHLFRSRSEKFLYNLGLISSYSEVA >KVH95746 pep supercontig:CcrdV1:scaffold_58:519131:520321:-1 gene:Ccrd_002182 transcript:KVH95746 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein METAAVRMTEIEGRGRAMVALRPLKGGEIVLKDSPIILYSAFSFLSDDHQKSNGYCSHCFITVSQQSQKASCSTCGCGFCSLECQSVASATSHTPWVCQALFRFRQYVSDHDVGLDLQLQARFLVSAYNLASVSPVRFQTLLSLQGSVSSQPDADSSAVFLHSFISSLCATFPRELGFSLELTCVLLAKDKLNAFGLMEPFSEEREERSVRAYGIYPLASFFNHDCLPNACRFDYIDVAGPASSNTDITIRMIHDVPQGREICLSYFPVNLKYSERQKRLRDDYGFVCECDRCKVEANWSDCDEDDDLDVDDNNEEEDDEEPTAVMDEDPDVEMEGEDGDAMKGEDDFPHAYFFLTYMCDRKNCWGTLAPVTPSVATTSIVMECNVCGYCKNLDQV >KVH95712 pep supercontig:CcrdV1:scaffold_58:630038:631520:-1 gene:Ccrd_002173 transcript:KVH95712 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MGRSGDATSKSVNATRARKRVEADTASTSLKRAKNGSAFTRCEACNKDVAVALISMHDCGLDAKIRMNLEAQVVEMLNDPVVKKATEKKKPSTGKEQSAKKVKKQKDPSKPKKPPTAFFLFMEEFRVTFKAANPDNKKVSVVAKEGGEKWRSMTEEVSSKFLDLFVLEKKPYTERAAELKEDYLNALQTPIDAENEKAERESNDDDDEGDKVEVVADEEGSPDEVEVVADDE >KVH95742 pep supercontig:CcrdV1:scaffold_58:480845:485699:-1 gene:Ccrd_002186 transcript:KVH95742 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MKRSTDDIGEKLQAKKPVFLTKAEREQLALKRRQDEFDEQKRRSEQLLLQNNPNSSSSKPPLSSDDASRHHRSSRDYDRDRDRDRRDRDRDRDRDRERERERERERDSERRNRDRERDEEHKARERARLEKLAEREREKELEAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNILYQNPHEARLLFGRGFRAGMDRREQKKLAAKNEKELRDEIRKKDGIEERPEEAAAQRLKEEAADMYDTFDMRVDRHWSEKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWVESKLSSELLKAVERAGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLTYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFAHYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNFKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVIMVKESEKMSRLQKLLDELVDKTAIVFINTKKSADFVSKTLEKSGYRVTTLHGGKSQEQREISLEGFRTKRFNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGIATTFLTLHDTDVFYDLKQMLTQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFAH >KVH95713 pep supercontig:CcrdV1:scaffold_58:633899:635440:-1 gene:Ccrd_002171 transcript:KVH95713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKRRLPSWMAGVSAADEVSKPLDSDEHDVITKEDVNVVTRSRKPKAKAVTQKHKKEVGLPSDRKSILVKCGTKKRGFVEKDVIEGHKPKQEVVVEKRKRGRAGRKDEEHDPSRNKEEKSYEFEGNNENQAPSDDEEDEDLTFVANNESDIDQQKPSEGRCKSSYNSSSVTTTSLVVPQDTNSPLKEETASRHDSIENTSKVALADSRMTGDPAQDMLDLFLGPLLKKPIGKEETISIKDMIFPHEVKNQQNDAVISDKPVTLTKKKSSLRDAVAMLLD >KVH95753 pep supercontig:CcrdV1:scaffold_58:336619:341769:1 gene:Ccrd_002199 transcript:KVH95753 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MGKDLLVSLDVDVEEGEISDSASIEEISADDFSKSSAIGTEIAASKNAAVDDSKQQQQHQKVWTMQDLLKYQNKYQISRNYAPGLYNFAWAQAVQNKPLDDYLTTMNTSTTTDNNLKQPLLNDVGNNNIEVKEEEMVNNDYMSMDDASEKEEGELEEGEIDLDMEVVQSSKQGEGEEDNVEKCISVIRLGLEGITVSDAKKSYGGVCSRLGDLLDSLSKMLIENSISIKDDLVQLAFSAIQLVNSVDIMLLSCNSNVVCSSAKDYKEGYIPITHSVNQKDFVFSAMIANQEVASPSEDSVLDAMPVGTSDQNPKQSESLKMQTSTSKNRVLLPLLDLHKDHDVDSLPSPTRDTTHALPLGKALFVGDAAIRPEWPAPRGLLGTQNAVVHRYETDALKAVSTYQLKFGRSSFLSNDLPSPTPSEESGDAGGDCSGEVSSFSAVQNANSVTEAVSERRDTFSIPHVERPNVQLFTNAKSAAPVYSTSSSVMRVPNKTRDPRLRLANTESSLDYTQGTLPLSSKQSAMEPLDGLPGSRKQKTFDESVLIDGPAPKRLKSEFADSSNPRDNATVSQTGGRLEDRVSLGMQVSNRTGNVTSEARGLENAVTTSALLNTSSGSMHIPATGVTPTSASLQSILKDIAVNPATWMNIINMEQQKSVDPAKYVTQSLSQNSFQASMPMTTVASQPLVLGQRSTADMQTPHPAATDDSGKVRMKPRDPRRALHSKSLQKPGFVGPDQPVTNLSTAEGTKGNPKQADPKVLSSQPLSAPDIAWQFTKNLKNIADIVSVSQTTASASVQTPSSQPAQVHPSFVNMKGPPAQPGHLQNGIGKTSEEAPSTPSQPQNAWGDVEQYFGRFDDQQRAAIQRERSKRMEEQKKMFADHKLCLVLDLDHTLLNSAKFSEIEPMHDEMLKKKEEQDREKPHRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFNGRVISRGDDGDSVDGDPKSKDLEGVLGMESAVVIIDDSIRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLASSLAVIEKIHRNFFLQQSLDDADVRNILASEQRKILGGCRIVFSRVFPVGEASPHLHPLWQTAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRANEHDFGVKP >KVH95751 pep supercontig:CcrdV1:scaffold_58:385423:401195:-1 gene:Ccrd_002196 transcript:KVH95751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDSDLPCDGDDRCMICKITPPVEETLTCKTCVTPWHLDCLSVRPQTLADAAQWECPDCSNLISSHPPPSAVTSGSEPSATLIAAIRVIESDASLSDQEKAKRRQQLLSGGGSDDGDKGIDAKNEIGVGGDSDVLKLLSGSFNCSFCMQLPERPVTTPCGHNFCLKCFEKWVGQGKRTCAICRTTIPPKMASQPRINSSLVIAIRMAKMTRSHAATGPPKAYNSVLNQNRPDKAYTSERAKKSGKANACSGKIFVTVPPDHFGPILAENDPERGTGVLVGETWEDRMECRQWGVHLPHVAGISGQSDYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKTQSFDQKFEKYNEALRVSCRKGYPVRVVRSHKEKRSAYAPEKGVRYDGIYRIEKCWRKPGIQGYKVCRYLFVRCDNDPAPWTSDENGDRPRPFPSIKELKNATDITERKGTPSWDYDDEKACWLWKVPAPGSRKHADNGDVEDGNRTKKVRRKTQMMSVSERLLKEFCCLICHKVMTLPLTTPCAHNFCKSCLQDTFAGQTFMKERTCEGRRALRAKKNIMKCPSCSNDISEYLQNPQVNRELMSVIESLQRQTKEMEESAVLGDEILDTDEKTDDVKDEKPEAEVKDLKPETSEAVVFQDEMNVVCDSSNDEAKGSDKREAIAKTSAVKSNKKKKAGASNNKTAEESGTTSLKPEITRMSEVTKRKIEDDGKCSSPISAGVETRSKKAKQDDDDDDDDLPCDGDGSCMICKINPPVEETLTCKTCVTPWHLNCLSARPQTLADAAQWECPDCSNLLSSHPPPSTVTSGSEPSATLIAAIRAIETDASLSDKEKAKRRQQLLSGGGSGDDDKPTDDKKEIAGGGGNDVLKLLSGSFNCSFCMQLPERPVTTPCGHNFCLKCFEKWVGQGKRTCAICRTSIPPKMASQPRINSSLVIAIRMAKMTRSNAASGPPKAYFSVLNQNRPDKAYTTERAKKPGKANACSGKIFVTVPPDHFGPILAENDPDRGMGVLVGETWEDRMECRQWGAHLPHVAGICGQSDYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKTQSFDQKFDKSNEALRVSCKKGYPVRVVRSHKEKRSAYAPEKGVRYDGIYRIEKCWRKPGIQGYKVCRYLFVRCDNDPAPWTSDEIGDRPRPLPIIKELKDATDVTERKSSPSWDYDEEKACWLWKIPPPGSRKHAESRDVEVGTNIKKVRRKTQMMSVSERLLKEFCCLICHKVMNLPLTTPCAHNFCKSCLQDTFAGQTFIKERTCEGRRTLRAQKNIMKCPSCSNDISEYLQNPQVNRELMSVIESLQRQTKEMEENVVSEEEMEETAVLGEEMSKTENSGDAIEEEKDKEPEAVAEVKELSSEADGKVEKPKAEVQDEIMEAVAVGEVIYDEAVNKSKAIAKPSPNGKPLKNKKRKAGVTVNAPNKKLHEETAILMNEDANPTGNDIKAASLKTEPSECKDKKVGEVGKEGKKTSKQKKDGDVKCSSPTGAGARTRSKKT >KVH95752 pep supercontig:CcrdV1:scaffold_58:368409:375558:-1 gene:Ccrd_002198 transcript:KVH95752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MEEPGQLKRAFIDATAGSISGAISRTVQLEPTTSFALLSKNVYGTSKYTGMFQASKDIFREEGLSGFWRGNVPALLMVMPYTAIQFMVLHKVKTFASGSSKSEDHIHLSPYLSFMSGALAGCAATVGSYPFDLIRTILASQGEPKIYPNMRSAFVEIMNTRGFRGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWTMAWNGRWSSGATHPEEPITSFQLFLCGLAAGSCAKAVCHPLDVVKKRFQIEGLQRHPKYGARVEHRAYRNMYDALKRIMQQEGWAGLYKGIVPSIVKAAPAGAVTFVAYEYTSDWVESVLTT >KVH95706 pep supercontig:CcrdV1:scaffold_58:202443:202868:-1 gene:Ccrd_002210 transcript:KVH95706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQAAAAAESWRSSGATGYYYNYMPVRHFPTVAVDPLERVAKLASQSAVVIFSLSTCCMCHAIKSLFSGMGVNPTVYELDEDPIRGREMERALVRLMGNSTAVPVVFIGGKLVGSMDRVMASHINGTLVPLLKEAGALWL >KVH95725 pep supercontig:CcrdV1:scaffold_58:429253:432518:1 gene:Ccrd_002193 transcript:KVH95725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MVLGVTVAVIGSPSSFFTPKPSSTSQWVQNQIKSSPIRHTTYASSSSLSDEMGSLDDGRSSNIDRRKWLVSSLGLLAVTLGNSVGGGVAAASNFADMPALKGKDYGKSKMKYPDYAETSSGLQYKDLRRGSGQTAKMGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFEVYHTYEIIVPPDLGYPENDYNKSGPKPTTFSGQRALDFVLKNQGLIDKTLLFDIELINIIPN >KVH95728 pep supercontig:CcrdV1:scaffold_58:39145:40098:1 gene:Ccrd_002222 transcript:KVH95728 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEEHLDSSSGSNLTQKMNLKVMDDYGYSQKYKGVVPQQNGNWGAQIYANNQRIWLGTFKYELDAAMAYDRASIKLRSADAPRNFSWTKLTLQEAKFQSHYSMETVLAMIKDGSYQAKFDDFQREKRGEEIDHNLSPGKESCGFSCRVLFQKELTPSDVGKLNRLVIPKKYAVGYFPPVPDESEGYANDEVNLSFYDPQKRLWKFRYCYWKSSQSFVFTRGWNQFVKEKKLKAKDKITFYYHENLEIPNMGFWVIDHLCLSTNNNGASLKLGEETNDNCSLVKEGEENLKDEKTNAETIQRSSVKTKGLKLFGVQIME >KVH95723 pep supercontig:CcrdV1:scaffold_58:441502:444433:-1 gene:Ccrd_002191 transcript:KVH95723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNSICCCQCGDEFEEFPTNTIYRHCLCLRFFFHQLFTGYGALFQRIEGLPVSSPIQGTSSSSGVGFALPTSLNDENHPAARTLPYDSEHRFTRLQRGLVSRREKSMTHFQEDSQALRRSTSSSGMEFLDFAKRRSGIDSTEDSKCGQPESLEKSLSVKAPEGLLYEQSSDNEDVCPTCLEEYTLENPKIVTQCAHHFHLGCIYEWMERSDTCPMCGKVMEFCESP >KVH95734 pep supercontig:CcrdV1:scaffold_58:237162:238366:1 gene:Ccrd_002207 transcript:KVH95734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNFLNNGVLRLPPGFRFHPTDEELVVQYLKRKVQSHPLPASIIPEADVCRSDPWDLPGDSEQERFFFSTMEIKYPNGKRSNRSTLSGYWKATGLDKQIVNSRNNQVVGTKKTLVFYRGKPPTGSKTDWIMHEYRLVANPTLNPSQETGKWVLCRIFLKKRGRNGEKKEEEEVKDTIEKTSPIFYEFLAGPTPKDGGLNSEGALSSSGSSGVTNASHMAVPDEDEESSKLRIL >KVH95733 pep supercontig:CcrdV1:scaffold_58:254906:258994:1 gene:Ccrd_002206 transcript:KVH95733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta MLLQMTVRDALNSALDEEMAADSDVFIMGEEVGEYQGAYKISKGLLDKYGPDRVVDTPITEAIDHIINSAAKTNYMSAGKINVPIVFRGPNVLVPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFSLPIGKAKIEREGKDVTITAFSKMVGYALKAAEILEKDGINAEVINLRSIRPLDRATINASVRKTNRLVTVEEGFSQHGVGAEVCATVVEDSFGYLDAPIEDVVRAAKRACFRSVPMAANA >KVH95704 pep supercontig:CcrdV1:scaffold_58:178950:185715:-1 gene:Ccrd_002212 transcript:KVH95704 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF-like protein DIRAPSSLSVGSSADLNSKEVWRSDGLDDKKDWRKVASETESGRRWREEERETGLLGRRERRKTDRRVDAGRETTDSRAPPPSDKWHDAGNRNSGHEARRDSKWSSRWGPDEKEKEARTEKRPGAEKEDAHNDTQAHASSTKLLPERDPDSRDKWRPRHRFEANPSAPGSFRAAPGFGLERGRIDGSNMGFAIGRGRASGTIGRPSSTGSIERNITVSGTPGVFADVFFYPRGKLLDVYRILRPDPSFASMPEKIEDVPSVTVMTAIEPLAFVAPDAEEEAILGDIWKGKITSSEVSYNSFRKVKSENSADVDGLGSTNGKDDALSVEEKTDSNKDIHQTDAYGSLHYDGPEMELVEGRDGSWHGEERICEDVTDSASARHQLFEDAQLSSTCDTSTKHPNDSPSLFVTPSSELHWTGNMQPLESNMDGSSTMDIHPEDLSLFYRDPQGEVQGPFLGVDLISWFEQGFFGADLPVRVADAPEETPFQELGEVMPHLKGRHDYATSNGPSPNMEQSGSFEGNMDAGVSMPADSEMVFSTSSRDPQRQLSGFNGLSVKHDQPRMFEPEGPSQLPYLEGHAFRGEEIVFPGGPGSTGDHMGNTSRGAGDSSANFLNNRTVPTDLSEPGLLNPKDSKFHPFGLLWSELEGSSLRNDQPSNIPITGGIQQQLMNPVGRRDAAFNAMHESTHAADTWPDVYRRNALSETNLYQDPIDARQLSHIDQGANHFDLAEKLRSQKIQQQLLQQHNLLSTHSRLNESMLDQVPSRNTINPQQLAGQTGQDLDHFLALQLRQQQQQQQRQIQLQQLQMQPQRFHQQKMLLKEQQSRQLLLEQLVQNQMHDGLGQSFADAGGHSSAIDQILLKHQILNELQQHSLHQPSHVDPSIEHLLQAKYGQPLHQGHPNDLLELVARAKHGHMPSLEQQILQHDQFNGRQLPMGLRQRVEMEEERQLGSGWPVDESGQFLRNAGSHHRANSVGTGLLDFYQEQQRPSPEELSHLERNLSIQDRLQRGLYGSNLPFEHSLSMPGGRQGTNLDVLNSIARAQSLDTQEPNARLHHAEQVGGFSPGVFPHQSHHPLGSNHLHPSNSNEMEGAWSGSNAQISNDWMESKIQQMHISNGRQKREMEHRTSADPSFWMSAESSNDTSKRLLMDLLHQKPGNQSTEQLDINSRVPFERKAPSVPHSRSNTLNHSFNLSDQEVRLTHPFTVGSYDSNSGAPPQMSVGLEGIDRFLLRSNSGAMHEGSPFFSGVNESSQAVYTNSNMERDFLDMEAKRKLLKSESSVVQSSATERGDVIQQGGVIAIDRDKMPINAVGRHGSLGSAGDNAGLYNKVGSSDSIAGEAKNRLSTNSENILLKRPPVSRVSSSQEGLSELACDSTVRQKNVLSMPATAAEGGKREASGNTFTQVSENMASGKKDTRFRRASSCSDADVSETASFSDMLKSNGKKGVPLPESNTIASASLEGGDGQGGKSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRFED >KVH95710 pep supercontig:CcrdV1:scaffold_58:541310:548755:-1 gene:Ccrd_002179 transcript:KVH95710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MGRRRKGSYQETRKGGKFAIGSDIDTDIDECTSTSAQLGSKSLNKFLEQLYEKRGSTREAALSSIVQSYSLGYQYQFSEKNFSELLYQCLKSLKRGSAKESYLAVEALGGLLAITIGCGENGRELYRESFPVLSEALNTESQPWKLKLEAQSAAIFSWSLLLSTMDSWSLSYKHWRGAVPFFKNLLESDHESILIGARQALALILELGCLEKFEGDASAMEEMDENELLHDVFNFKPEKRKPGRELYVAERDEVAINLFVPDVRREDSFQRIHKSQNSIFVKARTQIRNKNRDIRGEELWCSGD >KVH95735 pep supercontig:CcrdV1:scaffold_58:319692:321648:1 gene:Ccrd_002200 transcript:KVH95735 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO/COL/TOC1, conserved site-containing protein MSGSSAVEIDFFRLRGEASVISPPPKRLSDRRDIQGVISKINPELLKTAIASASANNSFLSNKSCSSPHQQTQTLPVYSQDCGGVKIARSAAPMTIFYNGSVSVFDVSPDVAESIMKLAETGSSGSKLVVESTAVNSKPGPPPSNQNQALGGVLNKDLPLSRKKSLRRFLEKRKERQVSISPYAYSQGNASLR >KVH95700 pep supercontig:CcrdV1:scaffold_58:142675:144198:1 gene:Ccrd_002216 transcript:KVH95700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MFEACFNNNFTNALVYYLCILLLFLLSPFLLIWDLIVNTLRSSFRCTENMSQKVVLITGASSGLGELMAYEYAKRGACLAIIATKKPESRLEEVADKARALGSPDVLVMFADVSKVDECRMFVDDTIRHFGRLDHLVANAGIAPLYSVNIDVTKFAPVMDINFWGSIYPTHFAIPHLQKTHGKIIVNASSCGILHIPQGGFYAASKAALIGFYESLRFEVSPTVSITILTLGFIQTNLITAKYSTKGVGVHLREDFKDVFPVMGAEICAKKIVEGVCKGATSITEPRFTKALFFIKFLFPELHRFIFKTYQCLENMRLFKKD >KVH95724 pep supercontig:CcrdV1:scaffold_58:432641:434207:-1 gene:Ccrd_002192 transcript:KVH95724 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MELDPKLLNVGVLLIATLIAAKFISVFLIPRSRKRLPPVVKSWPFLGGLFRFLKGPVIMLKQEYPKLGSVFTLHLLNKNITFLIGPQVSSHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYSIRQEQFRFFTEALRVNKLKGYVDQMVYEAEEYFSKWGESGEVDLKYELERLIILTASGCLLGEEVRNKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHRRRDQARKRLAEIFSTIISSRKQSGKSENDMLQCFIESKYKNGRPTTDSEITGLLIAALFAGQHTSSITSTWTGAYLLCNQKHMAAVVDEQRDLLKKHGNKVDHDILSEMNVLYRCIKEALRLHPPLIMLLRSSHSDFTVTTKEGKEYDIPKGHIVATSPTFANRLPDVYKDPDTYDPDRFGPGRDEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPETDWNAMVVGVKGKVMVRYKRKVLPLS >KVH95731 pep supercontig:CcrdV1:scaffold_58:273295:277484:-1 gene:Ccrd_002204 transcript:KVH95731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSRIHRELMQFNKDQSILGMSLMPDDQDIRHLTATIPGPLSTPYEGGIFHLDITLPDGYPFEPPKMRHPNISSQTGAICLDILKNNWSPALNLKTALISLQALLSSPEPNDPQDAVVAKQVQKLVEMGFPEPLVKKTLEAVGGDESMALERLRRSWEFVEFSDH >KVH95720 pep supercontig:CcrdV1:scaffold_58:424413:428121:1 gene:Ccrd_002194 transcript:KVH95720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MASISNLSTQAATSLKSSPLPPKLATTTTTFSLRFPSKLALSSSRLSLRTNAPLAASLLFALSDLILFTSVRCSQVGGNGSHVKRTTLHDLYEKQGQSPWYDNLCRPVTDLLPLIESGVRGVTSNPAIFQKAISTSNAYNDQFRELVQGGKDIESAYWELVVKDIQDACRLFEPIYDETDGGDGYVSVEVSPRLADDTQGTVDAAKWLHKMVDRPNVYIKIPATAPCVPSIKEVISLGISVNVTVSLSSLVLFDMKQDLIFSLSRYEAVIDAYLEGLEASGLDDLSRVTSVASFFVSRVDTLVDKMLEKIGTPEALDLRGKKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLVGPDTLELEGVDSFKKSFESLLDSLQEKANSLKLVSL >KVH95709 pep supercontig:CcrdV1:scaffold_58:553978:557687:-1 gene:Ccrd_002178 transcript:KVH95709 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEP domain-containing protein MGLPDGGGVQIATAVDIHLNPQSPDGEKNDKVTNGGDCGDQNTEQADLKDSSVGDCDNRDGGSDEIEKREIHVEEEEKEEALDDGEGLRNNGNGGDVEQTMVFPPHPQLPRPEAPPGVLIPNTEEAEKTEMTPSIKRSNSTPESVSDAVHIPAIGKFFREKSNSLSAAFTRRLSLLTDNDDVVSEKQKSSNVTEFNLSGLKVTVKLKNEKDESEQFKGRITFFSRSNCRDSTAVRSFFRDRKLRYVEINIDVYPTREKELIERTGSNAVPQIFFNEKLFGGLVALNSLRNCGLLDERMKELLCRKCPDDAPAPPVYGFDDPEDEKMDEMVAAVRVLRQKLPIQDRLMKMKIVKNCFSSSEMVEVLIQQFDCGRKKAVEMGKQLARRHFIHHVFGENEFEDGNHFYRFLEHEPFIPRCYNFRGTNDLEPKSASTISLKLTKIIYVNLSQELQRVDISTLSVSERLAFFLNLHNAMVIHAVISIGHPGAAVIDRRSFNSDFIYVIGGFPYSLTTIVNGVLRNNRRPPYSFTKPFGSGDKRLELAFPQVNPLIHFGICNGSKSSPPVRFFTPQAVESELRFSAREFLQNDGIQVDLAKRTVHLTRIFKWFSVDFGQEKEILKWIIGYLDATKAGLLSHLLGDGGAVHIVYQNYDWSLNC >KVI10881 pep supercontig:CcrdV1:scaffold_580:59708:61808:-1 gene:Ccrd_010709 transcript:KVI10881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEISQFRGFAELSGIEDPCFTSQWPFNSFDDQLTSMATDAAAFGENTHYLYAHAPVFDHHKPVMEPSPRPTKQLKTSSWNSCISSDQSIMNQNLHYVKPKEEGTVSSKTTIGFNCDTFPSSHLQFNNQNHHGGGGGGSGGGAKMIATSTTPRVTTPQDHILAERKRREKLSQRFIALSALVPGLKKMDKASVLGDAIKYLKNLQEKVKTLEEQTKKRSNVESVVFVKRYEVLADGGEISSSDENFSGRPVHEQLPEVEARFSGKDVLIRIHCEKKAGVVEETLAEIEKLHLSIINSTTMTFASYALDITVIAQMDQESTMKMKDLVKNLRAALKRFM >KVI10884 pep supercontig:CcrdV1:scaffold_580:136336:136911:-1 gene:Ccrd_010715 transcript:KVI10884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRVHLHLNLLPPPHLLRFTTFQTHPFLHCPNTPPKLQISCSSSSSNSNSSSIDDIELAMDLATEIEKMNAQTVQTQEAMKASRKLLYKELGLYLGLGKEELRRKWERMEQDEKWILAEEFVSDWGSNFHPLSARSVKELVDQHLFDDVDDDDEDEGNANNKDSSNSSQGSSSSPSSTLFNGLKKLMGF >KVI10877 pep supercontig:CcrdV1:scaffold_580:19575:22248:1 gene:Ccrd_010706 transcript:KVI10877 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHCH-like protein MPRRSSGGRSARAPARAPAPSRAPPPAPVQSSGGSMLGGIGSTIAQGMAFGTGSACLNSSGNDIGKCQFYMDMLSECRRNSGMTA >KVI10878 pep supercontig:CcrdV1:scaffold_580:24088:32637:-1 gene:Ccrd_010707 transcript:KVI10878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MLRLPIRRVRTLSPQIFAIKSCNQSTATEQSLRHSDPPRVPNLVGGSFVNSQSSEFIDVINPATQQVVSQIPLTKNEEFKSAVSAAKQAFPSWRNTPITTRQRVMLKLQELIRRDMDKLAISITTEQGKTLKDAQGDVFRGLEVVEHACGMASLQLGEFASNVSHGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASMILAELAMEAGLPSGVLNVVHGTHDIVNAICDDEDVKAISFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHGIVMPDASTDATLNALVAAGFGAAGQRCMALSTVVFVGDPKEWENELVKRAKTLKVNAGTEPDADLGPAKDRVCRLIQSGVESGARLLLDGRDIVVPGYEQGNFVGPTILSGVTEDMECYKEEIFGPVLLCMQADSLEEAINIVNRNKYGNGAAIFTSSGVAARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFYTQIKTVTQQWKDLPGGSGVSLAMPTSQKC >KVI10886 pep supercontig:CcrdV1:scaffold_580:142015:148371:1 gene:Ccrd_010717 transcript:KVI10886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MDIDSTSSLYPLHRSKTVHLVRHAQGVHNVIGDKDYKAYMSPEYFDAELTRLGWQQVENLRKHVHACGLAKRVDVVITSPLLRTMQTAVGVFGGEGYTDTVDGLPLMLANVGKSGRSAISSVNCPPIVAVELCREHLIESDEDVLWKANVRETKEELASRGKQFLNWLWTREEKEIAIVTHSGFLFHTLATFGSDCHPLVRKEINKHFANCELRSMVIVDKSMLGSDSSTTDYPGKIPPGPDIPSAAIDEKIANDEPVSGST >KVI10879 pep supercontig:CcrdV1:scaffold_580:9983:14369:1 gene:Ccrd_010705 transcript:KVI10879 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MMSEANISNQRHHQAPTYEPANLQHHQQQQGIAINNNDDEGNQHHMVEDVVVEEDDDVAGGEEESIDNPSQIRYDHHNPHHQHHDLRNGVALEGGMEEMSAPPHALYVQDSEIQPLAVGGGGADQLTLSFQGEVYVFDAVSPEKVQAVLLLLGGYEVPTGVPSLGMPPQNQRDLAEFPTRSSQPQRAASLSRFREKRKERCFDKKIRYSVRKEVALRMQRKKGQFTSSKAISDEAGSASSDWNGGPSQDEETTCRHCGISSKSTPMMRRGPAGPRTLCNACGLKWANKGVLRDLTKVSASGTQDPAGKPIEQSDGEANNLDAINANAVMVVSNGDTSAVTAER >KVI10880 pep supercontig:CcrdV1:scaffold_580:34459:36241:-1 gene:Ccrd_010708 transcript:KVI10880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVLNFAGSKALNYKPDINRLYPKAVSDLKETILKSSGFQSDDVKLSGFDLKGALVGRSMEFDLEIDNKILPIKLLEDVNNWEYVDLPTFVMDDQVISSHENGLVEKKRDSGKGLPTLAPFQLAGPMELWIQDAKDMRLFLPHDVDAGELKKVMLADGAVVTVKGAKSVTLRHPIELPLPFNKTENGDASGLLTLGNHLRHACRTQRQLVSLRIVGPTSLNSPATPSPAFNKLKLKRLAPGLVELSSASKQNSKTALSTIDLQGDVPTLLTPDRLTTFWPVASINGSNSNLRGLETLLSAVLDSMGSNNGSFKVLRTEISAQTFLKFGFEVEKKVTGNESLWEGYPEWRTKPESVVMRYEVLGKVDGEKILPEKVVEVDRVTVEDTVAPSVITGNVTMSKMPIVNVPFSPFAL >KVI10891 pep supercontig:CcrdV1:scaffold_580:110397:116597:-1 gene:Ccrd_010712 transcript:KVI10891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MGVEAGSDTAVQDQESNPPAAAAAAAAVVVPVETESDMAKTSTSSSEPAPSWFTPKRLLVIFCVINMVNYVDRGAIASNGVNGSARVCTESGVCSHGSGIQGDFDLSNFKDGVISSAFMVGLLVASPIFASLAKRLVGVGEASFISLAAPFIDDNAPVTQVGNGLGWRYAFFGEAILMLPFAILGFVMKPLQMKGFSPAGSRKPFTTPETNVIEVEVITTDKDVPVLDRSSNKPSRSGLFRFWQDMKALLLEKIYVVNVLGYIAYNFVIGAYSYWGPKAGYSIYHMSNADLLFGGITIVGGIVGTLAGGIILDRMNSTIPNAFKLLSTTTFLGAIFCFSAFCFKNLYAFMVLFLIGEILVFATQGPVNFVCLHTVKPSLRPLSMAMSTVAIHVFGDVPSSPLVGIIQDNIDNWRTTALILTSILVDRYDEDKELPDATVERSNTTPLLEDETTETTAVSAQL >KVI10889 pep supercontig:CcrdV1:scaffold_580:76454:89593:-1 gene:Ccrd_010710 transcript:KVI10889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MTLSEIEIVSESSKDNKNNKNDTAAAGGGQVPPEVVLIFDVFGASAYGDLQKLRKFVEEDGVSLSQPDGNGYYPLQWASLNNFADVAQYIIEHGGDVHTVDNVRQTALHWAAVRGSVAAADVLLQNGARVEAVDVNGYRAVHVAAQYGQTSFLNFIVAKYHADFDVPDNDGRTPLHWAAYKGFADTIRLLLFWDAFQGKQDKEGCTALHWAALRGNVEACVVLLHAGTKQELTVKDSAGFTPSQIAADRGHHHVASILSNANRARNNCWKDKACINRVGNIGYAPILFCIRRMPFTVLIWILAFSSMLASNLLKVTAVVGLWGWTAVSLSVASLLMFVRCSSKDPGYVKTSGGIRNGADAEGPLLTIDLTNTSHWTGNWSQLCPTCKIIRPVRSKHCPTCKRCVEQFDHHCPWISNCVGKKNKWDFFVFLLLGTLTSLISSVVAVHRMWTSIPALQSEESWIHHVVFQHPGAAVFLFIDFLILIVAGTLILIQGYQISRNITTNESVNATRYGYLQGADGRFRNPYNHGWVKNCSDFLIRGYTDDDDIAWPPLQQVAR >KVI10888 pep supercontig:CcrdV1:scaffold_580:98244:103074:1 gene:Ccrd_010711 transcript:KVI10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MAATRVMVSVSSSSSLLFKAAATPLLKTNRLRPCFNTHNRLVSSNRFFTCRALYKPDFQIKEEGQPETLDYRVFFVDNSGGRFCSIELHVYTVQVSPWHDVPLHVGDGTFNFIVEIPKESSAKMEVATDEIYTPIKQDTKKGNLRYYPYNINWNYGLLPQTWEDPSLANPDVDGAFGDNDPVDVVEIGESHGKVGQLMKVKPLGCLAMIDEGELDWKIVAISLDDPRASLGTLMAIRDWFRDYKIPDGKPANKFGLGNKAANK >KVI10890 pep supercontig:CcrdV1:scaffold_580:119356:126581:-1 gene:Ccrd_010713 transcript:KVI10890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MLEAIASCHAPMGHDLIIQPSIVRSNLHSPHLFRHLYYGPNRYDKQLYTPAFSTCRRIQYCCHTAAKLPDGAPLLTSSFLGGSRSLISNHRHSQRGGRFRMNATLDVGGAVEVINDLGLDTLTFLAVTVLVVPAFKIVKASPILGFFFAGVVLNQFGLIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVVLSTLAFTAFELPPNGAIGTRILEFLFHSRSDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAERGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIVEESILPMLVKESLKALGGLGLLSLGGKFLLRRVFEVVAEARSSEAFVALCLLTVAGTSLITQKLGFSDTIEADIRPFRGLLLGLFFVTTGTSIDTQLLIREWPNVLSLLAGLIVIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVLLIIVVVLSMALTPLLNDLGRKAAEFIGENVDAGDKTAEEAIFDATEPVVILGFGQMSQVLANFLSTPLASGLDGDAGWPFVAFDLDPTVVKASRKLGFPILYGDGSRAAVLQSAGISSPKAVMVMYEGRQRAVEAVERIRLAFPALGSKLLKGLGVMSDDVSFLSQLVRDSMELQAQAIIVPKDPDPDAMKPLQ >KVI10883 pep supercontig:CcrdV1:scaffold_580:149493:154578:-1 gene:Ccrd_010718 transcript:KVI10883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MVLILSHILISVLLVDAHCSCVGKPLSALDETTRNPHNPERYTGGSSSGPAAIVASGICSAALGTDGGGCIHIKAMNLHSFLQLNMVSNNHRALCGGRTVEILGPIASTVEDIMLVILGSMRLGKYSEWFNDVFSPDISTKCEDVLNLLSETHGCKGLRAETKKPVSYFDVLKGH >KVI10887 pep supercontig:CcrdV1:scaffold_580:137287:140057:-1 gene:Ccrd_010716 transcript:KVI10887 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MATKLPSALLTWLVISTCSLIFFYSKLLPLYPSLKPSKVPSSQPPIPCNLFAGKWVFDPNSRKPIYDETCPFHRNAWNCLRNQRDNMDRINSWKWVPEDCELHEIDPLEFMGVMKDKRIGFVGDSLNENFLVSLLCILRVADMGARKWKRKGAWRGAYFPKFNVTVAYHRAVLLAKYEEQPKQLEIHEKDEVKGVHRVDVDIPAKEWADIGGFYDVLIFNTGHWWGYDKFPKESPLAFYKGGKPIVPAPDMLDGLQIVLKNMAAYIEKEFPKTLKFWRLQSPRHFHGGDWNQNGSCLFSDPLKKSELDVWFDPSNNGVNKEARLINQLLIEALQETDIQLLDLSHLSEFRADAHPATWLGKKDAVSVWGQDCMHWCLPGVPDTWVNILWQLMRYQLATK >KVI10892 pep supercontig:CcrdV1:scaffold_580:189452:195437:-1 gene:Ccrd_010720 transcript:KVI10892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MVVTVWNSLMEEWWGSGEIFEEFVLEMGREMLNHEMDEEDLEAGSSTGVHQPQTRPFPTRYPGLVRLKAYRFDGFGDYCDKEWDLAEGSGKKVNSPGPASSKFTFRLAARITEHSVITVSLGRVPRLGFSPMGQSLLTEIPSVESPSPKFGRDETIERGGIVIKEHVLDFLLTMNHSEEADNPVPKSVSNLVVHVIDTHLDHLEDVVTKLEIELDSVEVDLDKGGFALKKQLLDDRRFPKMHLDLQRLLQSIAHGEQVFPRVKEKCSTKDWFSSEDINSLEELIGRLRRLKDNVGFISNRVTAVQAGLDSWQAEQINRKLYCLSFLSIIFLPLSIITGVFGMNVGGVPWTQQRDPKLKNGFRNVLLVCVATLVLVLLCFLFPFLYSRLTAWRRQRALKRSWSINHRSFLKRTIGGGERGGYLRL >KVI10885 pep supercontig:CcrdV1:scaffold_580:131385:133550:-1 gene:Ccrd_010714 transcript:KVI10885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MTSILGAAPLSRTFTRPILNRSTLFTTHLNSNRVSSTKIVNFAGLAFGRFFYRSKRGFRGGIVAMAGSGSVQKSEEEWRAVLSPEQFRILRQKGTEYPGTGQYDKFYEEGVYSCAGCGTPLYKSTTKFNSGCGWPAFYEGLPGAINSHADPDGMRVEITCAACGGHLGHVFKGEGFQTPTDERHCVNSISLKFAPGN >KVI10882 pep supercontig:CcrdV1:scaffold_580:184975:186471:1 gene:Ccrd_010719 transcript:KVI10882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MENYSYTSYAESGESSPRSRDVDFENPQPWEDQSGSTNSSGNNYKVKVMCSYGGKIHPRPHDNQLSYVGGETKILAVDRFVKFAAVNAKLTALCDGEVCFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLNRASPSPARLRLFLFPLSGQSPALTPVHSFGSTEGRSERERFMDALNSGHVQPNTPPSAPPPHGNVERFFGSDKGMPMQPSGVASKTRDQHIADPHIHPHEPEIAVLDERGIEADRIQKHIQDLQRLRIGEEQQPALYRKPSDDNLGAGYTGDYYVPKMTEKVAPTTLPGTVPAPAAGYQISGGFTTSTISSDQQPVYMIPAHASMYHAPMARPATAPVNHGQGYYVQRMPTEVYRDQPVYNVMPPAQPVVTQQTTLSSQQQYLPQKVATYTEGYRMVQSTTAVTDPGPGAGYAQVAYDNGTGRQIYYTTQGTVAQPQQPQQLQQSQPQLQPTAQQYQAMAAAAAAATLNQESGGTKVVPANMKDFI >KVG94994 pep supercontig:CcrdV1:scaffold_5801:14974:17995:1 gene:Ccrd_026068 transcript:KVG94994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGVVRYSLFFVLSLLLSPDSNFAEKPPKEAILPNKMLYDHIYSSPSTFTTQLDDIPIVQPTTPTGTPNTYSPTPFPPTTTTPTGDPTSLPPPAGFQPNNPTPSSPTGPSVPMGPSFPTGPTGPSGPSPSGPSPTGPSGPPSSGGSWCVASSSASETALQVGLDYACGYGGADCSEIQPGATCYNPNTVRDHASYAFNAYYQKNPAPTSCSFGGVAQVLEAAAIRQPNQQG >KVG94995 pep supercontig:CcrdV1:scaffold_5801:19330:23920:-1 gene:Ccrd_026069 transcript:KVG94995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold acclimation protein WCOR413 MLILTHSFSSSSTSLCRDKHRVQTLNSHRAAHLRRPTSLHFFNPLRSFVGSRGNLGMKQKWTQGFGPVCYSPPVTITTTNLQWICTISSAVLMFAKGTAIQKSFLVPLFALQAPATLASWIKGEYGIWTAFLALLVRLFFYIPGELELPFIALLMIIVSPYQVLNLRGRQEGVVLSLVIAAFLAFQHFTRVGNLRRAFDQGSFIATLAILFTVAVPCLLLI >KVG94851 pep supercontig:CcrdV1:scaffold_5802:12636:23311:-1 gene:Ccrd_026070 transcript:KVG94851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MVDERETTNGHGCDLNCSMGPTTGATNKGGLLLDGNSGGFSFGEGTSAEGLRIYKRRKRRKMSNSDEMTKSIFNDKIKDADGVASDQDALRLSFDAFPIGAGECSLRHCRKIVLQQMYQSLGGCEDGLGHCILNALVSYPESGTSAVKEPLDGVEKSDNQTHNCATELSHFMSSGLLNQSESSTISGLCGRAFFDILMSDKFSELCALLLKNFDGVNVNGILDVNAINMRMKTGAYESLPMLYLKDVQQVWTKLQQVGNEMVMLAKGLSDKSRDDYEQLVRKAKASEACNCQGCGEKADVGNCLVCDSCEEIYHVSCSEIVGTEIPPRSWYCAKCVSNGIGSPHDNCVVCEKLKAIPTNADQVEEDVPNGFEADMHDEITDGSSKICFICKSEVKKGDNFRTCGHLLCGHKFYHHRCLTSKQLGVYGPSWFCPSCLCRGCLVDKDDENIVLCDGCDQAYHTYCMSPQLHSIPKGSWFCDKCDRELKQIRRMKLAYENMQNKVKVEEGGGTGLEKKSEYEDVAVMDEDDDEERDKSGGGLEMLVTAAKTLSHQESEYGYHS >KVH93633 pep supercontig:CcrdV1:scaffold_5803:9158:14746:-1 gene:Ccrd_004315 transcript:KVH93633 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MFSGSTKLLCSPNLTFTKNPNPQLPFSPPNRFLYSHKPQIRCARKGSKRTGKSRYPSEKRKLKSIQQTETQIDKFKGFWRLFKLGVSIDRDPGKDFLHISDALLEEVAKVLEFPVASMLPPEAFVVLRKSFDARKSQKEPKFVYTVEMDVDKLLDLEPRTWDFISLLKPKIGLIEHMPHEKVVGDLVSVIHDNKKIDQDRVPVENGHSSSLSGSKSPAAKKPKIAVVGSGPSGLFASLVLAEFGADVTLIERGQPVEKRGRDIGALVVRRILQLESNFCFGEGGAGTWSDGKLVTRIGRNSGSVMSVMKTLVQFGAPESILVDGKPHLGTDRLIPLLRNFRQHLQDLGVNIRFGTRVDDLIVENGHVVGVNVSDSSGRLQLSSEKLGFDAVVLAVGHSARDVYQMLLSHNVELLPKDFAVGLRIEHPQELINIIQYSKLANEVRSGRGKIPVADYKVVEYVKGEEKDASSRSCYSFCMCPGGQVVVTSVNPSELCINGMSFSRRASKWANAALAVTVSQKDFSALGFHGPLAGVEFQREFEQRAANMGGGSFVVPVQIVKDFMDNKVSGKILIHFVFFTTDQWLSSRAFFMSATSLPSSSYRLGVKAANLHELFPVHITEALQHSISAFDQELPGFISNGALLHGVETRTSSPVQIPRIPETFESMSVGGLYPVGEGAGYAGGIVSAAVDGMYAGFALAKSLNLYKGEIESIFGKGQNSVSVKY >KVG94664 pep supercontig:CcrdV1:scaffold_5807:23407:27888:-1 gene:Ccrd_026071 transcript:KVG94664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin CDAACWVGYLLVYRYLEFATDHRPKQLKEEEEEQQWRHLRYHLPFLLPLRTVSNSKKLLQRAVMLWTLEPTERDAYLVNEATKKLTASNWVLMEIACSRSSDHLFCVRKAYHAKNKKSIEEDVAHHTSGDFRKLLVPLVSAFRYEGDEDLKADPKDEYLKLLRSTIKCLTVPEKYYEKVLRLAINKLGTDEWALTRVITTRAEVDLKRIAEEYQRRNSVPLDKAIAGDTSGDYEQMLLALMGHDDA >KVG94656 pep supercontig:CcrdV1:scaffold_5808:3471:22457:-1 gene:Ccrd_026072 transcript:KVG94656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MARNLLGEDLGPRNCKDLESLERQLDTSLKHIISARLMLDTLTDLQNKVTTIYTYIRIHFIDIALLIYSCSCSYILQEHALNEANRTLKQRDTDPSEHSYHSDDTAESPARSVTPIREPPPRSVYGTRVWVTARKSVPIPIQRTFSIPHRDYAGPSRTRDRSVTPSPPEDRTSVTRPTGMTPTESYVFSGMTHDVLIHQMKLESHDHLIKGLTNMMTTHHETMNKTIELLAYTMELIPLPVNFKKAERWSPI >KVI06956 pep supercontig:CcrdV1:scaffold_581:137376:137597:-1 gene:Ccrd_014686 transcript:KVI06956 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL-like protein MAARSTTVDAPLRFSCSDDNRRMSKKGSRSSPSHRRCTFTRKCAGLVKEQRARFYIMRRCVSMLVCWRDYRDS >KVI06960 pep supercontig:CcrdV1:scaffold_581:102050:103327:1 gene:Ccrd_014685 transcript:KVI06960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MASLYLLLLYNLFFCFFFQPIYSNNLILPLKIQSIPPGSSPDKLSFHHNVTLTVTLTVGSPPQQVTVVLDTGSELSWLRCKKTPATPLWYDPLRSTSYTPVPCSSPTCRTRTRDFTVPVSCDRKKLCHAIVSYADATSVEGNLAYDTFRFTNSDLPGIVFGCMDSGSSSNQDEDSKTTGLLGMNRGSLSLVSQMGFPKFSYCISGRDSTGVLLFGEVKSSWLKPLSYTPLVNISTPLPYFDRVAYTVQLEGIKVAGTVLSLPKSVYVPDHTGAGQTMVDSGTQFTFVLGPVYTALKNEFLKQTKTVLRVYEDPDFVFQGAMDLCYRIDQKTVGLPVLPSVTMMFRGAQMSISGERLLVQVPGLIKGNDHIHCFTFGNSDLLGIEAYVIGHHHQQNIWMEFDLANSRVGFAEVRCDIASQKLGMDL >KVI06962 pep supercontig:CcrdV1:scaffold_581:2071:15007:1 gene:Ccrd_014680 transcript:KVI06962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDGGFKEMRLHIWPDRTSSTRDVGDTLPISEKANVPDEEQMNGGRYRSKAELRKKNRRMKNGPGRNQSTGGRVDKCEGFSSKQF >KVI06959 pep supercontig:CcrdV1:scaffold_581:29632:38780:1 gene:Ccrd_014682 transcript:KVI06959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPGKAVGVSISSQAPSAEVAPVKEAAKVCIALEDDNLHLIILDMMLPKKINSLQILLPSSATARSNRQKSIAPNRHHNLQSSSTKPRRDFIRIINAWNMQWAKGESKRKLEELLQKWSEWHTRHRSSARTLMEDEPEKYQSRFSEYIKAGVDPENIEELYKKVHSAIRSDPTPKKFNLKKLTYEEKKEKLIEKLNALNAAASGADDE >KVI06958 pep supercontig:CcrdV1:scaffold_581:40901:43627:-1 gene:Ccrd_014683 transcript:KVI06958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWPGKVSTNLETCSGVTPSVRKIPMQTPIRSSLLDVGGVIGDVHEGGVHHLVVDGVLGGSTHSSCSGVKIVDEEAAHFPLFNDICSFSLQWWRWRLPLICVEVKDELQNDYEAGSKERWKMTYRVEIKVGEDHPLNC >KVI06957 pep supercontig:CcrdV1:scaffold_581:40969:44335:1 gene:Ccrd_014684 transcript:KVI06957 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MATAISTIGAVNRVPLSFNGSTGSAAVPSSTFLGSSLKKIVNSRVSSNNNNKSFSFKVLAAEKEIEESQQTEKDRWKGLAYDMSDDQQDITRGKGMVDSLFQAPQDVGTHFAVMSSYEYISTGLRTYNLDNMKDGFYIAPAFMDKLVVHITKNFLTLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGITQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRTDGVTPEQVSKLVDTFPGQSIDFFGALRARVYDDEVRTWIGGVGVEGIGKKLVNSKEGPPTFEQPEITIDKLLEYGTMLVKEQENQVQVPVPEGCTDPGAENFDPTARSDDGSCTYSF >KVI06961 pep supercontig:CcrdV1:scaffold_581:7431:8399:-1 gene:Ccrd_014681 transcript:KVI06961 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MTASGSSSSCGACKFLRRKCTNECVFTPYFCYSQGAHHFAAVHKVFGASNVSKLLMKLPLQNRSEAAISISYEALARMEDPIYGCIAQILALEQQEIGDLNAGLPSSFQFNNTSISDHLNQPLLFAAPHASFF >KVI11166 pep supercontig:CcrdV1:scaffold_5810:30958:31371:1 gene:Ccrd_010426 transcript:KVI11166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVDLLSAASMPVLKVLIITALGSFLAMHHIDILGQTTRKQVNNLWIEQIRSTLRIGMDQVQVVYA >KVI11536 pep supercontig:CcrdV1:scaffold_5813:7761:9383:-1 gene:Ccrd_010052 transcript:KVI11536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MESLNHSNICTINPSSSSSSNENNTALKKEKETHIFTLTMCSPDTTFSMNISDPPPPKPQESCHHLPTLSEIIHESRALFQLSAPIALTALILYTRSILSMLFLGHLGDTELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAQRRHLISLTLHRTVIFLLVVSIPITFLWINTSSIFIYLHQDPNITRLARTYLFFSIPDLLSNSFIHPTRIYLRAQGITYPLTFASLVGTIVQLPLNYMLVWRLQLGVAGVAAASSMSNFVVLVVLVVYVWWAGLHLPTWSNPTHECLTGWGPLIRLATPSCVSVCLEWWWYEIMIVLCGLLVDPQATVASMGVLIQTTALLYVFPSSLGFAVSTRVGNELGANRPEKARISAFISISLAGLMGLSAMIFATSTRKTWGQMFTNDSNILRLTSLALPIIGLCELGNCPQTVGCGVVRGIAQPTTAANINLGAFYMIGMPVALGLGFWFRVGFVGLWLGLLSAQVCCAGLMLYVVGTIDWEHQAKKAETLTCNEGADGATPHENEPRPNRIIMTT >KVG94558 pep supercontig:CcrdV1:scaffold_5814:21929:29037:1 gene:Ccrd_026075 transcript:KVG94558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKDSFVTSPVVVLHVTLSQSQHSPPILPSSTQLRRGGATSRTYESTREVLIYKSAFLSSSIQPPCIRQTMRIRIAIXINNQ >KVG94557 pep supercontig:CcrdV1:scaffold_5814:2881:4059:-1 gene:Ccrd_026073 transcript:KVG94557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLESLNLKNDSLSHIILNANTIWLDVSENHINGIILGDIRMFLPNIWILNLSRNSLDGIIPSSISDLSELGTLDLSEVSSGLFTNLSYIRVLKLSKNRLHGQVLSRNLSLGNHERLSLDNNYFTRKIGNWTIQNNYTQIIKYLHLGSNKFTGSIPNAFRNLAGVLTLDISNNFSLRKKKKNNFIGSIPMQLCQLSNVSLIDLSSNSLFGSIPRCLQNIRTLVYPAFKLSGQDI >KVG94559 pep supercontig:CcrdV1:scaffold_5814:10897:29065:-1 gene:Ccrd_026074 transcript:KVG94559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVAEGCDRIWSLNVSLFLHLKELTSLNLSKNCLDDGIVNTGLGRLSSLKKLKIVDLSALENLEILDLSYNYFTKGFERVSLYKKLKILNLRGNAFNERLVTSLSALPLLKALDLSFNFLSGSFPAQVNFRSNNNKFEVETEEPLGWIPMFQLKVLVLSSCNINRPKVSVVPRFLLHQRMLQVIDLSHNSLVGNFPNWLMENNTMLEALNLRNNSLXGGVCMPRYRHANMRWLDVSENHMNGTIPTDIQKFLPSIGYLNLSSNSLDGVISSSIGDMRQIQTLDLSDNELSGEVPETLFSNITYLRILKLSKNRLQGEVLSRNLSLGXIGRLGFDNNHFTGKIGNWTFQNHYMESLDISNNLFTGTIPRWISDMMSYKSELIVSNNGFEGPFHCGKTSFSFLDISHNSFSGPIPSCLDFQAMTHLHLGSNRFIGPIPNAFHNLTSVLTLDIGYNSLSGRIPEFLGNLSYLRILILRKNNFSGSIPKPLCQLSNVSLIDLSSNSLSGSIPSCLQKIMNAVYPAFEQTMQSSYYTSISYAYQSVLYGNIGVDDYIMLEKQDEVQFTTKTLPLSYKGKILDWMVGLDLSCNKLTGEIPKELGLLTQIHSLNLSHNQLTGTIPMQFSNLEKIESLDLSSNWLSGEVPSQLIKLTSLAVFNVSHNNLSGRLPEMKAQFGTFTKASYEGNPLLCGPPLDKKCMNTSYATDSSAKDGSDKWYDIDMTSFYGSSGLTWVVFLWDLLRFFTSILISVEGDCIEEEREALLEIKASLIDSYGSDVNHHLPTWVDDTGIGGECCDWERVTCNTTTGHVTRLSLRNMMEMPDGPCNMRWPLNVSLLLHFKELRSLNLSFNCLDDEIVTTGLGRLSSLKKLEILDLSSNIITNETFPSLGALTSLRVLHLGTNKFEGRFPALALESLEILDLSDNHMEDFEQVSMLKKLRILNLGANTFNKSFITSLNVLPLLKSLDLSGNRLQGLPFPAEEISHLTNLETLDLSVNTLEGTPSIQELAHLPDDLEGLLLSQNKFNGTLPIEALASLFPHLQVLDLSSNHFVGSIPPTIQELSSLRVVSFAYNDLNGSLPEHGLCELENLHELYLGHNMFDGSLPQCFNLLSSLKKLDISSNRFTGILEQSMIANLTSLEYFDFRDNGFEGSFSFSWFSNHMKLEVVKFKSNNHKFEVETEEPVGWVPMFRLKVLVLSSCNTNRNKGSVLPGFLLHQQELEALDLSHNSLQGQSPNWLIENNTMLGALILRNNSFGGTICMPLHRNANTRWLDMSKNHMNGTIPSDIQKFLPNVFYLNLSSNSLDGVIPSSIGDMSELLALDLSDNDLSGEVPKGLFTFYLEVLKLSKNRLHGEVLSGNKSLIVYNLHLDNNAFTGKIGNGNHSSLRMLDISNNYFTGTIPHWIINRNLEEFVVRNNSFEGRFPCGNIPFSFLDISRNSFSGSIPSCLNMTYMKHLHLGSNRFTGSIPNVFRNLTNVLTLDIGNNNLSGRIPKFIGELSNLRILLLRQNNFSGSIPKQLCRLSYLSLIDLSSNSLSGPIPSCLQNITSPVYPAFNRSEFSIFHILPWSYLDIMREVSLDMQGVSETEDEVQFTTKALFLAYKGSILDYMVGLDLSDNKLTGEIPKELGLLTEIHSLNLSHNQLTGTIPMQFSNLENIESLDLSSNGLSGEVPSQLTQLTSLAVFNVSYNNLSGRLPEMKSQFSTFTEESYKGNPLLCGPPLNKTCTTTSQVNYPSTKEGNDKWYDIDMTSFYGSSGATWVVVLLGFVGVLYINPYWRRRWLDLVEEYMQIRFGNRMKSMLNRRPRSDDWDGIIIRFLDSFAVNDTWGIVCNLAPDYET >KVG94276 pep supercontig:CcrdV1:scaffold_5818:21466:21940:1 gene:Ccrd_026076 transcript:KVG94276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSPRCLVGHVPFLVHSAVGKMLLAKLYPSIQIRTLSFMLVVEREEMKWQKFSWNFPN >KVH89423 pep supercontig:CcrdV1:scaffold_582:203128:209347:1 gene:Ccrd_008593 transcript:KVH89423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MKLSAVGFGQQLPEGEKRCLNSELWHACAGPLVSLPTVGSRVVAASTNKEVDAHTPNYPSLPPQLVCQLHNVTMHADGETDEVYAQMTLQPLNSEEQKEAFLPADLGAPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLVRRFPLNMSGSLSLNLDQLTACTWGFLLPLHMRQQLIARFIIHEFLLACGFGCCLKPKNQAYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSQFPLRLKRPWPPGLPSFNVGVSPWLQPRLDASMLGMQTDIYQAMAAAALQEMRTMDSAKPSNPSLLQFQQHRTVPTGSATLVPPQMMHQSQPQPTFENLPVSHPQPHLLPQQLQHQIPFNNEQQHLLPSMPQFGSSSQTISSMQHPSFSGSNGNPVSGSNVSSFQSLLGSFSHDETSHLLNMPRSTSLLTSTGWPAKRVAVDPLLVSGASQSLLHQVEQLGPPSHTNLPRNTNLSQTAVSLPPFPGRECSIDQESSNDSQSHLLFGVNIDNSNLLMQSGISNLRGVGNDSDSMTLPFTSSNYNMSNAGNEFSMNPTMTPSSCIDESGFLHSPENTSQTNPPTRTFVKVYKSGCYGRSLDIAKFSSYHELRSELAQMFGLEGQLEDPLRSGWQLVFVDRENDFVSSVWCIKILSPQEVQQMGKQGLELLNSVQIPNPTDSTYDNYTPSRQESMNLSNGVASVGSLEY >KVH89418 pep supercontig:CcrdV1:scaffold_582:35118:46703:-1 gene:Ccrd_008587 transcript:KVH89418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutrophil cytosol factor 2 p67phox MDALRKQASKLRDQVAKQQQAVIKQFSASGYEHSDVVVIDELEMQRHQHLEKLYRSTRSGKEFQRELVKAAEAFTAIGYKHIEAGTKLSEDCCKYGVENASDEILAKAASIYGDARKHVEKEQEDFTRLLFSQILEPLRAMITGAPLEDARHLAQRYSRMRQEAETQAAEVSRRQARVREAPIPENVTKLHAAESRMQELKANMAVLGKEASAALAAVEGERTYHERVAAILHQIEAEMVSDKQRRESAPPTVPSNLLSDKTKYFLAEATHAFEASSDKELNLAVGDYVVVRKVSPSGWSEGECRGKNGWFPSAYVERRQRLPSANGTPDFY >KVH89420 pep supercontig:CcrdV1:scaffold_582:69619:71698:-1 gene:Ccrd_008589 transcript:KVH89420 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like protein MANGQMRSVASLLMVLNFCMYVILLGIGGWAMNRAIDHGFIIGPGFDLPAHFSPIYFPMGNASTGFFVTFALIAGVVGVASILAGFDHYRSWSSNSRPAAVSSAIIAWSLTVLAMGFAWKEIELEGRNARLRTMEAFTIILSATQLIYVAALHG >KVH89422 pep supercontig:CcrdV1:scaffold_582:154359:164493:-1 gene:Ccrd_008592 transcript:KVH89422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 47 MSSKTLPYSIKDIQYDNAKFRRRSLLQVISHTFLTKRMKRECMACSTGKFLALLMICGLTYLVLTHASPIDSITNGVSGAFGSKEGDNSIMDGGIGVKRFWRKPPRLPPRLSPDEVNSHNRSMHGIAKPNQQSEWIARQESVQKAFIHAWSGYKKYAMGYDELMPLSQRGVDGLGGLGATVVDALDTAMIMRLDDVVFEAGSWIEKHLPERISQRGQVNLFETTIRVVGGLLSAYHLSVVQQEGNPTNKGPKPSVYLENAKNLADILLTAFTSSPTAIPFSDVVLQDRTAHAAPDGLSSTSEASTLQLEFNYLSFLTGDPKYSFESMKVLEHMKTLPKVEGLVPIYISPTSGEFSGSNIRLGSRGDSYYEYLIKVWLQQRQSNRTYLHDMYEEAMKGVKHLLVRKSKPNGLVFVGELPAGVDGGFSPKMDHLVCFLPGTLALGATKGITKAKAMEENLLTFEDLENLKLAEDLAKTCYEMYSVTSTGLAPEIAYFNSEGYSEDGLDGGNKTSEYIHDIVIKHADRHNLLRPETVESLFILYRITEDSKYREWGWSIFKAFEKYTKVDSGGYSSLDDVTVLPPRRRDKMETFFLGETLKYLFLLFGDGNVIPLTEFVFNTEAHPIPIMTTRKNE >KVH89424 pep supercontig:CcrdV1:scaffold_582:85359:87220:1 gene:Ccrd_008590 transcript:KVH89424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIYGYFINGRVEDAVRFFHEMPSRSVISWSSMINGFNQAGKSDDALVLFRQMLSCGVRPTSVTFSSVITACANVRNLHLGVKIHGHVVKLGYLSDTYVTAPLITFYAHCKDIDSCCKVFKEKMHSSVVVWTSLLTGYSLNCKHEEALEVFADMFRFGVPPNQSSFTSALNSCCEVEALDRGKEINGAAVKLGLETDVFVGNSLIVLYTKCGNIYDGLSIFKEIAEKNIVSWNAIIVGCAQHGYGMWALILFNQMIRHGVPPDDITFTGLLSACSRSGMLDKGRCIFDFVSRYGSVKVKLEHYACMVDILGRGGKLNEAEELVRSMPMEPNTSIWLALLSAYGVMFQESEG >KVH89419 pep supercontig:CcrdV1:scaffold_582:11045:15073:-1 gene:Ccrd_008586 transcript:KVH89419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MTSSQPLLQSHGSVQELAKQLKLAVPDRYVQEHQEPTTFVFNSSIPVIDMNDLIKILETDMDQLKNLQSVCHEWGIFQLVNHGVDKLLVENMKKEMVEFFKIPIEQKMKYKLKGGEYEGYGQTILHAQDQKVDWADLAIDKPEMLETFEDGMQSVRMTYYPPCPQPDLVIGLTPHSDAAGITILLQVNDVEGLQVKKDGVWLPVSFLPDAFVVNVGDILEIMSNGAYNSIEHRVTVNGTKERISLAMFFNPKLEAEVGPAKSFLKNTGKPPLYRTLVMEQYLKEFFSRKLNGKTFLEKMKIKNGET >KVH89425 pep supercontig:CcrdV1:scaffold_582:150351:156445:1 gene:Ccrd_008591 transcript:KVH89425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGKSTHSGFFSSDLLPSLGANFNRSAPLKRYTVSPFDRKYRAWEMFLVILVIYSAWISPFDFAFLDDKQGALRIFDNIVNGFFAIDIVLTFFVAYLDSQSYVLVDDHKKIAVRYLSTWFMFDVSSTVPFRSLSLLCTDRKSEIGFKVTLFAVHCAGCFNYLIADRYPDPNKTWIGAVYPDFKNDSIWNRYVTALYWSIVTLTTTGYGDFHAENTREMLFDIFYMLFNLGLTAYLIGNMTNLRDKVAAASEFAKRNQLPPQIKDQILSHICLDYKTEGLKQQDTLNCLPKAIRASISRHLFYPIVQNVHLFRGISHECLFQMVSEMEAEYFPPKEVVILQNETPTNLYILVTGAVIVGKAVSGEMFGETGILYNTPQPFTFQTTEISQMLRMDGSSLLRIIHTNTQDGFIIMNNFYMKLKGLESFGHASQPNNPALVSSEQSHGGTTMENSSSHLRNYSNADQVTREPSDIDYLDSGDIEKQEQINNSISNKNEKNVNSPAEDGQTALHVAVKKGHLEMARLLLEGGANMHKPDIRGCTPKSLAQQQGNKSIYDLLLSHENRRNEHKIEFIDPETTNSTLTSRYLPTTNRDPSCSTSLVEPAFLSSSSSTSYTGRNVKKLMKRVTIHANFQESASEKQLPKLMVLPDSLEELLIFAGQKFGGQNFAKVANSENAEIDDLSVIRDGDHLFLLPNDCECRDYNVI >KVH89421 pep supercontig:CcrdV1:scaffold_582:53362:57348:-1 gene:Ccrd_008588 transcript:KVH89421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQAMAGENDGGELSSPASQDPCIEGEVIEVKKEGNSSETTFNSIEKNSNVEEGGNIEIEIGVKSKNSNGSSSSSSSSGSSDDEVEKKVVVLESVPVIESMPEKITQKVEDLEISPIVEPVIKPVDSSLEEVSQDCDEPKNEEKKDLVVEEQTVVVSESELKDDCLVSSVVVESVSKENGVVKSPLLDEKASSESKDCVASSTSVTDSISEVNGANHANDTDTIGHSDRQPPVAASTPLAVQKTTSWKSCCGIFELFSGSER >KVG93936 pep supercontig:CcrdV1:scaffold_5825:9774:10502:1 gene:Ccrd_026077 transcript:KVG93936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MDPLSLSFRSTVSTRPPPPLSLSTPPSQFRILSVRNGEKPQTVTSTTKRSSQDRSKWPTITSNDKKRVTKSAKIDWLLLSMIFNAFDDIINKFIDPPTRDSVNPWHVLLDNFYPVDELPPTDCEVSEGMLLSCLDGVYFRNGPNPQFLPRGPYHLFDGDGKFHAIRISKGKAMLCSRYVKTNKYNIEKDAGFPMIPNVLSGFNGLTASVASQHSKTELFLSSHIGYITWLFLVCLHGGDGGD >KVG93935 pep supercontig:CcrdV1:scaffold_5825:21474:22799:1 gene:Ccrd_026078 transcript:KVG93935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MDPLSLSFRSTVSTRPPLPLPLSPPPSPSRVLSIRNDEKLQTITSTTKRSSQDRLKWPIITSNTKKRVRKSVKIHRLLLSMIFNAFDDIINKFIDPPIRDSVNPMHVLLDNFYPVDELPPTDCEVSAGMLPSCLDGVYVRNGPNPQFLPRGPYHLFDGDGMLHAIRISKGKATLCSRYVKTNKYNIEKDAGFPMIPNVFSGFNGLTASVARMAVATGRVLAGHFDPSKGIGSANTSLGFFGNKLYALGESDLPYAVKLAPDGDIITLGRHDFDGKLSMRMTAHPKIDPVTKEAFGFRYSPISPFLVFFRFDEKGEKQADVPIFSMRTPCFLHDFAITKNYAIFSENQLKMELFGNGPLIKADLREVPRVGVIRRDAKDESKMKWFEVSGWNILHTINAWEEDGGDTVVMVATNILSIEHLLERMDLIHASVEKLRINMKTGM >KVI08124 pep supercontig:CcrdV1:scaffold_5827:14504:30193:-1 gene:Ccrd_013507 transcript:KVI08124 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1771 MSWRRAKTSGWAAFDPKKQQKEVIDHETHNHPYPLISSNISTWDHCQNRSRNGDLVGRSFSSVLAHSASVPNMMASENNHSPLYVANIQNVPSLVAVRDVPQVHAHTLLENSQSERMTAKYIENDVSQIYEKLKDLHPWANENLVEDIVTAVDNDIDKASDLLREMASPGRLLEKKETEFEDNMEDVYLDSSRLENTDVHIGETTNFPESAQVSQSSLAIKNDEPSDDHTSGKVFLHDNAPPGLIMDRLNMVPVEPEWEDDDMYLMHRKEAIRAMRLASRHSKAATEAYLRRDHAAVQEFSAKAREEWRTSEKLNAKAAKEILAIRNCKNDDWKLDLHGLHAAEAVQVLLEHLLKIESQVSGTRSKKPDKTNLNVFSTQAASLKSVNPKTEKLDAQQASRPRLLEVITGKGSHSRGEAALPVAIKNFLSEKGYYYYEARIGVITVQPKFRQLSTALSKR >KVI08125 pep supercontig:CcrdV1:scaffold_5827:8265:10800:-1 gene:Ccrd_013506 transcript:KVI08125 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuBisCO-cytochrome methylase, RMS1 MASTRRMRAFKRWMKCQSIEYSDALDLVIQQEDLQIWVKALCDLHDGDLIATIPKHSCLTVKSSAACPLIQDFCLEGYMALSVALMYEKSLGQRSPWFGYLQLLPDCNPEVPLLWSIDEIDQLLLGTELHKVSFHLFVEILRVYLNLILIRNPFVHLKSLIDPSCHSDSSPQFLITWYMYRQTVKEDKALVYKDWKACIVPFVESAPIKLDPNDFGVEQYFAAKSLISSRSFQIDEHYGFGMVPLADLFNHKTDAEDVHFTSVSSHSESDDDTDENMENQVDNDLDGDLLRQNESDIASPKRSFSADGACSPTTEILEMILVRDVKAGAEVFNTYGSMGNAALLHRYGFTEPDNPYDIVNIDLELVLQWSSSQFSSRYTRSRLSLWRRLYHPELSSLQTEYFEISYDGEPEVELLKLIFIMLLPEKTYNEFYLAVSTAQNSDKSITVISNKERIILGEVSEWNKKVLLTEGVRGALLSLADSREICYGLRSMEEDVEALEKCPVREKKLHHSLVLRISERRILEKLRTYASAKK >KVI08007 pep supercontig:CcrdV1:scaffold_5828:14245:20890:1 gene:Ccrd_013627 transcript:KVI08007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELEFQRVLHLFPVVRTRDYNAESEIQRQLTTQASRRLRERINSQIEGGSRGSVTGGIDGQDAFWEKLKAAAANKFNTTISCHGVVTILNTDGKGVTAAALGLLLIANTEKTSYSTIAEHACHDNNCMNLLIRSHANALCANALDLMHHHGSRHHIGKMWSLKVSMKDGVCSFVTSICSMVGNADAEEFVKAFQHVYRKLVFEELSLTAAQRFVNSS >KVH96852 pep supercontig:CcrdV1:scaffold_583:131156:141725:1 gene:Ccrd_001056 transcript:KVH96852 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA breaking-rejoining enzyme, catalytic core MNYSSDEDDKPLVFKRSSTSDKFKHSAQQKAAAVVRQEKPSPKTVAKQNSDSEDSEDDKPLSSRISTVPKANSNHVKVGPSMSASQRSKTQVKNEDSDDEKPLSSKFTPKSYVSASIRKHDDSDDDKPLSSKVKQNGSASRDNQSRKPNIGLNKRPPNEENSLGQSSIKKPKLSDSSAPINRKPVSVKPESETDDDENDHVPISQRMKNKAPSGSKSASIKQKSIKVNSSSFKKTIKKSKKIEKNSKYSKPSKVPPGSGEGQKWTSLVHNGVIFPPPYKPHGVKMLYKGKPVDLTPEQEEVATMFAVMLDTDYMTKPVFKENFMGDWRKILGRNHTIQNLDDCDFRPIYEWHQREKEKKKQMSTEEKKAVKEEKMKLEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPSDITINIGKGSPIPECPIPGQSWKEVRHDNTVTWLAYWNDPINPKDFKYVFLAASSSLKGQSDKEKYEKARSLKASIRKAYTRDFGNKDVTKRQVAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVEPEPPNILKFDFLGKDSIRYQNEVEVELPVFKAIQQFRTGKKDGDDLFDKLDTSTLNAHLKELMPGLTAKVFRTYNASFTLDDMNDMPTENVVWESNLVFDVLLTSNSLCPNMNVDIALSYKFPESMTTSLNRDMKGGNVAENLVVYNQANKEVAIICNHQRTVSKSHSAQMTRLNEKIEELKGVVEELETDLARAKKGKPPLKGSDGKTKRNMNPEALHKKIVQTNAKIEKMERDKETKEDLKTVALGTSKINYLDPRITVAWCKRREVPIEKVFNKSLLAKFAWAMDVEPSFRF >KVH96853 pep supercontig:CcrdV1:scaffold_583:143217:156999:1 gene:Ccrd_001057 transcript:KVH96853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MSVIGFDIGNENCVIAAARHRGIDVLLNDESNRETPAVVSFGEKQRFLGSAGAASATMNPRSTISQVKRLIGTKYKNSWVQDDLKLLPFETSEAPDGGILIHLQYLNERCTFTPIQILGMLFAHLKQMAEKNLEIPVSDCVIGIPSYFTDVQRRAYLDAAHIGGLRPLRLMHDCTATALGYGIYKTDFADSGPTNVVFVDIGHCDTQITVVAFEQGHMKVLSHSFDNHLGGRDFDEVLFKYFAAKFREQYNIDVYSNTRACIRLRSSCEKLKKVLSANPEAPLNIECLMDEKDVKGFIKRDDFEDLCSNLLERISIPCHKAVNDAGLSVDKIYSVELVGSGSRIPAIMRKLSTLFGREPRRTLNASECVARGCALQCAMLSPTFRVREYEVQDSFPFSIGLQTEEGKNITLPNGVLFLKGHPFPCVKMLTLHRSNTFHLEIIYANENDLPVGVPPKVSYSTIGPFQVLSAEKAEVKVRVHLNLHGIVTIESASLIEEEYSSNNANLPSEQKVVDNHMTNGAADYKYADSSPSTTHANVYGGTTRDEVRDAQEKERMLAEQDIKMERTKEMKNTLEAFVYDTRNKLLSSYRSFATEEEKERISRNLQETEDWLYEDGDDESELVYAGRLEDLRKVVDPIESRYKDEDARAQATRNLLNCITEYRTAADSLTPTEKDVDSMPKNADPIVFSSEINRKAEVLERMCKHVMKNKGPSSNYEDAMGSNQKDKPDDMYVD >KVH96850 pep supercontig:CcrdV1:scaffold_583:207960:213231:1 gene:Ccrd_001058 transcript:KVH96850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEPISTQDGELTHPILSKHRNPVVASPLLAEVVSSELEEILSDTTLTYFRRLQKATAMELYSLFRLAGPAIIVYVLNNVMSMSTQIFCGHLGNLQLAAASLGNNGVQLFAYGVMLGMGSAVETLCGQAYGANQYGMLGVYLQRATILLMVTGVPLMILYIFSNPLLILIGQSKEIAAAAALFIYGLIPQIFAYAANFPIQKFLQAQSIVNPSAYIAAAMLVVHILLTWIALYVWGWGLLGASLVLSFTWWAIVVAQFVYIVKSERTKETWTGFNMQAFSGLWAFFKLSLSSAVMLCLETWYFQILILIAGLLDNPEIALDSLAVCSTILGWVLMISIGFNAAASVRVSNELGAGHPKSAAFSVIIVTLCSFVISVICAIIILALRHVISYAFTEGQAVADAVSELTPLLALSLVLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGVPLGCLLAFKLNLGAKVEQTRKRVNQWQQRKKEPVLSY >KVH96851 pep supercontig:CcrdV1:scaffold_583:95023:95304:1 gene:Ccrd_001055 transcript:KVH96851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDPEEVKHRKAQFLIYKSLEKADQRSPRPSWLRVRMFKLKIKFGKKMKKLKKSFNRCGAARVTVLQQWKRLFTVRQAMLKLPTAFFSSIQ >KVH89088 pep supercontig:CcrdV1:scaffold_5830:25265:30888:1 gene:Ccrd_008928 transcript:KVH89088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex, subunit 5 MSKEENPQVTRRTTRLSASSVLNANESATKIVNLPPLTLRDLVFGEEEPLSFDGLISSLPGRRNQILEILRLLGPVNSPVSPLFVYGGTSTGKTSTILQVFRHLNRPFVYSSCRTCYNSRQLFENILNQLLLHRKSESNGYSSAKKCEKQADFVNLLKEALMGVVDNLKGNAGRSSSSKKTGVSASGSTVYLIFDSLELVRDWDKSSTILPLLFKLHDILKVPDVGVIFISSSSLDTYHSDTGFVDPIPVYVPDYTEEDLRQIFMRNQSNSKLRSSFLDVVLKPFCRVTRRLDELSTALSPLFKKYCEPLDDLGVVPDEDTKRRLFSYLQPHIAPALNEIFRVRSQPSVETGGRDNLKRKSSSKKFGVSDSIDEIDFHMSISAKYLLISAFLASRNPATLDASLSSEKSMDLKEASEQEQFMKGPGTFPLERLLAIFQCITSVAEYSLEEEAAETGLDGSKEPKVPTFKVFVQKIAVLTSFT >KVI11397 pep supercontig:CcrdV1:scaffold_5831:23403:26131:-1 gene:Ccrd_010193 transcript:KVI11397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVHHRKMKGWGEGYIEGKRNKDRGPV >KVG93866 pep supercontig:CcrdV1:scaffold_5834:9898:15801:-1 gene:Ccrd_026079 transcript:KVG93866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine MEKGDGGSDGGDERPIDEGDSGSDGGDTDDWGEEGGHWCEVSSLEQVTEALARAGLESSNRRGLHHIGDGLNPYEQAITIIGKTLAAFDEDNLIPCYGFGDASTHDQDVFSFYPEERFCNGFVEVLTRYREIVPHLKLAGPTSFAPVIEQAMTIVKHTGTVLIYLGQTFTRIQVFLVQSSIICNDKGHEILVADVVNLPYRTWYGDAAISIVVLHHLSTEHRRKKSIDELVLIKSFTDNISSGFLFVL >KVI11299 pep supercontig:CcrdV1:scaffold_5835:7663:19222:1 gene:Ccrd_010292 transcript:KVI11299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox homologous domain-containing protein MKGSENKKDRISSSKEESEEEILFHLDDSSLTSKSFSNYRSAMSILSDDSDHPLATPAETDPLLSPPSPPPPVKNPNSNNDSRIDPLTYAHVNFMPPNRDDQSLSVENPSEESEIVVPLSTPPYLKITVSNPHKEVESSNSIVPGGNTYVTYLITTKTNMPEFGGPQFTVRRRFKDVVTLSDRLLEAYRGFFIPPRPEKSVVESQVMQKHEFVEQRRNALEKYLQRLAKHPVIRQSDELRVFLQVQGKLPLLPTAAVTSRMLDGATRLSKQLLGDTSGGGSVRIQPEDVVQPAKSRWDFLRIMKEMNQAVSNDWGGSKPSIAEEDTGFLENKKRLLNLEKQLTNASKQAELFVKAQQDMAETMGEFGLAFIKVTKFENDQAVLDTQKKRAADMKNLATTAIKASRLYRELNSHTVNHLDMLHDHMGLLLGVHNAFSDRSSALLTVQTITTELDSLYSQAEKLESSSSKTYGSDKSKTLKLGEVREAIRVTEDAKNSAMREYERIKENNRTEIDRLDTERKADFKNMLKGFVLNQVAISEKIGMEWGKIAGETSGYAEHGG >KVG93678 pep supercontig:CcrdV1:scaffold_5839:21072:23421:-1 gene:Ccrd_026080 transcript:KVG93678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coactivator CBP, KIX domain-containing protein MPRPGPRPYECVRRAWHSDRHQPMRGSLIQEIFRVVNEIHSLATRKNKEWQDKLPIVVLKVEEIMYSKANSEAEYMDLETLWDRANDAINTIIRLDESTETGVFLQPCIEAALNLGCTPMRTSRSQRNNTSTYYLSIKNPEPNFCPANNLHKTTQETPITATPFVSHYSQIMKPPSINLSPFILKSQIPILPNDKSLRNKFSFQSPPSDKPYLCQSTKPPSVYPLYYGNGIELKDPKIGYGAPSEPYCELKENGEVGFIQKSSFCNPLSINDATQADLRFTGEEHLHGHECDLSLRLGPSTMKTSQQAETHDAGNFNNSLSRKWSYESENLDVEARMRKRKAVVSNLYENLQFCWRPKVSSANSNGGSLTNAGL >KVH91344 pep supercontig:CcrdV1:scaffold_584:51964:53577:1 gene:Ccrd_006628 transcript:KVH91344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 62 MGNCFTSSNQTFPIETRFKFPSSLPSWPPGEGFASGTIDLGGLEVCQVTSFKKIGSTSQDDTDVTFFDPSPIPDGFSMLGCYCQSNHTPLFGSVLAGKDVTGEAILAAPVDYTLVWTSKDSSCYIWLPTPPEDYKPVGYAITTSPEKPSFDSIRCVRSDLTEESETDELLWSSKDVNVYGLRPKVRGRLARGVSVGTFVIVKTVDDSSLLSLSCLKNNNFDNLTSSMPNLSQIEALIQEYSPRFYFHPKEAYFPASTTWYFTNGVQLYHTGEESNPISIEPTGSNLPQGGSNDGTYWLDLPVDETNRERVKKGDLQNCEAYLHVKPMLGATFTDVAVWIFYPFNGPSTAKLGLIDIPLGRIGEHIGDWEHVTLRVSNFDGVLYRVYFAQHSGGTWVDTPALEFLDSTNNFAAYPSLNGHATYPAEGLVMQGTDVIGIKNDAAKSDNFFDVKDKHSIMAAEYISDEKVVEPPWVNYARKWGPKITYEIGTEIEKLQSSSAGSVGSAIGSLLNVIPREFSGEDGPTGPKMKVDWDGDER >KVH91343 pep supercontig:CcrdV1:scaffold_584:27148:31847:-1 gene:Ccrd_006627 transcript:KVH91343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSESSQAAPFKLPSPLPSWPQGEGFASGTIDLGGLEVCQITSFKEIWSTSQDDTDVTFFNPSPIPNGFSMLGYYCQSNHTPLFGWVLAGKDVSGGTLAAPINYTLAWSSKDSSCYIWLPIPPEGYKPVGYAITTSPEKPSFDNIRCVRSDLTEESETDALLWSSKDVNVYGLRPKVRGRLARGVSVGTFVIVKTVDDSSLLSLSCLKNNNFDNLTSSMPNLSQIEALIQEYSPRFYFHPKEAYFPASTTWYFTNGVQLYHTGXESNPISIEPTGSNLPQXGSNDGTYWLDLPVDETNXEXVKKGDLQXCEAYLHVKPMLGATFTDVAVWIFYPFNGPSTAKXGLIDIPLGRIGEHIGDWEHVTLRVSNFDGVLYRVYFAQHSGGTWVDTPALEFLDSTNNFAAYPSLNGHATYPSPGLVLQGTNVIGIKNDAAKSDKFFNVKDKYSIMAAEYISDVVEPPWVKYTRKWGPKITYRNGTEIAKLQSSLSGSVGSAIGSLASVIPREFSSEDGPTGPKMKVDWDGDER >KVH91350 pep supercontig:CcrdV1:scaffold_584:161729:171764:1 gene:Ccrd_006635 transcript:KVH91350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAEKENMIKEKLDSITSLQSEITSLKVKGSSDAKEQIQKANTRSQELEKQVEKLQLELDLKTRLREALETRSKELEKQMLDMNPKLQNLQQTIEEQKSKLLKTERALKVAEEELMKTKDEASLRIKELTESYVETRWKVHGKPALDAFAQKKWVPAAKEQWAIVKTNVEPRVKLLTEKTTEVYVKSKEVLAPHVIKIKEAVDPHFQVAKKFCKPYIDQIAVATKPHLDKGRECMEPYTKEAVQAYGKFLESATKYHNQVQGTVEESLKKHELTRSLATKELIWFAASAVFVLPFIILFRTLSVIFFGKAKKPNRNSKPSHSRRKAKRGHSDK >KVH91349 pep supercontig:CcrdV1:scaffold_584:98556:113511:-1 gene:Ccrd_006632 transcript:KVH91349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-related protein MKVPELLGAFLRRLLHVPYYLITAIILLFNELAKLYSILRPLGTNGRSMGWGNIYKRRMKVFSLAFLIYVDYKALQQREKITKRSKRDILWEKAHERNAKRVLKLIVELEGLWVKMGQYLSTRADVLPNAYIRLLKQLQDSLPPRSVEEVCQTIEKQLGQSMDDLFTNFVNVPLATASLVGFMSTLYIGYEDDVEIAQVHRATLHDGREVVVKVQHEGIKTIILEDLKNAKSIVDWIAWAEPQYDFNPMIDEWCREAPKELDFNHEAENTRKVSRNLGCKKEGLDRRPEHVEVLIPEVIQSTEKVLVLEYMDGVRLNDTHALEELGVDKQKLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSREPPHHPILLDFGLTKLLSSSVKHALAKMFLAAAEGDHVALLSAFAEMGLKLRLDMPEQAMEVTNVFFRATTPATEALENMKSLAEKRAKGMKIIQEKMKLDKKEVKRFNPIDAFPGDIVIFSRVLNLLRGLSSTMNVRISYLSIMRPFAESVLQSNMSNGPSMNAKWLCESPVHSDVEEKLRHFLVELGNADRILGIQVCAYKDGQVIIDTAAGALGKYDPRPVQPDSLFPVFSVTKAVTAGMLHWLVDKGLNVPLARVLVLCFCFASFALSGFLYWKLKLDENVSNIWPEFGTNGKDQIKVHHVLNHTSGLHNALANIYQEDPMLFCDWDECLKRITLVAPETEPGREQLYHYLSYGWLSGGIIEHASGKKFQDVLEEAFVRPLNVEGELYIGIPPDTSELSRFSSPSTGPGVSSTLPSSFSFDTITGLAPVFNTLNVRRAILPAANGHFSARALARYYAALVDGGMVPPRHSPSSLPPLGSHPHHPSFPSKKTSKKDGNKKDSNNSNSNTDSYTTNPKSDLYIRIPETDNTSEDSNAKIFTNPKPKIHDAFLGSGDYKDLILPNGKFGLGFRRVKTTDGSVIGFGHSGMGGSTGYCDINNRFAITVTLNKLSFGSLTAEIIRFVCSELNLPVPEDYAGSRKFLEKPVIN >KVH91351 pep supercontig:CcrdV1:scaffold_584:133355:138935:-1 gene:Ccrd_006634 transcript:KVH91351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSLVNIDSSSGEYENEEVKAAFESYKSKTYALTVPLRIVALRSSVPPLWIKNFIQSQGRRVKFRLEFRGTLEGIFSELSTTIGKGKVSSKLAGAADIISLGDTWVNFAISKKLIEPIQGVDEQDWFCKLSDKWKVYLRRNDEGKSDTEGKIWAVPYRWGSMVIAYRKNKFRQHNLAPIEVIGAVLKYLGASYNTSNIDSQVTGGRNAVKDELAALQKQVRLFDSANYLKAFNVGDVWVAVGWSSDIVPAAKRMSDVAVIVPKSGASLWADLWAIPAASGIATDELGGRIRGPSPLVHQWIDFCLQSQRAIPFEEEIVAGASPSAFDNTPLPKSKNLSKNKPKLDTNLIAGGVPPPEILTRCEFLEPLSDSASLDHQWLISSMQNPNHGFMHKLQHCILWASNALQIKL >KVH91346 pep supercontig:CcrdV1:scaffold_584:93590:97233:1 gene:Ccrd_006631 transcript:KVH91346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MEFNHSKKEEPFNDSSMCRTFSEYDIEEFLKQSDADHRNHSPAGGIFSAADSVVFGNDDRKSYGAHRCSPDLDVHYRNPNNANAFQSCGGMTGNPLWSQNHTPKNSGVTMTMDSQSSICADSPTSGTKPKRRDNHVIGATSDDEQSDDDDTEIEAGQCEQSTDQMDVKRIKRRSRKRKQAHLTELEQQVEQLRGEYSTLFKQLTNASQQFKDASTNNRVLKSDVEALRAKVSSFVKLAEDMVARGSLTSSLSHLLQNHLTTPQLFNNQNMPRMGNVSPTITVLGDDHGLSVPGQHVMVGLGANPDIFNGNVKTGINSEAGSCVTDIWP >KVH91353 pep supercontig:CcrdV1:scaffold_584:177316:187809:1 gene:Ccrd_006636 transcript:KVH91353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MKLHDVIRKYCNADVSNLKRIRYMEETGHTSINNGRASHNIGRLGNLLRDNDEFFELISAKFLSETRYSVAVQAAALRLLFSCSLTWMYPHVFEETVLENIKSWVMDGTTRSSAEDHKGKNGSGARQSSDSEMMKTYSTGLLAVCLAGGGQVVEDVLTSGLSAKLMRYLRIRVLGEASTSQKESNYLLDSKSSSAATSIRAREDNRGRFRHASETSQLEPPRITEEGTSDDQVTERDRNRSFIRQTCTDDRWAEPPDGFDEDNETHETDTDGHVRDLRDGKTKVSDRSSHGKSLREDEIEESGRDDLSRRRANRGLVRSRGKGRINEGIVENEHILTSPGSGSRFGGQGRSIKDRSSLRSLESKRVADVKKSSVRIGADALILERDDCDDCFQDCKVGSKDISDLVKKAVRAAEAEARAANASAEAIKAARDAAAELVKTAALEEFKRTNDEEAAVLSASRAASTVVDAANATEVSRSHNADGGESANPKEPEPETTEEVEEFFILDCESLAKLREKFCIQCLEILGEYIEVLGPVLHEKGVDVCLALLQRNSDLKEASQIAVLLPDVLKLICALAAHRKFAALFVDRGGIQKLLALPRESLTFYGLSSCLFAIGSLQGIMERVCALPSDVIHQLIALALQLLECSQDQARKNAALFFANAFVFRAVLDAFDNQDGLQKLLKLLGEAASVRAGVSSGTVGSSTSGSLRNDRTPPEVLTSSEKQIAYHTTVALRQYFRAHLLLLVDSIRPSKNFRSAARNIPSSRAAYKPLDISNEAIDAVFRQIQKDRKLGPAFVRARWPAVDKFLNCNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPYSRKLVVSATLSNDRLGIAVILDAANGAGFVDPEIIQPALNVLVNLVCPPPSISNKPTMPGQGQTSNAQTSNAPSSETRERNADRNTSELNSASLNEPRDRNGESSVVDRGTAAALGTSFTTSGLVGDRRISLGAGAGCAGLAAQVEQGYRQAREAVRANNGIKVLLQLLQPRIITPSTALDCLRALACRVLLGLARDDTIAHILTKLQLEHRKIGDYGSVISSRVSVLLSVPDVGKKLSELIRDSGSQTPGGEQGRWQTELSQVAIELMAVLIKPAIITVSWRNLQIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLSTTASALLKEAQLTPLQSLAAPSSLAYQTSGQESSSVQIQWPAGRSPCGFLTTERSKQQEDSNLKQDSSLSVKRRPLVISSALGQSKISSYFNEYPSPSSSRIISSSKKPPPAAAAAAAAAVTSEASSTSTVENQVDSDSVFKTPIILPLKRKLTELRDVGPPSSSKRLNTSDLGFRSPVCPTPNTVRKSSLLGDTPMFSTSSSFKDLHWRTPGSVGISDGLDDNHNTHNHGLPLDPQSTSERLTLDSIVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPESKRSLDAPSNITARLNTREFRNMYGGIHGSRRDRQFVYSRFRPWRTCRDDTGVLLTCITFLGDSSQIAAGSHSGELKVFDSNSNNMLESSPGHQFPLTMVKSFISGDTQLLLSSSSHDVRLWDAPTVSAGPRYSFDGIKAATFSHCGTMFAALSSELSRREILLYDVQTCKSDLKLTDQSSSASSKGHAYSQVHFSPSDIMLLWNGVLWDRRVPGPVHRFDQFTDYGGGGFHPAGNEYRVQVWKTELETFLVIINSEVWDLRNFRLLRSVPSLDQTVITFNASGDVIYAILRRNLEEVTSAFQTRRVKHPLFSAFRTLDAVNYSDIATIPVDRCVLDFATEPTDSFVGLVTMDDQDEMYSSSRDEKPSVSPSFDDGSKMQEVFIPFLADVEK >KVH91342 pep supercontig:CcrdV1:scaffold_584:14974:19481:-1 gene:Ccrd_006626 transcript:KVH91342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAVNLTTGAISILSSGECQATDVKPVVQVRDIRVQTQTSAGGGGGENKEKYRVLLSDGLFHQQGMLATQCNELVRSQQLQKGSIVQLTEFACNTIRERIIIIIIDLNVILDKCDLIGDPKPFPLKPSGSEASSMARSAAPLPSSLNQTASTLTDVQYSTEGSLAGSAPRPNFNVGTPVHIPEHQHSAGLHSYGSSFCSNSASGQYNSMQHASANKRTNPIQLVYNQPPPVYGNRGPMAKNEAPPRVIPIAALNPYQGRWTIKARVTVKGELRRYNNAKGDGKVFSFDLVDSDCGEIRATCFNAVADQFYNQIEVGKVYYISKGSLKPAQKAFNHLNNDHEIMLDNTSMVQPCFDDDNLIPHQQFHFRSIGEIEGMDNNSVLDIIGVVSGITPSCSIMRKNGTETHKRTLHLKDMSGRSIELTLWGNFCDVEGQTLQTMSDSGAFPVLAVKSARVNDFNGKSLGTISISQLCIEPDFPEACKLKAWFDSVGRNAPSVSMSRDTVARTDVLKTISQIKDEKLGTSEKPDWITVNATIFYMNVDNFCYTACPIMLGDRKCSKKVVNNGDGKWQCDKCDQAVDECDYRYILQLQIQDHTGTTWITVFQETGEEIMGVSAKELYAMKHEEQDEDRFIETIRNAIFTKYSFKLKVKEETYGEDQRVKSTVVKAEKIKFSPNTFILLLELHRMHEKKYPSSIPTNLEIPIPSSGLDPQLRTAGFKETGSPVLNYVRGVTGTGQMGHYGSQYGGSGGRINSGMPSASGNTATSVECYKCHQWGHWAIDCPRVSTVPLYGSESVAYGRIQSGGHPVGENIVVSGECFKCHQMGHWARDCPGK >KVH91352 pep supercontig:CcrdV1:scaffold_584:190477:206379:-1 gene:Ccrd_006637 transcript:KVH91352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine/uridine-preferring nucleoside hydrolase MKKLRLSSSSSLSLLLIISIIGIFATSTVHGGAPHRILVDTDVDTDDLFAILYLLKLNRSEFELKAISVNTNSWTNAGHAANQIYDMLYMMGRDDIAGLGTTGPCRYRQAIPIGGGGRLDIDTNYGLRRSILPQGSRRYAPLRQPTAQEVLIKTISEGPISVFIIGIHTNFGIFLMMNPHLKKNVKHIYIMGGGVRSKNPTGCCPKNDTSCQPQQCGDHGNMFTAFRSNPYAEFNFFGDPFAAYQVIHSGIPVTLVPLDATNTIPITQEFFETFERNQQTYEAQYIFESLKMARDTWFDDQFFTSYFMWDSFMSGVATSSMRNMYEPEKNEFAELEYINITVITSNTPYGISDGSNNLFDGRKISKFKLQKDGVHSGHVQTGIQDPFCLLTGPDSVRVLVATRAKPNVDKTSSLDREFFVNFLDVTSKCQAFSNISNSSYRDLSFISNYIEIYDDNGSFLTIQVVNRPQNRGRFNFTSEFPHYKEVFYKPDLKGKKLGKIVVFDMDMTTIDVVYDLLHMMGRDDIVVGLGDSFGLNQSYPNNPNVGDCKYSEAIPHGNAENSVAFGAPRDTDFPKLRQPLAIEVWRSLVKSIDQGSKITILTNGPLTNLANILLSDTNSSSFIQNDEEKGNVINIPSNEYAELNMFLDPLAAKTVFESSLDITLVPLRMQRKLYAFPEIIERLKQKNTSEALFTRRLLTKLDRLQQKHYRYRHTHLKVHADGNLSKDGEVIVDTEKTKGFVKVLEDFNHVSCYVIYVDRLASRNQSAVIGNFDEQKRRWSSP >KVH91348 pep supercontig:CcrdV1:scaffold_584:122990:131567:1 gene:Ccrd_006633 transcript:KVH91348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MFLIEKPFLSPRLHLLRPPPHPHPSSSTFPSRNYILKETSRRSFPVKWAHSTSTMAAATEDNWSLKDQIAKVFEVSLKVTVPDEPEVAPLIAACAKKEFGDYQCNNAMSLWSKIKGKGTEFRGPQPVGQAIMKNLPTSEMIESTSIAGPGFVNVKLSRQWMAKSIQKMLKDGIETWAPKLPVKRAIVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEYSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPDGEVSDQAIGDLETFYKASKQRFDGDPEFKDRAQKAVVSLQGGEEKYRKAWAQICEISRKGYGKVYEHLGVHLEEKGESFYNPYIPGTLDLLREKGLIEESEGAQVIFIEGKKIPLIVVKRDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQREHFEMFFTAAKRAGWLPTDESKYPKTNHVGFGLVLGDDGKRFRTRSSEVVKLVDLLDEAKTRCKAALVERGKAAEWTAEELEHTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDVEELKKEGQLILEKEEERKLGLHLLQFAEIVEDACTNLLPNILCEYLYDLSEKFTSFYSECQVVGSAEETSRLLLCEATALVMRQCFHLLGITPVYKI >KVH91347 pep supercontig:CcrdV1:scaffold_584:76315:83362:-1 gene:Ccrd_006630 transcript:KVH91347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEMEGRVYSDLYRNTSEDMFIRTMMESSVGIPAPTMEMLGFKNLSSNFRADSEELFKSWLTTGENQGHNSTGLVHNRPRQLSRMLTDQANGDLHPTKRSSENLTPQSTLAVGESSCGMNEHSVRNLAEKGLQDSNLFLAKEDSSSSTFSAPKVGPIDKVSSVVSMLKGTLERKKLGNHVDKEAIEDSSFGYHGGQEVFAYSHMNQVREIHMHEAQGGTFQDLTTAGIKDPEYADLACFMAPTNLIQMNIASQEPSQSESSAAAPVISTGFDVSDGPSNSDIRERVYGNLKEDQKNKGNLSRHGSVTSAASGAERGDPTKKRRVERSRKYVPSSLHLSEASHLAYRFSFSITFSNKKFPYAKDLTPLVSISGLPRMAEAKERTQTPTTSSDMQSILKRCENLEKEVRSLKLNLAFMNRKDSEQTKQIEELQKQNEDMGDEKERLLEEIERILSQQDNM >KVH91345 pep supercontig:CcrdV1:scaffold_584:68256:75632:1 gene:Ccrd_006629 transcript:KVH91345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSRRRTLLLTPNSQSSSLVNAQQSIVDRGLCSRSESDSSSRRRVRGVGGETLPDPPYPSYILDSDIGWFRGFFCQFELGFIGRKQYIVIFRSPERFSGDRTHRQAVALVAFTLVALAVIVSNLSISYPISVPCQCKIVSSSVDIRSAKVCELGLLNYKAKHVFYPSEKKKFRCRYDYYWASVFEVEYTDHSGHPHIAFAEAPNEALPPDCRPTFNAAWMAKDRFKIFNCQADHPSMTEMLKRYLILAKKVYKKGNHSRSRYWFLDFLAHHCLGQNSISTQNSYCWHVLGKDATPDYLFSPSKTSLFSCSIFFFCELVSNSVLGKARSPGHL >KVG93657 pep supercontig:CcrdV1:scaffold_5842:3547:5842:1 gene:Ccrd_026081 transcript:KVG93657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDALDFDKKNIFLDIACSFIGEDKDFAASVLGSSAHANIQVLVDKSLITISRDNNLLQMHDLIQSMARRIIHEEFVVKEVWSRLWNLSELRNVLSKNKAIEGLEVLDLSLKQSSPNFHIDGEAFEDMKNLRILKISYGELENFWEDSKVNYSGRLKALSNELTLLYWNGCPFEFPLDFYPENIVVIDLSYSYLKTLWTTPKVCMSQPLPDHNPSITTQISSLLQFMELPSNTCGIFGGQERQFFQGDLLEIIYHGNRIPQWFTNTKMGNHIHVELPPNFCYNKFRGYGICVIFTQKRSYGRHNYNNAPRLVFDEDIEETTNFSLTQDLPTPTEEGGAIQMWRNNR >KVG93656 pep supercontig:CcrdV1:scaffold_5842:14949:29213:1 gene:Ccrd_026082 transcript:KVG93656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRLFVNISGCSKVDELPEFFGMIQTLREFCVDGTAITEFPSFVFSQSNLQLLEFGRHEENQSRWWTSISHHSWLPSKMPSLTELLLLRKLNFSHCKMIWVQETGTEAVEVLHLLVKEYCLKVHIDGKAFAQMKNLRILKICDEELRHRRHAFDWKLWKESKVNYDGKLKFLSNKLSLLYWHGFPFKCFPSDFYPENIVAIDLSYSHIKNLWTSPKSWISSGWQEAKNFFYFSVEHGDDVE >KVG93631 pep supercontig:CcrdV1:scaffold_5847:21687:22128:-1 gene:Ccrd_026084 transcript:KVG93631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILYANCSQDPINGVYQTSDQFWFCVEETYNNENDTTWTVHPKKKATRKLHASNRQWKNRHQGGASNVDILGQAKQMLSEDPKFKNGWKFDHVCSIIKDFEKFQDENTRTKQIPI >KVG93630 pep supercontig:CcrdV1:scaffold_5847:14328:17213:-1 gene:Ccrd_026083 transcript:KVG93630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDIVSSSLTAISLLWTPLERCCFYSRNFEERARTLHCKMEELTSKENDLKAEISTSMVNQRKKLRNEIQLWLKSVEKLVAEVKHIETEIIRKDRCLKGCFPNYLSRYRLGKQMVKSIKDMSELHAKGVFSNGLFVASFPDTKRILPTTGLVGHKTPHRVAKVIWELVADMNTSKIGVYGMGGVGKTSIMMHIYNQLIDCKIFDRVIWVNVSKTFDVEKLQLDIANATNLELSEKENVVWRSTRLLEHLHGKKFVLILDDMWHKFSLEEVGIPQPSTDNGCKFVFVTRLMEVCRGMETQREIKVELLSKNEAWDLFTTKSGPIHCDEIEPVAQAVCENCGGLPLAIITVGRAMRKIDNKRLWKNALEELESSRAEIQGMEEDVFARLKFSYLHLKDDHIQACFLYCALYPEDHKIDAAELIEYWMAEELITEVGDREKEINKGYTVLEKLKDACLLEDIGSDYVKMHDLVRDMAIRIAREGPRLINKSAMKLNRLAREWIENVEWVSLMDNSICVVPDYPNCQKLSTLLLQRNPLSEKIPDSFFVHMQCLKVLDLSNTDIWSLPESVSTLCNLRALLLSFSMLNELPSLAMLKELRVLDLSHTLLKTLPQDIDKLNNLRRLDLSYTGELLTFPSGVIQKLSYLENFSIFKSKWRWSSIRGVSEGVGFDEISSLSRLTSLGLSFEDRTSFIDYVRSKHWQFLQSYHLGIGLLSIFLPVSKGTRSIEIQGCNLVCQDTVIELPNNIQQLALHGCHDITFLSKLSSTTNLDHLRGCYLSNCNGMEFITMAGNPFPSLELLVLRKLPKLKAISDGIAASQIFAKLKTLQIHSCNNMKYLFSSSMLQDFQSLEGIEVWNSQLIEEMVEEETEGNIATLSAFLLPKLRRLSLSTLPELKYITKRVLICDSLETVEIWDCENLRTLPFSISYLPASLKHIKGNRNWWDGLEWDETSCKNLLQPFFDQGT >KVI08900 pep supercontig:CcrdV1:scaffold_585:180667:187563:-1 gene:Ccrd_012722 transcript:KVI08900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MYAVRLVEIKVVLIGDSAVGKSQILARFSRNEFSLDSKATIGVESRPELSLSSTSLSRLRSGTPSVKNDEDSAFYAVADYP >KVI08909 pep supercontig:CcrdV1:scaffold_585:1318:16831:1 gene:Ccrd_012712 transcript:KVI08909 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase FANCL MWTPEMVVSGRPMISQEALEGIRWIRHISERWGEKGADRASFNEFLPTNQVSFQRVRINSISCKLAYEKAGRILIGSEEYFARFQIIQKNPYTTPINAFLHLEVAATSELWSRRLPIEFTCPISTFHHMHRICSSFHLIVYAGMNISEIEEIGWEHLVKLAEDLSFVTFRVHLDMIQGLHLVSTLNYTQDFFFLTASDKKERVHVLEVLLNKSYPNCPPSVSADVPYIFNLEWSTNSRLMDVVKQFRQHLEKLQQFWSTLEDIDQSLWVSDSAQLHRATPFRHINIGNDCSVILLIHASNPRSLPECHFMGSDEKVILLRDKWRRNCKRWMKDKLFTENLANLLEVHLPGPPGVEKNDQQIECGICYSKFLPIDDELGAESGGKTDYTCENSTCSKAFHSVCLRDWLRSITTTRQSFDVLFGSCPYCSEPVAVKIGPRM >KVI08903 pep supercontig:CcrdV1:scaffold_585:164542:170469:-1 gene:Ccrd_012721 transcript:KVI08903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDIPSMVWLPELEMEEPSRSMKQHQQPCPYYSDSVDSFSSERFKGYPNMVTVNQSIQVSSINGDQDKQQDYRPTKSSPFSPSYGSLSNTFTISFGDPTSPQETNPYQFYRGSKLKYLDAVTPKEEKNLNDFLGSVEVTGRVRSTRRNHRQAQEHVLAERKRREKLAQRFISLSALLPGLKKMDKASVLEDASKYIVQLQTRVKELQETSVKGKDIIHESVVPIRRSKFSGRHEDNGASSSNDTNYLPSSSTYNPEIKARTSGSKILVRIFCGRSSALVLKTLAEMQRLHITIICCSVLPFSNTTQLITITAQMSDEMVITRKYIVKCLKLALSKFP >KVI08902 pep supercontig:CcrdV1:scaffold_585:110689:112258:-1 gene:Ccrd_012720 transcript:KVI08902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEEPHDFVNGQQQIYPYYSDSMNSFSSETFKGHTNLVNQSVQTTTINEDPSKHQDHKVMKTSPFAPSYGSSSNTFTISFGNLTLPPQTNPHRPYGGSKLKYSHAVKTEENTSTSLNEFHGSMEVARWVPSTSRNPRQAQEHVFAERKRREKLAQRFISLYALLPQLKKMDKATVLEDASKYIKELQKQVKELEETQVKGKRIIQNSTVSIGRSKLCGDPGGKDNASSSKEIKTLSSSSAYDPEIVVRISGCSTLVRIYCQRNPSLVLIALTEMERLHLAVISSNVLPFSNTDLLITITAQMSDELLMTAMDLVKCLQSALRYSVTCT >KVI08906 pep supercontig:CcrdV1:scaffold_585:40032:40349:-1 gene:Ccrd_012715 transcript:KVI08906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase, large subunit, C-terminal MAYIFTSTSQCIQLLIDRRIMLKRYICQRWIGDHIHSRTIVGKLEGERKIILRFVDSLCDDFIKKRSKSWYLFHLKLGLSTHSCDELLKFEKGYNKHS >KVI08911 pep supercontig:CcrdV1:scaffold_585:51479:52609:-1 gene:Ccrd_012718 transcript:KVI08911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAVTTTTVTEPLLKRNTRVCFSFAAYSKDLIDHLHHHSNVPITQGLTLTEFSAIESAFRFSFPPDLRSLLREGLPVGHGFPNWRSSSHQQLDILMNLPLLGLCKEVYRQKFWHRRWGDRPEEDDEAVEVAKGFLKNSPLLVPVYRNYYIPSIPCLAGNPIFYVNGLDVKLWSNDVVGFFQHTEFKDGELREMRPANLLSAPVWAATEARKIEFWTELMELCRDTALHGGEMKRRWWRRNELGRFLEDVRLRLRDGGWKEEDVDEMMMEMDGEDEKSSSSSDNGSSDINKVTEVRNEREGVGRHVGVMSERLLRGGWSRGDVVESLGCLTEDGQSKEDRTDEIEIGSDGGDSFFDLKHITCSCVDDDENNNSCEV >KVI08910 pep supercontig:CcrdV1:scaffold_585:73745:77237:-1 gene:Ccrd_012719 transcript:KVI08910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGFCLLLLLLLHLQLMPESFADGSARLPEYRALLSLKTAITDDPQSSLSSWDISTSHCTWSGVTCDSKRHVIALNISGLNLTGTLSSDIGNLPNLVNFTVAANNIAGPIPPEISLISGLRFLNLSNNIFNETFPPELADLKFLQVLDLYNNNLTGDLPVGVSEMTSLRHLHLGGNYFSGVIPVEYGRFPALEYLAVSGNELSGTIPPEIGNLTTLKYLYLGYYNVYTGGIPPEIGNLSNLIRFDAANCGLTGKVPSELGKLQNLDTLFLQVNGLSGSLTKDLGTLKSLKSLDLSNNIFTGEIPDSFRELLNLTLLNLFRNKLHGSIPDFIDDLPELEVLQLWENNFTGSIPQGLGTNGKLQILDLSSNKLTGSLPSNLCVGNKLETLITLGNFLFGPIPASLGECQSLTRIRMGENYLNGSIPKGMFSLPKLSQVELQDNLLTGEFPVTNSVSISLGQVSLSNNHLTGPLPASISNFSGVQKLLLDGNQFTGRIPAEIGKLQQLSKIDFSRNSLSGKIAPEISQCKLLTYVDLSRNQLSGEIPTEITGMHILNYLNVSRNHLVGSIPTSIASMQSLTSVDFSYNDLSGLVPGTGQFSYFNYTSFLGNPKLCGPYLGPCKDGVANGTHQSHSKGRISGSVKLLLVIGLLLCSIAFAVAAIIKARSLKRASEAKAWKLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGVMPNNEHVAVKRLPVMSREYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTGGNKERITKILDPRLPNVPTHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKTPIAKQEGDSAAANSNLCDLPLATPPAAMEAPTNAPKNDSDQNQLRDLLGI >KVI08907 pep supercontig:CcrdV1:scaffold_585:38412:39770:-1 gene:Ccrd_012714 transcript:KVI08907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MASKVLAAAVRRSLSPRIPTSIRSLSTAAAAVAEPFDETAGISMKGVKISGRPLYLDMQATSPVDPRVLDAMLPYFVSRYGNPHSRTHLYGWESDEAVEVARAQVANLINASPKEIVFTSGATECNNISVKGVMHFYKEKKKHGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELAMKEMEYDEKRVTKLKDRMLNGIRDRLDGVVVNGSTESRYAGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEIDKAIELTVNQVEKLREMSPLYEMVKEGIDIKSIQWSQH >KVI08904 pep supercontig:CcrdV1:scaffold_585:45060:47840:-1 gene:Ccrd_012717 transcript:KVI08904 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase, subunit VIa MASAMVRSSLRTALRGGVRVNPSSTRSFSSGASVEEEAREAAKWEKITYVGIVACSILAFVNLSKGHPHFDEPPPYPYLHIRNKEFPWGPDGLFEVKEHH >KVI08901 pep supercontig:CcrdV1:scaffold_585:206754:208755:-1 gene:Ccrd_012723 transcript:KVI08901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDFSSAWLSELEMQDQGFMNQYQMIKPYHLVADFSVDSFSSESNTENPSVVGQAIQIPASIKETANNSKLPSYKKANSINKNLTPIDEKPKTKPLPDVPNTFTISFGDLKPKDEVIPFKDSFGYTTGTKKVPTVIRNRIQLQDHMLAERKRREKLARRFISLSALLPDLKKMDKATVLEDAANYIQELQSRVKELEGSSDLKTKNNMQSVISAKRSKLGHTYEEGSSSDEANYGESHSPSNFEIEVRMSGSSVLVRIYCHKNYVSLVKVLSEMQKLGLLITSSAALPFANTTLLISIVAKKMDDFSMTSNDLVKNLQLAI >KVI08908 pep supercontig:CcrdV1:scaffold_585:16929:18328:-1 gene:Ccrd_012713 transcript:KVI08908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MTTVFSNYLLFTLFLTAFLTLAPVANAKPAMFLQDFRITWSDSHIKQLDGGRAIQLLLDQYSGMFYVDEVPIRVYKNNEAKGIPFPKTQPMGVYSTLWEADDWATRGGLEKIDWNKAPFYAYYKDFDIEGCTIPGPTTCTSNPSNWWEGATYQHLDPVAARRYRWVRLNHMVYDYCTDKHRFPITPPECMAGI >KVI08905 pep supercontig:CcrdV1:scaffold_585:40354:42720:-1 gene:Ccrd_012716 transcript:KVI08905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase, large subunit, C-terminal MKKKCEVETIEITESNGANEKLPQKLKHSEIKWHYLNVTAGTYKEMMKRAIFARELGVPVVMHDYLTGGFSANTSLAHYC >KVH96264 pep supercontig:CcrdV1:scaffold_5852:23852:26235:1 gene:Ccrd_001651 transcript:KVH96264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MEQTPTPPPPSLSATAATVATTTTTATYPDSLDSSPRSRNTDSWGEDNSLYPSNNGTTTALPQLGLTKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIVVVDRHTTLSDLTLRLSKILFRSSSSSSLTSTTASVFTLKYQLPSEDLDSLISVTTDEDLENMIDEYERLNSSSDVNKSSRLRLFLFPTKPESVSSIGSLLENSTKSEDWFLNALNGTTSGFSDTSSVNCLLGLDDDASVPEKKDLDHKGVIGKNPKGNNSAQDVRSVPDLERTSSFGSASSSPSLASLPPIRVHVDDNQKVGGIEEQFSQMSVRPQHHKHQDDGGYVAAPAPVVVTGVPMNSPKRVLSEDERSEQGSQIAYQKHQQQQYQQKQSMGFDLASSDSVSSDGRQKPPMIYQDQLVQIQSSNNNNRTTDLNNPPDQNTRIQMQQQQQQIADSAYLMSISTTQVDTQHPQLHHQQPQFIHTAVPPPQYIQHHPSGAVPMASYYQMYPSQNQHHPHHPAIDQQNFVYYMPARQAPHGYNLQMQQQQQQPQPTSYAEAPPASATTLPHNQPPPSSYTTSRTAQTPKSDLPAGVFGTTNSGGSQFVQVPSSQHQPQPQPQPQYVGYSQIHHPSQSVASSVAGGGANYGYEYADPAQRQHMYYAAQQLPPQSAAQYQTVTGESGSYLPADSSTKQQPGRTPQP >KVG93226 pep supercontig:CcrdV1:scaffold_5856:9530:12323:1 gene:Ccrd_026085 transcript:KVG93226 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MTEVLHSPPSPSLQTHNDTPLFQYVNQESEELQEGVSRVTNCDDELKVRRERDNQQLSLLALLLTLLRKSFWVASSCKTTAAEEKQDLSGMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTQSMQLSHDFRGNSIPTILLLMQQRLYSQGGLQVSKCFLLLFHATESEYAEGVFRINAENGQEEHLRNQLNSGVVPDGIDVHCLAGLIKAWFRELPTGVLDPLSPEQVMQCESEEDCAALIRLLPLTEAALLDWAVNLMADVVQQEHFNKMNSHNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLVTKTLREREDSVMEPSPVPRQEPSDENGHHGPPHLCHSGGGSENREDQFINEDSSGSDSILLDNITDDDHLSYSTSTEEYDGPGFCETPVQTWHVCRTTQLSGCDKSQGLALVVEPVVERSKGISNLSSINSQMERIGVWL >KVG93227 pep supercontig:CcrdV1:scaffold_5856:11520:17596:-1 gene:Ccrd_026086 transcript:KVG93227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRQAVRFVSRRFSSSGKILSEEEKAAENVYIKKAEQEKLEKLARKGQQAEATSGGSGTGSGSNANPSESIASTGGVSSDKNRNYAVIAGVVTGLGALGWYLKSKNKKTEELHD >KVG93225 pep supercontig:CcrdV1:scaffold_5858:29702:31280:1 gene:Ccrd_026087 transcript:KVG93225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin LVIYLLKCERSNVSNRSKYCQAIYDICLRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPIIHFMLSSYAPVISAEKAYHEQLSVADITNSAFEPSSMMAKCDPRHGKYMACCLMYHGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVH >KVH96819 pep supercontig:CcrdV1:scaffold_5859:19778:22937:1 gene:Ccrd_001089 transcript:KVH96819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVTLESNEDFDMGLSLFKKVPKNCEEIVMVEDIETHGMDDTTGNTSNNEIQNDENPEHALSISPGGTNWYTPVVEEVVNPIIGSVYPSLDVGESVYQKYAETAGFKVVRNLKESTIVCSCNHISRHGYLWRRNLIPIELQNSRQRICDVGEDQRRIINDKYDIIDDVLDILRDDKEKLESFVATLKEMRDDVAKDRTYEPSMKHKERGIEQILGFIRPDNIEIHPATGIRNKGCGTSKRLIGATVKSSSPKRMCSGCKLMSNHNIRNCPTKTK >KVI08213 pep supercontig:CcrdV1:scaffold_586:47003:50866:-1 gene:Ccrd_013415 transcript:KVI08213 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MFFFILYGLISLLAPSPNHLHHLVRRTSFDDNGVGDDSPRVTSLFKVSISGGSKMITDAVVAARILNATLVVPKLDQKSFWKDARLIFDVDWFISHLSRDVKIIKELPRKGGKIWTPYNMRVPRKCNVICYQLRVLPVLLKKHVFFSEVHFLHYHSSNSLGSFFII >KVI08212 pep supercontig:CcrdV1:scaffold_586:164865:165253:1 gene:Ccrd_013417 transcript:KVI08212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNKLKKMALRQNFPEKDIFGLKQMFKMIDTNNSGYITFEELKDGLSSFGANLEELEIHDLMQAVSIIFDTII >KVI08215 pep supercontig:CcrdV1:scaffold_586:165994:169254:1 gene:Ccrd_013418 transcript:KVI08215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase VKKDERDGSQLRLEHRCKFSVYKVSFESPAATGIYEALELRDRGLDYLGKGVSKVTSLRCRSLIMILPVGTSSFKESMKMGVEVYHSLKPVIKKKYGQDATNVGDEGSFSPDI >KVI08214 pep supercontig:CcrdV1:scaffold_586:204815:208258:1 gene:Ccrd_013419 transcript:KVI08214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSYRLQSNKKGSKFSNTAENPVDVENESDKVAILKVVEAKQRVTDCSTRRETICKRPPKSREDSKGDDDFEVQDENIRKKVLYVDTIQCDVVHIIRERPCITSWSXDLLRRRESIEISTGGFGIGNVAEPLVDAQREDRSRENEEINIKKYLDEVEHTFNMLKILKSDFDGILKKGKTRYPASVEFDVWQKKVDRFGSK >KVI08211 pep supercontig:CcrdV1:scaffold_586:220883:222121:1 gene:Ccrd_013421 transcript:KVI08211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNMGVEIEVPSHFLCPISMQLMRDPVTISTGITYDRVSIERWLFTCLSRICPMTKQPLYDTDLTPNHTLRRLIQSWCIMNSHHGFDRIPTPKKPVDKSQILKLLQDAKKHPQNQIKCLRRMRSIAQSSDRNKTCMEDSGAVEFLTTVVLNEDGSDACNEALIVLHHLKFTDLQMRKLVKDNELGFVDALLRVMRCGSIQSRSHAIMLIRSLLEVADPVHMAAIKPDLFQETVRVLKDGISPQTTKASLELVVDILRWGRNRIKAAESGMVSVLVELLIDTCDRRGCELMLVALEQICRCAEGRAKLVEHAAGLATVSKKILRVSHMASDKAVRIVCLVCRFSASCRVVQEMVEVGVVSKLCLMIQVDCSERTKERVKQILGLHSRVWKGASCIPAHLLSSYPSLQDIKDH >KVI08210 pep supercontig:CcrdV1:scaffold_586:215265:215914:1 gene:Ccrd_013420 transcript:KVI08210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage H-protein MASRFWVSKVASYLKNLTFNRSFASAGNSAIIGITDHGQDHLRDMVSVELSEVGATVTQGSSFGAVKSVMSTSDINSPVSGKVIGVNKDLTTIIS >KVG92814 pep supercontig:CcrdV1:scaffold_5862:9417:12452:-1 gene:Ccrd_026088 transcript:KVG92814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-pentakisphosphate 2-kinase MYHNLQMELILEAKDAEDWIYKGEGAVNLVLSYTGTSPNFIGKVLRVQKVKRNGSEYEKAPPALSKHECLVWKETRDLLSASTKDIGNHIYAQQVMCPLLGSQHIDAGVCFYFLIHSASPTLNFIINFILMVHVRVQVTREFLESIHNGVLFQRPSWRAEDARINTQCDSAILMSDHSIFPHVSVKGGVDEEELCIAVEIKQPKCGFLPISRFVREENAAKKRISRFKLHQILKFHQRKISQISEYDPLDMFSASKGRVLKSVKDLFLTPQNNFRVFLNGSLVFGSLGGGADDTDTRIAEAFEDTLKDVIEGDEEGMRTPNFLQLVTEALSESGVMDRLLQVQKLDVLDIEGAIHAYYDVVSQPCVVCRELGEANRYEPIHSLPIDQRVKIVRDYLISSTAKDLSLIISFRPTGRGNPASPYDVVFLESINRSFEYKASFIDLDMKPVERMAYYYKLDQEIVGCYNRMMETVNRPGKSRVEPVENTSSA >KVI10876 pep supercontig:CcrdV1:scaffold_5864:2593:9834:1 gene:Ccrd_010721 transcript:KVI10876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGTIDDREKYPPTAGDGDDLSPIEEVRLTVTNTDDPTLPVWTFRMWFLGLLSCGLLSFINQFFSYRTEPLVVTQITVIVATLPIGHFMAAILPETKFRLPGFGTKSFSLNPGPFNMKEHVLISIFANAGSAFGNGSAYAVGIVTIIKAFYHRKISFLAGWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPGTLVQVSLFRALHEKDDQRMTRAKFFLIALICSFSWYLVPGYFFSTLSSISWVCWAFSGSVKAQQLGSGMKGLGFGAITLDWSVAASFLFSPLISPFFAIVNVFLGYALIIYVVMPLSYWGVDLYGGRRFPIFSSHLFTAQGQKYNISTIVNDKFEIDMIKYEEQGRIHLSTFFALTYGFGFATIASTLTHVGFFYGREIYDRYRASYKEKEDIHTRLMKRYNDIPNWWFHLLLLVTLVISLILCTVLNDQVQMPWWGLIFASAIAFIFTLPISIITATTNQTPGLNIITEYIMGVILPGRPIANVCFKVYGYMSMTQAISFLSDFKLGHYMKIPPRSMFLVQFIGTIFAGTINLVVAIWLLDSVDNICQDELLPSNSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGNYNSMNWFFLGGALGPIIIWLFYKAFPKLSWLPLVNLPVILGATGAMPPATPVNYNAWIIIGIIFNYFVFRYRKKWWQRYNYVLSAALDAGVAFMTILLYFCLGVEEKGVSWWGTDGEHCPLASCPTAKGIDVHGCPVN >KVI11327 pep supercontig:CcrdV1:scaffold_587:181377:201173:-1 gene:Ccrd_010266 transcript:KVI11327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival motor neuron MEGGGEEVSIEELSSNLSTYKQQLQEVRKLLKDDPGNAEYTDVEKELVEVIALTEELLATAQDEAGNSGVGIGTSADVSPSFHHSGGTLQNVSSEMFDGHKLPVGAKVQAVYSEDGEWYDATIEAFTQNGYLVAYSGWGNKEEVDPANVRPLEEGIVDPLLEAEKEAEATKQALKRKIAQAASADVDFQSKSLPAKLRIEPEDPEDVKAAKRKKIHAFKSKMRKEQIEVTQNKRQNAWQQFQTTKGRAKKIGFFSGRKRESIFKSPEDPFGKVGVTGSGKGLTDFQKREKHLHLKGANAENTED >KVI11330 pep supercontig:CcrdV1:scaffold_587:51776:52525:1 gene:Ccrd_010262 transcript:KVI11330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S12/S23 MTKLVLVTLRDKVEEGSSGQSGRRKGILECGKGSNNFERIERGGSDSKGDLSVNFSTVTLKKPNFLLRKVARVRLTSGFVGGGAGYHETKDFLSKEIQFRTIICPRFNIEKNSEVFPDFVHNKSESNNNDFEALLFMLFRKPKDPIVWICIIQDFQS >KVI11325 pep supercontig:CcrdV1:scaffold_587:177128:179115:-1 gene:Ccrd_010265 transcript:KVI11325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKVLLRGPKNCREAVRHFGKAPSVPDSHTKPYVRAKGRKFHKARVLVALDSYAGSHSSLFNEQTNLLLCMVQRIPNGASQQSDTIHSPFERPQNNYNLNRIYALVAGLTNQKWTQKLKTRYQSFQRDNGV >KVI11329 pep supercontig:CcrdV1:scaffold_587:117229:149554:1 gene:Ccrd_010263 transcript:KVI11329 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing protein MAPKASKNRYPSPDPHGIFSGMVVFLCDDGVQRRRLQIWKQKLVQMGAIVEEEALSRNVTHVFAVNSDSLRRKLGPKLLQRFEGTILFYQWLEDSLRLGEKVSEESYTIQLGSCQSPPKNSPNENSVESADVKISSDNELHLYKKLKPSSMNSESSSPEMKDKSLSNSVDVVPHTAYSSKNSLYPRRPSSISPVTSNAQQSTVGAPDASLPYHPPDLNRNITEMFGKLINIYRALGDDRRSFSYYKAIPIIERLPFKIESVDQVKDLPAIGKSMQDHIHEIVTTGKLSKLEHFESDEKVRTISLFGEVWGIGPATALKLYERGHRSLDDLKSDDTLTNSQRLGLKYFEDIRTRIPRDEVQEMEHLLQNAAEDVLPGVNVVCGGSFRRGKATCGDMDIVITHPDGESHIGFLPKYVNHLKDMNFLREDLVFSIHSEQGTDSGVDTYFGLCTYPGRELRHRIDLKVYPKDIYPFGLIAWTGNDVLNRRLRILAESKGFRLDDTGLFPATHGSGGKRVSASTSLKFNSEKEVFDFLGFPWLEPHERNI >KVI11326 pep supercontig:CcrdV1:scaffold_587:151199:158167:1 gene:Ccrd_010264 transcript:KVI11326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MTSKIYNSKVERRVSMGSTSRPSFSNQKPTFIPLFLLLLCLTLTLLIHTTTYDLHPDDRPITSTTLRFVPMKPPRPRWYDKLQSLMNNHSRKLHLGLVNVQSDEIRVDGSADLTEITFEKVGRDTKWKDLFPEWVDEDHKWGPMKCPEIPMGRWSGAVDVVVAKVPEGGREVGRLQVNLVVANVLVNDGWEDGGEVYVVFIGRSGPMWEIFRCDDMVWEEGEYKIYKPDLKKLMEKVNMPVGSCIINPSPSLQPAGQEPGRTDARSTSIAYKPREAYVTVLHSSETYVCGAIALAQSILQTNTTKDLILLADDSISDKALGGLKTAGWKIKLIERIRSPHARKGAYNEYNYSKLRIWQLIEYDKVMFIDADLIVLKNLDEFFSYPQISAAGNNKYLFNSGVILVEPSLCMFNGLMEKINTLGSYNGGDQGFLNEAFTWWHRFHSKINHLKIFQDKSNNPRHEIAGDLHTIHYLGLKPWMCYKDYDCNWDRGDHRHFASDLAHEKWWKVYQGMPNKLRPFCGLTKQMDSRIRKWRGIAKRAGFPDEHWKIKVKDTRQISHGI >KVI11328 pep supercontig:CcrdV1:scaffold_587:33683:41534:1 gene:Ccrd_010261 transcript:KVI11328 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase III, clamp loader complex, gamma/delta/delta subunit, C-terminal MSGTRSGSYSGGLVDPSNLHLKKELTQIRKAARALRDPGTTSSWRSPLSSSRSTTAVPAAAASSFITTAPSNHYNHHYRNSGGYSVEEPTENNTKDDANNNNVNGSSNNDNSNRRKEKKVFLYNWRTQRSESERSASVTGQTDDNRRMSRHQQRGNADDGIGDGEESVDDSLSDARNDGDTKSDTYCAAATSSSSKMFNTCKDSNNLATPPVRRASLMKKKPKKMTHSSAALKHQLQRRLSLVDQYDDVNSEDIVVKGSSVTSPLLSRLKSSKLLGGSGSRKDDSSYCYSTPALSTSSFNKYWVRNPSTVGSWDATTGSLNDGDEGVDYHDNDDDQLDLPGRQGCGIPCYWSSSKRSTPKRGGVCGSCYSPSFSDTLRRKGSSILCGSQTMYHRHRGSSVGACNKKRLVHTTSQGLVPLLTNGAESRDRSSVGTDDELSTNYGELDLEALSRLDGRRWSTSYRSQEGLELVAVNGEREGDSPSSLDNINCFSHKYKPMFFEDLVGQNVVVQSLVNAIMRGRIAPIYLFQGTRGTGKTSTARIFAAALNCLATGETRPCGICRECADYITGKSQVITEMDGSNKKGIDKIRYLMKKLQMGPSISTFIQHNVYVIDECHLLPSKLWLAFQKFLEEPPPSVVFIFITTDLDNVPRAVLSRCQKYLFSKIKDSDIVIRLRKIAEEENLDVESGAFDLIALNVEGSLRDAETMLDQLSLLGKRITTNLVNELVGVVSDEKLLELLELAMSSNTAETVKRAREFMDLGVDPMVLMSQMATLIMDIIAGTYQVVEAGYGDSLFDGRSLTEAELERLKHALKLLSEAEKQLRLSSERSTWFTATLLQLGSAPSADPTPSGSSRRQSSRTTDDDPSATFKDIYFQKQRSDSHYSPQKSASKPIYRNSTSPEEVLLPKRQLINGDGPSASNGDVVVGNTIPRHSNSSILDDIWVRCIDKCHSKTLRQLLHTYGNLVSISEDKGTLVAYIVFQNSDIKSRAERFLSSITNSFEIVLCRNIEVRIIFLSDDGTAPNSVLAQKQIDSLQEPIKASGGSFHDAGSKSSGVLAEGDGGTKGRKSGNPVQRIESIIHEQRLETAWLQTAEKGTPGSLNRLKPERNQVLPQDGSDHHQRQMVSNDPSSQQQWEDELTRELNLLKINDGKTLSREHKYPISPSLLHDSNLVGKYNKESMGYESGSGGGGCLCWNKSKHNRRGKIKPGTPVGPRRSARFLLFGECGKSGRTDQRSRR >KVI09686 pep supercontig:CcrdV1:scaffold_5872:29056:29739:-1 gene:Ccrd_011927 transcript:KVI09686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MKNSSQPPMITARKSKGRQKIQMKRMVKVSNLLVTFSKRRSGLFKKASELCILCGVEIAVVVFSPGKKVFSFGHPSVETIVDRFLTQNPPPNSSTSQLVEAHRNANIHQLNRQLTCVNGQLEIEKNRSEELSKIRKVGQDNHWWEAPIENLGLQELEQLKVAMEVLKKNIGEQAKRHLAEVANPMPIVPISSSMGGSGDSSEVMKGSGLGLSMTPNGFVLGYAHFSR >KVG92574 pep supercontig:CcrdV1:scaffold_5873:7223:8296:-1 gene:Ccrd_026089 transcript:KVG92574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MATGAVGPWGGNSAGPWTFKPDGRIIEIWITSGDLIDSIRFVYEDQNQVKHHSPRYGGDGGTEQKIAFDEDEELNMMSGTTGDYVMSLSFRTNKRTFGPYGKTDEGTSFSLPVAKGKFVGFFGECGDYLDSIGAILQFE >KVG92391 pep supercontig:CcrdV1:scaffold_5877:16522:22700:-1 gene:Ccrd_026090 transcript:KVG92391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein ECFKDRTDVQCLHRWQKVLNPDLVKGPWSKEEDDVIVRLVEQHGAKKWSAIAQHLPGRIGKQCRERSLHCQKLTMYTRLFIATSAKVGGASHNRIKRWHNHLNPAINKEAWTQEEELMLIKAHQVYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYMASGLLAQVQGLPCVNHTNQSTPTTSRTHQFSGDDSIHKDTAEVEETSECSQGSTFLRCSHSNNDIAKDENQSTDMAKAIVLYNGDENHQADMGKAVVLYTGDDTQPAENLNHGIDPSSSANTSLPSDLVDSSKLIEQNYLHGWQTSDRGQFSSNELQNLSSLGLTGESEFTHCKDVDQKQESVRKRIQSSAGFSISTSVVNDVVGSDMLTQMLTSEDDCSKVMHPPDGSLGSLVYQQSNCEIPCADNSNSKSYYSEFVGTASYQSICMPSQLPTCIFDGESYQYNCLSVGNQEFTGLAHDGLTYTNDSSNSHFFTNQDNAGSKDQIDQAKDPVELLSSDAIGSGQLNVTEIDPSVDENLVSQTQQQEPGTLSYEPPRFPSMDIPFLSCDLIQSGGDMQHEYSPLGIRQLMMTSMNCFSPCRLWDSPSLYDSPEDVLKSAAKTFTCTPSILKKRNRDLLSPLSERRFGKKLEHVSFINSAKDSSQSEVMFDENKNQQLPSSSQTADSGPFIDDKENLDPTEEITQKEGGKSTPVKVQLPPALIEQNLDDESFLPDKVATISATPKGPHATAPGKLSSMILETTVDPLTLIPSACKNPCQSLVSPHTVSGKRNKAGSRFAASIQTEFMVENDAFVENLGMFGETPLKKSLESPSAWKSPWFSFLPGPRVDTDITIEDIGYFTSPGERSYDALGLMKQLSEHTASAYANAQEILGDETPDSILKKRFSEKGEKSQNVLTERRVLDFSECGSPRKGEQGGSRHSSGISFSSPSSYLLKGCR >KVI03349 pep supercontig:CcrdV1:scaffold_588:20163:23872:1 gene:Ccrd_018352 transcript:KVI03349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIMGSSSPYLKLVSCRDTKMANSLLRSPLHHCLYNHKNKDVDFKLSISVSSTHSRGFRPVSLSSSDTQAEVKSQELHIEESLYGYTIEAEVDEDSKTKAKAEAEAQTVCVRFQLQRECSFGQNFLIVGDDPIFGLWDPTNAIPLTWSEGHVWTVDLDIPIGKCIKFKFVMQERNGKFTWQPGPDRILECWESEKIITLCEDWENPNSRRIIEVGPTLNQVEELAATAEEMQMVAADDGTTVEPSLGTTGTSKVKISNNKAQTEEPDAYAINMKEVLVSNEAVPVLVPGLSQLPTTDTDQEPSANEGSKDEPESALNGTAMIATGVDMAMDLKLPELDSKQHENQDSCDSNVHQETQHVAEERDHETTQPAKSLPIQWGDNFKQKLFGIFSVFGFR >KVI03342 pep supercontig:CcrdV1:scaffold_588:124245:149376:-1 gene:Ccrd_018359 transcript:KVI03342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MDAAILRTSIASMSLSHFTSPSSSFNFLPPPPPPPRRLLTPRLLAIRCFHSRPSTSTSATTLSNIVNSGVIACLRAESADVAMEAARAAISGGISVVGTIMKTEDAENAKDAGAKFVMSPAIGILDQDNDDFLYIPGVMTPSEILSSYNAGAKIVKVYPVSAVGGVGYIATLKKPFPHIPMVASQGITTDSVGEYIAKGASSVVLSDAIFDKEAMAQRNFSAVYRLAQFAASQGNEAEEKDLCQLISFQHLSFDPDGKLNLMQIHHRKNPSRKVNPTFMEFFKIFHKFFGKFNKI >KVI03344 pep supercontig:CcrdV1:scaffold_588:62648:66880:-1 gene:Ccrd_018355 transcript:KVI03344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MASFKQLLKFTPSSTTTISQLIWLESISKTPQISTINTLIAIQYHQKESSFMSSSDRLLFNRQTSVHQILGGGRLADLILWRHKNVTMGILMITLVSWVMFERSNYTLLSYVSNVLLLLLIILFLWAKSAQILNRLLTISHDIALGKDPKMFVKVAVYLVLISVIGSLTDLRVFLVLTVPALYERYEEHVDSVILKGNMKLKQLYNRYDEECIKKVRKWILEKNKLS >KVI03345 pep supercontig:CcrdV1:scaffold_588:38642:42763:-1 gene:Ccrd_018354 transcript:KVI03345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial brown fat uncoupling protein MVAGNSKSDISFAGIFASSAFAACFAEKGIAGDGMGLPKYRGMLGTVATIAREEGLASLWKGIVPGLHRQCLYGGALAIAVANPTDLVKVRLQAEGKLPAGVPRRYSGALNAYSTIVKQEGVRALWTGIGPNVARNAIINAAELASYDQVKQVAYFSHNPFATFLTCIVLQKKPQCCAKCYNKRCNSGCMNEIYWFCLTILKIPGFTDNVLTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSSYTSTVDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVQSIGSS >KVI03346 pep supercontig:CcrdV1:scaffold_588:101382:102146:1 gene:Ccrd_018357 transcript:KVI03346 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase MPFDQALFHYSLVSIFLIGPPTFIATNFITAPYGKHHRTGWGPTVPSSLAWFLMESPTLWLTLLLYPFGQHRSDPKSILLISPFLIHYIHRTIIYPLRLRLKLSKGYPISIAFIAFTYNLLNAYLQTRWVTQYADYQNDEWFWWRFSGGLVVFFGGMAVNVKSDMELMRLKSGGGGYKIPRGGLFEVVSCPNYFGEIVEWLGWGLMTGSWVGLGFFVFTCANLVPRARANHEWYLQKFGEDYPKGRKAVIPFVY >KVI03347 pep supercontig:CcrdV1:scaffold_588:69267:96741:-1 gene:Ccrd_018356 transcript:KVI03347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MESRDSLEGGRWMVVVGYDGEGKWEEEGAYRGVILEQLINGVAEFELVSSPVPSISANVSRNSIQSIFTTENAHRFFARIGPTMQVSPALSKVESFRVHKVTGDGRCMFRALVKGMAINKSVTLSPREERENADELRMAIKEVLCDNNKERHQYEEALIAITVEESLKRYCQRIGRPDFWGGESELLVLSKLCRQPIIVYLPEHEHTKGGNGSGFIPIAEYGADFGKGSRKGKAKKAVRLLYSGRNHYDLLV >KVI03348 pep supercontig:CcrdV1:scaffold_588:36078:38212:1 gene:Ccrd_018353 transcript:KVI03348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF962 MVNFRSMEEFWLFYMNQHSKPATRRWHFAGTLSSLLCLIYALVFNWWFLFCVPLFGYGMAWYSHFYVEGNIPATFGHPIWSLLCDWRMFGLMLTGQMDREIKRLAVPALVPECVSVLLAERFPNMAEKRGLMVIGREE >KVI03343 pep supercontig:CcrdV1:scaffold_588:105667:111145:-1 gene:Ccrd_018358 transcript:KVI03343 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MKASTGQWFTIGLVSSWYTSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIVWMKMVPLQTLRSRVQFFKISALSLVFCASVVSGNVSLRYLPVSFTQAVGATTPFFTAVFAYVMTMKREAWLVYVTLIPVVTGVVIASGGEPSFHLFGFIMCLGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPVAVVILLPATLLMEDNVVGITIALARKDVNIVWYLLFNSALAYLVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFKNPVSVTGMLGYLLTVLGVILYSEAKKRTK >KVI03350 pep supercontig:CcrdV1:scaffold_588:185937:205469:1 gene:Ccrd_018360 transcript:KVI03350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGTRTMGSHGGGGGGNGGTQHPKSDGFSKQGPLYNLTLDEVQHQLGDLGKPLSSMNLDELLKSIWTAETEANEGMGSGAGPLYHSQHTQLASTSSMAHQSSLDLSRDLSKKTVDELWQDIQQGQKKKDDNGGNDHNLDRKAGRGKQPTLGEMTLEDFLAKAGIVSKSSQEKKNLASVLGADSSALAQQNISPQQAQWMQFQIPPIQQQHVFMPSHHQVQPPLPSSANQIMDPAYSETQMTMSPSPLMSTLSDTQTPGRKRVASGDVMEKTVERRQKRMIKNRESAARSRARKQAYTHELENKVSRLEEENERLKREKEAEKVLPYVPLPEPKYQLRRTSSALF >KVG92265 pep supercontig:CcrdV1:scaffold_5882:13123:18164:-1 gene:Ccrd_026092 transcript:KVG92265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dullard phosphatase domain, eukaryotic MPSLKMKMKSTVGCLREKNGLHVCQKSSKISKNSSSQVRSFQQEAELETCISNKQDDSFCCEPTAQEIRVNETNCCELPDEESIQDHTLVNGSSTTETIFSPILESIDNGNEATVSGDRGNEKELEVPSLGDKDRNSCEYQSCNVSDFFISDMIVSSTPIERSLAYANMEATCLPDYGCDESSRFFDDEYTILPFLEDSIDTCNDSDDSRNCSEPVMVSDESNLYLAIHQLKSCNQEPVVNTYPDWDPVECFDPQMFIRNLPDLSEVEQNFLPTVLPLERKTVTLVLDLDETLVHSSLQHCDDADFTFPVFVDFKEHTVYVKRRPYLQEFLERVSEMFQIVVFTASQSIYAKQLLDILDPDGKIISRRAYRESCIFADGCYTKDLTVLGVDLAKVAIVDNCPQVFRLQVNNGIPIKSWFNDPADCALISLLPFLETLADAEDVRPIIAKRFGNKE >KVG92117 pep supercontig:CcrdV1:scaffold_5886:12042:12935:1 gene:Ccrd_026093 transcript:KVG92117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKAKDEATVVMDQLQGIESEVKAVHSMTQRMVLTQSEMEEVVLKRCWLARYWGLAVRHGICADIAVSKHEYWSSLAPLLFEVVVSAGQKAKEECWEQG >KVG92118 pep supercontig:CcrdV1:scaffold_5886:29827:30314:1 gene:Ccrd_026096 transcript:KVG92118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEEAKCSLGYGKPPWIFKGRQVIFYYSNTLLDALYQFHLVKAEIARAIIPKEFRLVEAFGYTLGGFFLANYNESPVGTFDEVVFFF >KVG92120 pep supercontig:CcrdV1:scaffold_5886:12970:14986:1 gene:Ccrd_026094 transcript:KVG92120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAYIVVVEWLSHKLLCKLMSHHAFVLSHYPTGDGNLERRSKLVQDLNDLTSEGNIESMLSVEMGLKELACLKVEDGIVLALAQLWRLSSARQTMSGNLCPRCPQVTQSLWRHLAWLTYFWSRAKCLGIEEDTAKSRLQFWISRSTHSPTSHDA >KVG92119 pep supercontig:CcrdV1:scaffold_5886:16079:17333:-1 gene:Ccrd_026095 transcript:KVG92119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVMRHAGMEESLIVDHPFQEFGLPSQVATFSKQSTPRRRSNADVGNMDIRFAEINGPVAIGLYDIPVLKMNPLKWMGMGMGITMSLPSFSGHTEHKPQLLKYSCQIECRVKPTSPARVLWLLDRKHSSESQNEESSTKRDVGTAVMLSKPILALEFNCLKMQVEPPVVVSGKHG >KVH88683 pep supercontig:CcrdV1:scaffold_589:70310:74804:-1 gene:Ccrd_026101 transcript:KVH88683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTATTLNAVMNGGLGGGGGAVAQRTVLITGVSRGLGKALALELGKRGHSVIGCSRTQDKLDSLQLELSSGPSSSSSSSSSEKHLFMNVDVCSNSSVQELARVVMEKKGVPDIIGTINKNNRLWEVPEEEFNAVIDTNLKGIANMLRHFIPLMIEKKQGILVNMSSGWGRSAAAQVAPYCASKWAVEGLTKSVAKELPSGMAIVALNPGVINTEMLASCFGSSSSLYQPPESWAPKAADMILNLTMADNGASLSV >KVH88682 pep supercontig:CcrdV1:scaffold_589:18548:24211:-1 gene:Ccrd_026098 transcript:KVH88682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTFSTPEKVIKGPETTSPATNCLIYGSACASRNGIKSPEGIAMPYVMIPRKYMATKKKIRLVMRNSFVLRELNKLRMASSLVGGRGERERDHEAASSRAKRTPPTGARKAAATPAAAPHGSQNQLLLGQYKHKELQRKLQEPHYNQPMLQMPETVGIKLQQKDNISFSFSLRANHSLPKSQVPKAINDEGKVTNSEKHLYIVVTF >KVH88681 pep supercontig:CcrdV1:scaffold_589:24311:38631:-1 gene:Ccrd_026099 transcript:KVH88681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMTGAHFTNELVENKVSPPLIIRRTVEYSHGELLETKFLSMNSITEDEYQLDSIKGFRSTRVDVRDITCGDNSGWFSVKWNGPFTSSNGVFGTTHKCRRPSSLKATAAFARDMVSTTVYGDVLVIGWRSTYISISSGLISKSSSLRPFPDPAFAKSKAWYLFNVSAPLKRRCAVNIFPLSKTSK >KVH88684 pep supercontig:CcrdV1:scaffold_589:51527:68768:1 gene:Ccrd_026100 transcript:KVH88684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MEAIEELVQLSDSMRQAAALLNDEDVDENSSSSSRRGSTFLNVVALGNTGAGKSAVLNSLIGHPALPTGEGGATRAPICIDLKRDENLSSKSIVLQIDSKSQPVSASALRHSLQDRLSKISSKSRDEIYLKLKTSTAPPLKLIDLPGVEKGNLDDSLSEYAQHNDAILLVVIPAAQAPEVASAKGLRIAKEYDGECTRTIGVISKLDQASADPKVLAAAQALLLGQGPRSAADIPWVALIGQSVSIASAQSGNVGSDNSLETAWRAESESLKSILTGAPQSKLGRLALVETLAHQIRSRMKIRLPSLLSGLQGKSQIVQDELVRLGESMVSSSEGTRALALELCREFEDKFLQHIMTGEGSGWKVVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIATTALEGFKNDAKKMVVALVDMERVFVPPQHFIRLVQRRMDRQRREEEIKTRSSKKAVDAEQSLLNRATSPLTGGNLKSMKDNKQDKDTQDGPALKTAGPEGEITAGYLLKKSAKSNGWSRKWFVLNEKTGKLGYTKKQEERNFRGVITLEECNIEEIEEEEQPPPKSSKDKKSKVEEKAPSLAFKITSKVAYKTVLKAHSAVVLKAENAAEKAEWLNKLKTVVGAKGGQVIMKADGLPIRHSQSDGSLDTMVRKPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNKLYSSVSSQSTGRIEELLQEDGNVKRKREKVQKQSSLLSKLTRQLSVHDNRAAAASNMSNGSSPAESPRSSGQSSGDDWRSAFDAAAANGPSDLGSRFGSNGHSRRNSDPSQNGDVGSGSGSNSGSRRTPNRLPPAPPGSGYRF >KVH88685 pep supercontig:CcrdV1:scaffold_589:180315:193367:1 gene:Ccrd_026103 transcript:KVH88685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEGREPSAAEQPEFVSRKEKRKALKKDKRKQIRKELAEKARAEEEARINDPEEQRRIQVEEEREKERLERDRKEFEERERIFLEELQRKKMEEEEEERRNVVERELKLKQEGVEEGSNEDDEWEYVEEGPPEIIWQGNEIIVKKNKVRVKKKDANQHTEKEVQNLIFCCPSRDPNRPTSNPLPPQSEAYDAYKNAPMTSVELLDSVAQQVPNFGTEQDKSHCPFHIKTGACRFGLRCNRVHYYPDKSSTLLIKNMYNGPGLAWEQDEGLEYTEEEVEHSYEEFYEDVHTEFLKFGEIINFKVCRNGSFHLRGNVYVNYKSLDSAVMAHNSVHGRYFAGKQVKCEFVSLTKWKVAICGEYMKSRLKTCSRGSACNFLHCFSNPGGDYEWADCDKPPPRYWAKKMTALFGYSDDSWHAKQKEQPSPKDSKIFTSDRDGYHSKRRRSIQTNQHDGGSGSGRSRHEENHARKSRHHEQQKDDKSYEDETEYKKNHRGSSIAVDTDSGGDRNTHRRHRDISENRSRHNWRDYDGRSSRTHGSSSDEDLYMERRHVYKKRRSRHRENISESSNDDGESGGAKHEPQWQPSSDPSETELQKHKERKTNSARYHRRSRRHDDKVDHRQSQNKQKRRHRKSASLHRYERSRSRDSGYLSDKDNDRSERWDPDGSPNEHGEHRSNKVDYEKSEDRETRSGSLEEESKSRQIRDRKRI >KVH88686 pep supercontig:CcrdV1:scaffold_589:135996:180127:1 gene:Ccrd_026102 transcript:KVH88686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVAKQQLKTDRPSSSWTFDPHPRLNPRQSTFDPHPQLDPRQSTQLHPVGSFFIINRVSTVSSDSHHTSRLLREFELQNELTCCILDFKKVELTNSGVMNDSGYSELFVLIELKTCIEADEIYKICSVIGSLTESSWAEGLELASTIGYLFPEVTNSGFQTILPELIFELAFLEPISLVISHYISKLAEVIPLLQSAMGGAGCASTTKFSGKFSRIPDQEVQRRNLYTQMSSSLVALDERSSEIKLDQNKKLHVVKASLIKKLHVAKVSRAPFTFGLFMNFAIEIVEARPPYLHKRMY >KVH88680 pep supercontig:CcrdV1:scaffold_589:17772:26176:1 gene:Ccrd_026097 transcript:KVH88680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel ClC-plant MENNVDIESEGTEKMERNGSAFDERDMIREPLLLKSRVNTTSQIAIVGANVCPIESLDYDYYQAFAALAGCNVALATCAAVLCAYIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIANLLGQGGSRKYHITWNWLRFFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLKSLIEYCKNGQCGLFGDGGLIMFNINNSIPAYNTIDLLAIMLLGIIGGIFGSIYNYLVDKVLRTYSIINERGPGFRVLLVIIISILTSCCAYGVPWLARCIPCPSNLKEECPTKGRSGSYKSFQCPDGHYNDLASLLLTTNDDAIRSLFSSLNTNEFRITSLIFFFVAMYFLGIITYGIAIPSGLFIPVILAGASYGRLVGRLLSPIANLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLMMLVLLISKTVADCFNKGVYDQIVVMKGLPFLEAHAEPYMRQLVAGDVVSGPLITFSGVEKVGNIVHSLRMTSHNGFPVIDEPPISDAPELCGLVLRSHLLVLLKGKIFTAHRRLSGAETLKRYHAFDFAKAGSGKGLKLEDLEINPEEMEMYVDLHPITNTSPYTVVETMSLAKAAVAFRELGLRHLCVVPKTPGRPPIVGILTRHDFMPEHILGLYPHIDPHK >KVG92087 pep supercontig:CcrdV1:scaffold_5891:13036:26218:-1 gene:Ccrd_026104 transcript:KVG92087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MAVVENGGSNNHVEPNDVDQSKSSISKSRSSDQHQSSDQQKKMTTPNGNGGLLYGNSVSNNHQHQMAMNGVDQEEEGFKKEMRDLAEMLSKLNPMAAEFVPPSLSNNQTRSFLVPPSPAAHFGYAAINNFLLQTNNTAFTNANSNGNSARRKKSNFNHGKRRMNSRTSMAQREDVIKRTVYVSDIDHQVTEEQLAALFINCGQVVDCRVCGDPNSVLRFAFIEFTDEEGARNALSLGGTMLGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESLCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRPVVH >KVI03230 pep supercontig:CcrdV1:scaffold_5894:24326:29718:1 gene:Ccrd_018475 transcript:KVI03230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MEVYVHPILVLFSSTILFLLSRSAAVDTISRNQAIKDGDTIVSDGDMFELGFFSPGKSRNRYLGIWYKKISKGTVVWVANREKPINDTSGIFEVSREGVLQILSAGNTLIWSSNSTVSVRSRNLEAQLLDTGNLVLWDESSTKENPIWQSFDYPGDTLLPGMKIGKDLVSGREKYLTSWKSPDEPSIGLYKNWIDTNGYPQIFQREGRVIHSRHGHWNGVGFHGFPVENPNSIYSVEFVINEKEIYYRYKQKNSVLPRIHVSSEGITLQLNWIERTLEWAVYGNIVVDSCGRYARCGPYGTCSINMYPPCSCLEGFEPRLPEEWNAADWSGGCQREQPLQCGIEDGFWKISGVKFPDTRRSSYNVSMTLAECEMTCKRDCSCTAYAQLDIRNGGSGCLLWFDELMDIREYDEKQELYVRMAASELAGRMVSQSRFIKKEVLIVVLTISSAALLLSTIAYACRKTKKARGNWNAXDKKXASVXMDDLDTLPFFNLYEIAKATDNFSINNKIGEGGFGPVYKGVLEDGRNVAVKRLSETSQQGIDEFKNEVICIAKLQHRNLISSIHGLSSSYWADESRSSMLDWPQRFQIIHGMARGILYLHQDSCLQIIHRDLKAGNILLDSDMNPKISDFGLARKFVGQDAMAKTKKVVGTYGYISPEYAVHGRFSIKSDVFSFGVLVLEIVSGKKNRGFSHGDHSDNLLGHAWRLYKEDKSIEIMSESLRNSCIVSEPRKFRKSILGIWFKNTSPHTVVWVDNQETPLFDTSGIFKLDSKDILSLVNCGSTDIIWSSNSSASSTNIMXMAQLLNGGTLVIKDEDTISNESLIWQSFDYKDEKNVWKENFIWQSFDYSGDTFIPGMKLGKNLITGEQRYLTSWRSADDPSPGERRMSRISIDNVPRRTEIPDSSTLEISIDNVPMRRMSNTVGNHVSNKLLQI >KVI03229 pep supercontig:CcrdV1:scaffold_5894:14688:19373:1 gene:Ccrd_018474 transcript:KVI03229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNRSSSKNSLIGNGGRNLHSNGIHHNAPITHRRSMSYTNNTDDFNNNNVDLFSRNRHSLSAASSDESDLQVRLGRLSFGSTKPAKSVLDDLLSSNEGGKHDYDWLLTPPGTPLLLSSDGKESQPTSVAPRRNSSVRSGSTIKTSRLSVSQSESNHPSRPARSSSATRPSISTTQYTNYSNRNSNILNTSSSSISSYIRPSTPTNRSSSAARPSNASSRPTTSRATTPSRTRPSSTTTSIDRTIRPSQNSRPSTPTPRPQITANLTSPTIRPSSRPSTPTRRSPTPSLVPSSPSGGRALPSNGRISRPSSPVSRIRPPPQPIILPDFPHETPPNLRTTLPERPLSAGRSRPGAAMTVKGHVENTNSGSITRRQSSPIVNRGRLAEPPGRGRPHTNGNVVETLEARKTSHLPESLTRKPIKMSNSENGTGFGRSIRPLSGSTLFPQSIRSGNPRMQPGRASGGSVNGNYLENKAIPVVSVQHNRTSYSGKVAEVDVYESSRYDAMLLKEDLKNTSWLHSTDENKDQGPFFDNGFEPLPEPFGPL >KVG91951 pep supercontig:CcrdV1:scaffold_5899:15186:24337:1 gene:Ccrd_026105 transcript:KVG91951 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH domain-containing protein MKWHIIKDVPNPHFRHIILENNEHKPVTNRRDRIKMADSDEASHTPSNDNVIENAPHLDIYDDEAPMSPRSE >KVI08665 pep supercontig:CcrdV1:scaffold_59:248930:276749:-1 gene:Ccrd_012964 transcript:KVI08665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Impact family KLERHDAVFDSIIEEKGFQIEQLEQVSNLISTYHCYYHVHNHHHRISSSTLFLLRSMVTATTSVAATSTGGGAFTTIAERVSLERDIKKSKFIAIAGHIPDERSAQSFLSEVQDARATHNCWAYKVGNQYRSNDDGEPSGTAGKPIYSAIENSGLDRVMVVVIRHFGGIKLGTGGLVRAYGGVAVECLKNAPTRLVKSQVPMGVEDYDTGKDGITMVTFKISFDEAEALEEALKTNCSRNLVQDARATHNCWAYKVGNQYRSKDDGEPSGTAGKPIYSAIENSGLDRVMVVVIRHFGGIKLGTGGLVRADGGVAVECLKNAPTRLVKSQVIISFQPTFPEIVLIL >KVI08667 pep supercontig:CcrdV1:scaffold_59:202883:208125:-1 gene:Ccrd_012966 transcript:KVI08667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCTGGMMAREKVQIKKIDNATARRVTFSKRRRGLFKKAEELSVLCDADVAVILFSSNDKLFHYSSSSMKEVLERRSLHSRNLEKLNQPSLELQLVEDTNYANLSKEVAERTLELRRLRGEELQGLGIEELHQLEKSLEAGLSRVVAKKGEAIMNEINHLQEKEVKLMEENDKLRQELLKISSAQKPGVGHDSGDSGESSESTNICNSAGPPQDYESSGTSLKLGLPYSGWI >KVI08648 pep supercontig:CcrdV1:scaffold_59:42863:45363:1 gene:Ccrd_012977 transcript:KVI08648 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MIEKAAGNGYSWWWASHIRTKQSKWLEQSLQDMEEKVEHVLNLIQKDGDSFARRAEMYYRHRPELISFVEETVRAYRALAERYDKLSTELQKANTTIAAICPEKVMYDDYDDDYADSPSKMPKNTSQNTTKMPKNSKMPRKTVKGLLKNASKSIQGTKTTKTDRSDDVLRSGLTKDEALEEINKLQKEIVGMQTMKEFMKGSYESSLAQYWDTESKITEHQQKVCSLQDEFQVSRIIEEDDARTLMVEGALKSCEETLDNLQKTQEKSSQEAKQKLKSLKNNEEKDADEKSKSNVPNQDDQNETKECFESLSKNPQTDEEMADTIEKLVNKVISLESSVSSQTALINMLRAEADDLQTQIRKSEDNKAALIVGVPILIKIRKSLEEKSNGMQDLDQNVNNENKSLKTLFSEALHNLELLSEKIESVKMKGESEEPIDESANTKILSPQDEDKKVIEVKDCVLESEDDINLREMLSGFEEKEKILLEEYITILRNYKDTKKKLAEEEERNQGALSPVTGHARKLNSEIVKRDNEIRLLKQKLKLLQETFGKDKVDIPSEEKEGVTSLDEPQPVSAIQEKLRTDIDAILDENLDFWFKFGAMFYQVHKFTKQVQDLQEMAKKVKANGLMNKSSTSMFTTDLISNIRPIYKHLKEINNELTSWLERSTLLKDELQMRCLSLSNIQEEITRALRESLKEEEIKFGSLVAAKFQGEILNIKQENNKVKDELQAGLDHATALKHEIQMTLARLEQEFGLADIPNQPHQKSTRRSRIPLRSFLFGGKSKKPKLSLFACFGHHKKSSVYKGGRM >KVI08659 pep supercontig:CcrdV1:scaffold_59:362603:363082:1 gene:Ccrd_012959 transcript:KVI08659 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MALLTSSQQLNFEYFTYEEFANADRKEGEKVRRYIGVRKRPWGKFAAEIRDSTRNGKRVWLGTFNTAEEAALAYDQASYSMRGVSSSLNFSVETVKESLKGIKCCSSKVEGSPAVALKEMHKRRKKSSSLNSKEKKQRTNLLVLEDLGSDLLEELLSSY >KVI08650 pep supercontig:CcrdV1:scaffold_59:56252:60303:-1 gene:Ccrd_012975 transcript:KVI08650 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGT1-like protein MSEADPSSIFSQKNSRLPEDTVFFSIFPDFSLSSDLKYQNSKTDPLLSSQLQCLHLQILQTLSCYTTSYIWQHEPFSLSLSTQASCAFCPSSSNQFPHLHGKLRYGDNLEDEWFVVFLLFEISCKFPNLSIRVWDTDGEFLLIEAAFHLPKWLNPETSTNRIFIRGGNLHIVPNNHFPSVPTLFDALLYVMKCEDKTKASSSVQLAIKHRIGDYPDRAIKNMHRVRVQVPALVAHVLKHEPCLISLAVEGFYDRDIDSMKYAANMERFLPNKSADEIVEVTVMMSRAMYAQLMQQTFQAPKCYPMPTRSDSGYAAAELGMKIACGFEMIYQVRKREVLEGKGSSWEVYRESLDRSGYFEGLLPGSKEYKRLMENAEDYYRKSSLHSRESEIMSAPVRRIDDILAHPFSADDFKGKEVPPSDDDLWLYNGEDELNAALLERQQEMEHYDLNRKKNKKSKEQEDVGPSSSSNIDDYDLGDIAKSMQAFIQKMSSFEGAEVPGDRQVYPSIAKKSLILCHLNPNNVDLDVERFMKEIESVMKTPNAEHADDDDDDDVDEDLSSDMDLDDSEDSDIGEVSDDHEDEQTAFMSSYSDALSEELKSTTLGKSFVRANEPTFKKDEGTSNAAGDVQDEFTHVDVDVNLVKSFLGSFSSQEGLPGPASNLLGLMGLQLPQDDKTDK >KVI08653 pep supercontig:CcrdV1:scaffold_59:108011:115014:-1 gene:Ccrd_012971 transcript:KVI08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MIISTKIPQNAKDYEQEIKNSIRGDYWLERGNSQNNQKIIEIEDDDDHGEQESPRGVLEIPVSGSDSDNHSSLSRSLSSRGSSFNDKSPATECGGEEEEGGGRAAGQSLQWRNLVDTLKRKSLKKFSTVPLLAGYGFSRKSLMKKLGRNHGKEETVEGNWAVPKPSWRNFTLEELAAATDGFSTGNLVGQGGHADVYKGCLPDGQIVAVKRITKKEKKDEDRVGDFLSELGIIAHINHPNAARLIGFSSDSDLHLVLQFAPHGSLATLLHNREERLEWALRFKVAIGVAQGLQYLHCECHRRIIHRDITASNILLMEDYQPQISDFGLAKWLPDKWVHHVVSPIEGTFGYVVPLYHSLVSVFLLVLIDVFSFGVLLLELITGRRAVDSCRQSLVIWGESPAAELKPKTSGGRALIVDACDLEDYTCTKYLKDLNRHMELVME >KVI08649 pep supercontig:CcrdV1:scaffold_59:45643:48907:-1 gene:Ccrd_012976 transcript:KVI08649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MSSERAIDLVKNELPVDDESMVFSGDLRTGLVLVDIVNGFCTVGAGHLAPKVPDKQISRMVDESTRLARMFCEKKWPVFAFLDTHQPDVLEPPYPPHCLAGTEEANLVPALQWLENESNVTLRRKDCIDGFLGSFEKDGSNVFVDWVKKHQIEAILVVGICTDVCVLDFVCSALSARNRGYLTPLRDVIVYSGGCATFDLPLHVAKSITGALAHPQELMHHVGLYMAKGRGAKVVSEVSFAA >KVI08661 pep supercontig:CcrdV1:scaffold_59:101808:105467:1 gene:Ccrd_012972 transcript:KVI08661 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAPENNWLSFSLSPMEMFTSSTSQPPHQYYFNDNIYANGWANGGKTSTMYTGDNSNSHGDVKDGGDSPLFRSFMESHINQQQAPKLEDFLGGDTTTTAHSMQSFRYTDGSQTETQDSSSLTHLYDGSSSVYFSDQQDLNGITGTAGFQTFSTNSGSEVDDSATHLTEFAGQSIESGSELAYVQCPMNALSLGITTSSSGGATHQVSNQKAIVAVDSGDQNNCKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEDKAARAYDLAALKYWGPTATTNFPVANYAQELEDMKHATKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGPTEEEAAEAYDIAAIKFRGVNAVTNFEMSRYDVEAISSSSLPVGGSAKRLKVSSEVEQKPPLISNHHQLPPYSSSNSGSSISFGAVPPVYGLSFDPNSTPMYHHQSLFQHLQSGGGNGGTVETSGAMGLVAPSAEFFVWPNQTY >KVI08664 pep supercontig:CcrdV1:scaffold_59:162974:166105:-1 gene:Ccrd_012969 transcript:KVI08664 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MICFYLTPFLLHFYFTTFAFTDAANVMKLHHGGLLILLLAFCTWPSVLSDLSDPKPRHSRLFKTALQHQDLNDHDSDLWTPLRNQGWKPCFESTSPSSSLPESSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWKDTSTFMDIFDVDHFINVLKDDISIVRALPDEYMWSTREYYASAIRSTRVKNAPLHASANWYLANVSPVLDSYGIAAISPFSHRLAFNNMPVDIQRLRCKVNFEALVFIPHITSLGDVLVNRLRYPAVERSYLQQVVDTKGAGKFVALHLRFDKDMAAHSACDFGGGKAEKKALARYRQAIWQGRVLNSRFTNEELRNQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISALRKLFPQIEDKKSLASAAERAQIKGKASLSAAVDYYVSMHSDIFVSASPGNMHNAVVGHRTYLNLKTIRPNMALLGQVFMNKSMSWSGFQEAVIEGQRNRLGEIKVRKAKQSIYTYPVPDCVCQQG >KVI08656 pep supercontig:CcrdV1:scaffold_59:447648:448375:-1 gene:Ccrd_012954 transcript:KVI08656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVLSIANKDLGLAVANSIEILEDFFRCNPSRCNNKRNRRERDGNASLEEILKPGVKGIVLKYIHDGIKK >KVI08647 pep supercontig:CcrdV1:scaffold_59:36580:39581:-1 gene:Ccrd_012978 transcript:KVI08647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVYEELDDAKAEIEKLRSEYHVKANLCDNLKRSYDDQVKTIQELNQKLENQAREIEAKSDENYAAKQSLEDLKCKLKEKECIIKSFSSSNDKLRVDFNSKLRESEEEKKELASSLEEANVKILNLEHENRAFMDKIEVLKEGILSVSNKKCASESKMAKACKQIRENGDMFEKLEEEKAKLEEKLKWKNEQFKYLEEAHEKLRDNLRTKEKEWDMEKSTCFDEISTLETKLDSQIRLSEDFKRRLQICNRSLAHEEDQRKSLVVKLQLLTNGKDEDIGILRNLLSEKECVYKEMESKIGRLEEENQELVFSLKELRRAGNSSSVGKLRNKLKTLEQVHSECSHQSKLREAEWSSQFDKVVADLNVCRLELESKEARLKEITTELNGYNSRMSELTMEKEESAIMMVAMKSTLLEARSKIDEEKVLVLRKELESKNCDLVKAHESIKEQLAEIESLKMIKHKEIESHEEQLKNVCDLLDRSNKELDWCCCEATEVEFELQIWKSVAERLEANLEQNHRMRREVEASLLAQVATEVDLKQEIDNLVCGLEEKEKRIKELEQKLKESNRFGKENGEKLEKEIEWWEQEWVTKELEAAILAQLESERLHEHEKQSLNQLVEEKDERIKNLRQIMKCLEEEFDDSSASFSCELGKMQAEMKLFLEAWENMRTFVVLKEIEVQEKGLMVMELEKDLEFEKKCVEKVLLEKEKLMDIIGGVSERINKLSREDGQVMRNLRSIMLSFHEFDPVKENTNVYQSPKRNTSLQERSPLRALNG >KVI08671 pep supercontig:CcrdV1:scaffold_59:285917:288969:-1 gene:Ccrd_012962 transcript:KVI08671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTSTRCIPNHLTPFTPYRPTLQWRCSLPLKFHPIARNYPGSRYYSYFSIKATSSEETSSGANQYVKEEPDVINYSSIKATTPEETSNETNQYVKDEPESEVTDDEVQAEEKSGFGGFGLFKDDAETNDQFPQFDFLNKLKVEVMMDLMDCPCLLDHYAFDGYLDSNLLQQDGLPSSCPCPLLSRVLAQLLLMEPTLDFEDSFSIALLSVGGIAALWLTASIVGAIDNIPLFPKLMEVVGLGYTIWFSSRYLLFKRNRDELASKIEEIKQQVLGGKVDM >KVI08657 pep supercontig:CcrdV1:scaffold_59:401178:407671:1 gene:Ccrd_012957 transcript:KVI08657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGENDLSPIASLRLSWWITGMVVMVFITMVEQVNSQSNDRGNTLLRRYCRSYDVKNGEFYLNNLNATLSSLRRQLSNPQVYYAFAQATDNDEYVYNFAMCREYLSTSQCLACFDLAVNETKCDHSHYFGFYGKGRWLNVSFSLSWYENYGQIFDNTEVILDNDAIPSGVCGIQSASQPVTSFNQVVEELLSDIRVATIRTSNFYVASIRQVSANNATVYAIAQCVQNSSQAICQNCLTTAYDNLRGCLPNTEGRAIDFLCFMKYSVTPFFLNNQTTNIVPFLSEGSSTKSAMIAGGSSGVGIFLLILALWLWYRRRKKSKTVGEDESGLPGGKSYSYQDLRLATHNFDEEYIVGKGGFGEVFKDFSVGVNSLFTIQQAIIDDENAVAVKRLHVGYGKAKLEFDNEVKLLSNLQHRNLVRQLGWCSEGPELLLVLEYMPRGSLDKFLWGEKRGTLNWEKRFDIIFGVSRGLSHLHNEFHVKIIHRDIKSSNILLDDDFQPKIADFGLARFQAENQTHVSTKLAGTLGYMAPEYATHGHLSEKVDTYSFGIMALEIISGRRCTDENFSGPDTAHLIEHAWQLYEKGMHIELIDEVLGKEEYQEANVMKIIEIALMCTQSPASLRPTMAEVVLMLSSGQSLGTRQLIKPTIIESHRVVHIGAKRLQNK >KVI08663 pep supercontig:CcrdV1:scaffold_59:180949:184636:1 gene:Ccrd_012968 transcript:KVI08663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA MAGFLSILRRLYLTAYNWIVFAGWFQVLFLALKTLKESGHEHVYSNIEKPLLLAQSAAFLEILHGIVATLPQISSRLYVVWGILYSFPEVQTHPLVSSLVISWCITEIVRYSFFGTKEAFGSAPYWLLWLRYSTFLVLYPTGIASEIGMIYNALPFMKESGLYSVRMPNKWNFSFDYFYGAIVVIAIYIPGIPHLYGYMLGQRKKTLSKSKKE >KVI08670 pep supercontig:CcrdV1:scaffold_59:294793:302718:-1 gene:Ccrd_012961 transcript:KVI08670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase MGSLSPAIHPSLTLQANGYHLVSFPGVFRSVLSSRRTRPDMTAVCERGRCVVYAEKKSNEAIDYCDPEWKSKFKKDFEKRFNIPHISDFFPDAVSYPSTFCLSMRTPVNEEFAEGYPSDEEWYGYINNDDRVLLKVIRYFSPSSAGAECIDPDCTWVEQWIHRAGPREKIYFKPEDVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFGKEIAEMPLSREIVQNAHLTGGSLLGVSRGGPSVHEIVDSMGDRNINMLFVLGGNGTHAGANAIHKECIKRGLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINAAYIEAHSAYRGIGIVPFELHGPHGVLSHLKYLLETKGSAVICVAEGAGQNFLEKTNAKDASGNVVLGDIGVHIQQEVKKYFKDMGDPADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYIPITEVISYPKIVDQNSRMWHRCLTSTGQPDFL >KVI08662 pep supercontig:CcrdV1:scaffold_59:4247:5686:1 gene:Ccrd_012981 transcript:KVI08662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSSNGSSNKQLHVVMFPWLAFGHIIPFLELSKFVAEKGHRVSFLSTTRNIQRVQPSHHLSPLITLVSLTLPPVHHLPPNAEATMDVPTQDIPHLKKAYDGLQHQLTRFLEEESPDWIIYDFAPYWLPPVAAALGISRAFFSIINAWFLVFTGGSLEDLINGSDDRKTVEDFTKPPKWVPFPSKVCYRKHEVNWMLDSSSSLNASEVSDTYRCGMIYQGSDCVFIRHCNEFEPQWLTLLEEFYHLPVIPVGLMPPATATNVGDEKDDAWLTIKNWLDGQEKGQMVYIALGSEVMLNKTELGELALGLELSGLPFFWALRKPAGSTESESLEFLDGFIERTRNRGMVWKKWVPQLQILSHVSVGGFLTHCGWGSIVEGLTFGHPLIMLPFLVDQGPNARVLAEKQVGMEVPRDDEDGSFTKEAVAKSVRLVVVEDEGKMYKAKAMELSRIFGDTKLQKKYADEFVEYLEKQRSTCMDG >KVI08658 pep supercontig:CcrdV1:scaffold_59:421087:424961:1 gene:Ccrd_012956 transcript:KVI08658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKQVEKSWFSWRLSCLVVVVVVFISMTESVTSQSNNINSTFIRDYCSLYRGMSEKYFLSNLNTTLSSLRQQLSVNGVRYAVARSLLNGESVWGLASCREYVSKANCVACFDYAIAQLKKCGLGNGAHAFYNDCDVRYENNNFYAEANIRAGVVICGNITSLHPKDFRKTAERLLSDLRTAVPRISNFYAASTRRIANGNGTIYAIAQCNLNLSQSVCEDCLNARYGSLDACLPSTTGRAIDNGCFMRYSQTRFFGQNQTTNITPFLWDGDSSKKRSIIGGVVGGVCCLLLVILALFIWHHRSKKASSGQRDKSTRSTELLQGPARYSYNDLKIATHNFSDECRLGGGVFGEVYKGTFKDGDTVAIKKTVMGSTRGKIHFDDELKIISNVHHRHLIRLLGYCSKGPLLFLVHEYMDNGSLDQFLYGDKSSNLSWRQRFEIIFGTARGLAYLHEQYHVTIIHRDIKTSNILLDNELQPKIADFGLIRLLPEDKTHLSTKLAGSLDSGFVAPEYAIHGQLSEKVDTYSFGIVVLEIISGKRCHDIIDDKSIHQSLLDHAWNLYESGTHLNLIDDRIDPSEYSAEDAMKIIETALMCTQSPVSARPAMSEVVALLSDKSLDETPPVRSTFHEDDVKIQVDTSNSLDSNATASTIQVSGR >KVI08655 pep supercontig:CcrdV1:scaffold_59:436687:445345:1 gene:Ccrd_012955 transcript:KVI08655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVLLLVLLMVNPGISQSNNSENDVNTPIRSFCGRNRPIVPSNFINNRNSTFTAIRRQLSNNNVFYARAQSLLEGDSVFGVTQCRNYLSTSQCMACFDAGVSRLLSCITGNGAYVFFDNCFVRYENYDDFYDDPNVVEDAGITPLQICGNQSTSHQPTTMFREVVDGLLLDIKDATPKTSNFYVASTRQITSGNAMVYSIAQCVENTSQTVCQTCLNTIYTYLYNCLPNTEGRSINMGCFARYSETPFFNDNQTIDITSFLKGQALIWLFQLLLFHILAVPQSIIWHSSKVSIIAGAIGGTVGLLFILIVLWFLLRLRKKSKKSEEGNCVTVYSPDLKGAINYNYKDLQLATNNFSEKNILGKGGFGEVFKAILDDNNTVAVKKIEVAHAKAKEEFENEVKLVSNVHHRNLLRLLGWSSEGSHLLLVLEYMPNGSLDKFLWGAKRGTLNWKQRYDIILGIAKGLAHLHDEFHVKIVHRDIKSSNILLDDDLQPKIADFGLARFQPEDQSHISTKFAGTLGYTAPEYALRGLLSDKVDTYSFGIVILEIISGQRCTDTNSDRPSMDYLLEHAWKLYENKKHIKFIDETLDVNQSQEEHVMHIIEIALLCTQSPVSKRPTMSEVRLMLSTGQSLGKIQLTRPTLIDQDRRIQIGSSNKAISLGKVSGMPKELEANEQLHGEKAYEKVDVMEKGMNVATTLL >KVI08654 pep supercontig:CcrdV1:scaffold_59:137779:139206:-1 gene:Ccrd_012970 transcript:KVI08654 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDATDSNGRSKQLHVAMFPWLAFGHIIPFLDLSKFIAQKGHRVSFLSTTRNIQRLPPSHLSPLITLVPLTLPPEHHLPQHAEATIDIRTHDMQHLKKAFDGLQHQLTRFLQDNSPDWIIYDFAPYWLPSVAAGLGISRAFFSIVNAWFLAFLGRSAEEMINDSHERTTVEDFMVPPKWVPFPTKVCYRKHEVKWMLDSSTCLNASGVSDTYRSGMIFQGSDCVFIRYCYEFEPQWLTLLEELHHLPVIPVGLMPPAKATDVGDEKDDAWVTIKKWLDGQQKGQVVYVALGSEVMLSNSEVGELALGLELSGLPFFWGLRKPAGSTSVELPEGFLERTHNRGMLWKSWVPQLQILSHVSVGGFLTHCGWGSIVEGLTFGQPLIMLPFLVDQGLNARALVEKQVGMEVPRDEKDGSFTKEWVAESVRLVVVEDEGKMYKRNSMELSKIFGDTKLQNKYTDDFVKYLEKQRMSVPSNG >KVI08669 pep supercontig:CcrdV1:scaffold_59:322414:322969:1 gene:Ccrd_012960 transcript:KVI08669 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MLFIQEGEDFLIKHKFHQLKMDFSSSSSSSSSLQKRSIEQKEVIGAERKEEGEKGKQYIGIRRRPWGKFAAEIRDSTRNGKRVWLGTFDTAEAAALAYDQALYSMKGPSSVLNFSAETVKDSKDGESPAAAIKEMHRLRRTSSLPSNPRGKNNQQENLVVLEDLGSDLLDELLSS >KVI08660 pep supercontig:CcrdV1:scaffold_59:368372:386356:-1 gene:Ccrd_012958 transcript:KVI08660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRWWCYRWQMVVVPFLFLLFLINPVRSQPQTNILIQSCSQINVTNSPNFFRNLNETFGNVRRQLSNNNTYFVTAEQTRNSEPVYVLLQCRKYMSTRDCVACFDFADRSIRSCAPANGARTVLDGCYLRYESSSFYEETTRPGNVGLCGNRTSSRQSVFETAVDGLLSNLSIATPKISGFYAAATAPVVGTNTSNVYAIAQCIERVTRDGCKDCLQVAYNNIKSCATDVTDGRAIDSGCFMRYSASAFFANNKTIDITPFLRDGGSSNKGAIIGGVVGGVLALIILLIIAILLWYRRSRKRTAPGGSLYGATELQGPMAYSYNELKKATKDFKEENKLGEGGFGDVYKGIVKDGNIVAVKKLAIGSKRGKEDFESEVRVISNVHHRNLIRLLGCCSKGPELLLVLEYMANGSLEKYLYGEKRGTLDWKQRSDIIFGTARGLAYLHEQYHVTIIHRDIKPSNILLDNDFQPKIADFGLARLLPEDQTHISTRFAGTLGYTAPEYAIHGQLSEKVDTYSFGIVVLEIVSGKRCTDVPTESDGEQYLLEHAWNLYETRMHLKLVDETLDPSKYREDDIKKVIEIALMCTQSPVSVRPTMSEVVMLLSDRSRVQNPPSRQNVNLTNIRTQTDNSTSTTLSMSNNDATITDLTGRVKEQTEKQKKTYMKQVEDWQQSWRLASMVLVVVLMTMAVPVTSQPSDRNSTLMRYYCSQYKTMHEKYFLSNLNATLSDLRRQISISKFSAARTLLNGESVWGLAWCRAYLSIPDCLDCFDYGVAQLKSCGLGNGAHVIYSDCDVRYENNNFYTEANNRAGVVVCGNITSSEPAELRKAAEGLLLDLQIAIPRTPNFYGASTRKVAARNATVYAIAQCNLKVSQSVCLECLQLRSTSLYDCIPNTDGRAMDNGCFMRYSTTPFFGQNQTTDIQSFLWDDDSSKKRSYIIGGVIGGVSFLLLLLAFFLWHRRSKKMGRDQQDRSTVSTQLLQGPGTYSYNDLKVATNNFSDECKLGGGVFGEVYKGTLKDGNAVAIKKTFMASSGGKKHFHDELKIISNVHHRHLVRLLGYCTKGTHLFLVHEYMENGSLDQFYGDRARTLNWKQRFEIIFGTARGLAYLHEQYHVTIIHRDIKTSNILLDSEFQPKIADFGLMRLLPEDKTHLSTEFAGTLNHYLAPEYAIHGQLSEKVDTYSFGIVVLEILSGKRYKDAIDDKSATQSLLDHAWNLYENGTHLNLMDDKIDPSEYADEDATKVIEIALICTQSPVSARPAMSEVVTLLSDKSLDERPPVRPTISDDEVKIQVASIESSASNATASTVQLSGR >KVI08651 pep supercontig:CcrdV1:scaffold_59:66734:77068:1 gene:Ccrd_012974 transcript:KVI08651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MEGGSNPESRKKVEKQKGKKSKIEPFVTQGDHNARDLRSWAKRTGFVSIFSGEVGTTSRDLERRGGGGGGGGSLSPKIETDPTRNTSFEIEPALNRNSEADPILPETGSGEYERRNGGVSASGDGIKKEGGSREEAIDIMYPGGGGESGDGEWNQPPKMKCGIRDNPGLVSLVYYGMQHYLSLAGSLIFIPLIIVPAMGGTDKDTATVISTIMLVSGLTTILHSYFGTRLPLVQGSSFVFLAPALVIINAHEYRNLTENKFRHIMRELQGAIIVSSIFQCILGFSGLMSLFLRLINPVVVAPTIGAVGLAFFSYGFPQAGSCVEISLPQILLVLIFSLHLRGVSVLGHRVPLSVVIIWVYAFFLTAGGAYNYKGCSSDIPISNMLVDSCIKHAYTMKHCRTDASDAWRLADWVRVPYPLQWGIPIFHLRTSIIMIVVSLVASVDSVGTYYSTSMRMNAKPPTRGIVSRGIGLEGFCSVLAGLWGSGAGSTTLTENAHTVTITKVSSRRAVQLGAVILIFFSFVGLSTLRYTHSASFRNIMIVGASLFLGLSLPAYFQQYQPETALILPGYFIPYSAASDGPIHSGNKQFDFTMNALLSMNMVVTLLVAIVLDNTVPGSRQERGVYLWSTSEDLSTDPSSLDDYSLPTKCSRVFSCAKCLGA >KVI08672 pep supercontig:CcrdV1:scaffold_59:282719:283630:1 gene:Ccrd_012963 transcript:KVI08672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MGLKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGENTHHAQLGTNNKVSRPPRVGPIAVGMRIVQQDGAAALFSGVSATILRQTLYSTTRMGLYDMMKNKWTDPETGNMLLWKKIGAGLIAGGIGACVGNPADVSMVRMQADGRLPAAERRNYKSVVDALSHMAKNEGIGSLWRGSSLTVNRAMLVTASQLASYDQIKETILKKGLMEDGLGTHVGASFAAGFIASVVTNPIDVIKTRVMNMKVEPGKAPPYAGATDCAMKTIKSEGPMALYKGFIPTISRQGPFTIVLFVTLEQVRKLLKDF >KVI08668 pep supercontig:CcrdV1:scaffold_59:192594:201964:-1 gene:Ccrd_012967 transcript:KVI08668 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKRFKGSDSPPAELGASRDYNVDMIPKACLFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNKGKIHKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDDNDPKSHEGLDLTKVPARDVLSKKYGLDDNTIDFIGHALALYRDDKYLDQPAVDFIKKVKLYAESLARFAGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFQDGKVFGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVARAICIMSHPIPNTNDAHSTQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVTTEAETDSPEQELKPGIELLGPVDQIFFDAYDRYEPTNQGAEDHCFISTSYDATTHFETTVQDVIAMYSQITGKTLDLSVDLSAASASNEE >KVI08652 pep supercontig:CcrdV1:scaffold_59:77393:81396:-1 gene:Ccrd_012973 transcript:KVI08652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MERAAEKVYVAIGNDVQEGLATLEWTLRKWSSSQFSIVILHADINKDYVYTYYGKIPASYVNDQSVDFLKKCEQGKLNKILRQYIAFCGQVKTEILNIEKCDEPIHKRIVQLVSGLGICKLVMGITFLKSSSWKYGNLLSGLKHIQQKKPDFCELYLISGGKLFLLKDENNEGFIEDDQGAKLNKKRGSFRGWIGKMFPENVKSPRFSPSSTSVDSPSIWEKCAEEIEGYFNHLVEESESGQVSDEILESNRSTSIPRNLTPTQKTEFLRVKIGEARDAIHLKRREAKESVQRYSRAEWAINLCTSRSEDLEARLNEETMKRGALEKDLETIREELSETRIRLDQNRNKLDSTLEIQRELSRKLKSSTMVKSQSQEQLGKMINTRGGMIQDIEKLRKQKNVMQRRIEFCRDKDAIEMVTRLNNFGFSYKEFTAEEIRAGTDHFSEHCRIKCSGDFTNVYRARIDHTTVAVKLYDFRIRQVSEEEFDTKPRPMVHGNLNLGKILLDRNHVAKVNGFRLDFSFDESDIRSDIQDFGSLVLQLLTERNWCGFLDEVNMMNEATLVEVLDQRVGEWPLQVAMELARIAIRCSSSGDGAYTGMTMVMKEMEEVRKKADDVVAGVGCSVNEWILVRAERHKAMARNEPQYITVDKLRPRTQTPYS >KVI08646 pep supercontig:CcrdV1:scaffold_59:32013:34647:1 gene:Ccrd_012979 transcript:KVI08646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSALTQPRHVSVLVQDTVKLLRYLAQTKNLKLGKVIHARLIVSDQVYGDNIHETNSLINLYSKCVELESAHHVFYKMNIRNVASWSALMAGLFQKGFAFEVLRLFKSMVSEDHVLCQPNEYIFATVLSSCSNVGCLLLGRQCHGYVLKSGLVFHQYVKNALVCLYSMLSDVVGAMEVLVSVPGSDTCTYNLILTGLVDNGYLDEASNILRRMLAEHVMWNKATYISVFGLCARLKDLSLGHQIHNQLLMSEVEFDVFVCSAIINMYGKCKQISCARKVFDRLQARNVVSWTAMLAAYSPHGSFEESLKLFLEMQREDVVPNESTFSVLLNASAGLSSLGYGYSLHALAEKRGFKGHTNVGNALINMYSKTGDIEAARKMFVGMTYRDTVSWNTMICGYSYHGLGNKSLALFEEMLKTEENPNHVTFVGVLTACGHLGKVEEGFYYLNQLMKQKGIEPGLEHYTCIIGLLSKAGRLNEAANFMLSTPIKWDVIAWRTLLSACYTHRDHTLGTQVADIIMGLNPDDVGTYTLLSNMHAKANNWGGTWLVFGEDLEDWFRVDVYAKCKMKGLSIT >KVI08666 pep supercontig:CcrdV1:scaffold_59:226867:227627:1 gene:Ccrd_012965 transcript:KVI08666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MQFLPSYINGYDQFSNNCSTIIRDLVGEGTQQLSANTVAEDRAAAASNRHSEAERRRRKRINGHLATLRTLLPNTIKTDKASLLAEVVRRVKELKKMAAEAEVESTSDDDDDYFISRNKEYYMIPSEIDELEVTYVGEDSSMIKACMCCQDRPELMVELRRALAVVGGKLVRTEIGTLGGRIKCVLWVQIAREQGLPELRRSLKVVMDQAAFLDLPRNKRPRI >KVI08645 pep supercontig:CcrdV1:scaffold_59:15653:22945:-1 gene:Ccrd_012980 transcript:KVI08645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MTSAGSLNFSHKWGICKNHRYNKQFKGIIRSDKLHIIPASLSSVALRQDSLSINLSVQLHQPLSTGSHRYNLLKCNCFLNPDLGTSAVKNGALALSSALQGRPIMFKLLPAVGIVVFAVWGLGPLMRQSRNIFLHKSDGSWGKSNTHYVMTSYLQPLLLWTGATLICRALDPIVLPSEASQIVKQRLLTFVKSLSTVLALAYCLSSAIQQTQNLFMETNDATDTRKMGFQFAGKAVYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFIVNEWIKAKIEGYEVSGTVEHVGWWSPTIIRGEDREAIHIPNHKFTMNVVRNLTQKTHWRIKTHLAISHLDVNKINSIVADMRKVLAKNPQVEQQRLHRRIFLENVDPENQSLLILVSCFVKTSHYEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYNDSDLDGFPFADSVFTRGRAPSNRPLLLIEPNYKVNGEEKSKGQNSSKKSNSKVEGNSKTKDTPPSPPASDVKSIDKVPSDSAADKKPNSDEKPNNKVADSSNKAMESEKPSVTEQKPSRPPAMEENIVLGVALDGSKRTLPIEEGMELDSEVKELSKSLTTKDKKDGSTDNK >KVI03496 pep supercontig:CcrdV1:scaffold_590:4764:12393:1 gene:Ccrd_018199 transcript:KVI03496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MTITPKISIDGGNLVVHGKTILKGVPENIVLTPGAGSGLITGAFIGATSSNSKSLHVFPVGVLEDLRFMCCFRFKLWWMTQRMGTCGKDIPLETQFMLVESKDNNENQNDNSPTIYTVFLPLLEGQFRAVLQGNDKNELEICLESGDHAVETNQGLHLVYMHAGTNPFEVITQAVKAVENHMQTFHHREKKKVPKLLNLFGWCTWDAFYTDVTAEGVEEGLKSLAKGGTPPRFLIIDDGWQQIGNENKDSNIVVQEGAQFANRLTGIKENEKFQKKKDNHPPGLKHVVDEAKEHHNVKYVYVWHALAGYWGGVNPTVAGMEHYDPALAYPIQSPGVQGNQPDIVMDSLSVHGLGLVHPRKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASIAKNFKDNGCIACMCHNTDGLYSAKQTAIIRASDDFYPHDPASHTIHISSSLHPAADYHAAARSIGGCPIYVSDKPGNHNFNLLKKLVLPDGSVLRAQLPGRPTLDCLFADPARDGVSLLKIWNVNKCNGVVGVFNCQGAGWCKIEKKTRIHDSSPGILTASVQSTDVDALTQVAGPDWHGETVVYCQRSGEVIRLPKGVSLPVTLKVLEYELFHFCPLKEIAENIVVAPIGLLDMFNSGGAVEQFQVHLPSNAQHYIDHSTFTTENRPVTATVALKVRGCGRFGFYSSQCPLKCTMDGIDTDFIYDSARGLATFMIPVPQEEMYKWCIEIVV >KVI03487 pep supercontig:CcrdV1:scaffold_590:88744:97000:-1 gene:Ccrd_018206 transcript:KVI03487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease, phosphorolytic domain 2 MIDLKELSLVSGKAAWMAYLDIYCLDADGALFDAALLAAAAAFSHCKWLTFLYVAVQIPVVSLNDDGRIVVVSKQNEVEKLKNKPVNEEKRKLKLKGIPFALTCILHKNYILADPTAEEESIMETLITIVLDSSCQLVSLYKPGGPALAYESVIQDCIALSRQRVRELQTILNEAISDMEVD >KVI03500 pep supercontig:CcrdV1:scaffold_590:170679:171196:-1 gene:Ccrd_018212 transcript:KVI03500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MVVVKKENKIAVIIFPAYFDDSLRHATKHSKLFVVMDVLHLLNELRASTIDCVSDEKATFIDEIKMCLSFGSGGGTFDVFLLTVEKKALGGEHLIAEFNRKYKQDINMDPRAFGTLRVA >KVI03501 pep supercontig:CcrdV1:scaffold_590:172781:181044:1 gene:Ccrd_018213 transcript:KVI03501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MADAYCSDCRKSTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNVLLNDGGLSTVISKPNGVTSDFLSSSLGRWQNRGSNPDRSLIMAFKTIATMSDRLGLVATIKDRANEIFKKVEDQKSSRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLELEMGQSVEMGTIHAGDFMRRFCSNLGMTNQTVKAAQESVQKSEEFDIRRSPISIAAAVIYIVTQLSDDKKPLKDVALATGVAEGTIRNSYKDLYPHLVKIIPSWYAQEEDLKNLCSP >KVI03490 pep supercontig:CcrdV1:scaffold_590:38971:51746:1 gene:Ccrd_018203 transcript:KVI03490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MSAVSGVVSRQVLPACGNLCFFCPALTTRSRQPVKRYKKLISDIFPRSPVSSLILSSTSEILLDGKGKEIEKLPGFVTLGEEVNDRKIGKLCEYAGRNPLRVPKITSSLEHRYYKELRNENFRAAKIVMCIYRKLLISCKDQMPLFANSLLSIMHTLLDETRQDEMLIIGCQTLFDFVNCQKDGTYMFNLEGFIPKLCQLAQEVGEDERVVPLRCAGLQALSSMVFSSLPPFLFVIVSVVLENYGALSKESDNPNQSRWVQEVLKNEHHVSPSEALMKIPSWRTIVNEKGEINVPADDAKNPCFWSRMCLHNMAKLGKEGTTMRRVLESLFRYFDNENLWLDSKGVAFPVLKDMQIIMDESGQNTHFLLSILIKHLDHKNVLRKPDMQLGIVEVTTSLARETKKEASVAIVSAISDVVRHLRKSVQLALDDANLGADVIKWNKRFHEAVDECLVELSSKVGDASPAFDIMAGMLENISSVTAIAKTTVAAVYRTAQIAFPEALFHQLLPAMVHPDHETRVGAHRIFSVVLVPSSVCPRSGPGIPPTVSDPNKAAVPRSLSRTVSVFSSSAALYGKMKSKVSHDNKVQVSGQGEQRPNNNNNNNNGPLSRMTSSYSRVYSRRADGNSAINLNKDAEAVTLRLSSHQISLLLSSIWEQSNSPENTPENYEAIAHTYSLVLLFSRAKNSGREALVRSFQLAFSLLDISLAEGGPLPPSRRRSLYTLATSMTIISGKAFSIVPLVPIAKTNLANKMVDCKLTVVTGTHTIPFGSKEDDRAALKSLSDIKLKEDQSMESMAATIVKHLETVSESEMSSIKEQLLNRFVPDDDVCSMGSPSANTLRNNSEDCNSMDDDAPLFFMDDDPLGDLVDNSNRLSVTSPEASDFLSVNQLLDSVLESAQQVGRMSISVSPEVSYKEMTNQCEALVNGKRMNMSNLISIQQRHINQNDGEINKQSTAFQNGTSFQSAGNPSLEQNAPPVVNGNAPTSRTTMQSFRLPASSPYDNFLKAARG >KVI03489 pep supercontig:CcrdV1:scaffold_590:54153:57845:-1 gene:Ccrd_018204 transcript:KVI03489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MANLFLFLILAIAFLSCCQKPVSSQVVNGDDDDLITLLAIGKELRLLDWGDNGLKNTSNYCLWPHITCNSNHSLVEKLVLSHLSLQGNLTLISQLKSLKWLDLSFNTFHGSIPRSFGNLSDLEVLDLSYNKFDGSIPVELGKLGNLKSLNLSNNLLIGSIPNELQGLVKLQDFQIFTNHLNGSIPIWMGNLTKLRVFTAYENELSGEIPETLGSVSELHLLNLHSNYLEGSIPKSVFAMGKLESLVLTQNKLSGSLPESIGNCKDLSSIRIGDNDLIGNIPRGIGNLSSLTYFEADNNNLSGEIVWEFAQCANLTLLNLASNGFSGIIPPVFGQMINLQELLVSGNSLFGEIPTSLLSNKNLNKIDLSNNRFNGSIPQSVCNSSRLQYLLLGQNSLIGEIPHEIGNCAKLLELQLGGNYLTGTIPPEIGRIKNLQIALNLSFNHLHGQLPPDLGKLDKLVSLDFSNNQLTGNIPLALRGMLSLIDVNFANNHLTGPIPTFAPFQKSPNSSFWKNEGLCGYPLNSLCGNSNDTNETFHHKVSYRIVLAVIGSGLLVFISVTVVVVLFMMREKQEKAAKTVGNEDEEIDDNNKPLIIAGNVFVENLKQAIDFDAVVKATLKDSNKISSGTFSTIYKAEMPSGLTLSVKRLKSVDKTILHQQNKMIRELERLSNLCHDNLIRPIGFAIYEDVALLLHQFLPNGTLAQFLHESSKEPVYKPDWPVRLSIAVGVAEGLAFLHHLAIIHLDISSGNVFLDSNFKPLVGEVEISKLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSFGVVLLEILTTRVPVDEEFGEGVDLVKWVQGAPSRGETPEQILDAKLSTVSFGWRKEMLAGLKVALMCTDTTPAKRPKMKKVVEMLQEITENK >KVI03491 pep supercontig:CcrdV1:scaffold_590:31925:35312:1 gene:Ccrd_018202 transcript:KVI03491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MGTPAFPNLGKHCSVDDCKIIDFLPFTCDCCNKVFCLDHRSYISHQCPKANKNNRTVVICPLCAKGVHLVLDQDPNITWESHVNTDCDPSNYEKVTKKKKCPVRGCRETLTFSNTIKCRDCTIEHCLKHRGGASRNGGGASGQVEQCPICYMKFSKAAALIDHVQKVHEKKGVMKVTIDVCPKCSKGFRDPMALVQHVEREHRGMSQA >KVI03492 pep supercontig:CcrdV1:scaffold_590:23708:24019:-1 gene:Ccrd_018201 transcript:KVI03492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALRMMRFDEERQPVPPPVVMVAESHSSTGRSVETVVVVVAVITIVGIIAGMIARLCGGRHYGGNHEMEGWVESRCKSCIDGGLSSAPPPKEVPKSGQHDNK >KVI03495 pep supercontig:CcrdV1:scaffold_590:127071:128438:-1 gene:Ccrd_018208 transcript:KVI03495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFLVFFPEEHFNSSTPTSSLSPFKSATIKGFLKRTNSSHIFTRAQSTISICALLIFLTFLLFTLSSFEPNNDFISNHHNLHQYRRYLSQNRPKPRSHSPALQGLGTLYTRGTTAMNELVICHVSESVTTKELKLFMRAFHRSGLAAKSDLLFIFNSISTIDSFDDLIQEENDLFLKLVHRYKTELGNGSKVVDFPASFDVTRFVKPGKEAHKGEPIWGRKMKSNNSSFSNDDNETEFTRTSYGSVVGFGIGELDPENSLSGFLDHVPMSLRRWASYPMVLGRVRRKFKHIILVDVKELLLVGDPLGRVKNHSPESIFLSSIPPTPKHGRKNTKPHRKTINPALILGGERGVRRLSAAMLTEIVRTTTRQQHNKKKNSVAESALLSQLVANEFLQKSIRFITATESIQDPSSLSGVSLANQIVVRRGNSNLDIGAVMMKHICSFPLDSSVYTDC >KVI03498 pep supercontig:CcrdV1:scaffold_590:150916:160994:1 gene:Ccrd_018210 transcript:KVI03498 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MATTAQIANGVGIGIGNNALTRRPTSATPSSPSPTPNNILLGLTRPRATTLRFDSVVNIGGRFCSYRRSPPPCSVRVSAISADQPTSSGQGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQIGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRRQAERWGAELFQEDVEFIDVNNSPFTVQSTDRKVKCHSIIVATGATAKRLNLPREEEFWSRGISACAICDGASPLFKGQILAVVGGGDTATEEAIYLTKYARHVHLLVRRDQMRASKAMQDRVLDNPNITVHYNTETMDVVSNTKGQMSGILIKKHDTGEESVLEAKGLFYGIGHTPNSQLLEGQVDLDNSGYILVKDGTANTSIEGVFAAGDVQDHEWRQAITAAGSGCIAALSVERYLVSNNLLIEFHQPHTEEVKKELTQRDVQEGFDITLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILGKVIDEFDQNVHFVEIDIEEDPEVAEAANIMGTPCVQFFKNKEMIRSVSGVKMKKEYREFIAANK >KVI03493 pep supercontig:CcrdV1:scaffold_590:14965:18694:1 gene:Ccrd_018200 transcript:KVI03493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex NAC domain-containing protein MNVEKLMKMAGAVRTGGKGSVRSLSCGVTLTCRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPQIEEVNIFKDETVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPGILNQLGPDNLDNLRKLAEQFQKQTPGAGEGTAAKTAEEDDDEVPELVAGETFEAAAAEEEPKS >KVI03494 pep supercontig:CcrdV1:scaffold_590:135432:140639:1 gene:Ccrd_018209 transcript:KVI03494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MFFHIVLERNMQLHPRHFGRDLREKLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHVSFRLLKFHLLLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGTTS >KVI03488 pep supercontig:CcrdV1:scaffold_590:83499:87497:1 gene:Ccrd_018205 transcript:KVI03488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF288 MLVQQDNLTPNLKSSSLPRTIHPNRFSPSKSLDFSTWVSQNLYKIATVAILIATVAAVFFLRNIGDSATFFCFQSEIQQLETIHFPQISYDSIVPITDNTTPYSRFRSDQWIVVSVSDYPSDSLKKLLNIKGWQLLAVGNSKTPTDWSLKGTIFLSLEDQAKLGFRVVDYLPYDSYVRKTVGYLFAIQHGAKKIFDADDRGEVIDDNISKHFDVELVGENAKKEIILQYSHENPNRTVVNPYIHFGQRSVWPRGLPLENVGEIEHEEYYNEVFGGNQFIQQGISNGLPDVDSVFYFTRKQNLEPFDIRFDGHAPKVAFPQGIMVPVNSFNTIFHYSAFSSLMLPVSISSMASDVLRGYWAQRILWEIGGFVVVYPPTVHRNDTIESYPFAEEKDLHVNVGRLIKFLVSWKTDKHNLFEKILELSYAMAKEGFWSEKDVKFTAAWIQDLIAVGYLQPRLMALELHRPKGGINHGDRKDFVPQKLPSVYLGVEETSTVNYEIGNLVRWRKNFGNVVLIMFSSGPVERTALEWRLLYGRIFKSVIILSDKKNPELMVEEGHLDHLYKQFPRLFDRFASAEGFLFLQDNTVLNYWNLVSRSWSTVPFDGNKDWYGKQAEMIKKVVSSMPVHLQVSYKDHTKNHDSTLTVCSSEVFYIPRRLVVDFIDLVNLVGDLDIHQKVAIPLFFVAMDSPQNFDSVFNTMVFKRKPASNTSLSFYSPEAPAVHPLRVSNEQEFIKLIRAMAAGDPLLLDVV >KVI03499 pep supercontig:CcrdV1:scaffold_590:166044:167847:-1 gene:Ccrd_018211 transcript:KVI03499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase AER61, uncharacterized MVDHQRHTQLRKDAYEGEDETLSDSKAPLCSSVSNGTICCDRSSIRSDVCIMKGDIRTSSPSFSVFLYTSDHISGDLVAGEELQHQKIKPYTRKWEPSTMATIDELTLISKKLNSTTDNRHRCDVYHHVPAVFFSTGGFTGNVYHEFNDGLIPLYITSQKFNKKVVFVILEYHDWWVMKYGDVISQLSDYEVIDFNGDNRTHCFSEAIVGLRIHDELAINSSSMPDNKMIEDFHNVLDAAYSPRINDLVQEEPLEIHEPEKPKLVIISRNGSRAIMNQDLLAKMAEKIGFTVEILRPDKTTELAKIYRSLNSSDVMIGVHGAAMTHFLFMKQGSVFIQVVPLGTNWAAETYYGGPAKKLGLRYIGYEILPQESSLYNEYESNDVVLTNPDSVNDRGWEFTKKIYLDRQKVRLNLARFRKHLVRSHFYIMAKRNQVQVQARHRA >KVI03497 pep supercontig:CcrdV1:scaffold_590:187961:202139:1 gene:Ccrd_018214 transcript:KVI03497 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGTTIRDTGSRCDWWVHDSLWLEFEFGEHHNGSSHGCMANAFRPTKKCYVNNRGDKDWHKYEMRKRAGKLGEDVRRSVEEGGVTRMEINPQLNPKVDNQITMENGGVVVVMVPFVAQGHLNQLLHLSRLLSAYNLPIHIVGTTTHNRQAKLRVHGWDPISATNIHYHEYQTPQFESPPPDPNASTKFPSHLISSFKASSHLRQPFAELLATLSPTARRVIVVHDFLMSIVVQDVVSFENTEAYVFHGASTFTTFSYTWEGKGRPCLDDXESYMQLMKIPTLEDVIPAEFWESIMSNYVCHKFNSGNLHDACKVIDHKFIKFLAEEEICGSSKHWAMGPFNPVAIIDDENPSKRHKVLEWLDKRAKDSVIYVSFGTTTTLSDEQIQELAIGIENSEQNFIWVLRDADKGDIFEGEVRKIELPKGFEERVEERGLVIREWAPQLEILAHPATGGFMTHCGWNSSMESITMGVPMAAWPMHSDQPRNATLITEVIKTGISVRDGEDNAIVSSSGIEKSIRTLMGSDEMRKRAGKLGEDVRRSVEEGRVREGEAERERPRGRAERESREGEAVGVGTVAVVTVGLSPLTVADGLSKRREESSRQQRRGGEESSRQQXRGGEESSRQRDWARLKLGAESFGDSSGGFVSRRLNGMGRWSWPPFLSDTSAGPLSGVASTLPGSSLDSRGKSGVVVVVVPFVAQGHLNQLLHLSRLLSAYNLPIHIVGTTTHNRQAKLRVHGWDPISAANIHYHEYQTPQFESPPPDPNASTKFPTHLIASFKASSHLREPFAQLLATISPTARRVIVVHDYLMSIVVQDVVSFENTEAYVFHGASAFTTFSYIWEGKGKPCLDDVESYMHLRKIPTLEGVIPADFLERAVANNVCHKFNSGNLYDACKVIDHKFLDFLAEEGVCGSSKQWAMGPFNPVAIIDDENPSKRHKVLEWIDKQAKDSVIYVSFGTTTSLSDEQIQELAIGLENSEQNFIWVLRDADKGDIFEGEVRRIELPKGFEGRVEERGLVVREWAPQLEILAHPATGGFMTHCGWNSSMESITMGIPMAAWPMHSDQPRNATLITEVIKTGISVRDGEDNEIISSSGIEKSIRKLMGSDEMRKRAGKLGEDVRRSVEEGGVTRMEMDSFVAHITR >KVI03486 pep supercontig:CcrdV1:scaffold_590:109761:117772:1 gene:Ccrd_018207 transcript:KVI03486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MAATKNLEPAFHGVGQKPGTQIWRIENFQPVPYPKSEYGRFYSGDSYIVLQDEAGTAAIKTVELDAVLGGRAVQHREIQNHESDKFLSYFKPCIIPLEGGVATGFKKPEEEEFETRLYTCKGKRVVHLKQVPFSRSVLNHDDVFILDTKDKIFQFNGANSNIQERAKALEVIQFLKDKYHDGTCNVAIVDDGKLQAEGDSGEFWVIFGGFAPIGKKVASEDDIIPDRTPAKLYNIAGGEVKDQIEEITKSALENDKCYLMDSLLKQQGVGQKGQPKVVAPVVEEVPPLLEGNGKLEVWSIDGGAKNPIASEDIGKFYSGDCYIVLYTYHSREKKEDFYLCYWIGKDSTEGRIYQDKEPPQLIAIFQPMVVFKPGIAVKYQKEGTESASFWLPLGGKQNFKSTKVSLETFRDPHLFAFSLIQGKFEIEEVYNFDQDDLLPEDILILDTHAEKYIEWAGSLDGLSPRVPLYKVTEGNEPCFFTTYFAWEPAKSLIHGNAFEKKVVMLFGAGHAAAEGNQGGGPTQRASAMAALNSAFKPGGGGKGPGSPKGPSQGSQRRAAVAALSSVLTDEKKKSAEPSPVGSPEAPEAEAEAAEEASEPAPEAIEDDSEPKVAIEEDENGILTSQSTFTYEQVRVKSENPVPGIDLKRREAYLSVEEFESVLGMSREEFYQLPKWKQDNTKKKVDLF >KVG91898 pep supercontig:CcrdV1:scaffold_5902:11694:17709:-1 gene:Ccrd_026106 transcript:KVG91898 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase 2 MQAQALLQSTPLRVSPLDPFHNRSLPINVKSPSLATRRRAVISAVAAAPKREKDPKKRVVITGMGLVSVFGNDVDTYYEKLLAGESGIGLIDRFDASKFPTRFGGQIRGFKADGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDKRSKIDKERAGVLVGTGMGGLTVFSDGVQSLIERGYRKITPFFIPYAITNMGSALLAIDLGFMGPNYSISTACATSNYCFHAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVMESLEHAMKRDAPILAEYLGGAINCDAYHMTDPRSDGLGVSSCIQSSLEDAGVSAEEVNYINAHATSTLVGDLAEVNAVKKVFKNTTGIKMNATKSMIGHCLGAAGGLEAIATVKAIQTGWLHPSINQFNPEPAMEFDTVANVKQQHEINVAISNSFGFGGHNSVVAFSAFKP >KVI00474 pep supercontig:CcrdV1:scaffold_5908:24852:27706:-1 gene:Ccrd_021278 transcript:KVI00474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTGLGSICEQLCSLCGLLELPILENRQKLTGLGSKENPSLRSLKILNLSYCEQLRSLGGFSEFSALKRLILSNCTSLIEICESIEQCDGLELIDLSYCNEARKLVRTIGKVKNVKILNLDGCNLNEFPIEMSYLELPEMVKANNIVIHSQTSSSAIVEVIPRDFKSSGIYLPSSLVCLSLQHNHLSNESFPMDFSSLFILKELYLDGNDIVSMPSCVRTLHKLEKLSIEDCARLTTIEHPPRTLKHLIFSVARKLGKVVFDQDMSPIKLSGMRGAGSFIEGMFKEEDMADVEEALLHSLGWTNLDFTEIQPIGSKVKMLYGFGIFSTFYVGKEIPNWISKRSKGPSISFTIPSSPNNLRGLNICFVLVVRNKISIYSFVDIKISNIAQTRSWIYTCPVFFKETGEGITYLSHWMFGKNEMGNGDHITISMLKEYDDDDDFSIRECGVSFVYDEDEEEDVLGYYKSWNHIIGRDLSSFQTTTPGEYHLYIGHFLGSNSITSYVEPTVAADRFTGIEYISPLESNGILEFRLFSGFGKVRCLRHGPGEIELL >KVI00473 pep supercontig:CcrdV1:scaffold_5908:2406:11041:-1 gene:Ccrd_021277 transcript:KVI00473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGNSERPGKSQKLTGSCSKDNKRLLRSLKILNLSFCKQLHSLCGFIELPALEKLLLSNCIGLIEVCESIWQCDHLELIDLSYCNEVGKILRTIGMLKKVKILKLDGCNLSENLIKMRDTELPEMVMANNLAIHSQTSFSTIVEIIPRDLGSSLIFLSRSLECLSLKNNGLSNESFPMDFSNLSMLKELYLDGNNIVSLPNCVRGLPRLEKLSIDRCNRLTTLEHPPLTLKHLIMGVSLLISKVVFDREMSPIMLSTWTGCRSLIEGMFKVEDMADVEEEVLRSLGWTNLDFIKNQLTESKVEMQYEFGIFSTRYEGKEMPNWISDRREGSSISLTIPSSPHNLKGLNFCIVFMAIKGYLNVLGEIRISNITKNRTWIYNCFGVFESTREGIIVYLSHWMFGKSEMEDGDEVTATVTVMQADEHIGKSLDVLECGISLVYDDGKKKMEEEEEDVLGYYKSWNHIIGGDLSPFQTTTPGVYDLNRWHFFGTNYINNYKNVLCLELSLKASQHSWWGDASNLIKQYDGLDLIDLSYCNNVGKLLRMINKVKNVKILNLDGCNLGETLIEMRDDVEETLNRNNIVMNSQTSSSAIVEAIPRAFESYLIYLPSSLMCLLLAYNNLSNESFPMDMSSLSMLKELYLDGNLFVSLPNWVKSLSRIEILSIRENCGLESLDHPPPTLKELRFDFDRYGEATFNREMSPVLLKHMVVGGYDGNNIEGIAKVEDMRDVEEKVLRSLGWSHLVNLDFTKIQLTDEEGVKRRVKMVYEFGIFSTWYVGKEMPNCISDRRWEGSSVVSFTIPSSPRNLRGLNFFFAFTPVELTTNDGEFLACILYIRISNITKMCSWMYHPPGWFKGSREGITYSSHWMFGKNEMEDGDQITISTFDNDGCSTRECGVGFVYDEDEDEDEDEEDVLGYYKSWNHIIGGDLSPFLTTTPGHYYLQRYRFVDEESTWSDNRYIRILCLELSAQPSEPTT >KVI00069 pep supercontig:CcrdV1:scaffold_591:178655:181414:-1 gene:Ccrd_021690 transcript:KVI00069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MSKLQSEALREAITQITTDAKEKKRNFTETIELQIGLKNYDPQKDKRFSGTVKLPHIPRPKLKVCMLGDAQHVEEAQKIGLEYMDVEGLKKLNKNKKLVKKLAKKHQAFLASESVIKQIPRLLGPGLNKADFPERANERQFPCFVTEEELAKCEVFVLEDDNGKASSHLLGVFFVFIRVVRFEVGTWKHLPLMGAYRF >KVI00077 pep supercontig:CcrdV1:scaffold_591:48928:56303:1 gene:Ccrd_021680 transcript:KVI00077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 51 MRVAGQQPPPSSPSTLTAKDAESLFRTKPISEIRNVEAATRKQIQDKSEELRQLVGNRYRDLIDSADSIVNMKSSCHSISDNISAVHDGILHSLSSTTINPNSASSNPARARIYGIACRVKYIVDTPENIWGCLDESMFVGAAARYMRAKLVHHGLTSNDNNKNVLLKFPLLQHQWQIVESFRTQISQRSRERLLLDQGVGLEISAYADALAAVSVIDELNPAQVLRLLLDSRKSCVSQKLGSCRVVSEDVIMVFCEVLKVIQVSVAHVGELFLQVLSDMPLFYKTVLGSPPASQLFGGIPNPDEEVRLWKSFRDKLESVMVMLDRDFIAKACLDFLKSCGKEIINRVNGRYLIDAIDSGQQLAAAEKLIRETMEGKDVLEGSLEWLKSVFGSEIEMPWNRTRELVLGNDDDLWDEIFEEAFSTRMKAIIDSGFDEMNNIVNVKESILTIAEGPGDQFDFRAYLNRSPLGGGVWFMEPTSKKAGLMTSCKASSEESDYQSCLNAYFGDEVGRIRMAVDNHCRNVLEDLLRFLESPKASLRLKELAPYLQSKCFESMSTILAELKNEMESLYAALGHGNREDDSSPSSAIIVERSLFIGRLLFAFQKYSRNIPVILGSPRLWLNEPMAAVSGKVSPLLRYSSGMFDSFTSENHGKKMLTSPRRQSSLTASALFGVGDSSSPQLGELRKTTQDLCIRAHNLWITWVSDELSAILSHSLRNDDSLSATTPLRGWEETIVKHEDSAEDSSEMKISLPSMPSLYITSYLFQACEEIHRVGGHVLDKPILQNFAARLLEKVIDIYVDFLFNDEASATRVSEKGVLQVLLDLRFAADILSGGDLSGNEDISKTSKTSKTKTAYRRKQDAQQAKSVMRDRLDGLVNRLSQRLDPIDWLTYEPYLMENEKQSYLRHAVLFGFFVQLHRMYTDTMQKLPTNSESNIMRCSTVPRFKYLPISAPVLSAKGTSKSPISTSMDDVSSRNSWRNYTNEELSRNIDMDDNTSFGVGSRFGESTMKLGSMLTEGQVSRFGDILPAQAAGLLSSFTAGRSDY >KVI00067 pep supercontig:CcrdV1:scaffold_591:126184:126726:1 gene:Ccrd_021686 transcript:KVI00067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin-like fold MVTWSWTSAVVGAATAAATAALLSAKPKDPTFHLVSINITSFKLSIPLIDAEVVLTVHVTNPNAVPIHYSSTEMSIFYAGTLLGTAQVSAGSQPPRSCQLLHLPARLSSKELALHAMQVVADVGKREMVLDATVDIEGVARVGWWGHRFKVHVDSHVIVDPVFLDVIEQENKSDLDLFVT >KVI00070 pep supercontig:CcrdV1:scaffold_591:192310:199318:-1 gene:Ccrd_021691 transcript:KVI00070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MTETSTLIRISFLLSIALDLLVSPITASSYDHRYNVGDNVPLFANIVGPLNNPSETYQYYDLPFCTPGQVIPKKGTLGEALNGFRLINTLYNLKFREDKVGEILCDKKLKRDDVKKFRNAISNDFYFQMYYDDLPLWGFTGKMEDESWMGDGKGPKYYVFKHVQFDALYNDDRIIEIRAFSDPNHAVDITDETEVNVKFTYSVSWNATSNEFKNRMNKYSRASLLPTQRQIHWFSFVNGVVVIVLLVGLLTMLFMRHLKNDLRKHNGDEEQDKEVGWKYVHSDVFRCPPQMALFCAVLGTGTQLLIMVCLLFVLAFFGVVYPYSRGIISTSLVVFFILTAPVAGYKLLQERCVLLSGVLFVGPLSVITLLLNTIAISIGAATALPFGTLVVIVLAYTLVAIPLLGFGGVMGYRFRSKFQAPSATKISPREIPLLAWHRKTLGQMFISGLLPFSAIVLELHNVYATIWSYKILTLPGVLFITLVLLVLITSLLSVGLTYIQLTVEDHRWWWRYMRVAWGCGCHLYVRVLYLLLPFKIKHDGIDAIIVLFRLQFSILLCLLLDARYNQFPFILDLCAAYLRCREKRMNVFI >KVI00075 pep supercontig:CcrdV1:scaffold_591:77505:80966:1 gene:Ccrd_021682 transcript:KVI00075 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MMERSAQKMVKSNDDDALIGLPPGFRFHPTDEEIVTHYLTPKVLNRTFTAIAIGEADLNKSEPWDLPKKAKMGEKEWFFFCQRDRKYPTGMRTNRATESGYWKATGKDREIFRAVRKLVGMKKTLVFYKGRAPRGEKTNWVMHEFRLEGKFSYYNMPKPSQEEWVVCRVFHKTTGAQKSPVSDHGLNSYVEDLLDSPSLLPPLMDPSAMNLDPTFATNPICYFSHTRPEKLEDNQDYKNILVSSCNYNFDTNTYQTDLSNQYVSKNLPYLASTSALYWSNTDFKKEKDQHCIGSEKTSVSRDTGVSNEMTATTTETSFTSLLSKDGLRHTEYDPDDHVGTSTFSELDSLLEY >KVI00071 pep supercontig:CcrdV1:scaffold_591:168493:171903:-1 gene:Ccrd_021689 transcript:KVI00071 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin, iron-sulfur binding site-containing protein MSSIRLPTQSMVRSSPQNLSRPSAFLKRPFTLPTVKSVSKAFGLKSGSSFRVTAMASYKVKLVGPDGEECEFDAPDDCYILDSAESAGIELPYSCRAGACSTCAGKMVTGAVDQSDGSFLDDNQMKEGYLLTCISYPTSDCVIHTHKEGDLY >KVI00068 pep supercontig:CcrdV1:scaffold_591:102665:104363:-1 gene:Ccrd_021684 transcript:KVI00068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MDSSRSAKESGDIAIPVREIKSLKSGKGGPPVPTTVRLGDQPPPAAGWKRGVGIIDLVLRIFAIAATLGAAAVMGTTSQNLPFFTRFFQFQASYDDFPTFTFFLAGNAITCAYLVLSVPFSIVCIVRPHIVGARMLLFLFDTLALALTMAAASAAAAIVYLAHNGNPNTNWPALCQQFNEFCPRVSGAVVGSFLAALILVVLVVLSAAALRRN >KVI00065 pep supercontig:CcrdV1:scaffold_591:40819:46577:1 gene:Ccrd_021679 transcript:KVI00065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase MIGTVSLGSSIVILRNGAGNLLGNDKNYPWLSKKKINCLLYCQSEGNMNTTNGVSSVLTKRSVLVNADPGTALLDTESLVLAPNGNGQPDSCNNTATKDLLPYNGAQNASMMDMNENGIGIVSILKGKRFFVTGATGFLGKVLIEKILRTVPDVGKIYLLIKAKNMDAAMERLKNEIINTELFKSLQQAYGKSYQSFMLSKLVPVIGNVCESNLGLDEDAAEVISKDVDIIVNSAANTTFDERYDVALDINTGGPSRLMSFAKKCKKLNLFLQVSTAYVNGQRQGRIMERPFNAGESIARESLIYGNQEVMTIPKLIVEDEIKLVLESKQAFGENAVAQKLKEFGLERAKLYGWQDTYVFTKAMGEMMINKMGGDIPIVIIRPSGQLSGFLVDPNGVLDVVPADMVVNATLAAMAKHVTGGKSEENYVYHIASSVVNPLVFKDLARLLYEHFNSSPCLDLKGRPVNVPIMQLYRSMEDFSAHLWKDAINRSGLTASGSTNKGKFSLKLENICRKSVEQAKYLANIYEPYTFYGGRFDNSNTQKLMGMMSEEEKRTFGFDVGKIDWRDYISNVHIPGLRRHVMKGRGMCT >KVI00074 pep supercontig:CcrdV1:scaffold_591:88077:99714:-1 gene:Ccrd_021683 transcript:KVI00074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MGVVKAAIGDGVLTFMWVFCASTLGAATSVIATAIGIQGMASLLITIFLIFILLFIFGIIGHALGGASFNPAGTAAFYAAGLGGDTLISAAVRFPAQGPKSPLMKNWMLSMSIVTLIIAGSGYTGPSMNPANFSSPDQIDKMLKRSRQQQFNESLSDLLYRYRGVILVISVPLLLICFVLLLMPSAGSQSDAVFPSNRKFLPNYGGTDPSLNSYAVIFDAGSSGTRVHVYCFDQNLDLVHIGKELEIFVQLKPGLSRYANDPKGASDSLLPLIEKAENAVPQDMRQNTPVKLGATAGLRQLGFDESEKILRAVKDVFKRSSFKSNDHWVNVLDGTQEAAYQWVSINYLLKTLGKKYSDTVGVVDLGGGSVQMAYAISEEDAAKAPKPSTGLDPYVKEMLLMGTKYYLYVHSYLHYGLLAARAEILGTSKDSHNPCVMAGYQGIYSYGKTDYKVSAPPSGSSMNKCREEVVKALKVNESNCNYRKCSFGGVWNGGGGDGQKKLYVASYFFDRAAEVGLLDVTKSVAKIRPSDFQAAAERVCLTRYRDAKSIYPRVESSNLPYLCMDLVYEFSLLVDGFDLDPLQEVTLVKKIKYNDALVEAAWPLGTAIEAVSAAPT >KVI00066 pep supercontig:CcrdV1:scaffold_591:135416:141002:-1 gene:Ccrd_021687 transcript:KVI00066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MEGRKVVLSALQFACADDVATNVDTAERLVRSAHEKGANIILIQELFEGYYFCQAQREDFFQRAKPYKEHPTVLRMQALAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGLYRKSHIPDGPGYEEKFYFNPGDTGFKVFQTKFAKIGVAICWDQWFPEAARAMVLQGAEILFYPTAIGSEPQDEGLDSRDHWKRVMQGHAGANLVPLVASNRIGKEVIQTEHGNSSITFYGNSFISGPSGEIIAVANDKEEAVLIAEFDLDKIKSKRHSWGIFRDRRPDLYKVLLTLDGHNPSS >KVI00072 pep supercontig:CcrdV1:scaffold_591:149184:153816:-1 gene:Ccrd_021688 transcript:KVI00072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAAIFRTLLLLLTISESFVGIFSIGVGVNYGRIANNLPSPSHVSVLLKSLNISRVKVYDADPTVLGAFAGTNVEFIIAVGNDLLQKVRDPQQAQTWVQQNVQPYISQTKITCITVGNEVLGGQDQLAATYLLPAMKAIYGALVDLGLSKQVYVTTAHSLQILATSFPPSRGAFKEDMVQYIQPILNFHAQVDSPFFINAYPYFAYKSDPNNVQLEYVLFEPNSGAVDPNTNLKYDNMLYAQIDAVYSAIKALGHTDVEVKISETGWPSKGDEDEPGATVQNAGIYNRNLMQRMQQEQGTPAHPSQPIDIYLFALFNENQKSGPTSERNFGLYYPDGTLVYSLGVQSYVLPRMDYSSSKKNALSIFSFLILLLGSLLFAKDR >KVI00076 pep supercontig:CcrdV1:scaffold_591:58242:64537:1 gene:Ccrd_021681 transcript:KVI00076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGYIVAYSTLSNTIPKYQPGPTIFFRTDGELPYRRGESHGEFLQSLKKGRSLYCEAEMGDMDPNSLRCRHCAGPLTKEMETCAWTVGPLIRDSFSMIGSAVGGVSSAFYGFNLVMPVVQRRAPPVIVFSSACAGLAGGAIPALAQLASSSYHAAVSSPPSLSAAPPRDDDINKSKSSSTI >KVI00073 pep supercontig:CcrdV1:scaffold_591:107684:108868:-1 gene:Ccrd_021685 transcript:KVI00073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAATMLARMLLFVLALSIVEFSTSHVLKSSVNCLDCLAGSDLAGIKILVKCKQVKNLAMATTNEHGAFETQLPSSNCEAKIMGGPKQLYISRNTMVTSITDVRETDSYTTSRPLSFYTSCPLSQTNDGKCGATNDSTGRNVRSSKTVDLPLPREWGLAPSSYYVPFVPIIGIP >KVI02771 pep supercontig:CcrdV1:scaffold_5910:13479:14480:-1 gene:Ccrd_018939 transcript:KVI02771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MASCFYEFRWSLIAGRIPGRTSNDVKNYWNTHLRSYAKQQKKEPEDAKSSKDCTMVTIIKPQPHTLSKPLYWFKDDNQIVETHNNGTLIRSSNDGVDNNYNMSPGLISSPTVLDNTINGFLKELFDEGEKIIDSEIGWSFDGSQTKGEALNVAEDNENSFFDFSTDEVMWNLLDSEQL >KVH93558 pep supercontig:CcrdV1:scaffold_5911:4998:5159:-1 gene:Ccrd_004390 transcript:KVH93558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSGRKTWWQVVPCRTKKSLQTELRMGIDQILKAKLETIKEESELCEDHNTAQ >KVG91777 pep supercontig:CcrdV1:scaffold_5913:25231:27106:1 gene:Ccrd_026110 transcript:KVG91777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MFAEAEEDFVEILFSFFTLPLGTIARLSHTLADSQSTKVGSLTSLYESVVNLNDQHFSNKHSKDALVIPNNSSVSVLRKLKINLDDTKPVSNTTVVGSQDDAVFVKKKTSFIITDDLNVVPVLLDSSIELLNSLGWSLLTKSPLTNLVLPRSKSCSCSSSCLCCCSYSSSIANSTLSNSTSISKNSGQSQTIKLLVQKSKKKVLCAQVENFFVELLFSFLTIPLGAVKRLTKDNSSPMGIDNLYNSISSFGDRKYLKSEDVTTMLLCPKLAANYLRVTDFLPIYDVNTSKGHFLKEQTTFIISDDLEITVSPSISTISKFNTLGISVGDI >KVG91779 pep supercontig:CcrdV1:scaffold_5913:12955:18474:-1 gene:Ccrd_026109 transcript:KVG91779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pescadillo homolog [Source:Projected from Arabidopsis thaliana (AT5G14520) UniProtKB/Swiss-Prot;Acc:Q9LYK7] MPKHYRPAGKKKEGNAARYITRSQAVKYLQVSLSVFRRLCIFKGVFPRDPKKKVKGNHHTYYHMKDILFLKHEPLLEKFREMRAYEKKVKKAVSKKNKDLAERLLTRKPTYTLDMLIRERYPKFIDSLRDLDDCLTMVHLFAALPAIERENIQAERIHNCRGLSLEWQAYISRTHKLRKVFISVKGIYYQADVEGQKVTWLTPHALQQVMPQDVDYKIMLTFLEFYETLLGFVNLRLYHSINLKYPPILDPRLKALAADLYALTRYVDAKDQTKGANIEESELRLAQLQHQLPANEPGALMNLVENAADENDEDEETKACKTLFKDMTFFLSREVPRESLLFVITAFGGVVSWEGDGAPFEESNQSINYQIVDRPTQSHRVLPPHLSPFVDNEAEGYVPEYAETIKRLQAAARKEILPMPGAEQDDLENAQNLLVEGIIDRTKAKEAAERKRKMSILQEQYHQELKMEVEGTQYTSLPTTEIKKKIAEDTDDNALQQFAEDDDNMSKVAMSRKKRRLYEAMKISQARKKASVDTLETRKKNIKKSKKTTSE >KVG91778 pep supercontig:CcrdV1:scaffold_5913:6213:17360:1 gene:Ccrd_026107 transcript:KVG91778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDRDYDDHFIPRDLGIGTHSLEDGDSVAEEDEDEKEDDTCGSQSLTDHLDKSVCLLLPEVLPFLRQHKIDITINNPAPAPAPIPWKKDCQSSPELGTGDATCTTDLLQLLSNGNPHNPLFPLYDDSEKSVQAAAARKISLDLTTETIVSQVQHPKVATRFSETLWEIRIETIVRVVDEGSSCWGNRNLPTEEKSEERSRTSRPVSCDNASGIWPEKSEFDKFKYFKPARLPNPAGICMKLNLFPARFRYSRFSRSNRSLESSIPPDIGSLLKSRTTTWPLELQLTPLQVQQSVVTFGFQDTSFVEAEELNWSFSGTKCFWEHTIETKIVIYSGKMQANLSLQETKNVVENVHSLLLHCQRTETNVVAEPYLTEKATKMQHQKKKTRVGNLKLKEHIPSTVVDSLCLNIFPLYG >KVG91780 pep supercontig:CcrdV1:scaffold_5913:6914:10060:-1 gene:Ccrd_026108 transcript:KVG91780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSKIMVAMRTQLCFQIFFILFHLILFGVNPILVSGRCQIGQRSILIQLKNKLQFNSSASTKLVSWNPNVTTDCCTWRGVNCNSRGQVVVLDLSNEPISGGIDDSSDLFDLENLEYLNLAGNKFNFIQIPAGFGSLAGLKYLNLSNSDFSGQIPEALSQLTGLEVLDLSSLFSYEIRSLKLEKPNLTTLVQNLTLLKGLYLDNVNISAQKSDWCQGLSSSLPNLEVLSLSNCQLSGPLDDSLANLKSLSIIRLGLNDLSAPVPDFFADFRNLTVMHLGACHLNGKFPEKVLQLXXLQTLDLSVNTDLNGSLPHFPTNGSLXILVLXNTNFSGGIPDSIGNLKNLSRIELSXSNFSGIIPKSMENLTELSYLDLSSNSFIGQIPSFQLXKNLTHIDLSRNSLSGFIPSAHFQDLQNLVLVDLRFNAFNGSIPSSLFSLQLVQKIQLSNNBFDGELANFSNASASLLDTLDLSSNKLEGKIPRSFFELRQLSILLLSSNKLXGKIETKDFQSLTNLTTLDLSFNNLSVITNSXISGLSHLPKLFSLXLAXCNLQXFPHLQNQSRLINLDLSXNKIDGEIPSWIWTVGNGSLSYMNLSHNHLTSLQKPYRFSDLSVLDLHFNNLSGEIPIPPTTATFIDYSDNRFDSDLPESIGLSLGMAYFFSVSNNLLTGVIPNTICNASYLKVLDLSNNRLTGRIPQCLTESGGDLGVLNLGNNGLSGQIKGNFPSSCGLNTLDLHGNFLEGKILPSLVNCTMLKVLNLGNNRIYDTYPCFLGNHTNLRVLVLRSNRFHGSMHCSEASNDKWPKLQILDIAHNSFSGEVPADFFWQWGAMMSGEQSRKKHLSFTVLQLNDLYYQDTVMVTVKGLELELVKILTLFTSIDISSNHFSGKIPSTIGRLKELYLLNVSHNDFKGSIPSSIGNLSQLESLDMSSNQLTGEIPSLLIALPFLSLLNLSNNQLQGEIPTGSQFQTFSESSYKGNKGLCGFPLDRSCNKSVVHVASPVPSSGDDWQSFFHGMGAGAGAGLLIVISILCCLRKGSTSGRSRQTDLSR >KVG91775 pep supercontig:CcrdV1:scaffold_5914:21644:21991:1 gene:Ccrd_026111 transcript:KVG91775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPKGLSSIHCELKIMNAKNIQATNSNGNIFVRCYLSVGNDKRVRLESQRVSPNGDFSCDESFSLDCTGTNQTMDMIIHGTIALELRWRSNAVALFGGSRLLGRSEVTWRSVFE >KVH92063 pep supercontig:CcrdV1:scaffold_592:5449:17444:-1 gene:Ccrd_005904 transcript:KVH92063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase MDLMLVPYSSDPSLNLIQWPPFLLASKVVWSPKYSYVPVALDMAVQFRSKDADLWKRICADEYMKCAVTECYEIIGIIIKDVESNISKNTFLTNFRMGSLPTLRNQFVKLVESLKEADESKHNTVVLLLQDMLEVVTRDMMVNEIRELVELGHGSKDSGTQLFEKATDSKPAIAFPPPVTAQWQEQVTCIFPSHVLCIKCAWTNTHSNISILILGLQLQMIKRLYLLLTVNESAMDVPKNLEARRRITFFTNSLFMDMPRAPRVRKMLSFSMIVVINWNCSVMTPYYSEETVYSKSDLDMENEDGISIKFYLRKIFPGSDNLNCALLMYTSSSMNLYLCGYREMNFMERINCKEDSDIFESDENILQLRHWVSLRGQTLCRTVLMDFSFPVRGMMYYRRALKLQAFLDMATEKEILEGYKAVTIPSEDEKRSQRSLYAQLEAVADMKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEEREGGKSQKVYYSVLVKAADNLDQEIYRIKLPGSAKIGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASKGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTTGFYFSSMLVVLTVYAYLYGRLYLSLSGLESAIMKSARIRGDAALKAVMASQSLVQMGLLMALPMVMEIGLERGFRTAMGDMIIMQLQLAAVFFTFSLGTKLHYFGRTILHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFTKAMELFILLVIYQAYGSAAASGSDFFMLTLSMWFLVVSWLFAPFLFNPSGFEWQKIVEDWEDWSTWISKRGGIGVPANKSWESWWEEEQEHLQYTGLIGRLMEVLLSLRFFIYQYGIVYQLKVSQSDKSIMVSTTCLTEIASSLKTNLFNEKETLMQIYGLSWLVILAVIVILKIISMGRKKFSADFQLMFRLLKFFLFVGLVGTLVVLFQFLGLTVGDIFASLLAFMPTGWAILQIGQACRPVVKGLGMWGSVKALGRGYEYMMGVMIFAPVAILAWFPMVSDFQTRLLFNQAFSRGLQIQRILAGGKKNK >KVH92065 pep supercontig:CcrdV1:scaffold_592:149066:149960:-1 gene:Ccrd_005911 transcript:KVH92065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MADDQSNIIITPHRRSQMDPGQPLHKYRDAFCFECGSTDHEFKLLLCDMCDGAYHLLCARPMLFRVPNGPWYCTSCAHLSRPLKRSYKLKKCRARLQQLRLRAGMKKFKREHE >KVH92064 pep supercontig:CcrdV1:scaffold_592:17459:19384:-1 gene:Ccrd_005905 transcript:KVH92064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase MDSVTVKISAPQIKPLVEPTRNIMKIKRVQYAWHEFFPQVVVAAVTIISLILQWHDMLHNFTRFTLWTLKFGMLYFQLCAVVLLGPLIVWERCASAFGNIRTLTMLRSRFQSIPGAFSAYLLPTDRVKRKGFSLSKRFAELTRKGMIHENVTPNKRTEAAKFAQLWNELVCSFREEDFISDRKGCSTNFLLCLRPLGFIQNQNSNLAMN >KVH92060 pep supercontig:CcrdV1:scaffold_592:113279:120243:-1 gene:Ccrd_005909 transcript:KVH92060 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein MVEDLLKLSSPIPKPKPKPWSETTWFFGRWFIASTLTAHVSTSNDLLPCQMLTLPTPTPTSTSLVIYPSFLALVRQISPSKSLHRSRINPPAIPKMPKFSLNLSAMAGHRPPMALAAHRIQSSPRKRRQQKYRSMKDIMKTAKHVVLVNDDDDDDDYSDAVCVICGSGHDDHQILLCDKCDKAYHMHCLRPIVARVPFGHWYCPTCSDHPPALTSFSQTKIFDFFSIKKCSGSTMKRISPQDPRKRKKRTGPSVHQKRKRRLLPYVPSVDPDCRLQQMQSLAYALTSLNLEFSDDLTYPPGMAPRSANQAILEHGGMQVSIDSHHSFKFIALFVSRMNLTVLSKEDFETLEKCRAMAKRGEYPPLLIVYNSCLGYTVEADDLIKDLTLIAEYAGEVDYIRNREDDDGDSMMSLLLATDPDISLVICPDRRANIARFVSGVNNHKAESRKRQNVKCVRYNVGGECRVLLVATRDILKGEILYYDYNGYENEYPTHNFI >KVH92066 pep supercontig:CcrdV1:scaffold_592:141656:142039:-1 gene:Ccrd_005910 transcript:KVH92066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLDVLSKFAEQSDRRIIVERLHPLEFNQRLHEYRSLIARCRNCGSGDNKDQLLICDKCGQGYHMSCLFPILDRVPVGPWYCRICYILSRRPKSTQRNSFNSFSPYVDLYNRRRDHFIFFSNLGSY >KVH92061 pep supercontig:CcrdV1:scaffold_592:19422:19683:-1 gene:Ccrd_005906 transcript:KVH92061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLYCALSGSLQPRIYVGRGMHESQFSLLNYLKLTAAYICQYQVYSLLGGSSNCEVFAFSL >KVH92062 pep supercontig:CcrdV1:scaffold_592:43992:45673:-1 gene:Ccrd_005907 transcript:KVH92062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase MNISGTVLKELVTENMVLNLRISQIKKNSSPDCFALGWPMRDDGDFFKSTRDMAQVLLYSINISDFNLLKDFFFKYINGKQPSQKAGSLGKLYFVETRSFWNTFRSFDRLWTFFILALQIMIIIAWSDVSISGVFEKQMLYNLSSIFITAAFLRLFQSMFCFLELLWILVHYMLIITSIPFEIASLRKKC >KVH92059 pep supercontig:CcrdV1:scaffold_592:45679:52228:-1 gene:Ccrd_005908 transcript:KVH92059 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MEEGGVGKNTGKPMVSSSSRGVNYSGPQGLARRPSRNAATTTFSMEVFDNEVVPSLDRNSSGRGVRQFKTSLLQRLERDDAPSVAARVKKTDAREIESFYKQYYEKYVKALDQGEKADRAQLGKAYQVAGVLFEVLCAVNKSEKAEEVPADIIASARDVEAKQVIYAPYNILPLDSAGESQCIMQFEENQQKSGELDLLDWLKAMFGFQASSGCLDIRFTDWFICLIACFTLCFQKDNVRNQREHLILQLAHPQARLMPKPEPSLLKPVLCRLPQGREEMQQRKLLYMGLYLLIWGEAANVRFIPECLCYIFHNMACELHSLLAGNVSIVTGENVKPSYGGDDEAFLCKVITPIYRVIDKVLLLQPHAHILKLDVLFLRISNDAYFNDQGNQEKQKREGPPLCLVQLR >KVH96475 pep supercontig:CcrdV1:scaffold_5921:4229:8679:1 gene:Ccrd_001439 transcript:KVH96475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLLSATIISELQKKKQNKKEEQKRLDEEGAAIVEAVALQVLIGGGTGSKRPYIYRRSGNGNGWILKNGGWGEDGCLEYVDEISAQAVSGLQIADDEDTNGYVYNRMSID >KVH97338 pep supercontig:CcrdV1:scaffold_5922:13877:24120:1 gene:Ccrd_000558 transcript:KVH97338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase MFNSKLSFLILLLLLPLSSSSSSPEAIQGLVERLDTQRPSPFLQESAAKALLRRLLPTHLSSFEFKILSKDACGGYSCFWITNYENSSNKSAEITVQGTTAVEIASGLHWYLKYWCGAHVSWDKTGGIQIASIPPTGNLPLVKKDGIMIQRPVQWNYYQNVVTSNSYVWWDWERWEKEIDWMALQGINLPLAFTGQETIWQKVFMDFNISAQDLNNFFGGPAFLAWARMGNLHAWGGPLSQNWLDQQLALQKQILSRMIELGMTPVLPSFSGNVPAALREIFPSANITRLGDWNTVDGNPRWCCTYLLDPSDPLFIQIGEAFIKRQIKEYGDVTDIYNCDTFNENSPPTSDPAYISSLGSAVYKAMSKADKDAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFADVKPVWESSSQFYGTPYVWCMLHNFGGNIEMYGVLDALASGPIDARISENSTMVGVGMCMEGIEHNPVVYELMPEMAFRKDKVRVEEWLRVYSRRRYGKSVKQADAAWEILHRSIYNCSDGIADHNTDYIVKFPDWDPSLNTYSTFSKQNRTPSFITTHRNRRFILTETQSTLPQPHLWYSTRDAINALKLFIDAGQDLAGSLTYRTQITMWYDTTKTNQSQLHDYANKFWSGLLVDYYLPRASMYFNRLSESLRENTRFKIVEWRTEWISYSNKWQQDTKLYLVKAQGDALAISTSLFQKYFG >KVH97339 pep supercontig:CcrdV1:scaffold_5922:2063:12156:1 gene:Ccrd_000557 transcript:KVH97339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MEYFGSKSLTCLLLLLLTLESELFIAPVLSKSIVSTLPGYPGELPFKLETGYVGIGEKEDAQFFYYFVESEGNPEEDPLIFYLTGGPGCSAVITFFYQIGPLTFNFDHAPENLTIERNPNSWTKMANVIFVDMPAGTGFSYATSKEGWISSDSIIVKQATDFLRKFLIDHPKFLNNPLYISAISYMGIVTPSITLELYNANERGDQPALNIQGYILCSPLTDKFMDFNSRIEYAHRMALISDDIYKSATENCRGNYVNADGANSICKNSLDRYQEEYYYDFAVDWANEEAVQQALNIRPGTIGKWEFYNTTMHYREDKNDTFCYAYDMFSSFAYHKKLVSKNCRALIFSGDHDMTFPYVGIEDWISALNLGIDRPWKPFYVDGQVGGYDMTYAQNDYSLTFATVKGAGHSVAQYKPREAYVLTERWLASKSYSSAI >KVI11294 pep supercontig:CcrdV1:scaffold_5928:7838:13947:1 gene:Ccrd_010297 transcript:KVI11294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPNLYGNGMPVAFVNEMASGGIAKAKGKIYLSNVRMVFVADRPTGNFIAFDMPLLYVHGEKFNQPIFHCNNISGLVEPVVPDDQHRALYSTHSFKILFKEGGCGTFVPLFFNLIKSVRQYNQQFSAATEPRGADPLRAAQTPVDEMMRCAYVDPNDPTRIFLQQPNSEAQLRRRSYHPQPE >KVH91304 pep supercontig:CcrdV1:scaffold_593:92787:96769:1 gene:Ccrd_006678 transcript:KVH91304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAGKVGQQSIQTSSTASTFMNADLELGMVLDDNVQTEVKVPHEILGAPRKSNNEQVKEDAVEHNNVKGYSLGIRTRTSPKALWETVKALNSNQKAAIKEMGFDALLDMTLDGIPSKLGHYVVDMLDTSTMTIQLRDGQIPVTVKSIHDVLGLPTGGLDLNLIEPSKCNDAIVSAWRKQFSKDRMRPKDVMNVVQKSDDTGVMFRISFLVIMVNTLAECSRVSVCNLGFLRRVHNLDMIPRIDWCRYMLYVQATTCDGIQNQQQSYPLRTWTLDLLRRRQDLELSRGGFGYTKMKCTKPVERGAYDQTVVDHHTAEERPESSKAKEAENQNVTKEECIIMMGERIAELCSARKEADTMLQEYVERFPGDTCFDQFKQDLASMFKGSMWESQKDEGEPRDKELSLVHVTPPKMTTTSDPVMLSPLSQFWTSPTVIAEVDWASNERATIATKGVGCNTYPKLLERVKMTSLEAPLESVGRVRSRSIDESAPAFDLGISPSKEEVIACIDSSKAIGGQENVRSEIPKRDPKLIFKLRAPYVTRAVTFEVSSDERKMQDWILRGVGGIL >KVH91300 pep supercontig:CcrdV1:scaffold_593:156678:158162:-1 gene:Ccrd_006682 transcript:KVH91300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MNDLMTKSFTSYVDLKKSSMKDQDLEAGPDLDLQMQTIQQPDHNLTSFLQEAELVKQEMNSIRETLAHLQSANQESKTLHNPDALKSIRRRINGDIVTVLKKAKTIKSRLEEMDRANAESRRLSGCKMGTPVDRTRTAVANGLRKKLKELMMDFQGLRQRMMSEYKETVGRTYFTVTGEQPNEEVIEKIISNGTDGHGGEEFLSRAIQEHGRGKVLETVVEIQDRHDAAKEIERSLLELHQVFLDMAVMVEAQGEKMDDIEHHVMNAAHYVNDGTKNLKTAKGYQKSSRKCMCFGIILLLIIILVIIIPIITSFSKS >KVH91301 pep supercontig:CcrdV1:scaffold_593:163858:165726:1 gene:Ccrd_006683 transcript:KVH91301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQMGVGCVALVRISATPRKGILLLDSMHPSFLLWLGFYNSMDNNLLSPNSISKSLSTTPARSGRYVKGFDKLNDAVFLFNRMIHMKPLPLLFEFNKLLGDIVKMKHYSTAISLINKLWLLRISVDAYMMNIAINCFSHLRRIDLGFAMFGCIVKCGHEPLVSTYNTLLKGLVLEDRIFEAEVLFKKLIRERICEPNEVTYGTLMNGLCKAGNTNMAIRLLAYMEEKGCKPSKEGYSTIIDGLCKDRMVDDALTLLAEMIGKRVFPNVVTYNSLIYGLCNLGRWEDAKQMLREMDIRKINPDMHTYNILMDAFCKEGMIEDAESVFCIMIEKGEQPDVVSYSALIDGYCLRGQMKEATAVFNTMIDKNIEPNIITLNSLINGHCKRSNIDEAMKLFKEIARKKLEPTVSTYSTILYGLFKVGRSKDALELFEHMQSIGLTPNFITYSVLLDGLCKNHQVDKALSLFRIIIKNGIHPNVAMYTVLIDGSCKNGKLDTAMDLFCELYSRGLHPNIVTYNAMINGFCNKGLIEEAKEVVIKMEKNGVFPNSVTYNIILQGILRWKKPKDVLSHLKEMDARGFSIDASSFYLLLHMIPAKEQDSNFADLIQKLVPNDVLKSKFQPAK >KVH91302 pep supercontig:CcrdV1:scaffold_593:12200:12493:-1 gene:Ccrd_006676 transcript:KVH91302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIKETLRPLAELRLVFLYMHECPVTRVKDYRSRVCGLIKSLKYLDKINVDENERPESDDDDEDEDEEEDPGSREIDGEEGTNRLANEEDDRATHR >KVH91298 pep supercontig:CcrdV1:scaffold_593:126965:127270:1 gene:Ccrd_006680 transcript:KVH91298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MDKRGFKAIVVTYNTIIDSLCKDMMVDDALKLFKEMIFDKGILLDVVAYTSLIRGLCSLGRWDDVSKMLKEMEDERISPNVVTFSIYISRCICKEGKVEDA >KVH91303 pep supercontig:CcrdV1:scaffold_593:108721:117214:-1 gene:Ccrd_006679 transcript:KVH91303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEIEASSGVRILLFDDFYMVSEPHTLCWIYSCCGCEKLFVAKIDLKLQCCSRSGSFIQIPLFRS >KVH91299 pep supercontig:CcrdV1:scaffold_593:129097:129406:-1 gene:Ccrd_006681 transcript:KVH91299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MASCRNVEGKKSWPELVGTRGQAATIIIERQNGNVDAIIVEEGSVVSTDIRCDRVRVFVDDNDIVKEVPKVG >KVH91297 pep supercontig:CcrdV1:scaffold_593:182167:186700:-1 gene:Ccrd_006684 transcript:KVH91297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plus-3 MLNLNLDSLPVSGFSVSCNIWLMTDVNDPKADSGLAINITNQWKNSSTAAGAGANANSRVDLMTFVGSDTLAELVWSPGTGLSIKFAEKKPCFTCEVGPSNMGFLQSEDTQDQKHVNSSSTVETSTSRSHGHAGLQNQRTSVPSSERLFVDGMTSGVDKSGSDIISPLKIEASAQCGPHEHVEMAKMDKDGCSSDPFRENAQDVEQADFFPGEDREGSIYCREKDQKHEVESHGSMESCKNATSVAKRKRRLRLEEQLILGSKRIKKQADHDQCMVKEDKSFVNWMSNMLKGLKEYKNYDQRMSVYDETRGFESQKMGFQNFFQSLFLPDVKAHDQTIKLIENNYVGSSKEIDKCGRGSIDCLEIEEKEIKGVVEHISLYERVTKEAPKGMFDTIRRLRLSRTDIMKWTNSRLSVAHLDGFFVRLRLAKWEEGAGGSRYYVACVTGFKQSIRVKVGRLECFVESQYVSNCDFLEDELIAWWQETSKNEGIPGVKDLKSKLAERRTLGL >KVG91467 pep supercontig:CcrdV1:scaffold_5932:9620:12377:1 gene:Ccrd_026112 transcript:KVG91467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase SnoaL-like domain-containing protein MILKSFPSTTTPLNSTSINPLFFDTTIFHDNVSYVNSSKPITEFGFGFQKTHHLKRTSSQLPLALRGSNGGANKAMETVLKLYEAIKSKDVNEMSEIIGHDCLCICNFVSTFRTFRGKKQVMEFFSSLRGNLGNNFEFVVQPTLHDGMTVGVSWKLECSKTHKPLGKGFSFHMCHMYQGKVLIRNVEMFVEPLLHIEPFGLKIAAFVASLTGQLVSQAILKGDKKKAAYYFFSLVALAILFIV >KVH96925 pep supercontig:CcrdV1:scaffold_5933:22318:25276:1 gene:Ccrd_000982 transcript:KVH96925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MAGVSLISRFPSSSVLALSYPKPSSRFSSFLVNSMSFPVKNSAKSKLLFAGGVQKKFNFTVRAGTEENWEPESNESSSAVAEEEPVPYKEPTEIDLLKKQLVDTFYGTTRGLSATSETRAEVVELITQLEAKNPTPAPTEALSLLNGKWILAYTSFLGLYPLLSRGTLPMVKVEEISQTIDSENFAVQNCVVFSGLGSTTAITTNAKFEVRSPKRVQVQPREQTFHCFIR >KVH96474 pep supercontig:CcrdV1:scaffold_5934:8021:8904:-1 gene:Ccrd_001440 transcript:KVH96474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASIEIEIPSSYPADKVFKVFSDFDNIAPKVNPDVFKSIETIEGNGDVGTKKIFTFGDAVPFTSGKYKVDAIDTSNFSYSYSFFDGDCLMGILDSITHHIKVVPCDGGSKFKQTVIYNCKGSDKPSEEILKAEKEIYEKTYKAIEAYGAAHPESY >KVH89691 pep supercontig:CcrdV1:scaffold_5936:5624:9562:-1 gene:Ccrd_008316 transcript:KVH89691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MFAFGDSLTDNGNNNYLNSLAKANYVPYGVDFYQGLPSGRFSNGKTIIDYLGDLLGLPLLPAYASPDATGRSIMQGVNYASASAGILDETGRNLGERFSFRQQVENFASNLNQLKNEMEGEQLSQYLRKSLAVLILGSNDYINNYLLTPLYPTRYIYTPTSYTDLLIQQYAMQISALYSLGLTKFFIAGIGPLGCIPNQLATNSAPPGTCASFANDLAVMFNTQLRSLVIQLHKNYTDAVFIYGNTYSAVTDILNNPNTYGFTVTDRGCCGIGRNQGQITCLPFSAPCINRDQHVFWDAFHPTQAVNRILAEKAYNGPTSDCYPMNVKQLAEL >KVH89690 pep supercontig:CcrdV1:scaffold_5936:1532:4139:1 gene:Ccrd_008315 transcript:KVH89690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTRFPIRYLIVILTFICTSVCYIERVGFSIAYTIAADAAGVSQSSKGTILSTFYYGYAFSQVPGGWAAQKIGGRRILLFSFVIWSLTCALCPLDPNRVLALIITRLLVGVAQGFIFPSIHTVLAQWVPPHERSRSLSVTTSGMYLGAAMGMLLLPSLVKFKGPQSVFLAESALGGTWSLLWLRFASDPPRSDHPKATAAGFGESLLPIRETQKLKADRTSQIPWRKIFLSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLKFSLQEMGSSKMMPYLNMFIFSNIGGIIADHMVTRRILTVTNTRKVLNTVGFLVSAFSLMALPLFRTSCGVVFCSSMALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVELTGQLLEAAKVNNSDLSSPDSWRAVFLIPGLLCIASSSVFLFFSTGERIFD >KVI01508 pep supercontig:CcrdV1:scaffold_5938:10371:15138:-1 gene:Ccrd_020220 transcript:KVI01508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGRGRGRGGFGFGVGRFAKEEKYELFPEIKELPDVNLKQKEEEFRTLVSCGNDLQKFWISSPYHLEEVSQDAERSGGIIRRPPLSDYMKMTDDYVPAELVARNVRQSKKVRWDPQSDLQRLDLFEKLDRGPQGPDDGDKEKKEDEDEDEDNENNIDFDDDEDDFNMPDDVGGDEGFY >KVH99920 pep supercontig:CcrdV1:scaffold_594:146084:148076:-1 gene:Ccrd_021844 transcript:KVH99920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 RIAKGCKYCRRDDASSCLVRFGDREVLVDLVGNPGCLCEPDSLINGPSSISIFSPLHFPRFRHINSVVDFESLARRYFVDCESLNLVFEDPPTSDGAFGGALFPRQSDRNYVDLDMVSPINNEGNLDVEVFDIPWTNMALKEKIGE >KVH99917 pep supercontig:CcrdV1:scaffold_594:101726:103530:-1 gene:Ccrd_021838 transcript:KVH99917 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MASKDSKLKNISVVSDHDTSSGDDDKKETRVDISFDKLNLGRKKKLLVIPLAGILVHRAYRFKPTTIPTHRSPDFSYGKFLVYKRPFCEGFLKFCFERFQVGLWSSALEIKRNAHILDSCPNTSISIDDYDPNNKEDDFLGPDGALRAFLDGLVEAEDVPTYVRNHPFGEPAITPSHSDWDYYNTVIRSMGKKKTTDRKN >KVH99918 pep supercontig:CcrdV1:scaffold_594:111720:113377:-1 gene:Ccrd_021839 transcript:KVH99918 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MASKDSKLKNLVLVSDHHSKDEDDDDDEKETETETDLGICLDKLNLGPKKKLLVIPLGGFIVHRAHRRRPNTIPRNSRSDFSSGNFLIYRRPFCESFLKFCFERFEVGLWSSAMEHNIHAVLTNVMGDLKRKLLFIWDQEECTDTGFTCLENKKKPLFLKELKFLWEKKYSNLPWKDGDYSSSNTLLITNPANALLNPSNTAISHQDYDPDNKQDDFLGPGGEFRAFLDGVADATDVQSYVQSHPFGEPAITPSHPDWKYYVKVVAHLGKEEIHA >KVH99921 pep supercontig:CcrdV1:scaffold_594:175536:178363:1 gene:Ccrd_021847 transcript:KVH99921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel RCQIRGDDTDGYLSSLYVNPHSFSLTLFHFTISSQFSLESSFLDLNLIDMSCYKGKYADELIANAAYIGTPGKGILAADESTGTIGKRLSSINVENVESNRRALRELLFCTPGALQYLSGVILFEETLYQKTAAGKPFVDVMKEAGVLPGIKVDKGTVELAGTNGETTTQGLDGLAQRCAQYYTAGARFAKWRAVLKIGDNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGSHDINKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAKKVAPEVVAEYTVRALQRTMPPAVPAVVFLSGGQSEEEATVNLNAMNQYKGKKPWSLSFSFGRALQQSTLKAWSGKLENVKKAQDAFLARCKANSEATLGTYQGSAGLSEGASESLHVKDYKY >KVH99912 pep supercontig:CcrdV1:scaffold_594:68283:75504:1 gene:Ccrd_021834 transcript:KVH99912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MASSDDDFPLIGDTTTTTTSSHQTNPDFHHQLAATAHLLASQATQINTPPPPRPTQEANGEGYSDGGFCSQPMVVVPYDDESDPNRSRINGARSEKWSDREELSDGGTPYNYSKKVKLAASSSGGEYRKDREEWSDTAIACLLDAYLDKFMQLNRGNLRGRDWEEVAAIVSERCEKQTKSVEQCKNKVDNLKKRYKLERHRMMNTNGGNAISHWPWFKKMEQIVGNSLPLKAVLGEENSAGGMSSPVRQSKRYATATSSPSCQITAIKSKPITNARWRRVVFKISGASLAGTSSHSVDPKVAMLIAREVSMACNVGVEVAIVVGGRNFFCGDTWVTATGMDRSTAYQIGMMATVMNSILIQSALEKLGVQARLQSAFSMPEVFEPYSKHRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHADAFVKGTNADGLFECDSISSVTFEHISFRELASRSASPMDMMAATFCEENGIPVVIFNLHEPGNISRALSGEHVGTLIDHTGRVG >KVH99923 pep supercontig:CcrdV1:scaffold_594:123564:128018:-1 gene:Ccrd_021841 transcript:KVH99923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MATVKTVKARQIFDSRGNPTVEVDITLSNGTWTRAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVSNVNTVIGPAIVGKDPTDQTGIDNYMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGASVLNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASNFKEAMKMGVEVYHNLKGLELLKTAIGQAGYTGKVVIGMDVAASEFYGKDKMYDLNFKEENNNGSQKISGVQLKDLYKSFVNEYPIVSIEDPFDQDDWEHYGKMTAECGDKVQIVGDDLLVTNPTRVKKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRRAKSKLEHHAGPSLLRIEEELGSEAVYAGANFRKPVEPY >KVH99924 pep supercontig:CcrdV1:scaffold_594:116823:121936:-1 gene:Ccrd_021840 transcript:KVH99924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPSKIQDHFEDFYEDLFEELGKYGEIESLNICDNLADHMVGNVYAQFREEEHAAAALQNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISKELRRQLFGRRRRSRSRSRSPQRNRNYDERPQGGGRGSGRRGGGYSDHRSHDSRGRRPRSRSPGRRGAGRSRSPGGKRNRSPVREGSAERRAKIEQWNREKEQSKTGPKTASSNENNDGINDDGAPQNGDHYYEPHQEKQPQQDDGGYDY >KVH99915 pep supercontig:CcrdV1:scaffold_594:95875:98351:-1 gene:Ccrd_021837 transcript:KVH99915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MSFPNSLKIRKTDRSLGYADYRRIYYESSRLTHNKNPSHLSLYASSSPVIAATNTSPFLLLDSLMGIETVKGSSWFGSIWRSSSRKTTVLEPENPVIGIMSFEVSRLMTKLVNLWQFLSDKQMSRLREELANSLGTRKLVSDDFDDLMDLALIEIVDNIKSVAREVARLGKRCIDPVYNNLDHIFDNLEIDLNWCGWEYRLKKMEKRVKKMKRFAAVTSQLYEELEILSELENGLSRIQENNVNQEKLQEFQKKVMWQREEVDGLREMSVWVRTYDYIIRLLLRSLFTIVERVKVVFGITNQMGSPGVSNVPDGSCFLRSNSVSALVRASVHPSECSIQRSVSNLGDKITKKPQIRNYPPLKARSFSHIGPLKSCMMSELDSPVYFLQQKAIFLKKRLLNVEKPTLGDAALALHYANVIIFIERLAISPHFINPEAREDLYHMLTTRIKNSLRSKLSLFPKPDAFNCDLASDWSSSVQKILDWLAPLAHNTIKWHSERNFEKQRMDCGGNVLLVNTLQYADQEKSEDAITEVVMGLHYISRFGREINDKAFMGSGCGKDCDDYLVHKSKIASISL >KVH99926 pep supercontig:CcrdV1:scaffold_594:130857:135052:-1 gene:Ccrd_021842 transcript:KVH99926 gene_biotype:protein_coding transcript_biotype:protein_coding description:HDT3 [Source:Projected from Arabidopsis thaliana (AT5G03740) UniProtKB/TrEMBL;Acc:A0A178UP12] MEFWGVEVKSGQPLEVNLGEGKVLHLSQACLGEIKKDKSNESVCLHITVEEKKLVLGTLHSERLPQQLFDLVFDKDFKLSHNWKNGSVYFYGYKADQPSDDHEYPLHSEEEEDALQLPIPNGKQEAKKEEKPVVPNKKDLAPKVPGKQHVKIVEPEKDTKSEGNDSDDDDSDDSDAMSEDSDDDEENEDSSDDEEEDSDEEEETPKKQQSGKKRPNESAIKTPGTDKKAKLTTPQKTEGKKGGHVATPHPSKQAAAKTPANKSFKTDSALQSHNQAKHK >KVH99913 pep supercontig:CcrdV1:scaffold_594:77942:80321:-1 gene:Ccrd_021835 transcript:KVH99913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEIFRFLLLLLLLPLSLSTAADVDSDTAALSLFRSQTDTHGILLSNWTLSSITHSTACSVAWTGVQCTNNRVTAVFLPSLDLRGPLDSLSSLDQLRILDLRHNRLNGSLLPLANCTNLKLLYLPGNDLSGSIPTELSSLTRLLRVDLSDNNLRGPIPDGISNLTRLLTLRLENNEISGEIPQSLSSLSQIKQLNLSNNELYGHLPENIAQKFGDESFSGNKGLCGSSPLPACSAATPPPTVESNPSSLPTTTIIDETKKPTHKGLHPGVIVAIVIANSVMLLVIASFLIAYYCGKRSTSSNSKLGSEIGKSRSSYGSESRVYANNGGGGGDSDGNNKLVFFDKKKKFELEDLLRASAEMLGKGGLGTVYRAVLDDGCTVVAVKRLKDANPCGRKEFEQYMDVIGKLKHPNVVKLRAYYYAKEEKLLVYDYLPNGSLHLLLHGNRGPGRIPLDWTTRISLVLGAARGLARIHEQYKASRIPHGNVKSSNVLLDKNGVACISDFGLSLLLNPAHATARLGGYKAPEQFETKRLSQKADVYSFGILLLEMLTGRAPSQYPSPSRVGVEEEEQAVDLPKWVRSVVRDEWTAEVFDQELLRYKNIEEELVSMLHVAMTCVAAHPDKRPTMAEVVKMIEEMRVEQSPLGEDYDESRNSLSPSVATTED >KVH99914 pep supercontig:CcrdV1:scaffold_594:84008:94746:-1 gene:Ccrd_021836 transcript:KVH99914 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-D-manno-octulosonic-acid transferase, N-terminal MFLVAVVVLVAVDGPGRRRWSGRRRGPGRRRWSWSPSMVWSPSWSWSPSMVWSPSMVCSLSMRKQWRRSDGGCGELVYMIYKAVTHGLTPLINLHIRWRKFRGLEHPLRWPERLGRPSISRPPGPLIWFHAVSLGEGMCVVPVIKRCVERRSDVTVLMTTTTTSAFEVLKTLLPCGVIYQFAPVDTPAAVNAFLGYWKPYALVLVESELWPNLVLGASANGVMLALLNARMSTKSFNNWSRPVVRLLTSLMLSKFSLIVPLSNIQAIQLQLLQAPPFVINFSGDLKLGIGELVNKTKNMRNMEDLQGKVAGRCVWMASSIHRGEEEVMLHVHRGLMQKHPNILAIIDLQKEGVNVALRSHGDSLTSETSIYVVDTLGELREFYGLTPIAVIGGQHVGHFSHMAMAMQQLNPLSVTQVGGGMELEEILDKLVSNPEILEARRVAAKQAFHALSSGVVENAWTLLQLHIFRKARAEGGNQQTPSEKNSSQGMNPGLHEPSWYKSLVIKSYT >KVH99916 pep supercontig:CcrdV1:scaffold_594:52251:59971:-1 gene:Ccrd_021833 transcript:KVH99916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MHSNHLLLEEPIRMASILEPSKANFFPAMTKIVGTLGPRSRSLEVISGCLKAGMSVARFDFSWGDSEYHQETLDNLRTAVRSTKKLCAVMLDTVGAEMQVVNKSEKAISLQQDDNVILTPDKGQEASSQVLPINFDGLAKAVKKGDTIFVGQYLFTGSETTSVWLEVDKVEGDDVDCKIKNSATLAGALFTLHASQIHIDLPTLTDKDKENISTWGVANKIDFLSLSYTRHAQDVREAREYLSKLGELSQTQIFAKIENIEGLTRFEEILQEAAGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSLSGAFEARQSLVVRGLFPMLADPQHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDASVVKIIELED >KVH99922 pep supercontig:CcrdV1:scaffold_594:165311:171617:1 gene:Ccrd_021846 transcript:KVH99922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MADPNLSFLALAILLPIFFLLFLKLIVRPRPIRIPIKSRHVFITGGSSGIGLALARQAAAEGARVTILARNLEKLEEAKASIRLSTGIDVAIISADVCDFEAVKEAVATAGPIDVLVCNQGVFVAQELENQEMKEIKGMIDVNLIGSFNLVKAALPGMKNRTDRKPVSIAFMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVVADNIHVSLIFPPDTDTPGLVEENKRKPRLTSIIAASSGAMQADEVAKKALDGIKSGCFMVPCNLEGFLLSIATAGLSPQRSYLMAFVEVISAGLLRIAGLCFQWTWYGSIEKWHAQQK >KVH99925 pep supercontig:CcrdV1:scaffold_594:138010:145481:-1 gene:Ccrd_021843 transcript:KVH99925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEQDFHPERFNEFWQEVAIMRRLHHPNIVLFMGVVTQPPNLSIVTEYLSRGSLFRLLHKPGPKEVLNVRGRLRMAFDVVCDFGLSRLKANTFLSSKSLAGTPQWMAPEVLNDEPSNEKSDVYSFGVILWELVTLQEPWSYLNAPQVVAAVGYRHKRLEIPRDINRQLAALMEACWEHESWKRPSFASIMESLKPLICGDSSMD >KVH99919 pep supercontig:CcrdV1:scaffold_594:152516:154554:-1 gene:Ccrd_021845 transcript:KVH99919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MHPPSSSSLSPTASDSIPLVPSPFPISHQQPVPNSVAFDAMMTTIGEREVVPQPLECLQGIQIPPFLSKTFDFVDDPNLDPIISWGSNGQSFVGFRKIDTDRWEFAHGSFLKGKRYLLKNIQRRKSNQSTNDEANNQSIEAEVERLRKEKTEMMQEVIELQHEQRETHRYMESVNEKLKAAEHSQKQMVSFLGKVIGKPTFLSSLRAKKEQQIRISAPRTARKFVEYQPHELIPLSNLDFGIETHDHDSKGKTMLDMQSEAGPEDYLSPPADLVKGKKVLEPISGGIEDITVKQEDIWSMGFETNAETWTDLGNYELPEFGAGRGDLSELWNLGTSGGDNWQSEDISFDDEIGTQRYP >KVG90770 pep supercontig:CcrdV1:scaffold_5947:15335:15829:1 gene:Ccrd_026113 transcript:KVG90770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFLKTQRRKFGPKCIFNHPKDKLALSALENGDGSALPERPSKPPSGVENGDGGQMDALTGGTNGNFHFTPAMLHNSKGLPTRP >KVI01630 pep supercontig:CcrdV1:scaffold_5948:1800:5713:1 gene:Ccrd_020094 transcript:KVI01630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPFHQALPLYITQLCCIYLSSLPFDSFTDQAKMAMEYCQRFVILTLLLHSIFCINHLVKCDGINSYRATVNLTTLTQNDRAKCLADKIADQLKDRPCTNTTGSNTVPGTEPEFANYPDLLDKCHLNVSTTRDGGVLPACVPNLDPGLVISNYTRSQYSSYLNDTKYTGIGIGSEDDWIVVVLTTSTPEGGYSPGSNDVNFVSKIGPVHYSMVLLVVVLLLWT >KVI05107 pep supercontig:CcrdV1:scaffold_595:193076:199237:-1 gene:Ccrd_016560 transcript:KVI05107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYFASCISLGLQDEYNMKIREEAPAATVAARQPIDRKTLAAPDEPPGDMDPIDVETHRREEPALGSCLKEYYIDGGGGGGGVGRKQEWIGITKWKRRREWKLFSSYGVEGCGGYARVGWPVVESSRKWKGADVLVFNNIHWWNSKK >KVI05114 pep supercontig:CcrdV1:scaffold_595:63425:64404:1 gene:Ccrd_016545 transcript:KVI05114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF617, plant MRTMKDLGRERGPLGMHIINTATTVDCSNREVGLRRSLRSLLDCIIPACCAAGFEPHSVAGNFSPDSSSSSSTFSSDTESPSSSSSTTIRSLSSSSTTVKGTFFGQRNGRVSFCLQDDTHHHHHHRRTPSRRMTTTTALSSFSHPLLLLEFAIPTSYLAKEMQHGLLRIALVEENSTHRQQLPTKGGGGIFEVPVWSMYCNGRKVGYATRRKMTAADTTSLTRMQSVSVGAGVLPPENDHGADGIMYLRASFRRTIGSPNSESFHMINPDHDRRSSSDSHSAGQELSIFLLRSSS >KVI05125 pep supercontig:CcrdV1:scaffold_595:160508:161446:1 gene:Ccrd_016557 transcript:KVI05125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein MSQEQPGRTQEVEGQDKEPIKYGDVFPVSGELADKPVAPQDAAMMQAAEITVFGQTQKGGTAASMQAAATINERAGLVGHEDQTVAGDQGVTVTATEIPGARVVTEAIAGEVLGQYVEATPLLQRGGGVGDVHHHGVITIGEALEATARTAGNKPVEQSDASAIQAAEVRATGSNVLVPGGLAAQAQSAATLNTSTREEDKVKLGEVLTDATVKLPADKAATREDAEGVFSAERRNNPNLTAYPAGVAAAVAAAANLNEKGLVS >KVI05115 pep supercontig:CcrdV1:scaffold_595:6969:7646:1 gene:Ccrd_016542 transcript:KVI05115 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSLRRPPLPRLLLNNVSCMRNAQQILRHTNISIHDGGALVLTGANGSGKSTFLRMLAGFSKPSSGEILWDGHDITESGVFHQYKLQLNWLSLKEAIKSNFTVLDNVQWFEVLEYKQGKSLPALEFMGLGRLANEKARMLSMGQRKRLQLARVMAMDRPIWLLDEPSVALDDEGVKLLEQMIADHRSQGGIVIVATHLPIQIQDAMILRLPPRFPRRITLVDMLPH >KVI05117 pep supercontig:CcrdV1:scaffold_595:91380:93386:-1 gene:Ccrd_016548 transcript:KVI05117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MFSWWWPMVFLICIHGYRAQQSYVNNKQLDCDNNHTTALGFTCNSLATDSTCRSYLTFRPQPPLYNTPANIASLLNSNPDDINASSNAATITANTVVVVPIRNCSCSGGQFYQHNASYQLSSTDETYFTIANNTYEGLTTCQAMIAQNPYNYRDLVVGNNITVPLRCACPTANQRAAGIRFLLTYLVTWGDSYESMSKIFDNVSVQSILDANELNVSDIIFPFTPILIPLTTEPTTTIITPATPATPPTSPVIPVTPGTGGSSSSKWVFVGVGIGVGLLLLVSLSGFLVWFFRKKKIQHKNNLLPPKHSPNTHQTSLTIPPESKSWSMSSQGIRFAIESLTVYKYHELQKATGDFSEENRIKGSVYRGIFNGDSAAVKMMKGDVSSEINILQHINHLNIIRLSGFCLHQGNTYLVYEFANNGSLSDWMHSATKNTKYESVLGWKERVQIAHDIADALNYLHNFVTPPYIHKNLKSSNVLIDSHMRAKITNFGLARTVDEDLDGELQLTRHVVGTFGYMPPEYIENGLITPKMDVFALGVLISELLSGKEAATRPPEAVGDKEDTDNDTAADLAAPQQGQLSEMIKEVVGGDHVRDKLTEFMDSKLRGEYPVELAYSAAELASKCVAADLNDRPSVAEVFVTLSKIRLSSLDWDPSDELEHSRSLSHGR >KVI05123 pep supercontig:CcrdV1:scaffold_595:138747:139158:-1 gene:Ccrd_016555 transcript:KVI05123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAYNMTLCYYQVGLVAPVAVVVPPGNTGLDPSQTSFFQVLIFVLNIPTKINKGTVEIITLVELIKKGDKVGSSEAALLAKLGIRPFSYSLVVLTVYDNGSVFYHYMLALVD >KVI05127 pep supercontig:CcrdV1:scaffold_595:177967:184896:1 gene:Ccrd_016559 transcript:KVI05127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MRRASTLAAASTSLSRAILASSEQHHHRYNRLFQATSLYATNAGSSRRWVSTSMGSMSPGGSSGAAKVFLSLGCLAATVAAGASSLLVEEEAYAKEPVSSDLVPKEVVLYQYESCPFCNKVKAFLDYYDVPYKVVEVNPLSKKEIKWSDYKKVPILIVDGEPLQDSSAIIDQMRIKISPTSSSLVVDDEDEEKKWRRWVDEHLVHMLSPNIYRNTSEALESFDYITSNGGTKPNLADLAVFGVLRPIRYLRSGKDMVEHTRIGEWYTRMENVVGESSRIQT >KVI05116 pep supercontig:CcrdV1:scaffold_595:39426:48330:1 gene:Ccrd_016543 transcript:KVI05116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIAPKSESADEVDQFKKEELGIENKGIPVDSLVLETNGITHASATASDAEVKAVYEVTLDNGSTFDLPVVPETNDIAQDANGHLGMITGEEGVVVKNTDEVESANGIMNVEAKTDIVAGVTFDPEPTLNPIERSQVTVFESEPTIKTFEGSESHATINQSVSTPDLINTTGESLPTLNSVRHTDCEVMVNDGLESVSTLIEKAECQATVDGSGKPPEDLECQVTADGSESSGGPIKERECQVKVDASAMPSDELKCQVTADMSESSGIPIKELECQVTVDGSGKPSEDLACQLKADGSQSSGSPMKEREVSAVGSESIGSSVDNQECPVSVDGSESSGNRTEEVDSSTIVIVSDRKSEDSLIVTPKVDVEAEPNQVCGGMKVEHQSESLSATDHFENKETPKTLTGLGTESEENSESGLVLSENPDALANGQVEKSDGILEMGETHLSQTEADGVPLVSNAEEKSEEKLEKQGEGSSETLIKITEPVELDANFEETKEQLKTEDEIQESQIVVTDNVQNDLDLEDNTAESTTKAEAPLETETNIESITHEIIASEYEVSSRIDDTQEVIRLEISAENVEKQGEGSSETMIAITGSVESDAKCEETKEQVKIEDEIPESHIVVTDNVRNDLDLEDNTAESTTEAEAPLETETNIKPIPHEITASQYEVSSCIDETQEHIQSEGSAENVETLPSTDSMKSECENSELLVEKENDSLSNPDDSMVVERKVEVEAHLVTENRSAESKNCGTGIENADDDEEKETEVKDEPVGDNSTLSLPDTNVNPVAVIEFGSIGRHETVHDMHDEDVIDGPDVVNGEMKPACVANSDNPERNVDGSQGDRNSDKMLCPEVEDMDGVQSDEVPTSSAEGSISDALDVQNEEAEVLAYNFLIRIPRFEDETFRDQIRSAQVQVDEKTRLRDAIRVEIQGKRARLKDHNEEFNTVKLEETAARRLVRLKRQEIDSVQSVINRVKNAMSVKDIDGRIYNMEHMIQHETLCLKDEKQFIREIKQLKTLRDQLASNMGSQDEVQQALDQKDQNEERMKTLRKELDSLKDKVSKAEAVVIAVGKKYDEESRKERELQAQFRAADDVRQKAYAHLNSLKKQTYDKNKNFRLYKEDVMAARDFASRGDKDALHRLCANQVEAFMEQWNNNAEFRNEYISRCNMNASRRQRTLDGGSLGPDDISPVLPSNVNEKVDRSLVSVPGEVKSVTLVSAVEQGKMVSSTEDKNAADHKSTENLSGQKNQTLKTKGVVKLALGSDTVTVTGRDESIDNGKEEENTLTKEEMEMARKAEELRKAEIAAKLKEQRRLEEKAKATEALERKKRNAEKAQIRAELRAKKEAEQKEKEREKRLRKKEKKKAGGDGSINGEEVASSESSNEAPAKGTEAVKEMTKKKSSKPPPHFFSKQLKPKPVPPPLANRNRKRWQQWGKLVLAAVAILALFLLGNTGFFLNLRVLNKANGGI >KVI05112 pep supercontig:CcrdV1:scaffold_595:87821:90892:1 gene:Ccrd_016547 transcript:KVI05112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, III-V domain-containing protein MDDSSDCGRIRNICILAHVDHGKTTLADHLIASCGGGVLHPKQAGRLRFMDYLDEEQRRAITMKSSSIGLQFKGHSINLIDSPGHMDFCSEVSTASRLSDGGLVLVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLICELKLSPMEAYNRLQRIVHEVNGIVSTYKSQKYLSDVDSILAGPAGESNDENQEFIEDDEEVTFQPQKGNVVFVCALDGWGFGICEFAEFYASKLGASSASLQKALWGPRYFIPKTKMIVGKKGLAAGSKARPMFVQFVLEPLWQVYEAALETNGDKGILEKLIKSFNLSVPNRELQNKDPKSVLQSVMSRWLPLSDAILSMVVKHIPDPISAQSFRVSRLLPKREILDSAISNSDVIAEAELVRKSVEACDSRSESPCVAFVSKMFAVPMKMLPQRGVNGDLLHNQTEEGGNGDSDECFLAFARVFSGVLHSGQKIFVLSALYDPLKTGESVQKHIQEAELHSLYLMMGQGLKPVAAATAGNVVAIRGLGHHILKSATLSSTKNCWPFSSMTFQVSPTLKVAIEPSDPVDMAALMKGLRLLNRADPFVEVSVSARGEHVLAAAGEVHLERCIKDLKERFAKVNLEISPPLVSFRETIEGDSSNPFDKLKSFIGSSNVIERTTPNGRCMVRVYILKLPDALTKLLDESSDLLEDIIAGKAIQLKSSIGAQDDDHPVEALRKRIWDAIESEFLDGNEKDKDRAEKYKLLWENLLKRIWALGPRQVGPNMLILPEPSTTIMGSSVLIQSSPYVSERLGFTEVSISDRLASESSEIRSLNEEAESLRSSVLSGFQVATAAGPLCDEPMWGLAFVVEAAIFPFVSESEAIHQQAQSEQYGVFSGQVMTAVKEACKAAVLQKNPRIVEGMYFCELNTPTEYLGPMYAVLARRRARILKEEMQEGSPLFTVHAYVPVAESFGFADELRRWTSGASSALLVLSHWEALPEDPFFIPKTEEEKEEFGDGSSVLQNTARKLIDGVRRRKGLPVEEKVVQHATKQRTLARKV >KVI05128 pep supercontig:CcrdV1:scaffold_595:162127:163867:-1 gene:Ccrd_016558 transcript:KVI05128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKNNDAMLTVADKALVTLERRVRSDLDNKLPKPYMARAVAAPDTNNPSGTLGHKHHNLSVLQQHVAFFDQDDNGLRDLGFNVFVCFVFMVLIHGAMSYVTLPTWLPSPFFPIYIQNIHMAKHGSDSATYDTEGRFVPANLENIFSKYALTVPDKLTFKELWHMTDATRNAFDFFGWIASKLEWGALYLLAKDSEGLLSKESVRRCFDGSLFEYCAKMQKGDASKMG >KVI05120 pep supercontig:CcrdV1:scaffold_595:98586:103777:-1 gene:Ccrd_016550 transcript:KVI05120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQNRFLQQQQPASSRRKRWRGCLSGLSCFGLQKGGKRVVPASRMPETHATANQQNGPQAVGLTNQNNAVHPSLLAPPSSPASFSNSALQSTVQSPNCFLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPYAQFLSSTLNLKGGVKTNYMAANDLQATYSLYPGSPASTLRSPISRASGEGLSSSFPERDFSPQWNPSTPKEKGSDSGRLLGIDTDGGSKPQDANFFCPETFAQFYLDQSSFPHSGGRLSVSKESDVYSNAGNGVQSRQSKACKQDIEELEAYRASFGFSADEIVSTAHYVEISDVMDDSFSMMPSGSSKTQNEANIGKTQKKETNPKNCRVEATHSSKGEHKGLLSSCNDIIGVEHCLTDDEEIFSKMGGSRMYGQSNSDAEVEYRRGRSLRESRGSKWP >KVI05110 pep supercontig:CcrdV1:scaffold_595:202899:212368:1 gene:Ccrd_016563 transcript:KVI05110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome assembly protein 12 MLFQVGGQGSRPTFFEMSAAQQLPSSLRAALTYSIGVLALRRPFLHKVLDYEDEFFAALTLILETHSLRTTDASFAESLYGLRRRAVNVQVKKEDLHTEAGNRIQNSGLRKRQKLLSVVFLVVLPYLKSKLHSIYNKEREAALQASLWANVNERFDEIDNLGHAGSSFASTSGLDTDLSVRTRFRKRMHKIVATCYPWLHAGSEGLAFAYQLLYLLDATGFYSPGLHALGLQVCRATGQELMDTSSRISKIRSNERERLRGPPWLKVVQGALLTCAYSVLDYAQTGLIAAVFGFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKEGIPLPPDRTLCALCLQKRANPSAITVSGFVFCYACIFKYVSQYNRCPVTLVPATVDQIRRLFHDL >KVI05111 pep supercontig:CcrdV1:scaffold_595:80147:85825:1 gene:Ccrd_016546 transcript:KVI05111 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MLKVNCDSTPPISPSPSLLSSRHSSFQGCSWSNGHISKDHGGVLEMAPKPSYTLTADVELGKILPDQSSIWSESEMTPCSSSFSSIASNGTLNSKSSVELVKEITSLEMEILHLERYLLTLYRTAFKQHVHSMHIEFPSEHKITSVADQSRFIVKSDARLSGHRGELFPLREGVTGLNHHSGSAVPDPSSRRERKTARCSHRSLGDHLGTPTENTLLDGPDILSEDIIRCISSIYCKLGDKNQSQIGPSVSSTSSLSSSGTYCTTNLSDTWSPYCNEEATWDSKFESSKEERGPYAEMIEVLKIGVDDDGFNYASRMLKKFRTLVKRLENVDPRKMKREQKLAFWINIHNALVMHAYLAYGTHNNTKSNSILKATYNIGGHSINAYIIQISIFGIKSHFRAPWLQSLLSPGRKLKTEPNKHVYAIEYPEPLVHFALSLGAFSDPAVRIYNAKNVFQDLRLAKEEFIQSTVYVNKETRIYLPKILYYFAKDMALTITGLLEIVIACLSERQRKAVETSIKGKPDKYVYWLSQSSIFRYVIHREGRPSV >KVI05109 pep supercontig:CcrdV1:scaffold_595:201150:202419:1 gene:Ccrd_016562 transcript:KVI05109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIDVLVFNKGHRGLVVFQGFTFKFWRYENEYERRNNIQEIDGKESSIIELHIWCCRASHLLVRTILIIWTGALENSKVSMCSCSTMGVGGILKR >KVI05121 pep supercontig:CcrdV1:scaffold_595:128549:133370:-1 gene:Ccrd_016553 transcript:KVI05121 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MESEKKVSPVSDVGAWAMNVISSVGIIMANKQLMSANGYSFSFATTLTGFHFAVTALVGFVSNSTGYTASKHVPLWELLWFSIVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKRYSKEVKVAVVVVVIGVGVCTVTDVKVNAKGFICACVAVLATSLQQIKKYSVGAFELLSKTAPIQALSLLVFGPFIDYYLTGNLISNYMKTISSGAIIFILISCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTFKNILGMLVAVAGMVIYSWAVEVEKASSKSAPHSKHSLTEEELNLLKDGVEKAELGESK >KVI05108 pep supercontig:CcrdV1:scaffold_595:196039:199176:1 gene:Ccrd_016561 transcript:KVI05108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase, C-terminal-like protein MGSMSPGGSSGAAKVFLSMGCLAATVAAGASSLYATFYGFHRVFFLSLAQALSFLDYYDVPYKVVEVNPLTSIDQMRNKISPXSSSSLVDDEDEEKKWRRLGLCGWVDEHLVHMLSPNIYRNTSEALESFDYITSNGNFSFSEKYTVKYAGAAAMYFVSKKLKKKYNITDERTALYEGAETWVNALDGREFLGKDMVEHTRVENVVGASSRIQT >KVI05119 pep supercontig:CcrdV1:scaffold_595:112206:117564:-1 gene:Ccrd_016551 transcript:KVI05119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MSTVTLSPASTTAPGNPSVFYRNRRTTTTATATRCRRRVRVKPLRAALVEEARPRSLPPIRGNNNINGAVSEANISIVPSSRIRTDDIQAESKALARAANASVYSPELLKIKYASRPFKVLRRTFEILVGLGSFGVKLWIDQLQGQLEQNRRSRAIELRETFTRLGPTFVKLGQGLSTRPDLCPPEFLEELSELQDALPTFPDAEAFACIEKELGIPLDSIYSSISTSPIAAASLGQVYKAQLKYSGQLVAVKVQRPGIEEAIGLDFYLIRGLGFLINKYVDIISSDVVALIDEFARRVYQELNYVQEGQNARRFKKLYADKEDVLVPDIFWDYTSGKVLTMEWVEGVKLNEQAIIEGQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSPDVDVSPIVPALRNFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKWNRLENLLEQGKMDRDFSAKDALQPVLKLLLDPEGEELRTLVIKEAIRVTEAITVGTLVDTYNSIPGPLRTFLPNGNGIGTSLTDAEMESMMELRQRVLRIWGLLRTSDSLDPTILQPIVLQEPEARNLGGRVFGGITQRFAARLLQQVLRSPTTVRAPPL >KVI05122 pep supercontig:CcrdV1:scaffold_595:119623:127736:1 gene:Ccrd_016552 transcript:KVI05122 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVR domain-containing protein MDVLVLKMQLRISFNFLCNWKTVAGVITETGTGTGTAMDDMEDSLFEGMVLFDPSSSSSQLPVKSALDDNNKHDDSIQLRNHGEISQQPPQSPTPAAITTTGASFEPLDENLFSDLTLFQPQSQDDVSSTLDHPPLSPSSSSSSRSTTDVATTFASPSQTSATITSTSVSNSRGAAPLARSLSSQNSSTRKKKRAGIRIGYGRTTQTQDANVDVDDMQPQLRLPSHSTTTSPSPSPSLSSASSLPVVIAEEKDEPGEQKQQIIPDSEISSDLTAAATSTAEEQIKINEVIPNSESVELTPKNIPQEEEEEEKEKKQVQSRENSMESRYDQIKAQIADKLNCAQQAVASVSAKRKDFIRKRRKAEEELNLASAKHKEMEKELEEAVESEDFETAERVSDSLAAAEKNKELLSIALRDAESNCDAIDAKMQEALELQIVAEEECAALLESFAMDADHDADAVISNAKSKTSEELEKWLSLSEALEVKKMEVEIESHVLSGACLMLDDSIEHAVKDDREESELLHKKKNMLAEELQELLALVKQKEAVIAENDSKIEKVEKRMADVVSSFQEAQSNIHSKSDNLQLGLSQLQLDSDALSRKKEEIDDYFLQEEARGSKVRELGRISAAEAGMYQEVVNLRRSLVQFISKSWEDKARLARTEQKLFDDVQMLRQDISAVRASLQDLSSTKSGTQQAIESSKQRLLFIEKRVPELESEKRVAATARNFKEAARIANEAKTLYVEKESLQTKIDEVVSELKKIEDDINHNVNRLQEKEENISSMEKELEMVRYQRLLLLAGTATAERSAAIELGDLQEADILLKEAEAADSEARKIQPTSKVEEEEFDTVPKSFISMELVATLDRNQLAELAASTQMAEP >KVI05113 pep supercontig:CcrdV1:scaffold_595:54412:56412:1 gene:Ccrd_016544 transcript:KVI05113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MVSPIGKMERQEFGPPWLIPMLRADYFVTCRFHGDANKSECNMYCLDCCGNSLCSYCLTHHKDHRVVQIRRSSYHNVVRVNEIQRYLDISCVQTYIINSAKIVFLNERPQPRPGKGVTNHCEICGRSLVDAFRFCSLGCKLGGMKRGDRELSFTQKMKHGREACEPDEPVTPKKVRRSHLFNQLIETPMFQYNPYGRNGSDMSCSSTSGDETMNNMSPGTPPIFNHRNSSRRKGIPRRAPF >KVI05118 pep supercontig:CcrdV1:scaffold_595:94898:95464:-1 gene:Ccrd_016549 transcript:KVI05118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNSGESSSSATTSPVTSFSRFFRQVVSPARKSPTSFSAPLACGTWDDNIHEQVFNYFDENGDGKISAEELKNKLREVGGEEHRLSDEEAEIAVRSSDADGDGMLGLDDFKKMMKEGEEEELREAFVMYCRKSTYRDREGGVITAKSLKRMMKRLGQSTTVNDCKTMIGRFDVNGDGVLDFEEFRTMMS >KVI05126 pep supercontig:CcrdV1:scaffold_595:146325:157176:-1 gene:Ccrd_016556 transcript:KVI05126 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ-containing protein, X-domain-containing protein MVKETEYYDVLGVKPTATEAEIKKAYYIKVLGEAYQVLSNPDQKQAYDAYGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASMDIFTEGEQFDAKKLQEKMKIVQKEREEKLIQTLKDRLIPYVQGNKEEFVRHAEAEVSRLSNAAYGVDMLNTIGYIYVRQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAATGALALIQLQEDMKRQLSAEGKYTEEELEEYMQSHKKLMIDSLWKLNVADIEGTLSRVCQMKAKSANGDESETILNSGGVHNLNGGDPDHASRAAESGQSSQTSIAPQSPYVEAPHFAGVENFHFPMPTAPPGAQRFQ >KVI05124 pep supercontig:CcrdV1:scaffold_595:135921:144170:1 gene:Ccrd_016554 transcript:KVI05124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPRVVAEHTCNFPLLGRRKEVVSKAMDDSFTIQISGNLVKQLADDSERAKKKTRKPKPKTPKIPQQSQAKPTNQKEIHDDSQALKGGLPATAGWPPMYLPIPPPAPPANPELDAIRSVLQDSERVLEKLKKQEDEMVVEVTQKAKELHDKEFKLPQPKPMPCSADLTACLDCYKENTKDPLKCSTVVKNFADCARTIRQQVTSSNQ >KVI01624 pep supercontig:CcrdV1:scaffold_5951:9597:16532:-1 gene:Ccrd_020101 transcript:KVI01624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C15, pyroglutamyl peptidase I MGSEGPPAVTFHVTGFKKFHGVSENPAETIVSNLKEHLKRNGSPKGVILGSCTILETAGQGALVPLYQTLQSAVSKDAEPSNFSKIILLHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIIPADGGISKARKTCLPVDEITKSLAKMGYDVVTSDDAGRFVCNYVYYHSLRFAEQNGIKTVFVHVPLFLTIDEDTQMQFVASLLERQCLSLLMRAVFKKT >KVI01623 pep supercontig:CcrdV1:scaffold_5951:20079:20582:1 gene:Ccrd_020102 transcript:KVI01623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYEGWVVFVDNDFLYLGDIKELLDLINEKYAVMCVQHDHTSKEAPRMAGVVQTVYPQKNWLSMVLYNYSNRKTKILKSEVVNKESSAYLHRFQWLKDDEIGPVPFVWNFLVGHNHVVNGDPSTHPKAIHYTSRGPWFEAWKDCEFEDLWLKELEKYEVKEKEKKI >KVG90368 pep supercontig:CcrdV1:scaffold_5953:72:5343:1 gene:Ccrd_026114 transcript:KVG90368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin-like domain-containing protein MVSRVRXGCIGDLSWSNLEQITLKVSRMKNFLLHATMSEAMFVVTSAIKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTDKDRIKRLIRLKPPTEF >KVG90369 pep supercontig:CcrdV1:scaffold_5953:10155:10655:1 gene:Ccrd_026115 transcript:KVG90369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSRVSIQSPLSKIRVQIILFESRRDRHHCQGFESAIYVDQENDQAIYTERQRK >KVI03155 pep supercontig:CcrdV1:scaffold_5954:27704:28868:-1 gene:Ccrd_018550 transcript:KVI03155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant ASRPPSSSPSSPTVNLAVVLTAAPTTTAAPSTSSRRPHSSADHHSSAVHLFPSSSQQRRPPQQRRPPQQRRPPSPFYLIAAMGLQVLWSFGLACLDIHALRLKKDLHNHIFLSLLVVGDWVTAILSLAAACSSAGVMVLFVKDTDICRSHNLLSCNTFQISIALAFVAWFLLAISSYVMFWLLATI >KVG90367 pep supercontig:CcrdV1:scaffold_5956:1818:30068:1 gene:Ccrd_026116 transcript:KVG90367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMNWNTSTDCCXWDGVTCDHFTNDVIALDLSCGMLRDTNLSGEIPDLIGHLKSLISLDLSHTNLSGEIPDSIGHLQSLIQLGLSDTNLSGEIPDSIGHLKSLNLLDLSNANLSGEIPDSIGHLKFLDYLDLHSNRIQGSFPPSICNTSYLSYLDMSNNKFDGEIPQCLGNMSWSLAMVDLGNNNFHGTIPNTWDDCGKLEGLILNGNSLEGEVPNGLSECKSLKVLDLGNNHLTGTFPHWSANLQHLQVLVLKSNKLHGPIETSSVIKHPFLSLKVLDLSQNKFVGHLPGNYFQNFDVMKNRGVKNRTKPEYLDIGKLYSITVAVKGSELSFTKISVDYTIVDLSNNIFEGEIPNAIGSLISLIVLNLSHNNINGRIPYALGNLIEIESLDLSCNQLKGEIPQSLAFITDLEVLNLSQNHLVGRIPDGTQFRTFEATLFDGNPGLCGFPLPKQCEHLSAPQLEIDEDEESGFTWKVVMLGYGCGTLLGLGMGYMMLSTGRPKWFNAIADEIEHMIMQRRKKRRHTFKATSFEGNPGLCGFSLPKCEHRSAPQLEVDGDEECGFTWKVVMLGYGCGTLPGFGMGYMMLSTGRPKWFNLIADEIEHMILQRRKKIRHVARDEVGDALNYAVAELDCTCWDGVTCDHFTNDVIALDLSCGMLRGGILDVIGSLSSLIVLNLSHNNLNXRIPKAXGNLLKIESLDLSCNQLKGEIPQSLAFITDLEVLNLSQNHLVGRIPDGTQFRTFNATSFEGNPGLCGFPLPKCEHRSAPQLEVDTDEESGFTWKVVMLGYGCGTLLGLGMGYMMLSTGRPKWFNAIADEIEHMIMQRRNKRRHVPIREGEEERRLPETERERRFGKGKTKDGAPEWKPGGKTKTRTESGKWRSAAGRGSEDWKSGTDERRRQKIKKIWSKPHNIDLPIGDMGGAMEPVRAVGQSNVFSMSWAFGSEGETRALLLFKQNLLSYNHSDVYYVSYCDNWLGSDXYPIMMNWNTSTDCCNWDGVTCDHFTNDVIALDLSCGMLRGTIHPNSTLFNLHHLQTLNLAFNDLTNSQLPRDIG >KVH98622 pep supercontig:CcrdV1:scaffold_596:182152:197313:-1 gene:Ccrd_023155 transcript:KVH98622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINYQFASDSPKSFSAYPRGDFDLESSTVRKTRKPKHSSFHPIIMIKSFGNRVLYYYKLHPVMLFLIFLSFGVTTLVVLSLYTSHFQMMTNYKKFDSSMDNSYPFPKLRNLVMVAGHSIYTSSSYEQIDKEDSWFLESYQKNPGQASTFVAHIKEGIESAARDDEALLLFSGGETRKDAGPRSEAQSYWMVAESKGWFGNREKVRWKALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVGYDFKKERFVNLHRSAIGFPETRFFYLGTPAATTSRQAALKGEALVRTQFEHDPYACLGSLHRKKIGRDPFHRSIPYPNGCPEIEALFRYCGSATYPGSVPWG >KVH98627 pep supercontig:CcrdV1:scaffold_596:123756:124352:-1 gene:Ccrd_023152 transcript:KVH98627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold shock protein MAEIKRYEGTVKWFSGQKGFGFIAPTDDDDDDGGGEDLFVHQSEIKAEGFRFLRDGQRVEFSVDTGEDGRKKAVDVVGIGRSTRTYQSGPRRGVRGRRGGYGGGYNRGRFVGGRDGVRGGRGGRGDGGGVLECYNCGRVGHFARDCYRGSNRVGGDQGYDDGGGGGGGGGRSGGGRGGACYRCREVGHFARECPNDQN >KVH98626 pep supercontig:CcrdV1:scaffold_596:150073:158902:1 gene:Ccrd_023153 transcript:KVH98626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MFPIGWRVVLLFLFLVLSLAESSSRPWSTWPSNLGAEQTFLYSWTIFSASICVLVALFLSTYLIFEHLAAYNQPEEQKFLIGIVLMVPVYALESFLSLLDADAAFNYEIIRDWYEAFALYCFGRYLIACLGGEDSTIEFMESKSIISYSIPLIEESYAYGIVEHPFPLSCLLKEWYLGPDFYQAVKIGIVQYVWTSVYVNIFLIAHFLIVISSSDQSCLIPDDTEAYLCTISNVFSVSWCLWGREVRAGICVRYFYISLNFYLQLIFYPYLAVVLNFSQSWALYCLVQFYSVTKDKLAPIKPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTPVPYKRGERCVRDVSVMSDYASLGAPADPEEVRDSERTPKLRLGCHDERPKRPKLHQSFRDVVIGSGEIIVDDMKFTVSHVVEPVERGIAKINRTFHEISENVKRHEERRRGPKDDSYLIPLNPWTNEFSLVHEDIEKGSLSDSNLSNGRRQRQDNLIFLIDSHCTSTLRILASRYRNRSNTGYMVAADYRGSTTNH >KVH98624 pep supercontig:CcrdV1:scaffold_596:84509:106523:-1 gene:Ccrd_023151 transcript:KVH98624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFGDVVEARTVQQSSVQCLVETYEAIHSQGTNNLMMTSHIGLATKEKLRKVIAWVLRAGPTLNKHVSHNRKMQKNQNSSNWKLPEEMQTKDCLSLLEVSNLNDSCNVSLFASIGEMFLPTTTSSEFEEERMFIRSRISCAYENLAEDLLCTGCQLCGAPLNSEFGYA >KVH98623 pep supercontig:CcrdV1:scaffold_596:170600:180275:1 gene:Ccrd_023154 transcript:KVH98623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYKGSDVDKYRCFMSGEGEKNTTWKFGAPPNFDNLVKTWEMELFHKVKPKDFKTVDVTKLTVSVNGRKPLTREGIAKIGGGYNMFLQTSLPEQLRLYNPNDENADSALKIFTTTFPRGFAVEIXQVYSGPPVIVYKFRHWGYMEGPFKGHPPTGEMVEMFGISTMQLDEQLKIVKVEFFYDRGELLAGLIKGAGATTGGDSITAGASSSCPFS >KVH98625 pep supercontig:CcrdV1:scaffold_596:38086:42653:-1 gene:Ccrd_023150 transcript:KVH98625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring-hydroxylating dioxygenase, 2Fe-2S-binding site-containing protein MTAIATAAALSLPISLSRSSKLSTRKGVRGGFMVFAISASNEGGELVNKKNPWGSLFDVEEPRTRVPQSKGKFLDVNQAFEVARYDIQYCDWRAREDLLTIMLLHEKVVDVLNPLARDYKSIGTLKKDLAELQQELAEAHKQMHISEARVGATLDKLAHMETLVNDRILKDRSTTATESTASSPSTSKEPLGTIERKTQRKSLNVSGPVKPYHPRLKNFWYPVAFSRDLKEDTLMPIDCFEEPWVLFRGKDGKPGCIRNTCAHRACPLDLGSVNEGRVQCPYHGWEYSTDGKCEKMPSTKFVNVKIKSLPCFEQEGMIWIWPGNEPSTATIPSLKPPQGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLEGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSSKQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPFLQYVPLMHHLWRHFAEKVPLKITITVLFSYMVLNEDLRLVLGQQDRMINGENVWNLPVSYDKLGVRYRLWRNAVEEGAKQLPFM >KVH98621 pep supercontig:CcrdV1:scaffold_596:2334:32667:1 gene:Ccrd_023149 transcript:KVH98621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MDFVFPDFRYNIIIGMYIHFLLSSTFKTLSHFLKHLVGAGEESKRWRLCVWAMLSWDFIEYSDTAKRELLLTSRSNTIVCFAILIIDEELVETLLGYSACYWLLCEKFASAPSQLLEGVLLIKKMGGICSRRSTEDDLDGGSFPHVNGLSYGSGMVYQSRGLPVNDNSTSSGVGESMDNKQPLREPFSFPEMNAVSYPLDVDDGIPRLSRALSNKSRSTKSVTKVSEVSSLLGKAGTASLGKAVEVLDTLGSSMTSLHLSSGFVSGVATKGNKITILSFEVANTIVKGATLMQSLSKDNIKHLKEVVLPSEGVQRLISKDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELNPQKQLKEEAETIMEHLKNLVQYTAELYHELHALDRFEQDFRRKQLEEDNPNAPPRGDSLTILRAELKSQKKHVRSLQKKSLWSKILEEVMEQLVDIVHFLHMEIHNAFGTADTQKPVKSNRQKLGAAGLALHYANIITQIDTLLTVAEIKAEMEKTLQWLVPIATNTTKSEVNRKPSCQTDSLRIETLHHADKEKTEECILELVVWLHHLVFQSRAINNSGMRSPVKSPIRSPNQKGIQLRPHLPVALTSEDQEMLRDVTKRKLTPGISKSQEFDTRRSRLSKHHRLTKSSSHSPTREIKKDPFPIKRPSSVPVINFSTDRLKSMDVIDRVDDI >KVG90359 pep supercontig:CcrdV1:scaffold_5966:28:1675:-1 gene:Ccrd_026117 transcript:KVG90359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MLRAKIRNLFGFDSDVDFTLTYVDEDGDEVTLADDDDIHDVVRQSLNPLRITVKLNNGKSDEPSGTSTPLRSLNSGVSEILKSVPEPFRXVLAKLPLDLASKASSSAPGIAELVEKLTKTYLNQLSDPIASPKAPTPGXGSATVNGZKXKNSESSNVNGRKGKTSGPSVVTDSKVPVDPELIKSKSKKKEEQVQKVNEGVKFKDVQPPRAVDLNVPYFDYEAFQTPFXTNKGVEGSNGRTYSQVVSDLPSMKIKDTTDGSSEKKNAGFAAPCLDYLKQYIQDHNVTEMGGLSSADIPKATDDSGSSSGWAQGMLNATNQCPFSGMPLPNDLSLHAYQPSRGPWRRSYNHGNGIGNIFHRGVRCDGCGVHPITGPRFKSKVYSIRKPVLVTCAFCRKEDYDLCSVCFAGMGNVADYIRLDRPANLVRHHMPFKGFHDPSLRIPPPTLPHALRAPGTKLPRSKLDSRFILDVNVFDGTLMAPFTAFTKIWRMRNNGTSKKTGQLCPFSFE >KVH89531 pep supercontig:CcrdV1:scaffold_597:19289:24210:1 gene:Ccrd_008473 transcript:KVH89531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGVKDVKSKQELDDFVKEGSAVMIHFWASWCEASKHMDQVFSHLSTDFPHARFLRVEAEEQPEISEAYSVSAVPFFAFVKDGKTIDTLEGAYPSELANKVSKVAGSIHAGEPAAPASLGMAAGPSVLEAIQDIAKVDNSSRAITPSPAPDALKNRLQKLTNSHPIMLFMKGTPEEPKCGFSKKAVEILKAEKVKFGTFDILSDNEVREGLKKFSNWPTYPQLYCKGELLGGSDIIIAMHEGGELKQAFSDHGVGTADVSKSNVTEPAGQKAGVTDSTGLSSALTSRLEGLINSAPVMLFMKGTPDEPRCGFSRKTFNILSDEEVRQGLKVYSNWSSYPQLYIKSELIGGSDIVLEMQKSGELEKVLVEKGIIPLEERLKKLVNSTPVLLFMKGTPDAPQCGFSSKVVNALKEEGIKFGSFDILTDEEVRQGLKTFSNWPTFPQLYYKGELIGGCDIVLELKTNGELASTLSE >KVH89528 pep supercontig:CcrdV1:scaffold_597:182764:184880:1 gene:Ccrd_008486 transcript:KVH89528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pistil-specific extensin-like protein MVLTSCFMVNVFGGDDLDLVSWTQAPHHAPSYPPVGAPPPHHHHKGPGGHHHKPAVPPTAHPPTVAPVHPPIKTPVAPPTKAPVAPPTKAPVAPPTKAPVAPPTKAPVKPPTKAPVKPPTKAPVHPPIHSPAPKHAPLPTRRQVAVRGAVVLLTCNNTKYPLRVKATTDKNGFFFIMPPKTLTTYGAHTCRVTLLSSPKATCNQPTNLHYGLKGATLVLTPKPHGSSLPAAPPLPFDVFTVGPFAFEASKKTPCTH >KVH89532 pep supercontig:CcrdV1:scaffold_597:6056:13418:-1 gene:Ccrd_008472 transcript:KVH89532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter-like protein MRVSLGAVIGRYPFPSSTNDGQNQNLETDDVIRHGRKCRDLPFLVFFIVFWIALIVNSSFGFYKGNPLRLTHGLDYKGNVCGDKHGSPNLHGLGVRYWVNPNQVFESGFKDSHADLEDFKSICLKDCPMPSEDTLKWVCNYPEGDDIRLSMDQWLNLVITFIPSAGWIGNDAISPIIGKHDPYYHLSGRERGHLHFVAALMTIVMAVASKIIGEVRALIIFPIMPYALLAIFYMIWISATLYLLSSGQVIRDRCNANCCAYDLRLKQVNCERCCGHTIHYTPHIGLAIAFHLFGWYWVTQFVKAFSSTRLVRYNIGSVAAGSLIVSFVESSGRILKPLRRKLMDVDVSTHNRLGKALSVSSHYALTFIEWVIRSVNHNAYIMIAITGESFFAASAMATELIRNNILRIGKVNVIGNVILFLGKLCVSLASALFAFLMLDTHAYKSAHNKVSSPLIPVLVCWGLGFVVATLFFAVVEVSIDTILLSYCQDSEGHRGTTQYAAPLLLETLDDQNEHDQ >KVH89537 pep supercontig:CcrdV1:scaffold_597:92982:98852:-1 gene:Ccrd_008479 transcript:KVH89537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPESTAASGGDTTYSWPPAKGVAVYGVAITAAMAVGYAALYVRRSAKFRSQVVGIIPARYASSRFQGKPLVPILGKPMIQRTWERAKLATTLSQLVVATDDERIAECCRGFGAYVVMTSESCRNGTERCYEALLSLKKKFDIVISPTPLQLEEDLEQLKVLENGYKMKVIKVDHDAHGVDTPEDVEKIESLMRERNLS >KVH89523 pep supercontig:CcrdV1:scaffold_597:113027:115792:-1 gene:Ccrd_008481 transcript:KVH89523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2921 MEIRFGSVDFSKSQIRVSFSSLNVLRFALIFTVFISKSTSISQAFSEVPYSQYCNGVVPESESTETQLSADAFLRLDKAVYSLGFEKPRFNFNPVFSQIASFSTRKAYGTKSKGIFKVDALLNLVGPNIVDYFSGDITRRRLRLVKVRPPRIEPRKNVGAEFRLFGFWDSDSGKLCMVGSGSVSSLRSINVVFKLNYPNSSVLDTSLVNGTLQSIMPSGSATYFKPISILGVSRMGYNFSFIDEEIKNGGFSLYDGMENVSLSLPDSETVYGHGICSIITWGLQFELDYNRYDCDNASCSLQTVGDEILPRFMSIKVVDCLKDGKVRYILQFSNSSYGKGFSYYPLTSLVGEGAWDQKKKRLALVACQLFDKMSKRGCSIRLAFSLPSTLSLKHRSSIVGKMWSTESKNLGHVSFQSPANLNSRIKNTLYEYLEHEKVGNLCTKSLGGKPSSKGTYPDEQSPNLRFDMMVRNKKGQMAYGYASPFYVYDKIYSPFAKIEFRHNSSNGYVNISYVMSFTTRGEFEFGGKVPAHKMVEISAEGTYNTKNGVVCMIGCKHMPYEKFQKKRSLDCELLIDINYSPLNGKDAGMVVGSIKTSRKKSDPLYFEPVEFGSSSITTVQARETIWRMDLEITMVLISNTLTCIFICSQLFHAKKNPESLPFVSVIMLVVLTLSHMIPLLLNFEAIFLINRKQNVFLGTDQWLEVNEVLVRVITMVAFVLQFGLLQLTWSSRNGPESPQNLWISDKKVLYVSIPLYIAGGLTAWFAHSLTNSQTKPIHIGRQRFHAHNSGTLWGELKSYIGLILDGFLIPQIVFNVFCDARVPALAPSFYVGSTIVRLLPHIYDLYRTHSSSWSYDKIYANPGMDYYSTTWDVAVCCGGTICVLVIYVQQRFGGRSVLPKRFRERVLYQKVPVTTQEQQVF >KVH89535 pep supercontig:CcrdV1:scaffold_597:60243:82995:-1 gene:Ccrd_008477 transcript:KVH89535 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MLPHVGVGEYCETKKAYYFGYIIHRLLLCALARRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEVNVKLFEREISPAVIPQATKIFVNGLWVGIHRDPDMLVRTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIQKLQQRDLVSARVNPDESYSDTYTHCEIHPSLILGVCASIIPFPDHNQVSFYVENTCHYPIAFILWAHGNVSCLNSLHVTRISLLWVSKLWGFMSLTSNFVWYVEVCFPSRISCGHALFYLLTVFVATLFLLGYIGLRSILSPETSCNYSSNGAFALQTASGWHYVIIGKTTPIAQDDAQGQASRYTRRDHSTSLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVDNISRALHKCGYQMRGFETMYNGHTGRRLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFE >KVH89538 pep supercontig:CcrdV1:scaffold_597:91216:92925:1 gene:Ccrd_008478 transcript:KVH89538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MNKAQWIPILVLRVIAMVATFVATLVMVTSHDSAKVLGMTFQAKYNNSPTLKYFVIVSIITTVYSLAALFIPPKKLWRPVLVFDLIVTSFLISSFSAAVGVGQLGKEGNSHAGWLPICGQVPKFCNHVSGALIAGFVAVIIYFILLLYSLNNVLNLVVFKV >KVH89536 pep supercontig:CcrdV1:scaffold_597:42959:46116:-1 gene:Ccrd_008476 transcript:KVH89536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MECENYFADELKPVPSSEKSSPCFDCSICFDFAKDPVVTLCGHLYCWPCIYKWLSFQTTSTDSDDNPLCPVCKSEISHTSVVPLYGRGKTFSDDEADTKTAVIPPRPHATLVPASNPAQRFSYRNSHQTPYGSYDRSPDIYSHGVDAYNPNVWMYGEMIYARVFGNSQSLYTFPNSYHLAGSSSPRLRRQEMQIHRSLNRLTIFLFCCFFLCLLLF >KVH89533 pep supercontig:CcrdV1:scaffold_597:29847:34106:1 gene:Ccrd_008475 transcript:KVH89533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKLSRLTLRIQGVCRNASKNLFSSSSSSLSSSSLSSKLLTSQTPSSEVTERKKENLSRRSPTFPTKNEPNSSLYRRISPVGDPDISIIPVLDQWVSEGREVDKESLINIIASLKKYKRFKHALEWMTNKRYIPPMKDDIKSRLPLIYKVHGLEKAEEFYNNISQIFKGYEVDTTLLYIYSLEKSVDKAEAVMQKLRKTGCPMTAFPYNILLNLYYHIRDWAKMDALTKEMAKNGIYGDRHSFTPLLNAYAANSDIEGLNRTMAIMEADPRVCMDCKTYIFAANAFLKTGLVEKCLKLMKDAEMAITVKDRYKSLNTLLRMYTDLGKKDEVYRIWKRLAEKKIYNTGYRRMINSLLRFDDVEGAERVFEEWETKGLSYDFVILDELIDVYVKTGNLGKAEILLKHGIEKGGNPTFRTWYCLTIGYIEDNQVVKGFEALKNATFSCRFLPFQEPVKDKLAIVLECLESRRNMEEVAGFMKSLEAEGIFSSTVCGRLLDFIVNETSKS >KVH89526 pep supercontig:CcrdV1:scaffold_597:149454:151256:-1 gene:Ccrd_008484 transcript:KVH89526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MGGATTRIPMSHRVLSPNHNYTTGDHHPHDQPAMQLSGSIFGFLEEEPTVLSSLENVYYDVQHEIEDDEKTENPEVIENKIQFWETQRQNLHTTLFRTTSLESRIRSITKEVIREVEMVENVCFCTRPVSSGCRSCRMSEICRRLQNSGYNSAICKSKWRSSLDIPSGEHTFVDVIDHSDRKKGDVRVIIELELKGQFEMKKGSEEYNLLVSKLPDVFVGKIERLETIIKILSTAAKKCMAEKKMHLGPWRKQQYMQAKWLRVIERNTSTTLVEPLAVDSYSTRPARVRASMLTMDLLDNLPNMNYFYAQTVKVV >KVH89522 pep supercontig:CcrdV1:scaffold_597:106915:112670:1 gene:Ccrd_008480 transcript:KVH89522 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MNLRQRPVPTRGRGNSDAPSQAARLEEPYNIIPIHSLLSDHPSLRFPEVRAAAAALRAVGDLRRPPFREWRDGMDLMDWLGAFFGFQTDNVRNQREHLVLHLANAQMRLSPPPAIVDNLDHGVLQRFRRKLLRNYESWCSFLRKKSQVKIAHFRQNAETHRRELLYVAMYLLIWGEAGNLRFTPECLCYIYHHMTSDLNKVLAGDPDNPPISGENAFLNKIITPIYTTIKTEVERSRNGKAPHSAWRNYDDINEFFWSRKCFKKLKWPLELSSNFFVDDPKTVNRVGKTGFVEQRTFWNVFRNFDRLWVLLFLFFQASMIVAWRETEYPWQAVEDRDVQVELLTLFITWAGLRFGQSVLDAGTQYSLVSRDSKLLLFRMIMKSMVSLTWAMVFTVFYIQIWIQKNSDGGWSDAANDKIIVFLKAGLVYIIPELLALLLFVIPWVRNFIEETNFIVFDALTWWFHSRLYIGRGLREGLVSNIKYTFFWIVILLSKFSFSYFLQIKPLVAPTKALLSLGRLRYNWHEFFSNTNRMAVVLIWIPVVLMYLVDMQLWYTVISAFVGSVVGLFSHLGEIRNIEQLRLRFQFFSSALQFNLIPEDQMMTAKDSLVQKLRNAIHRIKLRYGLGQPFKKIESSQVDARRFALIWNEIIYTMREEDLISDEEVELMELPPNCWNIMVVRWPCFLLCNELLLALNQAIEYPRSPDRWIWFRICNSEYRRCAVIEAYCSIRFLILEIIQNRSEEHSIVTRLFMEIDHFLGSGEFTKVYKTETLPRIHAQLIKLIGILLSADQNTNKLVDVLQALYELTVKELPWSKKPVAQLIEEGLAPRNPATTEDNLLFVNRVRFPKPDDVFFFRQLRRLNTILTSRDSKRDVPKNLEARRRIAFFSNSLFMNIPRAPQVEKMMAFSVLTPYYEEDVLYKKESLRTPNNDGISTLFYLQKIYQDEWDNFIERMRGEGMKDDDEIFKTKSRELRVWASYRGQTLARTVRGMMYYYRALKILAYLDSASEVDIKQGSQNIDYRMAPRGARSLNRAMSSLGVLKGNEVAAAMMKFTYVVSCQKYGSQKQKGEQQAEDILNLMKNNEALRVAYVDEVRLGRDEVEYYSVLVKYDDQSKKEEEIYRIKLPGPMKLGEGKPENQNHAIIFTRGDALQTIDMNQDNSFEEALKMRNLLEEFTISHGIRKPTILGVRENIFTGTVSSLAWFMSTQEMSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFITRGGISKASKTINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYSTIGFYYNTMIMIVMIYTLLWGRLYLALSGIEGAAMDNTQYNKAFGAVLNQQFVVQIGVFTALPMIVENSLEHGFLSAVWDYITMQLQLASVFFTFSMGTRSHYFGRTILHGGAKYQATGRGFVVEHKSFADNYRLYARSHFVKAIELGVILTVYASNTPLAISNFLYIILNISSWFLVVSWIMAPFVFNPSGFDWLKTVYDFNDFVKWLWYGGGSLAKAEVSWETWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLNIANNSTSIVVYLLSWVFMIVAVGIYIIIAYAQDKFAVKEHVKYRLVQLLVSFLIVLVVILMLEFTKLTILDMFWSILGFIPTGWGIISIAQVLRPFLESSVVWDTVVSVARLYDMLIGMFVLAPLAFLSWMPGFQAMQTRILFNEAFSRGLQISRILTGKNINMES >KVH89530 pep supercontig:CcrdV1:scaffold_597:2231:4591:1 gene:Ccrd_008471 transcript:KVH89530 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3700 MLAVFEKAIANPPKELGLPFGGSGNRKTKEEFAVYFGSDQPDSTCYKSIVVMDDIFCIFNGYLRNVCDLRRHYGLSRQATEAMVVVEAYKVLRDRAPYPADQVIKELDGKFSFILFDAKATHLFLARGCGNRYTPFPPGCFFTTEEGLISFDHPFNKVQGVVREDDGGYGSAVIFQVDLFTRLHSIPRRGSDANWTGVIVVEGDYE >KVH89525 pep supercontig:CcrdV1:scaffold_597:146430:148385:1 gene:Ccrd_008483 transcript:KVH89525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MGGATTRIPMSHRVLSPSYNYSAGDNSGDQPAVQLSGSIFGFLEEEATVFSFPESDRISDIQHDIEEEDETETKNPEKIEDKIKFWDTQHQNLHTTLFRTTTLESKIRNITKEVVAELEVVENVCSCSRPVSSGCRSCRMTEICRRLQNSGYNTAICKSKWKSSSDIPSDEERKRRIQRSRIETP >KVH89527 pep supercontig:CcrdV1:scaffold_597:156369:158081:1 gene:Ccrd_008485 transcript:KVH89527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3, subunit 7 MVGFEIGDVPFNPDGWGPPETVTSSVPFLPNYPANVPFAPFSRSDKLGRIADWTRSNYNPNRPNRNNPADSAFDFTTDDSFGGGLNADDDSSFRLVDGKPPPRPKFGPKWRFQNNRNQLPQRRDEEVEARKREAEKQRARRDRLYHANRTGGNNPRREAAVFKSSVDIQPEWNMLDQIPFSTFTKLSYNIPEPEDLLICGAIEPYDKTFDRTTPKNERRLERFKNRNFFKVTATDDPIIRRLANEDKATVFATDSILSTLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVNFEEPNPFANEGEEVASVGYRYRRWKLDNDMYLVARCEVQSFLEINGKKSFLTLNALNEFDPKYSGVDWRRKLDTQRGAVLATELKNNANKLAKWTAQAILAGADMMKLGYVTRVHPRDHFNHVILAVVGYKPREFAGQINLNTSNMWGIVKSIVDLCMKLKEGKYVLVKDPQKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPVEDGDIVDGIGGLNNVEGKEVKAEL >KVH89524 pep supercontig:CcrdV1:scaffold_597:118612:127187:-1 gene:Ccrd_008482 transcript:KVH89524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGSMGSRASSVGNLGSVVVRSSSNGSLQQNQPQFQNGGVGFQQQMNQSTTQVPSKKASKTFINKEKDNAFMWIFKFAPRKKVGMLLLSIASTAAMLWILYIAKESITKEFRNMMLINLFIFLSIISSSLGLFEGEINQKNSSLVRFSGYSPSIDEERVQEFNSALVNDQMKITLNDDNRVEAHPPPPPVYFTGYTLPPGNPCESFRMPPPPADKKKTGPRPCPVCYLPVEDAIALMPKAPSFSPVLHNLTYIHEESLTKSEFGGSDFGGYPSIKQRSESYDIKESMAVHCGGDRPGRKSGFDIDDSDLLQMDACHGVVVASAIFETEKFMRNSSHLDYSKKMGLWNIVVIYNLPYTDPRRNGKVPKLLLHRLFPNARYSIWMDGKLKLIVDPYQILERFLWRENASFAISRHYKRFDVFVEAEANKAAAKYDNASIDFQIDFYRKEGLTPYSEAKLPIRSDVPEGCVVIREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIRSKTNWTVNMFWDCERRNFVIQGYHRDVLEHWAPPPPPGAPVVSNTRSSIPTDKPPKTSTEKIVSNPKKKPSTKRRRDKKPSSRRHRKVAAGTRNY >KVH89534 pep supercontig:CcrdV1:scaffold_597:26270:29356:-1 gene:Ccrd_008474 transcript:KVH89534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMAVRLRKLQSVRTLTGNRSYSTATITQSESNDSSKKIKKASLYSRISPLGNPSLAMTPELDDWIRKGKKVRHSELKQIIHDLRKRRRFHHALEVSEWMNKNGVCAFTPVDHAVQLDLIGKVHGFLEAEKYFNSLTEQDKTDKTYGALLHCYVRQRETEKSLSHFQKMKEKGFGLSPVAFNDIMCLHIRTNQTNKVHDVLDDMKKNGVSPDNLTYRMCINSYGDNTDIEGMEKILTEMEKDPNITMDWNTYTAVANSYIKGNLIDKAKNALKKAENRLEKDALGYNHLISICARLGNKDDVLRLWGRLKSTCKRQINREYITMVTSLVRLGEFEEAEKLLLEWGSSGNVYDFRVPLIIVDGYLENGSCDKAKDLLDNLLKEGKTTTADSWGRVAIEFLKKGEIEKARNCMESAVSLPLEKKDWKMDSKVVEKLLDGIGEKESVKKVESFVLKLKKFVAFDRKMYHCLMKAYINGGKEVSKVLDDMKADGIEEDEETKKILGLQQKNV >KVH89529 pep supercontig:CcrdV1:scaffold_597:206564:207190:1 gene:Ccrd_008487 transcript:KVH89529 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MENYPSPNTCSATTYQPSPPPPPPTPVTISDPNNPYPTTFVQADTTSFKKVVQMLTGSSETVKQATTAKNPIPPMKTGLNKKPSKLYERRNSQKNFKLSPLVAGFTNGGGFTVSPRNPNTPEILSPSLLDFTSLVLSPVTPLIADPFYKSPVNDGSPNLDVEAEEKAIAEKGFYLHPSPATTPRRELEPRLLPLFPVTSLRFSGGSSS >KVG90339 pep supercontig:CcrdV1:scaffold_5971:21032:21415:1 gene:Ccrd_026119 transcript:KVG90339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MARLPSKGRKKIQLKRIENDKERAVTLSKRRNGIFKKANXLATLCRIQIAIILFSISXKPLSFGSPNVQSVVNKFLNPNQVDQQPNDFINMAVNSNHEPKLQDFNKEFDEVNEHLANEKKQEQMFDE >KVG90338 pep supercontig:CcrdV1:scaffold_5971:19240:19833:-1 gene:Ccrd_026118 transcript:KVG90338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MARLPSKDRKKIQLKRIENEKERAVTLSKRCNDIFKKANKLATLCHIQIAIILFSIIGKRLSFGSPNVQSVVNKFLNPNQLDQQPNDFINMAVNSNHESKLQDFNKEFDEVNEHLANEKKQGQMLDEYIKRLLGGKTYKEYVAIRGYYGFMQIKFKMEELQRNKECTLVAVCGPSSSNDENEAYLSKIGVPKDYLKQ >KVI03117 pep supercontig:CcrdV1:scaffold_5975:9607:12545:1 gene:Ccrd_018588 transcript:KVI03117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase-like domain-containing protein MAAEGVSLNHVSRESSDIDRLAQFYQEIFGFERIESPKFEFKVIWLRQSPSFYLHLIERDPSTKLPEGPWSSNAAVADPHNLHRGHHLCFSVSNFDSFVKTLKEKGIETHEKTQPNGKTKQVFFFDPDGNGLEVASQP >KVI03118 pep supercontig:CcrdV1:scaffold_5975:14552:19685:-1 gene:Ccrd_018589 transcript:KVI03118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLQHAVVMVLSTYRKGITKRGCIRWMRFREVSSRLESHELDAIFVRSVNEVEVKPKPKVYPNLAT >KVG89860 pep supercontig:CcrdV1:scaffold_5978:3972:17666:1 gene:Ccrd_026120 transcript:KVG89860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MVWFGCCIVLLVVVGGGSTAVMVEGANVSYDGRSLIIDGQRRILFSGSIHYPRSTPDMWPSLIAKAKQGGLDVIQTYVFWNLHEPQPGQYDFNGRNDIVSFIKQIQEQGLPFWLHDVPGIIFRTNNQPFKRHMQNFTTKIVDMMKAEKLFASQGGPIILSQIENEYTSIEGAFHEDGPRYVNWATEMAYHGGTNFGRTAASYIITAYYDLAPLDEYGIIRQPKYGHLKDMHAAIKLCSQALLYGQLTIENLDQNQDVRINNGFNLLFTLMYGFSTYKFDGNIFGGYNVQAYVYNISSGECAAFLVNNSSRESVVPITRFDSAQQWEEFREVVPQFDQTKLRMQQNSSEAQYTLRANTRGHVLRTYVNGDLVDSAHGNRKVSNFTSESTISLSTGINNISFLSVMVGLPDSGAYMESKRAGLHEVLIQDVNITSYSWGYQVGLVGEKLSVYNEGPSNISWSQYSSPHTLTWYKVSLSERNVLTVFDSPKGDEPIALNLGSMGKGEAWINGKSIGRFWVSFKTNVGSPSQTWYNVPRSFLIPTGNLLVLFEEEYGNPLNISLDTVSINKVCGHVSDSHPPPLKSSEPRVLQLWCPHQRNISKIIFASHGNPLGNCESYSIGNCHSASSQQTVEK >KVH92327 pep supercontig:CcrdV1:scaffold_598:54118:58520:-1 gene:Ccrd_005631 transcript:KVH92327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEQKLEHQGITQRKAKRAVAAVLTRSQEGISSEDLNLSIEERAENQLAELCQNGLNGILADQMGLFVKDHFLWKMQNEEDMLAMLRDEEDTQDKKIQSVISEEDLEKVLDRSELVATNPAKADALGERRFCEFNVVNIFGIDMPPEITISGKINIVVRSVFS >KVH92334 pep supercontig:CcrdV1:scaffold_598:202022:202649:1 gene:Ccrd_005640 transcript:KVH92334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MKLFGNTLRGCSDIFGSTVENAVITVPTYFNDSQRQSTKDAAKIAGLEVLRMINEPPACYYGTGYLATNLSDLGDEVVXGVKLIVVTPLSLGVNCKGDVMVVLIPKNTPIPTKKEDTLYTXYDDQTAGLVMVYQGERLRSTXNYLLGQLSLSSLPSAPRGGRDQDML >KVH92328 pep supercontig:CcrdV1:scaffold_598:64099:67897:1 gene:Ccrd_005632 transcript:KVH92328 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein SFSFAQRESHTHKHLDTHTSFCSPYQSRDIPINRMASAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVKLIKDYRGSIETELSKICDGILGLLESHLIPSASTAESKIFYLKMKGDYYRYLAEFKTGADRKEAAESTLLAYKSAQDIALSDLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDIADEGGDEIKESSKAEESQ >KVH92325 pep supercontig:CcrdV1:scaffold_598:184449:188583:-1 gene:Ccrd_005639 transcript:KVH92325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily RADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLASPMAGILVLTYDRPTVLAMGTLCWALSTGAVGGSRYFSQVAFWRAVNGFGLAIVIPALQSFIADTYSDNVRGTGFGFLQLVGMVGGIGGGVVATIMAGHEFWGIPGWRCAFVLMALLSCAIGFLVFISGSIWTESWIATKAVMKVQTFQIIVLQGLVGSLPWTAMVFFTMWFELIGFDHQKAATLLSLFGAGCSFGSLLGGIIGDRMAQIYPHSGRIMCAQFSAIMGIPYSLFLLRVIPQSVDSYLTYAITLLLMGLTISWNGSAANAPMFAEVVPSKHRTMIYAFDRAFEGSFSSFAAPLVGILAEKMYGYDAKSVDPIAGSSREALAYPLQQVFNKIVNFEFLTSKARCFAQYRAR >KVH92337 pep supercontig:CcrdV1:scaffold_598:329:1210:-1 gene:Ccrd_005627 transcript:KVH92337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAIKSLSIQKKPPVSTLLATSNTFAGKATPVIGTVRFQRASAGSEEPDNMEKNQQAKEPEKRGDVMSHSFGEGYATRSDEEGFGGIYGRNQSLSHEDEEKVVHGNSPDYDKTQGSEVKEKERSRHQTQANS >KVH92326 pep supercontig:CcrdV1:scaffold_598:49782:54258:1 gene:Ccrd_005630 transcript:KVH92326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF502 VILFPIAITFYITWWFIHFVDGFFSPIYALLGINIFGLGFVTSITFIFLVGVFMSSWLGTNLLSLGELIIKKMPLMSYIYTASKQISIAISPVAIIKHPSVGEYAIGFITSTLILRKNAGAEELCCVYVPTNHLYLGDIVLVNSKDVMRPNISVREGIEIVISGGMSIPKILTTLNSQNLLSPRAGKYVIP >KVH92333 pep supercontig:CcrdV1:scaffold_598:175180:176346:1 gene:Ccrd_005637 transcript:KVH92333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKERQRWQPEEDALLRAYVHQYGPREWNLISQRMSKPLDRDPKSCLERWKNYLKPGIKKGSLTPQEQSLVISLQAKYGNKWKKIASEVPGRTAKRLGKWWEVFKEKQIKQQIQNHKKSGSFTSNPPPPPPSVAVASGCCGSPERAVQGTYDHILETFAEKYVQPYLKPAVVMPNLNSPILSLGSGSTPQPEPNVSAPNMLPPWMNNNNTTSCLTSSSSSKSTTPSPSVSLTLSPSEPVVLDPVHSDHPHPSRFFPVQQVGTLVQYCKEVEEAKQNWVQHKKEATWRLSRLEQQLEAEKSRKRREKMEEIEAKIRCLREEETAALGRMENEYREQLSALQRDADGKEVKLMESWSNKQMKLSKLVEQINGGLIQHQHMISGTNHHPGLS >KVH92324 pep supercontig:CcrdV1:scaffold_598:180213:185848:1 gene:Ccrd_005638 transcript:KVH92324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MNEGRVKIQIKIDLTVPTMLAWFVAKKFCQNLEYIRHPEVYVGNLAWSVDNLALETLFQDQGNVLEARVIYDRDSGRSKGLGFVTYSSANEVNSAIESLEGLNVDGRNIRVTVAEAKQIPQF >KVH92332 pep supercontig:CcrdV1:scaffold_598:115521:116815:-1 gene:Ccrd_005636 transcript:KVH92332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MAAAASASLQSIPASLKLSAVKPPQLLPANNLLSFKNSNNPIFTHRLTTATNSSCSRRAFSVKSQSNPSESSRPTKIHELCVYEMNEGDRGSPVYLRLGQKPVNSLGDLVPFSNKVYSADLQTRLGITAGICILIRNIPEKKGDRYEASYSFHLGEYGQISVQGAYLTTEETYLCITGGTGIFTGAYGQVKLQQIVFPFKLFYTFYLQGLAADLPPELLVTPVPPTPDVQASPAAKAVQPGATCREYTD >KVH92336 pep supercontig:CcrdV1:scaffold_598:6165:14875:-1 gene:Ccrd_005628 transcript:KVH92336 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD binding domain-containing protein MWRCVSRAIRIPSRRSTSGDFLSRRFFSSESNVGRSAYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMGSDGSCQGVIALNMEDGTLHRFRSSSTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPMKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVVTIKGNDPDAIIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGEKQKPLEKGAGEKTIAWLDKIRNSNGSLATSKIRLNMQRIMQNNAAVFRTQETLEEGCNLIDKAWESYHDVKLKDRSLIWNSDLLETIELENLLINACITMHSAEARKESRGAHAREDFSKRDDEKWMKHTLGYWENEKVRLDYRPVHMNTLDDEVETFPPKARVY >KVH92335 pep supercontig:CcrdV1:scaffold_598:18664:47683:-1 gene:Ccrd_005629 transcript:KVH92335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein MPCGGGGGSSRRGVRRRRRPRATINFRDKKELPPQIKFGNAYAYESTKTPSETMASIIAFILLILLSIDRKTIADNHTQPEPIGYGYSLKSIGIGASGKSLTADLQLNNKSSLYGPDIDELHLFASFETADRLRIRITDAKRQRWEIPTHILRRPPQTSSVPPKSHQQIPANFFLSDPTSDLILTLHNTTTATTTQFGFTVARRSTGDILFDTSATVLIYKDQYLELTSSLPADRSSIYGLGEHTKRSFKLTHNQTLTLWNADIXSXXPDLNLYGSHPFYMDVRSPDSDGRVLAGTTHGVLLLNSNGMDIVYNGDRITYKVIGGILDFYIFAGPSPELVMDQYTEFIGRPTPIPYWSFDVDDLEGVVAGYAKAKIPLEVMWTDIDYMDAYKDYILDPINFPLDKMSAFVQNLHQNNQKYVLILDPGISVNTTYKTYIRGLQADIYIKRDGIPYVGEVWPGIVNFPDFLNPKGATFWGDEIKRFRDLLPFDGIWLDMNEVANFISSPPFPLSKLDDPPYKINXXGVQMXINNXTVPASSLHFGNITAYDAHNLYGFLEAXATKEXLIKITGKRPFILSRSTFVGSGIYTAHWTGDNAATWDDLAYSIPSILNSGLFGIPMLGAFYPFARVHSDIESTRQELYIWDSVAATSRKVLGLRYQMLPYLYTLMYEAHSKGTPIARPLFFSFPQDTKTYDISTQFLLGKSVLVSPVLEPKTVSVDAYFPSGNWFDLWNYSNSISVDSGTYVRLDAPADHINVHIREGNILVLQKEALTTKAARETPFXLLVVVSRSENSTGEVFLDDGEEIECGGEGGRWTSVRFSSQVVGKKAMLRSEVVNGDYALSRRWIIEKVTFVGLENVSTMKGFPLCWIARAGVCSIRVTAGGGGGRFAMAEISGLSLLIGEGDKKGLPPQEIKCGNADAYESMKTPSETMASIIALILLILLSIDRKTIADNYNQPEPIGYGYSLKSVAIGPSGKSLTADLQLNNKSSLFGPDIDELHLFASFETVDRLRIRITDAKRQRWEIPTHILPRPSRTSSVPPKSHQQIPANFFLSDPTSDLILTIYNTTTATTTQFGFTVARRSTGDILFDTSATVLIYKDQYLELTSSLPADRSSIYGLGEHTKRSFKLTHNQTLTLWNADIXSXXPDLNLYGSHPFYMDVRSPDSDGRVLAGTTHGVLLLNSNGMDIVYNGDRITYKVIGGIIDFYIFAGPSPELVMDQYTEFIGRPTPIPYWSFDVDALEGVVAGYAKAKIPLEVMWTDIDYMDAYKDYILDPINFPLDKMSAFVQNLHQNNQKYVLILDPGISVNTTYKTYIRGLQADIYIKRDGIPYVGEVWPGIVNFPDFLNPKGATFWGDEIKRFRDLLPFDGIWLDMNEESNFISSPPFPLSKLDNPPYKINNSGVQMPINNKTVPASSLHFGNITAYDAHNLYGFLEARATKETLIKITGKRPFILSRSTFVGSGIYTAHWTGDNAATWDDLAYSIPSILNSGLFGIPMVGADICGFSRNTTEELCQRWIQLGAFYPFSRDHSDKESTRQELYLWDSVAATSRKVLGLRYQMLPYLYTLMYEAHSKGTPIARPLFFSFPQDTKTYDISTQFLLGKSVLVSPVLEPKTVSVDAYFPSGNWFDLWNYSNSISVDSGTYVRLDAPADHINVHIREGNILVLQKEALTTKAARETPFXLLVVVSRSENSTGEVFLDDGEEIECGGEGGRWTSVRFSSQVVGKKAMLRSEVVNGDYALSRRWIIEKVTFIGLENVSTTKGFLLCRNARAGVCSGRVTVGGGGGRFGMAEISGLSLLIGEEFDLTFNLD >KVH92331 pep supercontig:CcrdV1:scaffold_598:94428:103052:-1 gene:Ccrd_005635 transcript:KVH92331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLTQARQLRLSPPPSSTISSILYDPTSLALALMHSDSSFSLYPSISPFSPSPLRSATTTTVVSPPSSSATFLRLRSTDTSRVLFLVSSPHLAGSSILLRFYILRADNKFARVRVICNQSDLSFDERKLGVLFRVNHGVSIKLTGSINVFAMYSVSDCKVWVFAVKIVEERDEVKLMKSAVIDCDLPVFSISVSVGFLVLGEENGVRVFPLRPLVKGEIKKERRREISKKADKLEVQKINLLNGMIPGTNGSSMLYVKSGKVGGSSNKCINVNGNMEQKIGKHSDHTGTAKLKSVKIRQTSREGGVRFVAFKSKEFENCKFSKVPLTSRKATSIHFLAHNKFLILDSVGELYLLLLSNLVSGSESTCDMKKLTLTMKVQNLAVLPDDSTRAQTVWVSDGHYTIHAMVISDTDDDEEKIQSSAIEVIFTSEKIQEIIPLAANAILLLGQANNIFAYAIS >KVH92329 pep supercontig:CcrdV1:scaffold_598:75201:81858:1 gene:Ccrd_005633 transcript:KVH92329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 MAVAPAPSPNSKAPVAEGSKRKMVSSSGQEEEPRSDMKSGNSSSQPPKRNKSPGVRVIHGRVYDSQNGTTCHQDDCCFARFVVLYMLSDFIEMCRQKTCVVSVNCKNQARAKPCTLKYCYTCLLNRYGEKAEDVALLDEWNCPRCRDVCNCSICMKKRGHQPTGMLVQMAKAGGFSSVSDMLHVKGPQSVGPYKRVKETCASPRKLSAPAEGTVIISPKKPGKENLFDGKTDLNANPSLPIPSSEEKPKKMKQKRLDGVNKVSGVEINHALNEKNEKKLKPEGLDKTGSSLVFVEKTSHLDKKKHKKLKIEGSKEIVEGNVNGGAISKVNGVQQEVKEIKTSIHDGNGTEEKNIVHEGEEGLDGRSDQKANQQAEDKKYLKAKKKALNLCNNSFEAIIPLPTGSELVTIVGVDLPKEDAGNALQLLEFCATFGKILDVRKGQAEAVLRDLIKGRNPTHGNDSWLKALKSCIPKSQHILEHVDCTDKRTGGYDNLDSSMKLKLLVLLCDEILGTEKVRNWINEENVKFAEKRKEAKEKLAAAKDKEKILKQKMQDDVAKAIIARDGVPLTMLEHDAIVSKIKNKTAEAHAEMIACKQMIPIDKEKPDAVRTEPIFRDNNGHVYWKLKGFSDAAGVLHQDIGTGDHTAEQVDRWFHYDAEQMDLIEKHIKVSRLRFKRDYKNCGMVSV >KVH92330 pep supercontig:CcrdV1:scaffold_598:82709:90405:-1 gene:Ccrd_005634 transcript:KVH92330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2854 MTTKALTASIAGGGNILCSHRSGNHQFISLNQFSPLNLRRSSSNLANQDHFLQKPKTYLRFKFVIARAADSTQAPIPAAAIGGKSVVEDEEFSLAKVSFGVIGLGLGVSLLSYGFGAYFNILPGSEWSALMLTYGFPLSIIGMALKYAELKPVPCLTYSDAQMLREKCATPILKQVRDDVIRYRYGDEQHLEEALKRIFQYGLGGGVARRNAPILQKIREEVTEDGKYCLVLVFEAKALELADFEKRQGKFASFFGPGITAEVGIGEEANAYDVRLISNTTL >KVG89851 pep supercontig:CcrdV1:scaffold_5985:18349:25673:-1 gene:Ccrd_026121 transcript:KVG89851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine racemase, N-terminal MDGVAAAAALRSVLHRVKQAAERSSRASDRIRVVAVSKTKPVSLLREVYDAGHRSFGENYVQEIIEKAPQLPEDIAGVPNLVMVETVDDEKIANNLDRVIGNIGRKPLKVLVQLVKHVTSSCPNLEFCGLMTIGMPDYSSTPENFKTLAKCRTEVCNALGIPEEQCELSMGMSGDFELAIEMGSTNVRIGSTIFGAREYPKKQ >KVI03090 pep supercontig:CcrdV1:scaffold_5986:7893:12340:1 gene:Ccrd_018616 transcript:KVI03090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MSPMLLTQQTKVRNMSNNVSECGMLLADVIGHEKDVAEIGSTVDAKLRWLRSQIIGGMAEISTPFGRRKLTYADHTATGNTHTSDSYVGNRTTKMLHEATDFVKKCLGGTQDDALLFCGSGTTAAIKQLQEVMGIAIPSNLREKVINSCVGIQERWVVFVGPYEHHSNFLSWKQTLAEVIEIGLDDEGLIDMHDLRCQLEFYQATGRLMLGSFSACSNVTGICSDTQREDAGTPSIIQRVKAALAFQVKEYIGCEVIGKKEVDYIERAIKRLVKNPKIWVLGNTDTNSVVERQAILSFLVYTTTYSSANAARETRDKPLSGAFVAKLMNDLFGIQARGGCACAGPISFPYYMSNAEYEFILAAIEFVAIYGQRFLSLYQLNWNTGSWSLKDEALEETLVDCNLYVLRALQVIKNKTTEKVMDCETKDDGDTDFDKLYALYLEVAKHIGNKLLKFPSQRIVPNQIDVNH >KVI07972 pep supercontig:CcrdV1:scaffold_5988:6601:7281:1 gene:Ccrd_013662 transcript:KVI07972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MDFAGIHSSVFTIKNNCPYGIAPATLTGSGDSVPTGFELAPQASNTINMPVPWSGRVWARFSCSNDDGKFHCESGDCGSGQVGCNGAGAAPPATLVEFTLSPAGQMDFYDVSLVDGFNLPVSVVSQDGVGCPTTDCPVDINAQCMPELAVKDASGGTIGCKSACVAFNKPEYCCAGDHSSPDTCPPTNYSQFFKNLCPKAYSFAYDDKTSTFTCNSGANYLITFCP >KVH88679 pep supercontig:CcrdV1:scaffold_599:156952:157330:1 gene:Ccrd_026122 transcript:KVH88679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVDLFIYLQPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPCGVSVPTLDKDILWEFQPKNLLIKWSCLDNEFLQKYFF >KVG89796 pep supercontig:CcrdV1:scaffold_5993:17904:21928:1 gene:Ccrd_026124 transcript:KVG89796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MASSRITPICLLVYLCFVGMFVNSVLGAKARHFKWEVEYMLGAPDCLEHVVLGINGQFPGPTIKARAGDTVVVHLTNKLHTEGVVIHWHGIRQLGTPWADGTASISQCAINPGETFIYRFKVDKAGTYFYHGHYGMQRSAGLYGLLIVDMEEGKKEAFEYDGEFSLLLSDWWHKAFCLRKAKRKKVEACGNGEINNLNKAGSLLINGRGQYNCSLAAAHVSKGNGCRFRGNEECAPNILHVKPNKTYRLRVASTTALASLNLAIGNHKMVMVEADGNYLEPFSVNDFDIYSGETYSVLFRTDQRPTDNYWISVGVRGREPRTPQGLAILHYQSTTASKLPTLPPPQTPRWNDYAYSKSFSNKIRALSGSPKPPFNHDRRIFLLNTQNRIDGYTKWAINNVSLSLPPTPYLGSIKHRLTNAFDQKSPPDTFLNTYDIMRPPPNPNSTYGSGVYMLKFNNTIDVILQNANALNANVSEIHPWHLHGHDFWVLGHGEGMFSKRDEKKLNLKNPPLRNTVVIFPYGWTALRFVTDNPGVWAFHCHIEPHLHMGMGVVFAEGVHLVGKIPNEALSCGLTGKMLMGKQHN >KVH89198 pep supercontig:CcrdV1:scaffold_5996:19633:20163:1 gene:Ccrd_008817 transcript:KVH89198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MKKIRGFLIKHRVFSLFRCIRRKSQTSPAGYRRLNPSSRSISKLLKWGAGIKAKATAICSKNPGFRWGYLRVGRDPIVEADPISPPHAAVPKGKMAVYIGQNDGGFERVLVPVIYINHPLFGQLLRKAEAEYGHDHAGGITIPCRISEFENVKTRIAAGCGGRKMLPWKRSNMSYT >KVI05539 pep supercontig:CcrdV1:scaffold_6:710384:711924:1 gene:Ccrd_016140 transcript:KVI05539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYTNPTTLFHPQITASRRHHRLPPIRATFSGEEPQTNRRRFITTTLLTTSISLMGLNNVNEQVALAENWGTRSFIKERFFEPGLSPEDAVARIRQTREGLHSIRNMLETMSWRYVLFYIRLKAAYLSQDLKNAMSMFDHYVRSPKVYESYLYYEKTLKSIDDLVAVLA >KVI05482 pep supercontig:CcrdV1:scaffold_6:530839:533421:1 gene:Ccrd_016151 transcript:KVI05482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNEWEAIQPSSSATESDEEDVDAVVVTRPTTTGSSVFGPSNHEGLPVSPQPPQDDYLREPVPETPSSPSSYSSSSTATSTEIVDGELPQPPEVRNTLLKASFGILSSWALRIAYGIRTRTGFWSIASVAAIVAVMAYGRRWQRWRRLAEKENKDQLALLISRKDEKIKQLLLQIDRMNEALSAQQRVPVLRVVVDSPLVIGPRANWKPT >KVI05546 pep supercontig:CcrdV1:scaffold_6:544240:547307:-1 gene:Ccrd_016149 transcript:KVI05546 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MELQKFLLSILLLLGFLSIIAQSIRFEIESGYTKCIAEDIKSNSMTVGHYSVVNPSQGHPLPESHKITLRVTSVSGNSHHYADHVQSGQFAFQAIEGGDYMACFFAIDHHPRVKIPVEFDWRSGVAAKDWSTVAKKGSVDAMELELKKLTDAVTSIHEEMFYLREREQDMQQLNNKTNSRMALLSFVSLFVCLSVAGLQLWHLKSFFEKKKLI >KVI05495 pep supercontig:CcrdV1:scaffold_6:1085863:1094310:1 gene:Ccrd_016101 transcript:KVI05495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGNCLDSSSAQVDTTLSSRASGTSKNGSKSSFSGPSSLTISSHSGMSSAESLQTPRSEGEILLSPSVKPFSFMELKNATRNFRPDSLLGEGGFGCVFKGWIDEFTLTASKPGSGMVIAVKKLKPEGFQGHKEWLTEVKYLGQLRHPNLVKLIGYCSEGDSRLLVYEFMPKGSLENHLFRRGPQPLPWATRLKVAIGAARGLAFLHDAKEQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTQGYAAPEYIATGRLTAKSDVYSFGVVLLELLSGRRALDKQKIGIEQDLVEWAKPYLGDKRKLFRIMDTKLEGQYPQKGTYTAATLASQCLSVEPKARPRMSEVLISLEELQAPKSASRDHHIVSSPIRRSPMRQQRRSPMNRSPLASPLPHHRQSPRVK >KVI05532 pep supercontig:CcrdV1:scaffold_6:958158:963333:1 gene:Ccrd_016114 transcript:KVI05532 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MDAGALFRALKPSNMLFKKSIGLRCSSSSNSPTTLHTGSGRTVKRAYEGLLLDAGGTLLQLAKPVEDTYASIGHKYGLDATSADIKRGFKRAFSASWPEKLRYQYYANGDAWHLPTGAYETILILKDAGVKLAVVSNFDTRLRKLLKDLNIIDLFDAVIISSEVGYEKPDAKIFERALDEMNVEAAKAVHVGDDDKADKLGANAVGINCWLWGTEVKSFSDIQDRILVPES >KVI05505 pep supercontig:CcrdV1:scaffold_6:20025:25463:-1 gene:Ccrd_016189 transcript:KVI05505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MRRRLIKKLCMVFGPRLPRIWLILCLVSVFVLIILSGSSSSSSFDSVTLTVKPDIYANYRRLKEQAVNDYVDLTSLSLGVSNLKELRICGKEKEHYVPCYNVSANLLTGFKNGLEFDRHCEVSQGEPYCLVRPPKDYKTPLSWPVGRDVIWNENVKITKDQFLSSGSMTKRLMLMEENQISFHSDDGLTFDGVKDYSHQVAEMIGLGSDAEFHQAGVILDVGCGFGSFGAHLLSLKLMPVCMAAYELTGSQVQISLERGLPAIIGNFNSRQLPFPALSYDMVHCAECGILWDDKDGLLLIEADRILKPGGYFVLHGSSLSTKKGSMASPIEEFARKICWTFIAQQEETFIWQKTIDAQCYSSSVQGAIPPCREEREDIQSYYQPLASCVGGTTSKRWVPIQNRSSGSQMIPAELEIYGKHCSRVQQDEFYEDFESSLSALRNYWSLLTPLIFSDHPKRPGDEDPLPPYNMIRNVMDMNAHYGGLNAAFLEEGKSVWVMNVVPIRASNTLPVILHQGFAGVLHDWCEPFPTYPRTYDMLHANGLLSYLMSERCSITNLLFEMDRILRPEGWVVLSDEVGSIEKARTIATQIRWEARVIDLENGSDQRILVCQKPF >KVI05562 pep supercontig:CcrdV1:scaffold_6:883387:889649:-1 gene:Ccrd_016121 transcript:KVI05562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTITTGTSLLSQSSLIPYSVKPSKTLIYSSHRVYHSGVLASPCLSNRALRFHHANYRNREALKRGLSSVCFYENKDSTNGKETVVEWPILQRWDVPWEWYTVSLTSLACGLRCYSKLNQWICCMLSLLHESYFPYKRNFSHIAFQYFPCEGDICPGSFVLTGLVEAAAIPYLGFQIGELSLDDKAEILFFDQAYDFFIYFRLCEFTILGSSLAPFLLPKFPFVLLIDEGLILCFFMFFSFTTAVVLVTLYSVTNTSKENPDDLYRYDWRDPFDLQRGWLLWAGIGLVGALVTISLAGVAMSLFSGEAPQREHCLPVGHHRNCFGVFICPNSQPSHSHNNSRSVEFGGRLVADLPSGKFPIFGLSLHEIALIQGYDIKEVLQVS >KVI05545 pep supercontig:CcrdV1:scaffold_6:553115:558600:1 gene:Ccrd_016148 transcript:KVI05545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDVRSENSSVQVVRWAKIPTQVIQRTRLQVWFIRVCSSILIWTCLVQLVAVGELWHPKLFIGFLNPINGSTGPSSNAGKSIPTPPPALPAPPPLPPPRNYISNGFLKVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKTSFWADPSDFEDIFDVGHFIDSLRDEVRIVKRLPKRFSRKYGFQPLEMPPVSWSSEKYYLEQILPLFSKHKVIHFNRTDTRLANNGIPLDLQKLRCRVNFQALKFTPSIEALGNKLVHVLQEKGPFLALHLRYEMDMLAFSGCTHEKRSQGLCPLTPEEATLILQALGFDQDTQIYIASGEIYGSEKRLAALRATFPRINHSSQMAALDFMVSVASNIFVPYLGFKKTIQLNRRQLVELIDLHHNGTLSWDEFSDAVKSSHKKRMGQPNHRIIIAEKPKEEDYFYANPQECFCGVANCSYSPVSSPIPPATIDQ >KVI05543 pep supercontig:CcrdV1:scaffold_6:673220:677408:1 gene:Ccrd_016144 transcript:KVI05543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADALSSVCYLGVLDSLSEASIAEILESWNSLCLVTEVIVIGDDADLSSSYSKFQSYVSRLCKHGLRSLMEEDEVELLLCKALEEISLEKQYQEKYLLMLVKSLQLCQESTSMEGHSSDVKKFLFSKYQLIVYSVLMTTLPRQFPGEDVTVLMKLFIHGRTRYQP >KVI05536 pep supercontig:CcrdV1:scaffold_6:13900:17509:-1 gene:Ccrd_016190 transcript:KVI05536 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein MSNEKERENHVYLAKLAEQAERYEEMVESMKSVAKLNVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEGNVNLIKGYRKKVEDELSKICSDILDIIDKHLIPSSGSGEATVFYYKMKGDYYRYLAEFKTDQERKDAAEQSLKGYEAHLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDSLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEEAAAVMGETRKAHGGQ >KVI05553 pep supercontig:CcrdV1:scaffold_6:249358:250787:-1 gene:Ccrd_016177 transcript:KVI05553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLETLILSYCPKLCKIPEIQTSMDNLVELSLSRTGIEIVPSSIGQCCTNLVSLHLRDCLNLQSIEGNFHLLKHLQLLYLDCCFQLKNIPTEGLFGVECRLNVLSLSWDNLLRGAVNEFLGFPRFLRKLNLGGCNLVDEDISFIYWEELSNLQVLDLSANNFSRLPSSLSQLLHLKFVDLSNCNNLVELPDLPSSISVLIAHGCTSLKIGDFPTNHLKWLWKVTLSTSNCNGERALQSMLQGNAIEDYFISILFQECYVPIRGFALGRFKLQLPWNWYNEFSGFLVYVDKVRWASREVIVIKDVLGMENGDGVLEVSNKPDHDDDDDDDDDEERMTAEGMCYVSFGSLRNTSWWNSTHTTLSFSLQYNCYLKVELVPRRSQGDDDSTGIGRAKDATYLSNFWDEELVNRKTFKIIGDSKSSIKILWDTGIESDMFSPSPLFGIYRTLN >KVI05501 pep supercontig:CcrdV1:scaffold_6:137403:140014:1 gene:Ccrd_016183 transcript:KVI05501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MFGFRKAASPSPAKPPEAEAEKKEAPARRTASEPALPVPDSKKTNPFDDDDDDDDFFGKKTTTLRKKPKSKADLDSMSNQELEQYAADQAKETTKSVNNALKIAEDIREDASKTLDTLHAQGEQIHRTHEKAAEMDKDLSRGEKILGNLGGIFSMTWKPKKGKEITGPEPIKDDKADKKASKEDREKLDEALDDLSDVLGDLKGMASEMGSELDRQNKALDDLSEDVDELNSRVKGANTRARKLLDK >KVI05547 pep supercontig:CcrdV1:scaffold_6:232925:234173:-1 gene:Ccrd_016179 transcript:KVI05547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDNLVELSLRGSGIEIVPSSIGQYCNNLVSLDLRRCKYLLSIEHNFHLLKHLQLLCLDSCVQLKNIPTEGAVNEFLRFPCFLRRLSLGGCNLVDEDISVFWEELSNLQVLDLSRNKFSRLHPSLSQLPRLKFIDLSDCYNLVELPDLPSSISILIANGCTSLKIGDFPTNHLKWLWKVSLSTRNCNGERILESMLQGNAIEDYFISILIENCYVPIRGFARETFTLQLPWNWYSEFCGFLISIKSLNGNMEEVIVIKDVLGMENGDGVLDKPDHHDDDDDDEEEESRTAAAAAAAAIAMCYISFGSLRNTSWWNSTHTTLSFSLQTNYDLIVELVPGRNQGDDSILKDATYLSNFWDEEFLNKKTFEIVSDSKSSIQIQWDTGIRLDMVGNI >KVI05533 pep supercontig:CcrdV1:scaffold_6:931246:934136:-1 gene:Ccrd_016117 transcript:KVI05533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex, subunit 6 MDLSEIAKKLGLSELKQVVRKAAELRRMSDLQFDSSNAGIGEVCKAVICLEIAANMKQVLFDRQTAIRLSGMSEKAYIRSFNVMQNGIGVKNRLDIRELAIQFGCVRLIPLVQKGLSLYRERFIASLPASRRASADCSRPVFTATGFYLCAKKHKLKVDKNKLIELSGTSEDEFSSVSTSMKDLCHDVFGVSKEKKDPKSMKVNRDLLDALPEKRQAEDGGYSSDEGKDLSAYKKRKRQESHNYEEWKSTVVESNKQNKEKVAVKRTKQAQLNFFKRSPMKDESVLV >KVI05519 pep supercontig:CcrdV1:scaffold_6:435605:440928:-1 gene:Ccrd_016161 transcript:KVI05519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MASLREVEHLQIPLPLILSATNSFDENNLIGKGGFGNVYQGHSEQYGTIAVKRLDHRISGQGQHEFMMEIALLSAYKHENLASLVGFCDQDGEKILVYKHESNGSLDKVLQSKDLNWIQRLRICLDAAHGLKYLHDDVGPQHRKSSNILLDENWKAKISDFGLSKIGPANVQYTFLISTACGTIGYIDPEYLTQKSDVYSFGVVLFEVLCGRQARVRGYGDEGEFLFKLVRNHYPKGTINVIIDFDLQKQMTQLLWVSFRPLPINAWRHASSGLWQNAALQQGLSTSKDNRITQSGLRLMKR >KVI05522 pep supercontig:CcrdV1:scaffold_6:409768:411798:-1 gene:Ccrd_016164 transcript:KVI05522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSYVLREVNHLQIPLPDILLATNNFDEGNFIGRGGFGKVYKGESERYGTIAIKRMYHGGDQGQDEFMKEISLLSAYEHENLVSLVGFCNQDGEKILVYKHESNGSLDKLLQSEDLNWIQRLRICLGVAKGLKYLHDDLGPQHRILHCDVKSANILLGEDWKAKISDLGLSKIGLSNAPCTFLIAQPCGTLGYIDPEYVYTNVLTKKCDVFSFGVVLFEVLCGRPACDLDYPRQDERHFLHNLAKKHYKNGKLDEIIDPNLQKQMCSTSLSTVSTVAYECLKKRGEARPTMSQIVEQLEQALNDQSRTNAIFILVYSLHSLLFSPVTKP >KVI05489 pep supercontig:CcrdV1:scaffold_6:1026822:1028938:1 gene:Ccrd_016107 transcript:KVI05489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSSRRSRSRQPRVSRISDDQIAGLVSKLQQLIPHNIHTTHSDKVSASRVLQETCNYIRSLHREVDDLSERLSELLQSTDTNSAEADIIRSLFM >KVI05502 pep supercontig:CcrdV1:scaffold_6:186628:193782:-1 gene:Ccrd_016180 transcript:KVI05502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MGTEVQSKSSFEGYYSMRDVNEDSNSSSWPLFYGEKALNNGHYYNGFIPRSTIADAHPGYDKDALKQKMLEHEDIFKKQVSELHRLYKRQRDMMEEVKRKEFHKHRVSNDASSSSSLLPSQKPYDKWQVPSFPLANSTCARPSIFGAEISNNSPLSCSKGNNSSKDCEVVECRPSKVRKKLFDLELPPDENIDHEEHEQIQYKQQASEESSYKATSSGQCFRGSNGLADLNEPIHAEEAIGQVSVDGLKPTVSQFLGSTHELSEKSQSGRPGGPFNPLAIEGKGNGRDWLSNTRETGNSRSNMNFTPGTYTEISTRIQDHSRFNQTPLPFATSRTSGSYAFVNSSDLGNSWGKPNGSLTHKLTSFQKQPSFLSSPQSHVAFGDKWRTNGCYTPNGIYRGLSSGSKEPLARLPSGGFDNRNCNNLEDRSQKIFKGSNFIDLTDTTKGMDLNTVETVSNDDNIARKGNQTVMPWLRATPAICKNDAPCDQSKNEEKVNSLNNGKILGFPVFGNSCVSKNDSSSLASTSASLHCPPENKNIKKEIMEHRGFDINVAWDDPENKQIDPEASNLEKETDTEIEKIKNHFDLNSCVTEDEDFLVPESVKSSSEKMKKITMEIDLEAPAVPEVEEESAIDVVNKFDVCKDEELAKVAAEAIIEISGQQNQAGPMSEVAICSDDNARLLWFAEVIENVGPVCNELDEFEQLTLQLEDTKEEDYMPKPLAPDFREPDEAGPSTVPSRPRRGQARRGRPRRDFQRDILPGLVSLSRHEVTEDLQIFGGMMRATGHSWNVGLTRRNGTRGRRKAVAVEPPPAATPPPPPPPPPPPPPPPPPLSEQLNNMEMVGLEERSLTGWGKTTRRPRRQRCAAGSSVAVPLTNLSSLKELHSSLIVDGQSTQLNLQTKLVSLYGSLGDMESARLVFDQMPNPDIFSFKVMIRWYFINDLHFETIGFYKCLRKCLKENDNVVFSIVVKACTELRDIDEGRKVHCHIVKAGSPDSFILTSLVDMYAKCGNVKCSRRVFDDIVGRDVVSWTSMIVAYVQNDCAEEALVLFNRMRSGLIEGNQHTFGSIISACTKLRALHQGKWVHGYAIKNGIDLNPHLVTSLVDMYVKCGAILDARSAFDELSTVDLVSWTAMVVGYSQNGYPNEAIVLFTDMKRIDILPNSVTISSVISSCSQLGDREIGKAIHCVGVKLGLEDGNVRNALVDMYAKCEMIEDARYLFDSYSNKDLVTWNSIINGYGQSGRSYEVMKLFHRMRSEGFHPDAVTLVILLSCFASFGDLRIGSSLHAYSIKRSLSCDNNVYIGTALLHFYAKCGKQEAARRVFDGMGEKNTVSWNALIGGYGMQGDCNSSIAVFNEMLKENLDPNDATFTAILSACSHTGTFEGWKFFDLMCREFEFVPKMDHYGCLVDLLARSGRLEEAFDFIRNMPVKPDVSLIGSFLHGCSMHSRFDLGEVAVRWMFDQHPIDASYYVLGSNLYASDGRWSGVFEVRELMKLKDLSKLPGCSLELYTDSTTH >KVI05551 pep supercontig:CcrdV1:scaffold_6:338234:342536:-1 gene:Ccrd_016171 transcript:KVI05551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MSSIQILLFVFGLLMFKYKKSRMHRFVFLSAMAEGPHVAIPLRLSIATAWPLKPKLHRVYLLIQEDRPQNLDDPVCDSIPVIDLAKPTAVHSHIQPVEAILKASKEFGFFQVINHGVPEKITTDAMNVLKEFFNLPSKEAIEYVPHTKGWIHTSSDYTKVGAHLWRENLKHLCYPLEECVQLWPNKPARYQEVIAAYILEIQKLSSRILEMICEGLGLEPGYFKDTSEVQLLSSNFYPPCPDPSLTLGILAHQDPSLITLVYQGNSPGLQALKDGHWINVGAVPNAFVVNIGNQLEIISNGKFRSMDHRVVTSPHETRISIATLVNPPLDCIVEPAKVLVNESEPSRYQARQYKEYVHHNKAFGDHTVTIQNAMISES >KVI05555 pep supercontig:CcrdV1:scaffold_6:278302:286850:-1 gene:Ccrd_016175 transcript:KVI05555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDNLVKFSLRGSGIEIVPSSIGQYCNNLVSLDLSNLQPGAVNEFLGFRRFLRRLSLRGCNLVDDDITSFFCEELSNLQVLDLSSNGFSRLPSSLSQLSHLKFIDLSLCYSLVELPDLPSSIYILIARGCTSLKIGDFPTNHLKWLWKVTLPPSNYNGESVLQSMLQVYLHSIEGNFHLLKHLQMLYLDGCDQLKNMPTEGLFNAECYLNVLSLSLNNLQPGAVNGFLGFPRSLRRLNLGGCNLVDEDISSVFWEELSNLQVLDLSKNAFSRLPSSLSQLPHLKVIDLFGCYNLVELTDLPSSISVLIACGCTSLKIGDFPTNHHKWLWKVTLSTSNCNGERVLQSMLQGNAIEDYFISILFEECYVPIRGFALGTFTLQLPWNWYNEFSGFLVYVDKVNWLGDGLIVIKDVLGRENEDDALEVSNKTEHEEETMRAEAICYISFGSLRNTSWWNSTHTTLSLSFRSCVKLKVELVPRRSQGDDDSTGIEIGRAKD >KVI05544 pep supercontig:CcrdV1:scaffold_6:666945:671474:-1 gene:Ccrd_016145 transcript:KVI05544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MARQQTQPRSEATNKIALASLSAMVAETTTFPIDITKTRLQLQSISHSTTRPISAFQVAADIVRKEGLVGLYKGLSPAVIRHLFYTPIRTVGYEQLRHAFLTDGNLSLSLPSKALIGGISGVIAQVVASPADLVKVRMQADGRMVSQGLQPRYTGPVNALHKIIGSEGFKGLWRGVFPNVQRAFLVNMGELACYDHAKRFVIQKQIASDNIFAHTLASMMSGLSATIISCPADVVKTRMMNEEGKLKYKSSYDCLVKTVRFEGLRALWKGFFPTWARLGPWQFVFWVSYEKFRLIAGLPSF >KVI05527 pep supercontig:CcrdV1:scaffold_6:925866:928003:-1 gene:Ccrd_016118 transcript:KVI05527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MGFVERKESDEHKDRNIENSGADSEVEDSGGAPPKINRNMSESSVCATEDEDEEDVQGKLQIGPRRTLKEQYEADKSLMRWKEQLLGAVDINTVAETLDPEVKILSLAIVSPGRPNLVLPIPDNGKIKGTWFTLKEGSRYTIKFTFQVHNNIVSGLNPQHELYTHQIPEDIAPSGILARGSYSAKTKFVDDDNKCYLELNYTFDIKKEWQSS >KVI05556 pep supercontig:CcrdV1:scaffold_6:298157:299745:-1 gene:Ccrd_016174 transcript:KVI05556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein HLPNLKVVDLSFSTKLVRTPDFDGLPCLERLVLKNCTSLKEIHPSIGYHERLIFLDMKNCSSLELFPPIFRMKKLETLILSHCDNLCKIPEIQTGMDNLVKFSLRGTCIEIVPSSVGQYCNNLVSLDLRWCGHLRSIEGNFHLLKHLQLLYLDGCDQLKNIPTEGLFGVECCLNVLSLSSYILQPGAVNEFLGFRRFLRRLSLRRCNLVDEGISSVFWEELSNLEVLDLSNNGFSRLPSSLSQLSHLKFINLFGCYNLVDLPDLPSSISILIADGCRSLKIGDFPTNHLKWLWKVILPPSNHNGERVLQSMLQGNAIEDYFISIVFEECCVPIRGFARGTFLLQLTWNWYNEFCGFLIYIGRSNWYGEEVIVIKDVLGMENGDGVLEVSNKPDHHDDDEDGMTAAKGMCYISFGSLRNTSWWNSTHTTLSFSLQTESNFKVELVRGRNQGDDSILKDTTYLSNFWDEEFQNQKTFEIISDSKSSIQILWDTRSIGSDLFDRI >KVI05476 pep supercontig:CcrdV1:scaffold_6:448158:451757:-1 gene:Ccrd_016159 transcript:KVI05476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGRFADNEEFQSEWTTRRKMFDVQLGLGRGGGLEGTADAAHGLKYLHDDVGPQYRVIHRDIKSSNILLDDNWKAKISDFGLSKIGPANVPFTFLISKACGTIGYVDPEYVKTGVLSQKSDVFSFGVVLFEVLCGRLAHGVQYQDESQVLYKLVKHHYEKGTLKAIIDYNLQKQIDSVSLSRFSAIAYKCLMKRREDRPTMSQIVEQLQKALNYQLAAASGWPGSALQQGPSTSKDNMTQSGYL >KVI05530 pep supercontig:CcrdV1:scaffold_6:977887:978240:-1 gene:Ccrd_016112 transcript:KVI05530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFREVKFAGIVPVPVAAITAGSACCNNHSIVSPSDLCPSSRVSWKTLAAQVAGMRIRRPRPSTFVCRSFVDALLGGDGFGLAAVWRSVGGATVSLASPPLDLFSRSCNGKFNRRNSV >KVI05518 pep supercontig:CcrdV1:scaffold_6:442015:444376:-1 gene:Ccrd_016160 transcript:KVI05518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MSYLREVEHLKIPLPHILLATDNFDEKNFIATGGFGKVYQGQSDQYGTIAVKRLYHGSGQGQHEFMMEISLLSAYKHENLASLVGFCDQDDEKILVYKHESNGSLDKVLQSKDLNWIQRLRICLDAAHGLKYLHDDVGQHHRVLHRDIKSSNILLDENWKAKISDFGLSKIGPANVPVTFLISNACGTIGYIDPEYLTYGVLTQKSDVYSFGVVLFEVLCGRLARAVEFEDKRHFLCILAQNHYENGTLDEIIDSDLRKQMNPASLSTFSTIAYQCLKKCREDRPTMSQIGSSELCDDASRGVCHDDAVHEGLSTSLRDQGPSVFVINDLKPRLKQLYTLKGHLESVIELKGLDIENIDQSYSL >KVI05483 pep supercontig:CcrdV1:scaffold_6:535919:543374:1 gene:Ccrd_016150 transcript:KVI05483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSGSEGSSGHSAGDAQVAAAGKKRDKAKVSRTSLILWHAHQNDPVAVRKLLEEDRSLVQARDYDNRTPLHVASLHGWIEVAKILIEYGADVNAQDRWKNTPLADADGARKHHMIELLKSYGGLSFGQNGSHFEPRPVPPPLPNKCDWEIDPLELDFTTSHMIGKGSFGEIVRACWRGTPVAVKRILPSLSDDKLVIQDFRHEVNLLVKLRHPNIVQFLGAVTEKKPLMLITEYLRGGDLHQCLKEKGALSAATAVSFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSNADHLKVGDFGLSKLIRVQNSHDIYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILFEMLEGEPPLSHYEAYEAAKYVSEGNRPIFRAKSYTPELKELTEHCWAADMNKRPSFLEILKRLEKIKETIHPDHHHWHIFT >KVI05507 pep supercontig:CcrdV1:scaffold_6:742290:743664:1 gene:Ccrd_016136 transcript:KVI05507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MALFPIFFLALLPFASPVLAGGGWVNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCFEIKCVNDGKWCLPATILVTATNFCPPNNALPNNNGGWCNPPQHHFDLSQPVFQHIAQYKAGIVPVAYRRVPCRRRGGIRFQINGHSYFNLVLITNVGGAGDVHAVAIKGSRTGWQQMSRNWGQNWQSNSYLNGQSLSLKVTTSDGRTVVSYNVAPASWSFGQTFSGAQFH >KVI05525 pep supercontig:CcrdV1:scaffold_6:1107392:1108929:-1 gene:Ccrd_016099 transcript:KVI05525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MAAMEDEMVFQDSNITALLSSASSSQVLDSLWIPTSSSTSFHGEANTATRVKPDKPLEKGSSHDEDYENCYSQPEKKRRLMAEQVQFLEKSFEVENKLEPERKIQLAKELNLQPRQVAIWFQNRRARYKTKQLEKDYDFLKSSYDRLQLEFDSLQQDNEKLKNEVQILNEKLVQREKAKQDSLACGFPTMELESHAGKPIPIPIPIPNLTQNGTNVADMVICKHEDANSVSVSAKSDVINSPHQDSCNLLENQSDFSQDEEDNLSRNILRFPKSEYEPYFDLTEGCLEYPLEDQSLWLWP >KVI05479 pep supercontig:CcrdV1:scaffold_6:511502:514351:-1 gene:Ccrd_016154 transcript:KVI05479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MDSKLQSLTVARRPDSGGSEGTMIALLANHFLVQFDPSQRIYHYDLEISPNPSKDIARLIKQKLVEENSSVLSGVLPAYDGRRNLFSPIEFDKDKLELYINLPIPRNNSPMAANIEDSEEKFKLFRVNIKIVSNLEGKKLRNYLSKGDESVPLPQDYLQALDVVLRENPTENCVLLGRSFYPNLTRKDLGGGAIGVQGFYQSLRPTQQGLALNVDLSVTAFHESIGVIAYLQKRIHSLHDLSERKTRGFSREEKKEAETVLKNIRVFVCHRETTERYQVHSLTDEPAANLQFRDRDGKNLQIVNYFRDQYNYEIQFRNLPCLQTSRRRPCYLPMELCVICEGQKFLRKLSDDQTAKILELGCRKPKERKAIIDGVMAAPFSPSREMTKLSGRILQPPKLKLGDGGNIKDLIPSRHDRQWNLVGSHVFEGTQIARWALVSFGGTGDQKSTIPNFIDRLTRRCEQLGIYLNKKTIISPQFESMQVLNNVNLLESKLKKIQRSASNNLQLLICVMEKKHKGYADLKRISETSIGVMSQCCLYQNLARLSSQFLANLAIKINAKIGGCTVALYTSLPAQIPRLLTLDEPVMFMGADVTHPHPLDDFSPSVAAVVGSVNWPAANKYVSKMRSQTHRQEIIQDLSIMVEEILHDFVRELSKLPKRVIFFRDGVSETQFHKVLRDELQAIRDAFSRFTGYNPPITFAVVQKRHHTRLFPADPVSGAARNQFSDENVPPGTVVDSVITHPKEFDFYLCSHWGVKGTSRPIHYHILRDENEFTSDELQKLVYNLCFTFVRCTKPVSLVPPCYYAHLAAYRGRLYLNQTDRSSGFTRSGSPKTTPLPKLQERVKNLMFYC >KVI05540 pep supercontig:CcrdV1:scaffold_6:707397:708338:1 gene:Ccrd_016141 transcript:KVI05540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCSQDGHSSSPYDDLMLHSHSSKKIVFPRQALIAPHALIDFPPKTLAIHASNMARVPIEVKSISPKSLSASVSDIGSVVSMIDNIAGSAPGNGSRTAVGEDLVAMTKLHLQARTSGIPDGTRKIKRFTSAIPLNGVSSVNSVNDNFKHFNFVEASVYM >KVI05523 pep supercontig:CcrdV1:scaffold_6:380979:403058:1 gene:Ccrd_016165 transcript:KVI05523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MESSQEGSYLVDSNSRRNSYEVSLLMLGSSEGRVLSSFKNLHLGMVSMKLFGISSSLRMLDLRDCNLVDGDVSSVFCNELSNLQALDLGGNYFSQLHSSLLQLPCLKFLDLSDCLNLVELPDLPSSIAILIASNCNSLNIVGDFPTNNLKWLWKVSLPTHSMSGDGERVVQSMLQGNAIEDYFLSIKFDDAYIPIQGCEWGTFTLQLPWNWYSEYSGFLVYVEYWIPYMHEVITIDDVVGRENEGDVLEVSNEDEESEKTSGMCYISFVSLRDTSWWNSCHNAISFSINYDTLLKVELVPKRSQDDDDSVKKAKDTTYLSKFWDKEEDKTFEIISDSSSSISISWLHNHYVDMFHHCAMSYVLRIDVSLGATRIGDKSRKRHTPILFVPVNKDLLTTFITESDKSDDVFMLTHRKQCNLHHEFTISLPVRTIQFLYGNDAKSYRLAFVHLPKSSPCDDVCFSKFVSCQEYVFERNLQVIGSHFNPHLPNLKVIDIIDSDLVRTPDFDGLPCLERFKLSMCPSLKEIHPSIGYHERLVFLHIDECPSLTIFPPIIRMKNLEALWLWDCTQLQKFPDIQMNMDKLVKLNLRGSGIESLPSSIGKYCTNLVSLNLIECRCLQSIESNFRLLKHLKELRLKGCDQLNNMPAEGLFDVECRLQLLSLSDIPFKILHQGVVSMKLLGFPSSLRRLDLSDCNLVDGDIFSIFHEELSNLQALDLSRNGFSRLHSSLSQLPRLKFLNLTWCRNLVELPDLPSSISIIVAEGCDSLDIVGDFPTNLKWLWKVSLSVSNMLGDNGNRILQSMLQGNAVEDYFISLCFYRNCTYNIPIRGFERATFMLELPWNWYNECSGFLIYANWRFLEGSVITMKDVMGGEDEDDVLEVSYEEDERSKMGYSICYIPLGSLRNTSWWNSTRTTISFSFHGYVKVELVPRRSQRDDLVERAKDTTNSVEFWDEEHRENKTFNIIHDSKSSIKIRWFLGAFTDLFYTI >KVI05481 pep supercontig:CcrdV1:scaffold_6:503825:504925:1 gene:Ccrd_016156 transcript:KVI05481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPKGPSPRLNTISLHKEKNETPDLQTFGQELPDHVTRSDHVFQSTSALEILRETVRILRYNLSGFMAIGALLICPVSAVLLSNVLVDQSIVKRLAIRLLLVAKSSGLPLRPFVEHSSQKFSEMAISTTMCFPLYITLLLISKAAVVYSVDCTYSRKKFDSSKFYVIIRKIWRRIVSTYLLACTVIVGCLTLFLVLLAAVSSLLSVIGFFPDFIAYTAILIGLFFSVFFANAIIICDLSMVISVLEDVSGSEALLRSTVLIRGQTQVGLMIFLGSTIGMALVEGLFEHRVKTLSYGDGSSRIWEGPLLVLMYSFVVLIDFMMSTVFYFSCKSYSLENGSSIECQSVLEALTASPIASDDPSPLVH >KVI05497 pep supercontig:CcrdV1:scaffold_6:47304:51571:1 gene:Ccrd_016187 transcript:KVI05497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MLIDSRSHGIEPYVTLYHWDLPQALADKYNGWLDTRIIDDFVAYANTCFEKFGDRVKNWMTFNEPHTFTVQGYDVGLQAPGRCSILLGLFCRAGNSATEPYIVGHNAKQKGRIGIAFDAIWYVPGTNKTEDIEAAQRVQEFQLGWFLDPIMFGDYPSSMRTRVGNRLPKFSKVQSALIKGSIDFVGVNHYTTWYAWHNSTNLIGALLNDSLSDSGSLTLRMSSENISFTFSNPIHGKTGKLSEIGQIPYGMDDPNSPFIPLKVALMDEKRVKYHNDYLTNLLAAIKEDGCNVKGYFAWSLLDNWEWGAGFSSRFGLFFVDYDHNLKRYAKNSAIWFKNFLTSG >KVI05557 pep supercontig:CcrdV1:scaffold_6:860462:864571:1 gene:Ccrd_016124 transcript:KVI05557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1666 MEAHKLFLIKSYFKVFHEPFTVDLVQRIVMKVCSFLWVFLCNFVLGLFGHLIKRLVRSRIDDDHHENSLDTTVGFNEQEEIIVGSSAGSNYDGFGEEDKPEFAFGFQFQIKEEDLISYKGVADAKAGETENAFMENELTTTTNTSKYQFVSVQDFGGFVAEPEIGSFTVHELFLEEDSREDDFITKKGGISKIEDQRQELNENSNLPSNGKVDGSMESKVFSGQILQRVDLSNTDSINSEEKSKEFVVGDSGCEEVQELQEKSQLNVGENGLLKPEQVNWLGEFFIRNDKFSYGVDLSQENEFNSLDHQVESDDSSEGYFSISPQDVDSASNNEFSSVKEREPETSIPPVSEEIDDDFIDLEPHLENLEMELQNSDSSVIHELVSGLDNSMESENGLMKSVKFEEEDKVNSWDVDSDDEDEDDVLLEHQELIGQMKMELKNARTGGLPTILEESETPRIKEDLKPLKINEKLEHRDQMAEIQKFYKSYTEKMRKLDVLNYQTLQAINFLRMKNPDQLNTGENTSLSALKSVIFPSFWPCKLRRIYADPTLKSVTELHKDLEIVYVGQACLSWEILHWQYKKAKELQLYDPQGYRSYDQVAIEFQQFHVLLRRFTEDEMFQGPRVQNYAKQRCILRGLLQVPAIKDGNLKERKARKEEEGDAVPISTMASMIKESMTVFWEFLHTDKDTTNLFLTIILQGSKAHLQDPADSDLFMDIKTTHQKKEKRLKDMLRTGNCIVKKFQKHQETILD >KVI05488 pep supercontig:CcrdV1:scaffold_6:1031615:1038831:1 gene:Ccrd_016106 transcript:KVI05488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MTLEHIVEVTGLSPKATEQDVYTFFAFCGTIEHVDIVRAGEYACTAYVTFKDAYALETAVLLSGATLLDQTVCITRWGQDVDESEFWNRPSWSPDDGSSSNVSPQANQYAYTAGEAVTLAQDVVKTMLAKGYILGKDALGKAQAFDESHQVSASAAAKVAELSERIGLTDKVFAGVEAVRSVDQRYHISDTTKSVVSATGRTAAAAATTVVNSSYFSKGALWMSDALNRAAKAAADLGSHGISK >KVI05564 pep supercontig:CcrdV1:scaffold_6:800090:808463:-1 gene:Ccrd_016128 transcript:KVI05564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAILAPELTRLSCPNGRTTKESITGTANPLSLLRKSTSLKEIKQIQAYSIKTHLHNDLFWITKVINQCTLDPTASSMDHAHHLFDQIPHPDIILFNFMARGYSRTYAPLQAIILFVRVVSLGLVPDNYTFPSLLKACAIAKALEEGKQLHSLSIKHGLNRSPYLYPALINMYTECDDMGSAWFVFDRIEEPCTVTYNAIITGYVRNSRPNDALLMFLEMQSRTLKPTDVTMLSVLSACALLGALDTGKWVHEYIKANGFDQYVKINTTLIDMYSKCGSLDDAVSVFENMGFRDTQTWSAMIMAYAIHGHGHKAISLFENMRGAKVEPDEITLLGLLYACNHAGLVHESLTYLCSMKDKYGISPGIKHYGCVLDALGRAGWLEDAYNFIDEIPIKPTPILWRTLLSACSSHGNLELGRRVLARIFELDQFHGGDYVIFANMCARAGKQKDAIYTRKLMKDRGVVKVPGYSVIEVKNQVHGFFSGDGTRIGHRELYLAVDKLFEELKSVGYEPDVSLVVHSDMNDDQKEVSLRYHSEKLAITFGLLNTAPGETIRVAKNVRTCGDCHSACKLLSLVCDREIFVRDMHRFHHFTKGKCSCGDYCGRDRTVIRNKVVAIRVAESRHQILESMDFGLTETMARILPIVTLAILSMHPSNTGITFWGHEWDKHGTCSESVLNQHDYFATTLSLKNEIDLLQALQGAGIQPNGQKYSLSSIKGAIKGASGYTPGIQCNNDSSGNSQLYQIYLCVDSSASGFIECPVFPNGSCGSSIEFPSF >KVI05534 pep supercontig:CcrdV1:scaffold_6:938763:943891:-1 gene:Ccrd_016116 transcript:KVI05534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MANEMEELIGFLSSPSPQVKKVAVDIVRGLTGSEDGLQSLASYSKTVLPSLSRLLGEKKDVSEPATEALVNLSQNSDLAAKMIEMGIIKVTMDILYKQGCDITGLLVMLLVNLTQLDAGVESLLQLEDDKVQGLYVMKLVRSFCTSSNEKKDDPFGHVGSILVNVSKNKAGRVLLLDPKRGLLKQIIRQFDSTSLLRKKGVSGTIRNCCFEADNQLQNLLLISEFLWPALLLPVAGNKVYNEQDTSKMPLELGSALSIERESVVDPEIRIQALEAIYLIVLQGAGRRAFWSVNGPRILQIGYEEEEDPKVMGAYEQIGSLFLVI >KVI05484 pep supercontig:CcrdV1:scaffold_6:519505:521706:-1 gene:Ccrd_016153 transcript:KVI05484 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSRKRKDVAGEETGNSGNGETGWDQVMNGPTDGGAFVGGAIRARKRFVGVRQRPSGRWVAEIKDTIQQIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCNPSNKSSALSSKITNLLLQRLKARNGANVSNGAYGASMAITHSFPHHQQFQGSTQVQDSDFHKFFHVSDANSDNTTNYTSATTYTSDFCSPNVIEREGFDSKDGFLGENFRGVDHSLVGDEPKLEGNEETDLGMPDLLFVDDIGSSMNYSPFEIAEEITKPLGEENYNEDSSMLTEAMKRMKFERNISASLYAFNGISECLRMTFGSGNDSENRKPEQLSNLGAKNGEIWGETDGENGLANKPSESKHILTSVESFSSSSSKEVHAS >KVI05560 pep supercontig:CcrdV1:scaffold_6:810776:815150:1 gene:Ccrd_016127 transcript:KVI05560 gene_biotype:protein_coding transcript_biotype:protein_coding description:ESCRT-II complex, vps25 subunit MDKSHRQCLILWHRIKDWADIILRFVKENGLEESVMTVEEIRSGIESRGTEIHGMDRTVLMRALKLLENKGKLAIFKGSSTDDEGVKFSV >KVI05506 pep supercontig:CcrdV1:scaffold_6:718224:726229:1 gene:Ccrd_016137 transcript:KVI05506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MFCYEDLVIYLKKKEKEWESRDGRKEEGMIAKRGQSQWIFLRYIRVSASTTHNKIPFHHHHHHQLHLLPFVSQNPTIITIATTGSLCCVAARPHGSNAASRDWSMGPHEPYWRTNTSFSPPPPRWDIRYHSEGQSFGSQEGSQLYGSSTSSNSRESRSWLRGNYLPNHRHSASDGVGPYISSPSDFSPAQQWTPPTIQEISVDEFGNSSRRDSSDYDAMAKSQSSHRNFTGRRCFMSKPVHPLTFPSEGDGPPFPDFDETLHQRDRQLNSTASCDFDFTDVSEPIESDTLSRPSVNQSYGYKCGLCERFLSQRSPWSSRRIVRSGDMPVTGVLSCRHVFHAECLDQTTPKVRKSDPPCPVCAKSDEETSPDQRVFSKLRSGFPRLRPFREDGPSRPWGCTQAGNCVEGALSAPSRNTMLLLNRNRVKKSLSLKGKEFPGKLKK >KVI05486 pep supercontig:CcrdV1:scaffold_6:995423:996766:-1 gene:Ccrd_016108 transcript:KVI05486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MLKERSCFVSRSYSCERDNSWSYMSNYRIEKLESQQEKRPLENDGDEEVAHARKISKQSNGLENLELGLGLLEFSVLPTDQSDNENHAGDDSDSSSLIPGIHRDNSRTCLMKCSRSDYGSIASLNRSFRELVRSGELYRLRRTNGIIEHWVYFSCHLVEWEAFDPINQHWMHLPTMTSNPCFQFSDKESLAVGTELLVLGKEVPDHVIYKYSLLTNSWSLGRQMNSPRCLFGSASLGEKAIVAGGCDPHGRIVNSAELYNSELGTWETLPNMIKPRKMCSGVFMDDKFYVIGGIGGMEMNPLACGEEYDLRTREWKEIPNMSPLRTGGAANEAAAAPRTEAPPLVAVVDNELYAADCADMEVRKYDKHRKEWETVGRLPERADSMNGWGIAFRGCGDRVIVIGGPRTSGAGFIEVNSWVPREGPPRWNMLGRKQSNNFVYNCAVMGC >KVI05554 pep supercontig:CcrdV1:scaffold_6:263057:264255:-1 gene:Ccrd_016176 transcript:KVI05554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MILRHCWSLKEIHPSIGYHERLVFLAMDYCTSLELFPPIFRMKKLETLILSHCRNLCTFPEIQTSMDNLVKLSFRRSGIEVVPSSIGQYCNNLVSLDLRWCRYLHSIESNFHLLKHLQFLSLKGCDQLKNIPTEGLFDVECCLNVFSLSCSNLQRGAVNEFLGFPRFLRRLSLGGCNLVDEDISTVFCEELSNLRVLDVSRNEFSRLPSSLSQLPHLKFIDVSFCYNLVELPDLPSGISILIAEGCRSLKIGDFPTNHLKWLWKVILPPSNYNGERVLQSMLQGNAIEDYFISILFEECYVPIRGFAREAFTLQLPWNWYNKFSGFLVYVDRWNWYKEEVIVIKDVLGMENGDGVLEVSNKQPLT >KVI05541 pep supercontig:CcrdV1:scaffold_6:569515:572225:-1 gene:Ccrd_016146 transcript:KVI05541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32p MALLRMAMARTVASKSKDSCIVAFTRWTHAIAQPPPLDSAISQSAVLPPLVLPESEGSIDTIINDIGSGFYGGIGSMELMAVPKKKTSPHKRGIRNGPKALKPIPVIIRCKVCGRVKLPHFFCCSGLRDTDGQNGSTS >KVI05512 pep supercontig:CcrdV1:scaffold_6:784497:785105:-1 gene:Ccrd_016131 transcript:KVI05512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPNESVSSLIERLMSMPYLSGFTGTRRDSESDSDHDSEHHDLPPHLDPSLDRIILINPVTQGMVVIRGSGIGFDSLLNDLMRKEGQPPASQASIDAMPSLEVKSTDEIENLGGECVICLEEWKVGEMAKEMPCKHRFHGGCVEKWLKIHGSCPVCRHKMPVEDMDKENGDKSEGRRRRQVWVSFSFGNERSTTTSEEER >KVI05516 pep supercontig:CcrdV1:scaffold_6:366750:378958:1 gene:Ccrd_016168 transcript:KVI05516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MNGNCLQFDRNPIQDAISRIRFAPASNNLLISSWDSVTQNLRLYDVDTSQLVFEASSEAALLDCCFQGESAAFTAASDCSITRFVFLLLLFTYSYIEMFRCLLLNFNLRMTPCIEIFCRYDLHSGMSESFGNHDDLATCVEYSNETGQVITAGWDKKIKCWDSRSMMDLSCVHTVSVGIESMSLYGFVVMVAAGLSVNMYDLRKFNNSFYSKCVDIQINCVRPYLDQGGFIYYFNAGFAAGSVDGRVALKYFNPSNRNNDGYAFRCHPKAKEKRHELAAVNDIVFSPSIYGAFVTGNNDGYVTIWNAQSKKRVLEMPKFENSIASLSYNHGGQFLAVASSYTYQEANELNFVPIFQNMSDEEHQFESKADAGASKTFPQQAGTIRKNGYIVIKNRACKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPK >KVI05485 pep supercontig:CcrdV1:scaffold_6:524742:527759:-1 gene:Ccrd_016152 transcript:KVI05485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVETFASHGKENSSWKTCRCRKNLHAMTSELLSHCLLVTVAHVKSRMKLVKPSIYLYKAK >KVI05510 pep supercontig:CcrdV1:scaffold_6:772185:774667:-1 gene:Ccrd_016133 transcript:KVI05510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MSQVKDPAIKLFGKTIQLLHPHPHHLLDKPNQQQKDCSQHIKETLDKEHATTQTEDTCSHPSAEELIDPSTSSGINDDPKTPTADKETSSKSTPKKENPTGNSNSEEKPKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCNNCQRYWTAGGTMRNTPVGSGRRKNKSSSSASYYRHLIVSEALQKTNGSVLNFGSDVPLCESMNSALNFSDKSQKSEDDQSAFCSSSASNSAEKGPNRSVKNFQGYPLQIPCFPAPPWPYPWNSAQFRPPLVPPTNLGPPGFPVSYYPTLQYWGCTVEPSPWSMPWVTPPPDQIAPTSPLGKHSRDGNLLSPPSNSGNEDLSRDNDSENGILIPKTLRINDPNEAAKSSLWSTLKDENGSNSINGGNLYKAFKSKGDDKNEVADSSLVNLQSNPAALSRSLNFRETS >KVI05524 pep supercontig:CcrdV1:scaffold_6:391841:392830:-1 gene:Ccrd_016166 transcript:KVI05524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MCRVHEAKLVEEIVDKISYELFSNKDRVKMGTDHLKIPLEDILLATNEFAEANIIARTGFGKVYKGQSIRLGIVAIKKLDRTYGQGDREFMMEIALLSMCKHENIVTLVGFCDEGGEKILVYRYEQNGSLDRLLRSKDLTWMHRLRICLGAAFGLKYLHDDVGPQCRVLHRDVKSANILLDENWKPKISDFGLSKIALSNVPLSALVSNPCGTQGYIDPQYIGHSTLTQKSDVYSFGVVLLEVLFGRAVTVAEHPDKNHFSVKMAKSHYEEKTLEKIIDADLRKQMKSGSLSTFSAIAYKCLKEHGEERPRMIQVIEQLVKALEYQQDV >KVI05526 pep supercontig:CcrdV1:scaffold_6:914159:918494:-1 gene:Ccrd_016119 transcript:KVI05526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MAECIRGIERAVPNPVWPLCASDPAPASLVSAWIFVIGVTDSKRRHHSLMVGATITAAFSPPPATQCSSPETLTALRFLHPERMPEPLTLSVSAPALTLCITVESSTVEKSATLAVTTLQSTLASLGAYPFALLGLPSLLSNACLARNELFSLVEKLSISNKSELASLAASLCPPFLEISITSALGVTPSQFNMVSFLSRLNDFFPFSKTGEPNTSDEFSRAVILFSRSTCGRSCGWFPSGIEELSTGKVAFLRGLRKFNGEVVLWVIQVGIHESGVVGSFVCGNHSRLLVVIKMKEEEKVDMEKGFRNLMIRVKGIKSFKSRNGDGDGDGDGDCYIS >KVI05493 pep supercontig:CcrdV1:scaffold_6:1069604:1074036:1 gene:Ccrd_016103 transcript:KVI05493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MQNTKKKACDGNNGVGEALKQKERHIVSWSQEEDDILREQISIHGTDNWTIIASKFKDKTTRQCRRRWFTYLNSDFKKGGWSPEEDMLLCEAQRIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKHEALAKENNISYINANNKRTMFHDGQKIETSMPPLKIRRKHIAGAMEDCSPEEGLIRNCRTRGQQLRPPFAVLNCHNIDDSKIQLHSSNKEIPKDASYNMGEGTFLKKDDPKIIVLMQQAELLTSLALKVHTEKTDQSFENAWKVVQNFLKHTKESDELGFNISDMGFRLENFKDLVEDIRSCNDGSQQYWRQPDLYQGSPSSSEYSTGSTILSQINERLEPHHDGLCEVEHQPHEVMQPSDIVGDANLVTSDTVISPDVLPSCDVFDNDVEAGCPLPNSEFNSPLQERHFLLKTLGMELTPPNPSTRTSQPPPCKRALLHCL >KVI05561 pep supercontig:CcrdV1:scaffold_6:894758:899150:1 gene:Ccrd_016120 transcript:KVI05561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MVTKGNSGDNRTRSSVTIFIVVGLCCFFYLLGAWQRSGFGKGDSIALEMTTKGGADCNILPNLNFETHHSGDAGIVDASGTKVKDFKPCSARYTDYTPCHDQKRAMTFPRHDMIYRERHCPPQEQKLKCLIPAPKGYVTPFSWPKSRDYVPFANAPYKALTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADAYIDQLASVIPIANGTVRTALDTGCGVASWGAYLWKRNVITMSFAPRDNHEAQVQFALERGVPAIVGVLGSIKMPYPSKAFDMAHCSRCLIPWGSNDGKYMMEVDRVLRPGGFWVLSGPPINWRNNYKSWQRPKEDLEEEQRSIEXVXKLLCWEKXSESGETXIWQKKLNXEXCRATEBAPGVTYCSQDPDDVWYKNMEKCVTPTNSKAEDVEYKPFPERLNAIPPRIASGSIPGVSADKYMEDNRQWKKHVNAYKRINRIIASGRYRNIMDMNAGFGGFAAALDSPKLWVMNVVPTLAENTLGVIYERGLIGIYHD >KVI05492 pep supercontig:CcrdV1:scaffold_6:1077486:1081353:-1 gene:Ccrd_016102 transcript:KVI05492 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MMESHGVGLRRVLELTFCVAGIWTAYIYQGVLQETVSTKRFGPEKERFEHLAFLNLAQSAVCLIWSFMMIKLWPSGSTGHAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYSFPEYLCTILVAGGVSAFALAKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSISARYPKTSAWDIMLGMNLWGTIYNLVFMFGWPQASGYQAIQFCKLHPEAAYDILYYCLCGAVGQNFIFFTISRFGSLTNTTITTTRKFVSIVVSSLLSGNPLSRKQWGSVFMVFSGLSYQIYLKWKKLQKMQKKRKTT >KVI05513 pep supercontig:CcrdV1:scaffold_6:787460:788134:1 gene:Ccrd_016130 transcript:KVI05513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEESGIRIYTPSPQINRDPPSPPSQSTLSPPLSAANGGGRKKLQQTLTKGVQKTLSKTSMLVNFLPTGTLLTFEMVLPSIYGKGRCLAVATLMINILLAICTFSCFFFHFTDSFRAPDGKIYYGFVTPSGLKVFKPTLTVEVPKDDRYKVGITDFIHAMMSSMVFMAIAFSDHRVTYCLFPEHAKEMDEVMQSFPLMVGIVCSGLFLVFPNTRYGIGCLSA >KVI05498 pep supercontig:CcrdV1:scaffold_6:122308:130807:-1 gene:Ccrd_016184 transcript:KVI05498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSFSDTSYISKSSISDFSFSTEHSKTLLFLKFELSRRLHSKRRNLSVTKVASRNQELEKLIDQSVQEETDDLDNGILVPDSESVISSIKYHAEFTPLFSLENFELPKAFYATAQSVRDVLITNWNATYEFHEKMNVKQAYYLSMEFLQGRALLNAIGNLELSGAYAEALRKLGHNLEDVARQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAENWLEMGNPWEVPRNDVSYPVKFYGEVVTGPDGYKEWVGGEDIMAVAYDVPIPGYKTKTTINLRLWSTKVAPEYFDLHAFNSGDHAKAYEALKKAEKICYILYPGDESFEGKTLRLKQQYTLCSASLQDIIARFERRSGKSLNWSEFPEKVAVQMNDTHPTLCIPELLRILMDSKGLKWKEAWEITQRVRTSDRTVAYTNHTVLPEALEKWSLDLLQELLPRHVEIIELIDKELINTIIAEYGIEDIELLKEKLKQMRVLDNVELPASILESLVEPVESPILDLVEEAKSSEEVTESASEDDELKVKEAKDAGSSTKVTFETDPRLPKMVRMANLCVVGGNAVNGVAEIHSEIVKNEVFNEFYKLWPKKFQNKTNGVTPRRWISFCNPELSKIITKWTGTEDWVLNTEKLVELRKFADDEELQSEWRKAKRNNKEKTVSFLKEKTGYLVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSADERKEKFVPRVCIFGGKAFATYIQAKRIVKFITDVGATVNRDPDIVLIVAAFMILSTAGMEASGTSNMKFAMNGCIQIGTLDGANVEIRQEVGEENFFLFGAEAHEIAGLRKERSEGKFVPDPRFEEVKKYVRSGVFGPYNYDELMGSLEGNEGYGRADYFLVGKDFPAYIECQEEVDKAYRDQKKWTKMSILNTAGSYKFSSDRTIHQYAREIWMIEPLVLP >KVI05528 pep supercontig:CcrdV1:scaffold_6:985073:988878:-1 gene:Ccrd_016110 transcript:KVI05528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFRSLSFLINRRSTNCSTTTAHLPRLSTKATGGRDEWNDAWETAWLPEEVSAKNRAPWEADVNFSISDVDPDTKAFVEDMTENWEQRRKRGGNKSNREEEEERLMKLKEEGKSLCSLENVKRDYRVKKQRVHAGLWVKEIEKMEEAKLGDSGADLDRFLDTASEIFDSGSSDSKKLTDSSDLKNKPDGWETTSKSQDGNIWEMSQREEDILLQEYERRIAFSKFQETDRWMEVHD >KVI05517 pep supercontig:CcrdV1:scaffold_6:362739:366183:1 gene:Ccrd_016169 transcript:KVI05517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Septum formation topological specificity factor MinE MAISGDFRVSAALGSSPTNILRINLPPKADCVNFLSGRSIVSENMPKWPASMVHAQTTRCHAKRPLGIISDYEMSTSSVNQDAESFLLNAINMSFFERLSLAWRIVFPSPSMIKNSNANVAKQRLKMILFSDRCAVSEEAKQKIVSNIVNALSDFVVIESQDKVQLSVSTDPALGTIYSVTVPVRRVKAEYQEEDGEGTIMNVEYKDNGSTSGSMDVKFDFYVPSE >KVI05548 pep supercontig:CcrdV1:scaffold_6:242872:244207:-1 gene:Ccrd_016178 transcript:KVI05548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDNLVEFSLRETGIEIVPSSIGQYCKNLVSFDLRSCRYLHSIEGNFHLLKHLQWLYLKGCDQLKNIPTEGLFDVECCLNVLSLSLTNLQPGALNDFLGFPRFLRRLNLGGCNLVDEDISSIFWEEFSNLQVLDLSANDFSRLPSSLSQLPHLKFIDLFGCYNLVELPDLPSSISILIANGCTSLKIGDFPTNHLKWLWKVILPPSNYNGERVLQSMLQGNAIEDYFISILFEEXYVPIRGFAREAFTLQLPWNWYSEFCGFLIYIXRWKGQRDKVIVIKDVLSVENGDGVLEESNKPEHHDDDDDDDDDDKESRTAAAMCYISFGSLRNTSWWNSTHTTLSLSFQRCVDLKVELVPRRSQGDDDSMGIGRAKDATYLSNFWDEEFLNXKTFEIIHDSKSSXQIQWATGIESDLFDRI >KVI05480 pep supercontig:CcrdV1:scaffold_6:477132:481689:1 gene:Ccrd_016157 transcript:KVI05480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MRKHGWQLPYHPLQVVAVSVFLALGFGFYVFFAPFVGKKMYQYIVMGIYTPLIISAFGLYIWCAGADPADPGVFKSKKYLKTPNNKTGGRVKESKLGGESTSSIQDANAASVGEKSPIKNTKNQDSKTEDNVSETEKDTPNSSCSMAVLALVPCALICHCSSPDDESSELQTSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCVGKKNYRKFFTLMVSALLLLILQWSTGIVVLICCFLDHKRFSLDIATKLGSSFSLVPYVIVVAVCTILAMIATLPLVQLFFFHILLIKKGISTYDYIIALREQEQQGLGGQQSPQMSPASSITGLSSASSFNTFLDEPMKKKNPTAVKISPWTLARLNAEEVSKAAAEARKKSKILQPVSRKDAPQMGPYGLERDSSLGSSERRLFSRIDNNNNRRRGSKRVRLPEHGLPLEQPPSNHNMGNDSVTGGDLSSLVPLQLEARSVFRPMSGSTGIIGSSPDSSLDSSDIHPVRISSGAEEARKLPFSGAQGVMPLSRSTSDGYDASGGEDSDRVPSRLVQRSGNWSARLFGAEHDERIARFKMASSSSSSYQNERKL >KVI05503 pep supercontig:CcrdV1:scaffold_6:160735:184940:1 gene:Ccrd_016181 transcript:KVI05503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing MSTTTGRCLLLLEKCNNMKQLKQVHGQAITCGLGENHYALSRLLAFCSQPHHHGGLSHGLNILQHTKQPSNCLYNTMIKACLFRNELKMSFQLYNRMLENGMYPDNYTLPYMLKVCAYMENFRLGELVHGHCLKLGFLFDSFVGNTLIMMYSLFGNMEDARYVFDEMPRRCVVSWTVLISGYAKIGDVELARVAFDEAPIKDRGIWGSMISGYVQNNCFKEGLEMFRLMQSTSIVPDEALFVSILGACAHLGALEIGIWIHKLLNEIKLRYSVKLGTALMDMYCKCGKLDVAKKVFDEMPERDTICWNVMISGLAIHGKGLRALRLFSEMEVAGVQPDDVTFIAVFTACSHSGMQHEGLKFFNRMCNEYNINPKTEHYICIIDLLGRAGLFKEAYDIIQKIPNSCSPKEAAVAWRALLSACQSHKQIEFAQMAAEKLMELESDSGVYVLMSNLYSSTDDVDSLQRTRKLMKTRGLDKVPGCSSIEVCGVVNEFVVGEKTNQGWWRQQMYRHMATTTTRGGQPTDNGDAVVTLDEVPRWSDGDFRYSYEIEDPNFPNSHFPDPLTSSSGEGSSGKVSRFPVDHEVNSKIYLWRGNPWNLEVDAVVNSSNENLDEAHCSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKITGVVFCLTSANDTEIYKRLLPLYFPRDKKEEEIAVSKLPADVGDENGETVIDERKIRIKPLPNAKKASPKPPRASLDVPVSDLGLARRYSHDRNSSYLESYLDPAFMSLIKDPDQRRKEQWERTARAQNGFNFAKMLGFGDLGGPALSAAEEYSLHSRYLAKANSLNLSEITEMKILYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVIKAIYVLHPTFGLKAAILALQLLVDGMVWKKAVYVDRLLQLFRYVPREQLTIPDFVFQLSLNFPNLIFCGIYWFRFPFHLRRRRFPPRSSPPPSLPPEVVSVAVASPRGRRRRFPSRSSPSSWLSPTVVSIASSIFIPNHLLQPPTSLSPPASNIAGSVAFSHGGRLRLRRFLPPWSSPSLSLSPTVFVSIAEDKIVKYRFVGVLIACCLLRKEKVFQYALNLEEYDIAKQLRNKLNELTIPCFYKVETEVIKQQETKRGLSSKSEAQDKGISILRLRADLQNAIENENYALAAEIRDQISKVEADSLAASIKAQAFQKAQFEFRLGQKVRHKKFGNAIILSAVTQKDIVALFLKFSLVVIGYRAVVCGMDPVCCETSSWMESAHVDQLTRGPDQPYYQVLILPISLVPEENLLAPEEPDKARFDHPYTSFLFYGMDSAGDFIPVKQLREKYNRPRHEYMPLFRSCSTTRTLVQVHAHLVTTGQYRSHLASTKLIESYAQMGSIDCAKLVFQTFPAPDSFMYGVLIKRYVWNGLFKEAVALYCNMLHELTLISRFIFPSVLRACSSFGDLGLGQNVHGRIIKCGFESDSIVQTSLLNMYGEASCLSYARRVFDEMPERDVVSWSSVISMHVRNGQPSQGLKLFLKMVIDGHEPDYVTLLSIAEACGELGLGLQSKSIHSYAVTRKIENSDHGLLNNSLIAMYGKCGDLHNAQSLFDRVSSHCTSSWTSMITCYNHNGFYQTALDLFKKMQESKTEGNAITMMAILCSCARLSYLREGQSIHGFIVRKQLDADYILGPALIDLYANCGKFNTCHKIFDTSRNRNVISWNMLVSGYTREGMSEDALDLFKQMRAHTISPDEFTIASVVSACGNIGFSNLGTQVHGYVTKTGILNEFVQNSLIDMYAKCGFIDSAYSVFKNQNHRSVVGWNSMMWGFYHNGNSVDAMNLFDQMYLEGQEMDDVTFLSAIQACSNLRYLEKGKWIHHKLITNGVMNDNTFVNTALLDMYAKCGDLRMAQTIFEIISDKSVVSWSAMIDAYGMHGLVDLAISIFNRMMESNMKPNEITFMNILSACSHAGYVEEGKFYFDSMRKDFGIEPKLEHFSCLIDLLSRAGHLDDAYRIIGSMPFVPNSGIWGSLLSGCRIHRRLDMMKLIQENLEKIDDTGYYTLLSNISAEGEDWKEFQNVRSMMKTTGMKKLQGCSMVQTR >KVI05559 pep supercontig:CcrdV1:scaffold_6:816502:821076:-1 gene:Ccrd_016126 transcript:KVI05559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLNVKTGSVSTILRRVDICSDVRVPIYLLNWFQDCGAAKVISRQDVGPRALVTARAPKAKSRIYADGI >KVI05494 pep supercontig:CcrdV1:scaffold_6:1094410:1096305:-1 gene:Ccrd_016100 transcript:KVI05494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein NSIHLTCFNHLHQKKFLYITLSVNTTISSSSSRNFGFRGFKNRDGQRQKQTQSKGSCQTIIGFVFLTRYHDLYQENEAYEYVFSCRKPTRRRRSLRNQLLKTATGKFCNENLAGQGGCGDVYKGWLDYRTNEAVKPGHGFPVGVKKIKKEGAQGLEEWRNELKILSSFHHPNVVKLLGYCADGPHRMLVFEFIPMGSLEATLSRECSTELNWIKRIKIAKGLARGLEYLHTMDRPVIHRDIKTQCKNILNFDPSVPLVSVVLQDFNPKIADFGISRFGPQGDKTHLSTRVLGSKGYFAPEYIGTEILTGLRAVKRYPNGVLRELSRWARPYLNDRKGLHCVIDKRVVKNLDIEEAYEFATIILQCLTEDPRKRPTITQVLNSLEQLEQNMNRWNHTFHNRNALRKPYQI >KVI05478 pep supercontig:CcrdV1:scaffold_6:509217:511144:1 gene:Ccrd_016155 transcript:KVI05478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGYVHGESSLNQECITRKLDFSDDKEVSWCPYFGFDEPHSGKYIMKRWKENIITTNLLRTRNCLGEIDRGYRNELAHDTHSAVDYCIDILSRDKKKLEAFASKIHDLKCEVEAEFLNSLNYNSKKEMAESFLGYEFGEITIRNPPVRRNRWEEAIVESSKRKRMCRRCMELSTHDSRNCPLKNAAE >KVI05499 pep supercontig:CcrdV1:scaffold_6:91438:98204:-1 gene:Ccrd_016185 transcript:KVI05499 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDDQNSADPVTGGFSTDSTWTLHGDYGFFGINDTRENSILSEFGWNFQQPQPEFDRIDSGGCCGVPEDTNPTTSAVAVQTQAGSVENTGDVSMSNPLVSSSSSEDRPDSSAASCDVASSGKPPSSETGVNTAAIAAEMAWVWRWRAVYDKTRKNAQKLLDEMHLNLLVEVFVAIFFEYFMTHVLSQKLLEMIYRGKVKKKGTKRIRQQRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSTDPTTVITTYEGQHCHHTVGFPRGLISHEGAYARQLAPPSTLQQFNYLRANLPRVGDHLGASQSQPQVVVSETGDHSSHRKPQASFQDSRVDQGLLGDIVPPIMRNR >KVI05549 pep supercontig:CcrdV1:scaffold_6:314245:315634:-1 gene:Ccrd_016173 transcript:KVI05549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGNAIKDYFIDIHLGNDYIPIRGFARGTFTLQLPWNWYNEFSGFLVYVDKGFWGSKEVIIIKDVLGMANGDGVLEVSNKTEHDEERTMTAKGICYISFGSLRKTSWWNSTHTTLSLSFQRRPVDLKVELVPRRSHGDDDSIERAKDASNFWDEESPNEKTFEIIRDSKSSIEIQWRRYHHSKQFR >KVI05563 pep supercontig:CcrdV1:scaffold_6:865926:868374:1 gene:Ccrd_016123 transcript:KVI05563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSAGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKTASRS >KVI05520 pep supercontig:CcrdV1:scaffold_6:420733:427487:-1 gene:Ccrd_016162 transcript:KVI05520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDDLKISLPDILLATNNFDENNIIGSGGFGKVYQGQSKQYGTIAVKRSDRFSSPGDHEFWMEVSVLSAYKHENLVSLVGYCHQDGKRILVYKHESNGSLDKLLQSKQLSWILRLRIGIDIAHGLKYLHVDIGSEKRVLHGDVKSANILLNHNWSAKISDFGLSKIRSANDPFTFLTSDVCGTIGYHDQEYFETGVFTQKSDIYSFGVVLFEILCGIPASDQEYLGESRSLSILAKKHYENGKLAKLIDPDLHRQMYSTSLSTFSAIAYRCLNEHREDRPTMSQIVVQLEEALNEQLVESSFNGLRHDAHINVRVEDHQRVSTPNDVTHKAIHQSRHKMLKESFPSHPLVRKAVDIVE >KVI05504 pep supercontig:CcrdV1:scaffold_6:27543:32255:-1 gene:Ccrd_016188 transcript:KVI05504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin (vitamin B12) biosynthesis CobW-like, C-terminal MEEEEEDPPLAVEINETLDHKSFNQSLQEHENVEEFPPVGVTVITGYLGAGKSTLVNNILNGQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVDRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAKNLRFQLKKHEDSSTFPEAFLQIAFADVVILNKIDLVSPDALEELEKELHNINSLANIVHSVMCQVDMSIVLNCSAYDASHAAHLEQLLEENKSLTTTDLHDSGVRTMCVCYTQPVDLDKVRIWLEELLWDKKYDMDVYRCKGVLSVLNSDELHTVQAVREIYEIVPARKWKKGEKQTNKIVFIGRSLNEDILLDSLRACASTSTTC >KVI05496 pep supercontig:CcrdV1:scaffold_6:56524:67090:-1 gene:Ccrd_016186 transcript:KVI05496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloenzyme, LuxS/M16 peptidase-like protein MATRHLLKLSRRSHRALAAATITTRSASTATAVSSVSDTPTPAPPHPNQMIYDRVAEQVKSKLKRLENPDPRFLKHNSPYPTLIDHTSILTYPETRVTTFPNGLRVATESNLASKTATVGVWIDAGSRFETEDNNGVAHFLEHMIFKGTAKRSVRALEEEIENMGGHLNAYTSREQTTYYSKVMGEDVPKALDILSDILQNSSFDERLINRERDVILREMEEVGLQTEEVIFDHLHATAFQYTPLGRTILGPAENIQKITKKDIQDYISTHYAAHRMVISASGAVKHEDVVEHVKKMFTKLSANPITTTQLVEKEPAVFTGSEVRMRDDDLPLAQFAVAFNGASWTDPDSIALMVIQAMLGSWNKNAGGGKHMGSQLAQMVGINELAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLSFAIMQEISKLCYRVGEDDVIRAQNQLKSSLLLHLDGTSPIAEDIGRQLITYGRRIPFAELFARIDAVDAATIKRVANKFIFDQDIAIAASGPVKLLPDYNWFRRRTYMLRY >KVI05511 pep supercontig:CcrdV1:scaffold_6:779216:781526:-1 gene:Ccrd_016132 transcript:KVI05511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10e MDLWLITLYPGPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGADELPFCVHLVSWEKENVSSEALEAARIACNKYMAKHAGKDSFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVHIGQVLLSVRCRDNHSGHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRQDYVRWKSENRIVPDGVNAKLLGRHGPLANRQPGRAFLTSTT >KVI05558 pep supercontig:CcrdV1:scaffold_6:836687:837676:1 gene:Ccrd_016125 transcript:KVI05558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKHITTNTSGAGADTPDSETETLLHSQLGNPLSSFSNGKKHHRQPPPTVVAYKECLKNHAATIGSHAVDGCGEFMPSPVSEPISLKCAACGCHRNFHRRDPIDDHFATTKTHFIEFHHQHPCGISTSPNSPPQPTNYAYAPHVLLSLSTAADQNHTVAIPGTPAAIKIENPNGRKRFRTKFSQDQKEKMSLFAEKVGWKMQRCDDKLVAEFCNELGIRRGIFKVWMHNNKNTFGKKEKDITSPTTTATAAAITTSTATVGISSKSINIFNNGRPVICSDFHEENNNVDRRDNSNSSDQENVGGGGGGGDCGRAVHLQASTNGSSSSC >KVI05477 pep supercontig:CcrdV1:scaffold_6:458421:462381:1 gene:Ccrd_016158 transcript:KVI05477 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDENRGLYSSAPSARPSITLPARSTVESLFTGGGSVMDASPGPMTLVSNFFSENDPDSDCRSFSQLLSGAMLSPAEIPDPRPSIGLDMGHSYSNKQSDNGGGSGGGNVDFHFSNNGRPSSLVVTQQSMFTIPPGLTPGSLLDSPGFFPPTQVTKPPQFKLFIYSSLGMSHQQALAQVTAQAVYPSLSAPSSSLPQIPSFTSNATTYQRLPRAMPDHNTAKESSDLSNSDNRSQPSSIVVDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKQVERSVEGLVTEIIYKGQHNHQPPQSKRGKDTGNGTSSQYDHGFEGQNGTSSHSREGRLVHSLAIKEQESSQATYEHSGSSDSEEVGNDVTRVDERHEDEPQAKRRNAEVGALDPVSSHRAVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSQGCNVRKHVERAASDPKAVITTYEGKHNHDVPAARNSSHNMATTTTSQIQPANYAPTGTHSLIRRPEYPNRQQPPPPSGLLQFKEERIT >KVI05565 pep supercontig:CcrdV1:scaffold_6:788401:793768:-1 gene:Ccrd_016129 transcript:KVI05565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MKKWYIYVLSASLLTFMVLGYFVAKNPIREAYISPSSYYNTTNPLEWINSGAPPVQNPESGSQVVSADEIVSTLFTQWNISVEEQQPLHTWNHLKHLISHDRVLPNTVEAIKDAGVAWNNLMTSVEEEKCNMNRSSDSRKREKQCPHFLSKMDATELNDTGYKLRVPCGLTQGSSVTFIGIPSGLLGNFRVDLTGEPLPGEPDPPVILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEERCPAPEPDKNKKVDELDQCNEKVGKVVDRAPAATRHSNGSRELHVVDGGSKTRTYFPFKQGYLSVATLRVGSEGVQMTVDGKHITSFAFRETLEPWLVSEVRISGDLKLVSVVASGLPTSEDSDHIIDLESLKSPSIPLRKKINLLIGVFSTANNFKRRMAVRRTWMQYAAVKSGEVAVRFFVGLHKNRLVNEELWNEAKTYGDVQLMPFVDYYSLISWKTIAICIFGTEVISAKYVMKTDDDAFVRVDEILISLNRMNASRGLLYGLINSDSQPHRNPDSKWYISPEEWPQDRYPPWAHGPGYVVSHDIAKAINQKHKKGRLKMFKLEDVAMGIWIDDLKKNGLEVRYEKEERVYNEGCKDGYVVAHYQGPREMLCLWQKLQEGNRAFCCGN >KVI05538 pep supercontig:CcrdV1:scaffold_6:678743:680389:1 gene:Ccrd_016143 transcript:KVI05538 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein MKEAEQQSNTEEDEKMQHLRLKANEFLLREEWEQSIQTYSHFISLCQTRISNPQIRPDPKLQKSLCLAFSNRAEARSKTRDFDRALQDCDEALQIENTHFKTLMCKGKILLGLDRYGMALNCFKIADLGHPSNADSETLNGYLEKCKKLEFLSRSGGFDFSNWISNGFKGKFPELAEYIGAVEIKKSEISGRGLVATKNIDAGSLLLVTKAIATERGILPESKMENVGENAQMVMWKNFVDKVVESTSNCKRTHCLLSKLSAGENEEALEVPDISTFRPELEQDYSFSNEKIEMGVMLSILDVNSLVEETFSSKFSGKNDDHHGVGIWVLASFINHSCNPNVKRFHIGDHVVVHASRDIKEGEEITMGYFDVFFPLKNRKEMAKNWGFDCHCKRCKFEDVISVKQEMGEIEMGYERGVDVGSMVYKLEESMRRWMVRGRMKGYLRASFWKVYSELFVSEKLMMKWGRKVPTMEIVVESVVEAVGGDERVLRVVVEGMKRNGGGGGGGGGGGGVVEMEKAMKLGRGVYGKVMKKQAMRNLLSLTKLGMI >KVI05514 pep supercontig:CcrdV1:scaffold_6:711848:712868:-1 gene:Ccrd_016139 transcript:KVI05514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MTKAVRLEEGDASKVPVPAGSNKAVSIMDLVSRLVGITGTLGAAIAMGTNEQTLPFFTRFVRFDAQYDDFRSFRLFVVVNAIVCAYFFLSIPLSIVHIMRSAARGTRILLVILDTIMLALLTAGASAAASIVYLAHNGNSSTNWLPVCQQYGDFCQGASGSLIGSFGGVVVFILIILLAAIALSRQAKRVV >KVI05487 pep supercontig:CcrdV1:scaffold_6:990447:992677:1 gene:Ccrd_016109 transcript:KVI05487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4, conserved site-containing protein MKTQVKTLIRYPLRQTLEAAAVAAGGRRNIASAVLTSNNHGSRQLLPQLLILNDFRSNESEHFLTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLEEKDPRRIFEGEALMRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIKQRHIRVGRQVVNVPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKAAGGDADEDDEE >KVI05529 pep supercontig:CcrdV1:scaffold_6:965746:970174:1 gene:Ccrd_016113 transcript:KVI05529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MEQFPPRPLFGGAISTNFPLRFEDVSNIRQVPDHQEVFVDPGRDESLIFELLELKHDVVDDGSATWFLQDLAGEQGAEGTIVTEQSTVFEAQGLQFRNTPAVLTSAVAQMAISKGRQGREAQNLVKVYLANLRLKGVNTDILITAYEPVFINPLSESASSVGAGVTVPAAESGRTPMAEVFKQAVSTFRINDWNLFGNDAI >KVI05521 pep supercontig:CcrdV1:scaffold_6:414625:420765:1 gene:Ccrd_016163 transcript:KVI05521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLSQITSSRALIFLVSYLSLTTCSNMRTMQHYMIVLVFSVELLFISSQCMPLQRYSVSYNTSEIQLFLLNNINSLPN >KVI05490 pep supercontig:CcrdV1:scaffold_6:1051764:1052354:1 gene:Ccrd_016104 transcript:KVI05490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MATAATATTIPRLLSPTTTHQTLRSTLSSFTKPDSLKTIRLATKLHVSSPTNKPISTTTATTKPTQETIFFDGGAHYGDLVANLLLGFTLVWLPLTLAAVLRGFFLRYRFTNLRVTVISGLTGQDRSDFSYKVVKDVQVVPRFIGEWGDVIITLRDGTKVDLRSVPRFREIAKYCLSMAEKKSGDELEEGGGAKGF >KVI05535 pep supercontig:CcrdV1:scaffold_6:5398:11532:1 gene:Ccrd_016191 transcript:KVI05535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASMSILTRKWRPLSKTLNPKFITTYAFLSQEAQLAEEPPSRPASTTPLPPNPATGSPLYNENWRSPIPNLSSQSSSSLIPLGFSQQHPSSRIEALSQTLDGDGIVNLFADWMTSQKWSDIKQLFEFWIRSLDNSGKPNKPDANMYNHYLRANLMMGASAGELLDLVAQMEDYAIAPNTASFNLVLKAMYQAKESEAAEKLIDQMIQSGKESKGAPDEESYHLVVLLLLNQNHIDAALKYIDLTLKSGYMLSVEVFTEFVSSCVKTGKLDTLVSIIERCKKMDQNKALCPPWNLCNYIIDVAMQADNSELAYYGLEFMARWIARGEIARPPVLLSVDEGLVVNALTTAARNYNSKLLDGTWAILKRSLRQKKVPSPESYLAKIFAHSSLGNLQKAFSTLHEFEISYKDSTKEAEEDMFSPFTTLYPLVVACSKNGFAALDAVYYQLENLSKADPPYKSVAALNCVILGCANIWDVDRAYQTFSAMETSFGLTPDIHSYNALLCAFGKLHKRDEAVKVFEHLVSLGVKPNAKSYALLIDAHLIKRDPKSALSTVNDMVVAGFEPTKEILKKVRRRCVREMDDESNDKVDSFAKDFKIRLGTENRRDLLFNLEFSTEYANVSMKAEVVFATETSCREILDPSRELSGCIARPGFLSTKSLRRN >KVI05500 pep supercontig:CcrdV1:scaffold_6:152299:158008:1 gene:Ccrd_016182 transcript:KVI05500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPRLRVSTGFSLLILLLCLFNVAVHCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPAWSGVTCSTQGDYRVVTELEVYAVSIVGAFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVIPPEIGELKKLTHLYLSFNNFKGEIPRELANLPELQYLHLHQNRFIGRIPPELGSLQNLRHLYYSSFSLPDVGDNHLVGTIRELIRIEGCFPALRNLYLNNNHLTGGIPAQLANLTNLEILHLSYNKMSGIVPFGVAHIPKLTYLYLDHNQFSGRIPDAFYKHPYLKELYIEGNAFKPGVNPIGIHKVLELSDSDFLF >KVI05531 pep supercontig:CcrdV1:scaffold_6:948854:957527:1 gene:Ccrd_016115 transcript:KVI05531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF616 MTCIICLFMAFQKHLTDNSLVLGITEMLPERRGVIVGADLIKGPDHISDHGLRAVRRGRRSGRRRLLFWMFSFAAIFSICIAVFGFKMLFPGVEERQSFSSLTDVKDVSIKSDAQEQPKKKPHRGRFNPCEVDLGDSVDALVEPKAFWNFVKFSLHYVERENITSLENPATPRFGGHQTLEERERSFHAVNQTIHCGFVKGLEGFPSTGFDLVEEDKSYMSTCTVVVSSCIFGSSDFLRRPTSKLISQYSKKNVCFIMFVDKESLEKLSNEGSIPDERGFIGLWRIVVVSNLPYEDMRKTGKVPKFLSHRLFPSARYSIWLDSKMRLHTDPMLLIDYFLWRRKSEYAISNHYTRHCVWDEVQQNKHLNKYNHTAIDEQFLFYQSDGLHKFDPSNPNNPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFGYTFLKLTRTNPDKRFVLHMFKDCERRAVTKLFHHRIP >KVI05508 pep supercontig:CcrdV1:scaffold_6:759377:760911:1 gene:Ccrd_016135 transcript:KVI05508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGMIFSDSFRSLSLNNHNPSSNPNDHFIHAHFNSSSSSSTSSLDFPLMITASTSFHNQDEEDDEEEQEELDLNLSGSCTFNNGKMRPVGGQSTKTCARGHWRPAEDAKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSDEEEERLMTAHRMYGNKWALIARLFPGRTDNAVKNHWHVIMARKYREQSNAHRKRRMLISQNQTVSRSETTSATPPPPPTDAPPAYNGYFPQQPPFGSVSGYSLLFPSSNLGIISGAKNNNQMMMMMDLFSTKNTSWMMGRSSNTNFHDHPHDDDDDDDDNEHAVVPLMMMNHHHQYYHHQFYTSGSSSFSSGADNSTVSTTHSVSAVTPAPQVLQSQQFSEANIDISPPLIDFLGVGAT >KVI05537 pep supercontig:CcrdV1:scaffold_6:701687:703062:1 gene:Ccrd_016142 transcript:KVI05537 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MVMVGRENSNLPPGFRFHPTDEELIMFYLRNQALSKPCPVSIIPEVDIYKFDPWQLPDKTEFGENEWYFFTPRDRKYPNGVRPNRAAVSGYWKATGTDKAIYSGSKYVGVKKALVFYSGKPPKGIKTDWIMHEYRLNESRSQPAKKIGSMRLDDWVLCRIYKKKSPGRPLEQREENTNSQNTATDDHNNVMELPSMKIPRTFSLAHLWELESLGPISHILGDHNTDYDNNGRTESETTMGMMTQSPTTFIGTGLKESHPLKFEDNHQHSLNHTSFFSPMFEFQ >KVI05491 pep supercontig:CcrdV1:scaffold_6:1041131:1050228:1 gene:Ccrd_016105 transcript:KVI05491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MDSVSIRVPYKNLKHNADAEVEMMSFDEEPNRRIEPDKSDRFSNGNASGYSSSSPQGSPTENCSLITLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDRCSSKYGRRRPFILVGSLMISIAVITIGFSADIGYLIGDTKEHCSTFKGTRTRAAFVFIIGFWMLDLANNTVQGPARALLADLAGPDQRNSANAIFCSWMAIGNILGFLSGSSGNWHRWFPFLTSRACCEACGNLKAAFLVAVIFLTFCTLMTLYFAKEVPLAPKQHHRISDSAPLLDDSQQAGSHNSESKALSNLVDHKVGKPAEGAFNMNTSTTDINTRVEEDQVETFNDSPGAVLVNLLTSLRHLPVGMHSVLIVMALTWVSLMPLSWFPFFLFDTDWMGREVYHGNPKGDAAEIRAYDQGVREGAFGLLLNSVVLGISSFLIEPMCQWLGSRLVWALSNFVVFACMAGTAIITLISVRQSQGNEHIVGENESIKNASLVVFAILGLPLAITYSVPFSVTAELTADTGGGQGLAIGVLNLAIVIPQCPCCWCLCRYKASNSLVQSLQTD >KVI05550 pep supercontig:CcrdV1:scaffold_6:322494:329930:-1 gene:Ccrd_016172 transcript:KVI05550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MGVDLQCRILRKIGSIIKLKETIVFNNSHQDFVLKTPNFPCSNRPTISDDHPISGDGLLLLFSSHIPVERFVIEDLPAENVSVATGNLDSWKFGMDVKQITQVFESLASFARKCFFGILSVAATPNHIAFIMDGNRRYSKQHNLIDGAGHRVGFSSLMSMLRYCYELGVKYVTIYAFSIENFKRSPEEVQSLMDLMEEKIEGLIKEESIVNQYGVRVYFIGNLKLLSRPVRLAAERAMDATAKNSKAVLSICIAYTSTDEILHAVEESCEERWGKIKVLDDCGAGYGFMELGNERNQEKNTIDVSDIERHMYMAVAPDPDIIIRTSGVTRLSNFLLWQSTSCLLYSPSIMWPEIGFRHLVLAVLDFQKHFYYLNRQKSLGYVHCFHNKDFTSIEETESGTTSTQIELFERLYKRKGCKLSFWSSSLTMEGGGFCFIPLLVGGGHMSAIRGG >KVI05515 pep supercontig:CcrdV1:scaffold_6:714563:717517:1 gene:Ccrd_016138 transcript:KVI05515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSTNDNPMPSKDQEEAVIKKKYGGLLPKKNPLISKDHDRAFFDSADWALGKQGSQKSKGPLEALRPKLQPSPQQQSRSRRSAYAPAGEGEGDGGNGNHNGSEEDNDEKADSKDEDQPPI >KVI05542 pep supercontig:CcrdV1:scaffold_6:563828:567308:-1 gene:Ccrd_016147 transcript:KVI05542 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCK-like protein MSSDKPPTPDAEPTIEVPKTLENENPNQESTDPSLSHKEDVDQEAEEEGECGFCLFMKGGECRETFINWEKCVEEGEKNNEDIVDKCFAATSALKKCMEAHPDYYGVILQAEKDAEQEVSNQLDQEKEHLAAAAAERKIKEHESSENTKNHEENLQKVVDHGEDKEREPTEIVEGSKGNPSGEDHKQQVLGSNEKQEPTESSENRVGILQQEEKQEVDGHGEDKEREVSEDIGGFRENPNGEDEQKVLGSNEEYKNHLKLPKIVKKLATRS >KVI05552 pep supercontig:CcrdV1:scaffold_6:355102:356961:-1 gene:Ccrd_016170 transcript:KVI05552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLPRLKVLDLRGSKNLIRTPDFKGLPCLERIILEGCTNLKQIHPSIGHHEKLIFLDMEHCTSLEIFPPIIKMKKLETLILSNCTQLCKFHEIESNMDSLEKLCLRGTKIEYLPSSVGRYCTKLLSLDLSSCFHLKKIEGNLCLLKHLKELHLNDCKQLKIPVEGLFDVECCLHVLSLSYISLRNLDRKRLGFSHSLKRLSLGWCKLVDGDMSVVCKELSNLQVLDLSGNDFSQLHCSLSHLTRLKFLDLSDCNRLVQLPDLPSNLSILKAGRCKSLKILGDFPTNLTWLWKVLLPTRNCNKERVLQSMFQVITIMDVMDNREDAVEIDEYWLEVADEIDEDWLGVCDDDIDEDELEVCNDDIDEDELEVCYDDIDEDGLEVCYDDIDEDGLEECYDDIDEDGLEMCGDDIDEDELEGCDDDIDEDEGRERCSSMCYISFGSLKHTSWWNSTHTAISFFLLQQEDACLEVELVPIRGGKGDSIGSTTNYWDEEVKGRKTFEIVHDSKSSIEIQWHYFS >KVI05509 pep supercontig:CcrdV1:scaffold_6:763717:764211:-1 gene:Ccrd_016134 transcript:KVI05509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNDCQLLLNSLQVPIRSILTHVSIPWNKLRATPVSLHDHVKESTTIKKDSLFFSLGEINMVMNELGFQKSCCNHDHSSRIDITSLFDDDEPSLGEVKVAFDVFDENSDGFIDEFELQKMLCRFGQPEEVAKLEQCRNMIKGFDVNGDGVIDFEEFVKLMETCCF >KVH99001 pep supercontig:CcrdV1:scaffold_60:285125:293342:-1 gene:Ccrd_022778 transcript:KVH99001 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, NAD-binding MLQIKGILAFYIKQLKNVLLDRNKNKWKLIRLRVENLTSLAMAGPTRRTKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIRDFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSEINPDVVLESFTLNITTVQGFETFVASLENKSFRQEKEGTGVDLVLSCVDNYEARMVVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGYNALKDFFPTMAMKPNPQCSNGACLERQLFNLGHRVVDDCDANVINTQSSDALPEGLVHELPNADVYEQQQQQQWKEESVDDLEDLKRQLEALNAP >KVH98968 pep supercontig:CcrdV1:scaffold_60:472649:479412:-1 gene:Ccrd_022794 transcript:KVH98968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavoprotein MAHAEPLDAIKEPMQVKDGNRKPRILLAASGSVAAIKFGNLCSCFTDWADVRAVATQAALHFIDKASLPKDLILYTDEHEWSSWSKIGDTVLHIELRRWADIMIIAPLSANTLGKIAGGLCDNLLTSIIRAWDYEKPIFVAPAMNTFMWTNPFTERHLMAIDELGMSLIPPVAKRLACGDYGTGAMAEPSLIFSTVRLFLESHPNAG >KVH98976 pep supercontig:CcrdV1:scaffold_60:14878:16628:1 gene:Ccrd_022760 transcript:KVH98976 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MVYLAPAPTFYRIVNQRSTEGFQSLPYVVALFSSMIWIYYATLKTDATLLITINTVGCVIETLYIAIYIAYAPKNLVVSLNFVGFWVIALSTHYLAEGPTRAEILGWICLVISVSVYAAPLSIMRKVIQTKSVEFMPFGLSFFLVLSAIMWFFYGLLQKDIYIALPNIIGFILGVLQIVLYLVYKNSGKKTSGVEEKLPTSVPTFEARPVCSTSKHDANREINIKDQTVTLKCIKEEDIESRISELPSGVCRTEPPNDSIEDVVKEDVILEASTGCTSMVQPVDAFSYCNKRKSMEPPNQVYLIESGV >KVH98996 pep supercontig:CcrdV1:scaffold_60:613392:624152:-1 gene:Ccrd_022806 transcript:KVH98996 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSLTFXFFLLSSLFFSILIFLFFKTLSSSSKPYKNLPPSXPRLPLIGNLHQLGSSPHHALQAMAQTYGPLMLLRLGTVPVLVASSADAAREIMKTHDLIFSNRPKIKIYSILTYGSKNIAFSQYGEYWRQAKSIAVLHLLTNKRIXETGESVVDLSELLVSLTNNVVCRVAFGRTYEGKNFKDLLGRHLELLGKFSVGTYIASLAWVDRLSGLEXRAHDVAXEVDEFLEDVIEEHTNKKAVEVECQDIDVFAAGTDTTSTNLEWAISELLRHPQAMKKLQQEARETGHGRTMITEDDLHKMPYLRAXLKETLRLHPPFPLLVPRESTHDVKLLGYDIAAGTQVIINAWAIGRDGSSWTEPNEFQPERFLNSXISYQGFHFDLIPFGAGRRGCPAIQFAMVINELVLANLVXKFDLCLPQGGCLDMSETTGLTAHKKHPILVTATPYSDFLLLSSISFSILLILLYKSLLSCSNPHKNLPPSPPSLPLIGNLHQLGSSPHRALHAMAQTYGQLMLIRLGTMPVLIASSADAAREIMKTHDLIFSNRPKLNIPSRVTYGSFSVGSYIPSLMWVDRLSGLERRADDVIKEFDEFLEDVFEEHVNKKVVDVEGQDIVDILLEFEKKDTIGFHLERDEIKAIIMDVFSGGTDTTSTNLEWAISELLRHPQAMKKLQQEAREIGQGRTMITEDDLDKMAYLRAILKETLRLHTPIPLLVPHESTRDVKLLGYDIAAGTQVLINAWSIARDSSTWMEPNVFXPERFLNSPIDYQGFHFDFIPFGAGRRGCPAIQFAMVINELVLANLVYKFDLALVEGDFLLLSFISFSILILLIFISTFSSSKPHKNLPPSPPRLPLIGNLHQLGSNPHRALHAMAQRYGELMLLRLGTVPVLIASSADAAREIIKTHDLIFSNRPKLNIPSRVTYGSKDIAFAPYGXVAFGRTYDGPKFKDMLDRFLELLGSFSVGSYIPSLMWVDRLSGLERRADDLIKEFDEFLEDVFEEHVNKKVVGIEGQDIVDILLEFEKEDTIGFHLERDEIKAIIMDVFSGGTDTTLATLEWAISELLRHPQAMKKLQQEAREIGQGRTMITEDDLDKMAYLKAILKETLQLHTPIPLFVPRESTQDVKLLGYDIAAGTQVIINTWAIARDSSTWVEPNAFQPERFLNSPISYQGFHFEFIPFGAGRRGCLGIQFAMVINELVLANLVYKFDLALGKGEYLDMSEATGLTAHRKYPIHVVATPCN >KVH99006 pep supercontig:CcrdV1:scaffold_60:257476:259331:1 gene:Ccrd_022775 transcript:KVH99006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT5G50460) UniProtKB/Swiss-Prot;Acc:P0DI74] MDAIDSVIDPLRDFAKDSARLVKRCHKPDRKEFTKVASRTAIGFVVMGFVGFFVKLIFIPINNIIVGAT >KVH98998 pep supercontig:CcrdV1:scaffold_60:566076:572274:1 gene:Ccrd_022801 transcript:KVH98998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MGRNSSDYSESPVRNHRSSRRSPSRRERSPAQHRSSYSARSPGREKPSSRTKSPRRAKSPITHSPVREKPSTHSRSSKHTRSRSPLDRLPVKEAPSSRTRSPVQPSTKSPGSRSPSPRSKRLRRDRSNHKDDKSNEKGHDKNHGKTRSPARPNSRSPESRSPSPRTRRLRRAEADKTSDRGHERNHNSGGDRARRKERDPEREVPIERRDRKSGRDTEDNGSSKSRHVSSISHAEHHRSRHRSHSPSAATGARDEVSVRYYLSTLTQALIRCYVRVIRNGDDDSVARMKAAEEALETKEKQKPSFELSGKLAAETNRVKGVTLLFTEPPDARKPEIRWRLYVFKGGEVLNGRLKDILLMQVEKEQPDGMLKKEIRPYIMDLGSTNGTFINDNRIDPERYYELMEKDTIKFGNS >KVH98987 pep supercontig:CcrdV1:scaffold_60:306108:310826:-1 gene:Ccrd_022780 transcript:KVH98987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MVMEEESRTPRSPEAKLGKEVEDLWDVQESQLSPTEKLNACFESIPVSEFPHAPPSQVIEIKSDASLSEAVRLLSQNKILSAPVVNVDAPEDASWIDRYLGMVEFAGIVVWTLHQSEKNDTLDEPNLFSSALEDSMGPAVVAAATGMSSPRYRSSQPGSPKTAGNFFELLTSSDLYKNTKVKDISGSFRWAPFLALQTSNSFLTMLLLLSKYRMKSVPVVDPGEQKIDNIITQSAVIHMLEECADLQWFKSWGSKQLCELGLPLMNANQVIKVNEDEPVLQAFRLMRQKGIGGLPVVSDGNKPVANISIRDIQFLLIAPEIYKDYRYLEENQKSSPLVSGMITCRKNETLEEVISKLDSKEIHRIYVVDEEGNLEGVITLRDIISRIVHEPRGYFGDFFDGVLPLPANSRV >KVH98974 pep supercontig:CcrdV1:scaffold_60:191425:193943:-1 gene:Ccrd_022769 transcript:KVH98974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGKVEVKRIENATKREETHFAGDDLSTLGMKDLQKLERQLRIGVDRRRLLSEHISLLKRNLKSMQEKNTLLNKKLKDGIDGNSSLDHDIQSLKIAGGRPTIVFVSEKSLEYDLKCNSTKKPNVNLSFVYGLTFGSN >KVH98988 pep supercontig:CcrdV1:scaffold_60:401106:408269:-1 gene:Ccrd_022787 transcript:KVH98988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MQTLLNPHPIFFLPPRILNPNKLCKPRTHLNPSIQFVSSTFTGKFTVKGLSADEFPVDEEFIEKFGPKDVETEDEARRRNWIEGGWAPWEEILTPEGDFARKSLNEGEEVALENPDSIEAFKMLRPSYRKKKMEELGLTEDEFYAKQFEIKGEIPEPLKTTWAGPLVIRLIPPRDWPPRGWKVDRKELEFIRESHKLTFRVDIDQVQNEARSNTDDLCLDRYKVFLKQYKEWVEANRDKLEEESYKYDQDFYPGRRKRGKDYKEEMHELPFYYPGQVCVGKVTTLHLYQGAFVDIGGVHDGWVPIKRNDWYWIRHHIKVGMQVIVEILAKRDPYRFRFPIEMRFLDPNIDHLIFKRFDFPPIFYREEDTNMDELRRDARRPPISKDDPGIKIEEEPLISNHPYVDKLWQIHVAEQMIMDDMEINPDKYKDKKLSELTDEEEINEENSVEYTQAYYKETLLPKRIVHHNDLKRQAMEGGATSYKIDKLRRNHEMDEYDLVHWRRSLEEREALLRDISCRRALGLPLQEPGSYHDPNSVAKDKYDPENPLYRYDYWGEPKSSEKSKQERMRDFHNKGIIGRGMVWYETSYEDAMKRTAETEAAEMLQSKHQDEDDEDEVDNIIYSIITEPQPEVNGVQSNAFSDEFD >KVH98991 pep supercontig:CcrdV1:scaffold_60:359057:370751:1 gene:Ccrd_022784 transcript:KVH98991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MASSASAILEDVPSVDLMTELLRRMKCSTKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDNGQLVSDELVVGIIDEAMKKPSCQKGFILDGFPRTVVQAEKLDDMLERRGTKVDKVLNFAIDDAILEERITGRWIHPSSGRSYHSKFAPPKVPGIDDVTGEPLVQRKDDTAAVLKSRLEAFHKQTEPVFTEARSQQGGVAKVVERWGEPDSSRCVLAASMNDRKIDPLLAVARKNGAIELLSPVNGDVRASFTIKNQADVQSQEDDIVGLHLFKKRTSESSSRSCTLLTCTMKGLTSLRSTIIPKSPGDSTCDDPPTTWNVCAAGNVLCCKVDESENYALYGGKGVEVNIWDLATHTKIWTAKSPPKNSLGIFTPTWFTSATFLSKDDHRKFVAGTNSHQVRLYDMSAQRRPVMSFDFRETPIKAVTEDLDGNTIYIGNGSGDLASVDIRTGKLLGCFLGKCSGSIRSIARHPDLPVIASCGLDSYLRIWDIQSRQLLSAVCWYTVYTNTCIFHWMIFENFKSVLSQALVKGMVAPESLMDR >KVH99008 pep supercontig:CcrdV1:scaffold_60:234338:236488:1 gene:Ccrd_022773 transcript:KVH99008 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MECNKDEALRAKEISEVKLVEQDFVGAKKLAQKAEKLFSGLEGLPQLLTTLDVYISSEKKINGQTDWYGVLGVEPTADDETIRKNYRKLALTLHPDKNKSAGAEGAFKLVSEAWTLLSDKVKRKIYDQKRNPRPVYQKPVTETQKTSAPTTQPTQPIPRPPNTTFWTKCTRCLMHFEYLKIYLNQKILCPNCRGPFWAVELSAPPINHHATTRYPQKQNHNANHQVNVPQGPSLNSSRVNHVNVQQGPSVNTSAVNGSGQFKRRHEVKAGATRYQTSNSGLGSVKGDGIAKRRRVAEQSSMVHASNAYVKANSGGEKVNVSVQRKLNNSTRELSQAEVRTMLMKKARKEIHKKMDEWIAEKASRKEGRKRENEMTHRGKKNADEITVNGMSKVKGIKAPSKDAVDTVNMDSDTKEVDMGSVAKIEAVLMIVPDPDFHDFDMDRTERSFGENEVWAAYDEDDGMPRYYAMIHSVISKKPFKMRISWLNSKSNAELGPINWVASGFPKTSGDFRIGKHEINTSLNSFSHKVQWTKGKKGVIQIYPRKGQVWALYRNWSPDWNQFTPDVVVHKYDMVVVVEDYNEEKGVMVAPLVKVTGFKSVFHQHPDEMESRTIPREEIFRLSHQVPFYLLTGEESLNIPKGCWELDPAALPLELLQPTIETKVKAVDDGQDSRGNEAKGIITYARKMRKNVELKGKEIDETNKGNQEATDLENNSR >KVH98999 pep supercontig:CcrdV1:scaffold_60:574949:582077:1 gene:Ccrd_022802 transcript:KVH98999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate(D-aspartate) O-methyltransferase MQQVWSGSSLNKNKGLVDHLQSFGIIQSKKVAEVMETVDRGLFVPDGSPAYLDSPMQIGFKATISAPHMHATCLQLLEDNLQPGMHALDVGSGTGYLTACFALMVGSHGRTIGVEHIPELVSTSIKNIEKTAAAPLLKDGSLALHVGDDDRW >KVH98980 pep supercontig:CcrdV1:scaffold_60:131167:134465:-1 gene:Ccrd_022767 transcript:KVH98980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVQKAPEETKKPEEEAKPVAGDEKKEEKSKDPPPPPPPQEVVLRVFMHCEGVEDVVTDCKTHKVVVKGEKADPMKVLERVQKKSHRKVELLSPIPKPPAEEVKKPEQEEPPKPEEKKDEPPAVITVVLKVHMHCEGVESAVPDLKSSQVAVKGTFPATELVDYVHKRTGKQAVIVKQDPEVKKEEEKNDKDEKKGGDGDGEKEEKKPDEGGDKKQEDAGKPEDAAAVMEMRKNEYYYYQPPNYQLYPSRYAAETAYGYSPAPQMFSDENPNACSVM >KVH98986 pep supercontig:CcrdV1:scaffold_60:326008:328989:1 gene:Ccrd_022781 transcript:KVH98986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22/L17 MVKYSKEPENPTKSCKARGSDLRCHFKNTRETAHAIRKLPLIKAKRYLEDVLVHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESQRVGCGCSPYLPHSAYMSSPCHIELTLSEKEEPVKKEAETQLAPRKNKNQA >KVH98993 pep supercontig:CcrdV1:scaffold_60:422915:424543:1 gene:Ccrd_022788 transcript:KVH98993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MAPVGFLGNRLYNEQIFDRLKMGVHGVDPRFRLGGTKRKVEAFSDLGTTELPVNDEDYDGDGGRNLSISSFHHRRYLPVLDNPVTGWQLPGSSNGKMKRSKSMPESSFSDNSFAGIHRSSSTNSFPKLRFRDHIWTYTKPYLEAEAIKDAISEYGNVGDDGNKDEMHLVQLLVSCAEAVACRDKTHASNLLAELRADALVFGSSFQRVASCFMQGLTDRLAMVQPLGAVGLVAPARNVNPVAFERKDEAFRLVYETCPHIRFGHYVANLTILEAFEGESYVHVVDLGMTLGLRHGQQWRALIQSLAGRANQTRTPHRLRITAVGPCVGQFVTIGNELETYAGEHNINLEFSAIESSLETLKREDIKTYKNEVLVINSILQLHCVVKESRGALNSVLQIVHGLSPKAVVLVEQDSNHNGPFFLGRFMESLHYYSAIFDALDAMLPKYDTKRAKIEQFYFAEEIKNIVSCEGPNRVERHERVDQWRRRMSRAGFQAAPIKLAVQAKHWLEKLEICDGYTIAEEKGCLVLGWKSRPIVAVSCWKC >KVH98969 pep supercontig:CcrdV1:scaffold_60:478948:483249:1 gene:Ccrd_022795 transcript:KVH98969 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein RAHTHTPFHKIPISPKKKHEIQLKTHHQRIKTPKMASISSSSSSSSILCSHDFTTKKTSSRHLTTNRITPPLSPPSIIGIRSSSICKLSNPLIRDFNGRSRKGSSFICSAVDPSVVPSALLFDCDGVLVDTEKDGHRISFNDTFDEKELGVTWDVNLYGELLKIGGGKERMTAYFNETGWPENAPKGEQERKEFIASLHKRKTELFMVLIEKKLLPLRPGVAKLIDQAFAKDVKVAVCSTSNEKAVCIHHPVSAIVSFLLGAERAAKIQIFAGDVVPRKKPDPAIYKLAATTLGVEPSRCVVVEDSGIGLAAAKAAGMTCIVTKSGSKDSSWSPDKPSIPLPKRLLSTVGIPITAWEDP >KVH98985 pep supercontig:CcrdV1:scaffold_60:336221:349106:1 gene:Ccrd_022782 transcript:KVH98985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSISNNNSNNNKRSSSSEQKPPSPKRQKADNGGASEKSTPQEPSQPAVVENSKELSSPTAVDPPENAAASCPRKDGSGEGEVVSAGKAEAAPAASVVTPIAQGKLFSCWLRLRLCIGCYEASVPVLPEKPRSSFSSWKQQNQSCETATPWCRLLAQTSLNQTMTVYTTNFLVGSSKNANLLIKDISAILCIIKLNEREDSSVAVLESKGSKGSVHVNGKTIKKGTTCVLNSGDEVVFGPLGNHAYIFQQLPCDVLLKTHTSVRGGDLKMLHIERRAGDPSAIAGASILASLSNLRPDLSRLKPASQTAPKPYPGTELRHPNHDDEVDGLEVTSATNTANDSASEIGATSKITPLGSSLDPSITETGNALETREWTRDPLPPSAPGMSVRSAMLKEEILAGIIDGREVEVSFDDFPYYLSENTKNVLIAASYIHLKHRDQVKYASELPTVNPRILLSGPAGSEIYQEMLAKALARYYGAKLLIFDSHSFLGGLSLKAELLKEGSTSNVPKQSPGAATLLTGTASTSSELDVPGNENASSLPLEPQQKMEIDFVPPAAGTSKSPTFKLGDRVKFIGPASGVIYSSSSTSRGPTSGARGRVLLPFEDNSLSKIGVKFDKLIPDGVDFGGLCDGGYGYFCSANEIRLDSTGVEDLDKLLISTLFEVVSSESRNLPFILFMKDAEKSIVGSSESYSTFKTRLEKLPDNVVVIGSHTHTDNRKEKKAHRERERERLCGGVFCNFGRLQYVLAVYLSTCLFRMLPDSIWRCTKVLLLIILFHLLFLQSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHERGKEVPKATKLLTRLFPNKVTIHMPQDEGLLASWKQQLDRDAETLKMKGNLNNLRNILNRTGLECDGLDTLCIKDQLLTNEGAEKVVGWALSHHLMQHAQADPDARLVLSNERYLKLPFSYLFLLFFVAEYVIVDLVTLPSRSIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALEKVKDTLKELVMLPLQRPELFCKGQLTKPFRLNEAPDIWVHIALRAYRLWCLKQLRSDIVVFHIQPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFTLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDIDLDAVASMTEGEIIEKEKKEHAAAIAEGKPTPALSESSDIRPLNLEDFKFAHEQVCASVSSESVNMNELVQWNELYGEGGSRRKKALSYFM >KVH98990 pep supercontig:CcrdV1:scaffold_60:382059:386587:1 gene:Ccrd_022785 transcript:KVH98990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase MAMSMGYYGDTAMKKIAGGGSVAGGYDVPEGVDIRGRFDQQFAKILTKDALMFVAELHREFRNHVKYAMECRKEAKMRYNGGGLPGFDPATKIIRDGDWMCAEVPPAVADRRVEITGPVERKMIINALNSGAKVFMGQVNLKDAVNGTISFEDKARNRVYKLNDEIAKLFVRPRGWHLPESHIFIDGEPAIGCLVDFGLYFHHNHAAFRKTQGQGYGPFFYLPKMENSREARIWNNVFERAEKMAGIEKGSIRATVLIETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVLVGMGQHFMRSYSDLLIRTCHRRGVHAMGGMVSNEAALELVKKDKLREVRAGHDGTWAAHPGLIPAIMDVFTANMNNSLNLIETMKREDAANLTEDDLLQIPRGVRTMEGLRLNTRVGIQYLAAWLTGTGSVPLYNLMEDAATAEISRVQNWQWLKYRVELDGDGVGVRVSPELFGKVVEEEMARIEREVGREKFKKGMYKEACKIFSRQCTAPVLDDFLTLNAYNHIVIHHPRGSSKL >KVH98967 pep supercontig:CcrdV1:scaffold_60:461021:464465:-1 gene:Ccrd_022793 transcript:KVH98967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MKTLGIMPPSATGITATAIFLLLTFSSATPISRPFKKIYAFGDSYTDTGNTGSTGAITGPSAFSYVSNLPYGRTFFHHPTNRYSDGRLVIDFVAESLSLPYLPPYLNRKADTSSGINYAVAGSTAIRHGFFVKNNLTFNITPQSLKTQLGWFNKTMEGQKCKTAKSTPEECTAVFRDALVWVGEIGANDYAYSIGSTVAGKTIQLHAIRSVTGFLEAVLDKGAKYIVVQGLPTTGCLTLALYLAPESDRDDIGCVASANNQSYTHNAILQTKIQTLRKKYPEAVIVYLDYWNAYRSIIKNAPKLGFRELYKTCCGSGGGPYNFDLGGTCGSKSASSSCQDPSQYINWDGVHLTEAMYRVVFEMFLKGAFAHPSLECLLRSKQNCG >KVH99003 pep supercontig:CcrdV1:scaffold_60:261381:262169:1 gene:Ccrd_022776 transcript:KVH99003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis protein MGLTKRKIILPLVFLLVILSLLRVLKVAISSSPFSSTRLVLNTVVPPTIRLKPIRDTVLTQKEIQFLYDIILQKSPCNLLVFGLEEQYLKLPTVNGGGSTVFLEDRPEKLKKTKGGANGKWVYRVEYKTYAKNAYKLLKHARSHSSCYPHSGITTIVSKCKLALTELPEDVLKTKWDVIVVDGPDGDGPESPGRMGSIFMAGALARAGNRTNVVVHDVDRMIEKWFSWEFLCEENLVSSKGRFWNFRIPRKINNHSSKFCSV >KVH98983 pep supercontig:CcrdV1:scaffold_60:93401:93685:1 gene:Ccrd_022764 transcript:KVH98983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MLLEDAEIEYGYNTPGPILLPCDVDLFFKVVAEMEAKEMEPHGCGFIGYGFIGYGSCSPFNPSRRLVNTGATDQMAKGYGGYGVLTPSRLIKMN >KVH98992 pep supercontig:CcrdV1:scaffold_60:425097:435982:1 gene:Ccrd_022789 transcript:KVH98992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10 MTIQSPRQRFRQMRVFINDRSTRFSSTIKAILWRFSSDSVEVLKGLPPRYMRDSVLAITPLFLYNYTTQCIVFLSKPSLDSRRLEISELAKVSHSLLALPRLVYLSSRLSIRLIVAGFTFPADSVLMHNKLGISAVEPPVTIMASSLRNFLLRSSSGDAIVRHEMRRYKSDSTTKMQIIIRSHEPIEKGLYWDLPSDTRKIGLPTRRHLFTVLKSPFVHKKAREQFHVQSNKQMLVMEAKRHELNKKYFWLKRQRIFGAQFEILFSFKTRLDKEKLLEIVQPKDVFEDGKVEEAL >KVH98972 pep supercontig:CcrdV1:scaffold_60:529673:531566:1 gene:Ccrd_022798 transcript:KVH98972 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MFLSLLLLSLLSPTTTTASSSAAVQHPQHTVQQVQRSLNDSRRNLGFLSCGTGNPIDDCWRCDSNWEKNRQRLADCAIGFGKGAVGGRDGKIYIVTDSGDDDAVNPKPGTLRHAVIQDEPLWIIFQRDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGINIHDCKQGGNAMVRSSPRHFGWRTISDGDGVSIFGGSHVWVDHCSLSNCADGLIDAIMGSTAITISNNFMTHHDKVMLLGHSDTYHQDKNMQITIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPDRRFSKEVTKHEDAPENDWKNWNWRSEGDLMLNGAFFTASGAGASSSYARASSLGARPSSIVSSLTTNAGALVCRKGSRC >KVH98989 pep supercontig:CcrdV1:scaffold_60:396626:397564:1 gene:Ccrd_022786 transcript:KVH98989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTGGCCIARYSGSGGAGVGVGGGEISYGISKVERIMLKFRPIAPKPMAAGSGSSCSTMENSEGYGGTRRCKRKYVRGKGKQTKKDANTTVSKKMKVSVPSSSSVSGGGDEVVTLSLLPDRKEKLPARSNSESENPDLLSPITFNLNNKQTVCYGHVQGAASHVVSVTDHAVVMMSPPPQVISVVTVECVTETWANGGGVGFTDEPAAMKMEMDTCPSFVTNSRDMVVWTNTAYREMTVGGGSDETVVVKKFNRVRMPVTLPAFTCKVKVTWGTESSSSPSSLTAPCDVWRLQNGGYAWRLDVKAALCLGR >KVH98966 pep supercontig:CcrdV1:scaffold_60:454979:459073:1 gene:Ccrd_022792 transcript:KVH98966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase, oxygen-independent related MTCECAGIERKKADCERVEGANNLINVFGFFDRGDDFADCIEYFTISALFSFVFLLCFFAAVHETSEDDPRISNYIQILIREIEATQTHFDQHPPLQTIFFGGGTPSLVPPRLVAKVLETLRSKFGLCLDAEISMEMDPGTFDAKKLKELMGLGVNRVSLGVQAFQEELLKACGRAHGLEEVHEAIEIVGSCGVENWSMDLISSLPNQTQEMWEESLRLTIEAKPTHVSVYDLQIEKDTKFGSLYTPGEFPLPSDTQSAKFYRMASRKLAEANYNHYEVSSYSKNGFECKHNYTYWINKPFYAFGLGSASYINGTRYSRPKKLKDYTTYVQNLEGGLVDIQEDDVDEGEMAMDIVMLSLRTSKGLELKSFREDFGSAVAVELCKVYEPYMKSGHVAFLDYRRREITEDEFSSLVLDDEKLENEIGFIRLSDPDGFLLSNELISLAFGVMDP >KVH98997 pep supercontig:CcrdV1:scaffold_60:550251:554275:-1 gene:Ccrd_022800 transcript:KVH98997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQQKLVKKERRMFSSSDDSSMMKQVVATHSPDGREVDLEPILNVVEETLRLAIPANIDGVINFSCKCSGGADAHASTIAILNLLSSYTWEAKVVISLGAFAVNFGEFWLVAQLFATNPLAKSVALLKQLPNIIEHYKSLKPRFDAINNLINAMLVVTKCIIAFKNLPYQYLQDDTPPKSTALAHVPTAAYWYITATSEAWELSSLAHKVNVDILRKKTVLLLISDLEISHEEILVLTQIYKESRTYPQIQYEVVWVPVVDLMTWNDAHQHKLEQLQSMMTWHMLHHPTLLEPAVVKYIKQVWHFEKKPILVVLDPQGRVTSPNALHMVWIWQNVAYPFTSIKEEALWKEETWKLELLVDSIDRHILRWIAEEKYICLYGGDDLDWIRRFTKLARDITTTAGIQLEMVYVGKSGTKERIRKISATVSEEKLSHTWPDPTSVWYFWTRLESMLYSKIQHGKSFENDPIMKEVMTMMSFDGSDQGWALICRGSSEMTRAKADLALTSLTQYENWEGDAQEIGFVPALKAYLAKLHTPQHCNRLILPGISGGIPEVVVCSECGRTMEKFFMFRCCTD >KVH98975 pep supercontig:CcrdV1:scaffold_60:29738:31088:1 gene:Ccrd_022761 transcript:KVH98975 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MCCVGNVVSFMVFLSPLPTFYKVYKKKSTEGFQSVPYVVGLFSAMLWIYYALLKSNAMLLITINSVGCFIQTFYICFFLIYAPKKARIESVKLIVLMIVVGFGLIVALTQFLAHGATRVAIVGWICLIFALCVFVAPLGVVRQVIKTKSVEYMPILLSAALTLNAITWFFYGLLLHDFNIAIPNVLGFTFGVVQMILYFVYKNKKPVSDEKVSDEKVTELEGKNLETEERKISEKRDQEIIDVVRLSALMCSIPVVTKLNENVNDIVHDHLVVEPPQAPVAHAIEVAA >KVH98979 pep supercontig:CcrdV1:scaffold_60:100911:104576:-1 gene:Ccrd_022765 transcript:KVH98979 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MDITRELFNVVFLLYSIINFIFYVPLIWLFNLLRVCFRFVYLEELAGKVILITEASSGIGKVRSVFKLLPVEVLITFKGTTDDSVMNLDVRKHLAIEYAKEGACLALVATREKQLQTVARDAKAMGSPDVIAIPADVSKHQDCSRLIEQTIQHFGKCESRTYISVNISGYFQMELDSEVDITIVTPGLVDSNLTEEKWMEEGSCLMVFCFLYFVLEFILDQANVKWAPTVSVERCVKAIVNSTKCGDKYVVEPPWMMTVLLWKILCPEIFNWVMNFLFVTWPNISSKKARSHKSR >KVH98964 pep supercontig:CcrdV1:scaffold_60:443130:444297:-1 gene:Ccrd_022790 transcript:KVH98964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSLFASLLNSISSLSLSSSPPPPSPPSTVSLSSSSSSNSSSSKILKIRQPLITPIIHEVSPDIQSVLFPSLAFSNTLFFKSAYNVQVIVNPDEPEESLIGRFRREVFKANIIQEAKRRRYFETNQEKRKRKIRDAATARRRAKRRPRPVVKKEEVPQKKVVDDERDNWEQIDVEVPYCR >KVH99009 pep supercontig:CcrdV1:scaffold_60:201274:203793:-1 gene:Ccrd_022770 transcript:KVH99009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MVAQRNNPQTTVIPPVAGKSTTLQFLFDLDSESCSTQNDDEDKIQIFDPRIEELTSIIVYCTEYRSNDKESGLKRLKLTQLLSIIKTSTTPLSDQTLEFLFKMLASNLFRPLPPPSSSTVSVISPEDDDCIAAPAAAWPHLQIIYDILLRLIIKKDVKTLRQVQFIGRSFVLNLLVLFQSGDPRERDAVKNVVHRIYSKFTFYRSFMRKAMTDVFLHYVYETDHRQNGIGEILEIWGSIINGFTVPLKEEHKLFLSRVLIPLHKPKNMQVYHRQLAYCVSQFVQKEAEIGGVVIGKILKYWPVTNCGKEVLLIGELEEIVENMDPERALYVCNNEQFLKAVSQELDEVFPVLVEAIEKNLTLHWNKNVRELTHNVKTLLEDLEPVLYRKCLEMNEIHWLTTRLDENTRRKRWERIEMVARTNN >KVH99005 pep supercontig:CcrdV1:scaffold_60:252113:255359:1 gene:Ccrd_022774 transcript:KVH99005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NCRRWFLKGSEDNQRTRCKFSLISLSLSIEDLQLRYLEATMTTSKRLADRKVEKFEKNIKKRGSVPETTTKKKDSYPVGPIVLGFFIFVVIGSSLFQIIRTATSGGMA >KVH98982 pep supercontig:CcrdV1:scaffold_60:170726:175565:1 gene:Ccrd_022768 transcript:KVH98982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MIDKTYYDYDLLAICRIADQDIVYGDCEGQIGFSGTQFPLFSQRQIDKRFREVKTQKDRVKDIKEKVFESEREGLIMDWNLKIPSWDFTEFEQGTIPNIDSSGGSSSYGGQGIKGNFSVDLKLGQVIDSGNESSLKTTSASTSKMALSPSGSSKRARPINNTSISAASCLVDGCNSDLSNCKEYHRRHKVCEVHSKTPQVSINGQKQRFCQQCSRFHSLEEFDEGKRSCRKRTTMLQFSSPHVYQTTTLTNPLWAGMVKSEEDVMYPAHHSNLAHKHNPFSESSSGTKKLTEKQQFSLFQNSGSHLKLNHQTSPPKVCQQPVLNFEANSSYDKLFCDGYPPPARLQPVVQSDCALSLLSSSPSQTSCTTLSHVVHPPNSFPATPNPLDPGASYGGLESIMDPNGNDCNEMIQMGLHHHHHHHHHHGSPDNGAPQTLPFYWE >KVH99007 pep supercontig:CcrdV1:scaffold_60:216503:222658:1 gene:Ccrd_022772 transcript:KVH99007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MTTRHGNSSSRRSMSMTSSSSRKKKAYENGHPDPGRKALTNSRSSVGLTGERTVKQLRLSKALTVPETTTIYEACCRMAARRADALLLTDSNALLSGILTDKDIAIRVIAREIDYMNTPVSKVMTRNPIYVLSDSLAVEALQKMVQGKFRHLPVVEKGEVIALLDIAKCLYDAIERMERAAEKGKAIAAAVEGVEKHWGTTYPGPNTFIETLRERMFRPSLSTIISENSKIVTVSPFDTVVMATKKMLEFRISSVIVTVDNKPRGILTSKDILTRVIAQDLQAESTLVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVVDRDGFVVSIVDVLHITHAAIATVGNATGVSNNEGGSSVMQKFWDSAMALSPIDDEDETRSESSLKLASEGGETGKSLPYPSSSHPNSFAFKIQDKRGRMHRFISDSRSLTDLITAILQRVGGEIDRNNLPQILYEDEDQDKVILATDSDLVAAVEHARLAGWKGLKLHLDYSGMPRYRKGSKSSSAAVGVDQAHYQADAWASAYSAAAAGAALVAGLGVLAFLRRSGN >KVH98970 pep supercontig:CcrdV1:scaffold_60:484159:487495:-1 gene:Ccrd_022796 transcript:KVH98970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MAAPREGFLTDEQREVLKTATQSTEVLSSSPKSPSWLMSEHQIKAPAGERAPNVGIAVRHVRRSHSGKFVRVKKDGGGGKGTWGKLLDTDGDTHIDRNDPNYDSGEEPYQLVGSAICDPLDEYKRKVASIINEYFTTGDVDLAASELRELGSFEYHPYFIKRLVSMAMDRHDKEKEMTSVLLSALYSDVISSIHIKQGFFMLLESADDLAVDILDAVEILALFIARAVVDDILPPAFVTRAKKSLSESSKGFQVLQTAEKSYLSAPHHAELVERRWGGSTHITVEEVKKRISDLLREYAESGDTSEACRCIRQLGVAFFHHEVVKRALVLAMEIRASEPLILELLKEASVEGLISSSQMVKGFARLRESLDDLALDIPSAKSLFESLVQHAVADGWLDVLFVNSLGEGVLMEAEDDEKLRRYKEEIVTIIHEYFLSDDIPELIRSLEDLGLPEYNPVFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTQDIVDGFVLLLESAEDTALDILDASNELALFLARAVIDDVLAPLNLEEIGSRLPPNCNGSETVHVAQSLVAARHAGERLLRCWGGGTGWAVEDAKDKIVKLLEEYESGGVVGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQECFSEGLITTNQMTKGFGRMKDGLDDLALDIPNADEKFKLYYEQATNRGWLIPAAFGANGDADADAVAIFAS >KVH99000 pep supercontig:CcrdV1:scaffold_60:582828:586754:-1 gene:Ccrd_022803 transcript:KVH99000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MKTLNPKILYQPITSSPTLIFLSSSKPFSATMACAAKPISKPLSMANACLLSSSSIFAGKTHQHISLPLKPIKIHLSASFTSFSLRRKPNSSRFVTFVASQQEEDNTLVIQEEEEQSGDLSWGNETQETETETETETETETAADTGVSGWERSASGDSGTGETEEYSEPPEDAKIFVGNLPYDVDSEQLAQLFQQAGVVEISEVIYNRETDQSRGFGFVTMSTVEEAEKAVEMLHRYELGGRFLTVNKAAPKGSRPERTPQMTGPSYRIYVGNLPWDVDDVRLEQLFSEHGKVVNARVVYDRDSGRSRGFGFVTMSSESESMGGRAIRVNVAEEKPRRF >KVH98994 pep supercontig:CcrdV1:scaffold_60:590286:596929:1 gene:Ccrd_022804 transcript:KVH98994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDPLKMEPEPNGNIEALSITAGAPKAGLVSAHTIDHDSWRQVGLLLVTGYSCGYILSFSNLMLVPLGWIWGIISLIMVAGFSAYSSWLLAGFHFVNGQRFLRYRDLMGSLFGKEMFYFTWVSQILILLLTNMGFILLGGKALKEISSELGGSPIRLQYYIIITGVAYFVFSILVPTISSMGKWLIVSSVLTFSYIAILLVVVIKDGSKSNRVIDYETRGTSASKIFNGLCAISAIVTCNSAGIIPEIQSTLRMPAVENMRKALHLQFSVGLAFYYGVSVAGYWAYGSSVSAYLPEDLSGPRWAKILINSIVFTQSIISQHAFIAPVHEALDTKFLKLDKGIHSRENIKCLLFLRAALFTVSTLVAAALPFMGDFVNLLGSFLLTPLTFVFPSMIFIKVKGEKAKMEKIWHWAIIVVFVLLTVATTIAAVRLIVNNVAKYYLFADT >KVH99002 pep supercontig:CcrdV1:scaffold_60:296425:303015:-1 gene:Ccrd_022779 transcript:KVH99002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like protein MTPASHPSNLQANSVSDAIFIWKSARHASLGDADPSYKYVIFPLLGIDKFIHCYTHESRNCLGECEKSGCVGKRCFPHCTFSSDGASLDFPWYTQEPSYMRWKQWDCQSDCRYYCMLNSDRVRSSFGHGIVKYHSKWPFKRVFGIQQPASVAFSALNLAMHFHGWLSFFILLYYKLPTGLDKKPYYDYAGLFHLYGLLALNSWFWGTVFHSRDVEFTGKLHYFSGIVLVGYSLILAILRCFNVKLEAARVMVSAPLLAFVTVHILYLNNYQMDYGMNMKVCVVMAAAQLLIWAIWAGTSCHPSRQKLWLVVVAGAAVMLLEIYDFPSYEGFLDAHTASHAITIPLTYTWWSFIKDDVEFTTSTLLKNGKMASRKSL >KVH98984 pep supercontig:CcrdV1:scaffold_60:351961:356524:1 gene:Ccrd_022783 transcript:KVH98984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argininosuccinate synthase MAHLQAVTSSVNPLAPAPNRECKLGAKASEFRGVPFVYRSTRNLSQACKTQGIRAVLASDKETDASSATNGRGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEGISELEGLEAKAKASGACQLVVKDLTEEFVKDYVYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKDVGADAVAHGCTGKGNDQACFKIASSTMWEWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPNKDMYMMSVDPEDAPDKPEYLEIGIVSGIPVSINGKELSPASLLSELNDIGGRHGIGRIDMVENRLVGMKSRGVYETPGGTILAAACRELESLTLDREAIQFKDTMALKYAELVYAGRWFDPLRESMDAFMENITKTTTGSVRLKLYKGSVIVASRKSPYSLYREDISSFESGQIYDQADAAGFIKLYGLPMRVRAMLEKDLGKK >KVH98973 pep supercontig:CcrdV1:scaffold_60:548183:549564:1 gene:Ccrd_022799 transcript:KVH98973 gene_biotype:protein_coding transcript_biotype:protein_coding description:CENP-S complex, centromere protein X MENSFDQDLIYAIFKMVWSKKTIEREKNEDGETSKAEERAGTSKKNRPTSANSNAVKLSCELLRLFVTEAVQRAATIAEAEGGNKIEATHLERILPQLLLDF >KVH98978 pep supercontig:CcrdV1:scaffold_60:37185:49699:-1 gene:Ccrd_022762 transcript:KVH98978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICILVNTLTADRVRYADRVRYADRVRYADRVRYADRVSPLKMRLRRHQSRSISPKCLIFSCLIFTLLGFLLLTFISGGDPVIPPPPELKNATVRRSATTCATVEDMGNEAVDPFGGGDSWKESLRVRRLIRNHFELQGASRVRGLSSDEFCKQGFVMGKASEAGFGNEMYKIVTATALSVMLNRSLIIGQTRHFASKSQMLTSRFVFIRGKYPFGDYIAYANFSFTLKEVKHLWRKNDCIGKYGRHLVMRVDDFEKPLETNVLCGNWRKWKHPIIWFKGTTDAVAAQFFLKNVHVQMRKSASGLFGNPEFLRSRPNVIGEILRVTISPSPDVERAVNWAINGGPDPHLAVHMRMLMSRSLRAVTAALNCIKTTISRIPLDIARPRVVLISDTPSLIKDVMPKLEGFAEFTGNISGAGMVPGVGFRAKDWGPAPRWVAFVDFFLAARATHAVITGAQRRVGTTYAQLVAALAAAYQLDEHRSGPANFSFISSFQNNLLHRGLQNQVGWGHVWNRFAGRLSCHSQPNQCALTPVLPPGWWDGLWQSPIPRDIRRMEAYGVKLTGFGTIDENHLRDYCKARKSAVRTLPITRKCIGLKCA >KVH98981 pep supercontig:CcrdV1:scaffold_60:117928:121314:1 gene:Ccrd_022766 transcript:KVH98981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MTSMASSTNNLVQCCYLQKNHIQTAKKNAFKAGFLVSGSRNGKFLRQSFKVKANVGSSGKGVETVNGKKVNGVHLGDQRGIGVKDCSFEEPDDRGVLLGRFVENRFVYRQTFVIRSYEIGPDKTATMETLMNLLQETALNHVTSAGLAGNGFGATHEMSLRKLIWVVTRIQVQVEKYSSWGDVVEIDTWVNAAGKNGMRRDWIIRDFVSQKIITRATSTWVIMNRETRRLSKIPDEVRNEPSWSDMDANQHVNNVKYIGWILESVPMKVLEEYNMGCITLEYRRECRQSDMLDSLTSMKTRHNKSTTSNAYGTTPTTPHLECTHLLRMQHDTAEIVRARTVWISKY >KVH99004 pep supercontig:CcrdV1:scaffold_60:274221:274655:-1 gene:Ccrd_022777 transcript:KVH99004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRRKELQLLPLPPSSYSPWPTASDLFTFRSSDDVVVQCPLDPPSLDLQLSISLRPMKPPPPTVKWRTSDQIAAMEKAYVERVMEMTRREMEMAQSEFARARHTWERAREEVERVEKMKERATRQLGSCLEITCQGCRKKFKR >KVH98965 pep supercontig:CcrdV1:scaffold_60:447182:455212:-1 gene:Ccrd_022791 transcript:KVH98965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere length regulation protein, conserved domain-containing protein MGTPGSEGAAQPGATMDGGEETEKKNEREKSTNSEVLDTVGKVIATIKEAKNVDQVVCALHSLAVRLFPLDSRAFAGSIDKQYRDEVIGAEVPSEHERDNWWQVFYRSTAFPTMSRVLLFDVALDWLTCFPISAKKHLYDVFFLSGCVSEVVQTLVPYLQHQSNGGLETSVCSNAQRLLALCLLENDGVLQLAREFSQHPENMNLEQHKSAISKVAQLVTSVPDKARLGSSVLLSSHLYVKRITIQLIQGAEEWEKKCYDESTNFSRSDLDGSILFIGDAFARICRRGSADVLLSEVIPQIVTQVRSVLQPGSDLTVSEAFKSKPGLQYWSKIMEAIKDSYAVERISEQLLLKLATQNINDVEGYWILWLLFHRIYERQASTRSMFIERFLLWKVFPVCCLKWILQFSVLQCPPDTAFKIKSQKHCNILDTTQRLLAVWSKREFVQSAPVEQQACIFFSFYLSPSDLVRRMASSVALVFSKVIDPSNPLYLDDSCREETIDWEFTTTNTDREALAISDGKETEINQDKGHSTLVSEKDCKDKKNKKKHKELMELTLVDPDEVIDPAKLNNEAASDEDTNNDDDDDDDSEISETSSESSLQPYDLSDDDTDLKKNFAQLIDIVERALDVAEKLIRAAPDELSFIAGDLVRTLVQVRCSDSTLEGEEESAEEKRQKALVALIVNCPLGSLDPINKLLYSPNIDTSQRIMILDVMTDAALELAHARTSRQKQPSRTQIWTTAETKPWFLPSSIGPSGASTWKEISGTESPLSLTQSYERELPGKPGQDRRGKSRRWSRKSTNVLQDNQLEWSQNKFPPFAAAFMLPAMQGFDKKRHGVDFLGRDFIVLGKLIHMLGTCMKCSAMHPEASVLALPLLDMLSSRDISHHAEAYVRKSVLFAASCILVALNPTFVASALVEGSNEISRGLEWVRTWALSVVESDTDKECYMMAMACLQLHSEMALQTSRALESSDSIVQTRGINLPSNLLKGTIRIPL >KVH98971 pep supercontig:CcrdV1:scaffold_60:491114:499126:-1 gene:Ccrd_022797 transcript:KVH98971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 MVDDDSNEKTTTIRILCRKLVLITKTESGIQWLIGSPFLPTFTIISTFRCIHTLPSDPLSPDFSKESDDIQALLPRGFEVIGALIVGASNLNVEGCARDAIDASGLMRKALSQSETHGLVGAVVDPSSGDIRFFISNSVFESATSVVYEDQPQKYVWERSCVLSCELPIKLPVYYSLKDPKGTSFSIICTWVVVDAEDMLTRATEAVASKLRDVKTTYMLETLDGSVTKAPQPVIIWNSELGLPSDFTFGTPSDNTSQESNAKSLSCSHFFSEDRNHKSCSVENADKIHVTFLLATSGASIKPTAPFAEYIPGEAKLLVVDYKLKVICYAAKDLHLTDAVSKLIIPGRALHLRLGLPLDRPLLRIASAMNFSIPKEGASGNILRKGIPLLKDVHMGIPNSGGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGNDDLKKIVNGGWCGWKKAVDSKGKHFFLHNKFYNLLLPQRPNMV >KVH99010 pep supercontig:CcrdV1:scaffold_60:207682:211456:-1 gene:Ccrd_022771 transcript:KVH99010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3339 MLDWAPILVGLLLFILLSPGLIFQVPGNTRTVEFGSFSTNGKALKFITGDGGLGTSTGGGGTICAVNTGTVIPVAWSQQGGGVRKHAHQRSLHYCSRRYLFRCPHYLPHRHRRSCLRRLVIAVPA >KVH98977 pep supercontig:CcrdV1:scaffold_60:62304:75469:-1 gene:Ccrd_022763 transcript:KVH98977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-like ATPase, ATP-binding domain-containing protein MDGFRVKQETVEPLGNNNGDYTKRRNISKVAVIDLDSSDDDSSTSGNGVSNGKRFRVSNDGVGAEGHGEKRKKSSEGVVLPAGFLDPLPPKNVASRGANGTGVLAQGCKQFWKAGDFNQGVGGNWETSSGGMDHVRVHPRFLHSNATSHKWVLGAFAELLDNSLDESHLMLHICHLKLLVCNGATYVNIDMLTNKKDGNRMLLIEDNGGGMDPDKMRQCMSLGYSLKSKVADTIGQYGNGFKTSTMRLGADVIVFSRCSAKDGKRSTQSIGLLSYTFLRCTGKEDIVVPMLDYERGVREWKKIIRSSASDWDKNAKAVVEWSPFSSETDLQKQFDHMKDQGTRIIIYNLWEDDQGQLELDFDTDKDSYSSILYLRIPPGFRMILRGKDVQHHNIVNDMMMTSEVTYRPQPGADGVSKDSNIVAIVTMGFVKDAKAHIDVQGYNVYHKNRLIKPFWRLWNASGSDGRGVIGVLEANFVEPAHDKQGFERTIVLSRLESRLIQMQKTYWRTYCHRIGYAPRINFSPDFSPETSSKRKKVSTNIPIPPSNKSSLNKNQKQGGSNSIRHPTATMNDIGEKGNNLHTKFGEGPNSPHLAENVRDDDLQTTMNMRHTNSSSDKGISAKKSTPKDGSRSAYEEDCSPNGTTMQIFPSVCENGNAPLNSNALTFDQLVEENRQLKEKLRRKEDEIMGDLLHDLESERNRCKELEAQLEEAKEKSEILNKEQESIIDIFAEERDRRDIEEEALRKKLKLELEKMKTHKRNAS >KVH98995 pep supercontig:CcrdV1:scaffold_60:604556:607761:-1 gene:Ccrd_022805 transcript:KVH98995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine MGGKSSKRSVSSRYASYGSASSSYGYPQSSYPQPPVTDALARAGLESSNLIVGVDFTKSNEWTGARSFHRRSLHHIGDDMNPYEEAISIIGRTLASFDEDNLIPCFGFGDASTHDQEVFSFLPDDKFCEGFEEVLRRYRELVPQLRLAGPTSFAPIIEMAITIVEQSGGQYHVTRSVDTERGQLSQQERKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARSFDNFQAKLMSKNIDRSRKEAEFALSALMEIPSQYKATARRGKAIDRISLPPPCYGSASSGAPKPLGTSSFQPTARSTQGRPASVNTSYPGGSTSDNQVSDRFLKCDKVDDLVDFE >KVI01612 pep supercontig:CcrdV1:scaffold_600:4258:10044:-1 gene:Ccrd_020110 transcript:KVI01612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MEAILQAHKTAMPVREVLVSLAEKFSNSEERSGKIEVQMKQVWNWFQNRRYAIRAKAAKSPGKLNVTQMTRDDSAMVKGVPQATQPHGASSATVRSLPQAPQHPTGPSAVVRSFQQAPQHPAAFSVQSAGRVADNSQMEYEAKSARDGAWYDVSTFLSHRSLETGDPEVLVRFAGFGAEEDEWVIVRKNVRQRSLPCESSECVAVLPADLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEGSEMVQRYPEVISPQRSILKYTFHFNNSFSFPFQEIVALRKICRRPETDYRLQQLHAVNESLSANQNKNGANNIHTVSTLRVYPPAEVQQKQHKVEPVVPSLSAEVPQKRQKVESTFPAPPPDGSQKHFKVEPAMVALSGVPQNQQRVQPAPPPRPPSEAPLYPNKVEPVLCLPSDQALVEPHRVEPVVPCPSAQPSLEPNKVESVVPVPSAQDSAETQVAIMPTCSEKVPLELHQVQPVMHGPSTELSPTVVAPVEPKVAESLKESMVSGTSVEVPSELEPADDVSVVAQMETKIAEPQKEEPVVAVQVPSELHEIQPMLSGLSTQVSVAAPLEPKVAEPQKEELVSEVPSELHQVQPMMPGPLTEQVSIVAPKIVEPQKEPMTPGDSIEVGSELHKVQPMMPVPLTQVLLAVAPMEANVGEPQKEEPLELRQVQPDELHTSVLEAIETKPEESVTTVEEIETKAEEPVNSGNVIEQPKLAEVMEGNVDDEEHAKDAENGNINEVGDPELQVENAGTVEMEEG >KVI01613 pep supercontig:CcrdV1:scaffold_600:33170:64355:1 gene:Ccrd_020111 transcript:KVI01613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESMHLKTRKSRKPNHHLKFSNPLPSFQNENLENFEKSCKNRRLEKVSKHRLLSNSISCVLSDEELVKLSKIPSPKALDTSKFNMGWGFGATSGKDVEEENIGMLALPYVISNPKLQSNVQCIEDSGQCLNIAPSFVSGKVDDIVANQDDLHNFVPVKDVCHNPVVQTLHEKYTGLHEKMSWFAVSASKSQMEWKKVLRLLLVLRPPMALPPLDLAILFLFLKAPSFSHMELPPLLKTLLVCEVNIHPKLPREVCKGDDETVTCLNPKPLMHGTWVESLEELAIDLEKLVAILELKASGEAQKVDKDFPFQSYQKGISFQEGLTVDYAPSNLLDTFSHELKYVSQKRCHSLLQV >KVI01615 pep supercontig:CcrdV1:scaffold_600:174018:180838:-1 gene:Ccrd_020113 transcript:KVI01615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEISPRVLLGSAFDVPDDLANMEIHYINQAENFPKMLTQKCVSSALLLLILQVFLFL >KVI01614 pep supercontig:CcrdV1:scaffold_600:33224:62375:-1 gene:Ccrd_020112 transcript:KVI01614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 LKYVRKNDNELYITGDDCISIGPGCVNVDIEGVTSGPSHGISGVCQRHLVQEHKGNTKDRRHFKQMQNKKHKRDNPDIEKISLYKGKPDQRSTAQVPQKRPSIQYGRIKRSTTNKMPKAKKKIRNKQKNQTQKIVKKKKKLLKLYMSIGVEIFILKARKRVGKLQ >KVG89786 pep supercontig:CcrdV1:scaffold_6000:7692:9656:1 gene:Ccrd_026125 transcript:KVG89786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 NVVATLLLIGLINLSVTVCRRHVSYESGITYSAINCRKHTAFLTDFGGKGDGVTSNTAAFRAAVHSLSQFANDGGAQLVVPPGKWLTGSFNLTSHFTLYIQSGAVILASQDESDYPLVDSLPSYGRGRDGPGGRFSSLIGGCYLTDVVITGGNGTIDGQGSVWWKKFHSKKLKNTRPYLIELMYSTQIQISNLTLIDSPSWFVHPVYSSLILVSNCNCSDIILQDLTIRAPVDSPNTDGIDPDSCKNVKIQDVFIVSGDDCVAVKSGWDEYGIKFGMPSEQMIIRRLTCISPDSAVIALGSEMSGGIKNIRAEDIRAINSESGIRIKTAPGRGAYVTDIFVDGMNLHTMKYVFWTTGSYGQHPDPGYDPKALPQVDRINYRNVVADNVTMAGNMGGIEEDPFKGFCISNVTIGLSQNPKKLQWNCTDISGVASNVTPEPCHLLTDEGLTNCEYPSDPVPTDKVWLKTCFLSSTTQIL >KVG89787 pep supercontig:CcrdV1:scaffold_6000:21298:23444:-1 gene:Ccrd_026127 transcript:KVG89787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plectin/S10, N-terminal MIIPDKNRKAISKYLFQEGVCFAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSDIVPATLKKSAKPLGRPMGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGPPGEFGGEKGGAPADYQPAFNRGPGGRPSFGRGGGSYGGGAPPSSSFA >KVG89788 pep supercontig:CcrdV1:scaffold_6000:15316:17144:-1 gene:Ccrd_026126 transcript:KVG89788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDCSGVKIAPMVRWVSTALGSTDMLGLPSSFASFDYFLLLVPCFAKLFLSECPICCMLLIC >KVG89506 pep supercontig:CcrdV1:scaffold_6002:5366:7758:-1 gene:Ccrd_026128 transcript:KVG89506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLVFWLFRVTLQNLSSINSSIPCINRYYHSCRDVYTSNIRIGKLCRAGKMDLGRKLFDEMPTRDVVSWNAVITGYWKNGYIVESKRLFDLMPIRNVVSWNSMIAGCVENGRIDAAFVYFSEMPEKNIASWNVMISGFIRHRRIEEAVKLFEQMPRRNVISYTAMIDGYAQKGDIKKARDLFESMPGRNEVSWTVMISAYVESDQVDEAREVFGRMPYKNVVAMTAMITGYCKEGKMEDARMLFEEIQSRDDVSFNAMITGYAQNGRGEEALRLLVDMIRSRLRPDQYTLVSILAACSSLASLTQGKQTHALVFKNRFDTHVSVGNALITMYSKCGCLTDFEAAFEHISCPNIVSWNTIIAAFAQHGLYEKALCFFKKIELHGIEPDGITFLSMVKESLYWFDSMKNNYNLAPRSEHYACLIDILGRSGHVENAYKMILKMPFEADLGVWGSLLAGCRFSLNIELAELAAQQIMKLDPKNSGAYVMLSNIYAASNLWRKVTEVRRLMKENQVKKQTAFSWMEINNIVHYFVGGDASHLAIPEIHMVIVQLYSQMKGTEDIA >KVH93688 pep supercontig:CcrdV1:scaffold_6004:23638:24763:-1 gene:Ccrd_004259 transcript:KVH93688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MNKAVWFCGVVEVVEVGDKMIYSITHKNKNFEVKATYKVVHDVRDESFDCNCNHFVHNGMLCRHAFKVMLNSEVQSIPEKYILPRWRRELVPVELLPARVRYGEMDDEKQALINQAISMFDLIIGCVRNDKGSLTKFVEQLERLGDEIRRMFQY >KVI05215 pep supercontig:CcrdV1:scaffold_601:38174:44406:-1 gene:Ccrd_016445 transcript:KVI05215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MDSEDWALGVSACYKRPSRSKPNHKSFKSSPGWRLPERMPLSLHVIAEPIEEHFKTKSHIKPTQRTQHRSREIAMRKWTLPSILFLLCLLFLLPDQGRKLHANAEADSDELVDPPKIEDKLGAVPHGLSTDSDVAKREAESMSRKSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDDTKLEIQIKLDKENKILSIRDRGVGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNEDKQYVWESKADGAFAISEDTYNEPLGRGTEIRLHLREEAGEYLEESKLKDLVKKYSEFINFPIYLWASKEVDVEVPADEDESSDDEEKPESTEEEKEDEDTDKEEDEQKPKTKTIKETTYEWERLNDVKAIWLRSPREVTEEEYTKFYHSLAKDFGDEKPMAWSHFNAEGDVEFKAVMFVPPKAPHDLYESYYNANKSNLKLYVRRVFISDEFDELLPKYLMFLLGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDESHDKDKKESKENDEKRGQYTKFWNEFGKSIKLGIIEDAANRNRLAKLLRFETTKSDGKLTSLEQYISRMKSGQKDIFYITGSSKEQLEKSPFLERLKKKNFEVILFTDPVDEYLMQYLMDFEDKKFQNVSKEGLKLGKDSKDKEVKESFKELTKWWKDTLASENVDDVKISNRLADTPCVVVTSKYGWSANMERIMQSQTLSDASKQAYMRGKRVLEINARHPIIKELRERVVKDPEDTSVKTTAQLMYQTALMESGFMLSDPKDFASRIYDSVKTSLSISPDAAVEEEDEVEEAEVESSPKEEEEKTDSIEEESDVKDEL >KVI05224 pep supercontig:CcrdV1:scaffold_601:136828:137607:1 gene:Ccrd_016451 transcript:KVI05224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSTGDYNPRIGNSKRNFGANRALFSHPSDNLFLATFLAGGHCGGGGLLSMPPAHLSHSYPPGVSVFRAQQNLQHNRQQPPLLPLPIPMPLNHQNMNISRTNSTSHNNIRLSSPRINKSVKNRVRDHSLTPKKSKNQKKDSKKEEGDLLPPPTTGKKEAKKCSTEASVNQLMGPDPKDLPKAVISRAFSSAPNDSCDIVSVDKFSGSVVFTPSPPPSSLPLPTFSLRPKLSCKAEAAIEAPSIDAGATDSLRRLLRLR >KVI05214 pep supercontig:CcrdV1:scaffold_601:53430:53765:1 gene:Ccrd_016446 transcript:KVI05214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDLVVRNLMMLYLAVIAAIKGYAQVSGRSYGGTSVLILSTAAVGVLLLGTLTWDVSRKAATYGVVTRGGDEVEHEMCRGGICWHGVAVKSPASQLRFRLPQRQIINGQ >KVI05223 pep supercontig:CcrdV1:scaffold_601:116403:119459:-1 gene:Ccrd_016450 transcript:KVI05223 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGIISTIMGAFGFGIGIVVGIVIGFFLFIKTQSSDVQTPEIKPLVEQDEASLQRMFPEIPVWVKNPDHDRVDWLNKFIELMWPYLDKAICKTIQTTTEPIIKEQTPQYKIEEVEFDSLTLGSLPPTFQGMKVYTTDEKELIMEPSFKWAANPNILVGIKAFGLRPTIQVVDLQVFASPRITLKPLVPTFPCFCKILVSLMEKPHVDFGVKLVGADLMSIPGLYGFVQELIKDQVANMYLWPKTLEVAILDPAKAMKRPVGMLNVKVVRAMKLKKKDLLGASDPYAKLKLTEDKLPSKKTTVKHKNLNPEWNEEFNLVVKDPEVQALEIMVFDWESPKTLTLDLLKNMDPNDMHNDKSRGQIVVELMYKPFGEDQMPTAIEEVSAMQKAPVGTPDGGGLLVVIIHEGEDLEGKHHTNPSVRLLFKGEERRTRPMKKNRDPRWEEEFTFTLEEPPTNEKLHLEVESLGYVDISLADVVNNKRINEKYHLIDSKNGRIQVEMQWRTSG >KVI05217 pep supercontig:CcrdV1:scaffold_601:11447:20090:1 gene:Ccrd_016443 transcript:KVI05217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MGGVCTGGTLKRSTAAEYGSDKRGFGFSGKLKSVTSFGHQMKEDDYDDGHDDSALSSSYVHDDDVYHRRMASYDSGELFFSISRELKPSTPARVGAGKVPHVSTFFGKAGNVGLEVLDTLGSSMTNLNPNSGFVSNMASRGNKVSILAFEVANTIVKGSNLMQSLSEESIQILKKEILHSEGVQLLVSTDTKELLSIAAADKREELDVFSREVVRFGDMCKDPQWHNLDRFFSRLDLDLVANKQLREEAEITMQELTNFAQYTSELYHEYHALDRFEQDYRRKLEEVDALHLPRKGESLTILHSDVKHQRKLVRNLKRKSLWSKSLEEVVEKLVDVVTFIHQAIVEAFEENVPSSTMNGKEAHKKRETLGAAGLALHYANLVTQMDNIMTMPQIKAEMEKTLQWLVPVATDTTKAHQGFGWVGEWANTGNEFGKKTAGSNSIIRLQTLYHADKQKMDRYILDLIMWLHRLISLVRFRDKVPKYIPSRSPPTITNNNNGLKTYGKVQRVQISLEDRNLLEEVMKRRMLVPGISKSQEIVVVKKKRGQVFASSRSMGSSPRRESGGYTNANMLDMLDGLGTGF >KVI05213 pep supercontig:CcrdV1:scaffold_601:65665:67074:-1 gene:Ccrd_016447 transcript:KVI05213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLQQRHANMSSLHSPSLSKLRCTSATEFTFCDISDINYNKTPMEHEDSFVFSPSFTPNPVYSGYNCSSPTNERRNKIMEMMNNLSESCQELSLKDIVVDDLERVQPVVKQTSFKGKKTIRKKSIISRSVSLDTGVFMLKMFIPVSLGTKKSRSSSMMSADKNSFSTRSSNKSNDKYMPMDTKSRYSNACRSEESAPGCWFINQPSKPKPTSRRGCIF >KVI05212 pep supercontig:CcrdV1:scaffold_601:73078:76328:-1 gene:Ccrd_016448 transcript:KVI05212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentapeptide repeat-containing protein MADGMVSPVITTTSSHRGNEIHKDRDLSYVDFSYACLINVFFSRADLHCAKFQGRCEFTGANLRGALLAGANLQSANLQGKNLFFCIY >KVI05219 pep supercontig:CcrdV1:scaffold_601:183405:186084:1 gene:Ccrd_016454 transcript:KVI05219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLGLVVDKLKGFAKSTQDLTNSFLHSFGFSSRRHPIEILKRLQREAFSDIMKLRDRQDKVERILSFKASKGSPFDENGTRIRGQIELLGLLLMIDRIHEENQDAIRRTGIKTGISSRFTFETTVRQKDSLIAEFVASDKGQLDALDSPLSLAKVLYAANINDWCSLIAVPVGGRCSDVGPSRTSHQEHTNLSFSQPPLLNQHIGSGISLTVRKPNVIASIAHFVSVLENRLHSAGTTHCLSTFGQLVYQLSKSSKLSLLGLHQIPKISSQHISLGPLFLPIGMFGRHAAPMEPFAAASGSTALVLESELDSSTRIGGWIEMNNSDSRNLQWAVSMSDLPEDDFGWGLRVCGSVSNWYHYEVEAISKMKFGEKLSLEPSLVFVIDGSAQFPALMLKSSWSF >KVI05218 pep supercontig:CcrdV1:scaffold_601:209189:210467:-1 gene:Ccrd_016458 transcript:KVI05218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MASIFFLLLPAICHLFVYVHAFTASAWTNGHATFYGGSDASGTMGGACGYGNLYSSGYGTRTAALSTALFNEGASCGQCYKIICDYKADPKWCKKGVSVTITATNFCPPNFDQPSNAGGWCNPPLQHFDMAQPAWEKIGIYKGGIVPVLFQRVPCKKHGGVRFTINGRDYFELVLITNVGGAGAIQSVKVKGSKSDWVPMSRNWGANWQSNSYLNGQPLSFMVTTTDGVTKTFPNIVPANWGFGQTFSSLLQF >KVI05221 pep supercontig:CcrdV1:scaffold_601:198279:199277:1 gene:Ccrd_016456 transcript:KVI05221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNQEYLQAFRTKSYIDICKKVQTHIGFDESSSSSSVHDHYVHLCDILLEPQSETIANLAETFGVHHLVLDFFEAGLEAWHICEQLLESVHQANANHRKVKRVVKLSQRVTDARRFTKIYEELASYSSSANPLSAFDPEKFPKLHTDHKLLLKRLTAKHTRIRRKQKLITFLKKSGGCALIASYAVLAVVLLVLACHGLVVTIASPGLIGCFLGLTKKRDTGKTGVKMSELKRVGVQLDMAAKGIYTMIKDLDTMGWLVGRLQNEVEFGRAMARKCVRNRNPDVLEEVMKEFRVHESCFLEQLEELEDHIYLCLLNVNRSRRLLVEEIMPR >KVI05220 pep supercontig:CcrdV1:scaffold_601:189245:193213:-1 gene:Ccrd_016455 transcript:KVI05220 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Lupus La MTADASTATITHSGEDGGGGLNSPSGPRTLPSAWAQVVRGGSEPDSVSSSPSPGVAESNPVLSDPVTVVEAVTTAAETQQEGSDGSNNCNAGGVKKSAWNKPSANGVVDGTTTPVMGAASWPALSESTRPGVKSLSSSSESSSKPISDGSVAVSQAPAVLQPPQKHVRTNANSHSNPNHMNPVRQRHMRRGGSAGGASTGYSRPPPPPPLPPPFPLFDMSYGNLVPAVLDSPVREPPHFKSNSWSPRNPTLRNNYGPRPRSDGGSYVNNGYGGNRDHNHDRDWRSPRNPAARDVHQMVPPPPPPIRGFIRPPHPGPAPFIPPQPLRPYGTPMGYEMGASYIYVPTLPPEPYRGAPLLPHAPSSSMFVPLMDPPLHVLILNQIDYYFSDANLVKDNFLRSQMDEEGWVPIALIAGFRRVQSLTNDIQMILNSLRDSATVEIQGEKVRRRSEWRRWIQSSNSLQADTEAPHEASVEEASVQKLSLDDKEVGASDPLKLTNGEVSSEDLCS >KVI05226 pep supercontig:CcrdV1:scaffold_601:159441:160378:1 gene:Ccrd_016453 transcript:KVI05226 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGKKRVVVSSSETTAVVVEQLRGWEGLNPEILALIFVRIPADAMVRGVPFVCKPWMEVVAGPYCWHDIDVEAWCRRRDVSRAVDVDFVVKKLVRRSKFTVQRLSAYRLGESGFFLVSICGRSLKVLQSPMSSITDYMVIKHIKPLPNLKLLDISHCYKITHKGIAAFGNHCKSLIHLKRRMPPLLDEDCSPIDDSEAKTIATTMVSLQRIELCFGRFGDMGISEIVTKCKSLTHLDIEGSCNVELNYGDLKEICESLEHFRCPQIDYSDGFFDNDEASEDVDSVSSIYD >KVI05222 pep supercontig:CcrdV1:scaffold_601:199213:204302:-1 gene:Ccrd_016457 transcript:KVI05222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif-containing protein MTSQNSGSAADQELQVPVVVKDNLVVVDGEGDDGEKELKELLLPNVNHLPISPPSAIEFNFVSYFVPDFTKPEHDQYIYRHANGLCVIGLAAGHVAFKDEGGVTSVDFNVGKSNRSEIKVTGKRKRNAQHFESNTALCKVCTNNTFYIARCCIKGSLLEVNERLIKQPELLNTAADREGYIAIIMPKPADWLKSKASFLTFDEYKKLRQF >KVI05225 pep supercontig:CcrdV1:scaffold_601:142034:145566:-1 gene:Ccrd_016452 transcript:KVI05225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGYSRNGATYEALIESIKLQKETDKAKAERKKQKRAKKDKKEKEKRKDEEKGKLQKNPNEYQHDACKVLQSSVGSKEAHNKRTEPTVELLEKSDLTEEHGQPISSHKPSYSSDSTQNSNKRKRDDAIVPDGTGGHGKPIKIRLLKKQKGPDSSKETLCSNSGRTDPQSLAGADARLNTWKISTSRNTNPPKPSTSNLVNGLRCEDRTPLVSGNRNTGVPATSGRENIAVHRNLNLGQTKSTWSSENPETKNNDLQGLRFSRKPSPSLPSSGRPILPSSQLKHEIPSSVLNKTGIPVPSRSSKSDVDVPHPSRQQPIPSHGRSVPPQIHLKHELPPNPTLSVPPPSLQGFHSGRDEQSTPSSGMSVRPTGKRSISEITVPVNSSKEQKVEPTRFEKKLQKKHSKYEKLIGSWIPAVLEVPPPVDDDQDWLSRSEKKGSRSSTSRADVDTCHESAACLWQPCARFLAEVDIHALPYTVPF >KVI05211 pep supercontig:CcrdV1:scaffold_601:89804:93660:-1 gene:Ccrd_016449 transcript:KVI05211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MHEVQASFTIHMIIPLSTLLLPSSEAIKTVPPLVTSSLRSSTMNFVFLHVFFLILLEFSNGFSDFDALMKLKASMVAPNRSGLDDWKVENSSLNTHCSFSGVSCDENFRVTSLMISHVPLFGTIPPEIGILNKLVNLTLVSDKLTGELPMEISNLTSIRFINISANSLTGEFPGGIVAGMALLEAFDVYNNNFSGRLPLEFVKLKNLKILYLGGNFFSGHIPEAYSEFQSLQKLGLQANELSGRIPASLSRLSTLHELLIGYFNSYEGGIPPEFGSFKSLKLLDLGGCNLTGEIPASLANLKMLHSLFLQLNNLTGEIPSELSGLVSLMSLDLSNNNLTGGIPLTFSELKNLTLLNLFRNRLAGPLPPFIGDLPNLEVLEIWENNFTFQLPENLGRNGRLLMLDVTGNHLTGPVPKDLCKGGKLRILILMENYFFGPLPEELGGCKSLTKIRITKNFINGTIPAGIFNLPELTMLELDDNYLTGELPERMYSQSLQSVSMANNWITGKIPPAFGDLVNLTTLSLQSNKFVGGIPEKMLNLKKLYKINVSDNNLSGEIPASIATCVQLTSLDFSRNNLIGEFPRGILNLFNLNILNVSGNQFKGEIPSKLGHMKSLTVLDLSYNQFSGNVPMDGQLKDFSDTIFAGNLNLCLPEKAHCPIISRSKNKNHSITTSKMMIMIIALITTVSLFILTFIKIKKKHLERSKVWKLTTFQRSDLKVEDVLDCLRDENIIGKGGAGIVYRGSMANGVDVAIKRLMGRNHGFDAEIQTLGRIRHRNIVRLLGYVSNRESNLLIYEYMSHGSLGEILHGPKGAHLQWETRYKIAVEAAKGLCYLHHDCSPMILHRDVKSNNILLDSDYEAHVADFGLAKFLRDSGASECMSSIAGSYGYIAPAAVVAVLDPRLKGYPLASVINLFKIAMSCVEDESTARPTMREVVHRLTNPPPSQPQPQPCLLTP >KVI05216 pep supercontig:CcrdV1:scaffold_601:34177:34593:1 gene:Ccrd_016444 transcript:KVI05216 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAFSSSSCFFTSPNFPLNQTSTRFHTPRISATATSTLRSSSISTSSSLYEVLGIQIGADTVEVKAAYRKLARVLHPDVRNHDSSPDEFMKVHSAYATLSDPGKRADYDRSLFGRHKMSSSSVGVTGYSGRRWETDQCW >KVH92210 pep supercontig:CcrdV1:scaffold_6011:13038:15869:-1 gene:Ccrd_005756 transcript:KVH92210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MVSVSQPRLPVINMDDLQVGSEGWMVTCEKVTRALEEYGCFMAIYERVSKELNKEVFDSLETLFELPTETKIKNTSDTPFYGYLGPNHTRPLFESLGIENATSFDHVQHFANRIENINSYANLVSELEAMVKRMVFQSYGVEKYYESYNRSSMTYLLRVNKYKPAKTGESNVATTAIHTDKSFFTILSQNHVNGLEVQTKDDKWVTVEFLPSSFVVMASDIFMAWSNGRLRSTRHRVMMNGQEDRYSIALFTFKKGITEIPEELVDEEHPLRFKPFNHLEFIGHHSKSPLYVDERAIKVFCGV >KVH92209 pep supercontig:CcrdV1:scaffold_6011:23271:31607:-1 gene:Ccrd_005757 transcript:KVH92209 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MLTFPLYLDINECHYPEKFPCYGTCVNTVGNYTWKCKEGYSGDAKIRDGCRRKPFHPLVLYIASGKYPTYETYRLVNATDLAKSGCDGRYTDECKNVEKFPCYETCANTPGNYTCKCKRGYSGDAKIHDGCRHKPFPLLLLSSGNPYVS >KVG88636 pep supercontig:CcrdV1:scaffold_6013:12595:14497:1 gene:Ccrd_026129 transcript:KVG88636 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MATAEKKPHVIFIPFPAQSHVKAMLKLAELLHHKGLRITFVNTEFVHKRLLKSAGPHYLSASPDFHLETIPDGIPRSSEDDSDHSELLLHYVETNFLAPFLELATKLPTPPTCIISDGFMSTFPIDAAQKLEIPIMLYWTLSACGFMGFHQIQSLIDKGLTPVQDESYLTNGFLETIIDWIPGMKSIRLKDLPTTVWTTDPNDKPFTFLVEATKNSNRVSNIILHTFDELEDSIVKALSSMFHHVYTIGPVQLLLDQTTEHAEXPNVNGYSLWKEEPDCFKWLESKEPNSVIYVNYGSTTVMSLEELIEFGWGLADSNHYFLWILRSNLVVGDQSAVLPPELEEQIKRRGFIASWCSQEKVLNHTSIGGFLTHGGWGSTIESLSAGVPMICWPYLWDQTTNCRFICKEWDVGLEMGKNVKRDEVKKLVQELMGEGGQRMRNKAMEWKEKAKMATSPNGSSFLNVENLVKEITMLSTK >KVG88540 pep supercontig:CcrdV1:scaffold_6014:12497:14200:1 gene:Ccrd_026130 transcript:KVG88540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWEGGSSTTAAAEGGGGSGGGRRKPSWRERENNRRRERRRRAIAANIYNGLRAQGNYNLPKHCDNNEVLKALCKEAGWVVLPDGTTFRKGCKPPPCIEMGSTSTNTTPCSSRKPSPPSSSFPSPSHHRFAFFHDSMPSSLPPLRISNSAPVTPPLSSPTSKPPQNNNLIWESLTKQSMTSFNLPYFASSAPTSPTRXQRFMPTTIPECDESGCTTIDSCQWVRFQNHEPMVMSPNSPTFHLMKPKLPSADEISNKGKGKGAELEFENGGVKAWEGERIHDVGLDDLELTLGSGNAK >KVI01349 pep supercontig:CcrdV1:scaffold_602:21414:26853:-1 gene:Ccrd_020375 transcript:KVI01349 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-NAD kinase-like domain-containing protein MESPEPEKMVNRVAVKSSVIDSIKGCSLSGIRIPKEELRQKITMPEYLRFAIRDSIASKDIDSGKHHYDGTTTAGDKPLVVAAECPLVVFINSKSGGRHGPELKARLQDLMGEEQVFDLQTVKPHEFVQYGLGCLERFAGLGDNCAKETRERLRIVVAGGDGTVGWVLGCLGELHKQGRDPVPPTAIIPLGTGNDLSRSFGWGGSFPFNWRAAIKRTLDRATHSQTSHLDSFLDAGMDAQVAYGFHHLRNEKPYLAQGPISNKMIYSGYSCKQGWFFTPCMADPGLRGLKNILRLYVKRLNSSEWELVPIPPSGRNPWGKLKPKYMEKKGFVEANADDGLLEVFGFKHGWHASFVMVELISAKHIAQAAAIRFEFRGGAWKKAFMQMDGEPWKQPLKNEFSTFVDIKRVPFRSVMINAQ >KVI01352 pep supercontig:CcrdV1:scaffold_602:204862:206370:-1 gene:Ccrd_020381 transcript:KVI01352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MPVASTCFGSQDKGVMISKAHSGLFANGSACGRRYRVHCISGTNKAIRNACTGNSVDVMVIDRCNMCAVNQLELSEEAFAKIARVELGRVNVEYEQYLYFKLFSSFSCLSFSYDFLLIAISCACWSASGLVAIWSHFASSQTRSRSEPGSHSVSASQAHSDEQHSTSPLLCLLRFFPSSLFPASVID >KVI01351 pep supercontig:CcrdV1:scaffold_602:37384:44464:-1 gene:Ccrd_020377 transcript:KVI01351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MFRTSLSLSIDALFLVVLVPDVHDIDDRRYIMRFKDPRVLGTFLKGPTKFTLTDDLIVTPFSSVYVIAIMNELKVPLKDIEHHEVSIGIEKGFELLNASLKSNSSLIDLLLEEIIEKKKR >KVI01354 pep supercontig:CcrdV1:scaffold_602:112139:115250:1 gene:Ccrd_020378 transcript:KVI01354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing protein MRAGLSTILQTLTPDAASVLNHSIAEAGRRNHNQTTPLHVAATLLAAPTGFLRQACIRSHPNSSHPLQCRALELCFSVALERLPLQGGNHQRNDETAVSSSSPMNLYLNPRLQQGNLSLNQSDDAKRVIEIMTKSRKRNPILVGEFEPESIKKDILRRIQTGDLEFKNAEVISIEKEFESLPDKSQIPAKIKELLESRIGGSDDDRRSIIIDLADLKWLIEQPPTAAVSDIGRESVAEMSKLLAKFTAENSKVWLIGTATCETYLRCQVYHPSMENDWDLQAVPISSRSPLSGSHQIMNLYVEIGVCRFGTNGILGSSVDSLNSFKNFQTTIYQRHPTCCPKCYHDYEQELAKLDESKSSLPQWLQNAKAQNGESETKMNRRSSVLNSVSYHLVNDQELQKKWSDICTRIHPNHNQSLSLARMTTGFVPVVPTYKPANRRVLEAPRSPVRTELVLGPKEVVEDSPVKDLLGCISSEPRSKIEEFQKGKFVNSADADSFKKLVKGLMKAAWWQPEAASAIATTVTQFCSRGSVWLLFAGPDRVGKKKMASVLAEHVCAANPITVCLGSRRDEDETDLGFRGKMVLDRIVEAVRRNPFSVIVLSDIDEADMLVRASIKQAMERGRFTDSYGREISLGSSIFVLTGNWSTNNVDEHLIDEKRLHSAATGEWRLKLTICEKRSKRRADWLPEEKISTKQQKKAGCGLSLDLNLAVDSEEDRTDASDLTTEQGDENPHFVVKTSVPHELVGPSDAAVVFKPVQFGLIRREIEKTIKNTFLTVVEETVSIEVDEGTLDNILSGIWFGRTCLEDWAETVLVPSFHKLTEHLSSTRGNLVVRLESVRDPDQAGHGGDRLPSQINVIVDTV >KVI01353 pep supercontig:CcrdV1:scaffold_602:181859:182607:-1 gene:Ccrd_020380 transcript:KVI01353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MPNTNRHRNYDTHRHHSPPKRHPKLLSIFLKFIVMSLILSLFLIFLGLAAIILLHVLLVACFFHGRRRSRNITPPPTSSYSLLDLQTHLPPFQYSSSSSSGDCSICLENFKEREFCRLLPECDHVFHADCVDSWLTKVPNCPVCRRRVRLEVDRSSDPIDSDDDCKFLWVIGAVLDLFAHDVPGKSEIQFDAIRRL >KVI01355 pep supercontig:CcrdV1:scaffold_602:129805:132993:-1 gene:Ccrd_020379 transcript:KVI01355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MLSRSSFNLLNLEDYSSVVDRPRIPRIMTVPGILSEFEEDDNGRIAHQTDHLSDVISSVSAGRRIVVSNQLPVKARFDARINKWVFQYDPDALVLQLKSGLGSDTECVYVGSLPVDVPPSDQEEVAQTLLEKFRCVPTFLSLEIQNKFYHGFCKHYLWPLFHYMLPVTRTHGVRFDRVAWQAYVSANKVFTDKVMEVINPDEDYVWIHDYHLMVMPTFLRKRFHRIRVGFFLHSPFPSSEIYRTLPVRDEILRALLNCDLIGFHTFDYARHFLSCCSRMLGLDYKSKRGYIGLEYYGRTVSIKILPVGIHMGQIESVKSSPETATKVEELRRKYDGKVVVLGVDDMDMFKGISLKFLAMGQLLEDYPGLRGSVVLVQIVNPARSRGHDIQKVKTETMKVANEVNQRFDQDLERACKEHFHKRCWGIGLGLSFRVVALGPNFRKLSIEHIVSSYNKTNSRLILLDYDGTIMPRALVDKTPSKEVISVLNALSNDPKNVVFIVSGRGKDSLGKWFNSCQKLGLSAEHGYFTRWNGDSEWESCGLTVDVGWKKVALPVMEHYTEATDGSFIEQKESALVWHHQEADPDFGTWQAKELLDHLESVLANEPVVVKRGQQIVEVNPQGVGKGVVVDRLVTTMQNNGKPLDFILCIGDDQSDEDMFEKVSSSVANGIAEVFACTVGQKPSMAKYYLDDTVDVIKMLHGLEATSAHVSKSIGFHVETRN >KVI01350 pep supercontig:CcrdV1:scaffold_602:32436:33763:-1 gene:Ccrd_020376 transcript:KVI01350 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXTL2, alpha-1,4-N-acetylhexosaminyltransferase MILSKASFFHRKYLRLYTNEMPASLKEYVKRNKNCEDIAMSFLVANATGAPLIWAKVWEAIATKGLNVSEFGRMPLIPTTVKVVDSRGTWWFW >KVH93873 pep supercontig:CcrdV1:scaffold_6021:3:3135:1 gene:Ccrd_004071 transcript:KVH93873 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type DEPYLQYRLSVLAKEERKGLRSGKIPVNESFYLIGTADPTGTLNSHEVCIILYAFVSTSFQLVMIMSKQDRVLVWNLILRRIGTYDSFSYWLCSENGQISGKVLVYRNPGLHFGDIHILTAKYVEELEGFVGNAKYGIFFSTKGRRSVANEIANGDFDGDLYWLLNYFKASEPWERIHSTPSAPNKKPSELSYEELENELFTQLFATQKQGMVAGXAADXWQTFMDXYLTLGENXADEKHXIKEKLLKLVDLYYDALDAPKSGKKVRIEDSFSFLTYCNKISRYSSHIVSLAFLKQVEIPKCLLLKKYPHFLEKKHEKSYDSTSVLGKIYDAAIEYPSCNAVKQDIWKLPVFNVKIPEALNLWNNRYMSYKQEMCNAMNAGDESKTNSANTVIKKYKQV >KVG87849 pep supercontig:CcrdV1:scaffold_6025:26790:27044:-1 gene:Ccrd_026131 transcript:KVG87849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAFVMRPESLPKPYQDFIQKTGPVAQPVYKAVKGCCRGSPVDIALLSSYLSTVKGADFSFIARVSFYHTLFSNSPMHKIMFGS >KVH98701 pep supercontig:CcrdV1:scaffold_603:134959:136988:-1 gene:Ccrd_023071 transcript:KVH98701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPRRRLHMRNHFSLVSSLKYKPSFYNLTTTTHPLSPPLAADDAAGLSDLILKLDPQTLPQNIKTSSVRWTSELVNKILKRLWNHGPKALQFFKLLEHHPTYTHSAASFDHAIDIAARLRDYKAVWMLVARMRKRRLGPNPRTFAIITERYVSAGKSDKAVRVFLSMHEHGCQQDLNSFNTFLDVLCKSKRVEMAYNLFKVLKRRFRADTISYNIIANGFCIIKRTPRALEVFREMVESGLEPTIKTYNVILNGYFKVGQIKEAWQFFLEMKRRKCEMDVVTYTTVVHGFGVAGEIQKACQVFDEMIGAGILPSVATYNAFIQVLCKKDNVENAIVVFEEMLKKGYTPNSTTYNVVIRGLCHVGKMDKAMEYLDSMKHNECEPNVQTYNVIIRYYCDEGEIEKSLKVFERMGTGECLPNLDSYNILISAMFVRKRSDDLMVAGNLLIEMVDRGFIPRKFTFNRILNGLLLTGNQEFAKEILRAQSRCGRLPRRAADAIAAANTAFEVALSLVNPGKK >KVH98699 pep supercontig:CcrdV1:scaffold_603:189290:189703:1 gene:Ccrd_023073 transcript:KVH98699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLPIDDNSNTKSEEGTIVFHKKRARRVSFTKNTSIHIFDHDEDSRTPLDYKPPNSPSNDLASAEHNNEPNKLFWNVEEDDNDNNNKDEHMDEPGSRSPLLQLVGSLSSGGSTIGSANSNDEDNFFGLVSASFIR >KVH98706 pep supercontig:CcrdV1:scaffold_603:33553:37615:1 gene:Ccrd_023066 transcript:KVH98706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFASQGVGKTTLIARVLETLRISDPNLKIQGFLTRNALSLYQFNHTINLRGNLEYNLASAEIQKNKFSIFV >KVH98708 pep supercontig:CcrdV1:scaffold_603:63720:80888:-1 gene:Ccrd_023068 transcript:KVH98708 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand-like domain-containing protein MTGHNSTILFFNKDNSGFITRGELKHSMTQYGMGDEVTTAEVLDDVDTNKECILVDSMQDMNKGTVETSKTQGLGLQCQLLITTKLIWLLGTIRTDSRQDKQRQLWPMIMKIITRSSALAAIDGVQFINRVLSSIVSADSEEIDCLWFQAEKKV >KVH98704 pep supercontig:CcrdV1:scaffold_603:18325:18579:1 gene:Ccrd_023065 transcript:KVH98704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGSYGTSWADQWDHNPDPSPAADYNKKTSGGGMAGKYGKKMGEGFGKTKEVAATGAKKVKQGTSVGLQWIKDKYHKTTQKH >KVH98700 pep supercontig:CcrdV1:scaffold_603:99371:101465:-1 gene:Ccrd_023070 transcript:KVH98700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase/oxidase C-terminal AKKIVANAFKVLSRAVCIITRYSAVRRQFRSCNGGPETQVINYKTQQSRLFPLLASAYAFRFMTGLWLIGERLIGFVYQKGKLLNYCTFTPAQVLTLQYTQLDLHYNDKDIITSSSYLSNDLEDKTPLDDHVFQKYSSLKAGHPVLRVEGSNLLAAVIVKVDEDVRDMLMAASFVLVASEAVAYKISSSQRKWWEAYARLYKMNGGVNQGMGIGVLFWRSIHSILELEYCHVNSVNRRKQKMMRKVWVHGKFQKP >KVH98707 pep supercontig:CcrdV1:scaffold_603:89042:89269:1 gene:Ccrd_023069 transcript:KVH98707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDNNYNSWADQWDTEPEHYSSYQGSKSGVGIKGKVGDSFSKSKAVAATGMQKVKKGTTLGFHWIKEKYHKTKK >KVH98698 pep supercontig:CcrdV1:scaffold_603:143141:143611:1 gene:Ccrd_023072 transcript:KVH98698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MADDKVHWFSIVNSLMIVIFLSGMVAMIMLRVLYRDISKYNQLETQEEAQEETGWRLVHGDVFRPPLNSDLLCVYVETGVQFFGMILIAMIFVVLVTAFLLFWLMTTLLLPWVLWVLRFFCFIPVLIHDLLLLHETEHQEASFWFTRLIYSLVKID >KVH98705 pep supercontig:CcrdV1:scaffold_603:56518:56760:1 gene:Ccrd_023067 transcript:KVH98705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDNRYNSWADQWDPEPEYSNRYTGGKSNGASGGGIKSRVGEGFSKSKVAATTGMKKVKKGTTLGFHWIKEKCHKTSHK >KVH98703 pep supercontig:CcrdV1:scaffold_603:203154:203519:1 gene:Ccrd_023075 transcript:KVH98703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQSCSYCMLSGRGYWVKSLTSGIMESEVYRLNVPSQESPDVHCESLSSQSDNDNVTSIEQAIDHIDGRIATMTKSFNKSCKIKGEPSSTGTITFVNNYLMKRACCRMIRKD >KVH98702 pep supercontig:CcrdV1:scaffold_603:189749:190228:1 gene:Ccrd_023074 transcript:KVH98702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAFSMHFHSLARSNSEAELKTSTGVHLSFEAKTPTQDSIPTNTGTPMLMTLAKKPNYQPIVSTSKSSTCFESNDMSIDGEYHNKYDYGELSPTLDALLAEGNKDLHVISPSNGSILKSPRNTETTKEDGGNFMDFSCEKDKKGNITHDMVNEAISL >KVI04612 pep supercontig:CcrdV1:scaffold_6032:9806:16496:-1 gene:Ccrd_017069 transcript:KVI04612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGVSVDTTNSDHLAPSNPLSPSTRTTSSTSSSSFPVIRFLQAPVTTVLEYSGVFRPRSNNDYPESESLIPNHHHHHDHDIRSSSDSDTATSSNGDNGEVSIRIIGAANPEEQHGREDGEPAGAAMNGGDGGRGEREMADSSDVDGGNGGSGSHSDSSYQQRYDMQQVSRWIEQILPFSLLLLIVFIRQHLQGFFVTIYVTTFMYKSNDILRKQTALKGERRLSVLADTMVQQAAMAFKLVLLMYYRNGKGHNFRRQVGSFWTALKALSRKEVHYGSYATPEQVRKRENVSPMQGFGETSGFTVIWGRINKPLLPVVLNSPSFLKTHPFNRITVKEKESWA >KVH95023 pep supercontig:CcrdV1:scaffold_6035:14437:16810:1 gene:Ccrd_002908 transcript:KVH95023 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASKEDLVHVFLVTFPGQGHVNPLLRLGKLIASKSNLLVTFSATKSIGNKMKKAGADVSGDPTPVGNRGGMIRFEFFDDGCSEDDDEEVHDLDTYLLKLEAYGKRALTGIINHHAQNGRPVTCLINNPFLPWVSDLGEELNIPSAMLWVQSCACFSSYYHYENSLVPFPSEKQPDIDVQLPSMPVLKSDEIPSFLHPSTPYPFLRRAVLGQFKNLSKTFCVLMETFQELEGDLIKYMSQIXPIRPVGPLFKNPLLESSSNISGDLLKADDCLEWLGSKQPSSVVYISFGSVVSLSQQQLTEMAYGVLNSGVSFLWVMRKGDTFNGVKCGRLPEGFLEAAGERGMVVHWSPQAQVLTHPAVSSFVTHCGWNSTMEALSSGVPVVAFPQWGDQVTDAKYVVDEWKVGVRMCRGEAENRVIEREEIGECLKEATNGVKAVEMKKNALKWKKAAEEAVEDENSIN >KVI00662 pep supercontig:CcrdV1:scaffold_6036:14955:15446:1 gene:Ccrd_021087 transcript:KVI00662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, A20-type MAQRTEKEETEFKVVPETLTLCINNCGVVGNPATNNMCQKCFNGSTTSSSTTSTQRARGRSGSLRSPTRSTSRDVEVDLVVDRTVVMVDEEPKEKTMTKVVSRCSGCRKRVGLTGFRCRCGDLFCAEHRYSDRHDCTYDYKTAGREAIARDNPVVKAAKIVRI >KVI03156 pep supercontig:CcrdV1:scaffold_6037:4000:4445:1 gene:Ccrd_018549 transcript:KVI03156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 32, N-terminal MNMALDDHPIGADPNGPMYFNGVYHLFYQYNPAGPLFTNQMHWGHSASYDLINWIPLDLAIAPTESFDINSC >KVI08617 pep supercontig:CcrdV1:scaffold_604:191699:195954:-1 gene:Ccrd_013014 transcript:KVI08617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MSAVIATDLEIAFGELLNVVIGHQTLQSSTFKTLLKRLENTLKTMEPLLFCESWGLSKLLARPEKETIMFIFYLENGKDLVLKCSRIRWWNVYKKFVHANKLIRLNNELLRFFQTEMQVNMVTTSIRSLIGIHDLEEKLDRVLSAVTTPVGTSSGSCTVPGIPQLIVGLDLHLEELKHRLLKDETQLLVVSGPGGCGKTTLVKMLCNDNGIKDMFGENIFYVTVSRPSSIKTVAQKLFEHHGENHCKFQSDEEAKNQLENLMWRIRSDKMLLVLDDVWSESESLVQDLKFQIPGYKIVITSRFLFPRFNATYELSLLNHNDARVLLCYSAFPRDGIPVNIVKHCKGLPLALTVVGASLCGQGAIKWRTTFKKWSEGQSILQSHSSMLVSLSASIDALDHELPIVKECFLDLGSFPKDERVAATALMDMWVELYNLDEKGMYTSEHLHELSSRNLVHLVPIRKDVGELEGYCDEHHVTQHDLLRELAVHLSSQEPIAQRNRLFMKIHKNNFPTWWIEQIRQPINARILSISTDEAFCSNWYDLKAPKVEVLILNISSKQYSLPQFIESMGQLKVLSVTSYGDNPAQLHNLPSIGVLSNLKRIRFTHLSVSSSIQPIFALQNLQKLSFVMCELSNAFTNDTTGSPMLPNLTELEFDRCYDLNELPAGLCSLVHLQKLSITNCHELDVLPKGLGRLLNLEFLSLNCCTKLQELPESIGSLLKLRFIDISDCLSISLLPEQIGELCSLRVLKMRGCQGLQDLPVSMSKLLQLEEVICDEETSYLWMDFETDLCNLKINVVEDDRFESFMKIVQ >KVI08619 pep supercontig:CcrdV1:scaffold_604:23151:24459:1 gene:Ccrd_013002 transcript:KVI08619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MAATHKTLFTLLSYALFEWILILMLLLNSFFSYLITKFATHHRLKPPCFWCSTIHHLLDPYPDLICESHATEIFNLYCCLGLKVTTEPLCRNGSCSCCFQVNNVDGSSDGTRPSTYKSIYRKNEMVQAMDLPVENKSSGHIQVFDQIIPLEWTDSSTSCSTTSAGSNGHESMVCKHEDQVQEQDGRFLKSSDCQDEGDKAGISIDSLMAELKAERLAVYGLYIQLDEERNVSAIAAKQAMATITRLEEEKVAVQIESKHNQRMMNEQAEYDQEVVQLLNELVMKLENEKVELENEVEMYKEKLLDNSSSSSKESSRTVEESIWILDELKDCIRKASLDESYKGNRLFHLFDHEGMNLNEQDDEASHSAWRQTSL >KVI08622 pep supercontig:CcrdV1:scaffold_604:47188:53849:1 gene:Ccrd_013005 transcript:KVI08622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait SSSNLRFHFPPKPYFNSIPFIQSPNGFLQAVVSISSHKIQVHLLLLTIQSLSRLHTFSDIGSLRRDLTEITDLVLIDRNAIDLRPSSVRLHIILPMAALDMTLDDMIKSRRNTDRGRGRGRGRARGGRGQGRSFGGGRPIGPPRRGPLALNARPSAYTIAKASSNLWISYAFDREFRTKIAIPISDHFYLLVAALFFWFSKEGVYDGFYCHMLDISLARFSVTLLVYGSFRRPKNSAWQRDLFEESLKAAGLPGFDNGAKLHVSNLDIGVTNEDIRELFSEIGELKRYAIHYDKNGRPSGSAEVLFARRSDAFQALKRYNNVQLDGRPMKIEIEGSNPEIPLSARVNVVGGLNGQRTVVMTSGVGRGRGAPAATATNRAFVQRNRGGIRNARGGMTNMRGGIGRGRGGRGRGRGQSGGRGRGRGRKQAVDKSADELDKELESYHAMQT >KVI08614 pep supercontig:CcrdV1:scaffold_604:106299:108710:-1 gene:Ccrd_013011 transcript:KVI08614 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase, conserved site-containing protein MRKPISWRHERSLVAEYYYSTYAEGYTTARASQRHGLSFLQLQLRSSFGASTEISDSLFFFSGIVLCVPYRLKGGIKASSKNIEDVLEVLVISAQRKSKGMLFPKGGWELDESIQAAALRETIEEAGVSGAIESELGKWCFKSKGNDAYYEGHMFPLLVVEELDLWPEKNIRQRFWVSASKAKESCQYAWMREALDLLVTRLQSSPTKLEDMMN >KVI08616 pep supercontig:CcrdV1:scaffold_604:202852:204633:1 gene:Ccrd_013015 transcript:KVI08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MQNFTRVRLHCARPFQLQFIRLLAATTATTTTTPWYTAPPSPHNHQDIDPLLTTLSQAIINTSNPLETSLRKLLPSLKPSHVIDLINLNPHSLDPHTLLSFFKWLSTQPPFRHTIHSYFTMIKFLSANQMFPQAGSLLRFVVSRKGKRSAASVFRSFIETKGTKHNEFVFDALVNCYVDDGFISDAIQCFRLAREQKFRVPFQSCGRVLDSLMKSNSISTAWGFYLEILGCGYPPNVYTFNNLMHKFSKEGMIRDANIVFDEIPKWGLRPSLVSFNTLLNGYCKSGKLDEAFRLKKVIEGSGLDPDVFTYSVLVNGLCKESRLGDAHQLFDEMCKRGLVPNDVIFTTLINGFCRNSKISLAMQMYCKMVIRGVKGDLITFNTLINGLCKSGQIGDARNLIDEMTREGLKADKITYTSLLDGCCKAGDLRLALEIRERMVNEGIDLDNVAFTALISGLCREGLVFDAEKLLREMKKSGLKPEDATYTMVIDGFCKKGDVKMGFRLLNEMRRDGLFPGIITYNVLMNGLCKIGQMKNAHKLLRSMLDLGVCPDDITYNILLEGHCKYGDPEAFERLRSEKGLVYDYASYISLVEG >KVI08618 pep supercontig:CcrdV1:scaffold_604:6652:22030:1 gene:Ccrd_013001 transcript:KVI08618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSTKNTSSIHYKYPFVLQLLFTIHAIHSLSFTPSLDLAFVTHRMAGGRSYTASILVLSLVLLIAFADVAQIAKENVHTVALQHRTRSRACSSVKSVAPNACACQRVFMATNRRVLAITIGRLKKANPSNAKEDVKFDARRHRTRSRACSSAKSVAPNACAYHRVFMVTNRNAVVATITGRPRKANPSALNSCLN >KVI08621 pep supercontig:CcrdV1:scaffold_604:27557:39756:-1 gene:Ccrd_013004 transcript:KVI08621 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 MKTRNQAKRQRQSTGEDDLVSKSGHDTKANELWFEIINEKDFVKHPPICFTYRKRKVLHKPREEWTSGTLADTSEEAVNPFLKRVGSLGSRELKDDSASKLDNRPKGKEKQIKYDRHAQNISEVDGSSGHAKLENSIPLKADGRSPQQAKSELEDVMADSDWEDGSNPNLNSESSHPGHINKDIAIEFDASPGTSKRKSVRRASAEEKQVAELVHRTHLLCLLGRGRLIDSACDDPLIQLPLVGSNMKRPLILLAYDEAAPLASLLSLLPAELLNLLKVADLTVDALGSLVNWFHINFHVRSSSSDRSFHSALARALETHEGTPEESFQDSHSYFATITVLDSYWRNSTCRCFICGTVQSIESFNTVRFSARQLATFSMMVSLTHAVGKDVVSTILSVEMIIHCRLVASDSGFIDYPVVPRFVSILDAASLKPDADKSEDMSQGRKVGKGVFDSSTVMVTQPSEASISSGKQSAPVDAENVASVDKKNASEASAKASYQRKVKKSGRTISQDTDSTTGDQLNERRVDIFACEAQNPTSDACAATTSEGSKRKGDVEFEMQMEMALSATAVETSKVNLDTDVKNSHSSISNFSPFKRSKKIRCEESSSFSQGISTAVGSRKVGAPMYWAEVYCSGDNSTGKWVHVDAVNAIIDGEQKVEAAAAACKTSLRYVVAFAGRGAKDVTRRYCAKWYMIASHRVDSTWWDTVLRPLKELESRAIGGTCRLSDKSSMSVIRGDVKKEEHASVSSFFFATRTSLEDMELETKALTEPLPTNQQAYRSHHLYALERWLTKYQILHPKGPILGFCSGHPVYPRACVQMLHTKERWLREGLQLKVDELPVKVLKRSVKLNKGKFPGDEDDENDCVGPGGTINLYGKWQTEPLRLLPAENGIVPKNERGQVDLWSEKCLPPGTVHLGFPRIFAVAKKLEIDYAPAMVGFEFRNGRSVPLYDGIVVCTEFKDTILEAYAEEEERRGADERRKNEAQAISRWYQLLSSIITRQRLNNRYAEGVSNDVQKTYDTFHHHKSTNREDIQKPISHQANEDDPSQPDALPQPSEEDHEHVFLLNDPSSDDGSTRTKRCRCGFSIEVEEF >KVI08625 pep supercontig:CcrdV1:scaffold_604:76386:80274:1 gene:Ccrd_013008 transcript:KVI08625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAIVLTMHRTTKSTVVEAGEREARATADWRREAAADDSPADGRRRPSIVERGRERASSENEGGVRESEGASISVARARASD >KVI08612 pep supercontig:CcrdV1:scaffold_604:178718:182566:1 gene:Ccrd_013013 transcript:KVI08612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase, beta-Grasp MALLSDLINLDLTDATPKIIAEYIWIGGSGMDLRSKARTLPGPVNDPKKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRCAAAKIFSNPDVEKEVPWYGIEQEYTLLQKDINWPLGWPQGGFPGPQKAFGRDIVDAHYKACLYAGVNISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITEINGVVVSFDPKPIPYKRGCIQFNMLFGQGDWNGAGAHTNYSTKSMREEGGYEVIKKAIEKMGLRHKEHIAAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWNKS >KVI08613 pep supercontig:CcrdV1:scaffold_604:135544:144841:-1 gene:Ccrd_013012 transcript:KVI08613 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MAVEFPEEEKLLMQKVAKIFDEARASRIRKLKELSALRSSTSLAQFFAAFTKTLTPVFTSQRRTASVERIVQFVVIFVCTRDPNNSEDYDAFFEQFLRFLITGTTAASRTSRFRACQIISEIIMRLPDDAEVSDDLWDEVIDCVKVRVGDKVPVVRTFSVRALSRFANDAENSDILELLLETLPSEQNPDVRKTILLSLPPSNATSTAIINCTLDVSEAVRKTAYFVLASKFPLQSLSIKQRTIILKRGLADRSAAVTKECLKLMKDEWLMKSCDGDPIELLKYLDVETYESIGVSVMEALLKAGLVKLHGGQSIKEFLSSDSNTTEGSCTQLVDAEVALYLRTLCKHLQTEAQAKGSDAAMTSGTEAAVYASEASDSNELLEKILPESVSEYIELIKAHIVAGQTNRFVARQLLLLGSMLDFSDATNRKVAAVFVLDLLNCPLQHEIDDDGNKVVIGDGISLGGEPDWADAVSGLAKRVHATPGEFEEVVLGVVAELARPCRERTADFLDWMHCLSVIGLLLENTKSFRWMHGKAIEPAELLHSLLLPGAKHIHLDVQRAAIRCLGLFGILERNLTEVLIKQLRLSFVKGPSLVSSMASKALMDLATWHGPQEVDKAMNQSLSSQFQDHAKTIHPVDWSDTNEDLNIELIDLLYAGFDRNDFSKPGDADESESVHAVLAEGFAKFLLLSENYPSISVSSHPILLAKLINLYFSRKTAEWQRLKQCLSVFFEHYPSLSKCICKAFLPVMRSMWPGIDGSTGGSNVTLSNRRKHAIQASRFMLQMLQAPLYPKEIDQPDENVNKNSPEQLHDPTNSSNNFDFGEEGLAICIASEVLSFQKKKTAAERSYVSAISKILVLLRFRPPEQGAIKLMRRLMNRVAESVSADKEIVKEVKRMAEHLKALDGSPDEPLPAEQANLLLEKLDVELNIDDDESSSLEVEPTPLPPKASRPVRGRRRARQEVESSCSSDEDETLQQIVVPTTNPSVRSQRASKSAALSKLASSNKPVKINDDDEDEDEDEESEVTSEDDSD >KVI08624 pep supercontig:CcrdV1:scaffold_604:68700:70704:-1 gene:Ccrd_013007 transcript:KVI08624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MVFLSLSLVSADEDGFIGVNIGTDLSDMPHPTQVVALLKSQQIRHVRLYDADRGMLVALAGTGIKVAVTIPNEQLLGIGQSNSTAAHWVSQNIVAHYPATNITTICVGSEVFNNLPNVGPVLVTALKFIHGALVASNLDRQIKVSTPIASTVILDSFPPSQAFFNHSWNPVLVPLLKFLQSTGSSLMLNIYPYYDYMQSNGVIPLDYALFNPLPANKEAVDANTLLHYTNVFDAMVDAAYYAMASLNVTNVPVLVTESGWPSKGDSNEPDATLDNANTYNSNLIKHVLNQTGTPKHPGIPVSTYIYELYNEDTKNGPVSEKNWGLFDANGKPIYTLQLTGSGPAFANDTANQNHCTAKEGADSKMLQAALDWACGPGKVNCSALLQGQPCYEPDNVASHASFAFDSYYHMMGKGEGTCDFNGVAMLTTTDPSHGSCQFIGSDGMNGTFLNSTVADFNSTSSSSWRGRRDYATVGVLIKVLLGSLLL >KVI08623 pep supercontig:CcrdV1:scaffold_604:54312:56357:1 gene:Ccrd_013006 transcript:KVI08623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MMFLGTKQTTMATPALVLAFVISAVVHHTSATAPSLVTFIFGDSLTEVGNNNYLRYSLARSDFPFYGIDYSNGKPTGRFSNGRTIGDIISEKLGIPSPPPYLSLNPTDDAILKGVNYASGGAGILNDTGLYFIQRLSFDDQIDYFENTTKVIKAKVGEQAANKLLNDAIYFIGMGSNDYVNNFLQPFLPDGQQYTHDEFLALLHLKLAEQFTRLYVLGARKMIFHGLGPLGCIPSQRAKSTTNQCLHQVNEWVLEFNSKVKKLVNVLNYRLKNVQLTFADTYQDVLDLINDPSKYGFKVSDTSCCRVDTAVGGLCLSYAHVCTNRKEYVFWDAFHPSDAANAILADRFFAKLFPVAAGAAQNGSAPKH >KVI08626 pep supercontig:CcrdV1:scaffold_604:84812:85321:-1 gene:Ccrd_013009 transcript:KVI08626 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MTLHICFSALTDYFFANPPTSQNPPFPSIPFHSSENPSTMALEWVVLGYAAAAEAVMIILLTLPGLDALRKGLVAVTTNLLKPFLSVVPFCLFLLMDIYWKYETMPSCESQNCSASENLRHQKSIMKSQRNALLIATALVFYWILYSVTKLVVRVDQLNQRIEKLKNQE >KVI08620 pep supercontig:CcrdV1:scaffold_604:25731:26763:1 gene:Ccrd_013003 transcript:KVI08620 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MADQEFSRLPSLPPYTEMIFAAIDALKEKEGSSESSISNYIESTCEYLPDEHTNILTDMLNKLVDSGELVVLNNNYMRPDPSAPLKRGRGRPPKPKDPAVLETQIQAQTGSEVKRGRGRPKKDPNAPPAPKKVKAAAVPAAPSKTGRPRGRPRKVQPEFAGVEAN >KVI08615 pep supercontig:CcrdV1:scaffold_604:86982:90385:-1 gene:Ccrd_013010 transcript:KVI08615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLHNNWFPSYMTAYIRDSPLRRAGTLNAILSRCFSFTPREVFDRSPERHVVTMHDNECEALASFVQRNSLGMKPSKFQLCTALNCCAKTQNSHLGSQIHAKILHIGLDQNLYINSSLVNAYAKCGAIVDAMSVFNGIEFHDTVSWTSMISGLSQNGQGREALCLFKQMLATLVRPNCFTYVGAISGCTEQESVWKCGELLHAHVIILGHENNNFVTSSLIDYYSKCGKMEKVVVLFEACATSDPILVNSMISAYSHNLQGEEAIKLFMKMRNADASLSEHALTSILDACGALTVLQQGRQVHAYVTKVGSVHNVFVVGALIDMYSKCGNIDEALCVFNEADYKNNILWTSVITACAQSGRSLEALEFFDHLVIKEKRFSPDHVCFTVVLTACNHSGLLDKGIGYFEKMRSDYNLVPEIDQYACLIDLYARKGDLKRGKKVMEEMPFTANAVMWSSFLSCCKEYGNVELGKEAAYKLFELEPHSPVPYLILEDIYAGAGLWNEVLQLRKLMNDNGVRKCTAGCSWVEIENEVHVFSVGDSSHPESEDIHLELRKLSLEMLNEVHVFPRNAPKSGDYELMMISSLF >KVH96745 pep supercontig:CcrdV1:scaffold_6040:11200:16481:-1 gene:Ccrd_001165 transcript:KVH96745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCEKETENAADCCRAVAVPPCCLLLLFYGSTPDLTHYQNGDVIDSNKVAQILATFRSQVNKRRYESCDFNRKDYCLIVFRTLRKLYNVDPNDYMFSIYGMRIFRSSLLPEKWKLFLHVNDDRLITKTMKKA >KVH96744 pep supercontig:CcrdV1:scaffold_6040:6680:8693:-1 gene:Ccrd_001164 transcript:KVH96744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MSYLGVGVSPGNVPVYHCTDLKVVDRRVRLAELILRAVVCVLALVAVVLVATDSQVQEIFTIQKKAKFTDMKALVFLVIVNGIAASYSLVQTLRCGVSMIRGSVLLNKSLAWLILSGDQLMAYVMLAGLGAALQSAIFAKFSEPELQWMKVCDMYGKFCNQGGEGIASSVIAWLGMVMVSGISAFSLFRLYGENKGTKTSAGW >KVG87222 pep supercontig:CcrdV1:scaffold_6044:17002:17238:-1 gene:Ccrd_026133 transcript:KVG87222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAVNIVPRASLEYTXSV >KVG87221 pep supercontig:CcrdV1:scaffold_6044:6064:17658:1 gene:Ccrd_026132 transcript:KVG87221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSMGLTMGCGLLVLTGMVVIKSGTETLGFFNIEPWTYNSSESNLFRKKIFCLMVACSSPLTKLSKELSFLYTFPGSAATAGFTLLEVGELLKLRETLTRVYVQRTGKPLW >KVI11300 pep supercontig:CcrdV1:scaffold_6046:18069:20448:1 gene:Ccrd_010291 transcript:KVI11300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVADDSSMMFTKRFGGVSFTMNGVASVSQEDEGIRRDKLRVQDFEPPAPASLVGLEQVDETGAGMLEMFTFSTGGPATELLENQINYQHHRNQRPNSATGDWYGNNTAQAITRISFLTIFFSPSSRLEFFNFFFNTSHVVAKRCPIFHFHPSSSTDVK >KVG87111 pep supercontig:CcrdV1:scaffold_6049:20132:21298:-1 gene:Ccrd_026134 transcript:KVG87111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MGLLTSPSSSTSPSTEPFPVSSPILVPLSSSENPDPRYGIYLVFSYKQHADDLTMSCVMGCYDPLINAWTHESSIPGTSVNHIFKGFAMVSVKTSIYIVGGSEYRKEKIEINGTSRLKDVGVGVRSTVSRYDVITKEWFTCAPLITPRYDFACSVCDDKIYVAGGQSTLDGAKGISSAEVYDVVNNEWRSLPCMSTVRYKCVGVTWRNKFHVIGGFTYSGNKMQFTDRCSAEVYDVEKGKWDHLTGMWQLDVPPNQIVVVDEKLISSGDCLNVWKGDIETYDGNLNLWYPLDGSRKENLLSLSCSSSGNEGGSSEPLQRICLTMAPIGGYLYFIAGYRVAGDQSSCCTMSMVHRFDTSATDNQWETFELMQVEGERELCSHCCVVQLS >KVI02921 pep supercontig:CcrdV1:scaffold_605:116930:123378:1 gene:Ccrd_018789 transcript:KVI02921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold TVKVVSDLHFIHFLDSATYFPKFSNSVKRVTIRVLVCLIMAFASYLSSLHVEASSKHSIIASSVSISPQFSLPLSSSSSFSSSSVNRESRKLSIFPNTVRIGPTVKVKPQAGGVNSVAEAFTEFKHLLLPITDRNPYLSEGTRQAAATTAALAKKYGADITVVVIDEKLKESLPEHDTQLSSIRWHLSEGRLALLSGFQEFKLLERLGEGSKPTAIIGEIADDMNLDLVIMSMEAIHSKHVDANLLAEFIPCPVILLPL >KVI02922 pep supercontig:CcrdV1:scaffold_605:181012:187161:1 gene:Ccrd_018790 transcript:KVI02922 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Lupus La MASINLQSKDGGADDGSRSPARSRVVSAPWSKIVRGGSDEFSPPVVFVTAPAASPSSSSVQEQIINASSDWYPSKAVPETTSSPDDSGTECQPEVSDNVGGCSNAIKKPVWSKPSNGIVEAVSPVMGAVSWPALGESTKASPKSSSSESLQALSDGSLLPTLQAIGNSSPSSHKLASNNVNPTSTPNHIAPSGQRSIKRGGGSSGVYLSSNGGVSQRSPASQDTKVEAHNASGKPGTVATDSYPKDQTYKDPQKGGFGSQSNSGNDYHHQRNSYRRGNGGGQHPRGDGSYHHYGGKLEQGRGNQEWNQQRSFNNRDPNMQPQRGFRRGYMRPSVHNSTPFIPPSMPVPVRPFGNNVMYPDMASAMIYVQGPPPPESLRSMSLVAPIPAPMYFPVPDPLLHSKIVNQIDYYFSNENLVKDTYLRRNMDEQGWVPVNLIAGFKKVSCLTDNVQLILDTMRTSSIVEVQGEKIRRRNDWMRWLMPPPAHYSSGSHNQDVLVSQFERIGLEESTSKNQVHVEPFSSSRSSSSSGSGEFGSQLQQGGGEGSVVHISSGFERATVA >KVI02920 pep supercontig:CcrdV1:scaffold_605:80209:83967:-1 gene:Ccrd_018788 transcript:KVI02920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNNSDGDGGSTETPVTNGMTTVSHHYFGDSNGFDDAVSIKIIESMKEEYGLFVWPCSVILAEYVWQQRSRFTGISVVELGAGTSLPGLVAAKVGADVILTEDSNRLEVLDNMRRVCELNNVKCEVMGLTWGVWDESIFSLQPKVILGADVLYDSSAFDNLFATVKFLLENSPGSVFITSYHNRSGHHLIEFLMVKWRLKCVKLLDGFSFLPSWKASGLSGNIQLVEIGLSDMGKNEQAF >KVI02917 pep supercontig:CcrdV1:scaffold_605:189266:189839:-1 gene:Ccrd_018791 transcript:KVI02917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVKHDPTSFYIGYKISPGYHRNKPNRQEEEKKTKRMVRGTRIVEISKSLSEILVCPLSKQPLRVCEKSNSLISHAIGVSFPIVDGIPCLVPQDGKIIEAEDSNSNGDTVDTKRYHQDGTSS >KVI02918 pep supercontig:CcrdV1:scaffold_605:19223:20645:-1 gene:Ccrd_018786 transcript:KVI02918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVKSISFDKNGVKKGAWSEEEDDKLRAYIQRYGHWNWGLLPQFAGSLESPSCSSGTELSACWMSGSDYTVSSEVALQTSDYESAGDFWSEPFLPDITSSIDNMLSHSDLIEGYMSHDQSSCQDVTMSDEFSWSTFDPYFQYNNEPVDWSF >KVI02919 pep supercontig:CcrdV1:scaffold_605:69663:72233:1 gene:Ccrd_018787 transcript:KVI02919 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAIMSAFLCLLLILSFFSSGTSLSSNYYSKTCPNVESLVRRAVRDAATTDKKVPAALLRMHFHDCFIRGCDASVLLNSNGKNTAEKDGPPNVSLHAFYVIDNAKKVVESACPGIVSCADILAFAARDAVVLLQKSFAQRGLSLEDLVALSGGHTLGFSHCSSFKNRIHNFNSTTDIDPSIHPSFAATLRSVCPAKNAKNAGVAMDPSSASFDNTYYKLIFQQKALFSSDKALLDSPKTKNLASKFASSEDAFTKAFIKSMIKMSSITGGQEVRKDCRVVN >KVI02923 pep supercontig:CcrdV1:scaffold_605:191280:199509:-1 gene:Ccrd_018792 transcript:KVI02923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAWDHFGEIANVAQLTGLDAVRLIGMIVQAASTARLHKKNCKQFAMHLKLIGNLLQQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKIIPLITLVDNARVRERLEYIDRDQREYTLDDEDRKVQDVIMKPDPSHADAAVLKKTLSCSYPNLPFKEVIQKENEKLHLELQRSQANLDVGQCEVIQHLLEVTEVVASKSQHEQDSPKKISKKTEPHYVDFSSNKEVQDESITDKKAQSTSRSMSSASYGQDFSSTRGSYGNDEWHSDLLGCCAEPKMCIKTFFFPCGTFSKIATVATNRHMTSGEACNELMAYSLILSCCCYTCCVRRKLRKTLNITGGWCDDFLSHVMCCCCALVQELREVEMRGIHGPGPEKTKTSPPSCQYMES >KVG86724 pep supercontig:CcrdV1:scaffold_6055:1255:14690:-1 gene:Ccrd_026135 transcript:KVG86724 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter RVAPACFYACGNLELGHLKKKIRIIKSTQHLQNSSTHHQMGPNEEILVVVDDNATDTDTNTNTNTNTNTHIHIPSSPSPSSCNQQGSNQWGTLSLAYKSLGVVFGGLVTSPLYVYPSMALKSPTKDDYMGIYSIMFWTLTLIGVVKYACIALKADDQGEGMTRSLHICSTIVIKHMNIGAITSRSVALQSNLSHDDLHQGSKRRSRLGMFIERSLIARRVLFFIAILGMCMLIGDGILTPAISALIGALSALVLIVLFMLQKFGTARVSFLFSPIMGVWTLTTPIIGIYNVIRHYPSIFKAISPHYIFQFFWRNGHEGWLLLNGTILCITGSEALFADLGHFDRPSIQITFLSLIYPSLILTYAGQTAYLIQHPNDHEDGFYKFIPREVYWPMFVISSLAAIVASQSLISATFSVIKQSVVLDYFPRIKIVHTSSSNEGQVYSPETNYALMVFCVAVILIFGDGKEIGNAFGVVIILVMLITTLLLTLVMIVIWRIPAFLVALFSFVFLTIEGLYVSSVFTKIKDGGWIPFAISLILAFIMFGWFYGRQRKMEYELTHKINTERLKSLLSDPGVQRVPGLCFFYTNIQDGFTPVLSHYIKNMRSIHKVTVFTTLRYLLVPKVAPNKRIVVKGLGLKGAYGCLIQYGYADLLSLQGDFVSQVIASLQDHIMQQFTENPPLEEQEMTDLNEAQHAAVVHVRGKTRFYISKKCTWFDRFMLAFYEFMHSNCRSALPTLGVPLQQRIEVGMFYEA >KVG86628 pep supercontig:CcrdV1:scaffold_6057:13289:17674:-1 gene:Ccrd_026137 transcript:KVG86628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGGDGQMNDLIWYSWVGGIIIGSNMVLDEVSRVGPRDVVIIGRYLSFCFFVLFHEHVEFADMTIKELVENLQEDLLTTTTSTVSLESTFSLSGGFESGCRLHPNQLRVLKGEIDLLLKIEEETEEDSMEGEITGNQKQRKSPVTRKEENR >KVG86627 pep supercontig:CcrdV1:scaffold_6057:8173:11597:1 gene:Ccrd_026136 transcript:KVG86627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MTILYTLVARGSVVLAEFSGTHTNASTISRQILEKIPGNNDMNVSYSQDRYIFHVKRTDGLTVLCMADDVAGRRIPFAFLEDIHQRFVRTYGRAVLSAQAYGMNDEFSRVLSQQMEYYSNDPNADRINRLKGEMGQVRSVMIENIDKDCSHIAHPGDYICCLGICLPWAYASHLHISRVWIQLLELPIPIPIPILFLGWLRNTM >KVG86626 pep supercontig:CcrdV1:scaffold_6057:18717:22162:1 gene:Ccrd_026138 transcript:KVG86626 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome f MWSLNIIAQRKWLEGIEGNGKRVLGSSLIQVHKELKETKGGNRGRGHIYPDGSKSNNTVYNATASGPELLVSEGESIKFDQPLASNPNVGGFGHGDA >KVG86563 pep supercontig:CcrdV1:scaffold_6058:18894:21352:-1 gene:Ccrd_026139 transcript:KVG86563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MGTGIDSELVIVRQLLLSYESGKINLQKCGKQEEIGHRSMLSTEWMIGIHRFFNSLGDLVPFTNKISVQGSYLTTEDAYLSVIGGTRIFAGAYGQVKLQQLVFPFKLFYTFYLQGLAADLPAELLVTLWLRHRRWRRRLPQGHREGGHLS >KVH89516 pep supercontig:CcrdV1:scaffold_606:59727:66331:-1 gene:Ccrd_008491 transcript:KVH89516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTKSHRIFKDRAMNRVDDLQGMFMDLQSARKESRKIDMALLEEQVHQMLKEWKKELNEPSPASSLQQLYDEEEDDATSAQVAQEQGFKLLDQCKYLDSPFGMNTTAVHNTGVDAQLDHFSFDLPREFEENCVSRFYGMWGEDGSHPITGFLPNICPPPSAFLGPKCALWDCPRPAQAWCFERAVQWWCNIYCSHLHAAIAQNEGQPGMNPIIRPKGIELKDNLLFSALCAKAQGKNVELFDLSVFEGETIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVINESGWLKRSYYMDPQPMKFLEWHLYEYEISKYDACALYRLELKLVDRKRSTKGKIGDASLVDLQKQMGRLSAEFRLENNKRSVKGRGRASATVYPASSQTAPTTDVVDYGANAGFNYLMEDLGGYYLT >KVH89521 pep supercontig:CcrdV1:scaffold_606:122470:122874:-1 gene:Ccrd_008494 transcript:KVH89521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MQKTLSVPTSTAADGGGTETTNATTGTDSIPVGELVAGNAVMVFGQRGCCMCHVVKLLLLGLGVNPTISAVDEGDVADVIHQLSKISGEDDGEMIEFPVVYVGGKLFGGLERVMATHITGELIPMLKEAKALWL >KVH89519 pep supercontig:CcrdV1:scaffold_606:147281:147886:-1 gene:Ccrd_008496 transcript:KVH89519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEFMGMMSLDFYLHQNPDGAALLDWGRRLRVATGVARGLEYLHEVMSPPILHGSVKPSNILIDVKFSAKICDYGLSFLAPNEKEGLVGYVDEEYWVEPNGVSKESDVYGLGVVLLELLSGRRSDGGLIVKWGLPLIKEMRMGEVLDPRLVAPNDIKPLVRLAKVASACVGNSRRNRPSIGQVVTILNNIQDEAMIWSNGSF >KVH89518 pep supercontig:CcrdV1:scaffold_606:162583:165955:-1 gene:Ccrd_008497 transcript:KVH89518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSRCLCCVFLLLVPFAVCLNQEGRYLQRVKLGFDDPDGFFSDWDVAANNDSPCQWTGVTCSESGNGFVIAVDLSNANVAGPFPSVLCRLTGLKFISLYNNSINGTLSGDISACRSLAHLNLAQNLLTGTIPHSLSELPELKFLDLTGNNFSGNIPASFGLFPKLEELSLVDNLIDGKIPAELGNISTLKQLNLSYNPFSPGQIPPEIGNLTNLEVLWLTGCNLIGPIPDSLGRLSKLVDLDLAINQLTGRVPSSLTGLSNVVQIELYNNSLTGELPAVGWSKMTSLRLLDISMNLFTGSVPDELCSLQLESLHLYENEFGGKFPEIISNSSNLNDLKLFGNRFSGSLPKDLGKNSPLTWVDVSNNLFSGEIPATLCENGVLEELLMIHNSFSGEIPASFGKCRSLKRVRLGFNKISGDVPAGFWALPEVSLLELAENSFTGVIGKTIAGAGNLSTLNIANNKFSGELPDEIGFLDNLIEFSGSNNRFSGSLPATIVNLEQLTKLDLHNNGFSGGLPAGIDSLKKLNELNLATNQFSGDIPDKIGQLSVLNYLDLSGNRFSGKIPVGLQNLRLNQLNLSSNSLSGDIPPVYAKKIYINSFLGNPGLCGDIEGLCDGKNVTRNMGYIWLLRSIFVLAGVVFIFGVGWFYFRYKNFENSKQTIDKSKWTLMSFHKLSFSEYEILGALDEDNVIGSGSSGKVYKVVLSNGDAVAVKKLWGGSKKGEDGDLENGSVSVSVRDNGFEAEVETLGKIRHKNIVRLWCCCSTKICKLLVYEYMPNGSLGDLLHSSKSGLLDWPTRYKITVDAAEGLAYLHHDCVPAIVHRDVKSNNILLDGDFGARVADFGLAKVVDGNDKGGKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKLPVDPEFGEKDLVKWVCTTLDQKGLDVVLDPKLDSCFKEEICKVLNVGLLCTSPLPINRPSMRRVVKMLQEIGSVNPMKFGSKDGKLTPYYYDDASDHGSVA >KVH89513 pep supercontig:CcrdV1:scaffold_606:36427:43543:1 gene:Ccrd_008489 transcript:KVH89513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MNTKLNSFLFLHPLVIMILSLQYSYAHMNNTISAVFVFGDSTNLPPYLDPSLTIEDLMSGVSFASAGAGFDDLTSQISSALTQSQQLDLFKEYKAKLEVAIGKERTEDLVGRAGYLVSSGTNDFTFNYYGPLRIRQSSYPTISEYQKYQWQLIEQFLQELMDLGAKKIGVVGIPPMGCLPAIITLNAKKPITGRKCIESYNSLSRNVNGMLQNNLKSLQRPGTKIMYADIYNPIIDMVQQKAKYGFEQVHKGCCGTGLIEADFGCNPSSPLCDDVLKYVFWDAFHPTEKGYHIIFNSVKSVIQMYLE >KVH89514 pep supercontig:CcrdV1:scaffold_606:103655:108843:1 gene:Ccrd_008493 transcript:KVH89514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor MCGLIDLNTVNDELSTSLGADSQSSPSSSSNGSGSGSGTADLLSSSPSKPVVSGSTPVVCCLELWHACAGPLISLPKKGNAVVYCPQGHLEQLQFAGDCLTSGDFNLPPHVFCRVSDVKLHAESGTDDVYAQVSLIPDPQLEQKWRKGGRGVEYVEDDNGVAEKSTTLHMFCKSLTASDTSTHGGFSDYKQQRPSQELVAKDLHGTEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVCGDAVLFLRGDDGVLRLGIRRASQVKVASSLPAFCSQQLNDFATVVNSISRRSVFSVYYNPRHLSFAFDFAVQTGGSSEFIVPYNRFLKSLANSFSPGMRFKMRFETEDAGDRRWDCVEVTMQNRVSPWEIERCGLVSEVGSFVTPLTKRSRTGFPSLKSDFPVLKGPYSTYFYQILFILQENRGMTMIFSAFFTDRTTSDFGESMRFHKVLQGQEIYGFYRSSEINDVRNVGFGESLRFNRVLQGQEIMSNTQFGERIPSSGNLWTHPSTFLHPLSSSSSPSSVLRFPQSISQGPNDYPAHYTDNLKPLNRQIHQDFFSPLKGRQEEPVSTVRNSCRLFGFSLTEGSNGTKASHCPNGDDRVIPKGPIVNTKVGGSCTNGRLEMCL >KVH89517 pep supercontig:CcrdV1:scaffold_606:54743:56373:1 gene:Ccrd_008490 transcript:KVH89517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MGSYVDDNYDYLFKVVLIGDSGVGKSNLLSRFSKNEFSLDSKSTIGVEFATRSISVDDKIIKGQIWDTAGQERYRAITSAYYRGTVGALIVYDITRKVTFENVERWLKELREHTDQNIVIMLVGNKADLHHLRAVQIEEAKAFSEKENVFFMETSALEALNVENAFTQVLTQIYRAMSRKALGISNDPSPVPKGQTINIGGKDDVSAVKKAGCCSK >KVH89512 pep supercontig:CcrdV1:scaffold_606:463:6380:1 gene:Ccrd_008488 transcript:KVH89512 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase domain-containing protein MGSAQKLRVVASEYNVTCILVFGDSSVDPGYKNIIKAYLDRDLKEEDLLHGVSFASGGSGYDDFTANITNVISLGKQLEYFKEYKVRIEKVAGKEGGHKIVEDALFILSMGFTETEKGCCGSGTTEFGMTLKGLNTCQDHSKYIYWDAVHFTENMYYIIADEAVKSIITSL >KVH89515 pep supercontig:CcrdV1:scaffold_606:71375:75237:-1 gene:Ccrd_008492 transcript:KVH89515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Optic atrophy 3-like protein MIQSCEEFDQPIPDRIRIIVKKTLLPSVESPWNVNTSFHFNQANHRTVGVRLDRPKLLDRDKIGVMSLPFMKLGTLALRTLSKPIAVRLKKEAAINPNFRTAIMAVAQANHRFTTTVQRRIYGRDTDVKIRPLDEERAVQVAGDLIGELFVFTIMRQRDENLAKQMELLELKLVELEKTTKAQALVDSQTKEVKRANSSS >KVH89520 pep supercontig:CcrdV1:scaffold_606:126567:133347:-1 gene:Ccrd_008495 transcript:KVH89520 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTWCNDESSSLQTLSTSNSTEKTIFQSRNLKNKFTTSCPSCGHTIQLQATGLLQDLPGLPAGVKFDPTDQEILEHLKAKVGCGTNQLHPLIDDFIPTIEGETGICYTHPEKLPGVSNDGQIRHFFHRPSKAYTTGTRKRRKVHMDAERGETRWHKTGKTRPVLISGSIEGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEEEKDGEIVLSKVFYQTQPRNCASGIKDSSSIDEKTDRNPKIRDTGFVQNYSVDCPNFISYDIDHRRQINREIPTRVIPSFALPDDPSSFIRLPTGSNKES >KVI09965 pep supercontig:CcrdV1:scaffold_6063:10424:13345:-1 gene:Ccrd_011642 transcript:KVI09965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MFSNSLTHLNISDCGLTGKIPSGISLLSKLVSLDLTWNDLKLEPHLFYNLLHNSISLEELLLNDVSISSILPTYLDISSSMKSLHLGFTGLQGKLPDNIFNLPYLEELILSFNINITGQLPKVNSNTSIPLKWLDLAKTNLSGEILDSISHLKSLIHLDLSGTILSSKEILDSIGHLKSLTYLCLSDYSLMSPLPKSLVNLRHLTSLYLSHNKLNGTLPSWLFTIPSLEAITLSNNMFSGSLPTELFNHQSLKRLSLRNNHFDGMIDVLDQGSIQQTFQQLLNLTYLDLSHNKFRGVWELDTLLSSLRTLEYLFLSYSGLSVITNNXXRYVNPNVQGMWLASCKIKVFPESLRAMRKLQVLDLSRNEIDGHIRELGGNELLYLDLSHNIITXPFPPSIWNMDNLRYFESVQ >KVI09966 pep supercontig:CcrdV1:scaffold_6063:22745:25402:-1 gene:Ccrd_011643 transcript:KVI09966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLSNSLTHLNISYCGLTGQIPSEISLLPKLVSLDLSLNFYLKLEPHVFYNLLHNSTSLEELLLDEVNFSSILPTYLDISSSLKSLHLRDTRLHGKLPDNIFNLPYLEELSLGSNINITGQLPKVYTNTNIPLKLLDLSYTNLSGQIXDSIGHLKSLTYLDLSGINLSGXIPDLIYHLKSLNTLMIGDCSLMGPLPKSLLNLRHLSTLDLSYNKLNGTLPSWLFTLPSLETIALSNNMXKGSLPTXLFNHQSLKRLSLDANQFDGIIDVLDQGSIHQTFLQLPNLTLLDLSRNKFSGVWELDTLLSRLGTLEYLYLSYSGLSVVPNNASRYVNPNFKALELASCKIKVFPESLRAMRKLQSLDLSRNEIBGHIKELGGNELXYLDLSHNIITGPFPPSIWNMDNLRYLNLSNNRFSGVIKPEDMNFSPVVIDMGNNNFSGTIPYMCGGELMGLILNGNQFEGKVPNCFSKCLELEVLDLGNNRLTGAFPEQLGRLRYLKVLVLRSNKFRGPIERSSSMIEHPFPSLRILDLSQNEFGGHLPRKYFEYFDAMKNVVKDGEXAYLXIYYXNXFYSIVIVVKGQQLSFEKISNDYTIVDLSANKFEGEIPNEICTLNSLIVLNLSNNHLNGQIPQTIDNICIK >KVG86437 pep supercontig:CcrdV1:scaffold_6065:18692:22555:-1 gene:Ccrd_026140 transcript:KVG86437 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein LETTSTITVRWDSHNPSPPPPSSPFKQTTSECICILPLTTTMVNASHPDNECGYGVAGSNRSACSSLTLGRGKPPSLAGSAHFFRKSTAEMEEEDGFYQKLNKLNESSGFSLLFNFRDSSMDLHQFYKIVTERGGYLQVTKDGKWEDVAFSLNQRNRVPLAPNQFQKLYATFLYSFEQMYHYRSPVKRSRDMESKKKFLSGSTGKRKIDDDELYLCDREIPFKKIECDLGSRELVMETPVKVKEARKDPRAPLGSRNCYQMFLKIECERLKRIHGETPSALLRDMVVEPYIEASKKDKERFTREMAEYEENKRNQRNQPQMTTQKLVHFSSPTLIDFTKTPPRKAVDGSHTIACHDAGNQIVVDFTEPCSVETDGDYHVALQANDGQGIAQVTNEPMVQMAAGLMKKNA >KVI00024 pep supercontig:CcrdV1:scaffold_6067:24095:25912:-1 gene:Ccrd_021734 transcript:KVI00024 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MADTHADGMSSDTRRKGPGVGKRTVASFEAATSETGSIPNPPCGACKFLRRKCVNGCIFAPYFGSDQGAARFAAVHKVFGASNVSKLLMHIPVNRRQHAVVTISYEAQARLSDPVAALQTELTMVQNQLINNRLLVANSLQSSQQQLEPVHHLSLLRPAYSNASSASNNNILNMNSFDSSLNNLADDHHRDTLSQQSFNPLQLCQPCNDDEDDDEEESGDPLAFANQMLQSR >KVI00027 pep supercontig:CcrdV1:scaffold_6067:4484:11271:1 gene:Ccrd_021731 transcript:KVI00027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MLQPETSLKFVVRRRAPELIAPAAPTPRELNPLSDLDHQPELRCQLHGLQFYRSHPKMANKNPATVIRDALAKVLVYYYPFAGRLREAPTQKLMVDCTGEGVIQFLTAFGEMAQGASSPSVLPVWQRELLFASEPPRATFAHHEHDVEEKTKAINDIGTKDLIQKSFFFGPNEVSTLRRRFVPEHLQRCSTFEVIAACVWRCRTIALQFDPNEEMRFLFPFNARDKLNPRLPVGYYGNAFILPSVVSTAGDLSIKPLSHVLELVTKAKALVSEGYVRSTIDLMAIKGRPLLTVPRSYILSNVARLGFSEIDFGWGKAAYGGPATGGIDAIPGLFNFYMHWTNQKGESGVLNFTFHNLTS >KVI00025 pep supercontig:CcrdV1:scaffold_6067:21284:24614:1 gene:Ccrd_021733 transcript:KVI00025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-SET domain-containing protein MLKPMNYNRKKPSRIEQLSDVAAYVLPYLLPAELSAVALTCKTLHLFVKSVTAVRSSDACRNYEKLPVPFVNSTVDNHRYAYFIYSPTQVLSLPDDPRRQPWGLCFDGRPDLNLVLPPTVEDGGKCECERCDGDTVACPCSRLKLPGLKWECGSCCTCGLECGNRVCQRGLSVRLKVVRSRRKGWGLHADQFIRGGDFICEYAGELLTTKEARRRQLIYDKLASTGKHTSALLVVREHLPSGNACMRINIDATRIGNVARFINHSCDGGNLLTVLVRSSGALLPRVCFLASRDISIDEELTFSYGDTGLNPNGSQCFCGSSCCSGIMPSEHT >KVI00026 pep supercontig:CcrdV1:scaffold_6067:11139:19393:-1 gene:Ccrd_021732 transcript:KVI00026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 194 MATRFTLTSTSTLLLVCNLYGFFWVSFCSDHGPPVLKGIDVQNPVIDVTPAPIHGSQVSKDVVFCGRVPVHGISRMKLQSYAKAYRIMLVPSVVIPDKWHNKIQICFHRNASLGLCQCEKDDWRSIQKGFWSSVMSPYEQRFVDVKFVGGVSVSQGWRYILLAFGFTLLFLAPVVSQWVPFYYTSSMAIGVLAVVIILLYQGMRLLPTGRKSAFYLSIYTSAIGAGSFLVSVFVVLAIVLLGAGLGYWLVRRYVISEDGDVDVGVAQFIKWAMRVVAVACIFLSSIDTPLATVAVGSCLALYWAITSIEAPSLSKKPKLWQRGGQRTPKIGRAEFLSRPKKTTPLRNPLNGPRNSFAWSDSPVKGMANEWAENESTGSQHEFYSTFHKTPNRKRFSKKEWEEFTEESTRESVAELASSPEFTDWVIKNANRIKLLPDNGSDDDASGSDSTDGYLVENSDRGRLFNWRWRS >KVG86055 pep supercontig:CcrdV1:scaffold_6069:427:720:1 gene:Ccrd_026141 transcript:KVG86055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGIHKFSKIVYAKLGMPRYYSSSAVHDVPKGYCSVYVGESSMKRFVIPLTYLNHPSFQTLLNLAEEEFGYAHRMGGLTFPCKEETFIKLASDIDLTS >KVG86056 pep supercontig:CcrdV1:scaffold_6069:26608:26916:-1 gene:Ccrd_026142 transcript:KVG86056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MFSCRFLRILHAKHVFERILFTSEVSNIRKGNFAIYVGERKRRRFVVPISYLEHPLFQKLLYEAEKEFGFVHPMGRIVIPCRVETFINLVGILNCSGEARRQ >KVI09305 pep supercontig:CcrdV1:scaffold_607:121043:125303:1 gene:Ccrd_012319 transcript:KVI09305 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAASTARVDLDGNAIKPITICMIGAGGFIGSHLCEKLMSETSHTVFALDVYNDKIKHLLEPDSLPWAGRIHFHRINIKNDSRLEGLIKCSDLTLNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSYFPKDSPLRQDPAYYILKEDTSPCIFGSVEKQRWSYACAKQLMERLIYAENAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPDNEVTVRQLAEMMTQVYSKVSGQDSIKTPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLWELLESTLRYQHKTYAEAIKQTIGKTVAS >KVI09299 pep supercontig:CcrdV1:scaffold_607:21461:46392:-1 gene:Ccrd_012313 transcript:KVI09299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2451, C-terminal MVSWLLCSWSSPETMIGNFTGDDDRRKGTCKRVSGGWHTSQGQSVCHLYQQCLSVLKPNFDLTFFHGSASHSPSICRSLYKWAFNFISCLISDLRFEMQPSSFSVPPLALIPLALNHGNLSPEGFEIYRFLFLGSLLLSPGGGSCDNGGGMDLSKVGEKFLSSVRSARSLGFLPSTSDRTEVPARAAAAATIARALASIPPHHRQNISSSSEQLSSIYGSEHRDQVLEELEEEFYEEEFDPVRHALENIPSEENDHAYFERKASLRLLQLDKVTESLSRQVMEHHETVMKRERNGFGRRAGERLEDCKCHLHGKPVMAFVFVCLSLILSNHKPKNGRRHLTSSRNEVSRDLIVNTSSRRKQTLLEVLLVLKELRRAKHMQIELETHVNEDNFFKPIFVVDLRDYPSCYDISIQDCGFMLDWFLVQAFQVLSEYLQLLDSLSELSAIQEMSRGVEIWLGKALQKLDSLLLGVCQDFKETSFLTVVDAYALIGDVSGLAEKIQSFFMQESLRAFDLCTRIPESKYRQCLLETLAVLFKLMCSYYTIMSFHSEYKVPMGQVSHEVQKQADETGSSKNVQQAGSLSQYSCSSEVDVGFPSQSFQREPNLASMEEPLTSAIIFSDESGTGSFIPHDPSGSESRDDGGGASSSGSPWFQLRNDATVFVSETLQRGRNYLWQLATSRVSVLLSSAAVSSASIHQFLKIYEDLNIFILAGEAFCGAEAVEFRQKVKAFCENYYIAFHRQNIHALKMVLERESWSMLSPETIQVVSFAGLVGDGAALISQTATSSTRNSHKSADLMKTGPKQGVFFHWIRSGNPFCSRYDSKCDGSPLHGVSAVSEEPNGKINGASHRNNSSHNSDANHSNGHADASEDENEDLLADFIDEDSQLPTRNFKPKHSSNHSLPWSSEDTRAHTGSSICVLRLMDKYARLMQRLEIINVELFKGICQLFGIFFYFIFESFGHQNTNPSGKGNNEALTYRLKTALSRIFQDCSQWITSSSSSDNFFPHAPLNMPFSHMDITPTSPPSANSSHIPGTSFGLKERCAGADTISLVAHLMYKFKAHLQSILLTNNATVLEEFYLHVVDSVPDLTEQIHRTTAKYADRIASAKWEVKELGMEHNGYVDLLLGEFKHYKTRLAHGGIRTEVLINGLQHFVTINVKPKLYAVETFIKAYYLPETEYVHWARAHPEYTKSQMAGLINLVATMKGWKRKTRLEVLEKIESGGFFPVKGLLNCDFKISVNDVQNGL >KVI09294 pep supercontig:CcrdV1:scaffold_607:133347:135323:1 gene:Ccrd_012320 transcript:KVI09294 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase MGTEAMLTNGSTAAGSTFKLVGFKNFTRVNPMSDKFSVKRFHHVEFWCSDATNTARRFSWGLGMPIVLKSDLSTGNATHASYLLRSGQLNFLFTAPYSPSISTNTSTSTASIPTFSHTDCRNFTASHGLAVRSIAIEVEDAEIAFSVSVSHGAKPSTSPITLGNNDAVLSEVKLYGDVVLRYISYKNPNYDALSSFLPGFEPVEKTSTFLDLDYGIRRLDHAVGNVPELAPAVDYVKSFTGFHEFAEFTAEDVGTSESGLNSVVLACNSEMVLLPMNEPVYGTKRKSQIQTYLEHNEGAGVQHLALASEDIFRSLREMRKRSGVGGFEFMPSPPPTYYRNLKKRAGDVLSDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTIFIEIIQRVGCMMKDDDGKVQQKAGCGGFGKGNFSELFKSIEEYEKTLESRSSSTT >KVI09302 pep supercontig:CcrdV1:scaffold_607:72772:79029:-1 gene:Ccrd_012316 transcript:KVI09302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MALTTPISSSSQLFQLPVVHPPSPSPHIPFFIRSKCQVIALRNTGDSVFPVPLLKVLSTGRFNCCTKSTQERAADTLTSDNDDDSREETHRSSDDTEKVEDGGSRRNVSSMSDALNLGIREPIYEVVEVSSSGMVSTRKINRRHLLKSSGLRPRDIRSVDPALWLTNTMPSLLVRENAILLNLGSLRAIAMQESVFIFNYNRRAGKAFIDALLPRLNPKSVHGGPVMPFELEVVEAALHSRIQRFEDKLMDLDPRVQALLEVLPNRLTAGILEQLRISKQTLVELGSKAGALKQMLFDILEDSHEIRRLCIVGRNCILSRNDDLECSVPHEKQIAEEEEEEIEMLLENYLQRCESCHNQAERLLESAREMEDSISVNLSSRRLEVSRFELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTAGGIIFGAVVAFFLMYSYLRARKIL >KVI09296 pep supercontig:CcrdV1:scaffold_607:206326:206857:-1 gene:Ccrd_012326 transcript:KVI09296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3128 MSVENEEVVVLPRRKLSCTTSFDALWFCYSPVHQMQQYYRLGSLDNCSGKWNALVDCLKLKTKRSHEVEEILESREKDKSHIWCFRTPEEAASNW >KVI09304 pep supercontig:CcrdV1:scaffold_607:57346:62582:1 gene:Ccrd_012314 transcript:KVI09304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MPVSFLARNPVTLTLAGAVVFVLLQAAVVLCGFPATLTLERAFPVNHRIELSQLRDRDSLRHRRILQQQSPPGGVVDFPVEGTYDPYRVGLYYTKVQLGSPPKEYYVQIDTGSDVLWVSCSSCNGCPTSSGLQIPIEFYDPSSSSTASLISCSDERCSLASQSSDSACSHSNNQCSYKFQYGDGSGTSGYYVSDLMHLNTIGVDPGATNSSANVVFGCSTSQTGDLTKSDRAVDGIFGFGQQGLSIIAQLSSQGIAPDSFSHCLVGSDSGGGILVIGQIVEPNMVYTPLVESQPHYNINLQSISVNGQTLAIDPSMFAISDNQGGTIIDSGTTLAYLAEEAYNPFVEAPLISKGNRCYLITSSVSNIFPTVSLNFAGGASMILKPQDYLLQQNSVGGAEVWCIGFQTIQNQGITILGDLVLKDKIVVYDLGRQRIGWVNYDCSSSVNVSSTSSGGRSEVVNAGQIGGSSSLQISRYKLIPIFILAVIFSL >KVI09303 pep supercontig:CcrdV1:scaffold_607:66564:72353:1 gene:Ccrd_012315 transcript:KVI09303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MIGVRQVITHPRTKGQRITGVEVIMLFVLVINLSILDILFRASLVGVIFPPFGSLGTLRNLYVALKVQKSAQHYTEAAMDEITILKQIAEGDPDDQKSVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHKVKEICFHVLGGLDYLHRQLSIIHTDLKPENILLLSMIDPERDRTKTGAPLILSSSKDHILAESGASKDVKISNGDLTKNQKKKIRKKAKKAAQNGSGKEDFEEVEANNETTGADCCPNEKSNGVSFEGHAASSVVKDDSNNRSEARDSRQGNQLRGRGSRSTRQKLLAEVDVKCKLVDFGNACWTYKQFTGDIQTRQYRCPEVLLGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRHGDLRHIRRLRFWPLHKVLKEKYEFSEQDATELADFLVPILDFVPEKRPTAAQCLSHPWFTGGPQGLSPNANSTPQANDNKTSEKTKEKDEREAMEAGVGNIAIGGVSKPASKQAC >KVI09306 pep supercontig:CcrdV1:scaffold_607:88351:91858:-1 gene:Ccrd_012318 transcript:KVI09306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MASILRFRKLCYIEPTLRSCEPFDEKPKVDSKEEKSETIEEQVCDKNKRQARGGWRCLDSCFWFIGCMVTTWWLLLFLHHCLPANLAFKGPESPGVRLKKEGLTPLHPVVLVPGIVTGGLELWEGKPCSEGLFRKRLWGGSFTEILKRPLCWLEHLSLDNETGLDPPGIRLRPVPGLVAADYFAPGYFVWAVLIENLARIGYEGKNMYMAAYDWRLSFQNTEVRDQSLSRLKINIELMYVTNGNKKVVVVPHSMGVIYFLHFLNWVEAPPPMGGGGGPGWCAKHIKAIMNIGPAFLGVPKAVSGMLSAEGKDVAFIRAVAPGLLDSEILGLQTLEHMMRVSRTWDSVVSLLPKGGDMIWGDLDSSPEXGHKVLNSSATCGEVWTEYGKISRKSIQGLADNKAYTAGTLIDLLRYVAPKMMQRAEVHFSHGLAADLEDPKYTHYKYWSNPLETKLPDAPDMEIYCLYGVGIPTERSYVYKLSPSDKCNSIPFRIDSSAGGKGDRGCLRGGVYFVDGDESIPVLSAGFMCAKGWRGKTRFNPSGSATYIREYRHKPPASLLEGRGAESGAHVDIMGNVALIEDILRVAAGASGAEVGGDRIYSDILKMSDRVNIKL >KVI09293 pep supercontig:CcrdV1:scaffold_607:160057:178217:1 gene:Ccrd_012323 transcript:KVI09293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MALSGMRGLSVFISEIRNCQNKEQERLRVDKELGNIRTRFKNEKVRFHLLRLSVSYHARADADWEDILKTPREKVNSPVGWCAYGELTTSTKEKRWVATTTGKVDSKCMVVLAATVVSNQNNIPFFFQPFAISRGDVAKNKMNGSIEGVQGLEYNLTSGYGVKIVDVIVHLYEVTYHDEDKEHALKKNGWISVFYSVDHCHPELEGLTPYDKKKYVWKMLYIFMLGYDVDFGHMEAVSLISAPKYPEKQVGYLVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLFRKNPDVVNVDGWSDRMTQLLDERNLGVLTSSMSLFVALVSNNHEAYWSCLPKCVKVLERLARNHDVPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNTRRSLFEVLQRVLMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLGTADFTMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAALKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCTPKEIFGIIHEKLPTVSTPTISILLSTYAKILMHSQPPDPELQNQIWAIFSKYESCIDAEIQQRAVEYLTLSRKGAALMDILAEMPKFPERESSLIKKAEDTEADTAELSAIKLRAQQQASTALVVTDQRPANESPQVSQLAMVKIPSMNNMENNSVDQELTQANGILGEVEPQPPTHAPDADILGDLLSPLAIEGPPGVSAKSEHNIVSGIEGPLSEDDALALAPVGEQDNVVKPIGDVAERFHALCLKDSGVLYEDPYVQIGIKAEWRAHQGSFVLFLGNKTTSSLVSVQAVILPPSHLKLVLSSVPEIIPPRAQVQCPLEVENIRPSRDVAVLDFSYKFGTNMVNNKLRLPAVLNKFFQPTPVSAEEFFPQWRLLAGPPLKLQEVVRGVRPMSLGEMANLLNSLRLVVCPGLDPNASNLVATTTFYSESTRALLCLVRIETDPADRSQLRMTVASRDPTLTFELKEFIKEHLVSIPTASRPPPQPQIAASDPGALLAGLL >KVI09295 pep supercontig:CcrdV1:scaffold_607:143391:146862:1 gene:Ccrd_012321 transcript:KVI09295 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MPSDITIVVDGVNFHLHKFPLLSRCGKIEKLIKQTQNNDKGTCTISLEEIPGGTNAFLVAAKFCYGVPVELTPRNTVMVYCLADYLEMIEEYGDDNLFSKVESYFHKAVLKNWKDCMVVLRSCETVVTRANNLHIISKCLNAISTMVCTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIKSSESDWWFEDVSHLSVDLFQRLIKIMKSKGILPEKLTGAIMYYSGKCVHGLGRWQGGRITKTRTNVSFGMKTDIVDQRILLESIVELLPETKGKSVCRFLLGLLRVALILGVSDKCRESLERRIGIQLEHATLDGLMIPSYSDSDTLYNTDCVERMISCFLTSELMGGMLSSSSFDLNTSPLAIPLRNVSKLVDGYMAEIASDVNLKPEKLYSLAEALPGSSRPLDDGLYRALDIYFEAHPWLPEKEKERLCNIIDCQKLSVDACAHATQNKRFPLRVVLQVLFVEQMHMKAALAAIAPQGSTDTGAGSNMALMRENQVLRVGMERMMVRVGELEEEFNKMRQEMVRMSQTHSSFGSPRFLAKTLGVCKLLARVSDAHRGVESNGSATPRPSTDRLRTSDHSEHPLKNV >KVI09292 pep supercontig:CcrdV1:scaffold_607:149704:154410:1 gene:Ccrd_012322 transcript:KVI09292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3411 NHSLSNRLLWANFPPSTRNFWSDHTPFINFCKTAIVLETFLVTSKAMAISSQGFVVSRIRVSSSISESPKQSIYLKSLENRVCLFSQNPISRNPNSDRSLHVVKLKSAKSDNLGKTVTVTEVFDLKLDRGSGGGDDGDGKFPPGNGGGGGGDGGGGDEDDQDEKEFGPLMKFEEVMKVAETQGVNLPSDMLEAAKATGIRKLILTRYLDLQGSGWVLGFLMKYCAMLRNRMLADPSFLFKVGTEIVIDSLCATFAEVQKRGKDFWAEFELYAADLLVGIVIDIALVGMLAPYARIGKRSIASGGLFSGLKNSVAALPSSVFEFERPGCRFSAQQRLATYFYKGLLYGSVGFGCGLIGQGIANAIMNAKRAVLWGVFLAVSSNTRYQVINGLESLVEASPLVKQVPVVAMAFTIGVRFANNIYGGMQFVDWARWSGVQ >KVI09297 pep supercontig:CcrdV1:scaffold_607:178920:183745:-1 gene:Ccrd_012324 transcript:KVI09297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVSGKNLIPESFPGASVDLAAQIGLLWDLIKAPLIVPLLRLAVYVCLAMTVMLFLERLYMGIVIVLVKLFWKKPDKRYNWEPIRDDLEIGNSAFPLVLIQIPMFNEKEVYKISIGAACNLSWPSDRLVIQVLDDSTDFVIKDMIEKECQRWASKGVNIRYQIRENRGGYKAGALKEGLKHDYVKDCEYVTIFDADFRPEPDFLRRAIPFLEFNPQIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTGGVWRIAAINEAGGWKDRTTVEDMDLAVRAGLKGWKFLYLGDLQVKSELPSTFKAFRYQQHRWSCGPANLFRKMVMEIVRNKKVTLWKKLYVIYSFFFVRKIIAHMVTFFFFCVVLPLTILVPEVEVPIWGAIYIPCIITTLNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLDAKRSNEWVVTEKLGDALKNNKSNAKAAPRKFKFNIGDRIHLTELGFAAFLFFCGCYDFMYGKHNYFIYIFLQTLTFLIVGFGYVGTIVPSS >KVI09301 pep supercontig:CcrdV1:scaffold_607:80145:87738:1 gene:Ccrd_012317 transcript:KVI09301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSIQSQSVNEEEEEIGIQQPAYHPPAPADELFDISTTVDPSYVISLIRKLLPPTMNAASTSDRVSGCDFASQGTNDVRTNGSLVSQAEDQISENKHDTMDFIDQIDRSNEQEGTDDNSNDREKQVGPAVGDDSWEEHGCILWDLATSRTHAELMVQNLVLEVILATLMISQSPRVTEISLGLIGNLACFEVSRKEIASVNGLVEVIVDQLFVDDTPCLCEEFRLLTLCLQGSEGITWAHVLQPENVLSRILWVVENTLNPQLIEKVASLVIYLFWYFRYDEFPRYPTDIFLKSVGFLLTISESQDEVRTILLPHLVKLGLPIILINLLAFEISKLVGDERLPERYPVLDIILRAIESLTIMDNCSQELCSSKKLLHLLGTLIKLADKIEVATSCVTAAVLIANLLSDTDDLILEINKGKGLLDIFPFASDDIEARNALWDIISRSLSHVQGEISPSNLHQYISILASKSDLIEEELLDHQLAASNKDQENATASGRTLLIRTAALKRINCMVSQWLGLKDRVSPSNLMLEYPVNERDLDRLKDCCKKYSNDFGSSLTIEGVKGSSQNMV >KVI09300 pep supercontig:CcrdV1:scaffold_607:14583:17313:1 gene:Ccrd_012312 transcript:KVI09300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MRKPHFLGLLVISIVSTVLSHFHGGLAMAAPATGTQDGSERWGYTQVRPGAHMFWWYYKSPFRTQNPNKPWPIILWLQGGPGASGVGIGNFEEIGPLDTSLNPRNSTWLRKADLLFVDNPVGTGYSFVENNTLLVNTDDEAARDLTRLLIAIFNRNKTLQKSPLYIVAESYGGKYAVTLGLSALKAIKAGKLKLILGGIVLGDSWISPEDFVASWGPLLKDLSRINNSGLKISNSLVEEIKQHIANGEMMEATKTWSFLERVIIYTSNDVDFYNFLLDTGSIHEPMIATEQFRKFSNKRYSRYLDSLRVSAGAKEGDLHTLMNDVIRKKLGIIPKDVEWTDVSDLVFEYSNVDFMRPRIDEVDILLNAGVNITIYNGQLDLICSTKGTEAWVEKLKWQGLKTFLKMDRSPLYCANDKTTKGFFKSYKNLQFYWILNSGHFVPVDQPCISLDMVGNITQSPVTS >KVI09298 pep supercontig:CcrdV1:scaffold_607:202576:207731:1 gene:Ccrd_012325 transcript:KVI09298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRKVESLGDKDDLRARQIEQVRIKILEHFHSYLFPIMSSHFDPKLSTSRVPAATLNVKGVKLALIVCEQSIVTSRPIQSVGGGLIPSERSNQS >KVG85968 pep supercontig:CcrdV1:scaffold_6079:21613:22953:-1 gene:Ccrd_026144 transcript:KVG85968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MEIEIISKESIKPSSPTPHHLKTFELSLLDQLVVDPYVPIVLYYPNHNGSNVLQALERSLALKKSLSKTLTQFYPLAGTIKNGLSIDCNDVGACYAIALVRCGLNELLSHPDHQLLNGLLPFQPSFEGSSVGARVTNVQVNIFECGGIAIGLCISHRIVDGAALRTFLEGWTNMACGAKEVVYPNLAAPSLFPAKDSWLRDSSMAMCGSWLKEGKCVTKRFVFDSDSIARLKAEATKNGVKNPTRVEVVSALIWKSAMAASKQITGFQKPSRLTHLVNLRRKLSATLSKDSIGNVIWPATAKFQANYETTLHGLVNKVRESISKIDIEFVNKAQGEKGCVAMQESIKEMGEISSKGTMDNYTFTSWCKMGFYQMDFGWGVPSWVSGIIGHGSPVFINQVTLMDTVFGEGIEAWVNLDEEEMEILQGNSELQAYASMDPSPLPKLTE >KVG85969 pep supercontig:CcrdV1:scaffold_6079:4102:20466:1 gene:Ccrd_026143 transcript:KVG85969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEIISTENIKPSSPTPPHLKTFKLSLLDQLVSNPYVPLVLYYCNDNGDNVLQAHEKSLALKESLSKTLTQFYPLAGTIKDDLSIDCNDVGAYYASALVRCRLDEFLSHPDNNSTNTLLPFAPSFDVSGARARVTNVQVNVFECGGIAIGLCLSHKIVDGATLXTFLKXWSNMXXGAKEVVHPNLTAPSLFPAKDLWLREASMAVCGSWLKEGKCSTKRFVFDADXISTLKAEAARNGVQNPTRVEVVSALIWKSAMAASKXTCGFQKXSRLMHSVNLRKKLASTXSKXLIGNVLWIATADXQANBDXTLHGLAKNVRESVXKVDDEFVXKAQGDKGYIAMQQSVKEMGEIGSKGTMDNYLFTSWCRMGFYDIDFGWGKPGWVXGIVSHGSPVFMNLITLMDTKDGEGIEAWVNLDEEEMEILQCNSEPAPEFEAMEIEIISKESIKPSSPTPHHLKTFKLSLLDQLIINPYVPIVLYYPNHNGNNILQALERSLALKKSLSETLTQFYPLAGTIKNDLSIDCNDVGACYAIALVRCGLNELLSHPDHQLLNGLLPFQPSFEGSGAGARVTNVQVNIFECGGIAIGLCISHRIVDGAALRTFLDGWTNMACGAKEVVYPNLSAPSLFPAKDSWLRDSSMAMCGSWLKEGKCVTKRFVFDSDSIARLKAEATTNGVKSPTRVEVVSALIWKSAMEASKQTCGFQKPSRLAHLVNLRRKLASTLSKDSIGNVIWPATAKCQADYEPTLHGLVNKVRESISKIDSEFVTKAQGEKGYVAMQESIKEMGEISSRGTMDNYTFTSWCKMGFNQIDFGWGEPSWVTGIIGHGSPMFVNQVTLMDTKCGEGIEAFVNLDEEEMEIVQGNTKLQAYASVDPCPMPKIIDGAALCSFLKGWTNMACGAKEVVLKAEATRNGVKNPTRVAVVSALSL >KVI04875 pep supercontig:CcrdV1:scaffold_608:68004:70236:1 gene:Ccrd_016789 transcript:KVI04875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35A HISNIHHDCNIRVSSLLLQRRRRQVFGGEAKMVKGRQGERVRLYTRGTVLGYKRSKSNQYPNTSLVQIEGVNTKEEVAWYQGKRMAYIYKAKVKRNGSHYRCIWGKVTRPHGNTGIVRAKFKSNLPPKSMLVAERRKGRYDCWLVFKGLFLL >KVI04879 pep supercontig:CcrdV1:scaffold_608:101609:103850:-1 gene:Ccrd_016793 transcript:KVI04879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYRHGTETEHSASGEESDNGSEFRMESVMYVSSAAATIFIVGLLVAGVLLLALLIALIVMLQSCQTRNSGALEMSKSIDQSYDYCRTATLHAELNSFELYSLPEFCTDLAVHYIKDGRYTSDLSYMVSIVEDYFKNVTPVVGGRDVVLMDLDDLLPEPEKQQPMIVDKLIAARFEGWSKLIMRSDEEMKIDTRKYFFKQKAIMQAKGYHIRAVISSRMDALVGPFIGTRVFKLPNPSTQPLSMIDTQEAER >KVI04884 pep supercontig:CcrdV1:scaffold_608:141534:152747:-1 gene:Ccrd_016796 transcript:KVI04884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 MSFSATMTTSASFRGNFIPLSHCSSCSDLRFQPFISSSINHGRRRNSYPLKCVSGLVVRGNRVIKCRASETETGGGDDNDKEREVHEDVEIAESTNPSGPVIDKGKDKGQLSQLEALNKFLDGTKAEGLSEDKGQPTQLEMLNKLLNKDGADAKAKEGIEDKSQLGQLETLNKLLNSDDAESKGKGLSEDADNIPVTSGSPLPGVKPQQLDDLIMIPKETVDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGQAAVCYRKIEKRLQETFGDQYKLFLLINPEDDKPVAVVVPRKTLQPETTAVPEWFAAGSFGLVSIFTLLLRNVPALQSNLLSIFDTPDLLKDGLSGALVTALALGVHEISHILVARSAGVKLGVPFFVPSWQDLLKIAAAGPLAGFSLGLVLLLLGFYLPPADGIGVIVDASVFHESLLAGGIAKLLLGDVLKEGATISINPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKAASRFTAASIVLLGLSSLLDDVAFYWVVLIFFLQRGPIAPLAEEISEPDDKYVALGIIVLVLSLLVCLPYPFPFTSEAITSL >KVI04877 pep supercontig:CcrdV1:scaffold_608:181099:185989:1 gene:Ccrd_016801 transcript:KVI04877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLLRISFPIKVNEIPKSHFQTNPRNSQSEKQEKKSEMTKTTTTTTNDTTTSAPIKNPKKTNILDHHSIKHLLDETVTEIVTNRGYGEDVRTSNVRLLIGAIIIIIALFAQFYNKKFPDNKNFLIGCILIIYTKEKNAILFTYPPAGSVYTSTGLMVSSKLPRFSDMYTLTIASTDSKSISAKPTVEFTKSVTKWFTKDGVLVEGLFWKDVEGLVNEYANATDNKKRK >KVI04885 pep supercontig:CcrdV1:scaffold_608:119942:126806:-1 gene:Ccrd_016795 transcript:KVI04885 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADC synthase MMQSLAVSLRSSPSFHRFSPPLDPQGTAISGRSRTSPTSLSFRIRSPLRCVLQSPSLVADKAEFLEASKKGNLVPLHRCLFADHLTPVLAYRCLVNEDDREVPSFLFESVEPGFLSSQVGRYSVVGSEPSIEVMAKENNVTIVDHTKGSLIEKVIDDPLEIPKGLSDGWKPQLLDGLPDTFCGGWVGYFSYDTVRYVEKKKLLFIDAPVDDRNLPDLHLGLYEDVIVFDHVQKKAYVIHWVRLDQYSSIEEAYEDGIKRLEILVSRVHDVDAPRLSPGSVELSTHQFGTPLDQSSMTSEEYMAAVMQAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRNMVVNRPLAGTVRRGRTADEDKELEHQLLNDEKQCAEHIMLVDLGRNDVGKVSKSGSVNVEKLMTVERYSHVMHISSTVTGELRDDLTCWDALRSALPVGTVTTRRGPYSGGFGWISFSGEMDIALSLRTMVFPTGARFDTMYLYKEDTKRKQWVAHLQAGAGIVADSVPEDEQQECQNKAAGLARAIDLAESAFCK >KVI04876 pep supercontig:CcrdV1:scaffold_608:195582:201814:1 gene:Ccrd_016802 transcript:KVI04876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSGKRPSFSDREVDDQQQSSDDSSPVSNGTDEVSSTAVSGDYLTRRNGNDIGLAARLTDLFVGDGDRDSDLLMQRNTQEGTVVQWLQALDMQVMGACRADERLKPLLKLNVSSVAEDRLLSHLSQHFEPSEVGLLARCLCIPLVSLRVGKINKQGTLLIPTSARGNLVLSLLPTSDLRISFLADDGYAERLSILRNISDCSSVVIEGIPADSSGRSFAIRVPSRDPFYFWCSEKSRLLGNELLEKMKNLLDRKPSLAELTGISDSRLQRFVDHLRTYLVGSIPLDNNTILVSRTPASNTNAAEVAQNSQSPSLASKPSRGRSCILSPRPSSFKEGPPRNLASLRNVVRDKLRRKSSEKQQLVPSLGTGERTLNNYEKGKLPEPSTTPETCLFPLLSGLEPGKAVDMPSLSAAPQVPPIAASSLFSPYYCWCPPVVSTLQYTVAPPHTSSTAESFTLPPFSALLAGSAPRSSSPIPNLSEIPPFLPLSMPTSQQIPMFTPLMCDPIVHVPVIEFCSSGQAYLVSASPAMSVSIPPLVQESDSEKSARDTLRLLISGSSQFPSVLANTDTGGGSRGFYGGTTDVNVIANSIAAMGLVPPGVMRRCIDQGDLVDLLKEPDKDDSGEGSTE >KVI04887 pep supercontig:CcrdV1:scaffold_608:176860:177126:1 gene:Ccrd_016799 transcript:KVI04887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAANSPTTTTRKEGQFLLLGRYEIGKLLGHGTFSKVYLACNAKTNESVALEVIDKGQILKGCLISHIKREISILRRVRHLNIVQLFEA >KVI04874 pep supercontig:CcrdV1:scaffold_608:57933:67241:1 gene:Ccrd_016788 transcript:KVI04874 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-complex protein Syntaxin-18 N-terminal MAKIRDRTEDFKDAVNRGALSLGFNEAKRAALLASFIMHKPRERSHFTKAALKTLESIGTLEQFLIKHRKDYVDPHRTTAEERDSIEHEVSVFVKACKEQIDILKNSITDEEANSKGWLGIRGDANADTIAHKHGVVLILSERLHSVTSQFDQLRAVRFQDAINRAMPRRKPKRAPEKTSNDVFQSSNSQETREAASSGEIQAEPMKVQQQQLLDDETRALQVELSSLLDAARDTETKMVEMSALNHLMSTHVLQQAQQIEYLYDQAIEATKNVEMGNKELTQAIQRNSSSRTFLLLFLIVLTFSVLFLDWYS >KVI04883 pep supercontig:CcrdV1:scaffold_608:153506:158484:-1 gene:Ccrd_016797 transcript:KVI04883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/epimorphin, conserved site-containing protein MVSAGASTYRDRTSEFRSLSDTLKKFGRISAANPDRTYQHNDPSTSSKLPLTPSSYRSEFNKKASRIGLGIHETSKKIARLANLGKKSSIFDDPLKEIQELTALIKNDITALNVAVSDLQTLQNMEIADGDYSEDRVVHSTAVCDDLKNKLMGATKKFQDVLTARSEVCIHGNIKAHENRRQIFSTNISRGNPLRQQTENVMEPPPWSSPSRSSANQPSESSGSGVQVGNQLRRRLAADTTPSQHMEASMLQQQQVVPQQENYSQNRAVALQSVESTITELSGIFTNLATMVAHQGELAIRIDDNMEETMSNVEGARGALLKHLNRISSNRWLLIKIFAILIFFLIIFLFFLA >KVI04880 pep supercontig:CcrdV1:scaffold_608:90686:93720:-1 gene:Ccrd_016792 transcript:KVI04880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MSFIGTQQKCKACGKTVYPVELLSADGVDYHKSCFKCSHCKGTLKLSNYSSMEGVLYCKPHFEQLFKESGNFNKNFQSRMLFIHSFLLRFRVTVENEAYHKSCFKCSHGGCSLSPSNYAALEGILYCKHHFSQLFKEKGSYNHLVKSATIKRSAAAATAAAAAAAAATGVPEA >KVI04878 pep supercontig:CcrdV1:scaffold_608:178861:181187:-1 gene:Ccrd_016800 transcript:KVI04878 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MRFGDFNVNWRNGNLNPYVIFWVDPNRRLATKSDDSNSTKPVWNERFVVPLPSTPFAAVLTLEIFHAKPSDTPKPLVGTLRVPLGDLPDPENSDRIRTFDVRRPSGRLNGKIRLKIALRERALPDYQNTQKPPFYYASPPPPSYGRLPSSPSPYGSYSSLPNPPPAPAASPLSAARQAPPPYHSVSQSDPYSLYYQGGCYSQAAPSSSPRPSIDRQFSYASVSGGPSAPMDYAHYDQKPRNGKMGSGLGLAFGTNTGGVAGLAIDEGLRYEDGKIGERVETDMGVKKPDEYVYYRRVDY >KVI04881 pep supercontig:CcrdV1:scaffold_608:87310:89390:-1 gene:Ccrd_016791 transcript:KVI04881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen, PCNA MLELRLVQGSLLKKVMESIKDLVNDANFDCSATGFSLQAMDSSHVALVSLLLRSEGFEHYRCDRNLSMGMNLGNMAKMLKCAGNDDIVTIKADDGGDCVTFMFESPNQDKIADFEMKLMDIDSEHLGIPETEYEAIVRMPSSEFARICKDLSTIGDTVLISVTKEGVRFSTKGDIGTANIVCRQNTSVDKPEEATIIEMETPVSLTFALRYMNSFTKATPLATQVTVSLSSELPVVVEYKIGEMGYLRFYLAPKIEEDEEMGRHQAEPVAVETKKKPEKTPKTEPKTNGEPKRRVMKIDLDEEEEETKPKIVSETNGYVEVVGSKPGTGIIKPKEEVNGEVEVMDVKPSIEAAAAKAEKETNGGEADVMDVE >KVI04872 pep supercontig:CcrdV1:scaffold_608:3732:6910:-1 gene:Ccrd_016786 transcript:KVI04872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MTTLQEPQLDLEAGGNSGGGDDTGPQSGSSDGNEESSTTTIEIVGMVPEEKGRASSVSEFVVVDLESGSGGGSDGGVEGSKVHLTRIEKDCRICHLSLDVNNQESGNGIPIELGCSCKDDLAAAHKHCAEAWFKIKGNRLLFSTYLGLCFRVETNADETALMDQRNEANGGTPSRSSALGETMGSSEAPNFWQGHRFLNFLLASMVFAFVISWLFHFNVPS >KVI04888 pep supercontig:CcrdV1:scaffold_608:159848:164850:-1 gene:Ccrd_016798 transcript:KVI04888 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXTL2, alpha-1,4-N-acetylhexosaminyltransferase MVARTNLISRRTGQRFPQPAIWAVGSVKIKLLLFSCFLLTLIVLASRTPSFLGWEHQSIPPRDRTSRQGYTLLINTWKRYDLLKQSISHYTRCPGLDSIHIVWSEPDPPSDPLLKFLNHVIKANVGAGRKIELAFDINEEDSLNNRFKEIKNPRTDAVFSIDDDIIFPCSSVEFAFSVWESAPDTMVGFVPRVHLIDQLKENKDSYVYGGWWPVWWTGTYSMILSKASFFHRKYLRLYTNEMPASLKEYVKRNRNCEDIAMSFLVANATGAPPIWAKGKIYEIGSTGISSLGGHSNKRTECINRFVSEFGRMPLIPTTVKAVDSRATWFW >KVI04882 pep supercontig:CcrdV1:scaffold_608:82164:86227:1 gene:Ccrd_016790 transcript:KVI04882 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MSAIKTPSPSRFFTIGLVTSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACALLSYIAIAWLKMVPMQTIRSRVQFFKISALSFIFCGSVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKKEAWLTYITLIPVVTGVIIASGGEPSFHLFGFIMCIGATAARALKTVVQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATLYMEENVVGITIALARQDFGIVWLLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMAGYTLTVIGVILYSEAKKRSTK >KVI04873 pep supercontig:CcrdV1:scaffold_608:50957:55974:1 gene:Ccrd_016787 transcript:KVI04873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane EAFTHTQCISVCVCVCERERERERERERRGEYIHLDSYKYASLAYRVNLVVSEEEDRLYLLSREEEPGDSSVVAMESQRDGDVSTRPTKVYSDDQLAIEIPETAHQISSDSWFQVGFVLTTGINSAYVLGYSGAVMVPLGWVGGVVGLLLATAISLYANALIAKLHEDDNAMKLPYFIAMAGFACGLFAICIPHLSALRFWLGLSTFFSLVYIVIAFSLSLRDGIKAPPRDYGIPGSDINKVFTTIGASASLATVRQPVVGNMMKALYFQFTVGVVPLYAVAFMGYWAYGNDASAYLLNSVNGPVWVKTFANLSAFLQTVIALHYGIKGSALAVKNLSFRVMMRGGYLTITTLLAAALPFIGDFMSLTGAISTFPLTFILANHMYLVAKRNKLTSMQKAWHWLNVIFFGFMSVAAAVAAMRLIAVDSKNYSIFADI >KVI04886 pep supercontig:CcrdV1:scaffold_608:113309:113743:1 gene:Ccrd_016794 transcript:KVI04886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology domain-containing protein MASLWRAVMGETPPNADDYDGVEYWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKEAIVTRGSRPRGVIPVATCLTVKGAEDVLNKQFAFELSTRSETMYFIADSEKEKEDWINSIGRSIVQHSRSVTDNEIVDYDSNR >KVI06638 pep supercontig:CcrdV1:scaffold_6081:20376:22166:-1 gene:Ccrd_015010 transcript:KVI06638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVNTRDKVENEQSYMKKQENIQNPSAPPTATTSPPSAAASPTHEFSFTISLHPHPPSKSKQDGHKSGSGYDDSDNQKYRSAMTPPPEQLTAIDLSPADDIFFHGHLLPLHLLSHLPISPRSSTNSMDSFTLPTKDILKDQHNPIGNTSFHYHHRNTFSEFNLPSNNVNPSRPKSKSFSFFGRPKGKKGSIDEKDGDHEDDDKERNNNNSKKKLKLEVAQLIKRYMKMVRPLLSFPKGKKPNTEFNPHPHSFSGTLPSSRRSSLPLEMNRGGGRRGEFSAPASMRTSPANSGILLTSGTVSPAKSTTSDSTMEELQAAIQAAIAHCKNSIATEEKIQA >KVH99888 pep supercontig:CcrdV1:scaffold_6084:19035:28486:-1 gene:Ccrd_021872 transcript:KVH99888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein LLKRSLKYPILLLQQPFNPVLQPATPSHGGSTFLRQPQPPYEPCHSLNYLLAAERELTNTMAASLPHTLSIPRRRHSSISHSNEHIIGICRVNANFNCQRRAKVVCQGMLAPRKFMQRRKKVEVFEDAADEADQKNWRKMMNEIDEVGSAVSVLRTQRTKNQPLPKELVLGTLVRFKQQKKWNIVAEILEWLRTQHWWNFNEMDALMLITAYGKQGDFNKAERVFSYINKKGYPPSVISHTALMEAYGKGGQCNKAEAIFRRMQSSGPEPSAVTYQIILKIFVEADKFKEAEEIFETILTDEVSPLKPDQQMFHMMIYMYKKAGSNDKARKLFSMMTERGLQQTSVTYNSLMSFESNYKEVARIYDQMQRAKVRPDVVSYALLISAYGKARREEEALAVFEEMLDAGVRLLTVFKFLVCFRPTQKAYNILLDAFAISGMVDQAKIVFKSMRRDRCTPDLYSYTTMLSTYVNASDMEGAEQFFKRIKQDGLEPNVVTYGTLIKGYAKANDLETMMQKYEEMQIQGIKANQTIFTTIMDAYGKNRDFGDAWTKNESFYAW >KVH99887 pep supercontig:CcrdV1:scaffold_6084:11979:16835:1 gene:Ccrd_021871 transcript:KVH99887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MANAADVDALDFEPDDDDLMDEDAAVDVDASSPRTSTPIPKLKSAITGGAVTVASSIPKKTKGRGFREETDAERNNRMSGRFDSLDSDGGPGPERSIEGWIILVTGVHEEAQEDDLQNAFGEYGEIKNLHLNLDRRTGFVKGYALIEYENFEEAEKAIAAMDGGELLTQTVNVDWAFSKGPFRRRNNRRRSPRGHRSRSPRRRF >KVG85876 pep supercontig:CcrdV1:scaffold_6088:3085:17078:1 gene:Ccrd_026145 transcript:KVG85876 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, B18 subunit MEVEGSSKPMIATREEMVEAKVPLAYRDQCAHLLIPLNKCRQSELYLPWKCENERHTYEKCEYELVMERMIQMQKLKQSNKQGQGNTIPLIPKTAHA >KVH88677 pep supercontig:CcrdV1:scaffold_609:109594:117749:1 gene:Ccrd_026155 transcript:KVH88677 gene_biotype:protein_coding transcript_biotype:protein_coding description:HECT-like protein MTLLDSPTIDCVQQRIKRKLEDYVDDDLPSSDLVSSIKSRLIEFLNKTPKDGIDHAAPYLNIFLSSSAPAALVMLYMSPHNGNKECAEEAIQHFIKSSRNALPRPIYSEFAPIVLEFCKLLGRVAAHDDPLYRLCRSSLGSMVEFVKIGRSSKNYDVGSYKKVVIAVQEIFPFVDELASKLSEGLVSSMESIVNYGPSPSDVRDFAAFVRPLRDAIIDQVALGTLIPMPYNHNLLCYSDEVKFLYMLYHDLLAKLQLCLNKVEDLVKKEKGDGGWDQYLSILKELHNIAELYQGAEEYFWTNLRRNKVSLCYLIVRYAKRGEDYKWILEHKDLTDFESRRHLVMMLLPEVKDEYEELHEMLIDRSQLLAESFEYIAHADPETLRGGLFMEFKNEEATGPGVLREWFFLVCQAIFNPQNALFVPCPNDRRRFFPNPASKVDPMHLEYFSFAGRVIALALMHKMQVGIVFDRAFFLQLAGINVSLEDIKDADPYLYSSCKQILDMDPSAVDQDALGLTFVWESEELGSMKVLELLPDGKHITVNSRNRKEYVDLLIRHRFVTSISQQVTHFARGFADIVTDEEIQKLCFKSLELEDLDGMLHGSESAISVDDWKAHTEYNGYKETDPQIYWFWKIVHGMTTEQRKILLFFWTSVKYLPVEGFRGLSSRLYIYKSNESLDRLPSSHTCFYRICFPAYPSMDVMQERLNVITQEHVGCSFGTW >KVH88669 pep supercontig:CcrdV1:scaffold_609:63575:65008:-1 gene:Ccrd_026151 transcript:KVH88669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MTFYAKQDSVHDYLFKIVLIGDSAVGKSNLLARFARNEFYPNSRSTIGIEFQTHKIQINGKEVKAQVWDTADQERFRAVTSAYYRGAVGAVLVYDITRRRTFDNINRWLKELYTHCDMNVVTILVGNKSDLKDEREVSMDEGKSLAEAEGVFFMETSALDSLNVSSAFQMVVKEIYSIFSRKIMMQSEAVKNDDSCWRGNGKTVVLEVGIEDGRPKSGWCCSS >KVH88667 pep supercontig:CcrdV1:scaffold_609:172726:173850:1 gene:Ccrd_026160 transcript:KVH88667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSMEIHVTDIHGETLNMIFVWSVALASILFCNKISTLIAPGTARLCVFLPVFGIFFYLPLLLTSVHFAGTTSFFISWLANFKLLLFAFDKGPLFSNPPLPWYQFILSACVPIKISENANKSEDSRPNGRRSEAGSPKKAIKSPVNYSVKFMVFVLLLNLYNYADNFNPLIKMALFCVHIYVVLDVGLAVVAYLARAIVGFELEPQFDEPYLATSLQDFWGKRWNLMVTGVLHPTVYLPVRSLSGRYLSKKVAPLPAVMASFIVSGLMHELIFYYLGRLKPTWEVTWFFVLHGALVGLEVLVKREVNGRFRLPAVVSGPLALGLVVATSFWLFFPPFLRCETELRSCKEFVAFMDLFVHGRFVGANDVSCPYF >KVH88670 pep supercontig:CcrdV1:scaffold_609:118530:119520:-1 gene:Ccrd_026156 transcript:KVH88670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVESVVNTLPENVTKEVETPTKEAVEPVKADQEEPKKETTVDTVAPPPPPPAEETCVEPPAEVETETKEVVEEAKEETPVAAVEETPAAAEVVPEETPATEEGEKKEEKVAEADCAATTEVAVE >KVH88673 pep supercontig:CcrdV1:scaffold_609:159364:160374:1 gene:Ccrd_026159 transcript:KVH88673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEEDIKNLVKVSLFASVSLGYCYFVGKNIPKGFQRLLMILPVVLTFFSIPLFFNSVHLIGALSLYIAWLANFKLLLFAFEKGPLSAPSVSLSVFLSFACFPIGKRNAEKNPSQKSIFNYGVKALLLAIFLKVYHDYGDDMNRMIAWILFACSVYFFLELILVVSSITVELLLGVKLDPQFDEPYLSTSLQDFWGRRWNVMVNRILYPTIYNPVRTLSTKVIGRMWAPVPAVLTTFAVSGLMHELIFYYFTRDWPTWHTMLFFCIHGVSLVTEIMIKKSVNFRWSLPRHIATPLVMVFVLWTSYLLFFPELLRCKIIERAFEDYRAMAKIATGFV >KVH88666 pep supercontig:CcrdV1:scaffold_609:181364:190882:-1 gene:Ccrd_026161 transcript:KVH88666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MIKENGSSVQVTHTGRIRRRKGSNEVPADVGQANGNHLLVNDQNKYKSMLIRAYSSIWMIGGFIFVVYMGHLYIWAMVVIIQIFMAKELFGLLRKVNEDKQLPGFRHLNWHFFFTAMLFVYGRILSQRLVNTVTTDKFLYKIVGNLIKYHMVTCYFLYIAGFVWFILSLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYIVGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFVLANFFGRFQWFTCPRKDLSTGWLQCDPGPLFTPETFTLPGWLPEWFPWTEMEVMPVQWHALGLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGMTDRMDCQIIMNLSYEEQRALYTNLGQIIQDRQFGES >KVH88668 pep supercontig:CcrdV1:scaffold_609:68241:70030:-1 gene:Ccrd_026152 transcript:KVH88668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQQSHRSTSPVTRPYYTPPYSSSSSPARFYKHMGRSMRTVRSSFSNSDYTSALGDDNLTDSVLDVHLQELATANSGTSSKSSMSSDDFLHLSQAFSDFSDCSSDMSGELQRLASLPEDSKEDDPNREQKPEPEPEPCQGFLERENFSTEIIESISPEDLQPTVKICVDSLTSSSIAVKRSAAAKLRLLAKNRSDNRALIGESGAIPALIPLLRCTDPKTQEHVVTALLNLSLLDDNKPLIAGAGAVKSLIYVLKTGTQVSKQNAACALLSLALVDENKTCIGACGAIPPLVSLLMNGSNRGKKDALTTLYKLCSMKANKKRAVTAGAVKPLVELVAGETGIGMAEKAMVVLSSLAVIEEGRRAVVEEGGIAALVEVMEDGRSEKGKEFAVVTLLAVCEGGVDSGRNRGLLVGEGAIPPLVALSQTATARAKHKAERLLGYLREHRQEGEG >KVH88665 pep supercontig:CcrdV1:scaffold_609:25747:27977:1 gene:Ccrd_026148 transcript:KVH88665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MPRSTNLQNQSPDQMIEVPTELEGENSDIIWSGGASSCCKKLKHYPAFCRSGTTIPDYRNQKMVKVRWFHRTDEVSGLISEPRPHEIIITPHFQVVSAECVDGLATVLTPKHYEHCLAVLSEDFSDGIYMCSRQIKKNKITPFPLSKLRGYSSQTIFSVLDLSIGPNKLKPQITSREEKKEVEQPTDPKMKIKLSSKGLDGQSSRGVLKITNSVSDNQVEKTQPTDPKMKIKLSSGGLDGQSPIGVLKIYNSISDNKVEKTQPTDPKSKIKLSSKGLDGTSEPHHEIPSFSVGEEIEVSEKVLKVLYMDVEDVEGSGNLEEWVLAYRLAVPDKLGMRCLGRHTIRPFPAKNSSNGSFSIGAPVDAWWCDGWWEGVVVATNIRGKNPFHVYFPGENKFQNFENEKLRTSKDWVDNIWVELNPKPDVLNFISSNVKSNMKLQRCITLGGSSGSGNGLSSVDHKVVASIETEAAEKKGKELPALKKLKGVVLKKKKAYV >KVH88663 pep supercontig:CcrdV1:scaffold_609:10765:11728:-1 gene:Ccrd_026147 transcript:KVH88663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transport 2 MAQDGAKDPLLIKRKHQRKHVASTHSYPQQNQPSISQVELSLKQVATFLIAYLAVGTICFFLVQDQINGRKTNGILDAMYFCVVTMTTVGYGDLVPQTNFAKLLACVFVFTGMGLGGFALSKAADYIVEKEEILFVKAIHIHETCGPKEILDETERYRVKYKFLTVLTLIVFLVIVGTLFLTLVENLSVFDAFYCVCATITTLGYGDKSFSSQGGRLFAVFWILMSTISLAQLFVYLVELWTESRRRKLVDWVLHRKLTIQDLEKADLDHDKSVSPAEYIVYKLKEMGLVSEQDIMN >KVH88671 pep supercontig:CcrdV1:scaffold_609:76221:81126:-1 gene:Ccrd_026153 transcript:KVH88671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKGLFKSKPKTPVDLVRQTRDLLIFADSKPDSRESKREEKFASLSKLLRELKQILYGNSEAEPVSEACAQLTQEFFKENTLRLLIVCLPKLNLEARKDATQIVANLQRQQVQSRLIACDYLEANIDLMDILATGYVLESEHMKKFFDIIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESPNYITRRQAIKLLGDMLLDRSNSAVMTRYASSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIISILVANRSKLLRLFADFKPDKEDEQFEADKAQVVREIAGLEPRDH >KVH88672 pep supercontig:CcrdV1:scaffold_609:135090:144575:1 gene:Ccrd_026158 transcript:KVH88672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MKTKRQDVENSEEQHSKKQKLIERSSLSPPQLGFDNALLPLATYDDDDDEDDERDGKKVEENDLNNRQEEEEDDEEEEYINGFGKGRHNRMIEIRRDCPYLDTVNRQVQQYLCLLAPDKLMIRYECWNLEDRARKVDGEGTDGSELRVFFGEGGGASWKGPVVANGMRLSTGNGWLVLDFDFEKFCSVSLSNLNVYACLVCGKYFQGRGQKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLNPRFSRDQVLQLDRNRQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYMHSKSVLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHKDLKNPKTKSSIIHQCFQGELEVVKEIHVKNADAQSNGRNADGGSTANNIVADTSRMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGESITEVVRPRIARMRYRVTRLPQYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPMPKEEEKLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVAETLPQMVALSEAYMQIYEQQQPAQPQPQPMQLE >KVH88664 pep supercontig:CcrdV1:scaffold_609:29064:36207:1 gene:Ccrd_026149 transcript:KVH88664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFRSSSRYNRSSTQSDPSSSTDLNKTHLNQTKNPDVSYALAKSKSTKNDQNLTAMVKKFMEKRSSSSIKPKARDFVVAADLTTFIADDLKKKGTMSSRRGGTTALGGLHKKLFGNKGKGDESEGKKKALTEAKPNARTLAMVLRSERELLSQNKDQEVEITELKLMLEEKNREVDKLKDLCLKQREEIKSLKSAILFPDVMNTQLQGLLEKQDSELKQAKQVIPTLQQQVTSLTGQLQCLAEDLAEVKADKYSVSGCYDGLISSPRTPTYEQEEATNSLEFSSGDRTTPGSPDDMFLNDLNPCLTPYAKSKSKEFEAIEYHENSSYNDTRFHDIGFGRKLSKSSTNHHHVNSGKILGRAGCRSDENKYTYGKYMHY >KVH88676 pep supercontig:CcrdV1:scaffold_609:91459:95178:-1 gene:Ccrd_026154 transcript:KVH88676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/sulfate symporter MDENTSLLLPLHGGTQDSVHRSVSFRTQITSIFTLKNMFILLGPLLCAVICVCVKLEGPSPTISRNMLGVLAWVFAWWLTEAVPMPITSMSPLFLFPIFGIAAADDVASSYMDDVISLVLGSFILALAVEHYNIHKRLALNITLLFCGDPLQPKLLLLGICATTAFVSMWMHNVAAAVMMMPVATGILQRLPTGRGESSVVSKFCKAVVLGVTYSAAIGGMSTLTGTGVNLILVGMWKSYFPAADPISFNTWFFFGFPMAFLLFFALWGILCFLYCPRGCGDTLSAYLDKTHLKRELDLLGPMAFAEKMVLAVFSMLIVLWMTRSITDDIPGWGALFNDRVGDGTVSVMMATLLFIIPNKKQGEKLMDWNKCKKLPWNIVLLLGAGFAIADGVRTSGLADVLSKTLGFLGKAPYLAIAPSVCIISGMITEFTSNNATTTLLIPLLIQIAETIKVHPLLLMIPGAVGAQFAFLLPTGTPSNIVGFTTGHIEITDMLKTGVPLKIVGTIVLSVLMPTLGVLVFVNTMEY >KVH88678 pep supercontig:CcrdV1:scaffold_609:199465:209167:-1 gene:Ccrd_026162 transcript:KVH88678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MSFDLMLKQSCDGCRSTVELYGSNCKHMTLCVTCGKTMAERKDKCRDCGATITRLIREYNVRASSASDKNYFIGRFVTGLPSFSKKKNDNKWSLQKEGLQGRQITDTLREKYKNKPWLLEDETGQFQYQGVLEGAQTATYYLLMLQGKEYNFNKVAQYKQLTLEEAEEKIKNRRKTADGYQRWMMKAANNGAAAFGEVERFDDKEAGGAGAAGGRGRKKNNTEDEEANVSDRGEEDEDEESARKNRLGLNKRGGDDDEEGPRGGDLDFDDDDIEKGDDWEHEEIFTDDDEAVGNDPEEREDLAPEIPAPPEIKQDEEDEEENEEEEGGLSQSGKELKKLLGKNNGVNESEPEQEDDDDDDDDIEDESSPVLAPKPNNASSKRNNPPKEEPVDSSPSKPASTGSARGTPSSSKSSKGKRKSSDEAKPANGAAPKKVKTENEVKPVKDDPVSTSKSSAPTKGASSSKPAVSPSTGPVTEDEIRAVLLHKAPVTTQDLVAKFKSRLRSKEDKSAFADILRRISKIQKTNGPSYVHLVDPLACMHAQWWLCGSPSRLKPGHMMEGRRDLQRNWKWIMDSAG >KVH88674 pep supercontig:CcrdV1:scaffold_609:35629:38565:-1 gene:Ccrd_026150 transcript:KVH88674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MSYLGVGVSPGNVPVYHGSDLKVVDQRVRLAELILRTVICGLALLAAVLVATDTQVKEIFTIQKKAKFTDMKSLVFLVIANGIAAAYSLVQILRCVVGMVRGSVLFNKPLAWLIFSGDQLMTYMTVAAIGAAAQSAVFAKFGEPELQWMKICDMYGKFCNQVGEGIASSVFVCASMVIVSGISAFSLFRLYGGNKGSKTSAGW >KVH88675 pep supercontig:CcrdV1:scaffold_609:123827:126032:-1 gene:Ccrd_026157 transcript:KVH88675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MYLKVKLNWNVVIPARNLDLNGLMLQKAILVRLLDTFAAKKATKDLGYLVAITTLDKIGEGKVREHSGDVLFPVEFTCLSFKVFRGEVIEGVVHKILKHGIFMRCGPIEYLYLSNKKMSDYQYHSLENPCFLNEQTGSRIVKDASVRCIVIGVKYMEAQKEFQAVVGLEGDYLVYKVVGILL >KVI04743 pep supercontig:CcrdV1:scaffold_6091:14776:16659:1 gene:Ccrd_016936 transcript:KVI04743 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDITSFLVHNNLSAPLFVLSTIFFLLLVVRLAKPTPSKNLPPGPPRLPIIGNLHQVGDRPHVSTAKFANQYGPLISLRLGKQLLVVASSPDAXMEILKTQDRFLSSRVVPTAFQQXSLIPHSLIWSDCNHTWKNLRTLCRTEMMCPGMPSGIKSVQLVLASLIHEFDLILPNDVDPMKLNMNDKFGIALKMEEPLKLIFKQKREHQYA >KVI06721 pep supercontig:CcrdV1:scaffold_6095:22294:23037:1 gene:Ccrd_014924 transcript:KVI06721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELVVWFVYGRWIIINNQQVGRAGGTKWKSMFDAISNPSLALRKSMHLSLAKTERKKKEYEKTLASYNKKLVGYDPRVVLMCLWDEDDD >KVH89470 pep supercontig:CcrdV1:scaffold_61:297439:301501:1 gene:Ccrd_008524 transcript:KVH89470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGSQRRCLLLTGAIFLLQVQLLLVVTLAKTHVPSSSSYNISGSLRGRELLGCNLFKGKWVVDSSYPLYAASSCPFIDSGFNCLKHGRSDTQYLKYAWQPDSCNLPRKWRGKKVMFVGDSLSENQWQSLACLLHASAPKSKTTFLSRDSLTSLAFQDYGVTLYLYRSTYLVDIVRENVGRVLKLNSIQGGNAWKGMDVLVFNSWHWWTHTGHLQPWDYVQYGSTILKDMNRLEAYYKGMMTWARWVDLNVNPSKTKEWGSSSKNCNGELRPLLGSTYPAGLPEAQGVLNRVLGSVKNPVQLLDITTLSQLRKDAHPSSYGGGSGIDCSH >KVH89480 pep supercontig:CcrdV1:scaffold_61:114282:114815:-1 gene:Ccrd_008535 transcript:KVH89480 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF640 MSSNIPKDPSEGSSRPASGDQSLHPAPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQCNFNHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPEKNPFGNGAIRVYLREVKECQAKARGIPYKKKKKRKNQIKANEEVKAAKLQAT >KVH89497 pep supercontig:CcrdV1:scaffold_61:486527:497162:1 gene:Ccrd_008515 transcript:KVH89497 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease, C-terminal domain-containing protein MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSYVFDGAPPDLKKQELAKRYSRREDATADLNDAIQAGNKDEIEKFSKRTVKVTRQHNEDCKKLLRLMGVPVIEAPSEAEAQCAALCKADKVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFDISKVLEELNLTMDQFIDLCILCGCDYCDSIRGIGGQTALKLIRQHGSIESILENINRERYQIPDDWPYEEARRLFKEPLVCADDDQLEIKWSAPDEEETSEKAGKESANKKAKSGGGRKKK >KVH89496 pep supercontig:CcrdV1:scaffold_61:500075:507430:-1 gene:Ccrd_008514 transcript:KVH89496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTATVRGGDNTSSERNAAEEVCRIVEQSKELQESASTLISRNSQEEESLRRRALALDSSIKMLHSFISSSVKKGNLDPKDAEKFVDELSRARYTLNEGDAAAFLPSKSHGRFLRMFLGPINVHANRKDVQLKVKEEYNRFRDRTAYLFLFFPSLLLLLRSWFWDGCFPALPVQLYQAWLLFLYTGLALRENILRINGSDIRPWWIYHHYCAMVMALISLTWEIERQPDCSQKQKGIQLFLKWAIMQGIAMLLQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQIWLLYPILFVLQAFEAFVGLLLLKTAVVGVVSEWQVVTCGILLIIMAVGNFLNTVQTLVSKSRVKAKMKKGKSKNDLLQVSS >KVH89471 pep supercontig:CcrdV1:scaffold_61:308121:309349:1 gene:Ccrd_008523 transcript:KVH89471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DASLTGDAEKYLILRKGPLQSALAKILARKGAKNVEDSMAQYQIPDAKKPCFVRVNTLKLDVETAVCELGKENELSCLLTLFAYNSFQVQKDDMIPDLLSLPPGTDLHNHPLVIDGSIFMQ >KVH89500 pep supercontig:CcrdV1:scaffold_61:603312:608594:1 gene:Ccrd_008510 transcript:KVH89500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MSYSKFLFLFFFLVSVTLPHVSVTVAQTRTTNVGVIIDERFRAGKEQKTAIKIAVQKLNSGSKDHKLAFYECVYAVANELINTQNVRVIIGTDSWEEASILAKIGERARIPVISWTAASLQPLSRLQWPFLVQMTDLDMSDEIKCITTIVQSYNWKRVIVIYEDNVYGGEYRALSLLSESLEMVNSGIEHRLVVPHFTSPFDPKETIRDRLVNILKEKQSRVFIVLRSSLPTTIRVFEEANKLGLIGRDSVWILGDTFSSFLDSIDPSAFRLVQGALGLKTYYSDNNTRFLDFKSNFKTVFRSNYPEEDKFEPGIHAIRAYDSVEMISQALDRLRNLDDTNHDFPEKLLDTILSSNFSGLTSTIAFQNGKLSGPRVFRLVNIIGKSYQELGFLSPESGFSNNLGSVGHHGLIRQLTVHWPGDLVTRTPKGWAMPNDNNKMTIGVPNGTSFDRFVKVEWIQSSNETRYSGLCIDVFELVVATLEVEYGYTLSYEFNYDAVVGDVTILANRSKYVEFTQPFTESGLSMVVPVKSEPYMAWKFMRPFTVEMWLATFGILFYTMFVVWFMEHQVNQEFRGPWKEQLGTALWFTFNSLFFSHREKIQSNHSKVVVMIWLFVVFILTSSYTASLTSMLTVRVLEPTVRDIEWLRKNNASVGCDPDSFVFPKDSPITDDVSEAILMLLQDGQIRELENKWLNTSQNSSRCIPKVESQRLSLANFWGIFLISGLTSTLSLVIFLYRLLHNQIEQRIISFNGSHWGNENRWRKALRLIQIVLYLNPNRIQPPESSNSVEVWNHRNPPRWELVSPTEVPEHLEIGRPTQLEIPMRKMDHTG >KVH89490 pep supercontig:CcrdV1:scaffold_61:13666:16779:-1 gene:Ccrd_008540 transcript:KVH89490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, cysteine active site-containing protein MGTSNSIITLLLLIICLLSFTLTFSISTLPTEFSILEGQETDVLSSEKVYELFGKWKEMHGKTYEHEAEEAQRLGNFQKSLKYILEKNSKRKSETEHMVGLNKFADLSNEEFKKMYLSKIKGPRRNTLKMRGENGNTTSNLRSCDAPTSLDWRDKGVVTPMKDQGQCGSCWAFSVTGAIEGAHAIATGDLISLSEQELVDCDTNDYGCDGGNMDTAFRWIIKNGGLDSEADYPYTSSNGYGSKCITAKAKKSVVSIDSYVEVESNGDALLCVVAKQPGIYNGECSSDAYSMDHAVLVVGYGSEGGEDYWIVKNQWGTYWGMEGYILMKRNTDIKNGVCGMYNEAIYPVSSIPTPPGPPPPPTPPSPMHPPPSPVHPPPSPTPPAPSKCGEFSYCAADQTCCCIFEFYNYCLIHGCCGYTNAVCCKGTSSCCPSDYPICDNSGGTFGVAAKKRQLAKHKMPWEKIEETLVEEYQPLVWKRNPFAAAV >KVH89474 pep supercontig:CcrdV1:scaffold_61:368244:369908:1 gene:Ccrd_008520 transcript:KVH89474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHQEAMDQRFSSCRGVSFEIQPHKDPFAIDAPRASRRSWVPWGSSNKIAPTSGAIISRSNSRASSHFCDLETDDEDDEDDVLINIEEGHELDDKYELPLPVSIPEVLPQAPSKKILSKPTKPKGSRLSVILLDQGLFTVYKRLFMVCLALNITGLALAATGHFPYARNHATLFSIGNLLALTLYVGSRLVKEQEFWFTLVTTILIIIPWVTVRRVAVKVSAPSGHASIIKFAGGVKPGILGRISPSPMSEWHAFGIISDGKEEHMMLAGAVGDFTKSLVSNPPSHLWVRQVHFAGLPYLVNMYNRVLVVATGSGICVFLSFLLQQGPADVCLLWVAKGIEQNFGKEIKEWVSRHPKEKVIVHDTAVMGRPNVSEMSVAAARNFGAEVVIVTSNPEGSRDVVNACKSKGIPAFGPIWDS >KVH89498 pep supercontig:CcrdV1:scaffold_61:540581:547863:-1 gene:Ccrd_008512 transcript:KVH89498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYPRTGILIGFLAVLMEFWGKVEATTVTCELEYGFLPCTTGLWGRLFLIVVYQYLMSIAQSYISEGSDKFFGLVGPGVFGASLFHVLGNFPTLFIILESGLSRDEMSASTSAAMGMSILAGSAVMNLTLIWPSVIVFGSYDLADDDEDNIDLSQLFGEEPSFFKKLTAYGVTTDTETSTTARIMLVSMIPFLILMLPQIFNSDSVTRVIVLISLIIVCCFFIANIVYQIFQPWIQNRKFDYGLDQNHDGKVSSAELKTLLLGIQVQADGEVSDGLIENTMMQLDISGDDSIQEDEFVRILTKWLQEARASLSKNDYNPLSFFIKPAASTDEEQQEALLPTNRTPVTPRSVWDYVEALAYVVVGITISALIARPLIMNVANVATDAHVPSFFIPYFVIPAAISIPRLLKTIDSANVPWDVSAEILVVFVTCGVMGVFTSTRTVFPLWTGYFGYLMFPASLLMLYLLTVVWGWS >KVH89491 pep supercontig:CcrdV1:scaffold_61:2541:3965:1 gene:Ccrd_008542 transcript:KVH89491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSSPSPSPSTTRKEAQGLLLRRFEIGHVLGHGSFGKVYVARNIKTNELVAIKVIDKEKVMKGGLISHIKREISILRRVRHPNIVRLFEVMATKTKIFFVMEYVKGGELFSKVAKGRLTEDVARKYFQQLISAVGFCHARGVYHRDLKPENILLDEDGDLKVSDFGLSAISEQMCGDGLFHTFCGTPAYVAPEVLGRKGYEAAKVDIWSCGVILFVLMAGYLPFRDQNIMVMYKKIYKGQFRRPRWFSPELSRLLKRLLDTNPETRIRIPEIMEDKWFKKGFKHIKFYVEDDDMISTVKDRGTEDCIDYFSDQSLYSESESEMEVKKRSVSGNFPRPMSLNAFDLISFSPGFSLSGLFEDGTEESRFVTAAPVSSIISKLEEVAKVVSFSVRRKDCRISLEGSREGVKGPLTITVEIFELTPNLRVIEVKKKAGDKSEYDEFCANKLGPGLQPLVLTGSSEPSSHLPADNK >KVH89499 pep supercontig:CcrdV1:scaffold_61:531762:532466:1 gene:Ccrd_008513 transcript:KVH89499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MRMRQNRAQQGEIIEVEGGRIVRSLGRKDRHSKVCTSKGLRDRRVRLAADTAIQFYDVQDRLGYDRPSKAIDWLMKEAKTAIDALNNGHHDHDLLTTFLNTSAAFHRTSGERNQQVSTHNQIGSFNNYPLEFISSRNKRHENPIEELIIPMDFTWNPSYKPGDGFAVVDREPLQSSSPATIQTFNNEFVDDESFLGFDFQQENHLQEEENRNLLSKNYRFSGTSILHYEDQQQS >KVH89476 pep supercontig:CcrdV1:scaffold_61:236487:248060:1 gene:Ccrd_008528 transcript:KVH89476 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPG:FO 2-phospho-L-lactate transferase CofD/UPF0052 MERCFKALQRNNSCLSGLFPESGHRLQCAGKAIRMLEPYANLILRRTNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPTESLVLPVISTNDRLTLGCELWDGMIIRGQNEISHPTSGSLQLIDKASQYINTVFVPKDGQIPVDIKCLAAQGIVHVVRVESMHDPKVGVVYEPTALIQALGELLSGITNKIS >KVH89479 pep supercontig:CcrdV1:scaffold_61:162987:174025:1 gene:Ccrd_008534 transcript:KVH89479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRVEVRNEYGLGMPELYTETNREDPKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQEQVLITSSRSHKLVERVHNIEAALPPLEKAILAQRSHLHFAYTAGNTCIFCVLTGILDSELNKTISYIVTCHDALWTPMKTAVILHVCTSLTNLTSGAQDLASEDILIQLILKELQLGLMKHIFKAYREKRRLVEAKTDYGSSNFEGKTSPSQDVSTFHVPQKSGIGPEIGDHLTAFDSRNGSEYIECIIRPSYSTQSEDNNAKELSSDSNLQHNSYLDSASLDENSGVPDENIHDSYLAEHSRSRSSYITWDEKLEILDSTGQPNDVTEISCTTEKIDTEEKEDVDQIDFGFQDEPLPTSVIVGSQPDEIESETDYYMDALNTIESESEADIEFQTKRELQQYSSLNNKDVEEMHKAGHLDDSSTNFEFQVPENQSCLSPKAVSPEYHVDACSSSKNENYEDLTKSSSSPVDAISSICFTTPEKVELEEVKRNDANLETVASDGLYSSSIEPNSQQIVSTYESPKPSSSTSNATLGNPVMFWTNGGLLGLEPSKPPDFGLPTAVGPGPMEENRTESQKNTCNAVGDVAREDMVVNGPKSESSGKLSGSNGFNFTQEHHCNDGPVPKDDPTKDSKEDITNSSRIFEFSNRLLVNGFRRQITLVGNERLASSVRSDVPESKSMQKGYQTVTGIPFREQFGSGPPLISPSSPPLEHMRISFQPIDGFETSKLKLMVPDGNNNNETSGHMFPSFQLVPEPAISLHESASDSDDDTFCRSSPYASDDCNSHPSESNSEQWDSTDSPRIKDHELDDAFGRISSAESVSSSLMNGIRFQQGFHDHGNYGHQSSFSEDGMHPSQHGLLHDFPNFDSMNTSHNKITGDFDGKSVLQSSLPKEPTSLPPPLPPMEWRGTKLNPDVTMEKEDDLSEALTYALNLTTPEPTVSQQPVPAPIKQDHFVESVDLTLKHESLNLRRTSTARPTTTPAGPTSVKVTAILEKASAIRQVVGSDDGDDDNWSDT >KVH89475 pep supercontig:CcrdV1:scaffold_61:225403:230680:1 gene:Ccrd_008529 transcript:KVH89475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDLSKKRKMEENGGQFTTDVTNDVVAPPPSYSFPSLTPQDARKILEPFTKEQLLDVLQTVVVRDIAVLDAVRSVVDSDPTQRKLFIRGIGWETTTDKLRSIFSAFGELEEAIVITDKTTGKSKGYGFVTFRHIDGAVMALKQPSKKIDGRITVAQLAAARDLANVDVSTRKIYVGNVPFEISSDRLLSHFSSYGEIEEGPLGFDKQSGKQKGFAFFVYKTEEGARNSLVDPIKNIDGHQVMCKMATDGKKGKAGGPQGPTGMPGDAAPPHGSMPGSMNAGYGMPGGITSYGGFSGGPPLPSHQNPQLNSSVPSAIGSGHGYGNQGPPSFGGGGGGSGYGGGGYGSGGYGSGGYGGGSHYGGGGAAPDHPGPNSFASSINRMPPTQGGYPDGGNYGMSSAYPTQPNLPPAGPRGPHGGMYQGGTPYY >KVH89477 pep supercontig:CcrdV1:scaffold_61:609057:622996:-1 gene:Ccrd_008509 transcript:KVH89477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apoptosis inhibitory 5 MADASNDAKDIEKLYVYGERLSEAKDKSQNVDDYKSIIEAARSSSVKARQLAAQLIPRFFKFFPGLSGTAVDAHLDLCEAEELGIRVQAIRGLPLFCKDTPEHISKIVDILAQLLIAEENVERDAVHKALMSLLRQDVKASLTALFKHIESVDEPVTDDNLRERTLIFIRDKVFPLKSELLKPQEQMERHITDLVKKSLQDVTGAEFKMFMDFLKSLSIFGEKAPTECVQELVEIIEGQADLDAQFSRGASSSKFLNYLNKHILPVFDKLPEERKVDLLKNLAESSPYSAPQDSRQILPSNVQLLKKYMPLRKTGEEMNFTYVECLLYTFHHLANKAPNATNSLCGYKIVTGQPSDRLGEDFTEFYKDFTERLTCVEDLTKATMKKLTQGMGQQNKTTGTAISNEEKARIKIQKQNATTGLRTCNNILAMAQPLHSKAPSFIGDKRINLSWNENVKTVGPANTAGGKRPGNALNGSGNQTNKRGRGGGNQLVDRAFEGLPYGGRGGLRGGQGRGWGRRGRGRGYR >KVH89481 pep supercontig:CcrdV1:scaffold_61:72646:86036:1 gene:Ccrd_008536 transcript:KVH89481 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase domain-containing protein MIMKLTVIVLWAVLVVHSQATDIPKLRLFAAKNNVSCIYVFGDSSVDPGNNNNLETDQKVNFLPYGKDFYHGQPTGRFSNGRLPTDLIADALGHTKAIPAYLDPNLTSEQLLHGAMHAEGARRLAVVGMEPFVCIPLIKALGGTTHQCDEVYNKVALTFNSKVKALMATLQPSLGTKSFYTDIYGLVLRRYRRVVVIGIGVWAKL >KVH89494 pep supercontig:CcrdV1:scaffold_61:482007:483531:-1 gene:Ccrd_008516 transcript:KVH89494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHSTENWVDLVMLPCKGTTLGVAGRAAAEEVVPPPHHPPLHLLLIWFSGHETTMRFFTVLDRF >KVH89488 pep supercontig:CcrdV1:scaffold_61:30288:43819:1 gene:Ccrd_008539 transcript:KVH89488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MEGRHTDTVQNESLLTNFTRMQSDWASKFKKMHSQHQLGDSGAQRVAQILWSTGNLAEPIPSGFYSILPEKRLKEKFDMIPSLEELHVLESDGFKLNVIVVDMHKDKKVSMLQQLALTLAKGLTSNPAAVIKKLGGLVCDFYKLPNVELNYAKGAWEEVYNVHNQGIQMLGQIKHGFCHPRAILFKVLADTVGLDCRLMVVTLQSPANHPHPLEITVGTILANALVYHIIRGLPKDGELERTDLHRHVSVIVELNSAELLVDIVRYPGHLVPFSTKAVYMSHVYVIGQGDSGENDSCDSPIEPNSPVHGAVESVDDNIICNSYHLTFLCSLSHGEPNVANAAWGRNKNVLAEQSTPSSRVVRAMNDTLKRNHPPREHIDDHCNSPEHQENASASHRRQTSCPKAFSLPSSPHKYRIHDHGSDRNETEKLEGNLDMITWNKFLESSSIRNEPWFPFHEWNIDFSELTVGSRVGIGFFGEVFRGTWNGIDVAIKVLLEQEITSENIEDFCNEMSILSRLRHPNVILFLGACTTPPHFSLITEYMDMGSLYYLIHVSGLKKRISWRRRLKMLGLMSMHRVKIVHRDLKSANCLVNKHWTVKICDFGLSRVLNTTHIRDCSSAGTPEWMAPELIRNEPFTEKCDIFSFGVIIWELCTLHRPWEGVVRAVGHDGTRLEIPEGPLGNLIADCWAEPYQRPSCEEILSRLMSCEMLI >KVH89473 pep supercontig:CcrdV1:scaffold_61:327586:329253:-1 gene:Ccrd_008521 transcript:KVH89473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQEVLDQRFSSCRGVSFEIQPHKDPFAIDSPGASRRIWFPWESSNKIMPTSGAFISSRSPSRASSHFCDLETDYEDDGDSILINIEEGYELDDKHELPLPVSIPEVLPQAPSKKILSKPTKPKDSRLSVILLDQGLFTVYKRLFMVCLALNITGLVLAATGHFSYARNHATLFSIGNLLALTLCRSEAFLRIVFWLAVNILGHPWVPLCLKTVTTSLLQSLGGIHSSCGLSSVSWLTYSLVLTLKDRDNTSNEIIGVASAILSLLCLCCLAAFPLVRHLHHNVFERTHRFAGWVSLILLWAFIVLTKSYEPETKSYKKDVGSRLVKEQEFWFTLVTTILIIIPWVTVRRVAVKVSAPSGHASIIKFAGGVKPGILGRISPSPMSEWHAFGIISDGNEEHMMLAGAVGDFTKSLVSNPPSHLWVRQVHFAGLPYLVNMYNRVLVVATSSGICVFLSFLLQQGPAEVCLLWVAKGIEQNFGKEIKEWVSRHPKEKVIVHDTAVMGRPNVSEMSIAAARNWGAEVVIVTSNPEGSRDVVNACKGKGIPAFGPIWDS >KVH89486 pep supercontig:CcrdV1:scaffold_61:178333:178746:1 gene:Ccrd_008533 transcript:KVH89486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MADLKEGGIVKKGHEEGLKMAVSILEEYGLPLGLLPLADVIEVGFVKSSGYMWILQTKKVEHNFKMIKKLVSYDAEITGYVEKKRIKKLKGVKAKELMLWPPVNDISVDDPPTGKIQFKSLAGITKTFPEGAFAAGQ >KVH89495 pep supercontig:CcrdV1:scaffold_61:462111:468344:-1 gene:Ccrd_008517 transcript:KVH89495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSASKLISMCILLLLFTNVSSLGTSYRGVRGMERGVNSSQFLHDLVLELSKLKNYNRRKMVDTARVAPGGPDGKPSSEQRKQTSYIRTAKQQRKTYVKNDSALSIYHSSLRMTKSTSIHFSILIIFLLLAHLSKSGATSYRGLKSLDSRQILQELGYDLSKLKHDNRRAMTGTDQLAPGGPDPQHHKKNPNMA >KVH89501 pep supercontig:CcrdV1:scaffold_61:560926:579707:-1 gene:Ccrd_008511 transcript:KVH89501 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1605 MAQFWKPGTVKPRMLDDEEGGVLFYSASPSSSSTYGHASLEKQRQRLPVFKYRTSILYLVETHSTTIIVGETGSGKTTQIPQSVAARLAEEMGVNLGEEVGYTIRFEDVTNSGRGFNVQIFYAEEPVSDYLRATVSTVMSIHNKDLVFSPSPRGKRKVVISTNIAETSLTLEITDIENLVVAPISKASARQRAGRAGRGIPEMQRSNLVSSVIQLKALGIDNILGFDWPSSPSPEAMVRALEVLYSLGILDDDAKLTSPVGFQVAELPLDPMISKMIIVSDELGCSEEIITVSAILSVQSIWISVKGQRELDEAKLRFAASEGDHVTFLNVYRGFLQSNKSSKWCHKNFINYHAMPYSHNGTYKTLRSSQEVYIHPSSVLFRVNPKWVVYHSLVSTDRQYMRNVISIDPSWLREAAPHFYQQQPMNPTHH >KVH89484 pep supercontig:CcrdV1:scaffold_61:196449:198957:1 gene:Ccrd_008531 transcript:KVH89484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, cysteine active site-containing protein MTYLHKMGSTLARTMVTLMLLLAMVYRATSRTLDDRAMLVRYQQWMAEHGRVYTNNEEQQLRFQVFKDNVALIDAHNGNPDKSFTLAINKFADLTSDEFHASRNGYKRPPSRAFSGLYRNTDVSAVSGSYRYANVSAVPGEVDWRKEGAVTPVKDQGDCGCCWAFSAVAAMEGMNKLKTGKLISLSEQELVDCDIEGINQGCEGGLMEYAFKFIEKRKGLSAESVYAYTGEDGICNTKKASIPAATISGYEQVPANNEKALLQAVTHQPVSVALDASGYGFHFYSGGVFDGICGTELDHAITAVGYGTTTDGTKYWLMKNSWGTSWGEK >KVH89483 pep supercontig:CcrdV1:scaffold_61:213375:221825:1 gene:Ccrd_008530 transcript:KVH89483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor biosynthesis, MoeB MAGNENGPVLQFAAFQSSVDEGFWHGLSSLKLNKLKTDESPISITGFYAPCSNPQVSSHLTLLTESLPTEPSDGLPTETSHLKKFNFYYWFAFPALVLDPPATLVNLRPASQWFTLQEAESVSACCNEWRMSSLTADVPFFLVSISSNSHATIRSLKDFKACQQDGHKILFAFYDPCHLPNNPGWPLRNFLAFISARWNIKKVQFLCYRENHGFADLGLSLIGEALVQPSQAWQDQQHVPNAVGWELNKGKRIPRCINLSTSMDPTSCGFESKANEMACPAVFGLEYAWGVRKITLVDSGKVAMSNPLRQSLYTLEDCLDGGDFKALAAAKSLRRIFPAVEAEGVVMAIPMPGHPVPSQEEHNVLDDCRSLHDLVESHDAIFLLTDTRESRWLPTLLCSNANKLTITAALGFDSFLVMRHGAGPLTATDESKAEPVSSLSAGVEEMSMTQGDSKMRLGCYFCNDVVAPVDSTANRTLDQQCTVTRPGLAPIASALAVELLVGILHHPLGISAKAEFGNSLDGGNSEQPLGILPHQIRGALSQFSQMILVVSEYRKRGLDFVLQAINHPTYLEDLTGLTELMKSANSFELDWDNETDNDDDCVEI >KVH89493 pep supercontig:CcrdV1:scaffold_61:389522:391933:-1 gene:Ccrd_008519 transcript:KVH89493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MNMVSLFLFVVCVSTTLSSSLPLGYDRIYSFGDSIADTGNFLHSGALTNPVIGKLPYGETFFHHATGRCSNGRLIVDFIAEEFSIPYLPPYLQIAESLKLKAEHGVNFAIAGATALDAKFFYDQGFGQILWTNDSLTTQLGWFKKVKSTMCTTKQDCDSYFKRSLFLMGEIGGNDYNYAFFVGGTIKKVKRMVPFVVGTIIAATSMLIEEGAREVVVPGNFPVGCSAAYLTLFGTRDKATYDRNGCLKYHNAFSKYHNDQLKLALDKLRQKYPQSRIIYADYYGVAKALFHIPHHLGKFLFTFL >KVH89485 pep supercontig:CcrdV1:scaffold_61:180154:181129:-1 gene:Ccrd_008532 transcript:KVH89485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQMASTMEGSNHTDVGSEEKPQMIFRCKKCRRIVASQEHIVPHERGEGEKCFKWKKRSSNSKDMVCNATAEHG >KVH89492 pep supercontig:CcrdV1:scaffold_61:401135:407073:-1 gene:Ccrd_008518 transcript:KVH89492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAISSSFPFLPFCLLLWAFTVYANGCYTSIISFGDSLADTGNLKQLVAKSNGRPPHFMYPPYGETFFHNPTGRCSNGRLIIDFLAENLGMSLIPPYESVKSSMEVIEKGQGVNFAVAGATALDSAFHEAQGVYNAYTNASLNVQLGWFKRSLPAICGNPSDCKNWIKKSLILMGEIGGNDYNHPVIAGKSLEELKSYVPLELIELGAETLVVPGNLPIGCSAAYLTIYYGAQKDEYDNATGCLIKLNKFAECHNELLQTELNRIRELHPNVNVIYADYYNAAMQFFQSPEKYGFTNGALTACCGGGGPYNYNRSVACGYPLSTSCSQPETYANWDGLHLTEAAYQVIYKSLFQGTYTTPRFNSVCPTSMVQAKDASSSSVQSF >KVH89487 pep supercontig:CcrdV1:scaffold_61:48382:49332:1 gene:Ccrd_008538 transcript:KVH89487 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MPMFKTPFNGYSVKFSPFYEQRLAVATSQNFGILGNGRIHVIDLSPAGPIAEIAAYDTADGVYDVSWSESHDSLLIAAVADGSVKLYDLSLPPTSNPVRSLAEHTRETHSVDYNAVRRDSFLSSSWDDTIKLWTIDRPTSVRTFKEHAYCVYSAAWNPRHADVFASASGDCTARIWDVREPGSTMILPAHEFEILSCDWSKYDDAVIATSSVDKSIKVWDVRNYRIPVAVLNGHGYAVRKVKFSPHRASLIASCSYDMTVCLWDYMVEDSLIGRYDHHTEFAVGVDMSVLVEGLLASTGWDELVYVWQHGTDPRAP >KVH89482 pep supercontig:CcrdV1:scaffold_61:56768:57199:-1 gene:Ccrd_008537 transcript:KVH89482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MKNAIRCCISCILPCGSLDVIRIVHANGHVEEIAGTIQASEIMKANPKHILKKPSSPSSYTDKGVTKCPKIMILSPDVKLQRGKIYFLVPASMPDQSMPRKTGSRSSSSSSSTSSKKMSSEKSNHVVMWRPRLESISEATSDA >KVH89468 pep supercontig:CcrdV1:scaffold_61:269363:276282:1 gene:Ccrd_008526 transcript:KVH89468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MSLDRSLILVALLVFGIWACNVTSRTLNEETMLQKHQQWMARYGRQYKDDIERETRFKIFKNNVAYVESFNDVGNRGYKLSVNEFADQTNEEFKAIRNGFKVPFGLVSSRTTSFMSGEDQGCEGGYMDDGFKFIVNNKGINTEAGYPYQATDATCNTKKESVHAAKITGYEDVPANDESALLKAVAMQPVSVAIDAGESDFQFYSSGVFNGSCGTELDHGVTAVGYGTSEDGIKYWLVKNSWGTSWGEEGYIRMQRDVEAKEETMLQKHQQWMARYGRQYKDDIERETRFKIFKNNVAYVESFNDAGNRGYKLSVNEFADQTNEDFKAIRNGFKVPYGLVSARTTSFMSSEDQGCEGGYMDDGFKFIINNKGIDTEAGYPYQAADATCNTKKESVHAAKITGYEDVPANDESALLKAVAMQPVSVAIDAGESDFQFYSSGVFNGTCGTELDHGVTAVGYGASEDGIKYWLVKNSWGTSWGEEGYIRMQRDVEAKEGLCGIAMMASYPTA >KVH89467 pep supercontig:CcrdV1:scaffold_61:250495:258000:1 gene:Ccrd_008527 transcript:KVH89467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C1A, papain MSLDRSLLLVALLVFGIWACNVTSRTLNEETMLQKHQQWMARYGRQYKDDIEKETRFKIFKNNVAYVESFNDAGNRGYRLSVNEFADQTNEEFKAIRNGFKVPFGLVSARTTSFMYEDMLEFNHSGRVSVLAFENLQFPN >KVH89469 pep supercontig:CcrdV1:scaffold_61:279304:281793:-1 gene:Ccrd_008525 transcript:KVH89469 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MACVSSVCTRFGAQSTTASLPFLNASRPTRSNLSLKPASAAANSSNSTGLLHCSFVSSSLSLSSSSSTFAGLSLGWDFNSRSGIEPEKRRGLVVKAGKKFQLAQTKRNRSRKSLARTHGFRLRMRTTSGRAVLRRRREKGRWILCTKSNPSSGKRA >KVH89478 pep supercontig:CcrdV1:scaffold_61:631113:634385:-1 gene:Ccrd_008508 transcript:KVH89478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIRFVIKAPIYDSKAQFSTTGPPPTTICYTWFSCRRLKQKRKNSIRIHHDSLRKKIANRDKSKRGEGEKGFTWKGDTTGDATATETKCDATVSNGDEGRRDGEQRRQRATAVATETKGDATVSNGDEGRRRRQRRRRATATATETKGDGDGNGDEGRRRRQRRRRATATETKGDGDGGGSHAFSLHKSLSAREHAYNVWLSVFLLLLMEVQVLRRFYKTIYIFNYSLSAIMHIFGSLNLVAEFIVKGKDRKSKPQFSIWMFVTPFLRLRLYAWLSAAIFLWGWVHQLRCHEIL >KVH89489 pep supercontig:CcrdV1:scaffold_61:6269:6934:-1 gene:Ccrd_008541 transcript:KVH89489 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOG1 domain-containing protein MKQTAIEEKFSDFYEKWVCQLEEYHRFLLQDYTHQSDYENLVAKMTTHHKNYYRFKWSAAHEDVCAFFTPVWLTPLENAYLWVTGWKPSAVFRFVDSLRQTGTGLVDLTEEQVKRIEGLRLKIKMEEEKVEREMERQQVGMADRRMVELLRLTRGGGGDAVAVVALKGLLSGLERVMKMGDCVRLKTLKGLLDLMNPKQCVELLAAQSMFHVQLRKWGKKL >KVH89472 pep supercontig:CcrdV1:scaffold_61:313844:315694:-1 gene:Ccrd_008522 transcript:KVH89472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAEKIVVNFVQTADGPPTPQAFLCCTIQPITARICIYKLAKFVQTATYSFHCSRTTKKRDLMHQEVMDQRFSSCRGVSFEIQPHKDPFAIHAPPASRRGWVPRGSSNKTVPTSGAIISRSSSRASSHFCDLETDDEDDEDNVLVNIEEGYELDDKHELPLQVSVPEMLPQAPSKKILSKPTKPKESRLSVILLHQGLFTVYKRLFMVCLALNIAGLVLAATGYFPYARNHATLFSIGNLLALTLCRSEAFLRIVFWLAVNLLGHSWVPLRLKTATTSLLQSLGGIHSSCGVSSVAWLTYSLVLTIKDRDDTSNEIIGVASAILSLLCLCCLAAFPLVRHLHHNVFERTHRFAGWVSLILLWVFIVLTKTYVPETKSYRKDVGSRLVKEQEFWFTLVTTILIIIPWVTVRRVAVKVSAPSGHASIIKFVGGVKPGILGRISPSPMSEWHAFGIISDGKEEHMMLAGAVGDFTKSLVSNPPSHLWVRQVHFAGLPYLVNMYNRVLVVATGSGICVFLSFLLQQGPADVCLLWVAKGIEQNFGEEIKEWVSGYPKEKVIVHDTAVMGRPNVSEMSVAAARKWGAEVVIVTSNPEGSRDVVNACKGKGIPAFGPIWDS >KVH93579 pep supercontig:CcrdV1:scaffold_610:35272:36919:-1 gene:Ccrd_004371 transcript:KVH93579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENDMSDGNEDGDFTLDATDILVEVDGIITAIAKVFPCTEHRYCIQHISEYMRLSWKGKQYKDMLWRCATASTIQQFDNEISHTDILLNNICEVFNKQLLDARYKPICSTLEYIRVYLMKRIVNV >KVH93576 pep supercontig:CcrdV1:scaffold_610:5001:12070:1 gene:Ccrd_004369 transcript:KVH93576 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MESKYSRRLQTIQNHVTADPQSMPVLQLNQTAGEFFAEQGYSVVLPEKLQTGKWNVHRSTISPLKLLSRFPDHPDIDTSGQLTGKQLPLGQLLGSSIGLYFINRPEWIIVDHACSAYSYISVPLYDTLGPDAVKYIVNHSSAQAIFCVPETLHVLMSFLSEIPSVRLIVVVGGLDELMPSLPSTTGVKVVSFSKLITQGSNDRHPFRPPKPDDVATICYTSGTTGTPKVSISYPFYAISFKSAEGVVLSHGNMIANTAGGTTGIKFYPSDVYISYLPLAHIYERSNVLLLAYYGGSAGFYQGDNLKLLDDMAELKPTVFCSVPRLYNRVYDGIINAVKTTGGLRERVFNVAYNAKKQALLTGKNASPMWDRLVFNKIKDKLGGRVRFMFSGASPLSPDVMDFLRVCFGCPVIEGYGMTESSCAITYMHEKDIVSGHVGAPNPACEVKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEVQTKKNIFKLAQGEYIAPEKIENVYAKSKFVAQCFVYGDSFNSCLVAIVCVDPDMLKASAAKEGIKFESLEQLCNDPRARTAVLADMDAIGKEAQLRGFEFARSITLVAEPFTMENGLLTPTFKVKRPQAKAYFAKAIADMYEEVSSSKLSGERIM >KVH93578 pep supercontig:CcrdV1:scaffold_610:26820:30491:-1 gene:Ccrd_004370 transcript:KVH93578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMAVAALFISMVVSGSLMHTAIGAVYKVGDTAGWTTIGNVNYKQWAATKTFQLGDTIVFSYNKQFHNVMQVTHADYRSCNASAPITTHSTGNDTIIIKTSGHHYFLCAVPGHCQAGQKLDINVQRVSSTLAPTPSESGSSPLASMSSPTSSPTSATASKPNSIGKSSSSTMSLVTLGLAIAVFGGIV >KVH93577 pep supercontig:CcrdV1:scaffold_610:48961:54249:-1 gene:Ccrd_004372 transcript:KVH93577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGLAVAAAGGVEFEAKITPIVIISCIMAATGGLMFGYDVGVSGNDLYCNLFLVMEVMISIDVFATLRKYQIRKRICFSVHLQRLALRGDRPDPEFNRKIDRRLMKLLNSNSGVTSMPDFLKKFFPAVYKRTKEGDQDSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIIGVVFNAAAQDLAMLIIGRILLGCGVGFANQAVPVFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIEGGWGWRLSLGLAGVPAALLTIGALLVVDTPNSLIERGKLEEGKAVLKKIRGTDNVEPEYLELVEASRVAKEIKHPFRNLLLRKNRPQLIIAVALQFFQQFTGINAIMFYAPVLFSTLGFKNDASLYSAVITGAVNVLSTVVSIYSVDKLGRRILLLEAGVQMFLAQVVIAIILGLKVSDTSDNLGTGFAVLVVIMICTFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCINLLFTFIIAQAFLSMLCHFKYGIFLFFSSWVFVMSIFVWFLVPETKNIPIEEMTERVWKKHWLWKRFMNDDEFDAQDEFAKKNGQL >KVI03184 pep supercontig:CcrdV1:scaffold_6103:15370:21170:-1 gene:Ccrd_018521 transcript:KVI03184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MNMGSSRSHCIYIFTVQKEVTNEKRVSSGKLVLVDLAGSEKVEKTGAEGRVLEEAKAINKSLSVLGNVINALTSSQQGKAYHVPYRDSKLTRILQDALGGNSQTALLCCCSPSLCNLSESLSTLRFGARAKHIKASSSSSSSSHMSCKDDMFDRKQETSFENVKIVERILRKLRDKLDVKTMNLLVMDGVFSSGEEEEEEEEEVNTITSQTISSWKEATEKLVKTIIELRKEKKILKDEYEAMMEEYRVMMRSKLIREKKVCLLLGLGFGVLASICCCFLFTILVS >KVI03183 pep supercontig:CcrdV1:scaffold_6103:12208:15095:1 gene:Ccrd_018522 transcript:KVI03183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15, bacterial-type MATAAFLRSLRRRDISSAPLSAFKSVLYTKYQSSAFSACGLNNPIHHSNLVQKPGNSFGVHSIRAYSLLSLNDLRDNKGATKQKTRKGRGIGSGKGKTAGRGHKGQKARGTHKFGFEGGQTPLRRRMPKRGFKNPFSLEFQPVGLGKIARLINAGKIDSSELITMKTLKDTGAIGKQIRDGVRLMGRGAEHIEWPIHLEVSRATVRAKEAVEAAGGTVRKVYYNNLGFRALLKPEWFEKKGRLLPKAARPPPKQMDKVDSIGRLPAPTKPIPFA >KVI03621 pep supercontig:CcrdV1:scaffold_611:190982:197402:1 gene:Ccrd_018085 transcript:KVI03621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTMVQEIHKDFGVLVESRVRNKCGTEQGQTRELDPRNLEPYGPGKAPELSLEHNDVHYHRRIPASSEESELKQKGTAFFTPKCATTSTNRLCNCGVQTKRGRFDVLADESSASKADSASSPAPPPSPPLSTLRNFRVSEP >KVI03622 pep supercontig:CcrdV1:scaffold_611:186968:188340:-1 gene:Ccrd_018084 transcript:KVI03622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPIGSNPTHDSPVGADVTPFKTLFVWCREASDSTMVVTIATISLPPFPAPESFKYHAIKSPNRSSLSILSPPTTNFIRHRIILPRTTGNRPVSATPNGIFLLSEASPAENSDKIVAAVAADNGDGVSVVISVLLFIAFVGLSVLTIGVVYIAVTDYLQKREKDKFEKEEAEKAKRGGKKKRVRSRAGPKGFGQKINDDNYDDL >KVI03614 pep supercontig:CcrdV1:scaffold_611:135735:135944:1 gene:Ccrd_018080 transcript:KVI03614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAYNGGVAVAEEGCATPKHERYRIPSLPLICPPPPKKKRWSAGGERQPPKNGYFRSPEIEIFFARGH >KVI03618 pep supercontig:CcrdV1:scaffold_611:11587:12040:1 gene:Ccrd_018075 transcript:KVI03618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRKKGNLGKCIPAVRDGDDAGAILGDFKEHGHGEIEVGTRRVAPPTIVVRESVIRRAEIGGGDGDGGATRVAPPRVISALDFKTRAAAKSLVEQRGAERRRVDSVALAV >KVI03616 pep supercontig:CcrdV1:scaffold_611:123263:126883:1 gene:Ccrd_018079 transcript:KVI03616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEQNYRCYPVSFIEKSHLEKGDKIIMPPSALDRLAYLQIDYPMLFELRNPSASKVSHCGVLEFVADEGLIYIPYWMMENMLLQEGDIVNVKNASLSKGTYVKLQPHTMDFLDISNPKAIYSCLTTGDTIMVAYNNKKFYIDIVETKPSAAISIIETDCEVDFAPPLDYKEPERPIKSKAPPPPEVDEEPAKKIPKFSPFSGSGRRLDGKPAEPVAAPLAQPHKTEATTSDANGSTPSSSGSRKRSGKLVFGSNTDQDPNGKPKATMKETKQESSQKEEPKFQAFTGKKWELLDPCRSEEARLLGALPAS >KVI03615 pep supercontig:CcrdV1:scaffold_611:113511:119717:1 gene:Ccrd_018078 transcript:KVI03615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MIMEPGHDEFTDYVNGLSLDESIFPVFGQSPGITNGYRFRDEPLDLSFLELPDPTPNSGPCTSDLSSCRSSNFDSPDEFADCVFKYINQILVEEDMEARQSMFHDPLALQATEKSFYEALGKNYPPPVLNVESPEENFFSSSSEYSTNSSTSGSNSTDSHWPGGDSLETKSPVAQTPSLEYPLLSSFGSTTSITNDGFSTMDSLINTHLVENIFTNSESIMQFNRGKEEASKFLPPSKPLVIDLDKYNLPSNSRDASPEVVVKEEIVEMDISSSGFRGRKHYQLEDNVYEEERRSKQSAVYVEEAELSEMFDRVVLCTDPGGPSMSACEEPPRHVKKKMQQNGQAYGYSSGWITRSWGQGSSGEAMDVRTLLVNCAQSVAADDRVTANEQLKQIRQHASPLGDAPQRLAHIFATGLEARLAGTGSQLYANKTALTISAAEKLKAYQVYLAACPFKKIAIFFANKMIYEAAATSSTLHIVDFGIAYGFQWPVLIKHLAERPGGPPKLRITGIELPRPGFRPAEGVEETGRRLANYCERFKVPFEYNAIATQNWETVKIEDLKLQRNECLAVNTLIRFKNLLDDTVVVNSPRDSVLKMIRDMKPDIFVQTVVNGSYSSPFFVTRFKEALFHFSSMFDMFDATLDREDEQRLNFEKEFCGREAMNVIACEGAERVERPETYKQWQVRNSRAGFKLKPLNRELVSKLRAKVRAGYHKDFVFDEDGKWVLQGWKGRILRASSCWVPA >KVI03623 pep supercontig:CcrdV1:scaffold_611:203755:210520:-1 gene:Ccrd_018087 transcript:KVI03623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial surface antigen (D15) MASIAAGTGQFLSLHQTRSRSKLPSPSSSASITTATIRCEQILSQNPKKLTKTRPSKTPLFSVKNLAISAASGILFHVAFKNPSLIGIGGGNGGGGAGGGGGGDGGGGGGGGGFWKRLLSPAANADENQSSEWDSHGLPADIIVQLNKLSGFKKYKVSELVFVDKQSTTVVGSEDSFFEMVSLRAGGVYTKAQLQKELETLATCGMFEKVDLEAKTNPDGTIGLTVSFTESTWEEAEHFRCINVGLMQQSKGVDAEDNMTEKEKVDYMRNQEKDYRRRMDKARPCMLPSSVNREIKRMLGQGPVSARMLQSIRDRVQKWYHDEGYACAQVVNFGNLNTEEVVCEVVEGDITRVVIQFQDKLGNVCEGNTQPGVVKRELPKQLQKGYIFNIEAGKQALRNINSLSLFSNIEVNPRPDEKNEGGIIVEIKLKELEQKSAEVSTEWSIVPGRGGRPTLASIQPGGTVSFEHRNIKGLNRSLLGSVTTSNFLNPQMVYLILETALCVQAASTAESSVQSSQVDQEWTKFLLYGLIEPNFTRQSKFTYGIVMEEITTRDESSHISSNGQRVLPSGGISADGPPTTLSGTGIDRMVFAQANITRDNTKFVNGAIVGERNVFQLAAEVRVPVRNTHVYLFAEHGNDLGSSKDVKGNPTEVYRRMGHGSSYGVGAKLGLVRAEYAIDHNSGTGALFFRFGERF >KVI03620 pep supercontig:CcrdV1:scaffold_611:70046:73892:1 gene:Ccrd_018077 transcript:KVI03620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLEYGFNGYQRRVPSWKKAEENNKQMCAFDLLATVAGKLLQEGGEETEMVKESTTKPEQQTIEDDPSSNVNICDQGSCNRSFFVSEIISQAPVMDELKDCLHPQHDTYSGPSSGITVSDCSEKVLSVEKGKIPFSCKVDEKNSKQMITNEAPKPPVVHNIDNNVKLPFSKDHTTRGPLPLCQDNVSLGVRDDDENSSGVTRVPNKTFKPPPRIGDRRIRRLLASKYWKAAPKLNDEVHCDADEELKAVYCNRKTCYKRQRSLKDYPFKKRRLYELDNFSNSDDVVNSEERYSPPQKDSTENGTGLGVKSEGGMKTSGFVAKQNPAFQPRDSHVKLKIKSFRVPELFFELPKTATIGSLKRTVMEAVTSILSGEIHVGVMLQGKKIRDDEKTLLQTGIHNKLDALGFTLEPNQLQAPSTLCPEDQSFGLTPDMPKPLIRYTPAPTVANQLVVQPEVSDASPDPPVTNFSNLIESDHDSAPSPPDMSMDNKSGSDSRALVTVPAMNPRALAVVPMRKSKRSEVAQRRIRRPFSVSEVEALVQAVEKLGTGRISPQQRRGEPVPQELLDRVLAAHAYWSHHQAKQQFKQPQQPETCRLL >KVI03625 pep supercontig:CcrdV1:scaffold_611:179666:186519:1 gene:Ccrd_018083 transcript:KVI03625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLSELGRRPMIRRAAGGGGNDGSFGDELEKEIGLLLHEQKREADDHEKELNMYRSGSAPPTVEGSLSAVGGLFANNNGSSNNLAFSEIAGGNGFTSEEELRADPAYLSYYYSNVNLNPRLPPPLLSKEDWRFTQRLQGGGGVGLGGIGDRRKANWADGGGGGGGGGAGGGGGGVSLFSMPPGFNSKKQEAESSEGEKLKVSAEWGGDGLIGLPGLGLGSKQKSLAEIFQDDLSRSTPVSGHPSRPASRNAFENNDDTLGPAEAMLKSSSAAQHSNGLPTSYSYAAALAASLSRSGTPDPQHIARVPSPVPTPIGGGRVNSMEKRNLNGPNLFNGGSSHSKESADLVTALSGMNLSNEVMGEGKNPTHFDQNTDYNDGYLSNVSNSHSGASNNLYVDGSSNNFYGGWDPSYSNYGTSGYSMNSPQMMSSQGGSLNLPPLFENAAAAAMGFPGMESRFALESQSLSRMGSQMSGNAPFVDPMYLQYLRTTEYAAAAAQIAALNDPTADRNSYLGNSYTDLLQKAYLGSLSSPQKSQYGGQFGGKSASPNHHGYYGNPGFGMGLSYPGSPLVSPVPNSPRGPGSPIRLGEVNARFSPQMRNLGGGAGGVMGPWHLEGGDNSFASSLLEEFKSNKTKSFELSEITGHVVEFSADQYGSRFIQQKLETATTEEKNMVFQEIFPQALTLMTDVFGNYVIQKFFEHGMPTQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVEELDGHIMRCVRDQNGNHVIQKCIECVPEEHIQFIITTFFDQVRVLEHCEDQETQTKVMNEILACVSMLAQDQYGNYVVQLAGKIVQMSQQKFASNVVEKCLTFGDASERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQEREHILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRVAAQSSLSHAG >KVI03624 pep supercontig:CcrdV1:scaffold_611:194631:197776:-1 gene:Ccrd_018086 transcript:KVI03624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGEEVRITEYDVRGGGGGGGGGGGGGGGGGEDDDRVFEWEAGLPNVDDLTPLSQSLISAELLSAFSITPQPYRSMIDVNRASQNTLSNMRGALEKQSSTKYNSFKSFSDDKGYDEMVVEGEETADHGSDTRKLRRVDSGGDGGGAGEEAESALLADDSSARTSKRPRLVWTPQLHKRFVDVVAHLGVKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSTQGPSSSDYLFASTPIPQNLQESGGGNGHRYAPGTTPVPYPAHMVPMPYPSPQMVPNSAVGDGGAYHHGYESHSYPYNMTMQQRDWSGNKFASVSAYQHRTTPNDK >KVI03617 pep supercontig:CcrdV1:scaffold_611:10250:12418:-1 gene:Ccrd_018074 transcript:KVI03617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAIVSGILFIIFSALSLADGRIPGNYAGGAWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNKGLSCGACFEIKCADDPRWCHPGSPSITVTATNFCPPNYALPNDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKAGGIRFTINGFRYFNLVLISNVAGAGDIQKVWIKGTKTNWMSMSRNWGQNWQSNAVLTGQPLSFRVTSSDRRTSTSWNIAPANWQFGKTYTGKNFRV >KVI03613 pep supercontig:CcrdV1:scaffold_611:149419:149673:1 gene:Ccrd_018081 transcript:KVI03613 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL-like protein MSSTKSPLLRSFSQKCSSSKSSLFSRSSSQKNKCAPSDLSRSSSQKCANFTRKCGSLAKEQKARFYIVKRCVTMLVCWKKHGDS >KVI03626 pep supercontig:CcrdV1:scaffold_611:160594:161745:-1 gene:Ccrd_018082 transcript:KVI03626 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1117 MSRTAAASYWCYRCTRFVRLPAEDTVVCPYCEGGFVEAVEASEAPPLGTRRRFPATAMYMLGSNDRSDLRSRRGGRRNNGDRSPFNPVIVLRGPAEGSGAAEDSGGGERGFELYYDDGAGSGLQPLPPTMSEFLMGSGFDRLLDQLSQIEINGLGRSGHPPASKASIDSMPTIEISHLHVSTESHCAVCKEAFLLRAEAREMPCKHIYHSDCIIPWLTLRNSCPVCRHELPTDSADSNSNNLERAEPNEEESAAVGLTIWRLPGGGFAVGRFSGGRRAGGGERDLPVVYTEMDGGFNNNSGTPRRIMWESRRNSTRGESGIGRVFRNMFSFFGRLRPSSNSTSNSSGASIARSRSLSSSVFGRMTRRRSRTWILDEQNGMSRW >KVI03619 pep supercontig:CcrdV1:scaffold_611:52327:54129:1 gene:Ccrd_018076 transcript:KVI03619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MDNLSRYRGKIWLVLCLFFVCWYFVIHNVKWYKFSPPTTVFRRPVDDIDSTTTYLAAARSGNDDEKQGGGGGGSDEKTNLVSIDGEIDWVHDEAQVRALEKELEPVLQKFKPKPMEKKKQAVIDKEFEDERKPKPKERRVNETLIDRVAEQMGGTLTRRSACSGRYIYVHKLPSRFNDDILEDCRSFNKWQDMCPSIDNMGLGPKLGNPQRVFSKSGWYSTNQFLLEVIFRNRMKQYECLTNDSSIASAVYVPYYAGLDVSRYLFDHNTSSRDALSLDLAEWLHGRPEWKTRSGKDHFLVAGRIIWDFRREIDDDDAWGNKLMVLPELKNMTILTIEKSPWHNDDFGIPYPTYFHPRNDNEIVEWQNKIKRQKRRSLFCFAGAPRPKMEDSIRNEIIEQCVASPRKCRLLQCSYGNLRCQQPVDVMKLFQSSIFCLQPPGDSYTRRSTFDSILAGCIPVFFNPGSAYIQYLWHLPREFEKYSVFIDEDDVKVKNVSIDKILSRISTKKVSEMREKIIELIPNLVYADPRSKLEKFNDAFDLSVKGVLKRMDYLSKNTSSLDFDQKFSWKYLLFGSVQNHKWDRYFRYSMNHKVKKQTEMF >KVI01458 pep supercontig:CcrdV1:scaffold_612:52686:62697:-1 gene:Ccrd_020269 transcript:KVI01458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidyltransferase-like domain-containing protein MEYESNNSTWDGVCYYPHLFGGIMLTAALLGLSTSYFGGIMGFPTLPYMLPYLRNLQKKKGGKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEIVTNKGPPVLSMEERLALVSGLKWVDEVIANAPYAITEDFMNRLFNEHKIDYIIHGDDPCLLPDGSDAYALAKKVGRYKQIKRTEGVSSTDIVGRILASMDDKEVCQVPKESSQNGKPVEMNKSVENLLKSKHASNFLPTSRRIVQFSNAKGPGPNARVVYIDGAFDLFHAGHVEILKSARQLGDFLLVGIYTDQIVSEQRGAHFPLMHLHERSLGVLACRYVDEVIIGAPWEITKDMGELDPYSVPKSMGIFRMLESPKNITTTSVAQRIKANHEIYEKRNAKKEASEKKFYEGRKYISGD >KVI01459 pep supercontig:CcrdV1:scaffold_612:165169:167800:1 gene:Ccrd_020271 transcript:KVI01459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDKVDEAKQDPRLSGAYIRTLVKHLTAKDPLDGDGGITKNLNENEEHQKRPTQPPQHKKQVRRRLHTNRPYQERLLNMAEARREIVTALKFHRASMKQQQQEEAANNLDLQSEQSPSLGQEKKTKSRRILGLYPSTTATATNSYSSLSSPPPVPCYWPISTIVPPPPPSYHDNLNFVLPSQTLGLNLNFQNFSNLDTHLYHKPMSMYSSSPVSSTPSTSSSAPVSAAAEELVVGKPKETVSNSSGGGGLHHHAMDDEEMEEIRSLGEQHQMEWNDTINLVTSARWSNFLKTMEIEAEEGDEYDGFDQVMEFPDWLMNANESSCLEQQFDDHFSDAYLQDPALPCMDIGEIEGMDGEWLA >KVI01457 pep supercontig:CcrdV1:scaffold_612:29472:38183:-1 gene:Ccrd_020268 transcript:KVI01457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDESACNTYNYGDSVYWDARYVHEASAGSFDWYQRYHALRPFVRKYIPISSRVLMVGCGNAGLVNINLVDCYIGMLLGYYCYSGHISDSELKLSFPIAPSLTELILFPSKSQFEEGLYPFEWLPFMSEDMVKDGYESIMNVDISCVAIEMMRRKYEHVPQLK >KVI01460 pep supercontig:CcrdV1:scaffold_612:119495:123199:1 gene:Ccrd_020270 transcript:KVI01460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREDELKLKLQDLQKQLGKKQMFEEAVASIRSLLHQFYPSASPSLRKSFFTVISRVATILKTRYTSPGFWSAGQGLFLEAEQLVSESSEREHLRTCIARAREQLSEIDNQPEESAQNRRSQGYLFEGHLTVDQEPPQPQWLVQSNLMTALAASMAQAEPSRDNTSEGSNNLPQELMDRIHELMPDVSDESSLPQEMIDRLVAMFPEGDSAPRAPPASKEVVAKLPVITITDEILGKLGPDAECAICKENLVVNDNMQEMPCKHTFHPPCLKPWLDAHNSCPICRHELRTDDHEYESRKEREKEAEEERKGAANAVRGGEYMYV >KVI01461 pep supercontig:CcrdV1:scaffold_612:207698:213931:1 gene:Ccrd_020272 transcript:KVI01461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSWPSDSELDKIKKVVAEMAGVAVNVVRVVVSPYRICPLGAHIDHQGGTVSAMTIDKGIILGFVPSGDPKVLLRSGQFSGEVHFRVDQIQLPKHIAKPNGKIQENHPSDPPEECKWGSYAKGAVYALQRGGNHLKQGITGFICGTEGLDSSGLSSSAAVGVAYLLALESANNLIISPTENIEYDRLIENEYLGLKNGILDQSAILLSSYGCLTCMDCKTKKHKLIHPPKIEMEKKGESKIPYKILLAFSGLKQALTTNPGYNRRVSECREAAKILLKAAGKEVEPILSNVEQQDYTAHKSKLEPDLARRAEHYFSENMRVIKGLEAWGFGDFEEFGKLISSSGLSSIQNYECGKSSSRFSGAGFRGCCVAFVNADYADEAASFVKTEFRKLQPDLASHLDQKTAVVICDAGDCARVI >KVH89207 pep supercontig:CcrdV1:scaffold_6124:8136:9729:-1 gene:Ccrd_008808 transcript:KVH89207 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF641, plant MELGAAATSKPPQISEMFQKFAIAFKAKTFELFAEEEESAANSAAVSAAVSDNEDGFTLLDSTEDFITDQKVIIIKPDLKSQNQIVSASIIQPFNTRFAQVNENQNKLRALETMFNRLQSDIDGKDDKVLMLKKQLGETEDCNSKLSMKLSKNLKPPNGFLPTIRVFDSMLRDACRSSHRFTKLLIQLMKKAGWDLGLAANYVHPDVGYVKKGHNRYAFLSYVSLGMFQGFDSENFGLAENVASNDNSMELFEHVLVNPLETLNKNPSCAFSKFCERKYEELVHPTMESSIFKNLDRKKEVLDSWRSLSVFYEAFVNMSSSMWLLHKLARSFHPTVEIFQVEKGVDFSMVYMEDVTKKDAYHDKGISEVGFTVVPGFKIGKTVIQSQVYLTGSNRTK >KVG84420 pep supercontig:CcrdV1:scaffold_6125:14629:27252:-1 gene:Ccrd_026164 transcript:KVG84420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSSSAASNASDFDGNEYEEDLTNQQSYFYSSPERWAYSTNGVFLGNDDAPFVSRSENVTGGDVYRTARFSPXSLRYYGLCLRQGSYKVRLHFAEISYSDDMTFSSLGRRYFDISVQGVLXRKDFNIMEEANGVGRGISIBVXBVMVNGSTLEIHLYWAGKGTTAIPDRGVYGPLISGITITPNFDVSTGGGLATGAIAGIVIGCCATIALILLVLWKKGYLGDKEDKEELEESLSARLEENEHIKAGKGTSGGNEREWLELQATRKKWVENLQQRRWWQLKWVLNEWRRKHFHAMELTYYLFFWYFFYNVAIFVLFTMKGFPCSVVSGNNFTGTIPNSFGNLTSIEDFRIDGSTLSGRXPDFIGNWTRVTKLRISDLAGLLSMTFPDLRNMTGLVKLSLRNCLLTGPIPDYIGQMRRLKNLDLSFNRLNGSIPNTIQALNFNVMFLNNNSLSGRVPGWIFDSAESNQKIDAWCLTDQLTCSRXPDRHSLFINCGGARSDFEGNEYEEDLTSEQSFLYSTSERWAYSTNGVFLGNEDAPFTASTTNVXGGDIYRTARFSPSSLRYYGLCLRKGSYKVRLHFAEISYSDDMTFSSLGRRYFDILIQGVLRRKDFNIMEAANGVRRGTFIEFDNVVVNGSTLEIHLYWAGKGTTAVPERGVHGPLISAIAITPTGIVISSCTVFLLILAVLWRKGYLGGEGEDKELRALHLQTGYFSLRQIKSATHNFDSANKIGEGGFGPVYKGVLSDGSEIAVKQLSARSRQGNREFVNEIGMISGLHHPNLVKLYGCCIEGKELLMVYEYLENNCLARALFGKYFTIPLFTCSISIQSKSYNLSFYIGSEDQKLNLEWPTRNKICMGIARGLVYLHEESRLKIVHRDIKASNVLLDRDLNAKISDFGLAKLYEEENTHISTRVAGTIIVMPAKTTHQARVLEEQGSLLELVDSCLGSKYSKEEAMXMLNMALLCTNPSPTLRPPMSSVVKMLEGKIPVQPPMVNEVRRSLDMSFTAFDVLSRDSQTQXSAISEGSLRADEHADQWLMGIFDRVLG >KVG84419 pep supercontig:CcrdV1:scaffold_6125:3:8133:-1 gene:Ccrd_026163 transcript:KVG84419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVGFKFSILVLFLSMSLREXGSSAQPLPVEEVKTLGLIASKLQYTGWRLANTDSCSTGQGLNQIISLRKGGLISIGSNVTCNCSSTLCHLKALNLTGVLPEEFADLTFLQEISLLGNRISGSIPGEIGDISTLEELFLSGNNFNGTIPVSFGNLINLEDFRMDGNTLSGRIPDFIGNWTRINALRISDLAGSSSMRFPNLQDMTRIQRLDLSFNRLNGPIPNEIETIDFDSMFLNNNSLSGEIPQWIFQKNTNVK >KVH99427 pep supercontig:CcrdV1:scaffold_6128:19828:26370:-1 gene:Ccrd_022339 transcript:KVH99427 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein ITQDKEDFHCTSGVWNTLISSSYKRSPPYEQQNLTDKMDDSMNPNPAFLPLPPGCQFFPSEEELVCHYLALKNSGDHLGSNLIEEIDLYRFEPFDLPGRACFLFGREGKRKHWYCFAAMPRVKRGRGEKRRAGGGIWKRSGSVKDIVGAGVQGVVLGTRKTFVFYLGDSPKTAVRTKWIMYEYALVDQKMAEASYVLCRVFAKSHHRSNTPSDVLKSCGENVKTVRHIGIQCDDALISESGMVKVSSIGSADNICLKRFGTSNGKVSDLLVAVQMNPLALEEDFIELNDLLRCQVNPKCLMRLHYGGPLQTMIEKPTKGFTKIWTESVAYLSVHNGVTRLVCPPKICGCWWHGWKGVLHGKTGDGDVIAKTVGFRCFTCLSSLLFMLPVYLSNGFTSLNLYYFYTCIMLKNSLYYVIHNIEVHLISCVYFYNMISKSHEEMFKICKKNLYVYT >KVI06560 pep supercontig:CcrdV1:scaffold_613:128457:128789:-1 gene:Ccrd_015094 transcript:KVI06560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKIPKKRKELSVAITEASSAASGEQITTPRKRGRPRKVVEKTEEDVEEEVKEPKEESQQDLEGESESKKAKSNEELVKSSSSTQDHHHHHHQQPRRSRRKSKPRKSS >KVI06556 pep supercontig:CcrdV1:scaffold_613:52032:55126:-1 gene:Ccrd_015090 transcript:KVI06556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MDDNKDIQLKKIPAFTVLKNGSILKNIFLLRKPPPFSTQESTVDSSSINQEYDEEILLVGRHPQCNITLEHPSISRYHLRIHSNPSSQMLSVIDLSSVHGTWVSGKRIESGVPVKLKDGDTVRMGGSSRIYELHWVPLSQAYDVDDPFIPAVYAFKTKQDENCLCPSDDDLESLNLQKLNPLTSPYCSNLCSDDTEVEHSSPSDGNGEIFSTSLVQPSPVLFETISAIEDSDCRNKLENQSNWSENKMSDEFEQLSNETIDKDLFSVPNCSSSSRDDMEVEHSSPWKTGNESGEILSAFPVQAPLVVSETISASEISDTNLNKSDQSSMKDDLVSGASFVAIIQGKDALDQDIASDNEIDEGSEYETVNQQKIENSPVPMALCSGVNRDENGFFMPLRAEGEHVNMGCDSEQMNQTKMMDGSAFVVLFDGVGSKMNDDVICKSIISREEVDMGVKLELFDQETPKKDSVLVTLPDGIHMDQEQTFTPDISRTKEVDINLEAMHNASVSMNLFDSSDGNELEIFTPGKENNNPNACSVKSLKRRWKEDEHEQSTHCTMSKKAVNPADNEEKDIFGFSEKLFTTDGEKFVCDTFLQRSPDTILFSSLEKETKSRAMKMEGSYNSINYPQTVQKKRWTMVVDTNSLLHNESLKHLKLLQGLIGTQLFVPKIVMRELMDIKGQDDIPKKSTKKVSLALKWIDECMVDTKWWIHVDDEILHSSEAELEVLETALHLCKKITDRKIIILSNDLTLKIKAMAEGVKCEAAEEFRRSLVNPFSERFMWVGSSARGPTWSFIDDDILRQKYHGCTVNASYRLKGLKLVANF >KVI06562 pep supercontig:CcrdV1:scaffold_613:48042:51794:1 gene:Ccrd_015089 transcript:KVI06562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup METLLKVIFHFSFFLLLLVNSVLTQVDVRRVPLSSGVERAALLDLRASLGIRARYWPRKSDPCTNWTGLECRNGRVIGINLSGLRRTRSGLLNPGFAIDSLVNCTQLVSFISSGFPLRGSIPDWLGQNLGALQVLDLTSSSVLGPIPPSIGSLNQLNRLVLSNNSLTGIIPDSLGQLSSLSVLNLSHNTLTGSITSSFSALGNLTFLDLSSNFLSGPIPPEFGSLLSLQTLNLSSNSLASSVPAQLGNLSQLVVLDLGSNSLFGSLPTELGGLRRLRRLLIGNNDLGGTFPGNLTANMPDIVLLDLSSNNLTGNLPDLSAFPNASGVTLNFSNNLFYGSLGFKPTVVGSIDLSNNYLQGLESQAGNGTSLSGNCFLRFADQRSLEDCRRFYALMGLPFDGTPNPVQPPSPKKSNDRLKYVLAGVFGGLGAIVIVVIILVLVAKTCNKRNVSQRSANVEPVQEEGNGNAQNIAVNLASLVDSFTYEQMLQATSGFSDANLIKHGHSGDIFSGRLEDGIAVTIKRVDMRTSRKDCYMSELELFSKGMHTRLVPLLGHCLEHETEKLLVYKFMPNGDLSNSLYRSTSLEDDGLQSLDWITRLKIAIGAAEGLSYLHHECNPPMVHRDIQASSILLDDKYDVRLGSLSEVCTQEVDNNQNVITRLLRISSNSEPTPSGSSPPICAYDVYCFGKVLLELVTGKLGISKSEDKEWLDRTLACINNYDKELVSKIVDQSLVIDEDLLEEVWAVAIVAKSCLNPKPAKRPQMTHILKALENPFRVVREEDFSSGRLRNNSSRRSWSTALFGSWRQSFSGSTHSQTNRDGQKQSNSQGSGVNDKRLSSEIFPEPVDIVDVERQDGNR >KVI06555 pep supercontig:CcrdV1:scaffold_613:67505:69745:1 gene:Ccrd_015091 transcript:KVI06555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPISTLIPSPNPIILTALFPPKAPKPSPPSDSNHYQPPPQSSSLLNLIPTTRREAATGVILTSIASLIPSFSQPPLATAFSFGISGPKDWLREQKKKASKYLLAPIDASRNSLQAAYLLITGSGTSPEKDLEEVQRLLASAARDCIPQERNSIVTFQSKTGVEVCTFRLVLKNAASLLDDKDPTLLEAEAKLSDLERYLTINLSYKSYVSFSSLNSVANGTAPQILSNRQKVADALMDTISSLNNFEQGVKDCLEI >KVI06557 pep supercontig:CcrdV1:scaffold_613:101362:110544:1 gene:Ccrd_015093 transcript:KVI06557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MGEVSCCETEFFVCLLVIVGLVAFAGLMAGLTLGLMSLGLVDLEVLIQSGRPKDRIHASKIFPVVKNQHLLLCTLLIGNALAMESLPIFLDKLVPPWAAILISVTLILMFGEILPQAISTRYGLTVGATAAPLVRLLLWFFFPIAYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALELTEKTAKDAMTPISKAFSLDLDGTLTLDTLNAIMTMGHSRVPVYSGDRTNIIGIILVKNLLAVNPDDAVPLRKMLLRKVPRTYFDCMCTIFRVTDNMPLYDILNEFQKGHSHIAVVYKDLNKTKERLKKSKDSEKTENLANKSTSHDVEMKTDGDQQAKRSPPSTPAFKKRHRGCSFCILDLENNPIPKYPSNEIVVGVITMEDVIEELLQEEILDETDEYVNIHNRIRINMNASQENLLDPHPSLNSTAPGSAK >KVI06558 pep supercontig:CcrdV1:scaffold_613:74118:76642:-1 gene:Ccrd_015092 transcript:KVI06558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase MHYPLPSSGSIYSFKTQEIKGNISIHMANGRLPDHQNWPRPGLLYSEALRELHATIENDWDSVKQSACQTAAGRALWKHVVHDPLAELFAGETYLKSLYEKIKKDRLNNAREVSGVILAVRTLWFESKLEAAVKSFGGGAQVVLLGAGMDTRAYRLDCLKDSDVYEMDFPEVLQMKDTLLEAAMESINEPMLTAKSLRRIAVDIRDEDWFKKLQASGFKPEKNTVWILEGIIYYLEHSQAMGVLKTIADNCNLTETVLLADFMNKQSTTLSSSNFHFYSDWPDHLLPSLGFSDVKLSQIGDPDADYGLLHDPLNLFNKLRGLPRSIQNHPDDGTPCCRLYLVQASGSPNQTISRVSSS >KVI06559 pep supercontig:CcrdV1:scaffold_613:150905:158531:1 gene:Ccrd_015095 transcript:KVI06559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLYETLTKKDNMVANPGLQIIKHPSYHSYGKQLLSWFDIRVFYVRISNLMVDGYTPEYLTLNHIPLDPDTVLEVNGRRCTLQSEGSSCRLRRNRVDKKFEEATFVSTDNIRLSGSVKFEVFDGEDLILSGALEISNDCNGNIGESKDNDVGKWSMTCESLISASNRFLKGKQMVVCDSTPPMIEVYVAGSFSGTPIILTKTLQISLRKKQNRKGRLDTIPEYETAESQKDVAAGHDLQVAEYRRYKPVNDENYDSSLYWSQTEYMEGEDGALSWFNAGVRVGVGIGLGVCLGVGIGVGLLVRTYQSTTRNFRRRL >KVI06561 pep supercontig:CcrdV1:scaffold_613:156735:158531:-1 gene:Ccrd_015096 transcript:KVI06561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MFRRRGSVRQQQEQDHHELHPESKVNELKAALGPLSGHDLLYCTDACFKRYLEARNWNVDKAKKMLEDTLVWRSTFKPQEIRWHEISVEGETGKVFRANFRDRFGRTVLIMKPGRQIFKLKSLQNTTSMENQIRHLVYLIENAILNLPEDQEEMAWLIDFSGWSFSTNVPVRTARETISILQNHYPQRLAVAFLYSPPRIFEAFWKIVKYFLDPKTIQKVKFVYPKNKESVELMRSCFDVDNLPTEFGGKATMKYDHEDFSRLMLQDDLKTAKFWGLEQKTPSAATNGIAEESKYTEC >KVG84359 pep supercontig:CcrdV1:scaffold_6130:5835:7834:1 gene:Ccrd_026165 transcript:KVG84359 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDITSFLVHNNLSAPLFVLSTIFFLLLVVRLAKPTPSKNLPPGPPRLPIIGNLHQVGDRPHVSTAKFANEYGPLISLRLGKQLLVVASSPDAXMEILKTQDRFLSSRVVPTAFQQASLIPHSLIWSECNHTWKNLRTLCRTEMFSAKALESQSRLRDEKLGRLLDFLHGKQGQVINVEDVVFTTLFNTLSSIIFARDFXDLKDERGSRDGLKESLHKIIEYGGIIKDLGSFFPIFERFDLQGIRKGTMKQYXKTFAYWEDIVEERRALIXSSTWSSEQAQSFLDRLLENGFSNNQINQLVTELFVAGTNTTHTSVVWALTEFVRHKEVMSKIVDEIKREINSNKITDSQLSNLPYLQASIKEAMRLHPPVPLLLPHMAAETCEVMNYTIPKNSKIFVNLWAMGRDPKVWDDPLSFKPERFMDSKLDLKGQDFELLPFGSGRRMCPGMPSGIKSVQLVLASLIHEFDLILPNDVDPMKLNMNDKFGIALKMEEPLKLIFKQKREPQXA >KVG84361 pep supercontig:CcrdV1:scaffold_6130:11065:11935:-1 gene:Ccrd_026166 transcript:KVG84361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQVTGVLEGTYVTQSSRGTTSVKVLRLQEWLPGENVSLFVASIPIICTRLKNVIVIGFNSSIVVSWNACQSHNKRRISCGNQHGINLMMCTAWRT >KVG84360 pep supercontig:CcrdV1:scaffold_6130:16179:16839:-1 gene:Ccrd_026167 transcript:KVG84360 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold LTQLASFLLLLPAFTLGGSGGGIAYNKQEFEAICKSRLAASVTSQVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPVDREVMVIEMNPRVSRSSALASKATCFPIAKMAAKLSIPRFAFEKFPGSQPVLTTQMKSVRESMAVGC >KVH91471 pep supercontig:CcrdV1:scaffold_6134:15595:25014:1 gene:Ccrd_006506 transcript:KVH91471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEVAQIGTSSLGTCVPSVRKISHLTGQYDEELWLFEKMDDERRQKGDMPCLIEDHKVPDWVYTKPDNPKDRRGKGYETANSSGSRLRQEVVYVHALREQQWMKVIAVGDQCLKHHPDKPRKEQQQLLENFINRNSVEDDVLEFQMCKRQKNRLLHRFNIKMKGTEMRERKRVVDEGIEKFRETLAAQEKPKRQWRAYWAVVPTLQKLP >KVH91472 pep supercontig:CcrdV1:scaffold_6134:15181:15586:1 gene:Ccrd_006505 transcript:KVH91472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKIFGMQFQPFLIQLRSSSGLMNHLPIDVML >KVH91470 pep supercontig:CcrdV1:scaffold_6134:23731:24716:-1 gene:Ccrd_006507 transcript:KVH91470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIKLMVAKKGFQMEWQILLLMAIPIVILNRSLSHYTHKTALIALKRYSVNQVQHEGKTQVLSIQTLSSLWLLHMLLKCNGDFADHIFINFPLCFFQLHFTYKSMKLLLVKVQKTKRK >KVH89354 pep supercontig:CcrdV1:scaffold_6135:5414:19210:-1 gene:Ccrd_008658 transcript:KVH89354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAITNPFSSLILSLHVVITFHQWARPFSSHLQQTVLGGFPWPCPTWFSVFGSSKSFTLVWLLLDVCPYKSYMSKSSSSFMDKPSESAKGDSSVEREMRQILNHLNDLRNQQPKDDVPPPPHIFIDLSSPEEDMEVFKEQYKVLGSPSVAASASVRCKNLGFDNGCVTFMCIRDKKVQFPGKSLYALGRSWFKEGFTEKDKAHDVGCIISFPVGSKEKEKAKARARIAEKKGKRVQKKIPFAPTPANKVQKEVCLSSTPVNKVQKKVHFAPTPPPHRGEGIRKKRFVPKMRSLRAIMASTKRMPDA >KVI11424 pep supercontig:CcrdV1:scaffold_614:69256:90280:1 gene:Ccrd_010162 transcript:KVI11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGKEKKKYKDIIISINFVKSAGMALSVSDLPAMYTLLSNSLSGDEALRKPAESTLAQSENLPGFSSCLMEVITAKDLVSQTDVRLMASLYFKNSINRYWRNKRDSQGITNEEKSHLRQKLLSHLREENYQIALTLAVLISKIARIDYPKEWPELFSVLAQQLQSADVISSHRIFMILFRTLKELSTKRLTSDQRNFAEISSQFFDYSWHLWQSDMQTILNGFSSLAQITTSNASEHHHDELYLICERWFLCSKIIRQLIVSGFPSDEKSLQLVALPTTSVINYLVGGPASQGDSSFAEHHRKFFEFIRKACTKVMKILVAIQMRHPYSFGDQCVLPLVMDFCLNKITDPEPEIMSFDQFLIQCMSMAKIVLECKEYKPIMTGRVIDENVVTLEQRKKNISGAVAGVLTSLLPNDRVVLLCNVLIRRYFVLTASDLEEWHQNPESFHHEQDAVLWSEKLRPCAEALYIVLFHNHSQLLGPVVVSILQEAMNGCPPSVTDITSGLLLKDAAYGAAAYIYYELSNYLSFKDWFNNALSLELTNDHPNMRIIHRKVALILGQWVSEIKDDTKRPVYCALIRLLQDRDLCVRVWCSLYSSQYLTFCSFSIFYHKKIPLCSLISLIFQLAASRSLYFHIEDAAFSQQEFSDLLPVCWKLSFKLVEDVQEFDSKVQVLNTISVLIAYVGDIIPYANELVQFFQKSWEESSGESLLQIQLLTALRNFVVALGYKSPMCYSVLLPILVSGLDVAASIIEGYIILGGPEFLSMHASSVAKLFDLVVGNVNDRGLLSILPVIDVLIQCSPSDGPQLISSALQKMIVICLTGDDRVPTSTAVKASAAATLARILVTNTNFLAQLASEPSLVQLLQNAGFPVGENILLCLVDIWLDKVDNVNYIQKKTFGFALSIILTLRLPQVLDKLDQILSVCTSVILGGSEDTTEDESSSDSMSSSRPQFPSKEYRRRQIKISDPINQLSLEKLVRENLQTCASLHGEAFNTAMGRMHPAALAQLKQALKMT >KVI11426 pep supercontig:CcrdV1:scaffold_614:8455:24461:1 gene:Ccrd_010161 transcript:KVI11426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTLRHLPSQNPPAKIEVQRTNGVQSNEQGSSKGKGLEILNTQEPTDLKVTKPIVQQTSKQAARVGKVPKRSGNQVYTVPLPQALDLEIVSGDNVQNEVKSPNEIMHAPRKLKNEQGTSPKALWETVKALNINQKAAIKEMGFDALLDMTLDGIPSKLGHYVMDLLDTSTMTIQMRDGQIPVTVKSIHDVLRLPTGGLDLNLIEPSKCNDAVVSAWRKKFSKDRMRPKDVMNVIQKSNDAGVMFRLSFLVIVVNTLAECSRVGVCNLGFLSRVHSLDMIPRIDWCKYVYDCIAEDIPQSSKAKEAGNQCVSKEECIIMMGEQISDLRSSRTETDMLLQAYVERFPDDRCFDQFKQELARMFKDNIWESRLDEGQPSDKGLSVVDVTPPKMTTTSDPIMLSSLSQFWTSPTMIAEVDRASNERAAITAKGVGCNTYPKLLEKVKMTSLEAQLESVGRVRSRGIDECETWASKLRRRAQTDIDAPAFDLGISPSKEEVIACVGSSKAIGGQENVISTMPKRDTKLSFKLRSPYVTRAVTFEVSSDERKLQDWILRGIGGTLEPVFMTTKGKTVTRQTMQSLVSQSVVSTEIIDVWSMVLNREERLRSNESPRRYFMDAIIMDEDLNVNQRYDRFRKNITSCMNNDKELISMRNVDLVFFSVVEPSFYYVLVFDLKHPSIAILDSQNRDGKVDDIYGSSTVGLQDMMIMHLLKEGHGAWKVYAEMDQDHIKTRWQFRENTVDAGVMLMRHMETFFGGDVMKWECGLYKEGTKQKRQLKVLRTKYCSKMLLSDENIRKTSIISDVERFIAMETSYNARKNCGARLMSQGKK >KVI11425 pep supercontig:CcrdV1:scaffold_614:100859:108195:-1 gene:Ccrd_010163 transcript:KVI11425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M24, methionine aminopeptidase MSDDERGEEKELDITSSEVVTKYKLAAEIVNKALQLVLSECKPKAKIVDICEKGDSYIREQTGSMYKNVKKKIERGVAFPTCLSVNNTVCHYSPLASDEAVLEEGDILKIDMGCHIDGFIAVVGHTHVLQQGPVTGRAADAIAAANTAAEVALRLVKPGKKNQDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNPETRVDDAEFEENEVYAIDIVTSTGDGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEINQKYPIMPFSARALEEKRARLGLVECVNHELLQPYPVLHEKPGDFVAHIKFTVLLMPNGSDRITSHTLQELQPTKIVDDPEIKAWLSLPVKAKKKSGGKKKKGRTVEKVEEAMEESSNGQE >KVI11427 pep supercontig:CcrdV1:scaffold_614:208491:209625:-1 gene:Ccrd_010164 transcript:KVI11427 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MFGLAITVSVSHTTNTTGDAATITKATNVTKPGCQSQCGNVTIPYPFGIGPGCFMSDWFEMTCNTSFNPHKPFIGGVDIIDISDSTFRVANTVASKCYDQNGSVIEDHPAQLSLGWTSPFTFSQQNQFTLIGCDDFALFLGPEQVNFTSGCIALCTRPEDVVNGSCSGVGCCQTSIPKGMKYYYTSVYSMYANHTSIWSFDPCTYSFLGEKERFTFNGVSDFMDPNFRNRTRASVPVLVDWVIGNLSCSEATSAGVLGCQANTECIDSDTGVPGYRCSCNQGYQGQPYLDPGCQDINECEDPNSNPCEGICTNTPGGFTCSCPQGYVGDGLKTGRACVPENSQFPVIKFSL >KVG84024 pep supercontig:CcrdV1:scaffold_6141:25949:26346:-1 gene:Ccrd_026168 transcript:KVG84024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESDFFDLLMEIESHQWASCIESLKKQRKISKWLITIWILTTVLLYTLWKQKLKDDLIVHYVLRRTYIISSKIQAQKIILWSPMRKQDQVMNLELPKYKGKEGEFGRMLAAKGFKKL >KVH92086 pep supercontig:CcrdV1:scaffold_6147:9730:11438:1 gene:Ccrd_005884 transcript:KVH92086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEHLQEHGPNKWNLLLSKGVLKRTEKSRRLRWLNNLQSNLKKGMFSGKRKNNWCLIYMQSKYGTNWVKIATYLPGRSVYHVKNIWYNHQKSMAQFLSLKKSLKEKQQIGREDTEHGLKKWNLLLSKGVLKHTTKSCRFWWFQHNLKKVHAQLGKIATYLSGRSVYHVKNVWYNYQKSMACLTRV >KVH92085 pep supercontig:CcrdV1:scaffold_6147:12198:12978:1 gene:Ccrd_005885 transcript:KVH92085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MKNGLITSGYNFEHERGQSATGVDAYIKTFGVSENEAIEEPKKMIENAWIYINEGCLKLGEVSMDLLAPILNLSRMIPVVYWYDDGFTFPGKTPKEYINLLFVGYVPM >KVH89246 pep supercontig:CcrdV1:scaffold_615:130206:149893:-1 gene:Ccrd_008761 transcript:KVH89246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MATIEAVLIILVAHCSYGSRLNFMAPTTQVLLKESSHVRTIILNRPKQLNALSLEMVSRLLELFHAYEEDPNVKLIILKSEGRAFCAGGDVTAVVKDINKGNWKLGAKFFSTEFTLNYLMATYTKPQVSLLRGIVMGGGGGVSVHGRFRVATDNSVFAMPETELGLFPDVGASYYLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPLEKLSLLEDALCKANTGDPKIICSIINEFSGTPKLKEKSQYFRLKTINRCFSRRTVEEIISAIEKEASKNMDDWITWTIQSLKKASPTSLKISLRSGCRALLLDKDKNPKWEPSKLELVSDHMVDQYFAAKDDEDWEDLKLPPRSNLPSHAIAKL >KVH89253 pep supercontig:CcrdV1:scaffold_615:194237:196661:1 gene:Ccrd_008766 transcript:KVH89253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MAKDELAKKESITIAVYKANLHCPKCAHDIKKPLSRTPGVHKVDVKHEKGEITVEGIIEVKKIHERLEKWSRKKVEILSQEKKAIEKKETKIETIRTTKIKAYMHCDKCEHDLRAKLLKHKGIHNVKTDIKSQTVVIEGTIEAEKIVTYMQKRARKHAEIISEPSSKGKVEKKVETKEKVTVEVTKIVEFEERKKVEAQTKEGEVPYFVHYVYAPQLFSDENPNACLVM >KVH89254 pep supercontig:CcrdV1:scaffold_615:26228:29763:1 gene:Ccrd_008759 transcript:KVH89254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRISLSDTGWFMMHWQRSLNLACMHSLLLQRLLKKLASVDKMAKREVGSNVSEDLTRESLIAISYSLPDKHFPLKDLPRISNNLENVADAANIDKKEKCRAELISISYAESPDTKILPVKSKGQSFV >KVH89247 pep supercontig:CcrdV1:scaffold_615:76418:77692:-1 gene:Ccrd_008760 transcript:KVH89247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEMERSNQMEFPQDFRCPISMELMKEPVIISTGVTYERKNIEKWFYSYKKKTCPATMQIIENFGITPNHTLKRLILVWQNSHSPSPSSSSSSSPSPSSSPLSSFKRDEMVSLLKTLGSSPFKVNSLRKLKEIIELGDEMKLDFMILGGLEVLFQLIVQILIDGSDFMAFRACEEALGVLQHLPISEEDDGKVIELFSKPESMKSMAIILQRGSKEARVSTISILKNLANSNFNWNIVINDQGIGMFKSMLELASDEISTKASSSTLQVLIKILDSSKKSRSKAIEAGAMCTLIELLPDSTRSKCEKILQIIKLLCECAEGRVAFIEHRLGIGAVSKKLFNVSEMASKICVKIFSLICSFHPTEKVLEEMMVYGAVKKLVVLLHMSGPSSTKNRTLDMFKKHGSSWSRYPCFPSDLKEYLGLQCR >KVH89251 pep supercontig:CcrdV1:scaffold_615:174408:174890:1 gene:Ccrd_008764 transcript:KVH89251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYHIPIGTLFTGTATLTLFGGYHPQTQSLWLIDMVYHHLAIAFIFLIVGHMYRTNFGIGHNMKDLLDAHIPPGSLVLASLEVITYLVAQHMYSLPAYAFIAQDFTTQAALYTHH >KVH89249 pep supercontig:CcrdV1:scaffold_615:155402:168814:-1 gene:Ccrd_008762 transcript:KVH89249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxo-4-hydroxy-4-carboxy-5-ureidoimidazoline decarboxylase MSVGLDKNDFIACCGSTKFAEQMVADGPFATYEEAVAAANDVWFNKVDVHGWLEAFAAHPQIGQNLSSAAHKSSTSVQWSKGEQSTALATATDSSLQELYEWNSRYKKKFGFVFLICASGRSTPEILAELKRRFPNRPIVEFEIAAQEQMKITELRLQKLFSNIQVSASTQVHSTASDVTKAEEGRVTILGGHLTAPSVTSDPKSSPIPTRVRPPITTHVLDVAHGCPAAGIEVLLEMYNAKQLSPMFGKSDNDKWMVQGSSTTDKDGRSGQLMKIVDDLNPGIYRISFNTGKYNPLGFFPFVSIVFEAELARERVDRIAHEARLTREAEAAMGLHPEDFIQHGSKLTGDHMNPTGYGGSGLNNRHVASDHSSSYGGGATTRYSGGTYTTSAGGHDARKMAIHHKYL >KVH89248 pep supercontig:CcrdV1:scaffold_615:174152:174397:1 gene:Ccrd_008763 transcript:KVH89248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin-like domain-containing protein MKIFILELFFIIYFCHIFNSGLVTPTTEMETKYFVVKKCRISSQSSFIRTLRCKFLGLDRAFSTYRYSWIQRGVHSMK >KVH89252 pep supercontig:CcrdV1:scaffold_615:201285:202082:1 gene:Ccrd_008767 transcript:KVH89252 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MASNLDLSSTSPFNLQTLQHRPDLHLQIPPDSEYDTNQTTPGSGDGGGGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEISSGCDVFESVADYARKRQRGICIVSGSGTVNNVSLRQPAATGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGNVVGALVASGPVIVIAASFTNVAYERLPLDEEEAAASSGGGGGGNGDGDGGAGHPFSDPSSMGLPFFNLPLNMPNVQLPVDGGGWSGNPSSRPTF >KVH89250 pep supercontig:CcrdV1:scaffold_615:175229:175925:-1 gene:Ccrd_008765 transcript:KVH89250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASVVFSVNPVADQFHRSFQTITFCYRLYSFFNKRWFFDQVFNDFIVRSFLRFGYEVSSEALDKCSIEILGPYGRLSSGSRFGCMPQGPYGQEDK >KVI00891 pep supercontig:CcrdV1:scaffold_616:6917:14448:1 gene:Ccrd_020842 transcript:KVI00891 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MDDSGGMQGDGNRIREWRMSERIMKKYTFSIFKNTIDNPVDVDEEPKNTESSSRRALNQETMEVKQSSPVLNVNEPQKVIPASFLVLDLLPFVASSSNENHLIIPHKYIKQDSDNHKVSPRMIFEIKLHGILLWASMGFLMPLGVLIIRMFNKEECTPRRLKAVICFHAILQILAVLLAFAGAILSIISFENSFNNTHQRIGLALYAAILVQTLIGFCRPKRGTKGRSLWYVFHWIFGTTISLVGIFNTYTGLKQYHKRTSRNSRVWSILFTAEISFMAFFYLFQEKWDYMQKQAVTSSGDAGEHQVVQVVTSSDQVDNQKEVMQLPPPQPSRKSNSLGNYFAKNNALKKLLQVT >KVI00893 pep supercontig:CcrdV1:scaffold_616:63650:101089:1 gene:Ccrd_020846 transcript:KVI00893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDVISNGKNIPVQAAIAARAPPNAKVPVSPINTEALCRILIHGYKPFFLLFTSLKKSSISPIVANAIVAAQAPETSIEEKPTNTSATTTPIVIVHPWPYADNGGKGTIRKGHRACFGVNDGASVFPRISIVSGNDWFLRRWWRQNRHDGGTGDEYRKGHESETQWRKMALWERERCTSGCRGGDWRRRKLRLVTGNESIQTAARLKMKMKTARLKKFHLDGFRLQESTASSKDDRNGFGFLVRCNQSMTVNKSSLLDPNFSDFSPEEEFGGMWHVHRHNSNFGRLHHRHPIAPTPVVSISADPTLLDLLLGHLINLLLGRLINLRNHLWSLFRKYLPSRPLFDELSTILPIVVVWMRIYERRVELPKTLLCYLMNLDDYNIRSIFFPGMPSNAVQPLFGFSSFGIIDFTDDHDLPVLKVHMKSLHTIEILRINYMDEMEDDSCEMV >KVI00892 pep supercontig:CcrdV1:scaffold_616:27322:30277:-1 gene:Ccrd_020843 transcript:KVI00892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MSYKFHQFQVVGRALPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRHHCIQVIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFV >KVI00895 pep supercontig:CcrdV1:scaffold_616:59770:60157:1 gene:Ccrd_020844 transcript:KVI00895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30e MVSGKKTKKTHESINNRLALVMKSGKFTLGYKTVLESLRRSKGKKWSPFTHEYE >KVI00896 pep supercontig:CcrdV1:scaffold_616:62730:75998:-1 gene:Ccrd_020845 transcript:KVI00896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 4 MRSYSCCSSINFPRSGLLNPLIRSPQPGIHCVPFNSHFPYRLYFKLSGSRIQKYGHSSTRHRLVVAVMDWSSVAVEILNKHPILAQKDSSFSLDDRVDSDGSVGYSFSSSEGEESDGDIILNPITDVDIPTSRDQFHQSDDALTVTAQKLTMMGRSQRRSRIKYGIFINIGLVTFLTVLLLLLDSHAWRIVRLPLPPFHLICPFTASAVLVSCAGYICVPLFRISRMQQIISKWPARHSSKKGTATMGGLFLIPIGVVVAEVLVGFSSIEVSGACAATIAFATIGLMDDFLRLVKRRKNGLYPWIRILLEVAVGTWFYFWLCTRNISSPYSIKMVVPLPLPLGLVCMGGSYLLLTSFCFVSMANGVELTDGLDGLAGGTAALSFIGMSIAVLPICSDLSVFGASMAGACVGFLFHNRHKASVFMGDTGTLALGGALAAMAACTGMFFPLLITSGVIVLEVLSVILQVSYFNTTKHVHGIGRRLFRMVPLHYHLESCGVKEPVIVAGAYVVSSILMLYAAYVGLISV >KVI00894 pep supercontig:CcrdV1:scaffold_616:192365:204145:1 gene:Ccrd_020847 transcript:KVI00894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLKAKKELIVEGYQGLLLNEDMRRFWQGTNPRLLVSYLSIPSRESVAWEIHIVDNQKDQYMWVWKNRQLGISMRVY >KVG83436 pep supercontig:CcrdV1:scaffold_6161:2846:22032:1 gene:Ccrd_026169 transcript:KVG83436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNILSDEVRYVHFDFHHICVWATQGDDISIQYSGTPTLKGDFVRFGSRCLNMILNGHSTCRDNLGCVNLIDIAQNTRKHNGSIRDVKNRHGKGISEGNRRLKAQE >KVH92099 pep supercontig:CcrdV1:scaffold_6167:12229:16650:-1 gene:Ccrd_005870 transcript:KVH92099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MHTFKQSTFKQSIYLLEMAAVEANATLQASTKATAEPVRPLANFPPSVWGDQFLSFSLDNSELERYAKDMEVPKEELRRLIIDPRMDSNTKLSLIYSVHRLGLTYLFLHEIEAQLDKHFKELNLRDYDEADLYTISVNFQVFRHLGYKMPCDVFNKFKDASSGTFKEYITSDVKGMLGLYESSQLRLRGESILDEASVFTETRLKSMVNTLEGNLAQQVKQSLRRPFHQGMPIVEARLYFSNYKEECSMHGSLLKLAKTHFNYLQLQQKEELRIVSKWWKDMRFQETTPYIRDRVPEIYLWILGLYFEPRYSLARIIATKITLFLVVLDDTYDAYATIEEIRLLTDAINRWEIGASEQLPEYIRPFFKILLEEYAELEKQLAKEGRANTVIASKQAFQDIARGYLEEAEWTHSGYVASFPEYMKNGLVTSAYNVISKSALVGMGEVVSKDALAWYESHPRTLQAAELISRLQDDVMTYQFERERGQSATGVDAYIKTFGVSEKDAINELKNMIENAWKDINEGCLKPREVSMDLLAPILNLARMIDVVYRYDDGFTFPGKTLKEYITLLFVPSLPM >KVH91490 pep supercontig:CcrdV1:scaffold_617:64752:69768:-1 gene:Ccrd_006484 transcript:KVH91490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFTLIQTVATAGLFSAVSFWCCLGLRINSYLVLLSLGEIMAGFCYQEN >KVH91493 pep supercontig:CcrdV1:scaffold_617:172357:175573:1 gene:Ccrd_006488 transcript:KVH91493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGWFEHNKPLMAMLVVQVTYGAVSISTKASLLEGMNPRVFVVYRQAIVILAIAPISYFSRTKCCIGWKSFSLIFIAALIGITGSQMLLLEGLYLASASAGSAMFNLVPAITFFVASIVGYEPVNVRSLTTIAKILGTVLCVTSAAAMALIKGPKLLNSHELPSSNSLLLNPSSGSDDLWLLGCLCLFGSTCCWSFYLIIQVPVNKNHPDHLSLSAWMCFXATVQSATVTWFTDPNLEVWKINSYLQLGSCLFAVITFVLDDQIEMNVSVNGIAGSGISLFVQAWVIERRGPVFFAIVIGAIGIVIGLYVVLWGKAKDHEEQQKKMTISETDETKRKIKIVGILVDESTPLLHA >KVH91489 pep supercontig:CcrdV1:scaffold_617:123895:135315:-1 gene:Ccrd_006487 transcript:KVH91489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELIGVNRKISRLMDKTCLKMHCRTDSHEQECHMEKDSHVEAPGVEVPKASIRRSSRLRSKKKVSPCSQDACRSYHSSSNYTKKSLKTCLDRESSISESKIEEDNKHKIPHRNENNCLLSADTASMRSSAAGPSFKYHVRAKDGIRLLVDLNLKRSNWLKSMEKAVCVCQNHQKPGFGSFRQEVECLRDKNNLKINSPAKASASDASMNSYAQNKFSIKSMSREIGKTLPSVVEKEVTTISSLEFSTRDGYTKSSNSVVPDAPHEPASLLNMTVIGSKVRSKKGKSSELVHVNCQDTTMKENYSPNAECLEVVAFSSEENQFSDFSSHQKGSSCSRTGMICSENLLTSTFEVNQETAGNHRRSTVENRESINSAEGMEVSGRDGNTIVISASDGGRKRRKHNDKSDYIHGQSHQRILRSTKLLGGQILEQGGLVIRRSSRLLSKAVPFAGKIH >KVH91487 pep supercontig:CcrdV1:scaffold_617:207063:209800:-1 gene:Ccrd_006490 transcript:KVH91487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFAYLLQWQAGSRQQTSPSGFGPDKTNWGWNWLERWMAVRPWENRFLDINTRDGLKIQENGSAKQEQEQEPNIRNQLKSAGKKSIASNLQLEFPNEKMGQSHSDGSGSDPIKSTSMQEAPATVCIDPTSNAFLADSVGELRLRPGVGSRSHSNPRERSSILGNQGKKRQSLPSSGKTGQGVGSHMARQPGKCATKTSI >KVH91488 pep supercontig:CcrdV1:scaffold_617:108745:122063:-1 gene:Ccrd_006486 transcript:KVH91488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C YGRAVIAIGSSHPVFHSSSCDYDKTFVRLLLPQPYPKTEVQDSDHYCHPTPTAACRPSGDETHERFIGGAPWTLSQKLYLAVSVYFVWQESNQRILTNLKRNNDQLVLEIKNVVMARIAWKANQMGKVAISHGVTAGVREGFDLVSTVVTTIIRKKD >KVH91492 pep supercontig:CcrdV1:scaffold_617:47605:63460:1 gene:Ccrd_006483 transcript:KVH91492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHDWINSRLPDELILEIFRNLDSKSSRDACSLVCRRWLTLERLSRDTIRIGASGSPDALVDLLARRFPNVTNVYIDERLSVSLPPDFDPPIASFSELTLWKKEKKEPTHLGCILYPEKLGRRRNASHSALSWLRLHFVSERSESGASESDSYCISDAGLTAVADGFVKLEKLSLIWCSNATSAGLRSVAEKCRFLKSLDLQGCYVGDQGLVAIGKCCRQLEVLNLRFCEGLTDTGLVELALGCGKTLKWLGVAACAKITDISLEAVGSHCSSLEFLSLDSEFVHNKGVLAVAKGCSLLKNLSLQCINVTDEALTAVGVFCLSLESLALYSFQRFTDKSLCAIGKGCKKLKSLMLSDCYFLSDKGLEAVAAGCSELAHLEVNGCHNIGTYGLESIGRSCMRLMELALLYCQKVGDEALSEVGKGCKYLEALHLVDCSIIGDDAIYSIATGCRSLKRLHIRRCYEVGSKGIIAVGENCKYLTDLSIRFCDRVGDEALVAIGQGCPFLRHLNVSGCHQIGDKGIVAIARGCPQLSYLDVSVLQNLRDMALAEVGEGCPLLKDIVVSHCRQITDVGLAHLVRSCKLLESCHMVYCPGITAAGVATVISSCTNMKKVLIEKAKVSERTQRRAGSVISYLCVDL >KVH91491 pep supercontig:CcrdV1:scaffold_617:103957:104595:-1 gene:Ccrd_006485 transcript:KVH91491 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand-like domain-containing protein MQIFDLFNVKQKGVIAFSDFVRALNVTDGTGFIESQEVKKMLIGLLCESQLKLADDTIEIVLDKVSLLL >KVH91494 pep supercontig:CcrdV1:scaffold_617:178635:180044:1 gene:Ccrd_006489 transcript:KVH91494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like protein MDGMQTRNSQASQATTLVTENVHKQPFVIGVARVAASGKTTVCDVIIEQLHDQRVVLVNQVSLISPSLTIVELMYRVCKRPCSDSFDNEKLLSAMEMLKPREAVGIPKYNFKSYKNNVSRRVSFFA >KVI00537 pep supercontig:CcrdV1:scaffold_6170:15775:25099:1 gene:Ccrd_021213 transcript:KVI00537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MTNNVLDVITCKAAVVRELGGPVTVEEIKVDPPKASEVRVKMLCASICHTDILCCNGVPVPLFPRIPGHEGVGMVESVGINVKTGVKPGDIVMPLYLGECGECLNCKSGKTNICHAHSIGLTGLMPDGTSRMSMAATGETIYHHFSCSTWSEYMVIDINYVLKIDPKMPLPYASFLSCGFTTGFGAPWKETPIHKDSSVAVFGLGAVGLGVPTLFLAIKGAEMQGASKIIGVDINETKSTKGKVFGMTDFINPKDHPNQSVSNLVKDITDGLAAVVRELGGPVTVEEIKVDPPKASEVRIKMSMIESVGINMKTKVKPGDIVMPLYLGECGQCLNCKSGKTNICHVHPLVLNSLMPDGTSRMSVATTGETIYHHFSCSTWSEYMVIDINYVLKIDPKMPLPYASFLSCGFTTGFGAPWKETPIHKDTSVVVFGLGAVGLG >KVH96944 pep supercontig:CcrdV1:scaffold_6172:1926:24155:-1 gene:Ccrd_000963 transcript:KVH96944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSLPTRNRSCFLPSFLQKLKSYRSTIFSRREKQWSGLLDWKLEIKQIEDKNSRTMLEELGVALVQTGRRKGRTGSLLLTENNIPKHINPPRRGMKVDTKMQHFDVRQVSKFYDFYCWFCSKITTIIVKLQNILQLMSLSIFPKL >KVG82869 pep supercontig:CcrdV1:scaffold_6179:20703:24050:-1 gene:Ccrd_026170 transcript:KVG82869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGFFLICLLHLSIAISYGGLIMFYLNEISTFSHGIETARKLSGSMPHDQLLIQTSHSFVGMTRAGSDEGRERGGGSRRNLIVKMAHMEDENLTQSAHQSDFGQSDNLVNKLIVDRVSIADRKPVFKTTTGVDALRGTIESLCARI >KVI10672 pep supercontig:CcrdV1:scaffold_618:71675:76530:-1 gene:Ccrd_010923 transcript:KVI10672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MDRMKSSLELIEFNSMASSSSSWWQSFLSPAKSSFTALCFKRLQEYAIKAKVKVMRLPEEKKPLASLEEMEKIIGYKFKKKILLQQAFTHPSYHGSESYERLEYVGDSILNFLISKQQFFMYPDLPPGSLTALRAANVDTEKLARVAVKYNFHKYIRHENPTLSKQIRVFTRALEKYPLHSYGLIDAPKTLADIVESTIGAIYVDSNSSIDTTWEVAKILLEPMITPEMLQTNPVRKLNELCHKKKLKIRFRDKWLKEGVYEVFIDNKLRGRGEYQAKKEIALNRAAEDACNNIINGPDC >KVI10668 pep supercontig:CcrdV1:scaffold_618:136438:140064:1 gene:Ccrd_010927 transcript:KVI10668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30, bacterial-type MNAYKAYKTCVPIAWSPNLYITLVRGIPGTRKLHRRTLEALRLGKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMFKARKEKEANHRACRPPLVVSHLPAPASDSSQQADFRRAFKADVGRAVQVSLLIKH >KVI10679 pep supercontig:CcrdV1:scaffold_618:12968:22421:-1 gene:Ccrd_010917 transcript:KVI10679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2/barren MAETLSPTPTAGQKQRAPVLSPERPLFLGSNDDQLERAQARAARAAAIRRKPVALHQASDVSPAEPCLGQEQIMELFQNCIKLASENKINQKNTWELNLIDHLCDIIKVEEENDVETNFQKASCTLEAGVRIYSMRVDSVHSEAYKVLGGISRVAQEPEQGQLCYLSLFVQGGSFITLSPLSTLESSFEALNVKKFDVAFAVDPLYHQTTAQFDEGGSKGLLLNNLGVYGGCVMIFDSLEVPGKCMSCSSGHDKVDTIDIAYARDHIEQMVSNISKKLEISPSLKDIVNLFDEDNRRPADTFSSSQKSVEPDHEAYGDDFDGGGHDCSGTWDFINDNQTSLNGEDTYEGDEEPVHHQENELYVSHDGDVDDRFATVDSFLFLSIGLTGKQNAWAGPDHWKYRKTKGPEDPAKENGSPLIPKKQRNKKQAEFDIEFTKALDLVSDVDNIFALPKYPKSLLLPANREPCNTTLPEDCHYQPENLVKLFLLPNVMCLGKRGRRYSDESGQEGEENNGTYPCWDDDCGQFDDGNAYDDVNKIEVEYDKTSKQVDVQALKETLWSSMQETHGSTQETKTLSFKHILASFPADNKKTRAASIDIISPHLCFICVLHLANEHGLSIHGCTNLDDLSIHLPF >KVI10670 pep supercontig:CcrdV1:scaffold_618:113261:119158:-1 gene:Ccrd_010925 transcript:KVI10670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MAKTKPGKKDLDSYSIKGTNKVVRSGDCVLMRPADSDKPPYVARVEKLEADHRNNVKVRVRWYYRPEESIGGRRQFHGVKELFLSDHYDMQSAHTIEGKCIVHSFKNYTKLDNVGTEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDQFLCSDCSSDDDGKGSLNSFPISPSAEAKVEVKRRKR >KVI10675 pep supercontig:CcrdV1:scaffold_618:141256:145198:-1 gene:Ccrd_010928 transcript:KVI10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAVSHADKDDTAVDFRGNPVDNSKTGGWLAAGLILGTELSERICVMGISMNLVTYLVGDLHLSSSKSANTVTNFMGALNILALFGGFLADAKLGRYLTIAIFASICALGVTLLTLATTIPSMKPPHCENPRKQQCIEASGGQLALLYAALYTIALGGGGIKSNVSGFGSDQFDISDPKEEKAMVYFFNRFYFCISLGSLFAVTVLVYIQDNVGRGWGYGISAGTMIIAVLVLLCGTALYRFKKPQGSPLTVIWRVVFLAIKNRSLPHPENPALLNDYNNSKVPHTKKLRRLDKAAILNDYAASDENRSNPWIVSTVTQVEEVKMVVSLIPIWSTCILFWTVYSQMNTFTIEQATLMNRKVAGFSIPAGSFSVFLFISILLFTSLNERVIVRIARKITHDPKGLRSLQRVGIGLVLSVVGMIASAIVEKRRRDMHHNQMTEISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTLAMGYFMSSVLVSLTDMATNGSWLRNNLNKGRLEKFYWLLAILGAINFLAFLVLASKHQYKVQNYTGPNNGQEKEIENWNIEMVDDIEAKKANIDRKEEA >KVI10674 pep supercontig:CcrdV1:scaffold_618:52079:55862:-1 gene:Ccrd_010921 transcript:KVI10674 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like protein MDFELRRAREKLEKEQKDRKEKAKLRLERERKAKQEALRQREAIEAAQRSRRIDAMEAQLKADQQMEESILAGRGISFYRALEAAPFEGSGDKIKLPPSCFTELSEQGAFDKGPLHFQLSVVRQDESSSQAKPAESLGHGSTHAGVLEFTAEEGSVGIPPHIWNNLYSKQDPKTPLVEVRYVWLAKGTYAKLQSEELGFSDIPNHKAVLETTLRQHATLSQDDVLTVKHGVLTYHLRVLELKPSPSVSVLETDIEVDIVGPDSLPERSNQHVLKPLTFGKSESGVINEKEYIYYKFSIDNDTWGRISSGDAEIEVKLDSEAKDGDTDLYLSQHPLLFPNTHQHGWSSHDMGSKSLVLGSKDHNFGAGTYSVGVYGFKGTTKYHVLVTLQDSSNTKVGQQPVPSSSQGSTADTVECSNCKHYIPLRTIALHEAYCRRHNVICQHAGCGVVLRIEEAKNHVHCEKCGLAFHSDEIGKHMKVFHEPLCCPCGAILEKTQMVQHQNSDCPLRLVTCRFCGDMVEAGSLAVDARDRLRGLSEHESLCGSRTAPCDSCGRAVMLKEMDIHQIAVHQKN >KVI10677 pep supercontig:CcrdV1:scaffold_618:35974:38502:-1 gene:Ccrd_010919 transcript:KVI10677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein MDEVITIADAAYRASKVTGTAAMPSSSVPVLPYSVPLLSAFLAFAIAQFLKVITTWYKEKRWDPKKIMGSGGMPSSHSSTVVALAVSIGLHEGVEASTFAIAVVLAFIVMYDASGVRLHAGRQAEVLNQIVCEFPPEHPLSTSRPLRDSLGHTPLQVVAGAMLGCIVAFGIKVSG >KVI10678 pep supercontig:CcrdV1:scaffold_618:23993:31360:-1 gene:Ccrd_010918 transcript:KVI10678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MTAKKRFVAVASRRQMPPFVSKFVISLLILFCFVAFLGLFSQLKLQSSSVPTGFYFDDFHLEDYRLKIAFLFLVRDNLPLDFLWHNFFKNADPENFTIYIHSKPGFVFNESVTRSVFFYNRQLENSVEVGWGKPTMIEAEKLLFKAALNDPSNQIFVLLSDSCVPLYDFSYIYTYLMSSPRSFVDSFIDVKENRYNPEMSPDIPEDKWRKGSQWITLVRRHAEMVAYDHVVFPIFEKHCKRRPLLDLSKGNESLIEQQQHNCIPDEHYMRGVEDELERRTLTYSLWNQSTETMNTQAWHPVTFGYASASQKHIQDIKDIDHIYFESENRTEWCGTKSSSGSCYLFARKFSRGAAMRLLTNGVVGQYDPNTLFQDLT >KVI10671 pep supercontig:CcrdV1:scaffold_618:60640:61463:-1 gene:Ccrd_010922 transcript:KVI10671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III domain-containing protein MTESVTVTMTESDSPSLGKVEEIIGYIFKNKDLLKEAFTHTSNQDDDDGCQSSYERLEYLGDSFLNFMIAKQHYFQYPNMKPGELTQLRAANVDTEALARVAFKHGFHNFIRHQDPLLLGRIQELTEAIKEHPLHSNGLINSPKILADVVESLIGAVYVDTNLSIDDTWEVVKKMLEPLTPWEKLKKNPVTRLNETCQKMGIEVEYKNLWVENGEIEIYL >KVI10673 pep supercontig:CcrdV1:scaffold_618:39070:45649:-1 gene:Ccrd_010920 transcript:KVI10673 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MASSSEIGLAAALNILTAFAFLVAFAILRIQPFNDRVYFPKWYLKGLRSNPLQSGAFVQKFVNLDFRSYMRFLNWMPAALHMPEPELIDHAGLDSVVYLRIYLTGLKIFVPIACLAFTVMVPVNWTNRTLEQSKLTYTEIDKLSISNIPTGSNRFFTHIVMAYAFTFWTCYVLKREYEIIASMRLHFIASEQRRPDQFTVLVRNVPPDPDESVNELVEHFFLVNHPDHYLSHQVPSPIILLIFLLCNILFVNSRIHVQLTEKYAKVVYNANSLSNMVNEKKKKQNWLDYYQLKYNRNNQSKRPSMKTGFLGLYGKSVDAIDYYKDEIEKLMKEICKEREKITKSSKYVMPAAFVSFKTRWGAAVCAQTEQSRNPTIWLTEWAPEPRDVYWDNLAIPFVSLTIRRLIIAVAFFFLTFFFVIPIAFVQSLANIDGIVKAAPFLQSFLEVKFVKSFIQGVLPGIALKIFLILLPSILMMMSKFEGFTSISALERRSATRYYIFQFINVFLGSIITGTAFQQLNNFIHQSANTIPMTIGASIPMKATFFITYIMVDGWAGVAGEILRLKPLIFYHLKNFFLVKTEKDREEAMNPGSLSFNTGEPQIQLYFLLGLVYAAIINVYNQQYESAGAFWPDVHGRIITALIVSQLLLMGLLSTKEAAQSTPLLIALPVLTIWFHRFCKGRFEPAFIRYPLQEAMMKDTLERAREPCLDVKDYLQNAYVHPVFNNEDRWDGSDDGGVVSEDDEWPKEPALVPTKRQSRMNTPSQSKRSESSRTLLSVTDERSCPLGHLVLDGKPIVPMSDIIKWLISSLMSNVL >KVI10669 pep supercontig:CcrdV1:scaffold_618:107381:109829:-1 gene:Ccrd_010924 transcript:KVI10669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MIKQILNKLPRKPSSKSSHNDEGNNAMDSAAINSTGMGVNGGSKSNSSSGRSSNLSSSKLNDEASKKLGTLGATQVVQALNHASYEALPSFRVVSSSEKHNLFIKKLNMCCVVFDFSDPSKNSKEKDVKKQTLLELVDYVSSVTSKFNEVTMQEMTKMVAANLFRSLPNANHDNKLPDLYDQEDDEFSMDPSWPHLQVVYEFLLRFVASSETDAKLAKRYIDHAFVLKLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYLFIFETGKHNGIAELLEILGSIINGFALPLKEEHKQFLVHALIPLHKPRCLSTYHQQLLYCVGQFVEKDFRLADTVIRGLLKYWPVTNSSKEVMYLSELEEILEATQAAEFERCMHIRNLITQNRKVILPIIFSPLEKNTRGHWNQAVQSLTFNVRKIFSDADESLFEECLVRYQQDEAKQKESLAKRQSTWSRLDTIAAAKALSIESVVISRFASSVTMTAAAAATTTTTTTTAIS >KVI10680 pep supercontig:CcrdV1:scaffold_618:194080:197927:-1 gene:Ccrd_010931 transcript:KVI10680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MPDNRRVQRNSGVSNSSLNPSGNNNIEEAIRRLRIQTNGKEDEIGSTAYPARPGEPNCIYYLRTGVCGYGDNCRFNHPTYNGQMNQHGGDLPERIGEPDCVYFLKTGACKYGSTCKYNHPRDRRGAEPIVLNMVGLPMRQGQKSCPHYVRTGSCKFGVTCKFHHPQPAPDGSNTPVSGSLTYGPSGAPPHINGSAWSYPSPQTYMPMVIPPAGINQGWSPYIANLNPLLSTNGSGSIDQLYPSTPNSHLPERPGEPECRYFMNTGACKYGTDCKYHHPREKMAQPAATCKYDHPLVIYSYSYTMGMPTLPMPDPSFFPYGGMISPTPHSSDSSPSKSSKNAGGWRSEPMSNGKPCTDDSLPEHGGSSSRSSSQNQSD >KVI10681 pep supercontig:CcrdV1:scaffold_618:181348:192671:1 gene:Ccrd_010930 transcript:KVI10681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MDSTDANDGIDGGIVGTKELDHALMVEMASVAGSDLGFNTVSIAEDQITPLLTQADNPRLNIFSVSYPKRKSDKDQVTRSIETETSPFTLFIMWAWSGSRYSGLVCMALASSVYCIMGILSDVFSAQAIPLFEIALARCIIVSALSFIWLRRSAQPIFGPSNVRSLFVSRAVTGCVSLIQKLPLSQAMLLSFTTPIMASVSARFILHENLKIAEIAGIALSFFGVIFILRSQGRSGNAREQKDLAVHGIHHVYVVLIGLLSSLAGGLSYCLIRAGAKKSEQPVGTIFSFGLLSSPAAAICMITFERFVLPSFYSLLLMILLGVLAFVAELFLARGLQLEKTNKVANIQYLEVALSELWGVASLRVTPLFGRLVGCLMILVSACCTMYLGPEKDME >KVI10667 pep supercontig:CcrdV1:scaffold_618:118970:124765:1 gene:Ccrd_010926 transcript:KVI10667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRRICRSAEQQWWNFRTESKSETLESQAIDLETDVEDHVDVIAIKETGVLRLTVHTTVWSLEFVNHVANQWKKIEEEAR >KVI10676 pep supercontig:CcrdV1:scaffold_618:157381:162036:-1 gene:Ccrd_010929 transcript:KVI10676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MEKMMIKMRKKKLPLSISHHCCFLLSLFSIFASFRSTPVHNYADALTKSLLYFEAQRSGRLPYNQRVTWRDNSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSIIEYGEYISGAGELEHAVEAIKWGTDYFIKAHTSPNVLWAEVGDGYTDHYCWQRPEDMTTSRQAYKIDENNPGSDLAGETAAAMAAASIVFKKTNPHYSHLLLHHAQQLFEFGDKYRGKYDENIGVAKNYYTSVSGYKDELLWAAIWLYRATDNHHYLNYMIDNGDSFGGIGWSITEFSWDVKFAGIQVLASQLLTEEKHMKHKDMLEKYQSKAEYYICSCLNKNNGTKNNVGLTPGGLIYIRQWNNMQYVSSGAFLVLVYSDLLRKSNGKELKCHGGEVTSEELLQFSKSQVDYILGSNPLNMSYLVGFGPRFPTRVHHRGASMVSYRENKGFIGCTQGYDNWYGSTDPNPNIVVGALVGGPNHNDEFNDKRGNYMQTEACTYNTAPLVGIFAKLNYLENTVLHAFY >KVG82863 pep supercontig:CcrdV1:scaffold_6181:12660:16972:-1 gene:Ccrd_026172 transcript:KVG82863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MGHNLETRSFLDEVRSFDNAGVLFDLGHPLLNRMAGSFVTAAGIGAVQAVSREACFTALENVSGDETSMDNSKKLDRFRSLQGETNRNSVEALVTSTGKESLQWGLAAGMYSGLTFGLKEARGVHDWKNSAVAGAITGATLALTSDDSSQHQIVQFAITGAAMSTAANLLTGIF >KVG82862 pep supercontig:CcrdV1:scaffold_6181:4556:5957:-1 gene:Ccrd_026171 transcript:KVG82862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKEEKGGAQDAAERIKAATLSAAKGLSRSQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKQVRLGERKDQKSSMSTATHCQKCFQPGHWTYECKNERVYISRPSRTQQLKNPKLRMRGPVSYDLDNPEIEKVDKKKKKHSSKSKNNKRKHNNSDSEASVFESDSESSSLTGSGSSSEDSDSRRTEVNGEGNVVVRVEGGGRVPEKGEKGGRNWEFR >KVG82864 pep supercontig:CcrdV1:scaffold_6181:24959:26533:-1 gene:Ccrd_026173 transcript:KVG82864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTRVRAQPSLSNGSAASLSSPSPRPGGLVDYEDDDDDDEDYKPPPRNKSDKSEDDEGILEFRLKRKLSASKQEPDLVKKQRLGGKNPKSKESVFATLCSTLSQAVLPSKKTPNATNSPNSQEMDKVPDEKSHEDKGDTNLNEESEADKGGTAVAINGS >KVI11241 pep supercontig:CcrdV1:scaffold_6189:18439:22790:-1 gene:Ccrd_010351 transcript:KVI11241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MLKIFASRTSSPLFKYNPRSFTKLAQKSEPAAVLTENDKEFLPQMPPFGYTTPPYDGPSAAEILEKRQRHLSPCMSYFYKNPLYLVDGKMQYLFDESGRRYLDAFGGIATVSCGHCHPEVVEAICTQTKRLQHTTALYLNRASADFAEALASKAPGDLNVVFFTNSGTEANELALMIARLYTGFHDIISLRNGYHGNAAATMSATGQRNFKYNVVQTGFHHALNPDPYRGIFGSDGPKYAEDLEEIITYGTCGRIAGFIAEAIQGVGGIYELAPGYLPAVYSSVRKAGGICIADEVQSGFARTGSHFWGFEAQGVVPDIITMAKGIGNGLPLGAVVTTLEIAKVLTSHGYLNTFGGNPVSTAGGLAVLKVIENEKLQQNALTIGTYLKNQLLALKEKHEIIGDVRGRGFLLGMELVMDREFKTPATLETLHVMEQMKDGSTSRERWTSREHIQDQPSPLLHQKRCRFSCGYDGLHNVKDVKHFAWKWLEVGVDYNHPRTADVLTKYMNKFWRLAHLTCSFASSLFILYINRNNLDLSFMGIIYSGMTFLCLRYEDPISHALLS >KVH89750 pep supercontig:CcrdV1:scaffold_619:40407:65740:1 gene:Ccrd_008260 transcript:KVH89750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGQYERNFTDKGTGSSIDTGPFPTVGSEISTLNYIQRWDDPPLSYNGISCEELGGVGSFDTTCLLNSDVNISSDLYVSASGNIEILPSVLIVCPIEGCVISFNLSGNIKVGKNSAIIAGSVIFSAANMSMESYSSINTTAMGGAPPSQTSGTPVGHEGAGGGHGGRGASCLRNNNTTYWGGDVYAWSTLPYPWSYGSKGGGTSDEHKYGGNGGGRVKLIVKDLLYMNGSLLAEGGDGGLNGGGGSGGSVVIHALKLHGEIDLFLCPCVLNVKPSLISIRSYFYDRKGFGIVSASGGSGWGGGGGGRVSLDCHSKQEDIKVKVHGGHSIGCPMNGGAAGTCFDAYLLSLRVDNDNVTTETETPLLDFSTSPLWTNVYIENDAKVLVPLRWSRVQVRGQIKLDCGGSIIFGLSDFPVSEFELVAEELLMSDSTIKVYGALRATFKMVLMWNAQIQVDGGGSTLYTISVLEVRNLIVLRENSSINSNTNLAVYGQGLLRLTGQGDAIKAQRLSLSLFYNITIGPGSLLEAPLDDDNSKSMATKSHCENPTCPRDLIYPPDDCHVNDTLSFSLQICRVEDIVVNGIIKGSIIQIHRARTVIVETEGMITASELGCRNGFGLGNYSNGAGGGAGHGGRGGTGLYDGRLSEGGSTYGKPDLPCELGSGTLGPNESVGRVAGGGMIACHLCLLLLLRATAMFAGGRNYCRRFLPLLGITRDYLTRKFYVEMQFLSPNSTNPIVMGSILWPLTKLDIYGSMRADGQSYGNATTNSNGTLIGGLGGGSGGTVLLFIQAISLFDNSSLSVAGGHGVSVGGGGGGGGRVHFHWSKIGTGDEYVPLAMINSTISTWGGEGDGEALPGEEGTITGRKCPKGLYGTFCEECPVGTFKDVEGSDDDLCTPCSLENLPRRGVPESFCPYKCRSEKYRMPNCYTPLEELIYTFGGPWPFALMLSCVVILLGLFLSTLRVKLVGQAYAYEKVDSNDHSNHHHFPSLLSLSQVRGTRADETQSHVYRMYFLGPNTFREPWHLPYSPPDAIIDIVYEDSFNRFIDEINSVAAYDWWEGSVHSILSLLAYPCGWSWKQWRRRYKINRLQEFVKSEYDHSCLRSCRSRALYKGMKVGATPDLVVAYIDFFLGGDEKRLDMVTSIQKRFPMCIIFGGAGSYMSPYNLHSDTLLTNLIGQHVPSSVWNRLVAGLNAQLRTVRSGSIRSALVPVINWIKTHGNPQLEFHGVKIELGWFQATASGFYQLGILVIVGDDSLHNLHQPDLIENSEESPRHFATIPDKSLKQLQPSQSYTSHALTRKRVASGVNGAIINDASLKSLDFRRDYFFPFSLFLHNTRPTGRQVFAFQGTLQLLICILLLSDLSVTLLILLEFYWISLGAFLAVLLILPLSLLSPFPAGLNALFSRGHKRASLARIYALWNSTSVSNIVVSFMCGMIHYGFLSFQTYEEPNAWQNRSEDDAWWLLPTLLMLLKVIQARFVDWHIANLEVEDFSLFCPDPDTFWAYETTL >KVH89747 pep supercontig:CcrdV1:scaffold_619:204665:205699:1 gene:Ccrd_008256 transcript:KVH89747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF581 MESTSTRKPCFLEDDNGLASIAANHGFFSSPENHHNHLLSRPLCSPKPRNIYASLSHVSSPRSGRGFNGRFEDQQPYFLDACFLCKKLLDGNTDIFMYRGDTAFCSEECRREQIDDDEDKEKKWSVSVSMRSLRKKEENEKQPNASSPNKTSKNYPFQSGAVAAA >KVH89749 pep supercontig:CcrdV1:scaffold_619:108003:114309:1 gene:Ccrd_008258 transcript:KVH89749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF702 MATASPALSNNSADTTSITGSASPAVVRTTSTTTTPMVMSNSSINTSKNLRGLNKPKCIKCGNVARSRCPYQACKSCCAKAQNPCHIHVLKGNSTFPDKTPSSSSSLVDQHANETSSSGNAHRVTSLRQLSNNFAQFNNLQTPSRARKPLTRKEASQINEWRFSKLKEYKDRNIEIENEAFDRYLQNITLLEEVFAVNSKLEEPIKHESSMPIDDEIDGKSEMMVSKLKMKLRADQIRTENFRDRMRFIVNNGLRKLKSETPTGNEETELVNRPKKARYSNLVELNKKLSNARTVEDLKSCQLLKSQLFNRQQSENMETPDQLDAKSESSPSKWFRTVTINQEALSHIDAQFTSLEEIEHL >KVH89748 pep supercontig:CcrdV1:scaffold_619:66116:80003:-1 gene:Ccrd_008259 transcript:KVH89748 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAEPVELPTRIGILPFRNKVLLPGAIIRIRCTTPSSVKLVEQELWQREEKGLIGILPVRDAAETMSVGSMLTQGTDLGDRSSKNQTGLSDSHKLDGKSQQEPIHWHTRGVAARALHLSRGVEKPSGRVTYTVVLEGLCRFSVLELNTRGTYSTARISPLDMTKAEMERVEQDPDFIALSRQFKVTAMELISVLEQKQKTGGRTKVLLDTVPLHKLADIFVASFEISFEEQLSMLDAVDVKVRLSKATELVDRHLQSIRVAEKITKKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDEDDDVAGLERKMQGAGMPPNVWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWQTISEEVELDLKAAKERLDSDHHGLEKVKQRIIEYLAVRKLKPDARGPILCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKKVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRAQPIPPPLLDRMEVIELPGYTPEEKLRIAMRHLIPRVLNQHGLNSEFLKVPEAMVKLIIQRYTREAGVRNLERSLAALARAAAVRVAEQEPTVPLNKDVHQISSPLLESRLAEGGAEVEMEVIMMGVNNHEISSAFRDMSPLIVDEAMLEKVLGPPKFDDKETSERVATPGVSVGLVWTSFGGEVQFVEASSMVGKGELHLTGQLGDVIKESAQIALTWVRARASELNLATAKESNLLEGRDVHIHFPAGAVPKDGPSAGVTLVTSLVSLFSHRRVRSDTAMTGEMTLRGLVLPVGGIKDKVLAAHRYGLKRVILPERNLKDLVEVPAAVLGSMEILLAKRMEDVGARGGSIQDYDTDNFDLTYISIPNCKIQIVGGINCHIFPYSPLAKKQEHNT >KVH89746 pep supercontig:CcrdV1:scaffold_619:147285:148468:1 gene:Ccrd_008257 transcript:KVH89746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAVQAQYPTNALIHHRKKGSNFTCRSLQEDRNQIGKDYSLQPQIGAIDSRFLDHQSPNDIFLDSFPESVFLTGGINPRKRGREAGLNQHQLTDITRLHSGVNTGLRLAFSDQQRQSSIGLYPMSKDFSTQINQQRDEIEHFLQIQGEEIRRTLAEKRQKHYLALLEAAEASVSRRLKDKDAEAEKAVWRRAELEARAAQLSMEAQVWQARARAHEAEAASLQAQLQQALVIGGGGGGGRYCISQIEDAPPGCAAGDTEDAKSAYIDPERVVVASGPGCKACGKRVASVVLLPCRHLCVCSECDGVVLACPLCLSLRSSSIEVYMS >KVG82176 pep supercontig:CcrdV1:scaffold_6190:9380:10610:-1 gene:Ccrd_026175 transcript:KVG82176 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MTTETAIAPVTSPEHPKKNRIQVSNTKKPLFFYVNLAKRYIQQHDEVELSALGMAITTVVTVAEILKNNGLAVEKKVVTSTIGMKDETRGRLIQKARIEIVLGKTEKYASMSTPAASATQAREKEAIASNNEEKKQ >KVG82175 pep supercontig:CcrdV1:scaffold_6190:907:6778:1 gene:Ccrd_026174 transcript:KVG82175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MSLDDAQIVNVNPQLQSPDTLQNSSSHGGGFQSKSWRASSVQEVGFVDFGSKSVPQGSQGGGADSEGLSLSMSHREIDNEDARLVYVNDALKTNERFEFAGNSIRTAKYSVLSFLPRNLFEQFHRVAYIYFLLIAILNQLPQLAVFGRGASILPLSIVLLVTAVKDAYEDWRRHRSDRIENNRMSSILVNDRFQQKKWKDIQVGEIIRLSANDTIPCDIVLLSTSDPTGVAYIQTINLDGESNLKTRYAKQETLSRIPEKDRMNGLIKCEKPNRNIYGFHATMEFDGKHLSLGPSNIVLRGCVLKNTNSAVGVAVYAGSETKAMLNSSGAPSKRSRLETRMNQEIILLSIFLVALCTVVSVCAGVWLGRHRDDLDILPFYRKLDYSEPEAENYNYSGLGMEILFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDDKMYDKTSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFKYASILGVDYSGEGTDFDGEQRGYCIQVNGQVWRPKMMVKVDKELLQLSQTGNNMKASKQIYDFFLALAACNTIVPIVVDTSDPAEKLVDYQGESPDEQALVYAAAAYGFMLMERTSGHIVIDIQGERQRFNVLGMHEFDSDRKRMSVILGCPDDTVKVIVKGADSSMFKIIDKSFNLDVLRATESHLHSYSSIGLRTLVVGMRELGIPEFEQWQSSYETASTAVMGRVALLRKVAINLENNLNVVGASAIEDRLQMGVPEAIESLRKAGIKVWVLTGDKQETAISIGYSSRLLTSNMSQVVINSNSKESCRKSLEDALITSRKFVVSGDPHAGGFGALIIDGTSLVYILDSELEEQLFQLANHCAVVLCCRVAPLQKAGIVALIKNRADDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNAVFVLVLFWYVLFTGFTLTTAVTEWSSVLYSVLYTSVPTIIVAILDKDVSRRSLLTYPQLYGTGQRQESYNAKLFWLTIADTLWQSIVVFFVPLFVYWKSDIDGSSLGDLWTLAVVFLVNVHLAMDVIRWSWISHASIWGSIVATCICVIIIDIIPVLPGYWYVRSLA >KVG81974 pep supercontig:CcrdV1:scaffold_6193:13276:20960:-1 gene:Ccrd_026178 transcript:KVG81974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MMKTIAHRFKISIFSVSLIVFLISVSLFHVNKSPQNQTLTPKPEPASSLSQEQESVVRRNYIVRFIEYKKAEHHKEYLEQNTKEKFEGSLSWEWINRNNPASRFPTDFGVVEIDDEAANSIIGQFERLKMVKDVRVDSSYQLRNLLGRNKKERHERVGAFSDGRKRPGKIFTSMSFGDGEDNVAAAATSNQTIDWQRHLLSQKSQVTSLFGADALWSKGYTGAKVRMAIFDTGIRSDHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIASNMDVLNLSIGGPDYLDLPFVEKVLELTASNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPESDRKQILNPASMKQALCYKPRASIFPSVLDYTDCPYSWPFCQQPLYADAMPVLFNTTILNGMGVIGYVESRPVWHPANEESNLLSIHFTYSDVIWPWTGYLALHMQIKEEGAQFSGEIEGNVTVKIYSPPALGEKSFRHSTCVLHLKLKVVPTPPRSMRVLWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPLTCFDARQYGTLLLVDLEDEYFEEEIQKLRDDVVNNGLSLVVFADWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDRILNGDFNINDELIRYASGTNIAKFPGGGYVHSFPFYDSSESGATHSFLASVELGGSRIAVYGDSNCLDSSHMVTNCYWLLRKILEFTGRNIKDPVLFSNSVKQKVPLHLDDDQLPSRRTDLNFSTYSAVFGKELTCRSDSRFDVWGTKGYGLQVRGRNRRLPGYKAIDLGRGLNSSADISITKGPKVLDKNKENSSGNKFLGLLYRDDLDAPVVFPSHWLVPAVVAVLGILLLLNFWRIRQKRRRRRKGSSSSRYTNL >KVG81972 pep supercontig:CcrdV1:scaffold_6193:3699:7504:1 gene:Ccrd_026176 transcript:KVG81972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRAVSRLCSRLHSLSPKFTTKRSPSLSLDSSSFVKSTSNPQVSSSSRRFSRLPVELSALITMMPLHSAIASACLKSGLSLESESWGLVPQEISMHHVSTNYDCMKWIKAFQCLYDDTVPEADVRMSRNTSRTSSNFRNVM >KVG81973 pep supercontig:CcrdV1:scaffold_6193:10080:13318:1 gene:Ccrd_026177 transcript:KVG81973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein METKASIAGQHSKSAISRRIIDKIERGLSSKDQRVEKKPVEIDKRVVKEKDKNSRNRFDSKKKRYNGSGSSSFKSKDENTAKKYVKMDKGDEGDDPGMKKRVKRSKKGKFESPVVVLRVGLEICSKRGDVMGAIGIYDLAKREGIKLGQYHYGVILYLCSSAAVGVVQPAKSGRSDTISSKIELSNYNSGNLEEPTKIHVGLETVFQTLDGLIRLINDHKDSNYRNGIRVGEDVKEYTLKRGFEIYEEMVSDEIPMTEAILTSLARMAMSRDNGQMAFDMVKKMKDLGINPRLRSYGPALSVFCRTGNLEKAFEVEEHMLSHGVFPEEPELEALLRVSIEAGRNDKVYYLLHKLRTSVRKVLPSTADVIENWFKSTTASRIGRRKWDEDLINKSVENGGGGWHGQGWLGKGKWSVTRSFVGKDGLCQCCGEKLATIDLDPIETERFAESVARIAKERDRNSSFEKFQKWLDYYGPFEAVVDGANVNTIANGIRQMLPSKKWPLVILHNRRVTGDKMDEGVNKTLVEKWKTADALYATPTGSNDDWYWLYAAIKSKCLLVTNDEMRDHLFQLLGNDFFPKWKERHQVRFNFSDTGPVYHMPPPCSVVIQESRKGHWHIPIASEQDSEEERVWLCVTRDTSSKASQECISVAKEMEGKGLTGSASGTEAQSKDGSHDSYKQTPQEICGNLKALLQYHPVNQDTILTQITSAEKHGDCVIEFQI >KVG81937 pep supercontig:CcrdV1:scaffold_6195:7109:13592:1 gene:Ccrd_026179 transcript:KVG81937 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, mitoviral MDDTFNQTKPLDLLVGSGVCFSFDPRSATNWWPIMFLEKVVSKLFDQDFFEAVSFLLSDGEFDVPWVTTLGSTVRFLSGYPLGYLGAWPLFALSHHLIIWWCAELVYPGRVFTNYAVLLDDVVIADENVATRYKESLDLLXVVISKEKSLISRSGSAEFMNNFRVRDLTVDISSVSIKALLDTFHPYGLMAVAYRYSVRDFRLLCRLGRAGYRVLARLDHRRPRRYSRLGVMGLKLLSPSYTLDFWLGKGRPLSPEAHGRLVRLLRAKLKPRELVLPPDELFETEESRDFLEYSLLYGWMCQWLNYLKWYHLTLKELFSGPTDLELIASPEQLSSVTAYSYGDVVSDTEAFDSIAALPGNCHHAIQLKAVCFRFVAENLALAA >KVG81805 pep supercontig:CcrdV1:scaffold_6198:5350:14696:1 gene:Ccrd_026180 transcript:KVG81805 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase, conserved site-containing protein MAEVIVTMEVGSDGVALITISNPPVNALAVPILGGLKDKFAEAMRRDDVKAIVLTGKNGRFSGGFDINVFQRVHQNGDISQLPDVSVALVTNTIEDAKKPIVAAVQGLALGGGLEIAMGCHARIAAPKAQLGLPELSLGTSKPILSEEGKKLGLIDAIVPPQELLKVSRQWALDIAEARKPWEVKAFNELVVSDTSKGLVHVFFAQRAISKVPNVTDIGLKPRTVKKVAVIGGGLMGSGIATALILGNISVVLKEINSEYLLKGIKTIEANVRGLVARKKLAQAQAEKVLSMVKGVLDYSEFRDVDMVIEIFSEIEKACPPHCILATNTSTIDLNLVGEKIKSQDRVVGAHFFSPAHVMPLLEIVRTEKTSAQVILDLMTVGKIIKKAPVVVGNCTGFAVNRTFFPYTQGAHILLHLGVDLFRIDRLISSFGLPMGPFQLQDLAGYGVALAVGKEFATAFPDRTFRSPIIDLLIKSGRNAKLFPLRKTTLSGKNNGKGYYLYEKGSKPKPDPQVFPIIEEAKRLVNLTPGGKPISITDQEIVEMILFPVVNEACRVLEEGVVVRASDLDVASVLGMSFPSYRGGIVFWGDLVGAKHIYTSLKKWSEQYSNFYKPSRFLEERAKNSVPLSAPLSSTSSRARL >KVG81804 pep supercontig:CcrdV1:scaffold_6198:15999:20550:-1 gene:Ccrd_026181 transcript:KVG81804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTGFRFSLLIVPFPSTADLGWLLKGYRMRAGSTSPVRRRNGSHHYSPDYDHSDGPPRNRGFGRGRESGRYRDYSPPYGRGRFGGGRFTGRGFDGPGMGPGFRGDVVPRNNPNVRPREGDWICNNLNFARREYCNNCNRSRYAPPGSPRRGYPGPPLMARRFPGPPLDRSPGRSFNGYRSPPRAFGRDGPRDFGIVGPLHHPRHEGRFPRDHHRPDYFEDELRERNRFDRPMAPLDWGRDRKGYDRRPPLSPPGPPPPGPPQVGRGGGRWVHDERERSRSPIRGGPPPPKDYRRDGYMERGRDDRRGMGRDPY >KVG81806 pep supercontig:CcrdV1:scaffold_6198:22562:25394:-1 gene:Ccrd_026182 transcript:KVG81806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSASKFIKCVCVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRPSYENVLKKWMPELRRFAPDVPVILVGTKLDLRDDKGYLADHMGSNAITYAQNVKAVFDSAIKAVLQPPRRKEMATRKNRRKSSGCSFPGILCGGCAA >KVH90878 pep supercontig:CcrdV1:scaffold_62:545775:558058:-1 gene:Ccrd_007093 transcript:KVH90878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MLLGVEISSVKGLEINMTEVVNGAKVFSRTYLDLWIFARKWPSFLQGPQLGILCGFLSFCAWLAVISPIVVLITWGCWLILILGRDIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAVLLLLAVALLCAYELCAVYVTAGSTAPQRYSPSGFFFGVSAIALAINMLFICRMVFNGRLVSHLGLLYLGSLLVLLVYSILYGLTAKESNWLGAITSAAVIILDWNMGACLYGFELLQSRVIALFVAGTSRVFLICFGVHYWYLGHCISYAVVGSVLLGAAVTRHLSVTNPLAARRDALQSTVIRLREGFRRKEQNSSSSSSEGCGSSVKRSSSAETGHLGNSVHCMGDPNSWNHVEGINSEKSLDSGRPSLALRSSSCRSVVQEAAVGPSSYPERNFDQNGGLVVCSSSGLESQGCESNESMSANQQTLDLNLALAFQEKFNDPRITSLLKRRARQGDIELTNLLQDKGLDPNFAVMLKENGLDPTILALLQRSSLDADRDHRDNTDIMITDSNGVDNALPNQISLSEELRIRGLEKWLRIFRLLLHHIAGTPERAWVLFSFVFIVETVIVGQFRPKTVEVIGATHQQFEFGCAVLLLSLVICSIMAFLRSLQAEDMVMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSVAIPIWIRNGYRFWVSRVDYGGPVGNYQTLWMKEGVVLSICISIFVGSVLALGAIVSAKPLEDLGYKGWAGGQNSIKSPYASSVYLGWAMASVVALIVTGLLPIASWFATYRFTVSSAICVAIFSVVLVAFCGASYLEVVSSRDDEVPEKTDFLAALLPLVCIPALLSLCSGLLKWKDDNWRLSRGAYVFVIIGLLLLLSAISAIIVIIEPWTIGASFLLLLLLIVLAIGIIHFWASNNFYLTRMQMFVVSFLAFLLALAAFIVGWHQDRSFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSVAFIVLYGIALAIEGWGVVASLVIYPPFAGAAVSAVTLVVAFGFAVSRPCLTLEMMEDAVHFLSKDTVIQAIARSATKTRNALAGTYSAPQRSASSAALLVGDPTVMRDRAGNFVLPRADVMKLRDRLKNEELAAGSIIRKIKHGILFQHDSTNDVGYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEATLISSIPNAGSREAAAMSAAVRAVGGDSVLDDSFARERVSSIARRIRATQLARRALQTGISGAVCVLDDEPVTSGRYCGRLDPTICLSQKVSFSMAVMIQPESGPVCLLGTEFQKQVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVSKEWNIGAACIADGRWHTVTVTIDADLGEATCYLDGGFDGYQTGLPLRLGNGIWEQGTEVWVGVRPPTDVDAFGRSDSEGAESKMHIMDLFLWGRCLLEDEISALPAAMGSTVYNMLDLPEDNWQWTDSPPRVDEWDSDPAEVDLYDRDDVDWDGQYSSGRKRRSEREGVVVDMDSFARRLRKPRMETHEEIIQRMLSVELAVKENLLAKGEAHFTDQEFPPNDRSLFVDPDNPPSKLQVVSRWMKPTEIVTENQLGSSPCLFSGDANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSKKGNELWVSLLEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHIPRAKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDTWHQNPQFRVRATGSDASCPIHVFITLTQGVSFSRTTAGFRNYQSSHDSMMFYIGMRIIKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPDPRGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >KVH90873 pep supercontig:CcrdV1:scaffold_62:168727:171637:1 gene:Ccrd_007146 transcript:KVH90873 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMSY N-terminal MITAGTDDDLPPPHQNKFRSGGPGTGNGRTMAVGNAPFPRIQNDMETQIHLIEQEAYSSVLRAFKAQSDAITWEMESLITDLRKELRVSDEEHRKLLSKVNADDIIRRIREWRKTNGLQPGMLNSPTASASRKKQKTSQSGPSLSVGPPPPALHQPMPPSSSALNRCPGSGMRGKKPQSYGSAGFTGRDQVPKQGFGGAFSANGVDEDNSSDPLIGRKGRHALVYDANTPNEAWEWVNLREISPNDIRWKWEDVGISHRGGRSGSSRGMKNPTARGGTTAGSGRGRVISKGHSSKADPMDIEKARKVLKDHEQALVDAIAKLEGTSDGESDREDRDQLSHLQKQSVEMGKISRKHEVGEGSDGNKVAMETRDGLNQPNGGQDMQ >KVH90835 pep supercontig:CcrdV1:scaffold_62:448431:449203:-1 gene:Ccrd_007105 transcript:KVH90835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDNKGKSYYLMQLSSFVYINYTTSYILAWRRRAEKMRGMKKKGPWTPEEDELLSTYIKKEGEGRWRTLPDKAGLLRCGKSCRLRWMNYLRPSLKRGHISPDEEDLILRLHRLLEEISPENRSSSSTANDQICSPDDAFSSFLDSLINEEMCSEITAARPADASKNQHVVLEN >KVH90854 pep supercontig:CcrdV1:scaffold_62:358878:359336:-1 gene:Ccrd_007117 transcript:KVH90854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNKWLKIWIEIGVVLSGVDLFEAQLSSCAYQVLFFGVDQNGLTLHEWDPDANYDYFDTLASIDFIYNLGILLGVFASFVCPIRKFLTLKQPYRVPFWIPLLVVMCLLMSAFFGANNDDCKKDCIFCYKDCDF >KVH90897 pep supercontig:CcrdV1:scaffold_62:107007:124963:1 gene:Ccrd_007152 transcript:KVH90897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 VYHFTFLNTKEQLVEKSIVVPPSPTPPSQPLCAFIPEIHCTFGNVTAMFSSSVRKSTIVEGIINVQPTITGALASVTVGPIGALVDSISHFLGKSFLLELVATDLDSKKKETVKAYASYNELNNESKMYKYKCEFEVPEEFGKIGAVLVQNEHHTETYIKNIVLNGGNVTFTCESWIHSKHDDPKQRIFFTNKSYLPSKTPAGLKSLREKDLESLRGNGEGQRKSFERIYDYDTYNDLGDPDTSSDLARPVLGGQKYQYPRRCRTGRERTSTEPWSESRTTLPFYVPRDEDFSEIKAITFGATTFYSVLHALEPTIDSAFTGENKGFSSFTDINLLYSDGVEIVLPDNGLLSALPGLLKEVANNTKTILQFETPRIGIPFLGYEMRNFVDKHLLEWPLISKLDSEIYGPAESAITKEIVEQEIRGFMTLEKALEQKKLFLLDYHDLFLPYVNKVRELEGTTLYGSRTLMFLSSTGTLRPLAIELTRPPNNGKPQWKHVYVPCWDATGVWLWKLAMAHVLAHDSGYHQLVSHWWVPSFRTTTSVLIDVYLLRTHCVTEPYVIATNRHLSKMHPIQRLLSPHLRYTMEINGLARLLLINAGGIIESTFSPGKYSMQLSSDVYAQQWRFDHEALPADLISRGMAVEDPSAPHGLKLAIEDYPFANDGLLLWDAIQQWATSYVNHYYPQADLVESDEELQAWWTEIRTVGHGDKKDEPWWPQLKTQQDLIGIVSTIMWVASGHHSAVNFAMSSSSARKSTKVKGILSVQPTINGVLANVTVGPIASVVDSISQFLGRSFLLELVAADLDSSGKEKGTVKAYASYSELNDETKMYEYKCEFEVPMEFGEIGAILVQNEPHKEVYLKNIVLNDGNVTFTCESWIHSKHDNPEQRIFFTDKVVYLKISYFIFIMICKIQQLNSKFSETVTSYLPSETPAGLKSLREKDLESLRGNGEGQREFFERIYDYDTYNDLGDPDSSSDLSRPVLGGQKYPYPRRGRTGRERTSTEPLSESRTTLPFYVPRDEDFSEIKAITFGATTLYSVLRALLPTLDSVFTDENKGFSSFTNINLLYNEGVEIVLPDNGLLNALPMLLKDIANTTKSLLQFETPRIVDKDSFSWLRDEEFCRQTLAGLNPYSIQLVTEWPLMSKLDPEVYGPPESAITKEIVEQEIKGFMTLEEALEQKKLFVLDYHDLLLPYVNKVRELEGTTLYGSRTLMFLTSTGTLRPLAIELTRPPNNGKPQWKHVYVPCWDATGVWLWKLAKAHVLAHDSGYHQLVSHWWPFDYDIYQLCRLRTHCVMEPYVIATNRHLSKMHPIERLLCPHLRYTMAINGLARLALINGGGIIESAFSPGKYSMQLSSDAYAQQWRFDHEALPADLISRGMAVEDPSAPHGIKLRIEDYPFANDGLLLWDAIKQWATTYVNHYYPQADLVESDEELQAWWTEIRTVGHGDKKDEPWWPQLKTQQDLIGIVSTIMWVASGHHSAVNFGQYDYAGYFPNRPTIARTKMPDEDPNSEEWQTFLTNPEDVLLDCFPSKVQSTKVMAILEVLSTHSPDEEYIGVNIEASWEADPTINAAFQEFSGSLKELEGIIDSRNRDPNLRNRSGAGIVPYELLKPFSEPGMTGKGVPYSISI >KVH90857 pep supercontig:CcrdV1:scaffold_62:373381:376487:1 gene:Ccrd_007114 transcript:KVH90857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAPASSMNSLNILDFVVNKGHGVKGLAELGLDTLPHQYIQPLHERFDTTTNEEAPPNDCIPVIDMSNWDDPKVAEAICDAAQKWGFFQIVNHGVPIHVLEDVKDATHQFFKLPAQEKHKYSKERSVTNRVRFGTSFTPEAEKALEWKDFLSLFFSSELIVKKLLEILMKGLNVKEIDESILMGSKRINLNYYPKCPNPELTVGVGRHSDVSTLTILLQDDIGGLYVRNTETMKWVHVPPVTGSLVINVGDALQIMSNGKYKSIEHRVIANGSSNRISVPIFVNPRPSDIIGPLPEVLHGGEKPVYKNVLYSDYVKHFFRKAHDGKATVEFAKV >KVH90836 pep supercontig:CcrdV1:scaffold_62:446532:448214:1 gene:Ccrd_007106 transcript:KVH90836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLSSSTDPIGQNVIKVISNVGFSVFVFSVLIFTVIAITYQPPDPWESSRALTRVFTEVANATFQTDTSVVRTGEDVGMVVVSPAAAPAAEADAPIAQTDPLIEKSTENVMNLSMASGGCDESSLVNCSDRGVLMAIKKFNVKHFKSVVFLEYQMPVNGSSRNECDVSWRFRNRKEKSWRRYRDFRRFRIGYGEDCSYKVVGAKGWHSGINAKRPRSRPNATRKGEKAKITPSFRDDEINDTIPILGSDSAFRNGKYLYYSHGGDYCKNMNQYVWSFLCALGEAEYLNRTFVMDLSICLASKYTSSNKDEEGKDFRFYFDFEHLKETASIVEEVEFLKDWKRWEKNHKNKIPVRKVATYKVTPMQLKKDKSTIIWRQFDNPEPENYWFRVCESGAGNYIRRPWHSLWKSKRLMNIVSEISGQMDWDFDAVHVVRGEKAQNKAMWPHLDEDTSPDAIVTKLQGVIQPWRNLYVATNEPFYNYFDRLRSHYKVHLLDDYKDLWGNKSEWYNETKVLNSGRAIPFDGYMRVEVDTEVLYRAKTRVETFYNLTKDCKDGINSC >KVH90864 pep supercontig:CcrdV1:scaffold_62:308313:317261:1 gene:Ccrd_007127 transcript:KVH90864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MAPSQMSLLILALLALVSSTPVISAYPPTIYEALELFGLPVGLLPDSVTSYTFDPTDNSFVVELKKPCYIKFDYLVYFKTKITGKINSGVLSEIKGLQAQVFLFWLNIDEIRVDVPATSNVYFILGSISQTLDIKQFQTIHPCRDNALAACDHASKLISQLPITAEEVDKLFGLPVGLLPDSVTSYTFDPTDNSFVVELEKPCYIKFDYLVYFKTKITGKINLGVLSEIKGLQAQVFLFWLNIDEIRVDVPATNNVYFTLGSISQTLDIKQFQTIHPCKDNSLAACDHASKLISQLPITAEEVDKVIME >KVH90877 pep supercontig:CcrdV1:scaffold_62:564101:567706:-1 gene:Ccrd_007092 transcript:KVH90877 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MAAAHGNRSRMRIGFRGVLLFIFFFLDLASLSCAARQPPASRQKLRVHKHLKRLNKPPVKTIQSPDGDTIDCVHISHQPAFDHPFLKDHKIQTRPNYHPEGLYDENKMNTESKQRVDNIHQLWHVNGMCPEDTIPIRRTKEDDVLRASSVKRYGKKKHKSIPVPRNIPKSADPDLDNESGHQHAIAYVEGDRYYGAKATMNVWEPKIQLSNEFSLSQIWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNNIAMGASISPVSAFRNSQYDISILVWKDQKEGNWWMQFGNGYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGHHTSTQMGSGRFPEEGFGKSSYFRNIQVVDKENNLKAPRDLGTFTEQSNCYDVQTGSNSDWGHYFYYGGPGKNPNCP >KVH90895 pep supercontig:CcrdV1:scaffold_62:606277:608736:1 gene:Ccrd_007087 transcript:KVH90895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MATAIATPLLLLLIFFIFINSIPIPSLSCPLHQKQSLLRFKNNLITSFHSNSSFNSEYFKPFDSWNANSDCCSWEYVYCTGNTTRTVTELYLYAIVPQLVNPVLVFSHILTPLFHIRSLKLLDISMNGLVGEMPIDGFRNLTQLVHLYMMQNNFNGSIPPQLFELESLRVLDLSFNNLQGVLSPKVGNLRNLISLNLSANSLTGNIPEEIGNLTKLREFSLRNNQLSGGIPPSIANMKELETLYFSENSFSLHIPTGLGRLHNMNTLDLGDNRFVGSIPSSIQNLSKLETLLLQNNNLRGEIPTRLFEIKTLKSLFIGGKGNNLIWSNTTRIVPRCRLVQMSMPSCGISGEIPIWISSQIDLEFLDLSRNQLEGRFPDWLANMEIESIVLSDNKLTGSIPSQVFKSISLMVLDVSQNGFSGELPQNIGNANGTEILMLSGNNFLGQIPMSISNMRSLWLLDLSRNRFSGDNFPNLRDNLVLSYLDLSYNEFSGNIPVAFPTKLQNLFLGRNKFSGNLPWNLTKLVNLEHLDLHNNDITGNFQDTLPQIPTLQVLNLRNNSLEGFIPRTISNLTSLRILDLSRNNLTGSIPQEIGNLARMIEAPHMSTSGYVFTIYMSIYEDSKIVFDIQDLIVNWKSSFRGLSSRTLGIYSFLDLSDNKFSGEVPPPLGNLKGLKALNISHNNISGHIPVSFGNLKGVESLDLSHNKISGLIPQSLAKLDELTVLDVSNNKLRGKIPLGGQMNTMNELKYFANNSGLCGMQIRITCPEDVPPREGREEDDEQQLWILWEGTWVGFPLGFFSSILIMAYCLNFLQLFRFW >KVH90839 pep supercontig:CcrdV1:scaffold_62:486926:489625:1 gene:Ccrd_007101 transcript:KVH90839 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MWLGTFDTAEEAARAYDEAAYLLRGANARTNFIHHVPINSALSLKIRNLLNHKKYLRQNSIAQNTTIKTQTTSPEPHGRPPLTCTVQVNNGKNETFSSGYQGIEVFNDGYKPDLSNCIGGVEIGGLYELDSLARLV >KVH90862 pep supercontig:CcrdV1:scaffold_62:352860:354299:-1 gene:Ccrd_007119 transcript:KVH90862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MTIESPPSAAVATTTATTTGDVIPVTIPSTTTAVKSHKKLTLIPLIFLIYFEVAGGPYGEEPAVKAAGPLLAILGFLIFPFIWSIPEALVTAELSTTFPGNGGYIIWADRAFGPFCGSLMGTWKFLTGVINLAAFPILCIDYLEKLFPIFTSGLPRTLAILFSNLLLSFLNFTGLNIVGYAAITLGVISLLPFVIMSLIAIPQIRPHRWLSMGQVGVKKDWNLYFNTLFWNLNFWDSVSTMAGEVEKPNKTFPTALFSAVILTCLAYILPLVAVTGAVTVDQNQWESGFMAVAAEMISGKWLKIWIDVGSVLSAIGLFEALLSSCAYQILGMADLGFLPKFFEVRSRWFNTPWVGILVSTLITIGFSYMDFTYIISSANFIYSLGMLLEFASFVWLRLKFPALKRPYRVPLGVPALVIMCLVPTVFLVLIMAIATKMVYLTSGVITLGAILWYFLMKLCKSKKWLTFKNGDQIEVDEDE >KVH90867 pep supercontig:CcrdV1:scaffold_62:202827:203950:1 gene:Ccrd_007140 transcript:KVH90867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MLANKNKDQQKNHLSRVPFAKPTFTVGDIKRAIPPHCFRRSLVRSSSYVAYDIAIIFLFYYLATNYFHRLPSPFSYLIWPTYWMVQGCVLTGVWVIAMSVVTMRLAITNGSMTHPIFTSRERLQIWISDVGIIVVSYILYRMVLSKSLIWLACIYGVPLLIVNGFLVTITYLQHTHPSLPHYDDSEWDWLRGALATVDRDYGVLNRVFHNITDTHVVHHLFSTMPHYHAMEATKEVKPLLGEYYQFDYTPFYMAMWREAKECLYVEADEKKGGLFWYKNKY >KVH90849 pep supercontig:CcrdV1:scaffold_62:325509:328941:1 gene:Ccrd_007125 transcript:KVH90849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MNGEKITQRVLRRFEVIEERTDVNKKADDFIKNFRDQLKIQRAESLKRFYEMISREMLGLRQPAIILSAIDIHVNKFSGSSNRLEACINFAVAGYRINLNHFYTLGCAAITFIQTIDHGKAMTANLEI >KVH90851 pep supercontig:CcrdV1:scaffold_62:330651:336182:-1 gene:Ccrd_007123 transcript:KVH90851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSWADSVAAAENSAAGSYGNNAGVGNSLAPNRSTYVPPHLRNRPSSAEAPPPAAVNTVPSSAVPAVGGGNRWAAPRNDFRPGYTAGGRGGGGGWNNRSGGWDRGRDREVNPFGDDDVPEQDFSEQENSGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGQFGQRAPRAACPLALILSPTRELSCQIHEEARKFAYQTGVKVVVAYGGAPIHQQLRELERGVDILVATPGRLVDLLERARVSLQLIKYLALDEADRMLDMGFEPQIRKIVQQMDMPPPGVRQTMLFSATFPKEIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEYVQESDKRSHLMDLLHAQRANGAHGKQALTLVFVETKKGADSLEYWLCMNGFPATTIHGDRTQQEREQALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDNNASMARPLSDLMQEANQEVPAWLARYAARASYGGGKNRRGGGRFGGRDFRRDSSFSRGGGGGGGDYYGGGNMNSGYGGPGGGYGGGYGAPGGGYGGGGGGGGGYGPGVASAWD >KVH90891 pep supercontig:CcrdV1:scaffold_62:222742:224237:1 gene:Ccrd_007136 transcript:KVH90891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSRSSSIHPPLSSSLFCSITSINPIQYIPILNPHKTLLSAASSYSSLHLIPPQKLILDPILLYTTGFKPPLDTQTFLATISVLVAISLSLFLGLKGDPVPCEKCAGNGGTKCVFCSDGKMKLETGSVECKVCKGAGLILCKKCGGSGYSKRL >KVH90863 pep supercontig:CcrdV1:scaffold_62:355677:355968:1 gene:Ccrd_007118 transcript:KVH90863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGFLLNLFEVRSKRFIWGMLRTRVCIICMATTEVSSTETAILGAARTKQNDI >KVH90883 pep supercontig:CcrdV1:scaffold_62:210668:217886:1 gene:Ccrd_007138 transcript:KVH90883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMYQSTTWLHYSGTNCDDETWFPNASVHLFWKLFPPKTTCITLTLALLNGWWSPRTFSMINLENPWEILDGFIKEMVNINEFPSDGTMNSNGSDRSNFRTPIIRNVKEEPLMEMENEDRVLYSGNTLAGDGEAEIPVIGMTFNSFEEVREFYGKYAAGKGFDIITRSTKSDVEGKIKYYTLACSRSGKALRTACHSIVEIGAETEEGFELALNLLDEVKERMFEHKSESSRNNEEPSGSSAKDGEAAKHYGEELQRSLVVRRRGRPPTKKKKVHFSPDTWTNNPSARNNSNGQNLRRSCLWHYFCEAHGQIKIMLLHSDLEEFMVFNCWVMITSTR >KVH90856 pep supercontig:CcrdV1:scaffold_62:362305:367567:-1 gene:Ccrd_007115 transcript:KVH90856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEALKVWSSRPLRHLFHLASSSPPHLTSKSYLPVTFIRCCSSSSSTSAAATSAAVPKQGGRSRRPSAASTATSTSDREAIRAIRLKKVEELRSKGFEPYAYKWDRTHTANQLQEMYKHLGNGEEMNGENDQVSISGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLVNDQFEQLKSLVDIGDILGARGSIKRTEKGELSVCVNSFLILTKSLLPLPDKFHGLTDVDKRYRQRYVDMIANPEVADVFRKRAKIVSVIRQTVESMGFIEVDTPVLQGAAGGAEARPFITHHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEIYEAYSDYESMMNMAEEIVTRCAFAVHGKLSVDYQGVEINLERPWRRETMHNLVKEAIGIDFLELGNDLDAVKAATLSALNMGLNNQDRHLIEACSSVGHVLNEVFEMMVEPTLVQPTFVLDYPVEISPLAKPHQSYRTNKLRQRTRLEEQVKQHNQKREAAASKALDTQEKGSKDDDEDVSYEVTLDEDFLTALEYGMPPASGMGLGVDRLVMLLTNSASIRDVIAFPVLKIQQGF >KVH90868 pep supercontig:CcrdV1:scaffold_62:189833:194991:-1 gene:Ccrd_007141 transcript:KVH90868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 ATLCRFHPVALLLDSQLSLHSLCFAPVDISNMGSKKKDKKVKKDSVKDEQKGDTTEEVPLKSVENASEHKSQLQRLSEKDPEFYEFLKEHDKELLEFDDEGDAESDMDDEDTQEGEDEEDDPMDRADGLAKKDVKPSTKTVTSEMVDSWCKAIREERRIGAIRSIMRAFRSACHCGDDDSESKLSSMSFPVFNKILLFVLSEMDGILRTMLKLPLSGGKKEMILELMNTRAWKNYNHLVKAYLGNALHVLNQMTDSDMIAFTLRRLKFSSLFLAAFPSLLRKDICIRLGSDSIDECFKGIYKAYVLNCHFVNATKLQHVQFLGNCVNELFRVDLPSAYQHAFVYIRQLATILREALATKRKEIFRKVYEWKYMNCLQLWTGAICAYGSEADFKPLAYPLTQIISGVVRLVPTARYLPLRLRCIRMLNQIAAATNTFIPVAVLLSDMLDMKELHKPPTGGVGKAVNLRTVLRVSKATIKTRAFQEACVFSVIEELAEHLAQWSYSPAFFELSFVPAVRLRNFCKSTKVERFRREMRQLIREDEKKKGASPLSKYVATLRQKAQERNDSLVESSVIVGEKASIFGKKKKAGSDDDDDDDDDDDDDDDLDVKGAAVFSSSWLPSGDHKYVLFQLFSIFFFFSRILLTRLLLSFRAKNLEEDVKNKKEKKRQKQKKGGDKTASGDDDVVEDFVLSSDEEESSDDDDENPTETENVKAKTAVPSKQPNHKNKQKSSKRKRNFHANNNSKKKKAN >KVH90869 pep supercontig:CcrdV1:scaffold_62:186934:189255:1 gene:Ccrd_007142 transcript:KVH90869 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MEFGVARKRGRPSAGLNGNSGFKKSKQDMESFQSGLGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGLKAVTQMTGGNPALPPTIRNPVVPPSFPDGSSPPAVKSRLCNKYNTAEGCRFGDKCHFAHGEWELGKPTVPSHHEDPRAMGPAPGSRFGGGGARMDLNPAANNVAAASFGASATAKISVNASLAGAIIGKSGVNSKQICRLTGAKLSIRDHESDPNLRNIELEGTFDQIKQASQMVRELIVNISSASGPPPPQKSFGKPGGGSGGPAGNFKTKLCENFAKGSCTFGERCHFAHGAEELRSSGA >KVH90881 pep supercontig:CcrdV1:scaffold_62:524955:534713:1 gene:Ccrd_007095 transcript:KVH90881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med13 VKLNQRDAAAQLVLSSHLQLQKDGFLSTWGNSFVGPWDPSQGLHNPDEKIKLWLFIPGRHLSVADKAKAAVSKLRVLASGVWLAPGDSEEVAAALSQALRNCIERALIGLSYMRFGDVFSRYHPSSQGDELFSMSELTTSFVVPVLLVMKFLAISYLLLYISSSGLNYGCCLCRRGQPVLEFIFTATEEAVFVHVIISAKFVDFFLYFLSSAVFFIVSPHGMRGRLTGFCPGDLVKQLYFSSGKFKASNGIVGLPYQISQSSGCQLRGQNCYLEVTLGCETAESEKPLPSNSNRNFSKHHMSESPGVTRESEKGLAYHSSIYEKTFIYPAEAVLVPVVQTSSARSSLKRHLFYSLHFDQLSIILSKKFVFLCTKSFWLQNWMGPSLSGSSFSMQCDAFSAMDGSWHDSGIRSQHGYNSSGNSNNSSISTSTSSDSDYRMTGEAGDLEADADSLSCRQSGLTSADQMHIDGSKLGSKRSRTGITESYGQAGAVINAPMQDAYVEINNDQVGSQWDWDDDDRGTVMDIQALLSEFGDFGDFFVNDALPFGEPPGTEESQTPMFSATDGGDASSSPFTGMMDASDQMLLPLGFTSFESFNQPSTVVEESMSKNQEVTKDAVSSGSMNYNPPASTSEFDYLIKAEALMTFAPEYGAVETPTNDLLSSVIKSPYIPKSRTVESANSSTNNYVYSAIPPSPRHDISDDKPGIGANSKGGSGRQDKYSLLQSKKYYTHVESGKDKKDDKSSACDNIIASHEDAAPSMLSGFNSSNNAPRSSARNKMTEGVLLGGTENFLISSKTAIANELECIMFQAFMCRIRHTLLVPSSLMSVGLSRLSGNNVLYQMHGESNILQDNISSKYDIKKESVPVRIAGDLDGGLLDGPLNSPVGVWRTVGVAKGSKPMTPNMESFASLPHNSFNEEGMLSYGQRQPLLELLDGMPLLFQQATSFVDVTLDADYGDGPYGWLAMQEQWRRGFSCGPSLVHAGCGGVLASCHSLDIAGVELADPLSADVHASYAITLLQSDIKSALKLAFGTFDGPLSVTDWCKGRNGQSGLGDGFSAESSASINDCRDSSSTAMSVEPISPSQSAVDEASDRRSNQDICITESEQQLALRPALLVVPIPAILVGYQDDWLKTSASSLQHWEKAPFEPYAMQKHMNYCVVCPDIDPLTTAAADFFQQLETVYETCKLGTHSPQNLGNPTAKDSGKWSSSGFVLLDCPQSMKIESDSASLVGSISDYFLSLSNGWDLTSFLKSLSKVLKALKLGSCFAPNSKEGSSTPCTVIYVVCPFPEPVAVLKTIVESCVAVGSAIFPSADKERRSAMQNQVGKALSCSTAVDEASISNVVTISGFSIPKLVLQVVTVDAIFRVTSPSLNEPVILKEIAFTVYNKARRISRGSSTEVIPSVSMPGRSQSHSTMMQMNSPIPGMWKDSIGPRMVGPTLQREGELDASLRSGTWDNSWQMSRTGGLGGDPNRSGDYLLQEELKYMFEPLFILAEPGSSERGVSPESLKLLSDDGTSSDVGLGSQIDGMDDGFGSAHQKTSPSLHCCYGWTEDWRWLVCIWTDARGELLDSYTFPFGGISSRQDTKGLQFLFVQILQQGCQILQACSPDTTTARPRDFVITRIGCFFELECQEWQKALYSIGGSEVKKWSLHLRRSVPDGMPASSNGGSLQQQEMSIIQDRNLPSHTKASTFMKGGLGQPSSRKQLLSSGGHMAMDNSKGLLQWVQSITFVSISVDHSLQLVYQADSSPGFTPVKSLGSASASYIFVPSPSMRFLPPNPLQLPTCLTSESPPLAHLLHSKGSAIPISTGFVVSKAVPSMRKDSRSNCKEEWPSILSVGLFDYYGGNNNNSEKTSKKSGLENHLILERVAAELHALSWMTVSPAYLERRTSLPFHCDMVLRLRRLLHFADKEVSQLSVKSEL >KVH90880 pep supercontig:CcrdV1:scaffold_62:543266:545653:-1 gene:Ccrd_007094 transcript:KVH90880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLIKIDQMSYKWPTWSSRFCIPTAAILNPDLRKWYGGLTGGLQGAVKTEFSGVIDASSFMEAMKMMKLFAVMVVMMMAVSAISVSATDAPAPAPTSGATTVVIPTAVASLSAIVFAFLF >KVH90843 pep supercontig:CcrdV1:scaffold_62:421145:424655:1 gene:Ccrd_007109 transcript:KVH90843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein FNNNLVSENGVFQFGFLERDAGELVVGIRYNLGPKSANLPVWTVGGGVRVSIDSTFRLSMDGRLIFNTSNLGVQEATLLNNGSLVLMGSENRLIWESFNRPTKALLPGQFLRYPLNLRAPSTKSLTSYYTFVVHQSEGVALMWESNITYWRTHLSSLSATVKEGVLGLYDDDRNKIVWLISSKDFGDDSHVRIDHDGNLRIYSWDDARVGWQAVEDQCNVFGSCGLYSVCGYNSTGPICDCLYSDSYDWGNGFHSPDSGSSGCKKMVDLGNCKGHTSMMVMKQTDLYEGGGVERLVRIGLWCTQNLLRPSVGEVMKVLEGTLSVDRPPSSFAYRNDDDTEKKVADAASVESHLDEQEY >KVH90852 pep supercontig:CcrdV1:scaffold_62:349342:352438:-1 gene:Ccrd_007120 transcript:KVH90852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MESLAVKHLICNYTTYGMVMPVRNPPTSTRVAKIGDQNRGMSFVLHSKKSKFQDFQGYARPARLLPAYEVKPTTDSSLEKLVTSFKEDRLECLYKLTIQTSNYYGSGLTDPNSGILLCLVDENGDSILQRIAATLNTGHPLQSKDKDASDILHFRRGSLDHFTFEGPTIGKLEALWIGLESGQWRPAGVSVISWSRSSLKENDSHPYGIFQYDFMADDILLGEGNDISMVELRPDIVTKLSGDNLTLNQNISPASSLWSTSNLSNEESMKEYADLKFSLLLYDALLIVAGSTIASFSAGENAAFAFLTGGIGGFLYLLLLQRSVDELPSPVLDRTGGLDQMFGRFKGQVTTLILALAFAVIVVKLGSGDQSLVLTPKDIVVGMMGFLSSKVAVVLAAFKPLPVGAKDSR >KVH90847 pep supercontig:CcrdV1:scaffold_62:324202:324411:1 gene:Ccrd_007126 transcript:KVH90847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MEKGTGGSVAGSSRKTEMKQRVPRRFEVVEDRVDIDKQADDFIKNFRNQLKIQRADSIKRFNEMISRGT >KVH90899 pep supercontig:CcrdV1:scaffold_62:138840:141758:1 gene:Ccrd_007150 transcript:KVH90899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MVRLLCWRRPPIILCVVRMKQSYLPSETPAGLKYLREEDLLSLRGKDKKERKSFERIYDYDTYNDLGDPDSSSDLARPMLGGKEHPYPRRCRTGRAMTEKEPWSESRKRLHFYNQFYVPRDESFSDRKVVTFGTMEILFSVLEALKASLHIFSNANQQFLSITDSIELEFSFIESSIEKVLTYIFDKWADLNHLENVIKVVVPRFFRDLYGSVKTVAHIDGARRKDKISFSWFRDDEFCRQTLAGINPYSIQLVTALKQKKLFLLDYHDLLLPYVNEVRELKGTTLYGSRTLMFLTATGTLKPVAIELTRPPNKEKPQWRHVYIPSSNPKDVTIEAWLWKLAKAHVLAHDSGYHQLISHWLRTHCVTEPYIIATHRCLSKMHPIQRLLFPHLRYTMQINSLARLFLINAGGIIESTFFPGKYSMQLSSDVYAQQWRFDHEALPADLINRGMAVEDSSALHGIKLIIEDYPFANDGLILWDAIKQWATSYVNHYYPQADLVESDEELQAWWTEIRTVGHGDKKDEPWWPQLKTQQDLVGVVTTIMWVTSGHHSAVNFGQYTAAYSPPRPTIARTKMPNEDSTTEEWEKAFLKNPEHELGNCFPSKDQSKKVKSTLDVLSSHSPDEEYIGVNMEPAWETEPIINTAFEVFRGSLKNLEDTIDSRNSDPNLHNRIGKGSVPYQLLKPKSEAGVTGKGVPYSISI >KVH90894 pep supercontig:CcrdV1:scaffold_62:616371:618890:1 gene:Ccrd_007086 transcript:KVH90894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MATTLNTPLLVLFIVTFILIPSFSCPLHHKQALLNFKSNLTNFLHFNYDMNLHPLPSWSXNSDCCSWDHVSCSKTRTITKLHLSNITHTFDNPTAVFFDVLTPLFHIRSLKLLDISRNSFVGEIPGDGFGNLTQLVHLDMSENEFKGSIPYQIFGLTNLCYLDMSLNRFEGNFPPEFWNLTSLRVLHLRDNGLNGILSPEVGKHQNLETLKLGSNYLTGNIPEEIGNLTKLRKLSLQHNQFSGRIPCSIANMKDLEMLYLSHNSFSMQIPNGIGRLPNMTTLVLHNNQLTGPIPSSIQNLSNLQTLLLQRNKLTGEIPTWIFNITTLDSLFLGGGKGNKLIWNDKVKIVPRCNLRAISMPSCGISGQIPEWISTQKFLDYLDLSMNQFEGRFPYWLTEMDVGSIILSNNNLTGSIPHRLFESTLLSILILSNNNFSGKLPDNIGNATSMRVLMLSRNNFSGQIPISMSNMHELNGLDLSGNKFSGHNLPVFSNNPKLSYLDLSYNEFSGNIPTTFPINIVILYLGGNKFSGKLPWNFTKLVNLRYLDLHDNDITGSFQDVLPESPHLQVLVLRNNSFEGSIPTTISNFTNLQILDLSKNKLTGSIPQEISNLTRMIEIPEPTFSYMFDSSFELEIEMDSSLMYIHVEDLIVNWKNYFHGLSSQNLDMYSLLDLSNNRISGEIPASLGNLKGLKLLNISNNILFGDIPVSFGNLKVIESLDLSHNKISGTIPQSLAKLGELTILDVSNNKLFGKIPLGGQMDTMNELKHFANNSGLCGMQIMIKCPEDIPPSEGKEEAEDDEKLSWIFWEGTWIGFPIGFFSSILIMGYLLNFLVLFKIW >KVH90860 pep supercontig:CcrdV1:scaffold_62:391108:396262:-1 gene:Ccrd_007111 transcript:KVH90860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSYSPSPPRGGYGRRGRSPSPRGRYGGGRARDLPTSLLVRNLRHDCRGGRFSDRRRSPPPRYSRSPRYTRSPPPRYARSPSHSREYSPPPKRRHYSRSISPRDRRYSRERSYSRSPVRERSPSYRSPSRSPKSPPYKGGSRSRSRSPVRERLPPRGESRSRSRSRSADPGDYYRDLPPRRDGSPTP >KVH90887 pep supercontig:CcrdV1:scaffold_62:267292:267690:-1 gene:Ccrd_007132 transcript:KVH90887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYYNNDNDNVSYYEYIRQISIPIHFIFFLCVLFIFLCFTWYVNYESKVESFMHHLKIFLVLTPIVLLLLVHWLSNGETAWFPSLVPLPKKDSFHKAGQSPWGVAILLIFLIYMASHRSSFHERWFPLSRR >KVH90888 pep supercontig:CcrdV1:scaffold_62:224641:236221:-1 gene:Ccrd_007135 transcript:KVH90888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MNKTFLHSMLFKFVVMSIISTWLQTEIVTSLGHQFAADRVIGTCIENERYALLEFKAGFLDSDVHLSTWRAQDDCCKWRGVECNNQTGHVTKLDLRYFDASLRGEISPSLLNLSYLSHLDLGHRDYGRQPIPNFIGSLTKLRYLDLSYSTFDGNIPKFIGSLTELRYLNLSYNSLKGTIPKVIGSLTKLRYLDLSRNFVHGTVPYELGNLTNLRYLNLANAGSVKNLDWLSNLALLQRLDMRETPFTEPNHWVDMILRLPKLSYLLLSNCNLSQVTLPYSSFVNSSVSIANLDVSDNNLTSSAYQWLLPLTSNRLLHLDLSGNRLDGIPKYFGNLCSLTSLVMKVNRMVASFPGLLTNLSGCTPITLEELDVYYSRFTGPVPSRIQDFVSLKSLVLANNQLNGTISSKVWELPKLEYLSASSNYLRGVIPEEFGKSKLRYIDLSKNALEGVLSEVHMLDLSNLEHLDLSLNSLSFQLSTHWIPPFQLDHIDLSSCKLGPHFPNWIQTQKNLSYLNIANSSISDTVPMGFWDGWPSQLRYLNLSFNNISGEVGDLSSKLVRYSAIDLSSNNFYGLIPKVPSDLVSLNLSRNKFYGGISFLCQIVDGILSFLDLSRNSLNGQIPDCLSHFKELIILNLAHNNLSGTIPTSVGSLVQLEVLYLCNNNFSGELPLLLKNCTKLNFLCLRGNRFSGHVPTWIGENLSELYGLSLRSNNFFGPIPSQICQLANLQILDLSKNKLNGTIPSCLNNLTAMVEEGLSLEQTLHYYSPAYTSVGPDGRLGGDDYSNYVDYVMIEWQGNEREFKSINLGLLKSIDLSSNNLVGKIPDELTDLYEILALNLSGNSLRGEIPQKIGELKSLLVLDLSRNNFSGRIPLSMSAMSSISYLDVSNNKLSGRIPSSTQLQSFDASRYAENAGLCGPPVTRNCPGDETHEFPNVGFEDGVDEEDMDESSRWFYIGGSAGFVIGFWIACGALLLNRRGRHAFFRMHAHTMDWIYLRMLLIRANLRIIISQKSRSTMITNTSLHTIHFVFVIFSLVIYANGAINKEKQALLDFKASLEDPFGQLSTWRPEDDDCCKWSGVTCNNQTGHVTKLQMTYASFGVPLTGSLGGLRGQISNSLLNLSYLNHLDLSFNVINGTIPNFIGSMTQLRYLDLEDNDFHGTIPNFIGSMTQLTYLNLGYNSFNGTIPESIGSLTKLNYLDLSNNRLHGTIPLEFGNLTNLRNLSLGTSHVRCTVENLDWLSNLSHLQHLDMEGISLAKANHWIDFILSLRKLSYVSLWGCDLSRVMYPYSSFVNSSSSSIGNLILGSNNLSSSMYRWLFPLTSNRLLSLDLSYNKLDGIPKYLGNLCNLTSLALHGNSAVVKFPDFLNNLSGCTSVTLRELDASGSQFTGSLSDEIQKFLSLQSLTLPDNHLTGTMSEKVWELPNLQTLDVSSNSLRGVVSENIGKSKLQKIDLSNNSLKVIHSKAHVLNLSYVVEYIDLSACKLGPLFPKWIQTHKNLSHLDIANNRISDTIPEEFWNIWPSQLTYLNLSSNNISGKVPDLLSNFHLYAVIDLSSNNLHGPIPNVPSTLVSLNLSKNKFYGGISFLCQIVDGFLEFLDLSHNFITGQLPDCLWHFKELKVLNLGYNNLYGRLPASIGYLAQLEVLNLYNNSFSGELPLTLNNCKELNFLNLGANKFFGNVPVWIGENLTGLYALSLRSNNFIGPIPLQLCHLMNLQILDLSMNNLNGTIPSCINNINAMVERRLHVKNIHHYTVAYKSKSSFTSTEFTGTYVDNAMVEWEGNEIEFTSNLGLLISIDLSSNNLTGQIPNELTDLHDLLAVNLSRNALLGEIPLKIGEMKTLLSLDLSRNKFSGRIPSSMSQMALLNYLDMSHNNLSGRIPSSTQLQSFEPSRYTGNTELCGPPITKNCPEDEVLEVLPFVGESESGEEGTDELQIWFYIGGGIGFATGFWIACGALLLNHHGRHAFFHFVDRKIRFT >KVH90892 pep supercontig:CcrdV1:scaffold_62:621744:623768:1 gene:Ccrd_007085 transcript:KVH90892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSGNSFEGNLGLELGGSFWNMTSLRLLDLSDCHLNGVLSPEVGKLQYLEWLDLRNNSLSGNIPEEIGNLTKLRELYLADNKFSGGIPSSVAKMMDLEVVDLSDNSFSKQIPTGIGRLPNMTTIVLHNNQLMGPIPSSIQNLSKLKVLHLQNNKLTGEIPIGLFKIVTLWSLFLGGRGNKLIWNNKAKIAPRCSLAEIFMPSCGISGQIPEWISSQKDLNRLDLSGNQLEGRFPYWLAEMDVRTIFLFNNKLTGSIPHRLFESKSLTLLHLSNNKFSGELPQNIGNAKAMSILMLSRNNFSGQIPISMSNMHNLRLLDLSTNKFSGDNLPVFSNNPYLFYLDLSYNEFCGKIPTTFSTETQVLYLGGNKFSGNLPRNLTKLVGLRHLDLHDNDITGYFHDVLPETPTLQVLVLRNNYFEGFIPTTISKFTNLQILDLSGNKLTGSIPVEIANLTRMIETPVHKSTSPNLYISPYCDPVHIDFEDLIVNWKNSFQGLSSHSLDIYSLLDLSNNRISGEIPESLGNLKSLKELNISNNNISGHIPMSFGNLKGIESLDLSHNKISGSIPKSLAKLGELAILDVSNNKLTGKIPVGEQMNTMNELKYFANNSGLCGMQIMIKCPEDIPPSEGIGIGEDDEKLSWIFWGGSWIGFPVGFLLSILIMGYSLDFLQLFKIW >KVH90889 pep supercontig:CcrdV1:scaffold_62:245628:247217:1 gene:Ccrd_007134 transcript:KVH90889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNFRHIQTLLQQPKTTTHLLQIHSFILKTALDHHEFIISNFILASSSISIDFARLFFDNSPVIPPLFAWNTIIKGYSKSPTPLESVRLHNQLQRTTDLKPDKFTYPFVLKSCGRCSMLATGGSVHSLILKTGFDSDRYINNTLMRMYAACGNVGFAGQVFDEMSQRDVVSWSSMIAGYVTCNYPLNALSVFLDMKQAKEKPNSVTLVSLLSVCSHLVNIKMGESIHAYILTNDIRLDVSLLTALIGMYATCGYIEKAMVIFNSMKERNLQSWTIMISGLAENGRGEEAISLFNEMEKVGLIPDAMSFSGILSACSHMGLVEKGREYFDRMVKCYKVKPTMEHYGCMVDMFGRAGMIEEAYDVLRNMPMEPNSIMLRSFMSAYKNHGISRSSFDENLMKLLLKIEPEVGANYILSATVSSVSGYWSDVDDMRVAMKGIGLKKVPGCSWVQVKGV >KVH90845 pep supercontig:CcrdV1:scaffold_62:580145:580462:-1 gene:Ccrd_007091 transcript:KVH90845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNTGLVTSVATVSADIWQSVACFSERITNEELLDLVVYFPLQQLGRFALCLWNFFCVPTSPVDSIYYSYYNYDDEYDSDSDSYLSSAGGCFSGYDPYYDSHSD >KVH90900 pep supercontig:CcrdV1:scaffold_62:10782:37888:1 gene:Ccrd_007154 transcript:KVH90900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MLNSQINQSHSIQNLLPLQKPFIGGDATNHSSSAAYSPVILTTTKKSTTTRTRSTSAGSIKAISLSGIFTKTTTVKGVVTIQPTISSALSGNGVDRVLDGVADLLGRSLLIELISIDLDSKGNLKTVKDYAAYGGLDFAKNVYKYNCNFDVPDDFGEIGAVLVENEYSKKMFFKDIVLNNDVTFSCDSWVHSKQDNSEKRIFFTNKSYLPSETPAALKSLREQDLASLRGNGEGERKSYERIYDYDVYNDLGAPDLNISLARPVLGGEALPYPRRCRTGRKMSSKDPLTETRTLLPFYVPADEDFSEIKTVTFGARTLYSVLHAVLPFLDSALTDKEKGFPLFTAIDLLFNEGVNVPPPDSGLLSVLPRLIKGAADTTNTVVKFETPETIDRDTFSWFRDEEFCRQMLAGLNPISIQGMAVEDPTAPHGLKLTIEDYPYANDGLLLWDAIKXWATSYVNHYYPQANLVESDVELKAWWEEICNVGHGDKKDEPWWPQLKTQEDLIGIVSTIMWVTSGHHSAVNFGQYDFAGYFPNRPTIARTKMPNEDPTDEEWQTFLKRPEDLLLKCFPSQIQATQVMSVLDVLSSHSPEEEYIGAQMEPSWAADSTINTAFEEFRGKLEKLEGVIDSRNTDYNLRNRSGAGLVPYQLLKPYSESGVTGKVFECTKNSRYGTEQLEEEIDKNINQSHSIQNLLPLQKPFIGNDATNHSSSAAYSSSVILPTTKKSTTTRTRSTSAGSIKAILSGIFTKSTTVKGVITVQPTISSAISGVGVGGVVNTVNVLLGRSFLIELVSIDLDSKGNLKTVKDYAAYGGLDSATSVYKYNCNFDVPDDFGEIGAVLVENEYTKKMFFKDIVLANNVTFSCDSWVDSKFNNPEKRIFFTNKVNSIFNFSKQSYLPSETPAALKSLREQDLASLRGNGEGERKSSERIYDYDVYNDLGDPDQDISLARPVIGGEAHPYPRRCRTGRKMSSTDPLTETRTVLPFYIPADEDFSEIKTVTFGARTLYSVLHAVLPTLDSALTDKEKGFPLFTAINLLFNEGINVPPPDGGFLSVLPRLIKDAADTTNTVVKFETPETIDRDTFSWFRDEEFCRQMLAGLNPISIQLVTEWPLMSKLDPEVYGPAESGITKEIVEQEIKGFMTLEEALAQNKLFLLDYHDILLPYVNKTRELNGTTLYGSRTLMFLTPTGTLRPLAIELTRPPVDGKPQWKHVYTPCWDATGAWLWKLAKAHVLAHDSGIHQLVSHWLRTHCCTEPYIIATNRHLSQMHPIRRLLLPHFRYTMQINALARLALINADGIIESSFSPKKYCMQLCSDAYDQLWRFDHEALPNDLISRGMAIEDPTAPHGLKLIIEDYPYANDGLLLWDAIKEWATSYVNHYYPQANLVESDVELKAWWEEIRNVGHGDKKDEPWWPQLKTQEDLIGIVSTIMWVTSGHHSAVNFGQYDFAGYFPNRPTIARTKMPNEDPTDEEWQTFLKRPEDLLLKCFPSQIQATQVMAVLDVLSSHSPEEEYIGGQLEPAWEADSTIKTAFEEFRGKLEKLEGVIDSRNTDNNLRNRSGAGLVPYQLLKPYSESGVTGRGVPNSISI >KVH90870 pep supercontig:CcrdV1:scaffold_62:183122:185559:1 gene:Ccrd_007143 transcript:KVH90870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MVYMYQQDEPVPVHQNQPELERKVGGWAPAFLLLANQALATFAFFGVGVNMVLFLTRVIVKPAGCGDGVKECMPTSLKGSMMFYTSIYMVALGYGGHQPTLATLGADQFDESDPKHKEKGSKGAFFAYFYAALNIGSLFSNSILVYYEDIGFWTMGFCVSMASAIIALLSFFCGSFHYRYVKASGNPLPRVAQVFVAACRKRGVRIVDEKQLYESDLSGPQDQWRLCTVTQVEEAKCVIRMLPIWLCTIIYSVIFTQMASLFVEQGAVMNSYIGNFHLPAASMSIFDILSVLVCTLIYRKVLVPLAGKLSGNPKGLTELQRMGIGLIIGLLSMIAAGVTEVERLKQSIPEKHSSSMSIFWQVPQYVLVGASEVFMYVGQLEFFNSQAPDGIKSFGSSLCMASISLGNYVSSLLVHMVMSITARGDDVGWIPEDLNDGHMDRFYFLIAILTIFDFVLYVYCAKKYKCISVEEDANGPKKGEA >KVH90866 pep supercontig:CcrdV1:scaffold_62:142425:145250:-1 gene:Ccrd_007149 transcript:KVH90866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MVELQAYGILLLEDIPIRSQIIVMRSPPLIVIIDTRSDFSNNYRSPSSFIQKNEDVRMVKQMGMDAYRFSISWSRILPRGKLSSGINEHGISYYNNLINELRSNDLEPFVTLFHWDLPQALEDEYGGFLSSRVVNDFRDFAELCFRRFGDRVKFWITLNEPWSYSVGGYEKGYFAPGRCSYSVANCTAGDSGIEPYIVTHNLLLAHAAAVKLFMNPLTFGEYPETMRINVANRLPQFTAEESYTLRNSLDFLGLNYYTANYVQHIAQAVTDNMTRSSDSQTRLSSTLKRVVKYTSHLERNGVPIGSKVLFSFTKFIFRHNIIENESKIRLHFQGGTDWLRAYPQGIHDLLVYIKNNYNNPTIYVTENGVDEPNNASLSLRSVLQDDFRVQYYFGHLQKLLQAIKGECEGLLCMVIDGQLRMGSRLCGPVWATLCRLWQRFETISKVFVHLVRQFHAYSRIEGE >KVH90842 pep supercontig:CcrdV1:scaffold_62:423164:425193:-1 gene:Ccrd_007108 transcript:KVH90842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MATLTVPPAPVSPRDDAIQLYKAFKGFGCDTAAVISILAHRDATQRTLIQQEYQKMYSEDILKRLSSELSGKLETAVLLWMHDPAGRDAIILRQAFSQDFINLETATEVICSRTSSQLQTLKQIYHSTFGVYLEHDIELQASGDHKKILLACVSKPRYEGMEVDREMAAKDAKELYKAGEKKLGTDEKVFVQIFSERSRAHLVAINSYYHDMYGGSLQKAVKKETSGLFERALLTILQCAENPAKYFAKVLYKSMKGLGTDDTTLIRVIVTRTEIDMQYIKAEYHKKYKKSLSDAVHSETSGHYRTFLLSLLGPNH >KVH90833 pep supercontig:CcrdV1:scaffold_62:604898:605113:1 gene:Ccrd_007088 transcript:KVH90833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MSFGNLENIESMDLSHNNISGSIPQSLEKLDGLRILDVSNNRLTGKIPMGGQMSTMRGFHYFANNSGLYGM >KVH90834 pep supercontig:CcrdV1:scaffold_62:449442:452104:-1 gene:Ccrd_007104 transcript:KVH90834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase MIDTFAESQGIAMDTVFCKAIFGKGIVDGVPVLLAKPQTYMNLSGESSGPLAAYYKLPLNRVVVFHDDMDLPCGVLRLQPKGGHGSHNGMKNVIYHFRGNREFVRLRIGIGKPPGQMDPKAFLLQKFNATAQERIDVGLKEGVAALKELVTKGVVESARVFNNEQKYKHIKVAD >KVH90872 pep supercontig:CcrdV1:scaffold_62:170891:172618:-1 gene:Ccrd_007145 transcript:KVH90872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMRRSRTRFWSLSGGCQSSVAAELASKADASQFAGAQVEKVDCEFKLPFWFLLEDLLIIIREGEPSDWFGL >KVH90844 pep supercontig:CcrdV1:scaffold_62:345600:347490:-1 gene:Ccrd_007121 transcript:KVH90844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene/phytoene synthase MSSAVSILAKPCTIGSVINNGRHSHQEFTITARVVAPKRPSLPELSIKGIPHTDLHVREIVQRQLRTRSSDHAGCRKPEFHPVFLEDAYDRCRDICAEYAKTFYLGTRLMTEERQKAIWAIYVWCRRTDELVDGPNAMHMSAAVLDRWEERLEDLFDGRPFDMLDAALTDTVHKFPLDIKPFRDMIEGMRMDTRKNRYENFQELYLYCYYVAGTVGLMSVPVMGISPESSSSASSIYNSALNLGIGNQLTNILRDVGEDALRGRVYLPQDELSQFGLGDEDVYSRRVTDNWREFMKGQIRRARFYFNQAEEGASKLDKDSRWPVWSSLLLYREILDAIEENDYDNLTKRAYIGRTKKLLMLPLSYSRSVSTGFAFH >KVH90859 pep supercontig:CcrdV1:scaffold_62:384047:389638:-1 gene:Ccrd_007112 transcript:KVH90859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein FHHLLLHLVHLCLLNNTILLGGTFYTYSTGVKIEVKLSTGTMRLEMLLGYVLMYGSLSMALGGYVGINIGTDLSNLPSPEQVVAILRSHQIEHVRLFDADNHLLSALSDTGIEVMISVTNEEVLGIGESPAAAASWINRNVAAFVPSTNITAIAVGSEVLSTIPHAAPVLVPAMNYLHKALVSSNLNYQIKVSTPMSMDLIPKPFPPSAATFNSSWNSTIDEILDFLRNTNSFFMLNAYPYYGYIQSSGIFPIEYALLQQLSPVKQIVDPNTLFHYDSMFDAMVDATYNSIAAYNSSVIPIVVTETGWPWAGGANERDATMENAEKFNNNLIRRVLNNSGPPSQPTIPINSYIYELFNEDKRPGPVSEKSYGVYFSNGTSVYTFSLDASAQTSANSSGGFCVARKGADTSSLQDGLNWACGPGQANCSGIQSGQPCYMPDTIENHASFAYNDYYQRMRSVGGTCDFSGTAITTMVDPSYGSCVFTGSTNMSIGGMVPAAFGPEGPPGSMSPSQHPHVWCLFVATVVALVLKREERRGGSEWVMNAGSLSGGRSWPSRTSLTSSGRRIQKEMAELNMDPPADCSAGPKGDNLYHWVATLFGPQGTQPLTL >KVH90884 pep supercontig:CcrdV1:scaffold_62:271574:274295:-1 gene:Ccrd_007131 transcript:KVH90884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MVGRAQGLYGVASQEETSMLVAMNFMFTTGKYNGSTLMVFGRNPVYQKVREMPVVGGSGLFRFASVQARTYGLNTKIADTIVRLQKGWGGGFDSFLSLILSMSWPHFVWVRKALDEIRA >KVH90896 pep supercontig:CcrdV1:scaffold_62:78188:91443:1 gene:Ccrd_007153 transcript:KVH90896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MLNTRISSSHTVHNLPPLQNPFVGGAIADNRSSSSAAYSPTAFRLPNHTKKSNTALTRYIPGNINAISIPSFISSTKTTTVKAVITIQPTITAAIGSINLDVIGSIFYQAIGRAYLNFEYCLMDAANGNQKTVSGNASYGLFSSVGSAGLHVYNCDFKVPDDFGNIGAVVVENKLSIGVFFKTIALDNNITFTCDSWSYLPSDTPNGLKPLRTKDLESLRGDGKGERKLSERIYDYDVYNDLGSPDSSADLARPVLGGSEHPYPRRCRTGRKMTTKDPLSESRTVLPFYVPRDEDFSEIKDISFGARALYTVLHAVVPILDSVLTGPNKGFSLFTDINLLFNEGINVPNSDNGLLSFLPNLIHDVSSAADTIIKFETPETMESKHQNHFLLNCLYVYVQFHRDTFSWARDEEFCREMLAGINPYRIELVTVVYGPAESAITKEIVEEQIGGLMSFEEALEQKKLFLLDYNDLLLPYVNKVRALNNTTLYGSRTLMFLTPAGTLRPLAIELTRPPTDDGKPQWKHVYTPTWEATGDWLWKLAKAQVVSHDSFYHQLVSHWLRTHCATEPYIIATNRHLSQMHPIKRLLTPYFRFTMQINALARLLLINAEGVIESTFGPGKYNVELSSEAYDQQWRFDQEALPANLISRGMAVEDPTAPHGLKLAIEDYPFANDGLLIWDAIKEFATSYVNHYYPQANLIESDEELQAWWTDIRTVGHGDKKDEPWWPQLKTQDDLIQIVSTIMWVPSGHHSAVNFGQYDYAGYFPNRPSTARTKMPNEDPTPEEWDAFIKRPEDALLNCFPSKSQATKVMSVLNILSSHSLDEEYIGGNAEASWAAEPAIKAAFDVFNGRFKELEGIIDSRNANPELRNRNGAGLVPYTLLKPYSGSGVTGKGVPNSISIYNVKTIFSSFAGKSKKVKGVINVQPTVSGALADITVGLVGTLNLLLQILTVSIDFFISRDKYRSNLFFLLNYLFSYGYEASGKKKETVTAYASYSGSDDWTKLYQYKCDFEVFEDFGEIGAVLVQNEHHKETYIKNIALDDGHVTFTCESWIHSKHDNSSKRIFFTNKSYLPSETPEALKSLREKDLESLRGNGEGQRKSFERIYDYERYNDLGDPDINSDLARPVLGGENHPYPRRLRTGREMTSTEPWSESRTTLPFYVPRDEDFSEIKGATFGARTLYSVLHAILPTLDSVLTDKNKGFSSFRDIDLLYDKGFDIPPLENGLLSALPRILKDVTSSTKTVLQFKTPRSMDRDSFNWFRDEEFCRQTLVGLNPYGIQLVKEWPLMSKLDPEVYGPAESTITKEIVEQEIKGFMTFEEALEEKKLFLLDYHDLLLPYVNKVRELEATTLYGSRTLMFLTSTGTLRPLAIELTLPPNNRKPQWKHVYTPCWDATGSWLWKLAKVHVLAHDSCHHQLVSHCLRTHCVTEPYIIATNRHLSKMHPMQRLLYPHLRYTMQINGLARQALINAGGIIESTFSLGKYSMQLSSDAYAQKWRFDQEALPVDLISRGMAVEDPSAPHGIKLRIEDYPFANDGLLLWDAIKQWVTTYVNHYYPQTDLVESDEELQSWWTEIRTVGHGDKKDEPWWPQLKTQQDLIGIVSTIMWVASGHHSAVNFGQYDYAGYFPNRPTIARTKMPNEDPTTEEWQAFLKNPEDVLLNCFPSQVQATKVISILDVLSSHSPDEEYIGVNMEAAWEAEPVIKVAFHELNGRLKELEGIIDSRNNDSKLSNRNGAGLIPYELLKPFSEPGMTGKGVPYSISI >KVH90898 pep supercontig:CcrdV1:scaffold_62:136890:138731:1 gene:Ccrd_007151 transcript:KVH90898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MSSSFDPKRVVKGIINVLPVLSGELAEVINLEVIKKWIDSITHYSETQFILELVAADLDCLIAFHIETVKANTTYVGFDDESKMFIYKCEFEVPEEFGEIGALLIENEHHRERYIKNIVLDDGNVVFSCESWIHSKHDDPNKRIFFTDKVG >KVH90850 pep supercontig:CcrdV1:scaffold_62:338851:344850:1 gene:Ccrd_007122 transcript:KVH90850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MSLDPVVQRVNRNVDEHIAQLMQCKPLSEQEVRSLCDKAKEILMQESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGFNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >KVH90885 pep supercontig:CcrdV1:scaffold_62:284697:285632:1 gene:Ccrd_007130 transcript:KVH90885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF716, TMEM45 MGTLVGHVAPGFGFLLIGLWHLLNHIKLHVQSPKSYHSLPWFPSSKIRYLELFLIMVGCSMSIAMELFIGPDRHQPFDTDGTIPSNHLHNFEHSFISMMFLVYAAFAILLDKFVPKAQYELTQLLAGVAFGQQLLLFHLHSADHMGVEGQYHMLLQILILISLITTLMGIGYQKSFVVSFIRSISIFFQGLWLMVMGFMLWTRSLIPKGCFLNLEEGHQVVRCHGDEALERAKSLVNIQFSWYLIWVMILAMSLYLAMHKIYEGKVEYQSITRYNQEQADQDIEAQKKSTLDESKSFLLMDKSFDPIDMER >KVH90861 pep supercontig:CcrdV1:scaffold_62:413255:418107:1 gene:Ccrd_007110 transcript:KVH90861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGGNQKNRLFKIQATFWTCMGLSFSCPFAAYTDLEAGLKSISLEDDEVKSLGLSCSFKIQDSEPSAMQLMVSQTMKIEGSVSFKVSSDEGIGAEKIEMHVESPRSNNVLDDFDQGSPKHEAATKLQKVYKSFRTRRKLADCAVLIEQSWWKLLDFAELKRSSISFFDLDKHETAISRWSRARTRAAKIDPRHRYGHNLHFYYGQWLHSQSKEPFFYWLDIGEGKEVNLVEKCPRSKLQQQCIKYLGPMERKEYEVVIEDGKLLYKQTGEYIDTTGSPKGSKWIFVLSTSRTLYVGIKKKGLFQHSSFLAGGATLAAGRLVSEDGVLKAIWPHSGHYRPTQENFQDFVSFLQENDVDTTNVKMDSDDDDKESLGKQSSSVHIRTHSSEEDVSEKERMGTEEVVVEDHPSKMVNVVKQHTCLPQESPKKSRLFRCSSRKLSTLKIPSNDDLFTNLKTENQADEAVSKNSETTLDGYKAAEEGSKDFTSETILDGYEAAEDSFGSHQIHDPSEHDVSDDEEDDPKMEETIPKKSILKRINSHKGTSSFQLGRQLSCKWTTGAGPRIGCLRDYPTELQSQALEQANLSPRSAPCSLKYTNKVFCSSPCSFDNKSLLSRNLGPYRTRSSPVSNFTDSF >KVH90840 pep supercontig:CcrdV1:scaffold_62:468504:481459:1 gene:Ccrd_007102 transcript:KVH90840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MARREQLRRKRKQGMTCEVKAPSSKDVVEPLCPNWSSAPIQTSHPVPPPSSSSASLLIASTHRLQPEITFAEMDPQVQQAQLAAILGADPAPFETLISHLMSSSNEQRSQAELIFNLCKQTDPNSLFLKLGHLLQLSPHMEARAMSAILLRKQLTQDDSLIWNRLSPATQSSLKSILLTCVQQEEAKTIMKKLCDTISELASSILPDNGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGETLIPHIKHLHGVFLQCLTTSGSSDVRIAALSAVINFIQCLSSSGDRDRFQDLLPAMMTTLTEALNGGQEATAQEALELLIELAGTEPRFLRRQLVEVVGSMLQIAEAETLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFGILLKMLLDIEDEPAWHTADNEDEDAGESSNYSVGQECLDRLAIALGGNTVVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCSKVMIKNLEQVVSMVLNSFQDPHPRVRWAAINAIGQLATDLGPDLQVQYHQVVLPALATAMDDFHNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNANDKANRMLRAKAMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSPMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVIITSADSDNEIDESDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGLAQGRNESYIKQLSDYIVPSLVEALHKEPDTEICASMLDALNECIQISGPLLDENQVRSIVDEIKQVITASSSRKTERAERAKAEDFDAEEGELLKEENEQEEEVFDQIGEILGTLIKTFKASFLPFFDEMSSYLMPMWGKDKTAEERRIAICIFDDVAEQCRESALKYGYLSSIALENACLIIL >KVH90865 pep supercontig:CcrdV1:scaffold_62:147933:149042:-1 gene:Ccrd_007148 transcript:KVH90865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRGKGKGKKFALTNNDDPGSGEDEKIPVQKRRGRPQKPLVDETDEYVEKIEEEDDDENVNGKKRKRNKPAKEKGELAKEESPNGTRSNGFRHNGSRRKNKPHRAAEAGVECK >KVH90837 pep supercontig:CcrdV1:scaffold_62:425492:427070:-1 gene:Ccrd_007107 transcript:KVH90837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MDIKFSILDFKSGFGSDTATVISVLAHRDATQRELIKQEYLTMYSEDILTRLTNELSGNLETAVLLWMDDPAGRDAKILSQALTQEVVNLETATEVICSRTSSQLQAIEQIYRAKYGTYLEHDIELQASGDHKKILLAYVRIQRYEGMEFDRELAAKDAKALFKAGEKKLGTDEKVFVRIFSERSRAHLHAVNSYYHEMYGSLEKAIKGEASGLFERALLAILRCAENPATYFAKVLRKSMNGLGTDDSTLIRVIVTRTEIDMQYIEAEYHRKYKKTLNDAVNAETSGNYRTFLLSLLGPNH >KVH90879 pep supercontig:CcrdV1:scaffold_62:514013:514918:1 gene:Ccrd_007097 transcript:KVH90879 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-repair/toleration protein DRT102 [Source:Projected from Arabidopsis thaliana (AT3G04880) UniProtKB/Swiss-Prot;Acc:Q05212] MAQNPHRRLKIVVGADAFGCALKDALVSYLQSIAIEVEDLGSDDYYYVGEKIGRIVSSSANSSETEIRGLVACGTGVGVAIFANKFPGVYAATCLTPSDAINARSINNCNVIAVSGMSTSLETAIETLNNFLNTPFKSPCPASKSEPWPEEIQTFFDNSLTEMAKIGTTPPISPSSCSICNLAAGRDFSPIEIIPGGSMKIVRETPTSAVVRFTAGSIEPAHHHKHGHDVVVMKGRKIVWNLTKRERFELGVGDFLFTPSGDVHRVKYLEDTEFFIRWDGAWDIQLDEDLATATANLEKET >KVH90876 pep supercontig:CcrdV1:scaffold_62:496469:499820:-1 gene:Ccrd_007099 transcript:KVH90876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin MPKVTSRFIEGKSKGFQESNRSCFFPLHSEYREMREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDSTVGVAHDAFNTFFSETSAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDEGEGDDDEY >KVH90855 pep supercontig:CcrdV1:scaffold_62:359808:361271:1 gene:Ccrd_007116 transcript:KVH90855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDIQSDKPNSPIPTGHQPPSATVTAGTIPITNQSITTSATNHKKLTLIPLIFLIYFEVAGGPYGEEPAVKAAGPLLAILGFLIFPFIWSIPEALVTAELSTTFPGNGGFVIWAHKAFGPFCGSLMGSWKYLTGVINIAAFPILCIDYMEKLFPIFSSGLPRTLAILFFTLFLSFVNYTGLNIVGFAAITLGIISLFPFILMSLIAIPQIRPHRWLTVLSAVGLFEAQLSSCAYQLLGMADLGFLPKFFGVRSKWFDTPWVGILISTLITVGFSHMDFTDIVASANFIYSLGMLLEFASFVWLRRKFPTLKRPYRVPLGIPGLVVMCLVPSAFLVLIMVIATKIVFLVSGLMTVGAVLWYFLMNYCKSEKWFVYANGNEIEVEDLQS >KVH90838 pep supercontig:CcrdV1:scaffold_62:490381:494931:1 gene:Ccrd_007100 transcript:KVH90838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP01589, plant MSGGDVRNVSPGEIQMVQNLIEQCLPYYMTQKQVIDILYEQEKVEPSFTELVWQKLEEQNQEFFKGYHLRLMVKEQIVEFNKLLDRQAALMHQLGPTGVGFQPKSNGSHMPAIHQNSACYAPENSGIALKTENMQHPVTANFSRGFNTCGLPIPSGDMSAHSRRIDVPPNMLLAQNSHVGMTRGTNGVSVKTEANYLGNSRFIYGADGNVLETRPTIGDPSVSSFSCLESDPHQLNGTLLNDNMLESFSRSAFLSSDRDNFLDSHSSTVEHQGENKRLDIPENLGFEDFGSDS >KVH90871 pep supercontig:CcrdV1:scaffold_62:173494:177387:-1 gene:Ccrd_007144 transcript:KVH90871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MMAIGASALQITAARPSIFLTQRSLGVTMAGVVHESDRTFSSKLTSSCHVSSVLKLEKSFTTSRPRFEKFVTRSMSEASESKSSSQLSIDLKAAAGAEILVGTWVPALNIFEMSLRRGKFDGSRVLPDGSLMEITKVYPLDAVFDTPEDVPEDIKANKRYAGSSKWTVQEVAESVKQDFGSIDILVHSLANGPEVMKPLLETSRKGYLAAVSASSYSYVSLLKHFLPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLGSRAAKAIGFIDTMIDYSFANSPLQKELSADEVGNTAAFLASPLASAITGALIYVDNGLNAMGVGVDSPLFKDLNIPTDKH >KVH90846 pep supercontig:CcrdV1:scaffold_62:516965:519502:1 gene:Ccrd_007096 transcript:KVH90846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSALLMFGLDPVLYLTEHEINSFKSRPTVGRSWNRRSVKDMAANEPYLASSPVFILLKILSSNTIALWVQYISLLILAIFGKSRLLFG >KVH90874 pep supercontig:CcrdV1:scaffold_62:157890:161789:-1 gene:Ccrd_007147 transcript:KVH90874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0061 MAMETLKMSPILFFPLGCTTKTKAATMLSHLSHTSSSSISLSFSSSTAFRHRLRPLFPYPPFKILKFHTNLPSFSTNVSMDSPRAAGGLGSSIDSLVDGLEKQSLSEEKRVKMSLEDLNWDNSFVRELPGDPRTDVMPRQVLHSCYSKVSPSVQVENPQLVAWSESVAEILDLNLKEFERPDFPLLFSGASPLVGGISYAQCYGGHQFGMWAGQLGDGRAITLGELVNSKSQRWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAIHGLGIPTTRALSLVTTGKYVTRDMFYDGNPKDEPGAIVCRVAQSFLRFGSFQIHASRGKEDLDIVRTLADYTIRHHFPHIENMSKSDSLSFSTGQENDSVVDLTSNKYAAWAVEVAERTASLIASWQGVGFTHGVMNTDNMSVLGLTIDYGPFGFLDAFDPSFTPNTTDLPGRRYCFANQPDVGLWNIAQFASTLSSANLINEKEADYALERYGTKFMDDYQAVMTKKLGLPKYNKQLISKLLNNMAVDKVDYTNFFRLLSNIKADSTTPDEELLVPLKAALLDIGKERKEAWTSWVKIYIEELSGSGVPDEDRKGSMNSVNPKYILRNYLCQSAIDMAEQGDFEEVRRLLKVMERPYDEQPGMEKYARLPPAWAYRPGVRMLSCSS >KVH90886 pep supercontig:CcrdV1:scaffold_62:238953:251218:-1 gene:Ccrd_007133 transcript:KVH90886 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSPQLNSYVSCLWQVMMSHKMKDEISLVVVTISLIFVLAILRYSYTLSNRAPPLPPGPRSFPIVGYLPYLRGGQLHTQITDMARTYGPIFKVWLGAKLFVAVNSPELAKEVVRDHGENFANRFTPIAASISTYGGQDVVWSNNTPTWRKLRKLLVHEVLSSKNLEACRSFRKDEVRKTIKNVYSNMGTTINLNEISFSTEANVLTRMVWENSSDTPSGAEFRMVVSKILEIIGRPNVSDYIPSLACFDLQGVERDITREIKKLDQIFTIIIDDRIKSNSKKPDVAIGHHEAGKKDFLQILLELKDQKAGATSVEITNLDIKALLTVSFIYPSIQILRFVDRMYMYIYHNYDIMIGGTDTTTSLIEWTMAVIMQNHNIMARVQEELTQIVGKNNIVEESHISKLKYLDATIKETLRLHPVFSLIPRSSSQTCIIGGYTIPKGCILVLNAWGIHRDPRYWHNPLDFNPERFLDHDGTDKYDFKGNNLKFIPFGSGRRLCPGVPLAEKMQMYILASLLHSFDWSLPEGENHDLSHTFGIMLKKRNPLMAIPSQRLPNVSLYMVRVICHHFCAHLSYILWLWSTLSTSSNGDGAPPLPPPAHSRLPSISWLSPTWLTLTVQVRLGTKLSIVTDTQELAKAVARDQYEIFANRPLTIFVQEVLSSKNLEAGSSLRRDQFRKTTKNVYSKIGTAIDLNQIFFSKIANVLTSMIRQNSLDKGADSDHLGAELEMVVSKMADLFGRLNHGLNVTFLLGFKAKVSSSRYVQEELVEIVGPNNIMEESHLSKLKYVDATIKETFRLHPVVSLLVRQSPSETSQCRGYIWMDCPNVQKDSTTLWDNPLEFNPQEILGSCMRLPSCIRSTGKGGDHDLSNKFGVTLTKRKPFNEMTSQVNTHVSWLWQVVAINNKHHLTLPLLITISVFILAILWLWSTLSTSSNGDGAPPLPPGPYHLPIIGYLPFLGRNLHTQFTDMARTYGPIFKVRVGTKLSIVISSSELAKAVVRDQDETFANRTLTIAASISTYGGQDIAWSNHNSSWRKLRKIFVQEVLSSKNLEACSSLRRDQVRKTIRNVYSKIGTAIDLNQIFFSTIANVLTSMIWHNSLDKGADYDHLGAELEMVVSEMADLFGRLNAADFFPSLAWFDLQGVERDIRRASKKLDGIITSVINDRIKYNSQNNSDDDADGKKDVLQILLDLKDQKDATSVDITNLDIKALLTDIMVAGPEATTLLIEWTMVEIMKNPKVMKKVQEELAQIVGPNNIVDESHLSRLKYLDATIKETFRLHPVVPLLVPRSPSKTCTVGGYTIPKGCSVFVNVWAIHRDPRYWDNPLEFNPERFLGDEGVNKYDFNGNNLNFFPFGSGRRVCAGIPLAEKSQILILASLLHSFNWSLPEGEELDLSDVFCVTLRKRKPLIAIPSQRFPNMSLY >KVH90858 pep supercontig:CcrdV1:scaffold_62:376545:381494:-1 gene:Ccrd_007113 transcript:KVH90858 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MVMTKAKGIQEFGLQELLNTGLKPDDAKSLQIELKHAIDCTSSSNPVELWRHITSRRLLKPSYPHSLHRFIYNAVYADYDETIHGPPLYWFPSQTNLGRIMETHGGKLLGAAYKDPITSFKQFQKFSVYWSIVLEKLSIRFHQPPKCILDTSDESKLGGTWLPGSILNIAECCLLSASETDKENESIAIVWRDERFDNSDGLFTKGDRIAIDMPMTVTAVIIYLAIVYAGLVVVSIADSFAAKEIETRLRVSKSTAIFTQDYIVRGGRRFPLYSRVVEATPIRAIVVPAIGENIDIKLRKQDISWQDFLSGAQHLPRPDYCDPVYQSVDALTNILFSSGTTGDPKAIPWNQISPIRSCADSWGPMDLQAGDVFCWPTNLGWVMGPALLYTCFLSGTTLALYHGSPLGPGFGKFVQSSQVLEKYKMVFGSTGEASNVDDDLWLSSRVYYKPILECCGGTELASSYMQGNLLQPQAFGALSSASMTTGFVVLDDQGVPYPDDQPCVGEVGLFALYMGATDRLLNANNEEVYFKGMPVYKGKTSSIEIERVCEQADGTIMETAAVSAAPAIGGPELLAIFVVSFVKIVPEFPRTASNKLLRRVLRDQMKEELRVRSKM >KVH90875 pep supercontig:CcrdV1:scaffold_62:586282:588069:1 gene:Ccrd_007090 transcript:KVH90875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MNSLVGTIPDGFGNLTELVHLDMMQNSFHGSIPGQLFRLTNLRSLDLSTNSLEGEVPPELGSFRNLTTLRLNINGFHGVLTPEVGKLRNLESLKLNGNFLSGSIPEEIGDLTRLTEFSLGKNKFSGGIPSSIVKLKELQSLDLSANSFSVQIPAVIGRLSNITTLDLSYNRFMGPMPSTLQNLSKLETLRLQNNNLTGEIPTWLFEIETLNKLLIGGKGNNLIWNNKAKIVPRCWLEQISMTSCKISGQIPQWISSQTGLNFLDLSDNQLEGRFPDWLAEMDVNGSIIPSDNDIKGNLQDIIPQTPTLEVLSLRNNSFEGFIPRTISNLSSLRILDLSRNKLTGSIPQEIGNLARMIETPVTSTSGYRFPSDLLFQMNNPYINPDIILEVQDLIVNWKNSFQGLASRNLGIYSFLDLSDNRISGEVPTSLGNLKDLKVLNISHNNISGNIPESFGNLKGVESLDLSHNRIAGSIPQSLTKLDELAIFDVSDNRLKGKIPVGGQMNTMNELKYFANNSGLCGMQIRVRCPEDIPPSEGEEENDEKQSWMSWEGAWIGFAVGLFSSILMMATH >KVH90853 pep supercontig:CcrdV1:scaffold_62:508920:512167:1 gene:Ccrd_007098 transcript:KVH90853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MGEEKPKPIGGVWPTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAGSVTKTMLKNEGIGAFYKGLSAGLLRQATYTTARLGTFRILTNKALEANEGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADATLPAAQRRNYTNAFHALYRISADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDNLGASSVSGFFAAACSLPFDYVKTQIQKMQPDATGKYPYTGSLDCAMKTLKAGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKLEKKAGL >KVH90893 pep supercontig:CcrdV1:scaffold_62:590218:596104:-1 gene:Ccrd_007089 transcript:KVH90893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MATTLNTPLLVLFMLNFILIPSFSCPLHHKQALLNFKSNLTNFLHLDYDMNLHPLSSWSRNSDCCSWDHVSYNKTRTVTELHLSNITHTFQNPTAVFFDVLTPLFHIRSLKLLDISWNSFVGEIPRDGFGNLTQLVHLDMCANEFKGSIPYQLFGLTNLRYLDMSFNRFEGNFPPEFWNLTSLRVLRLMYNGLNGILSPEVGKHQNLETLQIGGNYVTGNIPEEIGNLTKLRELSLQHNQFSCRIPCSIANMKDFEILDLSSNSFSMQIPSGIGSLPNMTTLVLHNNQLTGPIPSSIQXLSNLXTLLLQENKLTGEIPTWIFNITTLKSLFLGGGKGNKLIWNDKAKIVPRCSLNEISMPSCGISGQIPEWISTQKLLYHLDLTMNQLEGRFPYWLTEMDVGNILLSNNNLTGSIPHRLFESTSLYILNLSKNNFSGKLPDNIGNATFMNLSYNEFSGNIPTTFPINTVVLCLGGNKFSGKLPWNFTKLVNLAHLDLHDNDITGYFRDALPESPDLEVLVLRNNSFEGSIPTTISNFTNLRILDLSENKLTGSIPQEISNLTRMIEIPQPTFNHMSLKFKIVIFGSIIDYHIEELIVNWKNYFHGLSSQNLDMYSLLDLSNNRISGEIPASLGNLESLKQLNISNNILSGDIPVSFGNLKVIESLDLSHNKISGTIPQSLAKLGELTILDVSNNKLFGKIPLGRQMDTMNELKYFANNSGLCGMQIMIKCPEDIPPSEGREEPEDDEKLSWIFWEGTWIEPPETAMATVTTPLSILLIIFILYFIPNSSFSCPLHQKQALLQFKSTVTTIVKSDPSFEDYIVSYEDLDSWNHNSGCCTWDRVYCSRTRTRTVTELHLDDVVPVRLLFNPDQVSSDILNPLFQIRSLKLLDISMNSLVGMIPDGLGNLTELVHLDMMQNSFHGSIPGQLFRLTNLRYLDLSTNSLEGEVPPELGSFRNLTTLRLNINGFHGSIPPQLFELELLKNKWYK >KVH90882 pep supercontig:CcrdV1:scaffold_62:206953:208410:1 gene:Ccrd_007139 transcript:KVH90882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQAQMKNQSQMMSMGQPLPIMSQSHQVMNNQNSQMLMNQLINPSHMMINQSQSQGMLNNRGGGYGMWPPPQVDQLKFKNPNTKPSGFMPSSSKAMGPRNNKNWKGKKGNDKRNNNNWKELPVMGGGSSINNGNVGGGGTYNPPTLKELQQQNRLKARRYFSKKKFNHGGKSAPFAPRNTTSFLIRAKKAGGITSLVSPCPVTPAVLPTPNFSPSREVLVDMAKEEWGVDGYGSMKGLIRLRSPGNEADVAEDEEDEEGGSSESDVEEHLEVERRLDHDLSRFEMIYPNYGVTDHGSYHLENRVDDQDTHIAQLEEENLILKERLFLMEREFDNLRTRLQSLERQRRGAQGFIEEVVENDSEHESESRGYGRSVEENMEENNEGNQYAEAMKYSNREVEQKESNDTEKGGETMEECINKDKVVKGVADVDIEDDVLRKEEDINVGLGGKMEDDDGTIEVNPDSSKVEGSVPIKDETETETVAGR >KVH90848 pep supercontig:CcrdV1:scaffold_62:324186:329191:-1 gene:Ccrd_007124 transcript:KVH90848 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MNPCLESKIKTAPDVISQFQDWRSSLFRDLKRTVFLEILLCGFIAKETDISLYEITGILSEMAQLSERERSPNVQPRGFRVQAPLVDSISCYCKVDAGFKTVAGARKFVPGSKICIQPDINPHAHKAKNGRRERTRVQPPLLPGLPDDLAIACLTRVPRAEHNKLRLVCKRWLRLLSSNYFYSLRKSLGMAEEWVYVFKRDRDGRISWHAFDPTYQLWQPLPPVPMEYSDALGFGCAVLSGCHLYLFGGKDPLKGSMRRAIFYNARTNRWHKAPDMLRKRHFFGSCVINNCLYVAGGECEGIHRTLRTAEVYDPNKHRWSFISDMSTAMVPFIGVVYNGKWFLKGLGAHREVLSEAYTPETNTWMSIADSMIAGWRNPSISMNGKLYALDCRDGCKLRVYEEGTNSWKKFIDSKVHFGNSPAVEAAALVPLKGKLCIIRNNMSISLVDISSPNKQVESNPHLWENIAGKGHIKTLFTNLWSSISGRNGQRSHIVHCQFLMKSSACLSMSTLSSTTSNLLGTLCFISVFLELPATDPPVPFSIIAAE >KVH90890 pep supercontig:CcrdV1:scaffold_62:218799:220997:1 gene:Ccrd_007137 transcript:KVH90890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MEEGKEALLSPTTPGGSRRGRRLSRRYSVNSLRNDFLTRLPDKVRSTVDIECSSPFALPSKSSESLTPGEKEYYEKQFATLKSFEEVDAIVSSEGIDEEDLDEQLQQERAMKISNYANILLLAIKIFATIETGSIAIAASTLDSLLDLMAGGILWFTHLSMQSINIYKYPIGKLRVQPVGIIIFAAIMATLGFQVLIQAVEQLIENKPPEKMSSLQLLWLYVIMISATVVKLALWLYCRSSGNEIVRAYAKDHYFDVVTNVVGLVAAVLGDMFYWWIDPLTYLVTRHPQVKRVDTVRAYTFGVLYFVEVDIELPEDLSLKEAHAIGETLQIKIEKLPEVERAFVHLDFECTHKPEHNVLTRLPNSDP >KVH90841 pep supercontig:CcrdV1:scaffold_62:459445:461252:-1 gene:Ccrd_007103 transcript:KVH90841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGMCSGKPANVAHVSSSQFMEDVGNRNRRNNSHPAKDRSGMAIFKKVSKASGDESFAIPINLKAFTLNELKAATKNFRPDSLLGEGGFGQVFKGWIDETTFAPVRPGTGLVVAVKVLKSESHQGHKEWLVKGVEPMAWATRMSIAIDVAHGLSFLHSKEPSIIYRDLKASNILLDSEFTARLSDFGLARNGPVGDNTHVSTRVVGTSGYAAPEYVATGHLTRKNDVYSFGVVLLELLSGRRAIADERAGGVEETLVEWVKPFLIDKRGVFRIMDTRLGGRYSKKGAQAVAELALKCLNNDPKHRPAMTEVVESLEQITNTPKNVPQISS >KVI06787 pep supercontig:CcrdV1:scaffold_6202:1880:15914:-1 gene:Ccrd_014858 transcript:KVI06787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MKLDVNVLRYLSKDDFRVLTAVEMGMRNHEIVPAELIDRIASLRHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFSSVGRQIGVGKESDIFEVANEDGTVMAMKLHRLGRTSFRAVKSKRDYLKHRSSFNWLYLSRLAALKEFAFMKALQEHGFPVPNAVDCNRHCVIMSLVQGYPLVQVKQLQSTDIVFEKIIGIIVRLAEHGLIHCDFNEFNIMIDDDEKITIIDFPQMVSVSHRNAEMFNLSFEANADDSDSDADSDAVKPRFSSIKKASGFLDKELSASGFSRKEQDDIEKFNEGGLEKIPGSDDEEIEEDEGHNSSTINASDIQNFDSLCSLTKDDDQPMGCGMNGEVEEDQQNSEEKHHDTSDPVEDNENEEEDDDDDNPELVERLAKQRKRAIQAARAGRKSNGSRNAYKDKGGRSSHNSKIQKQMTSNW >KVG81711 pep supercontig:CcrdV1:scaffold_6204:6307:10982:1 gene:Ccrd_026183 transcript:KVG81711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS LSSALKHVFGLLIGLRACSQFTYSISCGTPSFYGFVAKPNGIVLVSRDYQSSSELKGLPIVGVEGITFRLCLSSPSPSLAAAQAFRLCLPSPSPALAAAQAFRLYLLSPSPALAAAQTFRLCLLSPSPASIDVLFRQALLSFSRCRRRSSGNVFWVMGNGLRRKMPCSGGNGLGMPSEGAAETGRRLANYCERFKVSFEYNTIETQNWEIVKIEDLKLQRNECLANLLDNTVVVNSPRDSVLKMIRDMKPDIFIQMFDIQKEIESLKALVVDFQEDKDMQAIACEELQQVLKEEQCVHNFLLKSLLPEKQYYKWILECNFHDMNKGVHGNQ >KVG81631 pep supercontig:CcrdV1:scaffold_6206:3231:11797:1 gene:Ccrd_026184 transcript:KVG81631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVGDDPVDLKMKILSTPTMDINGARQLMHRAILVVRSLQLFSAGNHGSSRVFRDTSYKSIVGSGGVGRGDKLDSDHTGRSKGRGKRGHDIGICKVREMVNSKFDEDSRGCVIWGSFRFPKI >KVI08206 pep supercontig:CcrdV1:scaffold_6208:21857:23109:-1 gene:Ccrd_013425 transcript:KVI08206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MFVALIETISSKKSGKGKRPGKGGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGDVSIRGRILADVLSISYRYEKRHSNIPAHISPCFRVKEGDHVTVGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGI >KVH88661 pep supercontig:CcrdV1:scaffold_621:107:370:1 gene:Ccrd_026185 transcript:KVH88661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MGKVSKADKKIAYDQKLCQLLDDYTXILVAXXDNVGSNQLQNIRHGLRGDSVILMGKNTMMKRSVRMHSEKTGNKAFLNLIPLLVVS >KVH88659 pep supercontig:CcrdV1:scaffold_621:179380:185109:-1 gene:Ccrd_026187 transcript:KVH88659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MAPSASFFYCDLLFFCLLLLLQAPPCPAAGGSWSVLLPSIGISAMHMQLLPNDRVVMYDRTDFGISNISLPDGKCRPNSTDCSAHSVEYDIASNSIRPLMVLTNVWCSXGXLMPDGRLVQTGGWDDGYRVVRIYKSCDSXDWQEIPNGLNQQRWYATNHILPDGRQIIIGVRRAFNYEFYPKMSTTENSPSFPFLVQTNDPNVENNLYPFVFLNPDGNLFVFANNRVILLDYSKNQVLKTYPTMPDGQPRNYPSTGSAVLLPLRIKNGTVNAVEVLVCGGAPKGAFVNANNGIFDGALDTCGRIKISDPNPQWVMETMPMARVMSDMLLLPNAQILIINGVSAGVAGWELGRNPVLSPVIYQADNQAGMVEFAGAHHYAPRSKSSSPTHLDVSLSTYA >KVH88660 pep supercontig:CcrdV1:scaffold_621:196750:204629:-1 gene:Ccrd_026188 transcript:KVH88660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHFSSLDNRENLLAFRQLAGLKANVRLYIPGTIRSEQCPMGTDDASILENFVVSLNYPEVDTLKRIRFLFLARKVQRLNKERGRKLRRSQGDDRIRRKISLFQGGVGSHKAMLAHKGKVKLDGDAWELSSMILKIKLPSDIIKSDALELKEIFPLLEGLLLPYNKERPFNPRWPYMPSLTMSRPWCLMGSRGQCI >KVH88662 pep supercontig:CcrdV1:scaffold_621:48597:60092:1 gene:Ccrd_026186 transcript:KVH88662 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2, C-terminal domain-containing protein MGRDIASLRIDKKPNVGNVNSNGVIRGTNHASPKHSGESVKVRKSEAEDGTIEGSHVERSPEKQHAVAVKSTNHETGSPEGITQKPEPSKSREKIISSPVSAASGSASVEENIAPGLHASSNISNFHSPTNTKQSQRNYPFSTPRPQKSDTNKYRDEEDNWSLASSYPFLISMYSAATSVRTVKSRVTVGVAPSFRSAQRAAQRKEFYTKLEQKHQALKAEKMEYEARTKEEQEEAIKQLRKSMVVKANPVPSFYRQGPPPKAELKKLPLTRAKSPKLSRRKSCGDTTHSSVDEKALCSRVRHSLGAYKPGSVASSPIKQNINGINKAKDRTTNETPKASPHKLTNETKDITVQS >KVH93588 pep supercontig:CcrdV1:scaffold_6217:13759:15502:-1 gene:Ccrd_004360 transcript:KVH93588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MASGGRQFPPQKQETQPGKEHIMDPTPQFINPNYKSADKLRGKVALVTGGDSGIGRAVCYCFAREGATVAFTYVKGQEDKDAADTLKMIYESKTKDSKEPIAIPADLGFDANCKSVVDQVVGKYGVIDILVNNAAEQYMCRTVEEIDENWLDRVFRTNIYSHFFLTSIINTTSVNAYKGHASLLDYTSTKGAIVAFIRGLALQLAPKNIRVNGVAPGPVWTPLIPASFNEEKTSKFGSECPMGRAGQPYEIAPSFVFLASEDSSYYTGQVLHPNGGMIVNA >KVI08078 pep supercontig:CcrdV1:scaffold_6218:18444:22383:1 gene:Ccrd_013554 transcript:KVI08078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MLQTLEAYAKXLEEPKKAVRSLITDXTIDATTKLSLIYSVHRIGLSYMYLEEIDAQLDKLFKELDLKDYEEVDLYTISVQFQVFRHHGYRLSSSMWWKDMKFQSLFPYIRDRVPEIYLWILGLYLEPCYSQARIIVTKITLFLVVLDDTYDAYATIDEIRIITDAINTWEIGAIDQLPKYIKPFYRILLNEYDKLEKEYTNEGRAYNVHASKQAFQEIARGYLEEAEWLHKGYVPTFPEYMKNGLITSAYNVISKSALVGMGAIANENALAWYETHPKILKAS >KVH91496 pep supercontig:CcrdV1:scaffold_622:149905:171876:-1 gene:Ccrd_006480 transcript:KVH91496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydantoinase/dihydropyrimidinase MVHLRSLILLLTITLWPFSGLCQFCDSGLGYCNSESALPSKILIKGGTVVNADHQEVADVYVEDGIIVAVRPNIKVADGVRVLDAKGKFVMPGGIDPHTHLAMEFMGTETIDNFFSGQAAALAGGTTMHIDFVIPVNGSLSKGFEAYVEKSKLSCMDYGFHMAITKWDDIVPKEMEIMVNEKGINSFKFFLAYKGSLMISDELLLEGLKKCKSLGALAMVHAENGDAVFEGQRRMIELGITGPEGHALSRPPVLEGEATARAIRLAAFVNTPLYVVHVMSSDAMEEIARAQKSGQKVIGEPVVSGLILDDSVLWDPDFVCHEPSNKSIRAWESTSSSIIYWSSKGTDHCTFNSTQKSLGIDDFRKIPNGVNGLEERMHLVWDTMVESGQISATDYVRLFNIYPRKGAILAGSDADIIIFNPNSTFQISAHSHHSRSDTNVYEGRSGKGKVEVTIAGGRIVWENEELKVVPGSGRYISMPPFNYLYHGINKADANYLTSLKAPVNRI >KVH91497 pep supercontig:CcrdV1:scaffold_622:204651:205574:1 gene:Ccrd_006481 transcript:KVH91497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MYNFYYYLYQYKDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRTGISFFTFFLCKTSVTLFCWDTCKILENQRRKSLNKPSIRVDQHFGCDRRQGLGAFKVGDVVHHKGGKVWLEHMDCLLQLQTLLE >KVG80983 pep supercontig:CcrdV1:scaffold_6222:7467:24579:1 gene:Ccrd_026189 transcript:KVG80983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MAGTLRSSLPSRLRQLLSGEGPIGPNVKLDSETPPKVKTFINKVIQCPLQDIAIPLSGFHWEYGKGNFHHWRPLFLHFDTYFKTYLSSRKDLLLADTLEDDTPFPKQSVLHILRVMQIVLENCHNKSSFDGLEHFKLLLASTDPDILIGTLETLSALVKINXSKLHASGKLVGCGSINNCLLSLAQGWGSKEEGLGLYSCVMLNERTQDEGLSLFPSDVQTESDNSQNRVGSTLYFELHGTNXPSTGDXGDMITSTSTSIIHIPNLHLHKEDDISLMKMLIEQYIIPPEHRFSLLSRVRYAHAFRSSRICRLYSKICLLAFIVLVQSSDSHDELVSFFANEPEYTNELIRLVKSEDTIPGTIRTLAMHALGSQLAAYSSSHERARILSGSSISFAGGNRMILLNVLQRAISSLNNSSDPSSIAFVEALLQFYLLHVISTSSSGSVIRGSGMVPTFLPLLEDSDPSHMHLVCLAVKTLQKLMDYSNSAVTLFKDLGGVELLTNRLQIEVVRVIGSEISNDSSMSIGESSSTNVDWLYSQNRLIRVLLKALGSATYAPSNSTRPQGVHDVSLPATLSMIFENVDKFGGDIYSAAVTVMSEMIHKDPTCYGVLEELGLPDAFLESVKAGILPSSKALTCVPNGIGAICLNTKGLEAVREASTLRFLVDIFTAKKYVLAMNDGIVPLANAVEELLRHVSPLRGTGVDMIIEIVNKIASIEDCKGRGQSGKVNESNAMDMDTEDKENVGQCLVVASDSASEGIGDEQFIQTPASPMEIDEGAPKHDSEETVRSWIDGPLASYGKLMDHLVTSSFILSPFTKHFLTQPLVTGDVAFPRDAEVFVKVLQSMILKAXLPVWTHPQFTDCGDEFIGTVISIIRHVFSGVEVKSVSNAGSRPSGPPPNETTISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEEVQEDDELARALAMSLGNSRTDGKDIVTSESSQQIEEETVQLPPVSDLLSTCKKLLEMKDSLAFPVRDLLAMICSQDDGQYRSNVVSFILEQVKLYSSNADGGKNNMLSSLFHVLALILNEDKDAREVASKSGLVKVAADLLSDWNSCTHENETLLVPKWVTAAFLAIDQLAQVDQKLNADISELLKKDDVGNQNALVIDEDKQSKSQPSLGLFSKHIDIEAQKRFVEISCGYLTKQLPAETIHAVLQLCSTLTRTHSVAVSFLDAGGLPLLLSLPTSSLFVGFDNVAATIIRHILEDPQTLQQAMESEIRHSVVTAANRQSNGRLTPRNFLLNLTXVISRDPVIFXRAVRSVCQIEMVGERPYVVLLKDRXKDKSKEKEKEKEXGDEKDKPQTTTDGKMSLGDINSLAXGSGNAKLPETNTKNVKVHRKPSQSFVTVVELLLESVITFVPPSEDKTVAGEGSSVIDMEIDVASSKGKGKAIASXSEEKEDSGQESSASLAKVVFILKLLKEILLMYGPFVHVLLRKDAELSSSHVPPLKGPTSIGAGGIFHHILCKFLPHLRSSKREKKTDGDWRHKLAGRASQFLVASCVRSTEARRRIFIEINNAYIDFVDNCKVHRPPGNDIQAFVDLLGDVLAARSPTGSXISGEASVTFIDVGLVRSLTRTLHMLDLDHAESLKIVPGLVKVLELVTKEHXHAAEATTARAENPTKPXEHSQRGGTSNAGDLSHSTETASLPNASSAPTEVVEPFSTVQTYGGSEPVTDDMEHDQDIDGGFAPPSEDDYMHETSEDTRGLENGLSSVGIRFEIQPDIRESLDEDDEDMSGDEGDEVDEDEDGEDEGQNDLEEDEVHHLPHPDTDQDDHEIEDEFDEDMIEEEDEDDEDDDGGVILRLGEGMNGINVLDHIEVFGRDHSFSNDSLHVMPVEVFGSRRQGRTTSIYNLLGRSGDXSVPSQHPLLMEPSSSRVVSSRQAENARDGHLERNLESSSSRLDSIFRSLRNGRHGQHGHRLSMWTNDQQSGGSNASSIPLGLEDLLVSHLRHPTPEKGSDQDKMVEAQTKNESGQSQGSAGMVPDTAAENNDNGDQVPPASLSGSRDSGNAPTVDETQGGTDVPVGQPQSVDMQFDSNDAVVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSSDLRTRRTNVSVGNTTSISVRDAALHSVTEVSENPSQETXQSDXAQDAQRDGAGGSAPIDPAFLDALPEELRAEVLSGRQGPVAQPSNTEPQNDGDIDPEFLAALPPDIRAEVLAQQQAQGVHRSQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAVLANLTPALVAEANMLRERFARRYNRTLFGMFPRSRRGESSRRGEGVGSSMDRTGGIITRRSSGSKPVETDGXPLVXREDLXAMIRLLRVVQPLYKPQLQRLLLNLCAHVETRSAVVKILMDLLMLDIRKPGNNLHASEPSYRLYACQSHVMYSRPQCFDGVPPLVSRRVLETLTYLARNHSFVAKLLLQFRFPPTAGQESESLDQSRGKAIMVVEENETEKQQEETLAISMLLSLLNQPLYLRSIAHLEQLLNLLDVIIDNAESKQALVEQGVSVTEESPGQTSTVDINAGGLSDNAYALVAEVLKKLVTIAPRHCHLFITELAGAMKNLTTVAMDELCRFGEIEKALITTTASDGAAILRVIQALSSLVASLYQEKDHTLPKKDQAATLSLVGDINAALEPLWTELSTCISKIESYSDTSPDIITDSSMISTSRPSGAMPPLPAGTQNILPYIESFFVMCEKLHPGHPGGAQDFGVAAVPNIDEATTSDGQQKTSGPSAKVDEKHVVFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFIDFDNKRSHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDAQLLDVHFTRSFYKHILGVKVTYHDIEAIDPGYFKNLKWMLEVTDYELIPGGRNIRVTEENKHKYVDLIAEHRLTTAILDDMKSNTEYSGYSAASPVIQWFWEVAQGFSKEDKARLLQFVTGTSKFQSIGFTRISFKRTSGGEAIACNS >KVH98785 pep supercontig:CcrdV1:scaffold_6228:9796:19853:1 gene:Ccrd_022987 transcript:KVH98785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase SNFARTLSTRTTAADALHSGRIPRFCLRTSSRQTNMATAMVATDTRKLPRPGRGGVISQGLTEEESRVRAIAEIVNNMVELSRNGESVDLNALKSAACRKYGLSRAPKLVEMIAALPDSEREALLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPAEYRDYFIRNLHDALSGHTSANVEEAVAYSEHGATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFSLAKDAGFKVVAHMMPDLPNVGVERDMESFKEFFESPSFRADGLKIYPTLVIRGTEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDDILVGLLRLRRCGKNVTCPELVGKCSIVRELHVYGTAVPGYGTLLMEEAERIARREHRSTKIAVISGVGTRHYYRKLGYELEGPYMMKHLA >KVI11223 pep supercontig:CcrdV1:scaffold_623:155896:156874:1 gene:Ccrd_010369 transcript:KVI11223 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MVVMAQPSYAPVFVPVSVIGPQFILPYQLEIIVDTYSSGNLVITDTNHKIMLKVKPYSTSFHRQLLLLDAVDRPIVMLREKNMSGHDGWNVFRGDSKADSDMIFSTKTPHMIQFKTKMHEMQPMDNVKFSEDKFMVTIYPNVDYAFVVTLIAIVYAMKSSDTKDVVAGQVVGGVAQDVVSAVIS >KVG80511 pep supercontig:CcrdV1:scaffold_6233:5090:24177:1 gene:Ccrd_026190 transcript:KVG80511 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, catalytic domain-containing protein MLFRFYNSLNLNILRRQSPCKDTRLKSFFGLFWDINKRNMCEDGKANTRILFCGPHFAASHNYTKEYLQNCPSIQVDDLPFASIPDLIGNYDICVVKSMRLNSDVIARATRMKLIMQYGVGLEGIDIAAATNHGLKVARIPSGETGNAASCAEMAIYIMLGLLRKQVGSHTNLLTNTFINLHFHNEMQFAVKQKKLGEPIGDTLLGKTVSSINYSPVLLNLGDKVGELDELLAALHYVIILCNKQSWALCSNLTLELDGHSKEVFILGFGNIGIELAKRLRPFGVRILATKRNWSSSVPGGFNSTEPTYQNGTHEDLVDEKGSHEDIYEFAKISDIVVCCLTMNNITAGVINKNFLSSMRKGGLLVNIARGGLLDYEAVYNSLKSGHLGGLGIDVAWTEPFDPDDPILKFPNVLLTPHVAGVTECSYRFMAKVCILDIVVGDVAIQLQSGKPLTGIELVN >KVG80506 pep supercontig:CcrdV1:scaffold_6234:2364:9446:-1 gene:Ccrd_026191 transcript:KVG80506 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MSQEVCQNLEWRLYSSPRPWDLLGQFGLLKQLRKMGMTVNVYGFPNLVSADIIKAALEQHTGLGTIVALEVRKSNGGSRAYAKVQFTTREKVDYILDLANRKGLWYGSSFLKAWEVDTDIVQKPRQFALDMDGITLHFGCQVSKETLQVLCKMRNSMVKFGFGLRRLYFNVSYPTTSYNLQLSYDNIWQVQLHRPRGLAASFLVIQLYGAPRIFQKVEDNNLNDYREIRDDQWVRATDFSPSFSIGQSSHLCLELSHGVEIPNLXXYFPYYEESNXPFNLVTGHSFSQNLDLVPTVGPARGSNLPYKIIFKVCSLVQHGCIPGPVLDANFFELLDPARRDIASIDXVLDKLLYQKDCIYDPVRWITQEYRRNNRLRXXTISLDAGLVYVRRVQITPSKVYFCGPEVNVSNRVLRNFXTYIDDFLRVSFLDEELEKLYSTDLXPRATNRSEESRTGIYKRILSILRDGIVIGRKKFEFLAFSSSQLRDNSAWMFASSNILTAADIREWMGKFNSIKNVAKYAARLGQSFGSSKESLNVAPYEVEKIPDIEVVRGGTKYVFSDGIGKISLEFASSVSIKCGYDFIPSAFQIRYGGYKGVVAIDPTSSKKLSLRTSMCKFDSDNTKLDVLAISKYQPCYMNRQXITLLSTLGVRDHVFEKKQKEAVDLLNAILSEPMKAEEALELMSPGENTNILKEMLACGYKPNAEPFLSMMLQVFRATKLLELRTKTRXFVPRGRXMMGCLDETRTLEYGEVFVQFSGSRRRVLGDDFSGGSSNSCRIVTGKVVVAKNPCLHPGDVRVLTAVDVPRLRHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDPDLIPPRQIEPMDYTPAPSMELDHDVTIEEVEEYFTNYIVNDSLGIIANAHTVFADREPTKAMAEPCVELAKLFSIAVDFPKTGVPAVIPANLRVKEYPDFMEKPNKTTYESQNVIGKLFRGVKDISPQDSPVSPFTRVVAFQTYDAEMEVHGFEEYVDEAFDFKTEYDYKLGNLMDYYGIKTEAELLSGSIMKMSRSFDRRNDAEVVGLAVKSLRKEARKWFRSGRGESDAENDDVYAKASAWYHVTYHPDYWGRYNKDMTRDHFLSFPWCVHDKLIEIKKRRSKIRRYSNTD >KVI01863 pep supercontig:CcrdV1:scaffold_624:122058:125853:-1 gene:Ccrd_019852 transcript:KVI01863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MAATRIMVSASNIGTSSSLLFKTAVSPSFKPQCLSFCFNNNTRLVSKKRAFTCSALYKPEIQIKEEGQPETLDYRVFFVDNSGKKVSPWHDIPLHVGDGAFNFIVEIPKESSAKMEVATDEIFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANPEVDGAFGDNDPVDVVEIGESRGNVGQLVKVKPLGCLAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKDYALKVITETNESWAKLVKRSTPAGELSLV >KVI01875 pep supercontig:CcrdV1:scaffold_624:54936:56357:-1 gene:Ccrd_019849 transcript:KVI01875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MENYSYTSYPESGGSSPRSREVDFENPPPWEDQSTGNNSNNNYKVKLMCSYGGKIHPRPHDNQLSYVGGETKILAVDRFVKFAAVNAKLTALCDGEVCFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLNRASPSPARLRLFLFPLSGQSPALTPVHSFGSTEGRSERERFMDALNSGHVQPNTPPSAPPPHGNVERFFGSDKGMPMQPSGVASKTRDQHIADPHIHPHEPEIAVLDERGIEADRIQKHIQDLQRLRIGEEQQPALYRKPSDDNLGAGYTGDYYVPKMTEKVAPTTLPGTVPAPAAGYQISGGFTTSTISSDQQPVYMIPAHASMYHAPMARPATAPVNHGQGYYVQRMPTEVYRDQPVYNAMQPVQSMATQPILPPQQPQKITTHSEGVRMVHSTAGMTDAGYAQVAYDNGVGRHVYYTPQGTMVTPQPTTQQFHAMAAPSAALNQGGKVVASSKISQGSI >KVI01862 pep supercontig:CcrdV1:scaffold_624:136767:137945:1 gene:Ccrd_019853 transcript:KVI01862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein, family 15, plant MSSSCCGGNCGCGAGCKCGSSCKGCKMYPDMSSGEKNTTPDTLILGVAPSKQSGNDGAAGAEKEGCMCSPCMCNPCMCT >KVI01869 pep supercontig:CcrdV1:scaffold_624:191990:195234:1 gene:Ccrd_019858 transcript:KVI01869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSSEPISGHHLVLLSLLCLVCHSSSQSDQLQPLLKFKSALSNSNSQVFKTWNGETPICNFTGIVCGSDDSVKEINLSQQQLVGTLPFDSICSLQSLEKISLGTNLLYGNISNHLSNCTHLQYLDLGQNFFSGEVPDLSPLTQLKFLNLNLSGFSGKFPWKSLENLTGLAFLSLGDNPFDKSPFPLEVLKLQKLYSLYLSNCSIEGKIPEEIGNLTLLESFELSDNYLVGEIPVGITKLTKLQMLELYNNELSGILPGGFRNLVNLSWFDVSNNSLEGDLSELRSLTKMESLQLFENSFHGTIPEEFGEFKFLTQFSIYDNKFTGELPARIGSWANFQYIDVSENFLTGSIPPDMCKMGKMEKFLMLENNFTGGLPENYAGCSSLLRLRVSNNSLSGRVPDGIWSLPNLRMIDLALNQFEGQVPPNIGEAKSLAQLLLGNNQFSGELPEEITKVTSLVEIELLSNQFSGKIPSRIGELKKLSNLHLQDNIFSGAIPESLGSCTSLDEINLAGNSLSDQIPASLGSLPSLNSLNLSTNKLSGEIPASLSSLKLSLIDLSNNMLIGRVPQPLILMAYSDSFAGNPGLCADGRKDLRPCSPVSHKSGQLKVAVYCFIAGAVVLLLSLSGFLIVKLRQNDDKSPMNRGFSWDVKQFHVLKISEDEVIRSLKQENIIGKGGSGNVYKVVLRCGEQLAVKHMWKSEPDSGSWRSSRSSAAILPKGKSQWREYEAEVAALSSLRHMNVVKLYCSITSEDSNLLVYEYMPNGSLWDRLHTYQKIEMDWNVRYDIAVGAARGLEYLHHACDRPVIHRDVKSSNILLDEEMKPKISDFGLAKIVQTGKAMDSSHVIAGTYEDAMALVDCSITKDAQEEAVEVLSIAVHCTMKVPALRPSMRMVVKMLEEIEPKSLAEIVIDKKGENGNSYGKS >KVI01871 pep supercontig:CcrdV1:scaffold_624:11191:15690:1 gene:Ccrd_019846 transcript:KVI01871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MGIEELNVNREEEEMEAGSASSPLLDDKNPFQTRYHDLVRLKGYAFDGLGNYCHKEWDLVEGSGKEFCWYHVELPKGNQKLSQSAQYLIDVLCPPLKLQDILSLVSNGPYCGHVDGALVFRVNSPGPASSKFTFRLAARVTENSMISVSLGRVPRLGFSPVGQSLLSEIPSVESPNPKFCRSEMRERNGIVIKEHVLDFLLTMNHSEEADNPVPKSVSNLVVHVIDTHMDHLEDVVTNLELELDSVEVALDKGGFSLRKQLLDDRRFPKMNLDLQRLLRLIGRLRRLKDNVGFITNRVTAVQAGLDCWQAEQINRKLYYLSFFSIIFLPLSIITGVFGMNVGGVPWTGQRDPELADGFRNVMLLCVAMLLLVLLCFLFPCLHSRITTWRKPRTVRRSWSLNRRSFLKRMIGGGGGGGERGYLRL >KVI01866 pep supercontig:CcrdV1:scaffold_624:172157:175623:-1 gene:Ccrd_019857 transcript:KVI01866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVLNFAGYLLFYSLIIRFSSSAASISKPDDLQEVIVKGLGFQSNDLKISGFDLRMVDPLFMSYIQKLMIKWTGSREEEKTKKKGLQGSPVLSPFQLAGPMELWIQDAKDMRLPLPGAKSVGLLRAVELDLPLNKTQNRFASGILTPADRLRHAYRPTSLTSPKLSPSSNKLKLKRLAPGLVELSSTLKPNSTNSISAIDLREIMAVRVPKWVKPTLDWFRGTTLRPRFWVLKPRSRVFFRLLRADVSAQTFMKIGFTVEKLSGNGSEWAGYPKWRTKPEIMRMHFEVLAKVDGEKIVPERLIQVDPVMVKDTMATNLITGHITSSSTISIIYPLKEQGGLTYKVDDSDYKVQILKHGSSFSFTCERRGRIVTPACPPTTGTLTSLGSSPKTSA >KVI01868 pep supercontig:CcrdV1:scaffold_624:198736:201377:-1 gene:Ccrd_019859 transcript:KVI01868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGTDCMQLHDCLWPACPVSASLYLLNNDTSKVYS >KVI01874 pep supercontig:CcrdV1:scaffold_624:29694:32284:-1 gene:Ccrd_019848 transcript:KVI01874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MGEIPNYSNNNPRHLNPNLNPNPNQKPKSNKKKHFPNAAVNGRPHNHNSHPVDSCGVVVSQAASDDAYSFNQRPIETSGGGGYGNSFNHGGYVSYNVAACSRSEINELRKKLVLDLERIRSLNERIHAGELNPRSSNGKFKKLSGNKRQPSSMPFGSSNKEPKHFRQGLVNGSGGGEADENLLKMCRQVLTKLMKHKLSWVFNKPVDAVALGLHDYHQIIKRPMDLGTVKSNLSKNMYASPSDFASDVRLVFENAMLYNPRTDEVHGMADQLLTHFEELFRPIQAKLATHHHVNEFSAIDELDGSSWDDVQTPERSKKMKSSGPVVPSISNKQNHSTASNPVIPPVVQSPVRTPSPMQAVEPIKPSSATTTTTRGAVGKQPKPRAKDPNKREMNMEEKQKLGLGLQSLPPEKMPQLVQIIRKRNDHLAQEGDEIELDIEALDTETLWELDRFVTNWKKLVSKTKRQALLVNSASAAAASVSPDHDDVPVSEKADGMKKNKKEAGEEDVDIGDEMPESSFPHVEIEKDDGGGQGQGTGHGNENGSSSSSSSSSSSSDSSSSSGIESCLSRSSEREIQTLGVLLGVIQMQMMHSRDMNRWEMNQRNPKYKRQCRIRI >KVI01873 pep supercontig:CcrdV1:scaffold_624:1660:6568:1 gene:Ccrd_019845 transcript:KVI01873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLPHHLVATSLSSSTSNFFAQNSFDVVRTQVVSAPFRKMGVCKCVATSPTEQTAYKTQVSRNGNIAKLQAGYLFPEIGRRKAAHMLKHPDAQVISLGIGDTTEPIPEVITSAMAKRALALSTVEGYSGYGAEQGEKQLRARLASTFYGNLGIEEDDIFVSDGAKSDISRLQVCVLFGSNVTMAVQDPSYPAYVDSSVIMGQTGQFQKDVEKFGKIEYMKCTPENDFFPDLSKVSRTDIIFFCSPNNPTGSAASREQLIQLVKFAKDNGSIIIYDSAYAMYVSGDTPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELKYSDGFPVAKDFNRIVCTGFNGASNIAQAGGLACLSPEGLEIIVDTFTSLGFKVYGGKHAPYVWVHFPGQSSWDVFSEILEKTHVVTTPGSGFGPAGEGFVRVSAFGHRDNVLEACRRFKELYK >KVI01872 pep supercontig:CcrdV1:scaffold_624:22288:29141:1 gene:Ccrd_019847 transcript:KVI01872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MGSKKRSSDSVEEVTNRKSDAISENKVSTEHLRKKIKKVKKEGDIANDNSNNSSDAVKPMERKKKRKALDKEKHRSTLDENAEVNPIVAGLEVKEVESRSVNSLPEFHIGVFKDLGSADVLVREAAAERLGMELQEVQKAYNMLEKKEDVEAGLKLEAEKEDGLNNCAPSLRYAIRRLIRGVSSSREVCFMHYSKTKIFEFLFACARQGFALGLTMLVGTVPDIPLSSLLKLIVDLLEVSSSMKGQEIKDCLLGRLFAYGSLARSGRLIQESVSDENSEHIKEFTSAAISLATKKRYLQEPAVVIVLQLVEKLPVEVVLKQVLEAPGLQEWFEGATESGNPDALLLALKLREKISTDNQIFGKLLPHPYSSSMLFSADHLSSLANCLKESTFCQPRVHGVWSVLVNILLPDIVPQHADLATGLNSTKKHKKNRKSSSYDEDIQKNLQNFWEIIIEGSLLLSSHDRKHLVFDVMLLVLRRLPVSCVPIVLSYKIVQCLVDILSTKDSWLYKFAEYFLKELSEWVSHDDGRRVAVTMALQKNSNGKFDCITRTKTVKDLMSGFDTEPGCMLFIQNLIDMFLDVTHASEEPSDQSQTTDDNSEIGSIEDKDFAGTLGTSDFLKGWVVDSIPSVLKHSKLDNEAKFRVQKEILKFLAVQGLFSSSLGTEVTSFELQEKFRWPKAATSSSLCRMCIEQLQLLLANAQKGEGPHAVASGLEANDLGSYFMRFLSILRNIPSVALFRSLSNEDEKAFKKLQAMETRLSREVIHCYIYLESWLLLTVNL >KVI01870 pep supercontig:CcrdV1:scaffold_624:206683:207216:1 gene:Ccrd_019860 transcript:KVI01870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPGSPYIRYSPVHHRHRQLLLVTNTLKKPIAPPLAARRFHFHGVATSIFMATAAAAVVILSSSMSAIAIEPRNPPPDAAETLSNIPQTLSGDCVQGQDGDCKKARIQKPKSRKAELCTIKCVTTCIRGGDGSPGEGPINVRRPLVVFKQGFRTRHYCLVECSDICNLIRDGDDGP >KVI01865 pep supercontig:CcrdV1:scaffold_624:141293:145257:-1 gene:Ccrd_019854 transcript:KVI01865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTLELRSLGNTGLKLSCVGFGASPLGSVFGPVSDEEAIATVREAFRLGINFFDTSPYYGGTLSEKALGKALKAVGVPREKYIVSTKCGRYKEGFDFSAARVNKSIDESLERLQLEYVDILQCHDIEFGSLDQIINETIPALQKLKEAGKIRFIGITGLPLGIFSYVLDRVPLGTVDVILSYCHYSINDTTLEDLLPYLKSKGVGVISASPLAMGLLTESGPPEWHPASPELKAACQTAAAFCKKKGKDISKLAMQYSMSNKDISTVLVGMKSVEQVQVNVAAATEVEKDEQTLAEVEEILKPVKNQTWPSGIQQS >KVI01861 pep supercontig:CcrdV1:scaffold_624:98417:111575:1 gene:Ccrd_019850 transcript:KVI01861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAIDSTSLPEPAPSWFTPKRLLVVFCVINMVNYVDRGAIASNGVNGSPRSCTESGVCSDGSGIQGDFDLTNFRDGVISSAFMVGLLVASPIFASLAKSINPFRLIGVGLSVWTLAAAGCGVSVDFWSITVCRMLVGVGEASFISLAAPFIDDNAPVTQRTSWLGIFYMCIPTGVALGYVYGGWVGTSFGWRYAFFGEAILMLPFAILGFMMKPLQMKGMSNDKNVPGSLTEEFSDHTSKKPFSYGSFTSNQLYRFWQDIKDLLHEKIYVVNVLGYIAYNFVIGAYSYWGPKAGYSIYQMNNADILFGGITIVGGIVGTLGGSIILDRMNSTIPNAFKLLSTATFFGAVFCFSAFCFKNLYVYIVFFLIGEILVFATQGPVNFVCLHTVKPSLRPLSMAMSTVSIHIFGDVPSAPLVGALQDEVDNWRISALILTSVLFLAAGIWFIGIFLHGVDRYSEDSEHPLATVVEQSDATPLLKNKAVDTAASAQL >KVI01867 pep supercontig:CcrdV1:scaffold_624:168078:173540:1 gene:Ccrd_019856 transcript:KVI01867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate dehydrogenase/glycoside hydrolase, family 4, C-terminal MEANQRIARIAAHLHPSNVQPNSNDPLGFIICYSMIKRFGGVDDDGVLLLRYGVLNLNVINGNCLILAEMEGGSVLEQTNCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNSPGVTADISHMDTGAVVRGFLGQPQLDAALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVKTLCEGITRSCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTMLDVVRANTFVAEVLGLDPREVSVPVVGGHAGVTILPLLSQVKPPCSFTKEETEYLTKRIQDGGTEVVQAKAGAGSATLSMAYAAVKFADCCLRGLRGDAGIVECAYVASQVTELPFFATQVRLGRGGAEEIYQLGPLNEYERVGLEEAKKELATSIEKGVSFIRK >KVI01864 pep supercontig:CcrdV1:scaffold_624:157050:158953:1 gene:Ccrd_019855 transcript:KVI01864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEISYFRGLSEWTQMEDPCFNFQHPVNPFDHQLDSMAIAAATFGDTRDQVHAHTGVFDYYKPAMEPSPRPTKQLKTNSWNSSISTEHSSMNLNQGTVVKPKEEMAVSSKISHGFLPCDDKLSPSHLQFQQKNSGFNQGHDGGVNGAKSGPRLSPAQDHILAERKRREKLSQRFIALSALMDKASVLGDAINHLKTLQEKVKTLEEQIKKRPNTESVVFVKRYELLVDGAESSSSDENFSGGPIHEQLPEIEARFFGNDVLIRIHCEKKGGILEKILTEIEKLHLSVINSTAMTFANHALDITVIAQMDKEFAMTMKDLMKNLRFALKKFM >KVI01860 pep supercontig:CcrdV1:scaffold_624:114516:121916:1 gene:Ccrd_019851 transcript:KVI01860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MMTMRLFELGRCAPATSWQSRLLVTEMIYLSNFDLDMSVWAARLTSVLISFLIYMGENGFLVKSVWEEEGSNNQVKSEEKNHSVDVAIDKDSTDSLESETSWFTPKRLLLLFCVINLITYLDRGAIASTGVNGVPGSCTPNGVCSHGTGIQGDFNLNNAKDGILSSAFMVGLLLASPIFASLAKRLVGVGEASFISLAAPFIDDNAPVSQVGDAVGWRQAFFGEAIMMVPFVILCFVMKPVELKGFSHDKDVSGSSNEKVTDNKSENSTGLSNFFRDVNVLLHEKIYVVNVLGYMAYNFVIGAYSYWGPKAGYSIYNMVSAFDPMLDADCVLQSDADMLFGAITIVGGIVGTLGGGFVLDHLNSTIPNAFKLLSAATFLGAVFCFSAFCFKDMNIFIVLFLIGEILVFATQGPVNFVSIHSVKPRLRPLSMAMSTVSIHIFGDVPSSPLVGIMQDKLKNWRKTALILSSVLFLAAGIWFIGVFLRAPDRYDEDDEDPEINTEKSNTTAKTTDASSEP >KVI11526 pep supercontig:CcrdV1:scaffold_6249:8603:14141:1 gene:Ccrd_010062 transcript:KVI11526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 MAEEEHQLEMKEEVKDEATNLAPFDPSKKKKKKKVVIQDPADDSMEQLAEKTESLSVSDGLEPTFTGLKKKKKKQLQTDLLDDDKENGGEDIDYPIEEDEEGEGIVLQQQKLPWEGTDRDYKYEELLGRVFHILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKSMHRQPEHAMTFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPETILSKENRLFFLRCEKCGSGRSVAQIKAGFVARVGRRKAGT >KVI00735 pep supercontig:CcrdV1:scaffold_625:101506:105802:-1 gene:Ccrd_021013 transcript:KVI00735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSPMTFQAVMDAAKMTEKEKNLQYGERGNEKRKWDEPPNDLRRPKFTRKDAVRSAAAHCSALEFKF >KVI00732 pep supercontig:CcrdV1:scaffold_625:191405:192870:1 gene:Ccrd_021016 transcript:KVI00732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MIITFQAVDHPYLVVYSKSAIERRAGDAGSTDEQVCGLCHDVAEDPVVTSCGHLFCKPCLIEFSASYGQPACPSCSKPLTVDFSSNKDQEDQKPKMSVKGFISSSIINRIRLEDFQTSTKIDALKEEIRFMVERDGSAKGIVFSQFTSFLDFISYSLQKCGVKCVQLDGSMSMAARAAAITRFTE >KVI00734 pep supercontig:CcrdV1:scaffold_625:63914:81594:1 gene:Ccrd_021012 transcript:KVI00734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSACVKEVNRSASVAFAPDAPCLAAGTMAGAVDMSFSSSANLEIYKLDFQSDDRNLPLSGAIPSSEPFNRLSWGKSPSSGSEEFSLGLIAGGLVDGNIGIWNPRLLMSSEESENALVQQLSRHKGPVRGLEFSSLSPNHLASGAEGGEICIWDFAKPTEPTHFPPLKGSESVTHGEISFLSWNKKVQPILASTSFHGATVVWDLRKQKPIISFSDSVRRRCSVLQWHPDFATQLIVASDDDSSPSLRVMLYAFIYVAISESVIIGSYLPFWHAAMGYAEHNVTLARVLLQCHGVPMIAPICLLVPKTTALFAGTLIQLRLSLNFQLDPIGILMCTGIRSYLGSYRHLHLMEKLVFITLRLVLDMVLVTVTLAQVDVHDLVTEHSLVGTSSEIEAAMRSGERSSLRLLCDKKTQESESEDDREIWGFLKVMFEDDGTARTKLLNHLGFSLPPELNDTVQNDLVQDVSSLSLDKNEEAKEGYMEHNQTFNYPSDNGEDFFNNFPSPKADTPVSTSHNNFAIEESVPAVEDPTKGSDLQEGSADPSFDDAIQRALVVGDYKGAVAQCIAANKMADALVIAQIVAAMVNNDLVSLVNIRPLKSWKETLALLCTFAQRDEWTLLCDTLASRLMAADNTLAATLCYICSGNIDRTVEIWSKKVTAEHEGKSYVDLLQDLMEKTVVLALATGQKRFSASLCKLVEKYAEILASQGLLSTAMEYLKLMGTEDLSPEFVVLRDRIALSSEPEQNATVSTDFGYSQTHTGAVYGANQQTNSAVEPLQSYYQDEKLYRQEQYQPQPGPSYNNQYEQPQRSMFVPSPAAPSPPMGFNQPPVATQAAARPFVPMTPPIMRNADQYQQPPTLGSQLYPDAYQSQVNASSNYQAGPPGTVSLGPVPSPMVPTTGPKLPHDVSPTPPVRGFMPINNTPMQRTTSGQMPPNSATESAAPVAAPLPTVQTADTSNVPAQQRAVIGTLTRLFNETSEALGGSHAVPAKKREIDDNSKKIGALFAKLNNGDISKNAAEKLVQLCQALDRGDFPTALKIQVDLTTSDWDECSFWLATLKRMIRIRQNAR >KVI00733 pep supercontig:CcrdV1:scaffold_625:113587:114975:1 gene:Ccrd_021014 transcript:KVI00733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGYLSCKAESTIAVHNPSKKSDKIHGNEDLIHKKIQHFDYSDLEAATNNFSDQKLLGRGSHGLVYKALLRNGRLVAVKKPSHQNNHHSAISAVPENEVENEIDILSKLHSPRLVNLVGFTNTPHQNRLLVVEFMSNGTLYDTLHLSQQPPNWGRRIRLAVQTAKAIEILHSSVPPVIHRDIKSANVLIDRNFNARLGDFGLALRCHVDDYRLLSTPPAGTMGYLDPGYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVSHSPPSIVDWAIPLIRRGKLLSVFDPRIPPPKDPMVRKQLGVIAAKCVRSCRERRPSMNEIVESLSFLTKLVPLRSWNGLSNPCMMVENVGRPVEVVSSRPKTGGGVDEGKTLSNPRRVYSDLGFRNNLMDLMAGRVENEEDDTMKTQVHRRSRLKNEGSSFRSIVSGNKFERNRSAGETSANISTRRCYAVARSKSYAQTKA >KVG79775 pep supercontig:CcrdV1:scaffold_6252:3452:10286:1 gene:Ccrd_026192 transcript:KVG79775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MVIRIPETMISSSDGRRPIPLIGMGTARSREGEDEIKKGIIEAIKVGYRHFDTAELYQTEKALGEAINDALQLGLIKSREELFITTKLWCNATEGYLVLPAIKQSLRNLGLEYVDLYLIHWPITLKQEEFKIPIPKECIIPINLKDVWKAMEECQNLGLTKSIGVSNFSVTKIQELLSFAKIPPAINQVEMNPVWQQKKLNEFCKKNGILVTGYSPLGASGNKWGHNRVMECDVLQQIADSKRKTVAQISLRWIYEQGVSFVVKSFNPERMRQNLDIFDWSLTEGELNKISQIPQQKHANFMIGMGTATLTAGSDEVKAAILEAIKVGYRHFDTAAFYQTENSVGEAIKEALRQGLIKSRTELFITTKLWCNSAERHLVLPALKENLYLIHWPLKLNQEQYKLPVPKECVAAIDIKGVWEAMEDCQDLKLTKSIGVSNFSCRRIQEILSFARIPPSVNQVEMNPLWQQNELNRFCKANSILLTAYSPLGGYGNPWGHNRVMESDVLQQIAMSKGKTIAQVSLRWLYEQGVSFVVKSFNMERMKQNLDIFDWSLSQEELNKISRIPQRKNLYLVGMMVKEHNDVMDEIDAEI >KVG79776 pep supercontig:CcrdV1:scaffold_6252:11035:14983:-1 gene:Ccrd_026193 transcript:KVG79776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MVGSPKPLFITLLFVYLGSQVESDASDHRYHDGDTVPFYANKVGPYRNPWETYAYFDLPFCSADNVKEKKLNLGEMLNGDRLVSTPYKLEFRVNKDSEVLCKKTLSKTDVSQFRSVIAKDYYMQLYYDDLPIWAFIGHVERDYSDERKSKYFLYKHFDFEVLYNKEHVIEVNLRVDQNYLADVTEDKDIDVDFTYSVKWLVTQYLFEERMGKYIGSSILPHHMSIHHHSITNSSVTLLILSICLMTFYVLVLRKDISKFSQDVEGDQVADNLDETGWKNIHGDIFRFPQHKSLFAAALGSGTHLLLLIISILVMGLLGVFQPYHRGVFLNALVITYAVTSVVSGYTSVSFYCQLEGTSWMKNLLLIGGLYFGPLFLTFCFLNSVAIFYGTTAALPIGGIVILSLLWIFLASPLLLLGGIVGKNRASDFEAPCRTSKCPREVPQLRWYRGVLPQMALAGILPFSVIYIQLHYIFATVWGHRVYTLYSILSVVFVLLLIITALVSVALTYFQLAVEDHEWWWRCVIFLWWLYRIVCIWLLYLSLFLEIRHDWFHANLLLLRLYGLCLLRHISGTWKCGFPCLIALCSLPICGHKMRLAGVISDGMKSI >KVG79634 pep supercontig:CcrdV1:scaffold_6257:16730:17329:1 gene:Ccrd_026194 transcript:KVG79634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSRKSKGSVLPLASMFHCSILPSGRFASSTTAGFYSSPSSSYIHRRPTSSTRVNLHGLTSMTSSSVSFSIENRSGSAIRSMVVSPRDQVVREQNGRTLPKKMCMCSQTMHPGSFRCSLRKTYNNSHSMTSYSPNRLNARRSAMTNSLVRIATVEGGDLVKRALAALIRPSSHQQRQRSAFQPRPSRLSILSNADDS >KVG79562 pep supercontig:CcrdV1:scaffold_6258:16810:18196:-1 gene:Ccrd_026195 transcript:KVG79562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAQHATPTSCLDHENVARSPHAVPVPRTRRAHSPHTLHLFPMSHARSPTPRACSPMPRARSPMPRARSPHVVPTLARALAKWGBHGVWLPPPQLRGHPRHGVWHKEVSQMRDDQHASPHVVPRSQERHPFPTRRARFPHTGRPFLHAACLFSHVVPTLARASAKLCCYGIDGQHVDPRPKHDWXCRPRPMTGHMCLGRGDVGDALRQGFFSVFASGQPVEPQPKHDWLHWNMPVTDAEDMDRVRLKFKLV >KVI10998 pep supercontig:CcrdV1:scaffold_6259:3471:16116:1 gene:Ccrd_010596 transcript:KVI10998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSCIDDEEKVNEKDPLINPATATEEKADSTMKKTSSESSSSDVQSGKLPAMSSAPGAGFPASPFDFSSMASLLNDPSVKELAEQIAKDPSFNQMAAQLQKTFHGPEVGATQFDSQQYYSTMQQVMQNPQFMTMAERLGNALMQDPSMSHMLQNLSNPAQKDQLEERMARIKEDPSLRPILEEIQSGVPFSHKIRYWNDKEVLQKLGEAMGVAVPADAASLAGYSGADEGEGVNEDESVVHHTASVGDVEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIDVAKLNDQNDVLKLLEKDAFLYRCLIIFI >KVI04899 pep supercontig:CcrdV1:scaffold_626:87451:90277:-1 gene:Ccrd_016777 transcript:KVI04899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MMKPCFGTPGITSGLVLRIFQFLCAAASIAVMAASSGFSSATSFWIMNCMEILTYLIAAMGLEVLWSLGLACLDIHALWVKKDLQSQILLSLVVVGDWVTAILALAASSSSAGVMVLFVRDSELCKLEPILSCSMYQISIGLAFGAWFWLAISSHTVLWLLASLS >KVI04889 pep supercontig:CcrdV1:scaffold_626:149430:156162:-1 gene:Ccrd_016780 transcript:KVI04889 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MNFTDPAMSLLAASGGDTVKLFDVSVDPGDPCILSHTPSPGSQVNSVKWNHTNLVVASAGDDKRISLWRKNGQSMGTIPAAGSDSGDNIEESIFTINFSTKASRYICSGGSAQVVRIWDLQRRRCIKWLKGHTDTITDVKYNCKDEHLASISLSGDLIIHNLASGARATELKDPNGQVCLPVQLLAITKPLRILVLIDVCMMVQAQVLRVLDYSRISRHLLVTAGDDGSVHLWDTTGRSPKVSWLKQHSAPTAGVSFSPSNDKMIASVGLDKKLYTFDSGTRRPSFCIPYESPFSSVAFRDDGYTLAAGTTTGQVVFYDVRGKPQPFTVLRAYANSEAVTSLCWQRSKPIFVNEKTCTADTALLGGTVDDSILMPDPLPSATMSSHLLSTAIAGYRNSSRAGPSPESAPSTVASGSMSSSNDSSAGEETPLRSTLRAGGLARLHAPRSYNYKDDMEVFSPLVEVQPITPSFDKLWGGTKTDFDKRTSLLFPSSKRFPLAPEVGSDPHSIFDWKPNSTTIQDDSSTPVSRPATSPVSSKTDESPSITPPEAWGGERLSDKFVRPPRQSVTLPSRFATLATSSSISSGSMLSGLQDLSLPSSQTAMNPVSSSSLSLANFRARDNSSNQESPLGYSEGPFSSTSLSLGVKGTTNVESSGLALTPTRRFSSYAERISTNPSLSDGTSIAVGSPKTKKTGAETREELLNSFSPRHEMSAATEPGFLPSMNGMDTQAQKTGLQGNSQQGSSFSLQLFQGTLEEALGSFQKSIHEDVRNLHIEVLRQFHMQEMQMTNAMSSLLENQAELMKEIQSLRKENQELRQLL >KVI04900 pep supercontig:CcrdV1:scaffold_626:70536:77871:1 gene:Ccrd_016776 transcript:KVI04900 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MLVNNFDHGIKSDSKSINESVNGSHDFTIRGYSLAKGMGAGKYISSDTFSVGGYDWAIYFYPDGKNLEDNSMYVSVFIALASDGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMGYKRFFRRVSLETSDYLKDDCLSMHCTVGVVRNRVEGAKHFSIAIPPSDMGQNLKYLLETETGCDIVFHVRDETFKAHKLILAARSPVFRAQFFGLVGNPNMDEVELKDIEPSIFKR >KVI04890 pep supercontig:CcrdV1:scaffold_626:160332:161108:-1 gene:Ccrd_016781 transcript:KVI04890 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MAKAIPKKGSRGRIGSRRSTRKITKGVIHIKANFNNTILTATYVRGRVVSWSSVASKVQEKGRHLLLKPQRENAIRAVVDQEVVIKSPGLRRDAILRAIRRSGILLTFVRYVTSMSHNDCRPLKNRTRETREVKIKDISIARETRELTMDMLPEEEDQLYITLQFELAKTTFPCMIWIPNIHDLDVNESNYFSLGLLVNLLSRDDETINILVIASTHIPQKVDPALIALNKFNTSIKI >KVI04891 pep supercontig:CcrdV1:scaffold_626:182860:187089:1 gene:Ccrd_016782 transcript:KVI04891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3633 MSSSVNVSHLSQPCIYGHFVSSHAERKSRFMKWLSKLFKGGSSRGSIGDGNPPQFIGDESMVLRAPVRSLDNRPRTBKEKEELDRAIALSLAEGLKNPNGYQWQPDNDEDLAKSRQNDLHPSYPPYVPSECHPMGYRVCGGCNRDIGYSNYLGCMGTFFHPECFCCRACGYPITEHEFSLSGKDAYHKSCFKELTHPKCEVCFQFYVIFMIMTFFILKSVNVRYISLGDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMRLDQQIPMLLVERHALNEAIVGEKKGFHHLPETRGLCLSEEQTVASILRRPKIGGHRLVGLRTQHQKLSRRCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEIMPGLKNMPSTSSSSASSKKGGISRMENRLGEFFMHQITHDASPAYGGGFRAANAAVNTYGLRRTLDHIRFTGSFPL >KVI04898 pep supercontig:CcrdV1:scaffold_626:51741:62146:1 gene:Ccrd_016774 transcript:KVI04898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MFSLPRFTLLVPFHTALIRPSLSFDSNSPRKTIAGGFQKSTFDHFHHLQTLPATEYPTIGFLLTLNLADARTATLSRLGELNALETSSMFSVVSARFCVIWDSKMNSHHSLELALKDILLVVNPTHDDWNKRFQIINDLQAVVQTLEILRGATVEPFGSFVSNLFTKWGDLDVSVELPNGSYISAAGKKYKQTLLLDILKALKRKGGFHGIKCISHARVPILKCDSNKDNISCDISINNLSGQMKSKVLFWINEIDGRFRDMVLLVKEWAKAHGINDPKSGTLNSYSLSLLIIFHFQTCTPAILPPLSEIYPGNVVADLTGIRAVAEKNIEDMCGMNINRIKSDRSRRINRSSLAELFVSFLAKFRDISLRASTQGISPYNGQWEDINTNMIWQPKTYALFIEDPFEQPMNCARAVGHLNLVKIAQAFESSHGMLASAYQNHHPLAVLVRPEVLSIISRSQFGNPLRIHPQLHVGNGNGNGRGLIGDHPQSFRNSSNNGSNQHQNRDGGGSRAPTHVQQQYMHQTNRVNPRHVQMFPNGVNESNGRPSSSQTQLQQIWRPRSEK >KVI04897 pep supercontig:CcrdV1:scaffold_626:57986:67871:-1 gene:Ccrd_016775 transcript:KVI04897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKGFDLLKSSSLVKSAGFVFVALAFFYFGKHWSDDNYQQVIFFNSNSNENATTPSISISPNHNKTFDIVSLINNTKEAEIRDDHTLAPIPQSSLPSQSPPPPSPPPPPPPQPAVQRLGLVDENGVMRNDFEVGEFDPSVMEDWNNETEVVEGDSKGVRVNVGVRKFGMCRTSMRDYIPCMDNVEAVKGLTSTEKGEKFERHCPDKDKGLTCLVPAPKGYKAPIPWPRSRDEVWYSNVPHAQLAEYKGGQNWITVYKDKFRFPGGGTQFIHGADQYLDQISQMIPGIAFGRHTRVVLDVGCGVASFGAYLTSRNVLTLSVAPKDVHENQIQFALERGIPAMVAAFATKRLLYPSQAFDLIHCSRCRVNWTRDDGILLLEVNRLLRAGGYFVWAAQPVYKHEALLEEQWDVMINLTNRLCWNLVKKEGYIAIWQKPLDNSCYLSHDPGTQPQLYVDLKPCITPLPEDGSGANITTWPARLHNPPERLQSIKLDAFVSRKDLFKAESKYWKEIIDSYVRSLHWKKFKLRNVMDMRASFGGFAAALIDNQLDCWVMNVVPVSGPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHAAGLFSVEQKRCNISSIMLEMNRILRPGGRVYIRDSIMVIDELQEIGKAMGWHVTQRDTAEGPHASYRILTCDKRLRCKNNGFTATENT >KVI04895 pep supercontig:CcrdV1:scaffold_626:134934:143124:-1 gene:Ccrd_016779 transcript:KVI04895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yip1 domain-containing protein MAESSYTTLPTSHLPGSVPAVITEEKAPAIHKGIGLLRHDPEANLQIFPPNSGGGGQGYQTLTNRSDGDGPQSASNWNGAFSISSYTQYFNVDTDDVVNRLTSSLYPTGDFFRKIEANPDLYGLIWISTTLVFVIAALGNCATYLMSRKGDANVSWSFDVSYFHVSAIAVYGYVFIVPLGFYLLLQYFGSKVGLVHFWCMWGYSLFIFILSSVSIHVACVLLVIPVDFLRWTITLITGVASAAFVGLNLRSHVELNDLTIVLVAACVLQFALAIFIKSWFFH >KVI04894 pep supercontig:CcrdV1:scaffold_626:199770:200621:1 gene:Ccrd_016785 transcript:KVI04894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLKNLGILFLLFTIFGSSTCIRDFGFRSDIHYSQKYTKVFGTLGVECKCCDGASSDEDCKSIWEGSCSKLQCLPWKQHHPKVTSHSNT >KVI04896 pep supercontig:CcrdV1:scaffold_626:92107:106464:-1 gene:Ccrd_016778 transcript:KVI04896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, C-terminal MDAIDPTRGFLKDVKRIVIKVGTAVVTRDDGRLALGRLGALCEQIQGLNSQGFEVILVSSGAVGAGRQRLRYRKLINSSFADLQKPQAELDGKACAAVGQNGLMALYDTLFSQLDVTSAQLLVTDNDFRSPEFRKQLTETVDSLLSLKVIPVFNENDSISTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVDGLYSGPPSDPQSKLIYTYIKEKLENTITFGDKSRLGRGGMTAKVKAAVYASQAGIPVVITSGFAGDNIIKVLRGQRIGTLFHRDAHTWIPSGELNAREMAVAARESSRLLQAMPAEERSKILLGIADALEANEKTILHENEADVVTAQDAGYESSLVSRLAKAIRVLAKMEEPIGQVLRRTELSDGFILEKMSSPLGVLLVIFESRPEALVQIASLAIRTGNGLLLKGGKEAKRSNAILHKIITSCIPETVGQGLIGLVTSREEIPELLKLDDVIDLVIPRGSNELVSQIKSATQIPVLGHADGICHVYVDKSADMEKAKNIVLDAKTDYPAACNAMETLLVHEELLENDGVSVYMVVQGQVPCLIFHQHHHFITSTVHCLALSKLSMMCMLPLIIYTSMEGIAHTDCIVTEDPEAADIFLRQVDSAAVFHNASTRFSDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIARGNGQVVDDDKGVVYTHKDLTQQA >KVI04892 pep supercontig:CcrdV1:scaffold_626:188555:189645:-1 gene:Ccrd_016783 transcript:KVI04892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFLLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKGQTFENVLRWLRELRDHADSNIVIMLAGNKSDLNHLRVVQESDGQRLAEQEGLSFLETSALEAHNVEKAFQMILLDIYHIVSKKALAAQEAAKASAVPSQGTTINVVDYGNKPQRKGCCSN >KVI04893 pep supercontig:CcrdV1:scaffold_626:188827:189538:1 gene:Ccrd_016784 transcript:KVI04893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMLESAWSLSSRSHRNTFSNSPCSEFDTNGGFRLQKELITGKPRKDVRFSNSRIPN >KVH99634 pep supercontig:CcrdV1:scaffold_6260:14571:15572:-1 gene:Ccrd_022130 transcript:KVH99634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSEEEMRFVGFFGIFKQSFKTIFSLKKIFAQITLTLILPLTIVFFAHMVISHFLFWKIESNALLVDPDTNRNRATIKDWLYYWLFKIIYFTILTVFSLLSTAAVVFTIASVYTGREVVYKKVMKVVPKVWKRLFVTFVDIYLALFFYNIIGGGAMVICRSILGFSVLGSILLLIILIVYLFGFLYLSVVWQLASVVTVLENSHGLKAMKKGKDLMKGKKKLGMGIAFVLYVILVGIVVVYELFVEYGDEIFRWAMVWRVMMGILCGLLLVMLFLLFFVTQTVLYLVCKSHHREAIDKLSLSTYLGAYTGETVVYPNAGEEIQLGRPQSQPV >KVG79172 pep supercontig:CcrdV1:scaffold_6269:11190:13762:-1 gene:Ccrd_026196 transcript:KVG79172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MATTTQHCKTCGRTLIKIPRSQYEMCPACQTVNLFNSKLKDCILTRLGQYVNAGTSQNQDALQGQPPQTKTSSQQTVPPAAVKVDRSYRILRPQLSRLTLSRIHQQTPQVHGKKRAVLCGVTYNGHKKKLEASVHNVRSMQQLLQNKLGFPSASIRVLTEEESDPSRIPTKCNIEEALRWLVQGCQSGDSLMFYYAGHGCKVVDEDGDETDGYDEALCPVDYREAGKIIDDEINATIVAPLPHGVTLHSVIDTCFSGTLLDLPFLCNINQNVMPFILPSRDGLYMWEEHQLTNKGTSGGKALCISACADDQNSADTSAFTGNAEPQLSCSTRFEIYSEPVML >KVH92116 pep supercontig:CcrdV1:scaffold_627:9923:15010:1 gene:Ccrd_005851 transcript:KVH92116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MGLNLVWGLLLVVVFFNGCFSKNASVPSRPDIVNIGAILTFDSIIGKVAKIALEAAVENVNSDPAILNGTKLKITIHDSNFSGFASIMEALQFMESESVALIGPQTSVLAHMISHVVNELQVPLLSFTATDPTLSSLQYPFFVRTTHSDLFQMAAIADIVEYYEWRQVVAIYIDDDHGRNGITSLADQLAAKRCKISQKAPIKPEATRADISDVLLQVALLESRVLVVHTYANWGLDILDVAQHLGMMESGYVWITTNWLSTVIDISSPLPLKAINAMQGIITLKSYIQDSEVKRKFTSEWKNLTNLGLSTYSLYAYDTIWILARALDEFFNQGRNVSFSKDLQLNNQSLGGFLNLDSLSIFNGGKMLLENILRVKMNGTTGSTEFTSDKNLVFPAFEVINVIGTGVRRVGYWSNSSHLSKSPPETVNTKLSNSSTSSELLYSVIWPGQTIKKPRGWVFPQNGKQLKIGVPHRVSFEEFVEQVRGTDLYRGYCIDVFTSAINLLPYAVPYKFHSYGDGQTNPSITDLVSYIKAGRFDAAVGDIAIITNRTRMADFTQPFIESGLVVVAPVRRLNSGTWAFLRPFTAKLWCVTGIFFLAVGVVNIVKTTNFVALLNNRSSQPYEQNMMSTLGRIVLILWLFVVLIISSSYTASLTSILTVQKLSSPIEGISSLILSKDPIGYQKNSFVRNYLVEELGISEARLVPLGLPEDYEKALKDGPNNGGVAAVVDERPYIELFLSTRCQFSIVGQEFTKNGWGFAFPRDSNLAVDISTAILKLSENGELQRIHDKWLIRGACSSQGAKFAVDRLELKSFKGLFFICGLACFLALFIYLVLIIYQYIKHKPDLPESSGRSLRSGRLQTFISFVDEKEESVKARSKKRIKDASSRRSNGDDSSANDYRSTQRESPSEWSQNVDRS >KVH92113 pep supercontig:CcrdV1:scaffold_627:108088:108693:1 gene:Ccrd_005855 transcript:KVH92113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTRSSRFLSTLVNSSSTVSAVAEPPEAVSVESDFVVILAALLCALICVVGLIMVARCAWLRRRSAANGSRISGQPSANKGIKRKFIEALPKFTYDSAKENSRCCEKGGKLSDVDCAICLAEYADGDEIRVLPQCGHRFHVGCIDMWLGSHSSCPSCREILVITRCRKCGEFPTISAGEFPAAAELKGRQTVASSSSNEFLP >KVH92114 pep supercontig:CcrdV1:scaffold_627:130013:144782:1 gene:Ccrd_005856 transcript:KVH92114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialidase MVKKLSQMILDKKFVRTLDQGVGVQVEEAAEVLNEIYSRSILSVTLYSPQFHVSSVTDHQFQKNTQGRAKVVISADDGDSWKDVATLEETEGMEFSYPAIIKASYGCVHITYTYNRTQIKSGEFGLTFDVFSMCSSTQNGAMMFYHTNLTRDLKFNVDNFDVCQASVLIRLLKRRTF >KVH92111 pep supercontig:CcrdV1:scaffold_627:180450:192852:1 gene:Ccrd_005858 transcript:KVH92111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKRQGVENTTGIEEQHSKKQKLVERSSMCPPEHGTDNALLPSSPIDHELALVTATRFQAVPLVASPTYPNAVAWSNENLVAVASGHVVTILNPADLFGPKGLIIIPTGKPLPIGVIERKDLLSDCMMPICLSRDFRPCVRSISWSPLGLASNAGCLLAVCTTEGVVKVYRSPFHEFSTEWVEVMDLSEILLTYFAKIRYGEADVSSSDQGHNDDYPVANLGTRSKNRKQNIQENHNLSLIGAEQYSSRSAMLSSLVLAWSPMVHSNSSGSCSILAIGAKNGRISFWRVQEPECYSITQRSKPHVASLIGFIQAHNSWITAISWSNVKVWQGYTNDLLKPTEDGHALFSELKEVIDGGSGPTSVLSLLVPDTSPHKIMLAVGKGSGSLEVSTYDMSTGEFDALSSHYAHDQIVTGLAWAYDGHCLYSCSQDNSLRSWIIKGDSLHEVPLPSNILGDRISIDVWFDPVFFVRSIAVSPANLVVVAVRSFDTNLLNPMYQARSQKGAVEFFWIGGQKLGSLLQNDPDENFPGFPNMDLVNWGRNILWSLNQYKSLDKPLVLWDIIAALSAFKKSEVSYLERILVKWLISNLGFEWGPSLGTVLPHVCRHLSDLTSRQLHLLNVINRNVILREPKLDNVNGEEQGSDGEERKLWSKLLEMSEKELRERLIGCSFSATLNLSSRLPVKGYNGNWQPVGLSQMQRWVANNDHIVKDYVKLLASEVKKIEKRRVSNLAPESLFTLHRYPPSVDSVQNAQVLSKPLCPFCGILLQKQLPVYLLPTSPV >KVH92117 pep supercontig:CcrdV1:scaffold_627:20817:26858:1 gene:Ccrd_005852 transcript:KVH92117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAGDTSEEATRQLDQTPTWAVAGVCAVIIIVSIALEKVLHKLGKGKVPIITVDGLHQLHILIFFLAVLHVAYSAITMALGRLKGCFFRQFYASVSRSDYLTLRNGFINLHLAPGRWQAMMWASIIPLIVILAVGTKLQAILTKMALEITERHAVIQGIPLVQASDKHFWFSKPQLMLHLIHFALFQNAFQITYFFWIWYEYKINSCFHDNMRLVIMKLVIGVGVLILCSYITLPLYALLSQMGSNMKMSIFDEQTSKALKKWRMAVKRKHGGKGGKSRTPSMFGDSASPSPIHPAASGLHQTAAATLHRFKTTGHSTRNFTYEETDVSDLEAEPLSPESSTRHLIDMRGGHHSDNEIELDRSDEQEEEREDEFSFAKPKPAPPLL >KVH92112 pep supercontig:CcrdV1:scaffold_627:99692:106421:1 gene:Ccrd_005854 transcript:KVH92112 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADC synthase MNISLCSNLSEITSPCLESSQSININKPLAISSIRASDFTNKDSIQVSSRYARKMVLSCQYVPGHLEGTQLMGKPLSKPRQKLESIRTLLIDNYDSYTYNIYQELSVVNGLPPVVVRNDEWTWEEACRYIYEKRAFDNIVISPGPGSPACPADIGICLKLLLECKDIPILGVCLGHQALGYVHGAEVIHALEPVHGRLSEIQHNGCRLFHDIPSGRNSGFKVVRYHSLVIDAKSLPKELIPLAWTCSADTISSLGDQNYDFDDHETSLSSFKDGRSNCVEDNKVLMGIMHSTRPHYGLQPPVMGVRYSKTSEKLLKIFGLGRTLPPLVRGSYSIMLNGVLALSSKLYLACMQVKNGSWQFKNNPKSNYLPILDTNHFKMVNSSNLTKFLKLEWRKLEHLSCQVGGAENIFLELFGDDKAGNTFWLDSSSTEKRRARFSFMGGKGGSLWKQITFRLSEPSGGHIIIEDAQGLSTTTFLKDGFFHFMNEELQSIHYDEKDYEGLPFEFYGGYIGYLGYGLKVECGAAYNRHKAETPDACFFFADNLIVIDHSNDDIYILSIHDQSTTWLDDVEQKLTSLKASSAPPKTKTSPSQISAKNNSVFLSNKTREQYMEDVEKCQKFIRDGESYELCLTTQMRKKIGDMDLLGLYFHLREKNPAPYAAWLNFKQHLTICCSSPERFLRLDRDGILEAKPIKGTIARGFTPEEDEMFRSQLQHSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMEVESYATVHTMVSTIQGKKQPNVSAIDCVRAAFPGGSMTGAPKLRSMELLDSLETCSRGIYSGCIGFFSYNQTFDLNIVIRTVVVHEGEASVGAGGAVVALSSPEQEYKEMVLKATAPVNAVLEYQHKFAGKEECESR >KVH92115 pep supercontig:CcrdV1:scaffold_627:147628:153485:-1 gene:Ccrd_005857 transcript:KVH92115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MESSAKSVDEVVEEIMRTHRSLPPRPGIEDVEGAKILIRNADSELQSRLESIAKLKKRKDVPDELFGVLVEMQKHLVHFQTMEQKREAVKLLDLENYHLVFDEMIQRASKCVVPSGSDNNIPSVASTSSSISSLTNSAFSGANFSGNPSSTVSVTPVSTFSLYYDKEPVKTSELFTRDDSYLQKSQSTIHGDGIGRVLRMSDTSRPMIVDSTLKPTITSDLNLGSKLMDQIEWLPDSIGKLSSLITLDLSENRLVALPSSIGGLSSLTKLDLHSNKILELPESIGDLLNLVHLDLRANQLTSLPATFGRLFHLQELDLSSNNISVLPESVCSLSSLQILNIETNNIEELPHAIGQCSSLKELIADYNKLKALPEAVGKIESLEKLSVRYNNIGRLPTTMSSLTSLKELDVSFNELESVPESLCFATSLIKINVSNNFADLRFLPRSIGNLENLEELDMSNNQIRILPDSFRDLELSIGWNKANGSSDSSHTIFPLP >KVH92118 pep supercontig:CcrdV1:scaffold_627:33202:33807:1 gene:Ccrd_005853 transcript:KVH92118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTRSSRFLSTLVNSSSTGSAVAEPPEAVSVESDFVVILAALLCALICVVGLIMVARCAWLRRGSTANGSRISGQTSANKGIKKKFIEALPKFTYDSAKEDSGCCEKGGKLSDDDCAICLAEYADGDEIRVLPQCGHRFHVGCIDMWLGSHSSCPSCREILVITRCRKCGEFPRISAGEFPSAAELKGRQTGASSSSNEFLP >KVI11389 pep supercontig:CcrdV1:scaffold_6270:5670:6307:-1 gene:Ccrd_010201 transcript:KVI11389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MHLIVAMLCTVKEIPELFIIRRPDMTIVAFDSNVIGIFEVNEILSSKGWHLNPLKRPNSIHICVTLQHVPIVDKFLKDVKEFVETVSYS >KVI11295 pep supercontig:CcrdV1:scaffold_6271:6216:24228:1 gene:Ccrd_010296 transcript:KVI11295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAYDGRKCLFTAGELPFVWKEFRIKLVDEEDGIDSPKREREYKVVIRFVARVNLHHLGQFLAGKRADGPQEALQILDIVLRELSTKRYCPVGRSFFSPDIRKPQQLGEGLESWCGFYQNMASAAFIEALPVIDFVAQLLGKDVLSRPLSDSDHVKIKKALRGVKIEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNANMKSVVEYFQEISIVGQACKIVEGQRYTKRLNEKQITALLKVTCQRPKDRENDILQVSILIDQFLHHHTVQQNSYNQDPYAKEFGIRISEKLASIEARVLPAPWLKYHDTGKEKDCLPQVGQWNMMNKKVINGMTVSRWACINFSRSVKESIARGFCNELAQMCQESGMEFNPDPVIPIYSTKPEHVEKALRHVYHMSMNKLKGNELELLLIILPDNNGSLYGDIKRICETDLGLISQCCLTKYVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRVRNTTDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARFPPAYYAHLAAFRARFYVEPEVQENGSLVGQGTKGSRESGGVRPLPALKDNVKRVMFYC >KVI07875 pep supercontig:CcrdV1:scaffold_6275:21106:28688:-1 gene:Ccrd_013760 transcript:KVI07875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MVRKKRSDASGGGEGSESQEAGSGRGSGAQRPPAQQQQQQQQQGGYQGRGQAGYQGRGQAGYQGGQGAYQGGQGAYQGGGQSAYQGGQPQGGRGWVQRGGYGGGGGGPQRGGASQQYYGGPPDQPQQGQQQKPPRGTAPPQRRGGGDVRGGSGPTYAGGSSRPSVPELYQATQAPQQPVVTLQPMPHGKPAEVLHAESSSSSSTNQMVDESMTQFQQLTFQEEEPIQGAPASSKSMRFPLRPGKGSSGTRCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYRDSHLGKRLPAYDGRKSLYTAGPLPFVSKEFKIILVDEDDGTGGARRERDFKVVIKLASRADLHHLGMFLQGKQADAPQEALQVLDIVLRELPTNRYCPVGRSFYSPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELNFPVDDRGTMKSVVEYFRETYGFTIQHIQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREMDILKTVSHNAYGQDPYAKEFGIKISQKLASVEARILPPPWLKYHDTGRERDCLPQVGQWNMMNKKMVNGGTVTSWICINFARNVQDNIARAFCQELAQMCNTSGMAFNPEPVLPALSGRPDQVERVLKARFHDAMTKLQPHKKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLADALSRRIPNVSDVPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKEWQDPNRGKVSGGMIKDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANDHRDRNSIDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMISGAAGRGMGMGMGGRSTRVPANAAVRPLPALKENVKRVMFYC >KVH98771 pep supercontig:CcrdV1:scaffold_6276:21497:25769:1 gene:Ccrd_023002 transcript:KVH98771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MNQSKPLLLTLLTGEDAAEPTSGANERRRQQQQLGRGDTISTQERRQQQQLGGDEMVEATQQQLGRGDTAATRERQQLGRGDTAATRERRHSSNSGEAATHGRRRDRLEGGRKANCIKLVITCILTIISISQSQPITSEIDVTAFGAIGDGITDDKLALQDAWNVACSSTGNLMLPSGNFLVGPTTFRGPCKPKTMVVNVIGTVKAIPRKDWNNEADTWLNFEHVENLIITGPGQFEGQGDSGWWDCEKTNNCETNPTALGFHHCNGVKLIKVTSKNSPKNHISINACDGAIVDNVTLIAPKESPNTDGIDISATNGVHVNGGTIQTGDDCIAINGGSSNIHIDGLFCGPGHGVSVGSLGRNGKTDIVRNVTVINTTFTATQNGARVKTVPGGSGLADDITFSNITMVAVENPIILTQFYCPHKQCNDIPPVVHVSDVTFKDIHGTSSKPDAINILCSKSPESCTGITLEQINIGAANPAQRVVSNCHNTRVQTIGVVSPPIVCTPASFISIDTVTHEQPSKDII >KVG78863 pep supercontig:CcrdV1:scaffold_6277:26742:30775:1 gene:Ccrd_026197 transcript:KVG78863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAGIPRIKLGSQGLEVSAQGLGCMGMSAFYGSPKPEPDMINLIHHAINAGVTFLDTSDMYGPKTNEILLGKALKGGIREKVELATKFGFKFEGGAREICGDPAYVRYACEASLQRLDVDCIDLYYQHRIDTRLPIEITMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITTIQLEWSLWTRDVEDEIVPTCRELGIGIVAYSPLGRGFLSSGPKMLEKLEDGDFRKYLPRFQPENLDHNKILYERVNELAAKKGCTPSQLALAWVHHQGNDVVPIPGTTRIENLEQNIGALSVKLTAEDMAVLESVASADSVKGARYGA >KVI06552 pep supercontig:CcrdV1:scaffold_628:130963:134124:-1 gene:Ccrd_015102 transcript:KVI06552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLLAFVDKHQVTVLSSVRLEIGTQTLMIPVTYSGFVWFELIYSTARDRKSGMVTEEDKGGRTVPMHHLSCLKLEKIVDNVTAIRTAIESARPRGQSGILALCSLHQCLEKCKLLLRHCSNSSKLYLISHVVDYIKTVAFTMDSADKEAAKVLLSLLQRHREASSFADLEELNAFKFAAFRLQITSPMVLTVEKQSIKDLLSKIQDTDPTAKKILNYLLYLVGKYGESIVEQEPETISNESNEEPEGDDDVSIESSDTNSVDYVTDSSTEDGSGKIIHDKSESCKSRDTNTDDGTNLFVLRKLSVLPWALRCKAVEDVINELTKEGGSRSFVSTSYIKTVFKFLKGAHRLGDSGAKRNGANSEIVSSGLPSFLLELIKNPNSEHHNFALRILCNLSAHTNLGDHLVYLGFIQHLVPFLDDYILSAYCVKIFRNLCTIEEAAAQLIGDENCIESIGDILDQEGKDEEQENALHILLCLCHQHEELRDVLMQESIVSSLVDISQNGSCGGKLTSMKLLKFLNNDQECSTTDVRQNTHVEAEELQLLSTDISVS >KVI06544 pep supercontig:CcrdV1:scaffold_628:179205:182451:1 gene:Ccrd_015098 transcript:KVI06544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MLVHGTILEAQGTKVLVPMVKMQIIARAIKSCQKTFHIPVLQQLQKYTENAKTIEADQKGLENTTVAEVLMTKEDEKVGSWLWCKSDDTVYDAAKQMARNNIGSLVVLKPGDEQMIAGIITERDYMQKVFVKDRSSKYTRVEEIMTEQNKLVTVTSDTNVYQAMRLMSGSH >KVI06548 pep supercontig:CcrdV1:scaffold_628:61274:65914:1 gene:Ccrd_015106 transcript:KVI06548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, alpha-subunit, N-terminal domain-containing protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQRKIFKVDDHIGVAIAGLTADGRVLSRYMRSECINYGYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERKFENFTGSSRDELIKDALFAIRETLQGEKLTSTVCTVAVVGVGEAFNILDQATVQALINEFEISGEEGPTNEGVAEEAVGEAGGAAATQEGGAAPEQETAPMDI >KVI06545 pep supercontig:CcrdV1:scaffold_628:181696:186605:-1 gene:Ccrd_015097 transcript:KVI06545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MQSITLEAVEHPYISTWKVHRLACLELKNIIDKITDIFTAIESARPRCSSGLEVLCSLHCCMEKCMLLLRHCSESSKLYLVNISKFPSLSHNYLIETFPSGICWIPLAISGERIILRCERIRNSLESCLSLFQGLVEPRLATQISRIVDYIETVAFTMDSSEDEAGKVLLALLHKDIAPSRFTNLEELMAFKFAALRLQITSPSTLVIEKRSIRKLLNKIRDTDPGKKKILNYFLYLTRKYGKSIKPQETGIAHPEGDDVFDSLEPPTKFRNRRLSELSSSSSIPSLSSSLGDLHLQVENASFRSSDTNSLDYSMVVAIENGCDKIQEKPKRFDGYSGPDNGTSLSILAKLSVLPWASMRRAVEDVKNQLKEDQRSHVLISTSYIKPVFKFLKEAYRLEDTGAKRHGAELLLIFLKECRYHDLTLRVLCNLSAHIDLGHHLIYLGFIQHLIPILDEVLFYGYCLKIFKNLCAIDEAATHFVEHDNCIVSIGELLEVGKDEEQEHALDILLDLCYQRDELRERAIQASITSCLVDISRHGSCKGRLLAAELLHVLGNTPDDRSLCTISDTSQSTNSNLKANKPCSKKSGLFGRIKAKFRKSVQ >KVI06547 pep supercontig:CcrdV1:scaffold_628:23454:32035:-1 gene:Ccrd_015107 transcript:KVI06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSRPLHRRKLSNANTFSAKNAYDGVFSSHRPNYDRPPAVNLEEYSEIFSSGQAASSIPVLDLSTLQDSSDATNLELGSTKPDYGKIFGGFRDQDIAVSYEELFARNKARAPSSTSQSSQELGDPAHQSSDALKQFNMSYNKISQRSKDGLDGKTHVTQLHAVPGFTFFIDEAASQPKKETEKQKSSVTNNIHLSVNSSKGDFLEKETSRSAVGSRSKYGQDESLSDDRKFKTAQTDFKSHPFKASSSPAASATNVEAASQPKEETVKQKSSVTNNVLPGVNSSNGDFPEKETSRSAVGYRSKYCQDESLSDDRYSKTVQTNLKSHPSKASSSPSASATDDEAAFQPKEETVKQKSSLTNNVLPGVNSSKGDFPEKETSRSAVGSRSKYCQDESLSDDRNSKTVQTNLKSHPSKASSSPSASATDDEAAFQPKEETVKQKSSVTNDVLPSVNTSKGDFPEKETSGSAVECRSKSHEVESLSNDGISKTFQADIKLHPSKVSSSPAASATDVNHKDYQRRSTAANTGTCKSDTPMDFFPTCFAEELDVNSAAAASAAALRKAIEKAQESIRIAKESVGRKKEGLRSFSSKSFKDSLKVKTRVANVSMGEDQKDRDSKIKETFERIGAASQVSSNVGRNNKYGGTVVFPDIADGEKLFGAKKVIDEMHGKISESAKNSEIPIRSSYELIDNKIVCNSKEVAGETEAVDLGSAGNYENSAEYSEAVESNTCEAPQKDIWRPNGFLVLESYEKKLGDIEDTVTDDKPSELKENNYEARPSNAHKLVEGLNNLALYQKVEDEKKISQGSDQNGYEKRFSEALELLENKKQGLLEHEHNEKVANAERYEPNSVDETSEKVLEDERELKEDSGLGSLKEEDTSEKFYDVSELEMIENAQMYANSLGKNEVSPKEDCEMKEKESHKGEEAWKKLDKVHELEIYENSSSDYDDAEGSESMHGCNGLSQEDCKVGQSDNNVGSSQEVDEASSSSAEVIGAFYEVHVEDTEAIELQYISEEKEIFEKISVDHTASEYNEDECEAKSDSLSDCILADMHVGQDETEQIDTQSESSSDMIHGMEIEVNEYKEREETIEEETIVSVEEKESSLQQSHEGRPEIGIKMETGTSQEPKVSTEMEGTMDMNENIITSHCREKKDETRESGPSKMVEKERYKKIEGVTAEERERERNRLAVERAIREARERAFAEARERAERAAVERATAEVRQRVMADAQEKVAKASVGIKSSDKTSAQSKLRAERAAVERATSEARQRALEKAMSQNKTSEPRAQANETGQTSFSDIHISNGGSAESAQRTKAKLEKHNRIMERAAKALEEKEKRDLLAQKEQAERSRLAENLDADIKRWSSGKEGNLRALLSTLQYILGADSGWQPISLTEIITSSAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKAAWNRFNSEER >KVI06543 pep supercontig:CcrdV1:scaffold_628:155720:156081:-1 gene:Ccrd_015099 transcript:KVI06543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNCTCLKLLAKEDKMHHLSCKQLEKIIDKITSIFTAIESARPRGESGILALCSLHQCMEKCKLLLHHCSESSKLYLVYINVS >KVI06546 pep supercontig:CcrdV1:scaffold_628:20831:21787:-1 gene:Ccrd_015108 transcript:KVI06546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31 MALSLSNSFLHRNSPPPALSMKKVATAVSTDQPRWTCRKKDIHPEFYTDAKVYCSGEHVLTTSGTKKEYVVDVWSGNHPFYLGSRSANLIDADQVEKFRKKFGGVGGLSQLMEIPTLKGEIIIPPKRKGGAGKGKKK >KVI06549 pep supercontig:CcrdV1:scaffold_628:67968:73677:-1 gene:Ccrd_015105 transcript:KVI06549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MGTLGRAAYTVGFWIRETGQAMDRLGSRLQGNNFFKEQHTLLYIGDVNSISIGSGTNIQDHSLVHVAKSNLPGKVLPIVIGDNVTVGHSAVLHGCTVEDEAFVGMKATLLDGVVVEKHSMVAAGALVRQDTRIPSGELTNEEIAFISESAANYSSLAQVHATQNAKQFDKTEFLKVLQKKANEARTLLQ >KVI06551 pep supercontig:CcrdV1:scaffold_628:106697:110964:-1 gene:Ccrd_015103 transcript:KVI06551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSPIHGFKAERNRSLTKEEIAAFWRLKKIEEEELFFLKASYRLSKEDKKVVYDDSEPHRPSLIAEEERMEPLLKKHGCY >KVI06554 pep supercontig:CcrdV1:scaffold_628:153177:155029:-1 gene:Ccrd_015100 transcript:KVI06554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDSSDKEARKVLLTLLQHDREASSFADLEELKAFKFAAFRLQITSPMALLIEKQSIKDLLSKIGDTDPAAKKILNYLLYLVKKYGESMEGEEPITISNYESNEHPKGDDDVSIQSSDTNSVDYVTDSSTEDGSSKIIHNESERFKSRDSVTDDVTNLFVFEKLSVLPWGLRCKVVEDVINELNDDDESRKIIEEALPILELLSCHQHYSSEIVSSGVPSFLLQLIKNSNREHHNFALRILCNLSAHTDLGDHLVCLGFIQHLVPFLDDRILSAYCVKIFRNLCTVEEVAAQLIEDENCIESIREILDQGGKDEEQDNALHILLCLCHQHEQLREVLMQESIVSSLVDISQNGSSEGKLISIKLLQFLKNDQERFIADVCQNTNI >KVI06553 pep supercontig:CcrdV1:scaffold_628:135488:135728:-1 gene:Ccrd_015101 transcript:KVI06553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYVAGISKGVAPKSRLAIHKISLWHLSCRERDFDAVSISIEGGDCTDHKESWGREVAEIHVGDRRW >KVI06550 pep supercontig:CcrdV1:scaffold_628:90915:99122:1 gene:Ccrd_015104 transcript:KVI06550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 MEGVGGDAASPMAPLAKWKNEFSRAFQFYLDKSTPLPFHRWLGTLAVAAIYVLRVYYLEGFYIISYGLGIYILNLLIGFLSPKVDPELEALDGASLPTKESDEFRPFIRRLPEFKFCGIPFLFSRIRKLMKGLVPFSFKIRRAKNSTWHQRYAITKAFMVAFLMTFFSLFDVPVFWPILLCYWIVLFALTMKRQIMHMIKYKYVPFNIGKQVGFLFEKLIRARGETTSGGSQSSKVVSLLSRRALGSMARRHTERPALGPTSAPSPTPTPTPTPISETHVPITFELEVSRDTRISSVWLNQLTTRLKRKS >KVG78744 pep supercontig:CcrdV1:scaffold_6280:21174:22935:1 gene:Ccrd_026199 transcript:KVG78744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 IPGPNLIQWDLSFTFSSTKNVRNVLSNIQYDSTRSSFVQIITYLQNIVSIHTISSYLGCDMVPKDESDMDISNKISFLKKNPFFDLFHLFHDQNRGGYTLHHILDQKKDFKKWQIYSLYQ >KVG78743 pep supercontig:CcrdV1:scaffold_6280:19231:19470:-1 gene:Ccrd_026198 transcript:KVG78743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 ISPSTSKKSYALEEACTVWEGVLIDKKEESTSTDMPLGTAIHNIEITLGKGGQLVRTVGVVAKLIAKEGKLATLKLPFGE >KVI11006 pep supercontig:CcrdV1:scaffold_6286:19158:22235:-1 gene:Ccrd_010588 transcript:KVI11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGERNRNHWSNDDEHEQHQGRSLNNASGSIHGDSSRHHHAGYDDLPTRYDNGVGFVYAFGDLVAKLYYGKKKLVWEFLFGSLKRKMEISWGDISAINTFINEGENGRLEIELNVPPQFGQEINSQPGKHTQWTTMTDFTGDHALICRRHKVEFLPGVLDKHLEKLLQCDSRLLRLSRQPFPIHNSPYFPNTYINFSNSESVVGCSSIPIANGHQQEFFSPVGHLDPPTGPFVGHSSSNLSLVSNMSRISRQKQKTGGVREQRGNFQIQDTSSIPIREQDHFLPYHGIESIIFHPQLGLYVNDAQNLVDFPMEQQAKGNYDYTNSDYHLGYIDDEIALQEPSFWLPNQVSIDDSNKGNSVTNHMAYVDDETCSVYQPGINNGVVIHEPSSWLPAHVNSSYEDHHEVASNRAKDSLSFTSTILPPRSALAIGHEGNHHGQHKP >KVI01638 pep supercontig:CcrdV1:scaffold_629:160716:180275:1 gene:Ccrd_020085 transcript:KVI01638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MFFNTVDGIESQPPSSSYSLQPCRQFQFMEIQQATNDFDESLVIGQGGFGKVYKGNIVNGSDMVVAAIKRYDTMSLQGAAEFRTEVEMLSRLKHNNIVSLIGYCNYGNEMNLVYEFMPNGALHDHLRRLGAPLSWLQRLKICIGAARGLHYLHTAEGINGGVIHRDVKSSNILLQESWEAKIADFGLSKVDSTNQSKTYLSTTQVIQSHQLIPRTTIKVPVILISFRTRENLGEEVSFFYFYFYFYFIIIMFFNTVDGIESQPPSSSFSLQPCRQFQFLEIQQATNDFDESLVIGHGGFGKVYKGNIVNGSDIVVAAIKRYDTMSYQGAAEFRTEVRMLSRLRHNNIVSLIGYCNYENEMNLVYEYMPNGALHDHLHILGTPLSWLQRLKICIGVARGLQYLHTGEGIKGGVMHRDVKSSNILLQESWEAKITDFGLSKVDPTNQSKPYLSTSVKGTFGYMDPDYYNNGRLTRKSDGDSKPSSSTKGNNRSADNPDIFLDTRKVPADYKNQSLKELKFVDLKNATSNSSPKLRLGEEGLGEVFLGWVDKNTFAPSTHGVGKGSANQVFKDVSNGSNLDESHREPTCDQSDNEPNQNLTPEEMDGRLAT >KVH89245 pep supercontig:CcrdV1:scaffold_6291:21799:22209:-1 gene:Ccrd_008768 transcript:KVH89245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MSHDMPMPMPMPNATMNHMAMMHMTFFWGKDVIMLFNDWPNGKLGMYISGLLFVFVLAVAVEFFSVFPTIKTGANPFVSGLTQTSVYGLRMALAYIVMLSVMSYNLGVFIFVVVGHAVGFFLVKYRAALKTKDNPV >KVH96888 pep supercontig:CcrdV1:scaffold_6295:15507:19155:-1 gene:Ccrd_001020 transcript:KVH96888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRIPSHQLSNGLYVSGRPEQLKDRQPTIGSRAIPYTGGDVNKSGELGKMFDIPVAEHPPPVLKPHRASSSSQQSSGSLRSGPVSKRASGSGPIVALQPTGLITSGQLELSGGRRSGQLEPTAAAISSGKTVYGSSVTTLGYDDVRLGFRKLMWVLLVMAVMGLMVGAFLTVAVKKPVILVAAAAVLVPAMILILWNCVWKKRGLLAFFRKYPNAELRGAIDGQFVKVTGIVTCGSIPLESSFQKVARCVYVSTDLYEYNGFGGKSSNAKHRCFSWGCTNSEKYVADFYISDFQSGLRALVKAGYGAKVAPFVKETTVVDISKENRELSPTFLRWLADRSLSSDDRVMRLKEGYIKEGSTVSVMGVVRRHENVLMIVPPTEPMSTGCRWGCGLLPTYVEGLVLTCDENQNADVIPV >KVH94019 pep supercontig:CcrdV1:scaffold_63:535064:536957:-1 gene:Ccrd_003899 transcript:KVH94019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MESSFTIKKSPRPSSSSLVLTTFIPVALLLLLSSLLFSHQPPHSSPPPPSPFIVRPPPPPHSFIKNTCTNTLYPSLCFHTLSSIPTNLHHHNNQTRLLHLLQFAIQKTIHRVTKFRSKVATLTFKVQENDWVDNCIELLDQTLYELQESLKPLYPPYNDPPPSATIKTLLSAAMTNENTCIEGFSDLKLQDNAQTRLGRNFQKSLTPIMRMISNCLAIVNYLETMGPTFKNSRLLSKGLYHDHVPSWMTAADRRLMQTPPKMLPPTVVVAMDGSGNFTTIGAAIMMAPNRSAGRYVIQIKAGIYNENLVISRQKVNIMLVGEGMNSTIITAVVGNNFLARDLTIMNTSGPEKHQAVALRVTSDAAFYHCQFISHQDTLYAHSLSQLYRECAIHGTVDFIFGNAAAIFERCLILVRKPILGQKNVITAQGRLDPNQNTGISLQDCTIMAAPDFSITERANFSTFLGRPWRNYSRTIVMRSYLGDIIDPQGWCAWNKYSNLDTVEYIEYMNFGPGADTRKRVQWAGYHNNSSRDVEKFTIQSFLHGANEWLKSTDLPLCSGLYAKDCKRGSAQVY >KVH94004 pep supercontig:CcrdV1:scaffold_63:294668:296281:-1 gene:Ccrd_003924 transcript:KVH94004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAFQTNTNRIRHSDFAQGMWIYNSSDDHRTNNFPIVKDQEDHDSSSSSSIGNNSDASGGAAGDSDDDGGGEVQSLFKGPLNTLCALEDALPVKRGISTFYAGKSKSYTSLADAVSVPSIQDIVKPEDAYNRKRKNMLAHSVLLDKNRNFTSKTGISKRFANSNRDSLALGLNKHGSTSGGETSMLSSAPGHSLPPLPARSRRLPTNESTDSSPRIYCSTWRSFSLSDLQHAAGATSSITGSFSNKRVEEEDD >KVH94043 pep supercontig:CcrdV1:scaffold_63:521474:534645:1 gene:Ccrd_003900 transcript:KVH94043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKCNLLLGRDIRLCAPQVNIIGTSFHRSRRRPRLRRSAFHQLSLSSYSSQDNLIHSFLSQLNSLDLLAPALGFTTALAILYNSTRTTSSTHLSLEFGDWALFTSPTPFNRFLKSRCSSLLLDYSIEKLVKEDGHLVTSDRGRIQQVEGNDDHLVLEKQQLSYQRLCVNTDDGGVVSLDWPANLTLTHEHGLDTTIFIVPGTSDGSMDENVRSFVYECLKRGCFPIVMNPRGCARSPLTTPRLFTAADSDDIRTTVQFINKARPWTTLMGVGLGYGANMLTKYLAEVGEKTPLTAATCLDNPFDLKGSAASSNRLYVDQNLTCGLIDILQSNKELFQGQSKGFDVERALQARSLRDFEEAISMVVYGFDSIEEFYVNSSSRDVVGDVKIPLLFIQYLTTLNSDGLYQNDAVPSCSIPRGLIMENPFTSLLMCSFPSNDKSTTGTSAVSWCQHLVIEWLTAVELGLLKGRHPLLEDSDVTINPSKRLKLMANNTTHASSKSNNLLNLHQVDALDGNAAYTSKKMMKSDAYAYSNSGFDSQKSKAEDKEVKTDSNGMVTQTNLVETELVKEGDVDVVDGERGKVLQASEVVMNMLDKKLPKALSEEQKNKVLTAVGQGETLMNALKGAVPEDVRGKLTSAVTVILQNQKNNLNGLSSISSIPDVTLGLNTNMQEKSRLKEPNTSEHNKGDASMAEESNGHPSKNVASGGGEEPGDQTLANPGISKDGSQLPSTSHHGGDIPSSAKTSHDELGSNNQSADSSKEKAAQSSSFHDASSSAIPNVSSRAEKSGSSDDQVREQVKLEQEGETTYSDMKEEKDAQQKEEKDAQAKAEKDAQPKEEKEAQQKEEKDAQFSTDQPSNVIPRTEESLLPSASSLENQLMAKDEGENQKKEESSAQPAPSHSSSNSPSFSVSQAFDALTGMDDSTQVAVNSVFSVIEDMITQLEGNSDDETAIGDIEKVEDKVADSGPKKNQTEIESDLQQNGKSNMTTEPNELGNHLQSMSISELTPEEKDKAEVFNNSRDDIPHSIAKLPYRNSLYDHHFQSNVCSSMKNDKLLDSDATTALFLDYVPEVGQWKLSEQSPEGIDINVESTLPTDVECHDDIIEPSYVILEAESDWDPLGEYKKRIKTKEKLEILDDESVVLMQLVKENILNSLKVEVCRRIQATDMEDIAPVLKKELEHVADTISLAVVQDKQLIMSWDGEHLLGPGNLHAEHILDAISSAVQGTRYMKKVIPVGIVVGSSLASLRKNFNIATADSIGSIEVVRDQIGNSQKGYHIQADSTVSDQMPIDKVNQNDNFHSLEDSYEEKDVSSSLGSDTVMVGAVTAALGASALLVHQQDSYSDRLESSTTSSMPFNQKENHQEPGKPEQEMSKSSDHNIVTGLAEKAMSVAGPVVPMKEGEVDQERLVALLADLGQRGGILRLVGKLALLWGGIRGAMSLTGKLISFLHLADRPLFQRILGFLFMVLVLWTPVVVPLLPTLVQNWATHNSSNIAELACIIGLYSSIMILIVLWGKRIRGYEDPLERYGLELTSARQIQNFLCGLIGGVMLVLLIQYTNVLLGFVRLSWPTVPSSTDAVTLLKLYGKVLTFVGQGLVTSIGVALVEELFFRSWLPEEIAADLGYSVRQRFQGSLSVPIGLRAGIMASSFVLKAGGLLTYQPTYPLWASAGDPFQPFNSIVGLAVALLSAIILYPKKPQHTDTGNIKE >KVH94035 pep supercontig:CcrdV1:scaffold_63:125842:126201:1 gene:Ccrd_003937 transcript:KVH94035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbX MASTTCVVSMAMPLAYSSTHKKLITSTSFNPLPMRPSTLPKPGAARFVVQSSFKEQAVTAATAAALTASMVVPDVAVAASGVSPSLNNFLLSIAAGGVVLTAILGAIIGVSNFDPVKRA >KVH94034 pep supercontig:CcrdV1:scaffold_63:190402:191196:1 gene:Ccrd_003934 transcript:KVH94034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimeric alpha-beta barrel MSTKMLCAPARTRFLQSFALSPPQPLFRRLNSLVHRRSYCKPITMSAQEQIVEHVVLFKVKPDVESSEVAAMVNGLNGLSSLDLTVHITAGKLLRSRSSSLTFTHMLHSRYRSKDDLRDYGVHPQHVKVVTENVKPIVDDLMAVDWISNDASVSPKPGSAMRVSFLKLKENLGENEKARVLEVIGGIKNQFQAIEQLSFGENFSHDRAKGYTIASIAVLPGPADLEALDSNAELVNLQKEKVKDSIESVVVVDYVIPPPQAANL >KVH94036 pep supercontig:CcrdV1:scaffold_63:127319:131367:-1 gene:Ccrd_003936 transcript:KVH94036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MPVQLTSNGIPVILAGDMNSKPLLQVLDIKLIGSAQERYRFVLSDSVSSQQAMLATQLNDRVKTGAVRKGSVVQLIEYICSKIQNRKIIVVLNMETIIPDCEIIGNPKIYAESDTVGPNPISNGIPQPQRTAIPSSNHSSATNISNNSQTFRPTVQPAYQPPPSYKSHGAIMKNEAPARIIPIAALNPYQGRWAIKARVTAKGDLRRYNNAKGDGKVFSFDLLDSDGGEIRVTCFNAVVDRFYDVVEVGKVYTISKGSLKPAKKNFNHLNNEWEIFLESSSTIDLCPDEDKSIPQQQFSFRPISEIETAENHSIVDVIGVVISVNPSVPILRKNGMETQRRILSLKDQSGKSVELTLWGEFCNREGQKLQEMVDSGFSPVLAVKAGKVNDFTGKSIGTVSSTQLFIAPDSPEANNLRSWFDRGGRTIASQSISRDLPAGSKNEKRKTVSQIKDEGLGRSDKPDWVTVKATITFIKTDNFCYTACPLTIGDRQCNKKVTKSGNSKWQCDRCNQEFEECDYRYLLQCQVQDHTGLTWVTAFQESGEEILGCSAKDLYMIKYEAKDDDYFANIIKSRLFAEVLLKLKIKEEIYGEEQRVKITVVKVDKVSYSFNTKFLLDLIPKFNQHPGQFN >KVH94039 pep supercontig:CcrdV1:scaffold_63:193952:200578:-1 gene:Ccrd_003933 transcript:KVH94039 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III Rpc4 MDKKNDNGDPKPQRKMKFMPKKPPRREQKLVLPKPEKVEDAETEEVKAQELLKRFNEASKKPKFKTETKPSKTRVSSFSFGSTPKSGGDAAATPGITMKEYKEPWDYYKNYPVTLPLLDEQEFKVDSETNAYDESSVKAAEELGLMQAAKTEGGEAATSSKPSKPTGPGPKACSLKELPSGFMGKMLVYKSGAVKLKLGDHLYNDVVVINTDEKHCCNVGELNKRAIITPDIDSLLLNPDHGNSGLGSDDDSVQMRMVNRVFLFSYWLGAAIDHHGWME >KVH93998 pep supercontig:CcrdV1:scaffold_63:330045:332473:1 gene:Ccrd_003922 transcript:KVH93998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MTIKKTATSFLSNCFKIQHEPVRPLTNKPKKKSAVASHNSPRMQSFIDYESSTISDDISNSPAGSNLHVFTLAELKLITQSFSSSNFLGEGGFGPVHKGFIDDKLRHGLRPQPVAVKLLDLEGLQGHREWLTEVLFLGELRHPHLVKLIGYCCEDQHRLLVYEYMPRGSLENQLFRRFSVSLPWSIRMQIALGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYLMTGQYSEFGAQKAAELAYQCLSRRPKSRPKMSEVVKTLEPLKDYMDVPVGPFVYTAPKEEQTESKRDSNNRHHEHGQRHRTKSPLSSPHIVHSDPILRRNHEVGSNSPMQHRFKRG >KVH94021 pep supercontig:CcrdV1:scaffold_63:561870:566457:1 gene:Ccrd_003897 transcript:KVH94021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold FGPLTGPSLKSEPNSNWTKPHSPPAKPFRWSFSNMGREQPSQSQMAYTVEQLVAVNPYNPDILPDLENYVNEQVSLQTYSLDANLCLLRLYQFEPERMSTQIVAHILIKVCSYGNACPRFQPMSLLDSRKSGTVLMQMDEQFKTLIVLSHYLETAKFRQFWDEAAKSRHILEVVPGFEQAIQEYAVHVLSLTYQKVPRSVIAEAINMEGLSLDKFVEHHVANSGWVVEKAQGKGQLVSLPRNEFNHPELRRSNADIIPLDHITRIFPVLG >KVH94025 pep supercontig:CcrdV1:scaffold_63:604257:612496:-1 gene:Ccrd_003893 transcript:KVH94025 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MDGLCNLLRTTFTAASCRPSLCPLSSTVRSLPLRSDNSIHEAPVNSSIAKGGTWSIHKFGGTCVGTAQRIQNIADIVMEDSSERKMVVVSAMAKVTNMMYDLIDRAQSRDDSYELALDAVFEKHKLTAIDLLDGDELASFLSTLSLDINNLKAMLRTIYIARHATESFSDFVVGYGELWSTQILSSVLRKGGIDCNWVDTRDILIVTPAGSNQVDPDYAESEKRLKNWLLQNPSKAIVATGFIASTPQNIPTTLKKDGSDFSAAIMGALFRAQQVTIWTDVDGVYSADPRKVSEAVILNTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIVIRNVFNLFAPGTKICRLPVSEKEEKQKLETYVKGFATIDNVALVNVEGTGMAGVPGTASAIFGVVKDVGANVIMIFQASSEHSVCFDVPDKEVKAVAKALETRFGQALGAGRLSQVAVIPNYSILAAVGQKMASTPGVSATLFSDLAMEVLGLTCDVQVGQAGIGDPTQREAHPELVGYGHGGGIQ >KVH93999 pep supercontig:CcrdV1:scaffold_63:297258:301751:-1 gene:Ccrd_003923 transcript:KVH93999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MAAFSTVSVFVVLIIACAAGVQANGIGSTFSDENLIRQVVSDGLHELETSVLKVIGQTRHALTFARFAHRSHNKKGLSYTLGVNEFADMTWEEFSKQKLGAAQHCSATRKGNHKLTNAVLPLTQDWRKVGIVSPVKNQGSCGSCWTFSTTGALEAAYAQAYGKSVSLSEQQLVDCAGDFNNFGCNGGLPSQAYEYIKYNGGLDTEEAYPYTGEDGVCKYSSENAAVRVLDAVNITMVISTFRLYTGGVFTSDVCGSDPMMKEAKCVNDFEQDVNHAVVAVGYGVEDGVPYWLIKNSWGAEWGLNGYFKMEMGKNMCGVATCASYPIVA >KVH94026 pep supercontig:CcrdV1:scaffold_63:37807:43785:-1 gene:Ccrd_003944 transcript:KVH94026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3594 MDGGGRGGVYNPRTVEEVYRDYQGRRNGLIKALTTDVERFYRQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMPESDWLSLVAVHSDAWLLSVAYYFGARFGFDKSDRKRLFNMINDLPTVFEVVAGYAKKQTTEKSSISNHSSSKSKSNTKGRGSESQMKYAKMQGKEEDDEMEDEDEDEHGDTLCGACGENYASDEFWICCDICERWFHGKCVKITPARAEHIKQYKCPSCSSNKRARP >KVH94008 pep supercontig:CcrdV1:scaffold_63:362565:363733:1 gene:Ccrd_003919 transcript:KVH94008 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP1-like domain-containing protein MGAKMKEMPVVSKMFCSSTDVVLGVRSRPHVVGGGGFVVTDLHTHQPVFQVDGCGVLGKKDQMTLTDAYKNPVLLIRRKGGIVEAVSLHRQWGGYTPNYQGCQKLVFTLKDPKSCFPKKGPISVSIELKDESIYCKNFQIKGYFLDRDCSIVDSGGNVIAQVGVSKEVQQVMEKKDLYHVVVKAGIDQAFVVGVIAILDYIHNGSTRC >KVH94028 pep supercontig:CcrdV1:scaffold_63:78671:87064:1 gene:Ccrd_003941 transcript:KVH94028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MPTCVPRSKLPDELDESNRNGDTAATKQAMKTLASQIKDMALKASGAYRGCSTCTGPAMMMTSQQQLQNSNDAESDSSAPVSERFRWTYRRTGSKNSSSGRVWGKEMEARLKGISMGSGGGGEAVLGMLSASASGRRPDPIVLVEEIEPKEWVAQVEPGVLITFISLPRGGNDLKRIRFSREMFNKWQAQRWWQDNFDKVMELYNVQRLNRQAFPLPMPPRSEDELALESRVSKNEFFGDSPITPTLSKERLPHTLHRPLGSSSSDSLDHQSSTLLRSNRDSSGLTVTPKLSSISGTKTETSSMDASLSASSSREADRSGEFSISNVSDIENEWVEQDEPGVYITIRALPAAKNLERCMQDCGGKRIEQGYISNT >KVH94015 pep supercontig:CcrdV1:scaffold_63:378557:381957:1 gene:Ccrd_003916 transcript:KVH94015 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MKGGGGGYFYGLKMKQLSLFFIVFICASIVVWNWEKTPPLTTLLPPDDQVLQPFTDELKREHVTQEKDDLKEVEPVVDLALPSLSTNTASLNARQDEHPRTSHDKHHGYNGSKSYQPQLVASVNSETTESAMREGIDSPKTNLEKQACNLGKGKWVAADNRPLYSGFGCKQWLSGMWACRLTQRTDFGMQDKTLAFVGDSLGRQQFQSLMCMATGGEEMADVEDVGKEYGLVIPHGSARPDGWAYRFPSTNTTILYYWSATLCDLQPVDPTSQTTDYAMHLDQPPAFLKHFLDRIHVLVLNTGHHWNRGKLNANRWVMYVGGKPNTNRRIADIGGAKNFTIYSVVRWVNSELRKHPGLKAFLRSISPRHFFNGEWNTGGTCDSTRPGALEVLQDESSDPVAAGAVKGTKVKLLDVTGLSLVREE >KVH94045 pep supercontig:CcrdV1:scaffold_63:510232:513388:-1 gene:Ccrd_003902 transcript:KVH94045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl hydroperoxide reductase subunit C/ Thiol specific antioxidant MVSLVKQPLLSLLPSCNATTQSPKQYPFANTPFKSSQSHFHGLKLTFSNPSSSLTPLISKTSVIVSAKVNKGSVPPSFTLKDQDGRNVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDGVSHKAFAKKYRLPYTLLSDEDNKIRKEWGVPSDLFGTLPGRQTYVLDKNGVVQLIYNNQFQPEKHIDETLKSAQV >KVH94031 pep supercontig:CcrdV1:scaffold_63:112721:116950:1 gene:Ccrd_003939 transcript:KVH94031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MSFLFGKKKTPVELLRENKRMLDKSIREIERERQGLQAQEKKLIVEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMVSEVMADAIDDALEGDEEEDETEELVSQLMNAPSGAVSVPATKTKVAQTEAPAGATDDGGIDSDLQARLDNLRRM >KVH94009 pep supercontig:CcrdV1:scaffold_63:366984:368801:-1 gene:Ccrd_003918 transcript:KVH94009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MGHLETISHLKPIHFRSSSFHALCLLAFIVFVFNQPSNKPITHRVGLGLTSNYTFKVPEPTDCSGLNQHKGFENQCEFLKANPQCDEDGFFYYLEFFYCDCQNYAAFGYMILVIWLAALFYLLGNTSADYFCSCLEKLSNVLRLAPTVAGVTLLPLGNGAPDVFSSIAAFVGTDNGDVGLNSISGGAVFVICVVVGTISLCVAGQGVTIDRKCFVRDVSAFLFAIVSLAVILFVGEVNVGGAIAFVSIYVFYATFVAATEILRKRNGAFKLDEYAPLLPLATAPEISDFRSIHMLLSDTEDVPHLVESKVPHWMWGTTVAIYSDSPKPIWGWIDGETPNRSSGFLFWCSKLFTWLEFPLMLSRRLTIPIIEEDRWSKGYAVASVTLAPLLVAFVWNSHQDPDGGQLGENLIYIGGAVLGCSLGFAAYMCTSCDHPPQKMLLPWVLGGFLMSIIWFCMVADELVALLVAFGYIFRVSPSILGLTVLAWGNSMGDLMSNVALALNGADGVQIAISGCYAGPMFNVLVGLGISMLIGSWSKRPEAYIMSRDSGLFCNMGFIIIGLVWSLVVLPKNQMQPNKLLGMGLIGIYCVFLCLRIGMAVVNATI >KVH94047 pep supercontig:CcrdV1:scaffold_63:472511:478167:-1 gene:Ccrd_003904 transcript:KVH94047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMDLRVGRSGELANRGEFLLLQRVEAGETWCNVNRSYRLLKSCRWFGVLWSKAPCEESIDVFLPEVEVFVQVQKHVSSKFAYYY >KVH94029 pep supercontig:CcrdV1:scaffold_63:65708:71911:-1 gene:Ccrd_003942 transcript:KVH94029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MPAARRRKGHGGDSKKATTSEQQPAPRLDRKVEEQKREKERKTKDLNNKNINNLKKERSKRTCIDGCCWLIGFICSTWWFLLFLYNAMPASFPQYVTEAITGPMPDPPGVKMHKEGLKVKHPVIFVPGIVTGGLELWEGHPCMDGLFRKRLWGGTFGEIYKRPLCWIEHMSLDNETGLDPPGVRVRPVSGLVAADYFAAGYFVWAVLIANLARIGYEEKNMMTRTWDSTMSLIPKGGDAIWGTLDWSPEADHECVAKKVKKNETQAVGENGAVFDGLKSHNYGRIISFGKDVAELHSSKVERVDFRGNNFANRSCRDVWNEYHEMGIADMKAVADYKAFTIESVLELLEFVAPRMMKRGSAHYSYGIADNLEDPKLPNAPEMEIFSMYGVGLPTERSYIYKFSPTAECHIPFQIDTSAEGGNDEDCLRAGVYSVDGDETVPVLSAGFMCAKGWRGKTRFNPSGIKTYIREYDHAPPATLLEGRGTQSGNHVDIMGNFALIEDIIRVAAGATGNDLGGDQVYSDVFKWSERIKLRL >KVH94016 pep supercontig:CcrdV1:scaffold_63:384150:395744:1 gene:Ccrd_003915 transcript:KVH94016 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MSGDDFTKGVEDGLRLSKRIYFGKDRSVAPPKPITAMEKATRSYYPVSPMVYAVISNPGIVDNPDVPSYQPHVHGRCDPPALIPLQMNGIGIEVDCYLDTAFVTMTGSWRVHCVMGSASCDCRLAIPMGLEGSILGVEVEVTTKSYTTQLVVMDEKGETESVAKAEGGGFLKPHIFTLTIPQVDGGSNISVKGRWSQKIIYKGGEFTLDVPFSFPEYVTPAGKKLSKKEKIQLNINVGLGTEVVCRTTNHPLKERKREAGKLAFLYEAEVLTWSNTDFVFTYSVPTSSAFGGVLLQSPSSLSIDQKELFSLYLFPGADHSRKVIRKEVLFVVDISGSMKGRTIEATKNAVVAVLSKLDQGDSFSIMAFNDQTYLFSSTMELATKEALEKATEWIGMNFIAGGGTNMSIALDKAIEMLSGTKQSVPIIFFITDGAVENERQICEAMIKQLRNQGSDLCPRIYTFGIGSFYNPYFLRMLAMIGRGHYDAASDPDSIVAQMEALFSKAASTVLLNIAIDSLDDVDSLEVCFHVSDLLLFHGPLVLFGKYTGAFPDTLKAMGILADMSNFTIDLKVQRTKDIPLDKILAKQQIEQYTAQAWFSQDKKLEEKVAKISMQTGVVSEYTRMTLLETVQEKHATTSSGVKQGKKETITPQKVEVTKKSHMIKVLPHLGVGFGNLIATIENTPPGYEPKLPDQAEMLVRAAGNCCVNMCSKCCCLCCIQACSQMNDQCAIVITQLCGALACLGCFACCELCCGQD >KVH94018 pep supercontig:CcrdV1:scaffold_63:538339:542132:-1 gene:Ccrd_003898 transcript:KVH94018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MADRVLTRVHSLRERLDSTLATHRNEILMVLSRIESHGKGILKPHQLLSEFDAICKEDQSKLHDGAFHEVLKCTQEAIVLPPWVALAIRLRPGVWEYIRVNVNALVVEELSVPEYLHFKEELVDGTSNGNFVLELDFEPFTASFPRPTLTKSIGNGVEFLNRHLSAKMFHDKDSMHPLLDFLRTHHCKGKTMMLNDRIQNLNALQSVLRKALEYLSTLDATTPYSEFEHKFQEIGLERGWGDKAEGVMEMIHMLLDLLEAPDACTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMRKRIKEQGLDIVPRILIVTRLLPDAVGTTCGQRLEKVFGAENSHILRVPFRNEKGILRKWISRFDVWPYIETFTEDVAKEVTAELQAKPDLIIGNYSEGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKNFEEKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTMPGLYRVVHGIDVFDPKFNIVSPGADMGIYYSYTEKERRLTALHPEIEELLFSSVENEEHLIYLNECDRCVLKDKNKPILFTMARLDNVKNLTGLVEWYAKNERLRELVNLVVVGGDRRKESKDLEEQAQMKKMYQLIEDYKLNGQFRWISSQMNRMRNGELYRVIADTRGAFIQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIVHGKSGFHIDPYHGDQVTELLVNFFDKCKEEPSHWEAISKGGLQRIQEKYTWQIYSERLLTLAGVYGFWKHVSKLDRLEIRRYLEMFYGLKYRKLAESVPLAVDESK >KVH94038 pep supercontig:CcrdV1:scaffold_63:226908:238381:-1 gene:Ccrd_003930 transcript:KVH94038 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN domain-containing protein MDKGNCTLEEPSSPGWGASFFVQAAEDSPGAVVTPPSPSPRPSVVFSSKADTGSHLQKLQNQVFRVLKGLSPPSEEKSRTYNPEVLTSQKRQWASFQLQALHQRILKEPSRLFESMVVVGLPPNCDIQALQRQYFGRRSENSGRLRSALSGQHQFRVEPNLEPQVLFVYPPDKQLPLRSKDLLSFCFPGGLEVHTIERTPSMSELNEILLGQEHLKQNDLSFVFRLQGGGVGVVLLVITAPPPECLGSISLYPDENDQLDLFLFDEMVQQPSRLISMMTDGQVFCPPVSRHILTTRRCYCILSRLPFFELHFGVLNRQFEQKMLSCRSFLSAALLHPTSLSEMSTRPGDSWMKPLLELEQLSGTDATLWGCSSFFLALIIFTEERLERLTKSISELDMEPSVAYDDEVYLEEKVVGILAEHGAQEMLNGNTETVQLSRSNSINERVIEDRSNENHHVLKVDSLPLDKGVNDVIPVRPEMGMDSSKKESVAAEMFNTSDSPVGDMVFNKQPTPKHIPNAILPLLRYQQYDSSDSSSSFQGSPSEDRNFRSDLDSAETDEASFSGQEDNEHNEIFDWAKTNNHGSLQIICEYNRLCVPARGSTIKFHPLEHLHALEFHRPDETVLHMAGSTIDLMSCSTSFELAEAAKPYLDHTNNALRHPNRVVEVVLTIFAGALLEKQIVFVCSNLGILSASVLSIIPLIRPYQWQSFFMPVLPNDMLDFLDAPVPFIVSCKCAVAPSSVICVSFPYIFLLYVDQVGVKSKTAEVQSKSANIILVDANKNQVKSSSIPHFPQYKELYAALTPYHAQLVGESYLGRRRPIYECTDVQVEAAKGFLKVLRSYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIESFPSRDRPFMKVHYFLWTHNSSLYIQIWCYLFSKRISSCSKLDRCNV >KVH94022 pep supercontig:CcrdV1:scaffold_63:584726:596086:-1 gene:Ccrd_003894 transcript:KVH94022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/homoserine dehydrogenase, NAD-binding MQANINVRAIAQGFSEYNITVVVKREDCIRALRAVHSRFYLSKTSVAVGVIGPGLIGATLLNQLRDQAAVLKEKSKTDLRVMVLVDCTASTDVAEHYHDWLRTGIHVITPNKQANSGPLDKYLKLRTLQRQSFTHYFYEATVGAGLPIMHTLRDLLQTGDKIIRIEGIFRSINQTRLLLH >KVH94007 pep supercontig:CcrdV1:scaffold_63:249256:249989:1 gene:Ccrd_003929 transcript:KVH94007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MAETTPIAHKPTLFSPYKMGRFNLSHRVVLAPMTRCRAFNNIPNQALVEYYRQRSTNGGFLITEGTMISPTSAGFPHXPGIFNNEQIDAWKKVVDAVHKQGAVIFCQLWHVGRASHQ >KVH94012 pep supercontig:CcrdV1:scaffold_63:411451:415421:1 gene:Ccrd_003911 transcript:KVH94012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MWRFHHRRWIHTLFSSYKTLFTLLWVFGFTSLFLWQRNIVDGFFIFRRPLPSRPLPHLRPFAFNLTDFGAVGDGVTINTKAFENAVFTISKLGKRGGGQLNIPAGKWLTAPFNLTSHMTLFLAEDAVIIGIDDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLKDIVITGHNGTINGQGQAWWKKYRQKLLNHTRGPLLQIMWSSDILISNITLRDSPFWTLHPYDCKNVTIRHMTILAPIFEAPNTDGIDPDSCEDMVIEDSYISVGDDAIAIKSGWDQYGIAYGRPSKNIVIRNLIVRSMVSSGISIGSEMSGGVSNVTIENILVWDSRRGVRIKTAAGRGAYVKDIKYRNVTFENVRVGIIIKTDYNEHPDMGFNREAFPVIRDIRYESIHGEGVRVAVRMQGSLEIPVRNVSFRDMSVGMRDKKKHIFQCSYVEGGVIGSIFPAPCVNLDLYDEQGLLVKKYGSANASDIDYDI >KVH94017 pep supercontig:CcrdV1:scaffold_63:397682:400580:1 gene:Ccrd_003914 transcript:KVH94017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFSWMQSKLNAKQVTKKPDKIAANNHMAQATSKEEFSDWPHSLLAIGTFGISNLKADSESESQGPSQRYPQSPTPEELQEEEELNSYLETAESESLEPPSQSVCEERDIRLQRSISGMFSRGKDILMDPKNNVIRKKSLTFLLKNMFTSRSRFNHASFVRDSLPDPTLDKSRMEKVLRAILNKKIHPQSSTAKGMPKKYLGGKEISMGEDDEDDSEGSIWVKTDSEYIVLEI >KVH94030 pep supercontig:CcrdV1:scaffold_63:48348:59115:-1 gene:Ccrd_003943 transcript:KVH94030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYMSLLMYGVGGIVVAGMALLVVFQEKLVYVPVLPGLTKSYPITPARLRLIYEDVWLTSSDGLRLHSWFIKFSPDCTASLHCYLKQRSEEWKKNKSKEATLDLEECPKSSKSYLNSYSIEGEILKLPLLISVFFSLPECSTIVNLALGTIVQKSLKNGMEKANGVVDKNKAGGERERWSLPSQGPAKRDKLKSPTILFFQENAGNIAHRLEMVRIMLQKLHCNIFMLSYRGYGASDGYPSQQGIIRDAQAALDHLSQRTDIDTSQIVVFGRSLGGAVGAVVTKNNPDKVAALVLENTFTSILDMAGVLLPFLKWFIGGSISKGPKILNFVVRSPWNTIDVISQVKLLVEQPILFLSGQRDEMVPPFHMQMLYAKAAAHNKRCIFVDFPTGMHMDTWLAGGDHYWKTVQTFIQQNVREKKDDESRLNTSGIFRRSVTNFFKNGR >KVH94048 pep supercontig:CcrdV1:scaffold_63:464400:469036:-1 gene:Ccrd_003905 transcript:KVH94048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MNSELWHACAGPLVSLPPIASLVVYFPQGHSEQVAXSMNKDTENIPSYPNLPSKLICMLHNVALHADAETDEVYAQMTLQPVNKYDQEALLISDMGLKQNRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPXRHLLTTGWSVFVSSKRLSAGDAVLFIRCVYYRYSSFLYNGTGLFECRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNRPFTIFFNPRASPAEFVIPLAKYNKAMYTQVSLGMRFRMMFETEDSGVRRYMGTVTGISDLDPARWKNSQWRSLQVGWDESTAGERPSRVSVWEIEPVVTPFYICPPPFFRQKFPRQPEDETDIENAYKRGIPWLDDFGMKDPSSSIFPGLSLVQWMSMQQNHGIPSMQSGVFPPIVSSNSLNTEDPSKVHSGLTDVDAPSCSTSPSTNNCQLTPSNILNKNQPGPYAFVNDSMVQFDQDLQSRSKSNIQVKQEVNNVKLPEPPKYKSIANEQLELSTSVTSHSLDAGGLQQNFSIPNFCLDGDVIQPQTRNNFPLAASIDTLPPDALLSRGFDSQNLLSSFCGAPRDVVTELSSAEINPESFGMPDMSFKPGCSNDVAVCVQKNDVAVNDSGVLGNGLWGNQAQRVRTYTKVQKRGSVGRTIDVTRYLGYEELRHDLARMFGIEGQLEDAQRTDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSYSEVQQMSLDGDLGNMPAPNQAASSGTDSGNPWKGQYEDNSAASFN >KVH94041 pep supercontig:CcrdV1:scaffold_63:438567:440222:1 gene:Ccrd_003908 transcript:KVH94041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MACLTFTAILIALFWVSLLLLLQLTTTNATKVSHDGRAITIDGQRRLLISGSIHYPRSTTKMWPDLIKKAKDGGVDAIETYVFWNAHEPFRRQYDFSGNLDLIRFIKTIQDHGLYAVLRIGPYVCAEWNYGGFPLWLHNMRGIDLLRTADTVYMNEMQNFTGMIVDMVKQEKLFASQGGPIILAQVENEYGNVMKPYGDAAKAYVDWFKSWGGSDPMRTAEDLAYAVARFFQLGGTFQNYYMVLYITIMN >KVH94003 pep supercontig:CcrdV1:scaffold_63:268657:279919:1 gene:Ccrd_003927 transcript:KVH94003 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase (EC:1.3.1.42), Jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os08g0459600)] MAETTPIAHKPTLFSPYKMGRFNLSHRVVLAPMTRCRAXNXIPNQALVEYYRQRSTNGGFLITEGTMISPTSAGFPHIPGIFNNEQIDAWKKVVDAVHKQGAVIFCQLWHVGRASHQVYQPGGAAPISSTSKPISKKWRILMPNATYGRYPEPRALATHEIAEVVEDYRRAAINALEAGFDGIEIHGAQGYLLDQFMKDGINDRTDEYGGTLANRTKFLLQVVQAVAAAIGPDRVGVRISPAVDHVDAMDSDPRTLGLAIIEKFNKLQFEMGSKLSYLHVTQPRYTAYSQTEAGTHGNEEEVAELMKTWRNXYVGTFICSGGYTRELGLEAVAXEDADLVAYGRLFISNPDLALRLKLNAPLTRYVRANFYTHDPVVGYTDYPSLDKGSRLPVMAETTPIAHKPTLFSPYKMGRFNLSHRVVLAPMTRCRALNSIPNQALVEYYRQRSTEGGFLITEGTMISPTSAGFPHVPGIFNNEQIDAWKKVVDAVHKQGAVIFCQLWHVGRASHQVYQPGGAAPISSTSKPISKKWRILMPNATYGRYPEPRALATHEIAEVVEDYRRAAINALEAGFDGIEIHGAHGYLLDQFMKDGINDRTDEYGGTLANRTKFLLQVVQAVAAAIGPDRVGVRISPAIDHLDAVDSDPRTLGLAIIEKLNKLQFEMGSNLSYLHVTQPRYTAYGQTEAGTHGNEEEVAELMKIWRNSYVGTFICSGGYTRELGLEAVAKEDADLVAYGRLFISNPDLALRLKLNAPLNRYVRASFYTHDPVVGYTDYPSLDKGSRL >KVH94013 pep supercontig:CcrdV1:scaffold_63:416738:417975:1 gene:Ccrd_003910 transcript:KVH94013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MSTSSEIVDSNPLPNSNFSHTFNLFTQYLKKKKKEQENTHLPLGMASSTMNLFPVTIKSTHPDVAIANGFPQLVPNKVEPESTQMTIFFAGQVMVVDDDKAMEIFMMLGGSEKGKDWIPKTEPSDLVASTHITRMEKQGVRIPGNLFSKPPTATATSTSTSTSTSSLVFLLQGKLPLLDSWRREKRGSRQEHPTTTTTTVTRHHRQGNLPDHR >KVH94049 pep supercontig:CcrdV1:scaffold_63:458760:459416:-1 gene:Ccrd_003906 transcript:KVH94049 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVKPTTSSAPDTNSDAKFTGVRKRKWGKWVSEIRLPNSRQRIWLGSYDTPQKAARAFDAALFCLRGSTARFNFPHQPPDIPGGRSLPPSQIQAAAARFANANCTNTPTTHDHSSSPSPSPSSSSCNTHSDQLNQTDQGESWTSQLDLTSPFLHDFTDYLNLNNNTSNNTGGVPDFGIYPGFDDYFMPSPPATTDDYGHEQAENYDGIILQGPSFLWNF >KVH94024 pep supercontig:CcrdV1:scaffold_63:626901:636173:1 gene:Ccrd_003892 transcript:KVH94024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine dehydrogenase/PNT, NAD(H)-binding domain-containing protein MLGNGVIGILSESTNKWERRAPLTPAHCARLLHSGKGEMEVARIVVQPSTKRIHHDALYEDVGCEISEDLSDCGLILGIKQPKLEMILPDRAYAFFSHTHKAQKENMHLLDKILAERASLFDYELIVGDEGKRLLAFGKFAGRAGLVDYLSGLGRRYLSLGYSTPFLSLGSSYMYPSLAAAKAAVVSVGEEIATTGLPSRICPLVFVFTGSGNVSLGAQEIFKLLPHTFVDSSRLPALFDTAGDLPESRHASRRDFQVYGCVVTCEDMVEHSDPFEVFHKVDYYAHPERYRPIFHEKVAPYASVIVNCMYWERRYPRLLSSKQLQDLLCKGCPLVGICDITCDVGGSIEFVNRTTLIDSPIFRYDPSRDSYHEDTEGDGVICLAIDILPTEFAKEASQHFGDVLSHFIGNLASMKDIGDLPVHLRRACIAHGGALTSLYEYIPRMRNSQ >KVH94044 pep supercontig:CcrdV1:scaffold_63:518351:518710:-1 gene:Ccrd_003901 transcript:KVH94044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MPRGRGSGGKLTKLKSVLKKWQSLGKATAAVRNEENDYNIRRDCEVEQQVVAVYVGKSRRRYDISSEVAQHPVVQELVERSGDGETVECEVVLFEHLLWMLQNADPQPDSLDELLDLYA >KVH94020 pep supercontig:CcrdV1:scaffold_63:567489:572509:-1 gene:Ccrd_003896 transcript:KVH94020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ysc84 actin-binding domain-containing protein MIAKIGGEEETSEQPQRNVSSTRKERKSLAHNLSPNHEANAIICSQVIKDACGVIMAASEKRVSHSSLPIVEAKKNNYFIDDYIDAAWTVPEHQTNFHQAARSIGQDHAYEFSLESEDFLDGGYDSGDDHHKSVQQDTPEVNLKNVLSGIMAIVIGSNKDRSEDTIPEVTGSNVTFIGSEKNGDTYLHPSVYIPSAPPLLESSGVNYNAYKEVLEAEPPEWLRDSSTLVCMQCNAPFTALTRGRHHCRFCGGIFCRACSKGRCLLPVKFRERNPQRVCDTCYDMLDPLQGVLINTISNAVQVAKHDVMDWTCTRGWLNLPVGLSMEHEIYKASNTLRNYCQVARLNPERSIPAAVIKGAKGLAILTVAKVGMLLTYKLGTGLVMARRADGSWSAPSAIISAGLGWGPQAGGELMDFIIVLHDFKAVKTFCSRMHFSLGAGCSAAAGPLGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNVVATRMDANLQFYGDPYLTTGDILLGTVDRPKAAEPLYAALEDLYAKLHP >KVH94050 pep supercontig:CcrdV1:scaffold_63:440298:442759:1 gene:Ccrd_003907 transcript:KVH94050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPACLPDLCQYHGGTNFGRTAGGPYITTSYDYNAPLDEYGNLNQPKYGHLKELHAILHSMEKLLTHGDVSNQDLGNSISTNLITLTSLINFVGDMYMQVTTYKTSDGSGCFLSNTNTTADAMVNFQGAWYDVPAWSVSVLPDCKNEAYNTAKVNTETWVMEMKANDAEHEPADLKWVWRPEIIDDTVIRGDGQRTASKLMDQKVANDVSDYLWYMTSVNLDENDPIWSEDMRLRVNCTGQVLHAFVNGEYVGKQYAKYGIYNYVFEKKIQLKPGPNQITLLSATIGFQHYGSKFDVVANGVSGPVEIVGKKNDIRVIKDVSSQKWSYKAGINSIDRNNKLLKVDSSKWKAQELPTQRKMTWYKTTFKAPIGRDPVALDLEGLGKGLAWVNGMSIGRYWPSNIAEDKNCTNKACDYRGKYDNYKCVRYCGKASQRWYHVPRSFLVEGEMNELVLFEEFGGNPSLVKVQTVRVGRTCGNAYENKTMEIRCQGGSIRDVIFASFGDVQGRCGSFAKGACQEKNDALHIIKKECVGKEQCLVRADEGVFGSKNCQGLRKRLVAEAVC >KVH94040 pep supercontig:CcrdV1:scaffold_63:206205:213375:1 gene:Ccrd_003932 transcript:KVH94040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated, VPS28 RFYNLRPCQRRCESDRRLQIRSISFVSSPSIVEQQPSLQMEVKLWNDKREREMYDNFAELYAIIKATEKLEKAYVRDIIPSADYEAECQKLIAHFKTLSSTLKDTVPSIERFHDTYKMDCPAAMNRLITSGVPATVEHRAAAAASGVTSAATVAECVQNFITAMDSLKLNMVAVDQVFPLLSDLSGSLNKLSILPPDFEGKTKMREWIGRLAKMGAADELTEQQSRQLHFDLESSYNSFMAALPTAGS >KVH94023 pep supercontig:CcrdV1:scaffold_63:579211:583389:1 gene:Ccrd_003895 transcript:KVH94023 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MVVSIHICSSFSSTAAVFFCCIPYSRCQFVELSEGRFKANFSRNFSFACFFPNSQKEKGKKFQNLKILKYKLLLSSLYCNMTATDAVALKLDKSAKIFVAGHRGLVGSAVVRRLRSVGYTNLILRSHSELDLTNQSAVKTFFSIEKPQYVILAAAKVGGIHANSTYPADFITINLQIQTNVIDSAYRYGVKKLVFLGSSCIYPKMAPQPIPESSLLTGSLEPTNEWYAIAKIAGIKMCQAYRIQYKWDAISAMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVSGAKEVVVWGTGSPLREFLHVDDLADSVVFLLEKYSDMGHVNVGSGKEVSIKELAELVKEIVGFEGELVWDTSKPDGTPRKLMDSSMLAKLGWEPKIALREGLAGTYECAFHVSVVNSVVDSIALMTDSSEKARGFTWEIP >KVH94001 pep supercontig:CcrdV1:scaffold_63:337531:343141:1 gene:Ccrd_003921 transcript:KVH94001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASLDTDVTMVPAGEGSGGAGPSSSTASTSSSTKKAKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLSDSLSCRAVFLLLISIALVLSLAFPFSFPFLLPPPSSLLPPLLPFPTSPLLAASTPPRPLALSSLPWMRIQSSHSFVDWQASNVRLTKPVLPVRNAQLLGGSAIMHFTFTVLADGSKLVKSVHWIIANGNFRSMVTRNFLHLNRWTKYLSLPLLIE >KVH94011 pep supercontig:CcrdV1:scaffold_63:406484:408733:-1 gene:Ccrd_003912 transcript:KVH94011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MAAVCGHHLSFFLLLSLFPLSCLSQQSPSNALLQLKKSFSKSDSLTNWKDDGSNPCDPNNVWIGIICANGMVSSINLSGMGLEGQPDIGALEVIDGLKAISLENNSFLGPMPQINRLRYLKAFYAGTNQFSGVIPSDFFQTLGSLKKLWLQHNKFSGQIPISVGELPNLKELHLEYNEFSGPIPAFSDPDIITNLDLSNNKLEGEIPKSLNQFDAKVFENNADLCGPQLEKECKAEDSTQPDVEPSAGPKSSTKWIIMIVVVALLILIILAKANRIEEDHVRPSGGSMGDLVMVNEERGVFGLHDLMKAAAEVLGNGGLGSAYKAMLGNGVSVVVKRVKEMNQMTKDVFDAEIIKGVARGMGFLHSEFASYDLPHGNLKSSNVLIGNDYEPLLSDYAFYPLVNQTPTAQCMFAFKSPEAMLNQKISAKSDVYCMGIIILEIMTGKYPSQYFNNQKGGTDVVQWVRSALAEKREKELIDPEIASGARESVGEMEKLLHIGAACTESDVEQRMELNEAIRKIEEISV >KVH94006 pep supercontig:CcrdV1:scaffold_63:265611:267984:-1 gene:Ccrd_003928 transcript:KVH94006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFKSRPSLPRFPSIVQIVLLKIVIPTAESFLNRFQSSFPPPNRSIAFNCLAFNRRSPIVPQSLSDAFGASLVEPWLAGDPSRELLASCW >KVH94000 pep supercontig:CcrdV1:scaffold_63:346710:349733:1 gene:Ccrd_003920 transcript:KVH94000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MPSFPWLWLWLSLCFLLPLLHDAKRTFIVQMDPHQKPSSYLTHHDWYSDRLQSLASTTPDAILYSYTTAFHGFSASLDPHQVDSLRQSDSVLGVYEDTVYQLHTTRTPEFLGIDNELGLWSGHTPQQLNLAANDVVVGVLDTGVWPESKSFDDSGMPPVPSRWRGQCEEGEDFKANLCNKKLIGARKFSKGFRLAAGVISKEKESPRDQDGHGTHTSTTAAGGQVGNASLFGFASGTARGMAVHARVATYKVCWKTGCFGSDILAGMDSAISDGVDVLSMSLGGGSAPYYRDTIAIGAFKAMEMGVFVSCSAGNSGPSKASLANVAPWIMTVGAGTLDRDFPAYAGLGNGKRINGVSLYSGIGMGDKPVELVYFNGKGTSNSGNLCLPGSLQPELVRGKVVFCDRGVNPRVEKGQVVKEAGGIGMILGNTAESGEELVADSHLLPAVAVGKRFADEIREYLKIDPNPKAVLSFGGTVLGVKPSPVVAAFSSRGPNMVTPQILKPDVIGPGVNILAGWSEGVGPTGLDIDTRKTQFNIMSGTSMSCPHISGLAALLKAAHPGWSPSAIKSALMTTAYTVDNTKSPLRDAAGGQVSTPWAHGAGHVEPHKAISPGLVYDISTEEYIAFVCSLGYDIKQVQAIVNRANVTCSRRLGDPGRLNYPSFSVLFGKSRVVRYTRQLTNVGPADSTYDVAVEAPEGVQVGVKPKRLVFKNVGDKLRYTVTFVSKKSGSGDAFGSITWKNDLNQVRSPVAYGWARLV >KVH94046 pep supercontig:CcrdV1:scaffold_63:508173:510200:1 gene:Ccrd_003903 transcript:KVH94046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIFSKIGIIGGGISGLAAAKQLSNHNPIVFEATDSIGGVWKHCSVRTTKLQTPRHDYQFSDYPWPHTQQDDHTFPSYMEILDYLHSYANHFDLFKFISFNSKVTEIRFLGDQETMAYESLMSGKPIWEVAVHNTNSHTIQRYAFEFIVVCSGKYGDIPIIPKFPMKKGPEVFKGKVMHSQDYSKLNTQESLQLLKGKKVVVVGYKKSAIDLAVECAEANQGEEGKACTMVVRTSHWTVPHYSVWGLPFYLFYSTRFSQFFHQRPNQSLLRDLLCHLFSPLRKAASKIIESYLVWKLPLVKYGLKPDHPFEEDYASCQMAILPDKFFPEAEKGNINFKRASNWWFWEGGVEFQDNTKLDADVVLLATGYDGKKKLKLMLPEPFRSFLQFPSGMLPLYRGTIHPLIPNMAFIGYVESVSNLQTSEIRCKWLSRLVDDKFKLPCTEKMLEQITTEMEIMKKSTRFYKRSCISTYSINHNDEICKEMGWSSWRKKTWFAEAFSPYNSQDYEEDI >KVH94014 pep supercontig:CcrdV1:scaffold_63:372061:376019:-1 gene:Ccrd_003917 transcript:KVH94014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B alpha/beta/delta MGSQDNSSLQSIRYLRGSLQLLDQRKLPLDTIYLEIRDAAEGWEAIREMVVRGAPAIAIAAALSLAVEVSKLEGFSGTPDEAASFLKKKLEYLVSSRPTAVNLSDAATKLTEIVMNSAATATDANQVFQAYIGAAEVMLEDDVASNKAIGSFGSSFIQNQQKDFKKFSVLTHCNTGSLATAGYGTALGVIRSLYADGVLESAYCTETRPFNQGSRLTAFELVHDKIPATLIADSAAAALMKAGRVQAVIVGADRVAANGNLALCAKHHGVLFYVAAPLTSIDLSLSSGEEIVIEERSAKELLNTRGGLGEQVGASGIGVWNPAFDVTPAYLINGIITEKGVITKIGNEVFNIKGFVQKTMSN >KVH94027 pep supercontig:CcrdV1:scaffold_63:87756:92438:-1 gene:Ccrd_003940 transcript:KVH94027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MERESDGDEVIVVIPGAEEKSSSSDPNEEIEKVGSGSSSFSKFLPIDPPPAAIQLVQLNQDAGLRSRKNVPSVPSSSVVEIPKINPLPSQINEDPVNRIPLAQSPYPKAKSRLVEPAVPSNWKMGNDKVSTTASPSQKTQTPKVSPPITPRTPLIVTPKEEDDDEDVYYTNALQANQKGKPPKKFKVLFLLEFSLLLCITIVLILSRTVDKMTSWEIWRLLLWRWCVLVLAIFCGRLCSEWFTDAIVFLIERKFLLKKKVLYFVYSLQKSVRVFIWLGLILLTWGLLINHGVQRTRDTTKVLNYITRGIASTLVGAGAWILKTLFVKILASSFHVTVFFDRIQESIFHQYVLQTLSGPPLMEDSENMSGSHSTTRQLSFKSGTKNGGEKKKEKVINVQKLKKMKREKVSAWTMRGLIKVIRKSGFSTLSDALDASEDAAEQDEQKDGTITSEWEAQNAGYTIFTNVAKHGHKYIEEDDLLRFMDEDDVKKVFSLFQGAVETGKIKRKPFSNWVVNVYKERKFLALSLNDTKTAIEELNKLVSGLTVIVLIIVWLLLMGLATTELLLFISSQLLLGVFMFGTSAKSAFEAIIFVFVMHPFDVGDRCVIDGIQVVVEEVNILTTVFLRYDNEKIFYPNSILAMKAISNFNRSPEMSDSIEFDVDVSTSVESIIALKEKIKAYIDSKPQLWRPKHSVRVKEIENVNKMKMNLYVTHTINFQNYEEKSDRRSNLVLELKNIFEELGIKYHLLPQEVVIRYAESASPPVATSSRLSGNVLKSEVLRLQGRVDKVALKASDFILEFPERVGQEPRIIE >KVH94002 pep supercontig:CcrdV1:scaffold_63:281497:282126:1 gene:Ccrd_003926 transcript:KVH94002 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupin domain-containing protein MAIPILLMFSLLFVASTASVQDFCVADLSQSDTPSGFPCKDVKKLSVADFVYSGLGVAGNTTNLIKAAVTPAFTAQFPGVNGLGISMARLDLATGGITDFALFANDLPTELVVATTFLDAAVVKKLKGVLGGTN >KVH94042 pep supercontig:CcrdV1:scaffold_63:424354:431918:1 gene:Ccrd_003909 transcript:KVH94042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQQQQQQQQRIRQQQALMQQSLYHPGLLASPQIEPILSGNLPPGFDSTTCRSVYVGNIHPQVTEILLQEIFSSTGALEGCKLIRKEKSSYGFVDYFDRRCAALAIVTLNGRHVFGQPIKVNWAYASSQREDTSGHFNIFVGDLSPEVTDATLFSCFSVYSTCSLTSSGAPYDAPHLFPCLSGKWLGSRQIRCNWAAKGAGTDDKQSSDSKSVVELTNGTSGNNPQYTTVYVGNLAPENPDEEVILQVTSVDLHRHFHALGAGVIEDVRIQRDKGFGFIRYSSHAEAARAIQFGNARVLFGKPLKCSWGSKPTPPGTSSSPLPPPVATNAHANANVGGFSAAELAAYERQVALSKMGGVQALMHQQAQRMGMGMGMGMGASQAVYDGGYSGIAGAQPPLYYQ >KVH94032 pep supercontig:CcrdV1:scaffold_63:119467:120024:-1 gene:Ccrd_003938 transcript:KVH94032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MGGYLNIPEPLTTPPPPPPPPSPPSPPPPPRPTGPMLYYGLVVVATAAIVLAVYNLIIVRWCATQYHRRSQQETQLPRRTRYPTTMSSPPYPSLSGGSPTTICLVSSFKYKKEKDKNQLEDDVSECSVCLSVFEEGEEVRKLPICNHFFHASCIDMWLHSHIDCPLCRAPVVAPPLPPPPPSIYE >KVH94005 pep supercontig:CcrdV1:scaffold_63:283452:289708:-1 gene:Ccrd_003925 transcript:KVH94005 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MANSNHNHKPSNSTTTGAGADGLSQRINSPRFSGPMTRRAQSFKRNNNNNSSSSNNNTNASNTHHEIDVPLNSPRSELADGFDSVSEKKQTRLTQRVQTKRSGSGGGSGNVDFVAAFGLGGTEKKKLVGQWMFFVFCGFCLFLGILKVSVNGWFGSAIERIGFDQDYSDSSISHKNLRDHINRYQDEKNHVGVQENDVKQTLKMVSSGIVGDHDNKDDFTGIWTKPSSGNFTQCIDRPKSQKKLDEKTNGYLLINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADDSGFKDLFDWQHFIETLKEDVHIVEALPPEYEGLEPFAKTPISWSKVSYYKMEIVPLLKHHKVIYFTHTDSRIANNGIAHSVQKLRYEKDMLAFTGCSHNLTAEEDDELRRMRYEVSHWKEKEIDGSEKRQLGGCPLTPRETSLLLKGLGFPSTTRIYLVAGEAYGKGTMQSLNHDFPNIFSHFSLSTENELKPFRNHQNMLAGLDYVVALQSNVFVMNFVKLVDKLDKGKISWEKFSSQVKKLHENRAGGPYAREAGEFPKLEESFYANPLPGCICDTTHEK >KVH94033 pep supercontig:CcrdV1:scaffold_63:150996:152598:1 gene:Ccrd_003935 transcript:KVH94033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1313 MEGNGGAHLDGKVLQTFQKSFVQVQNILDQNRVLINEINQNHESKIPDNLSKNVGLIRELNNNIKKVVGLYSDLSSNFSKSIDVNSSEGDSRSDGKAVQKRTRPA >KVH94010 pep supercontig:CcrdV1:scaffold_63:400830:404413:-1 gene:Ccrd_003913 transcript:KVH94010 gene_biotype:protein_coding transcript_biotype:protein_coding description:COQ9-like protein MYRSAARRLLPSLCSRIPIPPPPFRFLSTDQTLNGFNTTSSAQGDGFHHRSDTGAAAGASRRTTPRANYEEEQARVLAASLHHVIRLGWTEPAMIAGARDVGVSPSIVGAIPRKEGALVEYFMDECLQKLIDAIDSGELQLQDLVPSERIAKLVKARLLMQAPYISKWPQALSIQAQPSNFPTSFKQRAMLVDEFWHASSDEGDGVDWYVKRTVLGGIYSTTEIYMLTDNSPGFFSSSHLICLVYFHDSWIFLNGRVRDAFDLKKTFQEVKYFAEAVGAGMGGSLQGFMKKGC >KVH94037 pep supercontig:CcrdV1:scaffold_63:221663:223752:1 gene:Ccrd_003931 transcript:KVH94037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MMSTAAEGGGTVRNNGGGGGGGRYPFTATQWQELEHQALVYKYMISGMPIPPDLLFTIKRSLDSSTKLILHHQPPHPSIGWNCFQMGFGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVNMSTTPKTPPTAIPMIPSSICTKSPNYPSPNSHPFSSTDHFYNTPHLSSFSRPSSGSGGIQDHFLKSFGMKEEIDEHRFFSESSGTIKTLSGSSMADSWQLEPLAMNNLTHSKQTSFSDQYHHHQNGYSYQQQQQQQQDQSYYDQFSLKFERKDEPQKVMHHFFDEWPPNDNNKDSSTTRLSISIPNSAHNFFLTHNEVD >KVH94683 pep supercontig:CcrdV1:scaffold_630:109016:126966:-1 gene:Ccrd_003258 transcript:KVH94683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPKHQKSATKDRFSFLLEERQKLVIPIGPRFQADVPEWNGPPQRKYPHKNLSKSDSSKFLGTVICSKENTIPETDRDAIGRGRPDCCDCYPPGSILCVKRHIAEKRAHLQKELGPAFRIWKFDEMGEAVAEIWKQSEQQKFVRIVKTNPISEGKNFLKSALECFPSKSHNTIMSYYFNVYLPRRISIQTRSGCTLVDTDDGEDEKTPCSKGSRKRAQVDHVGLTSKNVKVGYLTGRR >KVH94682 pep supercontig:CcrdV1:scaffold_630:57659:60504:1 gene:Ccrd_003253 transcript:KVH94682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNQSRNSPVIRSAPGQVWNQSSSSTSNSGSIYGFRRDHQPIEQKKTSFFGLIVRVLTCKGDIAHVDEGHPQPATVPYSNPASLKSSKKHDSASSTPSVASNGQQGSNGLSFEDIRKATGDFSTSNIIGEGGFGTVYKGTLKNGSIIAIKRVKKETYDRGTPVEFKNEILTFSKIEHLNLVRFYGYIEHGDERIILVEYVSNGTLRDHLDGKCSYMYPVYTRVQRSCRKCGSGLETGERLDIMIDAMQRLKGGEVVLAMDPKLRRNPASMMVVEKVLRLARQCLAPTRQLRPSMKRCAEILWRIRKDFHEHKDVMAAAIHSVQVPQMDARKNRREFFGIEDSNNQRFQSA >KVH94677 pep supercontig:CcrdV1:scaffold_630:74545:79379:1 gene:Ccrd_003256 transcript:KVH94677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoprenylcysteine carboxyl methyltransferase MTEIFSYTACRQLSQMFFAVLFFHGSEYLLAIIFHGKSNVTLKSLLISQQYVLAMIFSVLEYLLELYFFPELKENWWISNLGLLMVVVGEIIRKLAIITAGRAFTHLIQRHHDERHKLITHGVYSIVRHPGYTGFLIWSVGTQIMLCNPVATFAFTLVVWNFFHRRIPYEEYFLRQFFGSEYDEFAKQVPSGIPFVK >KVH94674 pep supercontig:CcrdV1:scaffold_630:176658:179770:-1 gene:Ccrd_003262 transcript:KVH94674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MAEPVEVYNKLDTEEDDDVYTKDGTVDYKGNPANKKTTGTWKACPYILGNECCERLAYYGMSTNLLLYFKNNLDQHSVTASKNYSNWSGTCYITPLIGAFVADAYLGRYWTIAIFSVIYVIGMTLLTLSASVSGLRPTCVSKEDCHATSTQTAVTFLALYLVALGTGGIKPCVSSYGADQFDDADEREKKHKSSFFNWFYFSINIGALIASSVLVWIQDNVGWGWGFGIPAVAMAIAIGSFFFGTRLYRNQKPSGSPLTRIAQVIAASWRKRRVQVPNDKSTLYETADNESAIVGSRKLDHTKSFSFFDKAAVELESDRTNEPANPWQLCTVTQVEELKSIVKLLPIWATGIIFATVYGQMSNLFVLQGSFMDIYLNKFEIPPAALSIFDTLSVIFWVPVYDQIIVPVARKYTGHKSGLTQLQRMGTGLVISIFAMLAAGTLEVVRLGIVKRNNYYDYKNMPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYHQAPDSMRSLCSALSLTTVALGSYLSSLLVTIVTSISTKGGKLGWIPDNLNRGHLQNFFWLLAIMSLLNLGAFLWISNWYTYKKPV >KVH94678 pep supercontig:CcrdV1:scaffold_630:82488:94478:1 gene:Ccrd_003257 transcript:KVH94678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHDGTFYIFRGCSEFGYKFIPNCSVPSEGETREMSEREVPRRESPWGLPNGDTRQPKAHRCNDRVEDVVQACFEGNPFKTVPGPFKLFWQCMQSKPGEEPTEPFYYLQLAPPTREVKLE >KVH94671 pep supercontig:CcrdV1:scaffold_630:172982:175145:-1 gene:Ccrd_003261 transcript:KVH94671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKSYRAAETVAKSFNADKYLKKIGLGKEDYYFWKQIGKALVCTYTVFGAMWLFNETSPLGWWTLKPVPKEEKELAHLYQRINYPYPGDEEAMTEFIAKGGMIGTMVSAKGTIEMDSGPANYQKQLQKEKFDQEALKLWLRMKNEVVQELQEKGYGIE >KVH94681 pep supercontig:CcrdV1:scaffold_630:46368:50884:1 gene:Ccrd_003252 transcript:KVH94681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MNSPATVHYTPATIFQNPKKFRNIKLPYRFVESSSCCTNSTFTTSATSKRIEFQHQAYRVWSSSRLLRKSRVSSDDTQPTVSFNECEVSEPSFEEFITSERVKVVAMVALALALCNADRVVMSVAVVPLAQSRGWSQSFAGVVQSSFLWGYLISPIAGGTLVDYYGGKVVMACGVTLWSMATFLTPWAAESSLWALLSMRALLGVAEGVALPCMNNMIARWFPQTERSRAVGIAMAGFQLGSAIGLTLSPILMSQGGVGGPFIIFGLSGFLWVLVWVSATSSTPERSPQISKYELEYIQSKRKKSVKVESQTKTAKIIPPFRRLLSKLPTWSLIVANSMHSWGFFVILSWMPIYFKTIYLVDLRQAAWFSAVPWSMMALVGYFAGVFSDKLIQGGMTVTLTRKIMQSIGFIGPGIALIGLIMAKSPVMASAWLTLAVGLKSFSHCGFLVNLQEVSPQYSGVLHGISNTAGTLAAIIGTVGAGFFVELVGSFQGFLLLTAVLYFSAALFWNLFSTGERVNFDEGN >KVH94672 pep supercontig:CcrdV1:scaffold_630:132592:139207:-1 gene:Ccrd_003260 transcript:KVH94672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAVVAAAKGRRKGERAMTMEEGEGGCVPMPDSFIFFIKHPWDSREGGKTIVEYSDTNQLSSGQGGKVKGERIVPGSKANGKKASAVAKYTTYEMYRRIIKREVKDVPRKMGKGKTIGSDKILIKAWMCLGRRGA >KVH94679 pep supercontig:CcrdV1:scaffold_630:3203:8395:1 gene:Ccrd_003250 transcript:KVH94679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSHRTEISFAGTFISSAFAACFAEICTIPLDTAKVRLQLQKRAVLGEGGASKYKGLLGTVATIAKEEGLLALWKGIIPGLHRQFIYGGLRISLYEPVKAFCAGSAIAISLANPTDLVKVRLQAEGKLPHGAPRRYSGALNAYYTILKEEGLVALWTGLGPNIARNAIINAAELASYDQVKQSILKIPGFTDNILTHLLAGLGAGFFAVLIGSPVDVVKSRMMGDSIYKSTVDCMVKTLRVEGALAFYKGFLPNFGRLGSWNVIMFLTLEQVKKLFMWEV >KVH94675 pep supercontig:CcrdV1:scaffold_630:61272:64696:-1 gene:Ccrd_003254 transcript:KVH94675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSFSRRSRYSPSPSPPHKRHVSRSRSSSRSRSYDASDIENPGNNLYVTGLSPRITKRDLEKHFSAEGKVEDVHRVIDPWTRESRGFGFVSMSNIKEAERCIKYLDGSVLEGRVITVEKARRRRGRTPTPGRYLGLRTVRVHRRSPTYSPYSRSSSPSERERSRSRSYSPCYSSRRRRSSSSRGRSYSRSSSWSPVGRRRSYTPDHYYRRSRHRSRSYTPEDRYYRRGRYHSRSYSPEDHYYRRGQYRSRSYSPEDRYCRRGRYHSRSYSPEDRYCRKDRYRYCSRDYSPDYRASFRDYSPDYRGSLRSRSRRYGSVSRSVSSSPRRHYRSYSSSASPVRSNSTSSRSSSPNSRSMSRSPTPRSGSPS >KVH94673 pep supercontig:CcrdV1:scaffold_630:190709:193620:1 gene:Ccrd_003263 transcript:KVH94673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MAETRWRLVAVTMAMVMVACVFPALVEGRIRHYKFNVVMKNTTRLCASKPIVTVNGRFPGPTIVAREDDTPKGTYVYKFTLTGQRGTLWWHAHILWLRATAHGAIVILPKLGVPYPHPKPHKEVVVVLGEWWKSDTESVINQAQKLGQAPNVSDAHTINGHPGPTLNCIANGGFKVPVDQGKTYMLRIINAALNEELFFRIAGHNLTVVEVDASYVKPFVTDTILIAPGQSTNALVTATQTAGKYLVAVSPFMDAPIAVDNVTATASLHYSGTVSSAATKLIAPPPQNATPVANSFINSLRSLNSATYPANVPLTIDHSLFFTVGLGVNPCSTCVNGSKVVANINNITFTMPKTALLQANYFNISGVFTDDFPSNPLMPYNYTGTQPTNLGTTTGTKLYRLPYNATVQLVLQDTGMITPETHPLHLHGFNFFVVGRGFGNFNPVTDPKNFNLVDPVERNTVGVPGGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPNESIQPPPSDLPKC >KVH94684 pep supercontig:CcrdV1:scaffold_630:131399:139295:1 gene:Ccrd_003259 transcript:KVH94684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITGKTDLPAIISSKVLNFTPDSDLLQKPILRKQVSRKSRNSGGGVRLRRDGVPAGGKRGSRPETPLLRWKFDESKGKEEEEEEKDVDVESEKLQFTEKLLLFHLDIGELPNSVILKMKNLDVIAWKDGTFWLWDDDKLEKLTMGTQPPSPSSMVIALSPFLLPLAAATTAVEPIFRFRFSLFSDLVLDLWSFKVEASKREGV >KVH94680 pep supercontig:CcrdV1:scaffold_630:14253:41166:1 gene:Ccrd_003251 transcript:KVH94680 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein MSDDVFDGQMLADKLFKLNSSQQTLSRLCISQRKKARQIVEIWHKSFKSAQREQHVSFLYLANDILQNSRRKGNEFVNEFWKVMPSTLKHVHEHGDENGKKAASRLVGIWDERRVFGSRGQNLKDEMLGKSPLPQLASNGKSSNPIKIVKRDANSLRLKLAIGGTPEKIMTAFHLVHDENATEDTALNNCEGAVRRFGDLERDIESKGVLPGPSLLEEIQEQENVLQECVNQLETAERTRTSLISQLKVALQDQVARHQIDHAVNLRKKLVSPATQNQPGDMTIVDGQSMSFGTTHVTEEENKKAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAASISSGAGFSSTMFSPEKRPKLEKPMSVSDLSSNSDAVSSGGYFNQTSFPPPPPPSITSPANNQFVQSSGLVMGGMPYGYGGASSSLPPPPPLPSHIAMGLMRPATHHHQQTASSGYYRPPGIGFYGQSHQPTPPPPVPRQ >KVH94676 pep supercontig:CcrdV1:scaffold_630:66955:69328:-1 gene:Ccrd_003255 transcript:KVH94676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MESSMEKTREFLRVTQHDSDRVARVTVPPQRPEAFHSFYEEFTLKGIRLDRFQPGSISCTFKVPDRLTDRNGNLAVGAIASLVDEVGATMVYEKDVPMNVSVDMSISYLSTAKINDDLEISAKLLGGKGAYNGTLVVLKNKATAEIIAEGRHSLFSKPRSKI >KVI03216 pep supercontig:CcrdV1:scaffold_6308:13518:20993:-1 gene:Ccrd_018488 transcript:KVI03216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGEKSEAAEDRSAEPSTVEAEIHLKEIGRFPNLQQVRELQARELQLQHIGLTRRRADSSISYQVLHASLDNAWVCVGLTNGHRKKSTKDKPVEAEPKSGRHRVPALVSVSRAAQVWHCVLQQHQRKKVHSVDRNLVDDARLLRRWCLINGICFFWVLRAEEYRIGGKKRGWLTTRREKETRHSWEKLLLDNEVQLSMAVSQETLPPKKKRPTAGLIATPSMESDERLIRTLLLRSKETSSLKPIPFVLYRNSISIIRKTKLLTLLLEDLVVDHVVPCFPASAVLCFEELYIVLQSIKTLIEDCCNGSKFWLLIQQQSVAHKFHELTLELSTLIDIFPIKEMNLSEDIEELVNLIRKQCSKSVASIAEHDIILRNDVLEKLDRIKSEIVPQQSKLKEIFTRLQLNDSTSCTDEIEILEEEVKNQSDQKSKAEIVSLIGLVRYAKCVLYGESSPRIIRCRNKSNSTDLTIPADFRCPISLDLVRDPVVICTGQTYDRASINLWIESGHTTCPKTGQTLLHTEMIPNRALRNLIVMWCREHRIPFESTEIKEKVNDVTTNKTLFEATKMTVSFLLEKARASQSSEKANYFVHELRALAKTDSNSRACIAEAGGLPLLVKFLGSDHPNLQVNAITTILNLSILEANKTRIMETDSVLNSIIEVLRTGVSWEAKGNAAATIFSLTGVHTYRKKLGRKTRVIKGLMELARTGPVSSKRDALVAILNLAGDRETVGKLIEVGVVEMASEVMDGLPEEGVTVLEAVVKKGGLVAITATFHLITKLATILRDGTERAQESAAATLVNVCRKGGLEIVTELAAIPGIERVIWEVMGMGTGRGRRKAATLLRILRRWAAGLYCNATATYSTTNASSTTTVGVVLPG >KVI00526 pep supercontig:CcrdV1:scaffold_631:13520:16408:1 gene:Ccrd_021214 transcript:KVI00526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFSLKGLKFVTVEQAFAEKHYADLSSKPFFNGLVEYIISGPVVAMVWEGKNVVTTGRKIIGATNPAESAPGTIRGDFAIDIGRNVIHGSDAVESAKKEIALWFPEGVANWSSSLHPWIYE >KVI00534 pep supercontig:CcrdV1:scaffold_631:75388:76578:1 gene:Ccrd_021220 transcript:KVI00534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF573 MAQNRQPELKPNHSSSSEEEEEEEEASGSEDEQTSCSESEEVSDSDSQEKQKQEPKKPVIAAPQSAKKPQAPDLSSSGTEGSDSDTDSDSPPKKPVVADPTIKPISSKPMEREEGTNPESKSAKKSGSRTSSTPSPAKTGKRPPPATAPAIEKDSKKAKKSTAADEDSKKQLFQRLWSEDDEIVILKGMIDYKTEKNGENPVADMGAFHEFIKKSLHVDVSRAQLVDKVRRLKKKYVNNASREKNGKDRSFSKSHEQKGYELSKLIWGSNSHTSGTESKKTQNHNPAKGNAASTSSGVALLKANGVEMEKEVSTMEVEKNMDVSRFVQYGRNNDCPILQEEIVKAGLELVEASKREELEEKWKKLKKQELQLYVMRMELLKEQSALVYEAINSSGN >KVI00527 pep supercontig:CcrdV1:scaffold_631:24726:31829:1 gene:Ccrd_021215 transcript:KVI00527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Engulfment/cell motility, ELMO MIEAETTVDHLSQFGGFRKVLIEAILVIQLQVVASIDSGDEVVAGSTAWLGRSLSCVCVQRKEGDSRPSFDLTPAQEDCLMRLQNRLDIAYDGSVPEHQEALRALWKAAFPQEELCDLVSEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNFQVCPLSLSKSFQDLLRKQDGERAMWEYPFAVAGVNITFMLIQMLDLEAVKPRTFVGATFLKFLSENESAFDLLYCITFKLMDRQWLAMHASYMDFNAVMKSTRRQLERELLQDDVTRLEELPSYGLLSR >KVI00528 pep supercontig:CcrdV1:scaffold_631:34852:38195:1 gene:Ccrd_021216 transcript:KVI00528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin-like fold KTHTLTLTHTVEIFGRQQPTDSNSILLTIHRRFTGMSSYDKPEIVERGAKEKEHEEGEQSGFLGKVKGFIQDIGEKIEETIGFGKPTADVSAIHVPKINLKQADIIVDVLVTNPNPVPIPLIDINYLIESDGRKLISGLIPDAGTIHAHGSETVQIPLCLIYDDIRNTYEDIQPGSIIPYKVKVDLIVDVPVFGRLTFPLEKTGEIPVPYKPDVDIDKIKFEKFSFEETVATLHLKLENKNDFDMGLNALDYEVWLCDVSIGGAELAKTQNIAKNGITYLDLPITFRPKDCGSALWDMIRGKGTGYSMKGNIDVDTPFGAMKLPLEKESGTTRLKKNKEDGEDDDDDDEN >KVI00529 pep supercontig:CcrdV1:scaffold_631:53067:54990:1 gene:Ccrd_021217 transcript:KVI00529 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MNRKGCDIEATGINYTIYTQKPQPPFKIFNKDQQFIELQPHQHQKSFSDPAPDTNPRARRVLRDVSCHAKPWEVLAIVGPSGAGKSSLLEILAGKITPQTSRICVNRKPVDKSRFKKISGYVTQKDTLFPLLTVEETLVFTAKLRLKLPKPELSSRVRSLIQELGLTHVANARVGDDRVRGISGGERRRVSIGVAVVHDPEVVILDEPTSGLDSNSAFQIIDMVKTMAETRGKTVVLSIHQPGFRIIKLFNSILLLANGTVLHQGTVDELNLSLRLIGLEPPLHVNIVEFAIDSIDTIQEQKNQKTSNLEQEPTAPTNRITQGKFTLQQLFQQSKVIDLDHDHDEKQDQDREKQQTQEQDDQERTIQDGFANSRLYETVILTHRFWKNISRTKELFACRTLQMLVSGLILGSIFYNLDMDLMGAEERVGLFAFILTFLLSTTVEALPVFLQEREILMKETSCGSYRVSSYAIANGLVYLPFLLILAVLFATPLYWLVGLNHSFLAFSRFLLLIWLILYTANSVVVCFSALVPNFIIGNSVISGVMGSFFLFSGYFVSEKGLLMNEFSGGGEGRCLEELFGKCLVTGEDLLREQGGYRKEGLFHM >KVI00536 pep supercontig:CcrdV1:scaffold_631:124847:127201:1 gene:Ccrd_021222 transcript:KVI00536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIENHTSHGTSSSTNEKTGYDLRKNFGASPLLHRLLGSLKFLILRYCHELVSVGHFEGFPLLERLIVAGCVSLVEVCETIGNCVRLFLLDVSGCTKLKKLPRSIGKLKNLRTLLIDGCSNLGEFPVEMKDMKSLEVLTADNINMESRMSSSVIIPRSFKPFAISLPRSLVRLSLRNNNLSNESFHVDFGSLSMLVELDLSGNPIDSLPHCVRSLSRLEFLSLGGCRRLKTVLCAPSTLKRLIMSTCESLEKITFQSQMSTQPYIEYEDRTLLTEIEGTFKMQTIAEVDEEILCRLGWMNVQQHVKDQKLPMKNYISWAGFHQIPQVLPVQMLYESGIFSTFFQGSWFPDWLTYITTSSKLCFTLPSSDKKCKIRGLNLCVVTGVTSSTLRAPYPRVELRNLTKNYKWRYTPLRCVIPEPNIRSIVWLSHWVFENNEFEDGDEVVIQALVDLGSERAYKVFGLDQYYHQACGASVVYDDENKKEEDSLAYYKSWKRNIVDDETLRGGCVYFTDSLK >KVI00530 pep supercontig:CcrdV1:scaffold_631:62080:62652:-1 gene:Ccrd_021218 transcript:KVI00530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MEDVKNGKRSPENPSSKNNNKEQDRFLPIANVGRIMKKVVPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEEYVEPLKLYLVKYRQLEGDKVSNNVPKQQCIERQQQHSLPTYKNVYSSAAAASIMSQPPFATIFEQTFALPFSSNSIQSQLHRQQESIDSVGQW >KVI00531 pep supercontig:CcrdV1:scaffold_631:64394:67402:1 gene:Ccrd_021219 transcript:KVI00531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane attack complex component/perforin (MACPF) domain-containing protein MGSSSKEVGLRLKAAAEAAIAAVGLGYDVAEDLRLKYCKGKSPESRLIGIDDEQVRDIVIPGGILIQNVSKSINCDKGERMRFSSDVLSFQQSNRFDQQSQPVSLSGRIPTGQFNAAFEFTGSWQKDAANTKALAIDGVFITLYSITLEKSQMTLSDQVKNAVPSTWEPAALAKFIEKFGTHVIVGVKMGGKDVVYMKQQHSSTLPSDEVQRKLKDVAEKRFSGQRNDAPRKTRDLEPLERNDYGLIALDPAVECILYTHLAIGLTFCFVFLSIALLQDVTLFWRRRGGSYGKNLDHRTWCQTVQLEPEVISMSFVPITSLLSGIDGNGFLTHAINLYIRYKPPIEELQQFLEFQLPKQWAPEFGVLAVGPEGKQQNNASLQFRFLGPKLYVNTNQVDVGDKPVTGLRLYLEGKRNDCLAIHLQHLSSMPKSFNVTLGSYTNSATSYGDRRYHEKVQWKSFSHICTAPVESEDELAVVTGAEFEVSDTGLKRVLFLRLRFAKVVGATVVRQPEWDGSPVLSQKSGIVSTLMSTRFSSVQTPPPQPKDINVNSALPRGPPVSAHGKKLLKFVDTTELTRGPQDLPGYWVVSGARLMVDKSKISMRVKFSLLAVFSADDEISL >KVI00535 pep supercontig:CcrdV1:scaffold_631:141596:166798:1 gene:Ccrd_021223 transcript:KVI00535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MNSKIKQMYVIKIKGRRIIFTYGGRMSGSSKKKKKNQSSKERIVKKTEPYIKRGKFLNFEKSNRELEEHNQFVCCGGFLGKMTGRIAFRFVKECLTQKLKSTAAPIATLRHYSSSSSSTAAAKPNIPHSSRKGRLLTGATIGLVIAGGAYASTVDEATFGGWLFSATKVVNPFFALLDPEVAHRLAVSAAARGWVPREKRPDPPILELEVWGRRFSNPIGLSAGFDKNAEAVEGLLGLGFGFVEVGSVTPIPQEGNPKPRIFRLRNEECGFNSEGIVAVAKRLGAQHGKRKLDETSSTATSSSEEVKHGGKAGPGILGVNIGKNKTSEDAAADYVINISSPNTPGLRQLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTISRPEVVQGNPVSKESGGLSGKPLFSLSTAILKDMYILTKGKIPLIGCGGVGSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKAELAQCLERDGFKSVYDAVGADCR >KVI00532 pep supercontig:CcrdV1:scaffold_631:177129:181223:1 gene:Ccrd_021224 transcript:KVI00532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLKEDICELFELETDALSKMDNLMLLQLNYVKFNGSYKNFPKKLRWLCMHGFPLKSLPLDLPMENMVVLXMXYSNLESFDMSDCNPQQPGKRQKLSGSCSKGKRLLRSLKILNLSFCTQLRCLGGFIEFPALEELILVNCTSLMEVCESIGQCDGLELIDLSHCNEAGKVLRTIGKLTKVKILKLDGCNLGESPVEMRDMVKYVNVGMNSQISSSSAIVEAIPRAFESYLIYLPRSLVCLSLEDNNLSNESFPMDMSSLSMLKELYLDGNAIVSLPNCVRTLPGLEKLSIQKCRSLKTLEHPPRTLKKLRFGFSEDNKEGKVVFDREMSPIILDFLVMNLNTYSGSCIEGMFKEEDMGDVKEEVLRSLGWTNLDFTKIQPVKGTSKVKMVYEYGIFSSYYRGKEMPNWISDRREGSSISFTISSSPYNLRGLNLCFVYGVPNASLYSFVDIKISNITKNRTWIYKSWMTCEETKEGISTYLSHWMFGKNEMEDGDQIAVILDANDFVISECGVSLVYDEDEDEEDDGLGYYKSWNHIIGGDLSPFQTTTPGEYQLSRMHFFGINSIHNYVGEM >KVI00533 pep supercontig:CcrdV1:scaffold_631:78873:94834:-1 gene:Ccrd_021221 transcript:KVI00533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MASSCFSKSKTIIMRNLKSKNFFMLGFINHTYSITNLQFPRHLLHTISSPPLPVSNYASSSPYNYSIAFSRCFASVYGGRRLNTATSVRNSVDQLSTEAPLQQDLDFPGGRVKFTPKPVFTSSSTEERTPCYRVLNDNGQPILDGDSVKISKELAVKMYTNMVTLQVMDTIFYEAQRQGRISFYLTTIGEEAINIASAAALNYNDFVFPQYREPGVLLWRGFTLQDFADQCFGNKNDNGKGRQMPIHYGSRKHNYFTVASTVGTQIPHAVGAAYSLKMEKQDACTVTYFGDGGSSTGDFHAALNFAAVMEVPVIFFCRNNGWAISTPINDQLRSDGIVVRGKAYGVPSIRVDGNDALAIYSAVHKARKMAIEEQTPVLIEALTYRAGHHSTSDDSTKYRPVDEIERWRGDQNPLKRFRKWIETKGWWSDEAESEHRNGIRKQLSQAIQAAERVEKPPISDIFNDVYDIPSKNLTEQEAFLRRTIQKHAQDYPSNVPIRLHSAASASNDVRQQVLDFPGGKVKFTPKLAFTSGSTEERVPCYRVLDDSGQPIIDSDSLKISKELAVKMYSDMVTLEVMDTIFYEAQRQGRISFYATTMGEEAINIASAAALHNDDFVFPQYREPGVLLWRGFPLQEFAHQCFGNKYDNGKGRQMPIHYGSRKHNYFTVASTVATQIPHAVGAAYSLKMEKQDSCVITYFGDGGSSTADFHAAVNFAAVLEVPVIFFCRNNGWAISTPINEQLRSDGIVVRGKAYGVPSIRIDGNDALAIYSALSQAIQAAEQVEKPTIADMFNDVYDVLPKNLMEQEAFIRKTIKKHTQDYPSDVPL >KVH96928 pep supercontig:CcrdV1:scaffold_6310:11635:16215:-1 gene:Ccrd_000979 transcript:KVH96928 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding pseudobarrel domain-containing protein MMMCGLIDLNTINDDTDAVATSSSDSYASVAVSPAPDLKTVSSSPVLCCLELWHACAGPLISLPRKGNAVVYFPQGHLEQQRVHGSPAIGGFNITPHVFCRVIDVKLHAEAGSDDVFAQVSLIPDIKLEKKFGEGGNETEVEEDENDGLHEKSSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLKMLMGFGCCRIINNRDLHKNLGDDGILRLGIRRAAQMKTPAGFPATCGQQLNDFTAVVRWDSVEVTKQNRVSPWEIERCNSVSGASSISSPISKRMRTGFPTIRPDFQFPKDGAEGALDFEKSTRFQKVLQGQEIFSYKNDSYDHCPSRIIGPCWEAKNSMLTNYLRNLIGIDCDGTLRMNQVLQGQEVPSEPQYPRAYNNSMHLSPFMQQPSSVLPFHNAIRQAPYFHPGCVTDNLETPDFGRVYSRLSSDPLKEPVSTCESNCKVFGFSLTEGTSQRTSVYAKNSCMLVNEQEEMHPKRAIVEGKVVSMYALG >KVH89308 pep supercontig:CcrdV1:scaffold_6311:530:4410:-1 gene:Ccrd_008705 transcript:KVH89308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MNTLQISLLGNCDLYSGVCLPGLADGGAANYMDEIKGRENECRWRRRDDKVIGAEYGARVRRRKISEKTQELGKLIPGAAFKYIKFLQAQVGVLKLMASIPVDQSPKQSMLDALKPSMKALIQDGLSRHSDVDVKVAVASCISEITRITTPNAPYTNDQMR >KVH89307 pep supercontig:CcrdV1:scaffold_6311:4186:21476:1 gene:Ccrd_008706 transcript:KVH89307 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDLSTSNAGLPPSNKRRGGFSPTTIQALNDDIIHTVFSSLDFVHIIRCTAVCKSNAINKSKLLQTLYHKQRGIFGADFEVSTSSEGIWKRQLEDLAISQHRSCLHAGSVDIYQWKGHSDGIDKCKMKMGLVLTGGSSKVMRLWSVESYRCLAEYHLPHTGSLIDFNFDESKEVTYVYGGAMRGKIYFPHKVVNFLEVLACDPEAVVGCEDGRARVFDMYGRKWSRIIKMHDGPITCLSFSDDQMLIGGSSFGRISISDLSSDQQVARLKTNDSADLSTLCYNSRSHILFTGSRAGRASSWDLSPNLLCSIQHMRDDISILAMGGLDGVLRLVDQQNGNILSGCIMDESSRKLYRSQSPHLTVTRKKGIRVSEDARIDLMPRTSRPSINCLAVGMQKVVTVHADGISC >KVI11111 pep supercontig:CcrdV1:scaffold_6314:4294:5881:-1 gene:Ccrd_010480 transcript:KVI11111 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase METKKFLLLVGFCLLLSSSNSSLEYEYYRGSCPQADHIISSTLRRIYDQNPGVAPAILRLVFHDCFVQGCDASVLLDGTDIMRSEKDTPPNQSLKGFDHVDTIKSGLETVCPGVVSCADLLVVAARDSIILAGGPFYPVLTGRKDSNRAFPDISYELPSPMDDLPTNIARFATRGFTEKETVSLLGAHSTGKIHCRFFEKRLYKFGGTDQPDPSMDGDFAELLRSICNNTHSPSPSPSLSPSSPSSSKSRQEQAMKMDYEGAGAGFGTLYYRSLLQGRGILFVDQQLTAGEETASWVRQYASDVSLFRRDFGKAMMKLSSTNVLTGANGNVRQSCREVDSSLW >KVI11113 pep supercontig:CcrdV1:scaffold_6314:10498:11136:1 gene:Ccrd_010482 transcript:KVI11113 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase METNKFLLFGFCLLIFSSYSSLEYEYYRGSCPQADHIITSTLRRIYDQIPELHQHFFDCIVQGCDASVLLDGTDTMESEKDTPPNQSLKGFDHVDTIKSGLETVCPGVVFCADLLVVAAQ >KVI11110 pep supercontig:CcrdV1:scaffold_6314:7029:8186:1 gene:Ccrd_010481 transcript:KVI11110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MEADKPSQATTTSNKGFTGAAGRRGGDKKKAVQKSVRAGLQFPVSRVARYLKKGRYSERTGIGAPIYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHVQLAVRNDEELAKLLSGVTIASGGVLPNINPILLPKKASASQTPIKTFDSKSPAN >KVI11112 pep supercontig:CcrdV1:scaffold_6314:11646:14296:1 gene:Ccrd_010483 transcript:KVI11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHHSPSPSSSLSLSSPSSSKSRQEQAMKMDHEGAGEGFGTLYYRSLLQGRGILFVDQQLTAGEETASWVRQYASDVSLFRRDFGKAMMKLPSTNVLTGASGNVKVLRWMRQLRLEDVVIGQYKGHSKGGKTHLGYIEDPTVPNDSLTPTFAAAALFIDNVGWDGVPFLMKAGKALNTRRGI >KVI01587 pep supercontig:CcrdV1:scaffold_632:182069:191146:1 gene:Ccrd_020138 transcript:KVI01587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain-containing protein MATFAKPENALKRAEELINVGQKQDALQALHDLITSKRYRAWQKTHEKIMFKYIELCVDMRRGRFAKDGLIQYRIICQQVNVNSLEEVIKHFMDLSTKKAELARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLMALYADTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLHFYLDTRFEQLKVATELELWQEAFRSVEDIYGLTSMVKKMPKSSLMAVYYAKLTEIFWISSSHLYHAYAWFKLFQLQKSFNKNLNQKDLQLIASSVLLAALSAPPYDHLKSASHLELEHDKERNLRMYNLIGFNIDVKLETREALCRSSLLADLVSKGVMTYVTQEVKDLYHLLENEFLPLDLASKVQPLLAKIAKLGGKLSSASSVPEVQLSQYIPALEKVATLRLLQQVSQVYQTMKVETLSRMVPFFDFPSVERISVDAVKHNFIAMKVDHMKGAIIFGDLGFESDILQDHLSVLAVNLNKSRSMIYPSQTKASKLSAMLPSLADIVDKEHKKLLARKSIIEKRKEEQERHLLEMEREEETKRLKLQKKTEEAEQKRLATEFEERKHQRILREIEEREREEAQALLNDVGKRIKKKGKKPIIEGEKVTKQTLMELALQEQVRERQEMEKKMQKLIKTMDHFERAKREEAAPLIEAAFQSRLAEEKLLHEREQQLEVELSRERHDGDLKEKYRLARVIEHKMEFQERVVNRRQAAYNRMRTEREERLSQIMKARKEEREIKRKMLYYVKTEEERLNRLREEEEARKREEAERRKKEEAERRAKLDEIAERQRKREQELEERERLRKEAILRGTPIDGPTRPSEHPAAAAAPAAAAAAAPAAAAAASGSAGGKYVPKFRRSGPAEPAAASPAASVQSDRWGSGKVADDRGAPPSDKWRPSFGGNSGAAKSWSSSRFSR >KVI01589 pep supercontig:CcrdV1:scaffold_632:36393:54717:1 gene:Ccrd_020137 transcript:KVI01589 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MSSLGRELVFLILQFLEEEKFKESVHRLEQESGFFFNVKYFEEKVHAGEWDEVEKYLAGYTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVNDLKVFSTFNEDLYKEITQLLTLVNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFREKLVFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCTPPNGALAPTPVNLPPAAVTKSAAYSSLGAHGPFPTVAATANANALAGWMANAAASSSSVQAAVVTASSLPGPPNQVSILKRPITPPTTLGIADYQNNEHEQLMKRLRPAQPVEEHAGAPPQVTYPIMRQVSWSVDDLPRTVAFSMHQESIVTTMDFHPSHQTLLLVGSANGEITLWDVGLREKLASKLFKIWDMSACSLAFQASFKDTPISVNRVMWSPDGNFFGSAFSKHLIHLYAYTAPNDIRHHLEIDAHVGGVNDLAFAHPNKQLCVVTCGDDKLIKVWDLTGRKLFNFEGHEAPVYSLCPHQKENIQFIFSTATDGKIKAWLYDNVGSRVDYDAPGHGCTTMLYSADGSRLFSSGTSKEGDSFLVEWNESEGALKRTYAGFRKKSAGVVQFDSTRNHFLAVGEENQIKFWDMDNPNIVAITDADGGLPSLPRLRFNKEGNLLAVTTSDNGIKILANAAGLRSLRATEAPSFEALKSSIEPAAIKISSSSAINNASPFNCKVEKSSPARPSSVLNGTDSVGRNMEKPRSLDDVTDRTKPWQLTEILDAAQCRLVTIPDSTEVSNKVSRLLYTNSGVGILALGSNGTQRLWKWARNEQNPTGKATANVIPQHWQPNSGLLMTNDVSGVNLEEVVPCIALSKNDSYVMSASGGKVMTTFMPPPPASTFLAFHPQDNNVIAIGMEDSTIHIYNVRVDEVKSKLRGHQKRITGLAFSTSLNILVSSAADAQLCFWSIDSWEKRKLVPIQLPPGSLSSAETRVQFHSDQIRLLVSHETQLALYDASKMECICQWVPQDNLTAPISYAMYSCNSQLVYASFCDGNIGIFDADNLKLRCRIAPSVYQPSVSNRTQGPAAYPAVVAAHPQEPNQFALGLTDGSVKVIEPLDPQGKWGVDSAPSDQVQR >KVI01588 pep supercontig:CcrdV1:scaffold_632:6248:8756:1 gene:Ccrd_020136 transcript:KVI01588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSVTPCNFGKPNNTSGHCGAIIWYEERSRKDRKTSNPKFLSNLIVGDLSKNTFERDVIVKHRTTRLQRITDLHPSFMSMTYSLIHPYDEDGFRRNI >KVI11873 pep supercontig:CcrdV1:scaffold_6324:6711:7202:1 gene:Ccrd_009709 transcript:KVI11873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MASALKKGNKISQIVRLKQVMQRWRRRCINTHSFTSDSDSEIDRQRRRIPSPGSLAVYVGIERRRFVIPTKFLNLPVFRSLLNKAEEEFGFQKTGGLVLPCDVIFFKRLLKALERNEGGFGSLDLDDFTAMFADLTVDSVSYCKDANNSNCHSFSPLLQKTRV >KVI11874 pep supercontig:CcrdV1:scaffold_6324:12379:24066:1 gene:Ccrd_009710 transcript:KVI11874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSGISPAKTCNDSNLRASMDASSMEKLLPCASYSSIHTPKLTSTHNFEFLNPKVQIKWPTRRNHNALVVNASDGGVGGEVDTAVVEKEKPKALPPRFQVLQGSPTPFGATAKKDGVNFAIYSRNATSATLCLMTPSDLPEKRVTEQIPLDQLTNKTGDVWHVFLKGDFTDMLYGYKFSGEFCLEEGHYYDSSQILLDPYAKAVVSRGEFGVLGPDDDCWSQMACKIPTIHEFDWEGDLPLAFPQRDLVIYEMHVRGFTRHESSQTGSPGTYLGVVDKLDHLKELGVNCIELMPCHEFNELEYFSYNPVLGDYRLNYWGYSTINYFSPMSRYASAGASNCGLDAINEFKQLIKEAHKRGIEVLMDVVFNHTAEGNENGPILSFRGVDNSVFYMLAPKGEFYNYSGCGNTFNCNHPVVRQFIVDSLRYWVTEMHVDGFRFDLASILTRGSSLWDAANVYGNQLEDDLLTTGSPLSSPPLVDMISNDPILRGVKLIAEAWDCGGLYQVGIFPHWGIWSEWNGKVCSHALFFIFIILSGSF >KVG77635 pep supercontig:CcrdV1:scaffold_6325:3097:11580:-1 gene:Ccrd_026200 transcript:KVG77635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MKTTRQGGVSAYVTTAPAIALTTVVIILILSFNFFTRNNSKPEFVIPIVQLQSKLNLSRQPSDDYKFRAVITPDLHRISSLPLQLNRNRTFITGRRRLELELARARATIREAASVRNLSQLLQSGDVLSKGELPIVHDGPCKDIYTVEGRFIQEMEQGRRGHYFRTKDADRAHVYFMPFSVTWMVKYLYKPDTYDVTPLRHFVSDYVRVISTKHPFWNKTHAADHFMLSCHDWGPHASKGHPNLYNTSIRVLCNANSSEGFNPQKDVSLPEINLRTGNIPPNLLSPPSSTTPRIHLAFFAGGVHGPIRPLLLHHWRGRDPDLQVYEYLPKNLDYYSFMFASKYCLCPSGYEVASPRIVESIYSECVPVIISENYVLPFSDVLKWEAFSIRVKVSEIGRLKEILTSVPEEKYLRLKSNLRAVRRHFVLNQPAE >KVG77636 pep supercontig:CcrdV1:scaffold_6325:23192:26077:1 gene:Ccrd_026201 transcript:KVG77636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHSVAKSNLSETCKELPSRCEQRVGNTLRRHYETNHLAHADVDGEHCLLCHIRRFGKTVRYVGNGRISVVTEDRVLVLLRLCMTKISLACRAQ >KVI01597 pep supercontig:CcrdV1:scaffold_6327:3682:16768:1 gene:Ccrd_020128 transcript:KVI01597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MSSLARKLARSLRVSLPSTSTTSSAVGVEGSLKRFYSSSVPVDSGDEGEGIEGDTIDDDDFLREKPELQMQGVDPRKGWGFRGVHKAIICGKVGQPPLQKILRNGRTVTIFTVGTGGMFDQRTIGAKDLPKPAQWHRIAVHNDALSAYAVQQLAKNSSVYIEGDIETRVYNDSINGEVKNIPEICIRRDGKIRLFKTGESISSISFDELREGLI >KVI01437 pep supercontig:CcrdV1:scaffold_633:67811:83881:1 gene:Ccrd_020291 transcript:KVI01437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPKQRLFFCITLILFLFASSETLHDYQAEYSDDSSLKIKHEQLLAKIHNLESSIEKRSHEIYSKDERIKILETTVVEKSNSLASLHGEIQSLQKKESFDAKEQVGEAHAQAGELEKQVENLKKEIARQNSEKDSLEARINVAETKIEELNVKLEQLQRIIKEQKIRIRNTERALQMAEEERTRVQFRAAHYSKELAEVHESWLPPWLAAHLVHCQSFMVTHWNVYGRPARDVAIQKALETEAQVRKWAWPYIDVVQTKWIPIIKEQWLTFVTNMEPHAQILTAKTVEIYDASKKTLKPHIVNIQTFVDPYIKEAKKLMKPYIDQLSKTLKPHLNKARVFLKPYTKKLLRGYRRFSKATLKYHRRVRADIHEMLKRNEFTRPFAIKNQEDVPVLPIQTIQDVGQDGCIKTRQTPQDSYYSVLLSDKIVEPAAEHNGRLVMVERSKL >KVI01438 pep supercontig:CcrdV1:scaffold_633:49316:57918:1 gene:Ccrd_020290 transcript:KVI01438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MKGDSSSKDLEKSYFDVLGLCCSSEVPLIEKILQPLEGVHHVSVIVPSRTVIVLHDAALISQFQIVKALNQARLEANVRMKGDQSYRNKWPSPYAGLCGLLLLLSFLKYVYPPFKWLALGAVAVGIIPLILKAIASLRSLRFDINVLMLIAEWLERRASHKATAVMSSLMNIAPQKAVLADTGEEVNANEVIVNTRLAVKAGTMIPIDGIVVEGNCEVDEKALTGESFPVSKQVDSIVWAGTVNLNGYISVKTTALAEACVVARMAKLVEEAQNNKSKTQRYVDKCAKYYTPAVCVIAACLAAIPAAMRVHNLDKWYHLALVVLVSACPCALILSTPVAAFCALSKAATSGLLVKGAEYLETLSTVKVICFDKTGTITKGEFSVSNFHPLIIDSDKLLYCHPMAAALIDYAQSRSVEPQPDNVEEFKDFPGEGIYGKIDGKDIYIGNQKIAIRAGCSQVPRNGSDNNEGKSIGYIFWGSSPAGIFSLSDSCRIGVKEALEELKSMGIKTTMLTGDCQAAANHAQNQVVHAELLPQDKARIIKEIQREFPTAMVGDGLNDAPALATADIGISMGVSGSALANETGHVILMSNDIRKIPIAVKLARKTRRKIFENIFIAIVTKAAIIALAIAGHPLVWAAVLADVGTCLL >KVI01434 pep supercontig:CcrdV1:scaffold_633:110922:114089:-1 gene:Ccrd_020294 transcript:KVI01434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MILSKLPKSKRFSTLISSSSSRRNHLSSLSVANLLKAGFNPTLRNYNNFLVQLFKTKKIRFITDFISQLHSNQIEGDCITHSVFTRALLKQHNYERAVEFINTQIGKTPNILQNRILDALIQGLCVNAQDPERGFLVLQDYLNIDGIFPSSFTFCALISSFSRQGKMDRAIEVLEVMADEKFKYPFDNFVFSSVISGFVNIGKPELAVGFYENAAKSAALQMNIVTYTCLLSAYCRLERFEEVSDLVSRIEKDGLTFDVVFYGSIVYEIRDFDGVFRLLDEMKEHGVHPSVVTYNTIINGLCKSGRTAEAYEISIGIRGDVVTYSTLLHGYIREKDSMGLLMTKRRLEEAGVSMDVVMCNVLIKALFLVGSFEDAYVIYKGMVEMGLTANHATYYTLIDGYCKCGRIEEALEIFDELRRTSLTSVESYNCIINGLCKQNMIDMAIQVFIELHGRGMPLDLGIYRNLLQSILRATGPDGILNFIQKIEKLEPEVFHTLCNNALCFLCDGGFSEYASDLYTFMRRNGFVLTTISYYSLVELLLKDPKMRFPEICLSDFVKEIGIFEPRVSKIILHYLCMKDVSLAVKFLKSRNSKTQSLTFPVSILKTLIKNGRAEDAFKLLMGAKERLPFMDVVDYTIVVDGLCKEGHIGKALDVCTLAKNYGITLNTITYNSVIYGLCHQGCFVKAFRLFDSLEKIDVIPSEITYATLIDALCKEGYLLDAEKLLERMIMQGLKPNIRVHNSLINGYSKLGRLPEVLKLVADLDEKGVKADEFTASTVIKCFCRNGNMEGALDYYFDSRMKGLLPDLLGFFYLIRGLCSKGRMEESRSILRDMLQTETIVKLLKKVDTGAETESVDHFLVSLCDQGSIQEAVMILDEIVHMFFPLGKKVDNGEFRVAVFEPLILGHEDDVVHDDFEAYYDLLASLCSKGELKKANRIAKLLAGFDGG >KVI01435 pep supercontig:CcrdV1:scaffold_633:101773:106870:1 gene:Ccrd_020293 transcript:KVI01435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MGMKEMHILPPARQRPQLKKPTWIIILVSILCMFLVVVYVHPPQDSTACYIFSSSSCKTISRWLPPPARELSDEEIASHVVIKSILNTPPFETKNPKIAFMFLSPGSLPVERLWDKFFQGHEGRFSIHIHASKVKPVHSSRYFQNREIRSDKVDWGKISMVDAEKRLLANALKDPSNQHFVLLSDSCVPLRDFDYVYNYLMYANVSFIDSFEDPGPHGSGRYSDLMLPEWFTLKRQHAIIVMADRLYYTKFRDYCRPGMDGRNCYADEHYLPTFFHMFDPTGIANWSVTHVDWSERKWHPKSYVQKDISYQLIRNLSSIKESVHETSDAKRETTVIPCMWNGRNRPCYLFARKFLPETLDMMIDLFSNYTAV >KVI01432 pep supercontig:CcrdV1:scaffold_633:141655:148119:-1 gene:Ccrd_020296 transcript:KVI01432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MGEQSFFDKMMINLRSTCKYYTGHPKDLGPSKVIHFTSEREFVHLLHQGHPVVVAFTIKSNYTKHLDKVLEEAAAEFYPQIKFMRVECPKYIGFCMTRQKKDYPFIEMFHSPQEASNQGRAVDPNVTKYAVKVLPYNYDVSAYGFREFFKRHNIRSFNHE >KVI01439 pep supercontig:CcrdV1:scaffold_633:194120:195886:1 gene:Ccrd_020298 transcript:KVI01439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGPEVLGSILHQCSKIRSFRPGVSLHAAVVKMGLESDVIISNHVLNMYAKCGKIEFARQVFDSMPERNLVSWSAMISGYDQAEKPLKAVELLARMKVDQANEFVFASAISASASLLAGKIGKRIHAQSVTLGYADVSFVSNSLVSMYMKCGQSTDALSVFMSIYEPNAVAYNAVISGLIENKQVQKAFEMFRLMCRKGLVPNRFSLVGLLGNCSTPNDVRIGLELHCLAIKLNLDSTAFVGNVLITMYSKFNLIEESEKIFWSIEEKDTISCNTLIAACSHALDHSKGLSIFKEMLKNYGLTPDNFTYTSALAACSGLSSSHFGRQIHGNLIRTKLSHDVGVANSLVNMYAKCGSIQHAVTVFDRMAFRNLVSWNTLLAGFANHGLGKQAIEIFKRMKELNVKPDSITFVSLLAALNHSGLLNDGKFYLNEMQETYGIPPNVEHVSCIVDLLGRARRVKEAEEYMERFSFAEDPVVLGCLLSACRMHGDLVIGKRTAKRVLKIQEISTSPYVLLSNLYASESLWDGAAEARKQLKDSLLKKEPGHSLIEVKGIVEKFTVGRISHSRIEEIIDMLKVLSFSEDEVCCFH >KVI01431 pep supercontig:CcrdV1:scaffold_633:160936:167590:-1 gene:Ccrd_020297 transcript:KVI01431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8A, DUF1034 C-terminal MMMMNVEHIVMILVLFGFYVIAKCEVYIVTIEGDPVISYRGGIDGFEATAVESDQKLDVTRLLFFESSLFVAETLRRIPGVKSVDRDWKVRKLTTHTPQFLGLPTGVWPTGGGFDRAGENIVIGFVDSGIFPHHPSFQNTKSEPYEPLPRYRGKCEVDPHTKRSFCNGKIVGAQHFAKAATAAGAFNPSIDFASPLDAIAAGNNGIPVRVHGYEYGKASGMAPRARLFGGFVADVVAAIEQAVHDGVDILNLSVGPNSPPATTRTTFLNPFDAVLLSAVRSGVFVAQAAGNGGPFSKTLVSYTATRMNQRYTLVAANDVLLDSSGKASTADCQRPEVLNKNMVKGNILLCGYSFNFVIGTASIAKVSETAKSLGAIGFVLAVENELIDYYNISTSRDWTGRVKSFKALGAIGDGLEPILHKSAPMVALFSARGPNIKDYSFRDADLLKPDILAPAGIAALVKQKHPHWSPAAIKSALMTTSNTLDRAARPILAQQYSGSETMTFVKATPFDYGSGHVNPRAALDPGLIFDAGYEDYLGFLCTTPGLDSHEIRNYTHQPCNYTLGHPYNLNSPSITVSHLVRTQTVTRTVTNVNEEETYKITARMAPTIAIATSPRAMTLKPGASHKFSVTLTVRTVTGTYGFGEVLLKGSRGHKVRIPVVAMGYDR >KVI01433 pep supercontig:CcrdV1:scaffold_633:139096:139644:1 gene:Ccrd_020295 transcript:KVI01433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGACCSCRTSTKRTLKTIRVVHLDGVLEVYESPTTVNQVISNLPKHFMSTPVQLIQNVLVPLKLDTQLEPGRIYFVLPFSILRFNEAPGDLINLAKKLTNVAKTHRPRPKPKPAPSTQISLGCSNGEKNNMRNSTKSLSWKPILATIREISFNRRESDLQSFNRRSEIDLQSFRRNESDLQD >KVI01436 pep supercontig:CcrdV1:scaffold_633:71001:87844:-1 gene:Ccrd_020292 transcript:KVI01436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVWLVKMKKGIRRRCRVSQWGLGEDAEINLNKADTIESRTITGKTIKAEACSRRKTCKACSKTVNMGQKIKAMDGKGTSKEQQDIGYIRITRKPFELQHPELELHDLVPSDLHKTRGEKKQTSSFTQPNKPRKEDPDTNMTSEILDIVDYFMAGGLDASKMKDVPLVFKIKTKIHSTKLGITSVTEYYNTSNNLRLKLDYTKARLVTLAKHKYMKPNPYKAPACLAQDLQLRGSIFFLLGIVSHSPAASGFEQQIENQTSGRALQCLIEPCPQNAHSISEYQRKKALQKEWNKTNYAQEVPAKRDIEQAQFPKKLMASYVQ >KVG77631 pep supercontig:CcrdV1:scaffold_6331:24481:25571:-1 gene:Ccrd_026204 transcript:KVG77631 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAC motif-containing protein MIALFRLSSLACRCIPHYKDPRAQRRYPLVDPVCVSKIRRCLDEDIDFQGELLNFRKDGTPLVNRLRLAPIHGDDGIVTHIICIQVFTEAKIDLYSVSYPVFKETCKKQFDQVTGKYSPKSGETK >KVG77630 pep supercontig:CcrdV1:scaffold_6331:82:2618:1 gene:Ccrd_026202 transcript:KVG77630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HFRLPHFRICRIRESLLRGRSFSNASSLKTYTYTNEKGKDIVKYKGAAKEKITAEWFKSQYANPSRKQEVEVEAYFRIDWPTLNIKKIDQSILVGINLGLKRIPVWEKDTNTNSSSKKWVDTEPNSVYDMSRLDHMTLLLSRNY >KVG77632 pep supercontig:CcrdV1:scaffold_6331:7115:17930:1 gene:Ccrd_026203 transcript:KVG77632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein VVLFLNAPIFIAKLSQSFQHKEVKGFAERIKGILNAIDDGEINAVAYDTAWVALVQDVNGSGRPQFPSSLEWIVNNQLSDGSWGDRFIFLAHDRIINTLACVIALTFWNFHPAKCQKGVEFLKENISKLETENEEHMTCGFEVVFPSLIDIAKKLNIEVSEDSQVLKEIYARRNLKLANLEGIPDLEWEKLVKLQCKDGSFLLSPSSTAFAFMQTNDENCLQYLTNVVTKFNGGVPBFYPXDLXERIWVVDRLQRLGISRYFKSEIEGCVEYVYKXWTKDGISWSKNSNVQDIDDTSMGFRILRMHGYDISADVFRQFEKDGKFVCFVGETTDSVTVTFNLLRASQVLFPGEKILDEAMKFSYKYLKEKQSSNELLDKWIVAKDLPGEVGYALDIPWYVSLPRLETRCYLEQYGGEDEAWISKAVYRLGNISNNTYLEMAKLDYNHCMVRHQLEWNSMQQWYVDFNIERFGMSNITSLLVAYYLAAASVFEPESRFGSAMDSTTLRSEATEYCPSPSRLHFHCEFIGSQMNMLSKSIPIFITSVCNSVIELHMPPLLLFLQNPPRAAIHTNHRMQFLRENISKLEDENEEHMTIRFEVAFPSLINIARKLGIEIGEDWPFLEEIYARRNLKLTKLETKNLLQEAILINDDVFFVTKRIPKNIMQKVPKRLLHSLEGMPDLEWEKLLKLQCKDGSLLFSPSSTAFALMQTKDEKSLQYLTNAVTKFNGGGVFSWWYWTKDGICWAKNSNVQDIDDTAMGFRLLRIVVTKFLPEKQSKNELLDKWIIAKDLPGEVGYALDVPWYTSLRRLEARCYLDQYGGKDDVWIGKTLYRWYVDFDMGRFGMSNTTSLLVSYYLAAASVFEQERSNDRITWAKTTTLVNTISSFFDSLQLSMEHRKDFVDEYGKPWHGLMAALQGTLHELALDTLMACGRDIHPQIHHAWERWLTRWQGGGDATEEQAELIVQTISMIDGRWTSKELLAHPQYQRISTVTNNLCHEISQSHKSKENRVTFFDSEAANATIECRMQELMQLVLSRSPDDLDQDLKQTFLTVAKTFFYKAYYDTDTINVHITTVLFETVL >KVH96967 pep supercontig:CcrdV1:scaffold_6333:13189:16830:-1 gene:Ccrd_000939 transcript:KVH96967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIEQNCGSYFQGPIDLWLGRFDFEEKQRKQQVEQGGWNCRWWRQPDLELWLMEVMRTPNGEIKVGLKKGAWDLEEDQKLISYINRYGIWNWSHMPKFAEHVNHVNDIPNPLFSWTSEDDNSSSNSVPTPKGYGVEFIADHHDVSSPGTIEDLQCFWRQLCPFENLELGNIHQDMFSDNVYND >KVG77036 pep supercontig:CcrdV1:scaffold_6335:18443:18718:1 gene:Ccrd_026205 transcript:KVG77036 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox protein, Cys/His-rich dimerization domain-containing protein MKKRQVVVKNNGSNRTSSASTVRRVHYGECQKNHAANIGGYAVDGCREFMASGQEGTAAAVTCAACGCHRSFHRREAVDEVVCEGSSTSDA >KVI11262 pep supercontig:CcrdV1:scaffold_6338:19875:20055:1 gene:Ccrd_010330 transcript:KVI11262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sep15/SelM redox MRKLLFYPEIVSFIEDEKDKFPSVKVHYAFNAPPKLIMLDDAGQRKEII >KVH88652 pep supercontig:CcrdV1:scaffold_634:12555:17830:1 gene:Ccrd_026207 transcript:KVH88652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein TEYWKQSCHLKFDPNPFFVHKAKTQGFVHLFDHSVDKGRGKRMKITALLVLKCDSRSDGSDPVILANATDVNHFGYFQRVTVRQFIVFVGRTVAKRTPPDQRHSVQHEEYKVHSYNRNGLCVVGFMDDHYPVRSAFSVLNKVIDEYQKCFGETWRNIQADSTQPWPYLNEALTKFQDPGEADNLLKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSAASQVDVLTIENLHDMFYKQAKKTNQCCTIL >KVH88654 pep supercontig:CcrdV1:scaffold_634:194674:200879:-1 gene:Ccrd_026214 transcript:KVH88654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGFGEKSRGQQVGSSGRWVVGLKLGYRIGHEAHTTTVRDREMGAGSTDCREWVHCTSTDPTIVDAGPSHGPSHDHDRHASLNHGRDRGLCWCLDGSIVGDWGHAEAESWVGSLVWEFGSEVALAVVSFSMXRTTISSETRSNFVVDVRNVVCRMEGLEFGAAFCDVTGAGHLDQMQDSKDLHASNSKRNNSLQMTDYSAQIEELEFLYATNINVSNFVSEKLSGDSNYHVWKEQMLCLMDSQKMRDMVQDKDDNQGMPLLGKVSRFAITATNLKRLDQYESLLKGWIFGSLNQDVLRTVVKLESARDVWWKLKVIYDQQESSPQDSASRKPSTITEKKTTSVTLTENKYDTEDNNKLYKATVEGNWWDAEAILRTSAKVATEAISNDGSTMLHLAVGKGQNEFVEELLAFIREGRDIEKKNSDGSTALHIAAIVGNKRAAELLVKKRKELLGISDHKAYVPLLSAYYNMQLDTFVYLLEATQTKQQQLPLGLYPGSGVRTGIHLLITAILAKEYVRAASLVRAYPELATVDDQVLMATVRSFPSELGFGEALIYPSWNSLCRKIVDSSSSLFYSSGILYKSARKDIFWAMRSYILSNIPVDPSPQLSAFFRLLWAVFPPVEGFSNLPPIKRIEKKKKDYKDAKQMFCFVCDQIDRHTSSGTRHLIYRPAILEAACRGAYVVVDEILSRSPKAIDYVNRNGHNIIQLAVINRSDKVYNLIRHIVERTDLSIMDSSKNNILHLAGRLAPSVVLSRTTGAALQLQQELQWRKEVEKFMSPTELMKENIHKETPEMVFTREHKDLMKEGEKWMKTTAESCSITAALITTIVFAAAITVPGGSNQETGIPLFRNDIAFTIFAVADAISLFTSSTALLVFLSILTARFSEQDFLVSLPRRLIIGLCSLFFCTSAMMVAFSAILFLVFCDQRAWMVAPIAVLAGLPIAVIVTLQFPLVVDLILSTYRPIFGKQSYIDRCKSNPKNMRQHSSRMGNEGWLPAGVANVQDV >KVH88653 pep supercontig:CcrdV1:scaffold_634:194795:204637:1 gene:Ccrd_026215 transcript:KVH88653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYVDRIKSTTRGNCRKNNEQRPMINRLGKLTRKSCSEKRAVRIDKNTSSAVEDVNSEIASATAKIVKAIAAPVVRLSTTEGANLPAKCRILFLEESMIERSNFVNNHISTSTCSLKNCWPINEMPSTRRDVLSMASASHQFPSTVALYSLLLSSVSYLFSVNVTEVVFFSVMVLGFLEAESASNFKFSVQQKAFVLIQPLEIGSSNGESRNFAQQGHALIVVFVLYHVTHFLTVHEAQHLLLPDMVIAVAGKFFGNKVGDINVLGVLHFCRNIKVQNFNSMDVFLHMTSTGNVTESSTKLKPLHPAYNVTNINNKVRTLDGTKITYSTWVKLFRLHATAYKAMDHITNVPPPEKDAPEYAEWKEVDSLVLHWIYSTVSDEIVDLAEQLEDVDNPTPTEAAVATVVQTGVAVVVVGRAVARASVHNGRVSAGTMDPLPTVSGTRPHLPIPHSRGMGLMPNSKVVVLFDGIGVHSPGTIKFGIYDAMHFLSLHEANHLRLPDMVLPVAGKFLGNKVGDVNI >KVH88657 pep supercontig:CcrdV1:scaffold_634:153629:156993:1 gene:Ccrd_026212 transcript:KVH88657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MADLSNALDVSAHIEDLKYLYASNVNVSNFVSVKLSGDHKYLIWKAQMLCLMESHKMRGIVDTDFDSPGAMTKEIKEQYDSLLKGWIFGSLSEDVLTTVIDLESARAVWRKLKSIYDPDLSSLQVRAETEIVTVSEIETENKGNTKRNKKLRKATVESLWWEAEAILKNDKDAATRAISNDGNTMLHLAVGIGQNDFVEKLMNFINDERGIEKKNSNGHTALHIAAIVGNKYAAELLVKKRKELLGISDHKAYVPLLSAYYNMQLNTFVYLLEATQAKQQPLPLGLYPGSGARTGINLLVTAIFTKQYDLASTLVNIYPELATIDDNVLLAIAKTFPSMLDFEKFFIYLNFCRKIARRSSLLFYSMDFLYTKAKDILWALRSSKNKYYSWLLPEMVMILLVPAAAFYPIYQLFRLLILVLYSPFFMLYFLLWKVLATVVGPIKRIEKKKKEYKEAKEILNSVCDQIDKLSFSGTHHPSYSRPILEAACQGAYEVVDEILFRSPKAIDCKNQNGHNIIQLAVLNRSEKVYNLIYHIVERKDFYRTIIDASKNNLLHLAGRLAPSRILSRTTGAALQLQRELQWRETPEMLFTREHKYLVKEGEKWMKTTAESCNITAALITTIVFAAAITVPGGSNQETGTPLFKKKIAFTIFAVSDAISLFASATALLVFLSILTARFSEQDFLVSLPRRLIVGLCTLFLSTTAMMVAFGATLFLVFCDQREWMLAPIGGLACLPIVVFVTLQFPLVVDLFRSTYFPIFGKQKYIDGGKFDPNDIQSFFGNLGVEYVLE >KVH88656 pep supercontig:CcrdV1:scaffold_634:186181:189166:1 gene:Ccrd_026213 transcript:KVH88656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MESTPNSERSVEMVDSSARIEDLKYLSASNVNVSNFVSVKLSGESNYGVWKSQMLCLMETRKMRGIVDPKFDGPDATDVETLKQYDSLLKGWIFGSVSEHVLRTVVNLGSAIAVWKKLQLVFDHDDSFPQDSDLLEQFTLTEISTVTQAENIDDIQLRKATVEGRWWRAEAILKNAKMAATEAISNDGNTMLHLAVELGQNDFVKKLLDFIDDGNEIEKQNLDGRTALHIAAIVGNTYAAELLVKKRKELLGISDHKTHVPLLSAYYNMQLNTFIYLLEATEIKHCVHSRLHPGVNLLITAIFTKQYDLASKLAKVYPELATNDDQVLMAMVKTFPSELGFREALIYPSSNKVQREIVKTSSSLFYSYEFSFARAKDILWEIRRFKSTYHSWYFPEIAAMFYPIYQMIRLLVLVLLYPFFVLYFLLWKLLANIVPPIKRIETKKKDYEEANFLLNLVCDEIDKLNFSGRCYRRPIIEAACHGVYEVVDEILFRSPKAIGFTNRNGHNIIQLAIINRSEKIYNLIRHTIKRKDFYRKIMDSSKNNILHLAGRLAPSFVLSRTTGAALQLQRELQWRKEVEKLMLPTDLIKENIDMETPEMVFTREHDHLVKEGEKWMKTTAESCSITAALITTIVFAAAITVPGGSNQETGIPLFRKEIAFTIFAVSDAISLFASSTALLVFLSILTTRFSEQDFLVSLPRRLIIGFFVLFLSTSAMMVAFCAVLFLVFCDQRAWMVAPIGVLACLPITAVVTLQFPLVVELFLSTYFSFGKQNYIDRCKSNLNNMQSFFDRLGIEHES >KVH88658 pep supercontig:CcrdV1:scaffold_634:3759:5520:1 gene:Ccrd_026206 transcript:KVH88658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L39e MPVASHTAKIVYHLRLLLYKPIAKPWQSLIIFCRLSTREGTGTGTSGRIRISAMPAHKTFMIKKKLAKKRRQNKPIPNWIRMKTGNKIRYNAKRRHWRRTKLKF >KVH88655 pep supercontig:CcrdV1:scaffold_634:148254:151301:1 gene:Ccrd_026211 transcript:KVH88655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MHRAGPMCFNGVYHLFYQYNPYGPIFTFDQMHWGHSVSHDLINWIPVDHAMAPTEPFDINSCYSGSVTILPGNKPVMMYTGVDSEKRQVQNLAFPKDLSDPYLREWIKYTGNPVIEVPDGIQPGDFRDPTTAWVSEDGKWRIIVGSRKDKDGIAFLYRSEDFISWTKSDSSFYKVAGIGIWECPDFFPVSVDSKEGVDASVLNSSVKHVLKLGLMDGKDYYMIGNYSYEKDNYAPQNELTLTTPRFDHGKYYGSKSFFDPIKNRRILIAWVNECDSEADKQLVQWPIEEIKMLHENEVCFRNKKLEGGSLHEILGITASQADVTISFKLSNLEEAEELDPSWIDDPRLICSEKDASNKGKIGPFGLLALASHDLTEQTAIFFRIEKTSYGAFVDVDPQQDEISLRTLIDHSIVESFGGGGKTCITARVYPTLAIGNEARLFAFNDGTESVVISELSAWSVKKALINVDETISDTN >KVH88650 pep supercontig:CcrdV1:scaffold_634:39397:58664:-1 gene:Ccrd_026208 transcript:KVH88650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MSLLALIFVFLFGHSLATSNDAHQNFIQCLLSSNELTTPIAGAIYSPANSSFPTVLQAYIRNLRFNESTTPKPELIVTALDLSHVRASIKCAKKHKLLMKTRSGGHDYEGLSYVADDPFFILDLFNFQSINVSIEEETAWVQVGATLGELYYRIAEKSNTHGFPAGVCPTVGVGGHFSGGGYGNMMRKYGLSVDNIIDAQLIDVNGKLLDRKSMGEDLFWAITGGGGVSFGVVISYKVKIVRVPATVTVFEVTRTSEQNVTDVAHRWLQVADKLDNNLFIRMIFNVVNDTNGVKTIRARFPSLFLGNSTTLISLMDQSFPELGLQISDCTQMNWTQSVLWYYSFPPGSPDETLLSRIPRSLTHLKRKSDYVKRPISKQGLRLIFKKMIELERPGLTFNPYGGRMSEISEFAKPFPHRAGNIAKIQYALDWSEDGVDAANRYINLTRVLHEFMTPFVSKSPREAFLNYRDLDIGVTDNGKNRFLEGTVYGVKYFKETNFRRLVKVKTMVDPDNFFRNEQSIPTLPSWRKHSLATSNDAQENFIRCLLNSNELNTPVAVAIYTPANSSFPTVLQAYIRDLRFNEPTIAKPQLIGDKTNYEGCISHGPWGGFHGRVSTHLDAIRIYVMPESLALGQNSTTKDNSMHEQEAIGVNYNIHVVQPNKMICMFVRSTIIFRGIAGYHFQPKKHGLFLSILRAVHNRTEAVCDSLLRSKVETTSSCYDDSLHRSPLYSKIGDQSNDYKIDIVDDETWQVSTGFANAWKDATAPSLVTNASHAQVANDTPRNSVDPDFDXIXDLRICGNLFYKLDRDSKEYEEYNIDFHRRKSSKXKTDXXESKAKENPNSTSGXMVENVSKRKDEIRESKMNGNPRNNYGISMFDEMEXPEGSFIEKKQRVPTFNQLTAPYHEPFCLDIYISKASIRACIVHRATSKVVAVAHSISKDMKFDLGSTRNAAACAAVGKVLAQRALADDIHNVVYTPRKGEKLEGKLQIVLQSVINDGVRVKVKIKKTKVRKAGFRSADYKRFWSIYEKPLSILEAVMMNLNASEWIRSKSEIIRILAGQPG >KVH88649 pep supercontig:CcrdV1:scaffold_634:113837:114710:-1 gene:Ccrd_026210 transcript:KVH88649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVHGLSIQGDWVGLIGHRRLRITEMDSTFNKDDDVIEATPFEVITTLRDVLKTSSLWRDHVQTYNQ >KVH88651 pep supercontig:CcrdV1:scaffold_634:105928:111826:-1 gene:Ccrd_026209 transcript:KVH88651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAPARETGGFSRVVAPLLPLHDHKLGHVEPMISSPQFFTINQQQPLKNRQKDETKRILFE >KVH96933 pep supercontig:CcrdV1:scaffold_6342:1504:4939:-1 gene:Ccrd_000974 transcript:KVH96933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MALTXAEDLRXSSELYEAVTYGMDAKVIDICAIIPKGPLHTPTVHDDTVLSLATNLKNNDLALRLLDMVPMCDSHKLTFQNTGGHTMLHETGISNKTVAAAAEMLRRAPXLLSMTNRLGETALFTAAVNGKTKIFKFLHGEVCRTTQGPELKTFLQRDDKSTILHRAILSRNYWIAHEIAVKHEHLIHEKDGDEMTPLQLLSCSPPIFSPKSFFMRMIYKVISPDFEDTSRSLPWLRRMQKEKHRYEWAMKLVKLLVKADTSWETTESWIKRVRSTVHLYGRNKSKAENELGDARKPDTPLLLATIHGCKEIVSEILKVYPQAIEHIDQDGRNLLSLAILHRRIEILDLVDNMKIQKQRVKRRIDNYGNTLLHLVGEKVDSPSEDLKGPAIVLQEDALLFERVKESCTAYDTMRLNLQGKTAEKVFFENNNKLRSDAKEWMSETAKNCSIVAVLIATVAFAAAYTVPGGPDSRTGHPVLKNQPLFLIFTIADAISLSSSLTSVIIFLNIVTSSFHFKDFEKSLFQKLYLGLTLLIISVAMMMVAFAATLILTISSGRKWTDITLYSVSFFPVVIFIFAYVRLYRQLVGAVYRAFKQMMRVGCLWYDGEAKPTASPYEPRSRHQHSGSRSLV >KVH88647 pep supercontig:CcrdV1:scaffold_635:163695:178776:1 gene:Ccrd_026217 transcript:KVH88647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLVCRSIIPKQVLQRWENSLCESLILGSQRFYCPFKDCSSMLVDDDGGEAGLNKDERDSEDVMLMELAKRKKSAWLSAYFLHFSAGTIFNMGVDKNMKELELMLFANEMKNIGQVVKEIKGSVLIRLAWKNRKVASSMIDKDGIR >KVH88648 pep supercontig:CcrdV1:scaffold_635:77337:79125:1 gene:Ccrd_026216 transcript:KVH88648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWMRPRPVPVPVPAMASSSSCLVVPDVWCGPGIGFSTDAASVDCVVSRRPVSGRGKVDGEKINLRERGSCGPRRMVHPZDLPFLDMDXGISHHQMDVFGSXHHRHVRHRSPEGLAETLCIARAHC >KVI06715 pep supercontig:CcrdV1:scaffold_6352:20494:21108:1 gene:Ccrd_014930 transcript:KVI06715 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MKRSISFSEDQVNGDGDGDGDVGKMKKKIREDLGPEMVKGMGETPETAISVLMDENLLYEVLKHVDARTLGAAGCVNRQWHRTAQDERLWELICTRHWANIGCGNNQLRSVVLALGGFRRLHSHYLWPLSKPSTSTATSSSSSTVAAAAATASSSSWPCLPPPRTIVPSKPTAVKTRWGKDEVQLSLSLLSIRYYEKMNFNNRK >KVG76489 pep supercontig:CcrdV1:scaffold_6353:4732:10002:1 gene:Ccrd_026218 transcript:KVG76489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYVVCPKTIKKPFLPYRDKNGTLIFPTGEFLGVYYSEELKYXVGIGYKVIPISGYLFERKESPFKDFVSSLFSSRLEARKDGNEALSYVYKILMNSLYGRFGINPKSTTTEVCNSKRYKHLFKKDEFIYGDKLSEDCYIVSYHVNTGKDEDYWNPPTNSAVQLAAAITANARIYMYPYISREDSYYTDTDSVVLGQPLPEEVISSSVLGMFKLEDRISKGFFLAPKSYCYFRKDGTNVIKYKGQAKSLYIQCDSNLSVLICSSITRKMVKDDFVLPAQGVIESPCGDGVRIPSVPSEFQPVVGSIFGSLEDGIEMYQSMPTLSGLIFDYQPRRQGRVV >KVH96351 pep supercontig:CcrdV1:scaffold_636:726:4944:1 gene:Ccrd_001552 transcript:KVH96351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I25, cystatin MTVFLLLDSKILDNGITPLDPNNPEVVANAKFAVEKHNEDKKEHLVFVKVVRAESKSIAGITYNLIFAAKNGSAQNLYHAFVVIDYVGQKQLFSFDRVM >KVH96363 pep supercontig:CcrdV1:scaffold_636:124312:125455:-1 gene:Ccrd_001563 transcript:KVH96363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich fold MVKNGSKMPTFCLTKIRPHVRVRSPTIQLKENADSSIKTDDHKTEDSVSHSNEQESKSEDVDTAEKPVNTVGRKVMIVVDSSIEARNALQWALTHTVQSHDIVVLLHVKKITSKQGNELPNEKPVKVPGFLCSMKNSCQLKRSDVEVEISMVEGKEKGRTIVEEAKRQEVTMLVLGQKKQLFSWRLLLTWAGRPVGGGGMVDYCVQNATCMAVAVRRKSKRVGGYLITTKRQKDFWLLA >KVH96362 pep supercontig:CcrdV1:scaffold_636:107827:113261:-1 gene:Ccrd_001562 transcript:KVH96362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGSLCSCFRAAVPDDESIDSDDDEQIQDSSGMVNRTAQAHFTSFLQNLTNKGSKKCHAEIPLMVALDKVKSKVNTISEDEEDVCPICLEEYTSENPRIVTKCSHHYHLSCIYEWNERSETCPVCSKAGTIEDRPELAILR >KVH96355 pep supercontig:CcrdV1:scaffold_636:26232:31124:1 gene:Ccrd_001556 transcript:KVH96355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHQRKPCQTIKLFLLPLLQGSTTIITRKSSVCPLPLRPDLKPIIVSDSNLIFTRSRWVHVGLSYSRWSMILLLLMLGVGFSDHESSCDSPPLMYASLSFPTLFPPTSHNVNTTTIFTLGRKIFYPEKPPKLFGRSHQVSGTRCRARRQVRFEDENDEEYEHNEEIAMLEFYSQVAKNEALLVKAVVDDEEVEILVFKVVIQFLNLSSGTSFDPTRSILPAKAVIKCIDRVKGPFDPSNIDYIEKDLTVEAFKTVVQKIKEVRDNLQSN >KVH96361 pep supercontig:CcrdV1:scaffold_636:83573:89377:-1 gene:Ccrd_001561 transcript:KVH96361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin II heavy chain-like protein MEGVSGYGGVVGMTDMPEMLHGGEYSGGNVRHPMVETTPLTVSGSFKEGGRSSGMTSRRRGSRRPSLDTDDFMNLLHGSDPVKLELNRLENEVRDKDRELNEAQAEIKALRLSERLREKAVEELTEELTKVDEKLKLMESLLDSKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKFQLRLRVLEDTLRSPNSLAPSRRQSLGGADNFSKLASNGILPKRSPSFQIRSTLSSGSSSVLRHAKGTSKSFDGGTRALDRSKLTSNGSGSPTFNLAKEIEIPSDEKPSGSSGTEIEDAVPGLLYDLLQKEVVALRKSAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQDNRAKRFGNSKGAVNSSQLLPARNVARGGLTRSTQ >KVH96352 pep supercontig:CcrdV1:scaffold_636:16425:17961:1 gene:Ccrd_001555 transcript:KVH96352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLLLLICRVRLPVELSALITMMPLHSAIASACLKSGLSLESESWGLVPQGISMPL >KVH96353 pep supercontig:CcrdV1:scaffold_636:13581:26449:-1 gene:Ccrd_001554 transcript:KVH96353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLEYERPTCTHLDLVKIKLESETIIGFKSGLKGRGQTDDFLVMMVGTKRVQGLTLDMRMVKKEKSAQSTFYGHDSRKRQRETFSDESFLILNLSYSRGLTETPDFSGLPSLEKLLLKGCVTLVEICESIAKSEDLTLLDLEDCKNLINLPRTLWKLRYLETLIISGCSSLGEFPMDLRNMESLKELRADGSTIINPLLTVARKKKWWHPFVWSKPPKNPDAVWASLPGSLVKLHLANSNLSQDSFPKDFSNLSLLKHLNLSKNPIRVLPDAVRSLGKLEILDFTSCPQLQLLVDLPSSLEALWLDDCKSLERVTSLNGLALSNLVKENCRKLVEVEGYFRLEPISKVNQEIINDLGLINVGSMGNLELYLDDGFSYYNGKRPIQGLFQFGIFSTFIPSGEVPSWFEENKVRDSSVSFTVPLDARLKCLNVCCVYGETDIDEVWSPHPAFIKVDNKTKNLTWIYCPVFFGLPDHEELAWLSQWNFGNQLECGNEATISFIVGDAFQVKECGVKVTSFNQQDDQVEREDDEIVGRDLLAFEEPDEVVDGGDLQGFEEPDEVVDGGDLQGFEEPDEVVGGGDLQAFEEADEEVVGGGDLQAFEEADEEVVGGDLQAFEFSKGRYFLFRDRFRHIIGMDDWTSTQWFGNLFGDSSDVLGNGCLLPSLLQLCSGFEIMDNLRQDTEIVSGIPPTDSNDPNVAGGFSPVDPNDPDVVAIGKFVVNTYNEDTEPIIVYEKVVTAERQVVEGTHYNIIITTKNGDILNNYRALVWVNLKGIKFLLSFEGPL >KVH96357 pep supercontig:CcrdV1:scaffold_636:46369:49110:1 gene:Ccrd_001558 transcript:KVH96357 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MGILRDDDSEDRRRTKRIKCHDHQNDYDYDYDHEFGDVEEEEESGTGFSLRLGDFFNPMIPTSIVVSDAMEPDFPIIYVNKVFESITGYRADEVLGRNCRFLQYRDPRAQRRHPLVDPVCVSEIRRCLDEDIDFQGELLNFRKDGTPLVNRLRLAPIHGDDGIVTHIIGIQVFTEAKIDLNSVSYPVFKETCKKQCDQETGKYSPKSGEMKYIESQEICGILQLSDEVMAQNILSRLMPRDVASIGSVCTRIRQLTKNEHVRKMVCQNAWGRDVTGALEHMTKKLGWGRLARELTTLEAVCWKKLRVGGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWRQVSVKSAPPGRWGHTLTCLNGSWLVIFGGCGKQGLLNDVFILDLDAKQPTWIEVFGGGGQPPPRSWHSSCTIEGSKLVVSGGCTDAGILLNDTFLLDLTMEKPMWREIPASPIPPSRLGHSLSVYGRTKILMFGGLANSGHLRLRSSEAYTIDLMDEKPEWRLLECNGFTGVGTQSAVVPPPRLDHVAMSMPCGRVIIFGGSIAGLHSPAQVFLLDPAEEKASWRLLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWVLNELHELCLASKQDVEP >KVH96350 pep supercontig:CcrdV1:scaffold_636:12006:12287:1 gene:Ccrd_001553 transcript:KVH96350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I25, cystatin MATHTRILLILLGFLFCFLYLDVSIAFQGTAIDSNIRSTDPYNQILDGDILPLDPNNPEVAAAVKFAVDKHNDDKKQLLVFVKVVRAESRAIT >KVH96354 pep supercontig:CcrdV1:scaffold_636:32679:38317:-1 gene:Ccrd_001557 transcript:KVH96354 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, UvrD-like, C-terminal MESSNGRRKCFIPGAMFGSFSFINVLSGNKELLDDDMKNMVEVAVTLKILQLLYKECASSKQKISIAVLSPFPAQIHCMQQKLENGYQNDKFFKVTVGCTHDYEGGEVDVVIISTVGVNGDECFDLNTSTPQDSNVYFKWARYCLWILGDEKVQLNRSGSIWESLILEAKARQCFFHAEEHKDLAKVIVEVKKDLHLLDELLCGDTTLFRSTTWKVLFSSNFRSSFSKIESWETKKLVLLMLLKLSTGWRPKGSSTAASVAQSRYSELMKEFKVRGLHLICTIDIGKETYYTQVLKVWDILPFHMIAELVERLDGFIGMYTQKYIDCCKARCSAQRGVEFPMKWPGMSTISRYKSQANRCDDGMFMDGANGNRFVENVNVRESLMLMKFYSLSSGAVNNIISGCDGEAIGLPFELTEQEKDVVSFEKSSFILGRSGTGKTTVLTMKLFQNEQLHHLACEGFHETIDQTNEKVKKDVLHQLYVTLSPKLCYAVRQQLDQWKRLACGGNLSAQRSSVSIHDIDKMMMSDDIPDHFVHLPHDAYPLIITFHKFLLMLDGTVGVSYFERFPYVGKQSRNKTSTSRLDFLEQNIMSMDVTYEKFCSRYWPHFNEKLTKNLDPSTVYTEIMSVIKGGLTTGDQAPNGILPREDYVALCDGRTSILDAQKRLIIYAIFLQYEKKKTEEGFFDLADLVNDLHQRLEVEGYNGDPIDYVYIDEVQDLSMRQIALFKYVCTNVHEGFAFCGDTAQAIAKGIGFRFEDIRCLFFRMFLFGAEKGQISKIFQLSDNFRTHTGVLNLAQSVIDLLCHFFPLFVDHLSPETSRIHGELPILLETDRGNDAVKTIFGRNGDGCQQFIEFGAEQAILVRDECLKEKIVNIIGNKSIVLTIMESKGLEFQDVLLYDFFSTSSFSNEWRIIYEYMKEKGHPSLASTALSSSFNMEKHNVLCSELKQLYVAITRTRQRLWICETTGFSQPIYDYWKKLSLVEVRRLSDSFADKMQILSSKDEWKSRGVKLFCEKNYNMAQVCFLKAADKNSARLAEAYHLRALADGPRASQLERKKLFKDAAMLFREIGKTELAAECFYEMEDFKSMMACVGSFCSKHEMRSFLTKKRCLAELILLEIEWGNFEEAVNVARRKPDPVVEAYLLRMGGLCKEDSSLIILWHVLSYSAIFQGTDEPVAQKNELLTKAVSIAKSDYDVFCQLSAIAKSPPTSKFESKKLFKDAAKLFREIGKNELAAECYYKIEDYRTAGDIYWSELMLEKAGDCFALAKCYVLAAEAYDKVGDFAKCLDTCADGKLFEMGFQFIGRWGECGGSELKFFDKGACYYHGVKDFRNMMKFVRSFRSKDEMRSFLTKKRCLAELILLEIEWGHFEEAVNVARQKPDPVVEAYLLCMGGFWKEYSSLIILWHVLLNSPIFQNVDERMRMKNELLAKAVSIARNDSDVLYHVCAIAGSLPASQLEREKLFKDAANLFSTRGKNELAALALVFSIRYDLDALRTIWSITIT >KVH96356 pep supercontig:CcrdV1:scaffold_636:52685:65361:-1 gene:Ccrd_001559 transcript:KVH96356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MMAARRKEQEYFESSPDYGHLAPKMGSVYLAKLLSRHLELVIRQKIPSIIALINKNIDDINAELDRIGRPIGADGGAQLYTILELCRAFDRVFKEHLDGGPSQGKYYKTGSFFRRPGGDRIYGVFDHQLPAAMKKLPLDRHLSTSNVRKVVSEADGYQPHLIAPEQGYRRLIDGSLGYFKGPAEASVDAVHFILKELVRKSMAETVELKRFPSLQASIAAASNEALEKFRDESRKTVNRLVEMESTYLTAEFFRKIHESDNNPRDDKHSNASGPNADRYNDYHFRKIGSNVSAYVGMVCDTLKNTIPKAVVYCQVREARRSLLNLFYAQIGRKEKEQLGKMLDEDPSLMEKREVLAKRLQLYRSARDEIDSVAWK >KVH96358 pep supercontig:CcrdV1:scaffold_636:151510:154324:1 gene:Ccrd_001564 transcript:KVH96358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFAIRILISSLSLTRQSQSTLRLIESFVYKYGIGFSSLHRFLEQQVYEEIRSGLMQLQLSTSPPRLLLVGCCFWCDVRLLTLGWKRSKQRSRAAAWRDNEEQPHQLAVLIIHFANEMF >KVH96359 pep supercontig:CcrdV1:scaffold_636:158948:167728:-1 gene:Ccrd_001565 transcript:KVH96359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFAKACFSIILAIFKFLFNVGGKSAVEMMKAPGRPGEMIARAVFEANPQHMDLFLDQNLVCGDRFPEMSCFISILGTVALSKTTLQVNPKPFLNNLTEKPVIMKLKWGMEYKVTRVSTKAREIPFRVSFKCTPRYHHRRFTGRFHHLHRRSCSATQTPPQAPEKSADGGETTFENVENALKQESDNRKNAPKRESENGGEGHKHAAANGAKAGA >KVH96360 pep supercontig:CcrdV1:scaffold_636:76865:78045:-1 gene:Ccrd_001560 transcript:KVH96360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin QCTSDHYLLTTFVPLNRFCSNLSFSPKSASEAKFVKHKFIVHLIRSRLKSIHIIVSDNRSSVYHQKIKSKSGIMATMESLIGLVNRIQMACTVLGDHGGEGMSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKIERGTEYAEFLHAPRKKFTDFGMLIRNA >KVG75638 pep supercontig:CcrdV1:scaffold_6363:14587:18617:-1 gene:Ccrd_026219 transcript:KVG75638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MTISLLIIFLQFITSSCSNSTVKNLPGFNGDLPFTLQTGYVYMFVFRSFFLFLLPEASFGFILCLFFMSKDTSEWEKKMQCKFSTTLWSLKETRQKTSFSVFRWWPWRFWTLFVPLSKRSIKFQFESSKRVANMIFVDLPIGVGFSYAKTWEASRSSDSLLAVQGYEFLRKWLVENPRFVSNSLYGSGISYMGIVVLNMALEVYKGNELGNQPQVNIKFIDFNSRFEYAHRLALISDDMYESTKASCDGDYVYNVLDNSLCADNLKRVDECTSRIKFEFILEPLCNVTDSRVPTCKDFTDTFIQTWVNYKDVQKALNIREGTTEKWEYVNTSIAYDLKKNNTVYYSYDVWSTIESHKQLLTKNCQALIICAWEPWFVGTQVAGYQMTYARRGSSIQFATVKGAGHALTLYKPEEALALMDRWLASHAYLSFSS >KVI01125 pep supercontig:CcrdV1:scaffold_637:79997:83971:-1 gene:Ccrd_020615 transcript:KVI01125 gene_biotype:protein_coding transcript_biotype:protein_coding description:HECT-like protein MEFLSMIPENQINHAAVKDHLHIFTSSCVLEALVMLYMSSDKRNKDCAKESIQEFITSIRFMLPQPVSSQFVPLVLELCKMLQSAAGYDDSLYKLCRGSLGVMVKNAKNYHSGDDDDDDNKVIISLQDMFPFAAELANKLSRGLVSSVESPSNFGPLRGDVNDFMAFMKPLKTAVKYQVVSPRPMLPSNSKFTDAINKAAVKYQVGSPRPILPPNSKFTDAINKIKFLYVLFDGLLTTLVACLKKMEVLVIEKKENGGWDQYLVILKELHDISKLYRGGEEYFWTNLKDFKRSLCHLIVRYAIRDEDYGWILQQKDAINFESRRHLVMLLFPVVMDENDDIHEMLINRTQLLAESFVYIAHEDPDRLRSGLFMEFKDEEATGPGVLREWFFLLSQEIFNPKTGLFVACPNDPRRFFPNPASRADPLDLEYFNFAGRVIALALMNRVPVGIVFDRSFFLQLAGKNVSLEDIKDVDPFLYSSCRKILDMDPLAVDEDALGLTFVWNVDKLGAMDVVELCPGGKNMIVNSKNRKTYVDLLIHHCFVVSVAEQISHFARGFTDIVGGENILKMFFSSLTLEDFDKMLHGSETDISVVDWKAHTDYEGYSPTDPQIYWFWKIVEEMTGEQRKVLLFFWISMKYLPVEGFGGLTSRLYIYKSKESFDHLPSSHTCFYQICLPAYPSMDVMKNRLNVITQHHVGCSFGTS >KVI01115 pep supercontig:CcrdV1:scaffold_637:28348:29406:-1 gene:Ccrd_020620 transcript:KVI01115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIWNFMADWTIALASLLYSHGVGFLIPQGTTRFLALFPIIPLFFYLPLNLTTMFLCGLTSFFISWLATFKLILFAFGHGPLASNPSLPFSHFIPIACFPIKILNPNSRIQENPKTARETAKDYGTRVLFFCIAIKIFGYKDYVHPFLVTLIYAYFVFFMLELGLALVAFVARAVTGVQLEPQFNQPQNASSVQDFWGKRWNLMVSSILRSIVYLPARKVFGHVIPQKWVSVPAVFVTFLLSGIMHELIFYYLGRLKPTWEVTCFFVIHGVCVGSEIVIKKTFVQRIKPPTMVARILTLGFVTVTCFWLFFPPFLRFNPFIRGCREVMAVLEFLKHGVMVSPSDIECPYN >KVI01123 pep supercontig:CcrdV1:scaffold_637:50328:55276:1 gene:Ccrd_020617 transcript:KVI01123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF106, transmembrane MAEELVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRTSQLPDAKIIKEGQVIIRARNLRAAANFIPAKAFRARKLYYTNEDNGLLHVPKGQAQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGFDPSKSLGAEKDGLDIVQHEWVLPKFEQRAEAVLRKRLS >KVI01113 pep supercontig:CcrdV1:scaffold_637:139516:143400:-1 gene:Ccrd_020609 transcript:KVI01113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEMDHGKLFIGGISWDTNEERLKEYFQTFGEVIEAVIMKDRTTGRARGFGFVVFSDPAVAERVVKEKHMIDGRTVEAKKAVPRDDQQILNRSNGSIQGSPGPTRTKKIFVGGLASSVTESDFKNYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEESVDKALLRTFHELNGKMVEVKRAVPKEASPSPNRNQISGFNYGLSRANSFLNAYTQGYNYNPSYGARVDGRFSPVSVSRDGYPPFSPSSYNVGLNSDSVLGMNYGGNGNFSSNLGYGRAINPMYGATSNRYVDPIGYGMGGSGGGGGGNGNGGNVSFLNSTNHNMWGNESQRFTTNSPHLSNFMAPGNGSGGIGAIWGNANSNTSGGGGGGGFVGSNLNYGNGETTIGYRRNNNNSIAPASSYGAFDDGEIGGGNSYGTGGGGSIYADSTWRSSSPELEVPGLFGYSLGGGASDDLPKNSVGYELLHRKIGIWKLIKDWRIVNLCEQDIYTYCHYSGDIKIIYIHMENKKEKKIFKLFYISFYN >KVI01112 pep supercontig:CcrdV1:scaffold_637:164748:172460:1 gene:Ccrd_020608 transcript:KVI01112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMKSLIFPSRTASILIPNLVFNPSKSLSSVSNFVPIRNPHFIPEVRSLRSPSSSFSYSSSSPDENGHCYQTEGEIHVIVGPMFAGKTTTLLHRMKSESSNGRFQARDSDNFRNVDKTLKNLCLSGRLAEAVRLLCHTGQQVDSETYSLLLQDCIFKKYYHHGRRIHSQMVVVGFLPNEYLKIKLLILYAKSGDLVTAHILFNRLLMPNVISWNTMIAGYVQKGLEEMGLSLYYNMRQSGLTPDQFTFASVFRACATLAMLEQGKRVHAVLIKNQISGNVVVNSALIDMYFKCSCPYDGHLVFDKALDKNVVTWTSLISGYGQHGRVKEVLDVFHRMIDEGFRPNNITFLAVLSACSHGGLVGEGWNYFRAMRRDYGIQPREKHYAAMVDLLGRSGRLDEAYEFVRNAPFKDHPVIWGALLQACKVYGNMDMVKIAAKNYFELEPENVGKYVVLSNAYATFGEWSNVAEIRSVLKELGMKKEPGYSMIETLAIISTSRLLAYKQLFHFGEMRSIFSFQLWMNQNVAIIKSSKDTRYGLDSIVTHDGEKLPCWPLEALSSFKERIGIEAYHKLEVIGIDEAQFFDDLYDFCIKAADHDGKTVIVAGLDGDYLRRSFGVLDIIPIAESVTKLKARCELCGKPAFFTLRKTEETERELVAGADVYMPVCRKHYVSGQGVKEAARTVLETHKLLHGDCDFVAAERRSNLNLITHHSRQVTVQPQANDFPCCLSPFTPLLLTSHPPAALLLTPVIRHQPALGSESPVTSRHSTADAGQPSSHLSSCSSPSLPSRSGA >KVI01121 pep supercontig:CcrdV1:scaffold_637:121629:127800:1 gene:Ccrd_020611 transcript:KVI01121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYDKNCPPVRSKPLVLTRAPLIRAGEASKIYNGDVMETIPTPKPTKILPTIMTHGFRTRAMTNDPVMNKISANNIDFFLPNLSFIHPPKAPPMIAPATAMLTMVTLREEIQSACEYRPFEKCDYIVRTSNETCCKFSRNR >KVI01124 pep supercontig:CcrdV1:scaffold_637:66912:74821:1 gene:Ccrd_020616 transcript:KVI01124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSYFTHSPSPTPSFISHLFIHIFSMATVEVESAANTFPEKVENIPEAPKEVEPVVVAPPATEEATEEAIADDPPAVEEPETVEAPVKSETKVVEETETEVEEEPKTETAQEQEEVKKPAEEETPVEVTAEEVPENTPAKVEEETPAAEEAEKAVEEDEKPTAVEVVATTETSCPSYFKHHLSSLIFFHIFPMATVEVESAANILPEKVESIPEAPKEVEPVVVAPPATEEATEEAIADDPPAVEEPETVEAPVKSETKVVEETETDETTQEQEEVKAAEEETPVEVTTEAVPENTQAKMEEETPAGEEAKKTVEEDEKPVAAAVVATIEVAAEE >KVI01117 pep supercontig:CcrdV1:scaffold_637:34111:38447:-1 gene:Ccrd_020619 transcript:KVI01117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQILSIGHPISSKSLPIGSSLAPSDVQSINLTRCRRQETSHSWNSLQRELKSDGRIYCLFSDNRNKDQAKKALESALGGKKTEFEKWDKEIKKREEAGGGGNGGGGWWRRWFGGSDDEHFWHEAQQVSLTLLALAVMFLIIAKGDILFAVALNPILFTLRGPRNGLRYISSQIRRKVFRSPAAKSDDVPKQELYARSSAKESVISKWASDS >KVI01116 pep supercontig:CcrdV1:scaffold_637:7229:17418:1 gene:Ccrd_020621 transcript:KVI01116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase MADGMSSFWGPVTSSHEWCEKNYVFSSYVAEFYNTISNVPCILLALIGLLSSLLQRFEKRFSVLHLSNMALAIGSMMYHATLQHVQQQSDETPMVWEMLLYIYILYSPDWHYRSTMPTFLFLYGVSFAVLHSVIRFDIGFKVHYVMLCLLCIPRVYKYYIYTQDMSAKRLAKFYLATLFIGSLCWLGDRFCCTQISRWPVNPQGHALWHVFMGFNSYFANTFLMFCRAQQREWSPKVVHFMGILPYVRIEKPKTQ >KVI01118 pep supercontig:CcrdV1:scaffold_637:40581:48989:1 gene:Ccrd_020618 transcript:KVI01118 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK, C-terminal MMQQIDSQSDPKSFTTLSDVVHQLGEKLGFDLSHKAVFIRDQINLLLFRSQSHYHNPPPPPPPPPQPSVPQPPTQVQPLPEVKTEGGVVVQDAVGKSSEKAKKGGSAGTKRRGGPGGLNKLCGITPELQVIVGESALSRTDIVKQLWAYIKKNNLQDPGNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIRLEPTKESSRKRSKVKVEPIVEPKAESTDNVPCQVIISDALANCLGTGEREMSQSEALRLVWEYIKVNNLEDPQNPVMILCDAKLRELFGCESISAMGIPDGYSRRLWKAEDGDGGGDNRRSCGRPEIETVKVTEDGDGGNREGDAGRLLGL >KVI01122 pep supercontig:CcrdV1:scaffold_637:132210:133499:1 gene:Ccrd_020610 transcript:KVI01122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MAFYSEEDSGDDYLFKIVLLGDSGVGKSNLLARFARNEFYSNSKSTIGVEFQTQKMEINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRQTFDSVGRWLHELHITILVGNKKDLKDAREVSTAEGKSLAESETLFFTETSALDSSNVNAAFQTIVKEIYDILSKKVIQSQELKKDPSVGSRKTVVLEANQEKKKEEQPKKAGCCSS >KVI01126 pep supercontig:CcrdV1:scaffold_637:95877:107397:-1 gene:Ccrd_020614 transcript:KVI01126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1665 MKKEARLAPLFLSTLKSCPSLELKDARLQASCTSIESRGFEPRQGIRVMAEEVQMQEVEKGPRKRKIGHKKGKGKKKMKVNQGSGQKVKMDGKMKKLFRKKARDYNSDDSDDANDVNEEEPSPPMKFEEKQSHDENEEADEKSAEEEADVEEDMGNDDISDDEEGIIEHGIMKFSEGSTSFKKAFKKIIKRSGSDDVLGPVLSAYKKLVVKKLAEEADERKVKGDAKKEKTLERKDMSSLILSQSRTKNYSLELLQREVNKAQSSQKGLNPSRLKDAKVIQKRRKEAFFSELGKTPSGSNAMVASGGDGEGPAWAPLRDNYMLTTAKLKDWDKAADATDDFGRQEDSSSDDDD >KVI01114 pep supercontig:CcrdV1:scaffold_637:180654:189953:1 gene:Ccrd_020607 transcript:KVI01114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGQVVIGPPGSGKTTYCNGMSQYLQLIGRKVAVINLDPANDSLPYDCAINIEDLIKLSDVMNEHSLGPNGGLVYCMDYLEKNIDWLEAKLKPLLKDHYLLFDFPGQVELFFLHSNAKKVIMKLIKKLDLRLTAVHLVDAHLCSDAGNYVSALLLSLSTMLHMELPHVNVLSKVDLIESYGKLAFNLDFYTDVEDLSYLQHHLDQDPRSSKYRKLTKELCEVIEDFGLVNFTTLAIQAYGLLDSNFTTAAVQEKYMKDDENFDSDD >KVI01119 pep supercontig:CcrdV1:scaffold_637:109533:111116:-1 gene:Ccrd_020613 transcript:KVI01119 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561/ferric reductase transmembrane MGFGLKNIFLLFTIMAAMFSSSSSAQSCSNYAFKTNNKVFSACNDLPVLNSFLHYTYNPSSKTLKIAYRRTNIDPSKWVAWAINPTSKGMVGSQALVAFRQSNGKMRVYTSPVTGYSTQLPEGDLSFPVSELSAAYSDKEMIIFATLGLQNVSIINLNQVWQDGGVSGNAPMAHVTSGDNVKSMGTLNVLSGRSGSAGGTDPAWFYLHVTCQTLAYIIGVAGWATGIRLGTQSPGIQFTSHRLIGIILFCVATLQDHKFRIYWNIYHHSLGYGIIILGIINIFKGFDILNPEKKWKRGYTGIIIVVAAIAAVLEAYTWVV >KVI01120 pep supercontig:CcrdV1:scaffold_637:117022:122684:-1 gene:Ccrd_020612 transcript:KVI01120 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MLLAFSAGIRGLLFGYDTGVISGASLYIRDDFEAVEKHTWLQETIVSMAVAGAIIGGAFGGWMNDKFGRKKSILFADILFITGSLVMALVLNPWVIIVGRIFVGLGVGIVSMTSPLYIFEASPARIRGALVSTNGLLLTGGQFLSYLINLAFAKTRGNWRWMLGVAGVSPLVQFILMCFLPESSRWLYRQETEGSMGDGVFSKLKSAWSNKVVRRGLYAGITVQVAQQFVGINTVMYYSPTIMQLAGFASNRTALALSLITTCLNSFGTILSMLFVDRFGRRRLMIVSMIGIISCLIVLSIMFFQASVHTPPYKPGECLTVDTVTRGTCRAQNRTWYTYGCPSKFGIFTVLHLGLYILSYAPGIGTVPWIVNSDIYPLRFRGTGGGIAVVSNWNLIVSETFLTLTETLGSAGRFLLFAGFSTVGLVAIFFLVLETKGEGARKRI >KVI03288 pep supercontig:CcrdV1:scaffold_6371:930:4691:1 gene:Ccrd_018415 transcript:KVI03288 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSTTVFTVADHRRPHRRRPPPLSPLQPPQPRRLPPPLLSPLQPPQPRCCPPPPLSPLRPPQLRRRRPAPASLFRPAPLSLFALSSSSQESLHEDFESLKDVFILEEGTRDGLLGCWVVATVAGTTGSPCGTCKCLRRKCTDGCIFAPYFSSEQDPARFAAIHKVFGASNVSKLLHHVAVADRCETVVTIAYEAQARIRDPWD >KVG74695 pep supercontig:CcrdV1:scaffold_6376:18669:19372:1 gene:Ccrd_026220 transcript:KVG74695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLDLKKTILWRVSLLRRCARSLWHQILACWIGKSIRYRHLLHSGSGPLIPTSHRCPRRPRTTTAMDVATVYHQFPSVEMTASSGDGFASGEMTSSCGGSDHDKEGSDLVALKISLLGDQYIGKTSFLGEHAHGEEEECSGEEEECSWGRGFWGGEGVKEFWKSGGVE >KVH88646 pep supercontig:CcrdV1:scaffold_638:133238:138787:1 gene:Ccrd_026222 transcript:KVH88646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINNGKTWSEQPESILNSRSPRRENSNILAEWSRISKSGMQHTCYKRLKDLIGLSKLTAVNRQSMRNPSTSLSKGPCCPSANWLVSNKSHEELKTVKFRMGKVLKRDFTEKK >KVH88645 pep supercontig:CcrdV1:scaffold_638:125255:139495:-1 gene:Ccrd_026221 transcript:KVH88645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MAAVKGLYGSLDEFLKQCSQSGDSAYSAFRSLLERLENPETRTEARVFFAHLQKKLDSDGASQYCLDTYHFQIQDIYLERNEGYQKRKKLTMMVIPSIFMPEDWSFTFYEGLNRHPDSIFKDKIVAELGCGNGWISIAIAEKWLPLKVYGLDINPRAVKISWINLYLNAFDENGQPVYDHEKKTLLDRVEFYESDLLSYCRDNHIELERIVGCIPQILNPNPDAMSKLITENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGIDVIKPMGIMIFNMGGRPGQGVCKRLFERRGLRVNKLWQTKILQASDTDISALVEIEKNNPHRFEFFMGLVGDQPICARTAWAFGKAGGRISHALSVYSCQLRQPNQVKKIFQFLKNEFHDISNSLDLSFGDDSVADEKIPFLAYLAGVLKDNSRFPYEPPIGSKRFRDLIAGFMKTYHHVPLSADNVAVFPSRATAIENALRLFTPRLAIVDEHLTRHLPRQWLTSLEIEQKKDNRTSADEITVIEAPRQSDLMIELIKKLRPQVVVTGIAQFEAITSSAFEHLLRTTREIGSRLFIDISDQFELSSLPSSIGVLKYLARTPLPSHVVIICGLLRNQVYTDLEVAFVISEEKTIFDALSKSVELLQGNTALISQYYYGCLFHELLSFKLPDRHPPAERQVEDVKSSEMIGFSCSVISVLSQAELSVRETDKSALIHMDVDQIFLPTPTPVKAAIFESFARQNVTESECDVTPSIRQFIKDAYGFSADYSAEFIYADFPLALFNKMVLCCIEEGGSLCIPAGSNGNYVSAAKFLAAKIVSIPTQAETGFKLTEKQLTSVLETVSKPWVYISGPTINPTGLLYSNEEMKSLLTVCAKYGARVIIDTSFSGVEFNLKGWNGWNLDGILTKLTSSGKLSFSVCLLGGLFFKMPTGGLAYGFLVLNHRFLADAFHSFSGLNKPHSTIRYTAKKLLDLREQNVGDLTDAVEGQGKLLATRLNRLKETLKSCGWEVVEACGGVSVIAKPSAYLGKSFKLEKDGSTWEAKLNDTNIREAMLRATGLCINGASWTGIPGYCRFTLALEDGDFDRALDCIVKFRQVVK >KVI07646 pep supercontig:CcrdV1:scaffold_639:60264:62849:1 gene:Ccrd_013991 transcript:KVI07646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MILHLSTSPSLTGLHAGPSLRPAKSPDSRCRASWQELAGVLVFSAIPFTAVKAIANSPLGETLQRRMEDKKKVAVKNASLNKTLAQKAKKDSLWYGEERPRWLGPIPFEYPTYLDGELPGDYGFDIAGLSKDPASSKRYYNFEILHARWAMLGAFGALIPELLDLVGAFHFVEPVWWRVGYSKLQGETLDYLGIPGLHFAGSQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGVLFDPLNLSGDPVAFQELKVKEIKNGRLAMIAWLGFYGQAALTGKGPVENLVDHISDPLHNNIIFMLGSLYE >KVI07635 pep supercontig:CcrdV1:scaffold_639:145356:157865:-1 gene:Ccrd_014001 transcript:KVI07635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDKKSSSPVSDVGAWAMNIISSVGIIMANKQLMSANGYAFTFATTLTGFHFAVTALVGFVSNSTGYTASMHVPLWELLWFSIVANMSIAAMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKHYSKEVKWSVVVVVIGVGVCTVTDVKINAKGFLCACVAVLATSLQQISIGSLQKKYSVGAFELLSKTAPIQAISLLVLGPFIDYYLSGNLISDYIKSITSGAIVFILLSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWVLFDSQLTLKNILGMLVAIAGMIIYSWAVEAEKASSKVTTHTKHSLTEEELNLLKEGLENIPIKDYELGESK >KVI07652 pep supercontig:CcrdV1:scaffold_639:6823:14816:1 gene:Ccrd_013985 transcript:KVI07652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAFQPSDRPGPSSGSSSSSGFQHMSSPFGDTTLTKVFVGGLAWETQSETMRQYFDQFGEIMEAVVITDKNTGRSKGYGFVTFRDPESAKRACVDSAPVIDGRRANCNLASLGRPRHSLPFGHIRSPTPFAGGMPAVRGPYFGNYGYQQPVPFSYQPGLTYPSYTYATYGPEYIYPQGIYNPYVGQQYLQIYGVPGTVNTQVYPYNQMGQTAPTGGYTALQSYTLPGQQIIQYGGPGVNGITTPSIQTIQAPYPTGRCCGTYSRTTFYGSCSTSVHSRRRFWTKCRQDLEQQYCYLSGGLGSSLASYXFCILEVILEREVKVIVRVAPVVWRNSNSMRIASLLNHTTTMACSTSVMDDW >KVI07642 pep supercontig:CcrdV1:scaffold_639:112599:115725:-1 gene:Ccrd_013995 transcript:KVI07642 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5, heme-binding site-containing protein MELMVVTLIIGFLFSLLFIAPRFSKSVFVCMECLDLFNLWWYLLNVDQTEKVHSDANTKTVRAYNKAEVLLHNKRTDCWIIIKEKVYDVTSYVEEHPGGDAILVHAGDDSTEGFFGPQHATRVFDMIEDFYIGDLEK >KVI07648 pep supercontig:CcrdV1:scaffold_639:160926:183360:-1 gene:Ccrd_014002 transcript:KVI07648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination, Spo11 MGWLRFSEADRIFNCLNHPDTAHSIPVHVEEVKGYLCTLWFLCTDIISVADYILVVEKESVFQRLANDCFCKTNRSIVITVSVSSVELLQRNQALTISTYRAEVIPIFRQGGKDLFICILYQNTDQSNFNLIIIICTCVYFSSRFLRVLIEQLHLPAYCLVDCDPYGFDILTTYRFGSMQMAYDTKTMRLPEIQWLGVFPSDAEKYSVPQQCLLPMTSEDRIKTEAILNRCYFQRVVPEWRVELQLLLQSGVKFEIEALSVHSLTFLSKEYIPTKIQESPKPSILPTSEAMFFFEHCHNQYITPRLVSAWAELKNMAHARRVFDQIPDRNIAPWNAMFKGYIGNKMYVDALLLFGHMININVKPDYFIIPMVLKSCVNLLALRDGERVHCFVVKIGFKSNPYVGTTLIDMYCSGGMIGYAYKVFSEIGSRNVVTWTSMIRGYISCGDVSFARQLFDLAPDRDIVIWNTMVSGYIECGDMGAARKLFDVMPNRDLMSWNTLLNGYANNGDLEGCEKLFKEMPERNFFSWNGLIGGYAHNDRFMEVLDAFKMMLNESDVQPNDATLVSVLSACSKLGALELGKWVHVYATNSGYKGNAYVENSLIDMYAKCGVITSAVDVFMGMSRKDVISWNTIINGLAMHGHGFDALRIFHEMKRAKQKPDGITFIGVICACSHMGLVKDGFNYFYSMVDEYSIMPQIEHYGCMVDLLARAGLIEEAVEFVKKMPVKPDNVIWTNLLGACRSYKNINVAEVCLERLIELEPENPSNYVMLSNIYGEGGRWDDVARSKVAMRNTGGKKLPGCSLIEVDDGVVEFYAFDERHAKTEEIYTVLRGLMKEAHKQH >KVI07650 pep supercontig:CcrdV1:scaffold_639:50021:52240:-1 gene:Ccrd_013989 transcript:KVI07650 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MEDQIDWDDLFDDTFFDSSSILPTSSPDHGDNHFPLSLHDVEQLLLKDNDEIPTGDAFNSAQETPDGFFHDILLDSPLDGERSGEVVDVSDGDNSIDEHIHKAIDDQKIEAVDDHKEEKQNSDDDPNDPLAKKRKRQLRNKDAALKSRERKKMYVKDLELKSRYYEGECRRLGSLLQCFMAENQALRFSLHSNKAYNASMTKQESAVLLLGIIPAVGFPALVDRQCLSARPSPPPKSTPTSERRKSTKSKKSGSGEAGKSKKV >KVI07638 pep supercontig:CcrdV1:scaffold_639:134396:138182:1 gene:Ccrd_013999 transcript:KVI07638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0047 MQSSAFSFSSAVPFRNSATCSFRVTPTTLPDSSTMAAPKWAQKTITLPPHNRGCHLITPKAHHSFTFFYPIANIITHCNLVIILKEIGEDLSGFKCGLANLFLQHTSASLTINENYDSDVRADTETFLNRVVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGQLNMGTWQGIWLCEHRDAPTARKVVVTLNGI >KVI07641 pep supercontig:CcrdV1:scaffold_639:101742:102392:1 gene:Ccrd_013994 transcript:KVI07641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGGCFSSPDHQSVVSNTNSALVISPTGELRPYSTPVFVSDVLQSHPSFFLCNSDNLSYDQLITPLDAHDELDAAQIYFLVPISKLHHRLTASEMAALAVKASLALNTTNISLSRTPSSSTSSKPTPTTHKRKSKSKISPFVVMETSKINVIVDQSKRLVETTTAKQQIGVSRSGSIRRIQRYSSRKARLAVRSFRLRLSTIYESESSDQSQSHKYY >KVI07649 pep supercontig:CcrdV1:scaffold_639:197603:204393:-1 gene:Ccrd_014004 transcript:KVI07649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein strawberry notch-like protein CFAPEKKGPCNFRTTLDADHAIQQFGRTRRSNQTSAQVQDSLPVVLPNCSSENPAIIRDFIEKGKDALVSVGVVRDAVCYSSQFLVALFAIGKGKDSGMFSSRIVDSDMNDVGCFLNRLLGLSPEIQNRLCILISTLWFFCLVLWCLVALVISCRLFELFVSILDHLLQLACLEGQLDTGIVDMKANTIELQGTPKTVQQSYAWASTELFMFVIDHVITWEVLVLKSVLVLEEAKADISVQMAMMEYDLSIFPGKDDTLMLMTEMQSDSATSALLSEKQRDVSGSSDNEFNESKREWLGRRHFHLVVEGYQSLV >KVI07654 pep supercontig:CcrdV1:scaffold_639:14888:20089:-1 gene:Ccrd_013986 transcript:KVI07654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDDIPGRGKQPVAAGQPYFISDALIVGNAFSIFYTIMYPWLTRGWLPQPLLLPAEVYKVGVTHYFSYLKAKEELGYTPITTPQEGMAATISFYKERKRKSLDGPTIYEWFFCVFGMSAVIAAAFFPDIGPIPLLRSACLFILRSMWALRLLATWATLMHVGEAIYAWRLAKRVDPVNSRGWFWQTFVLGFFSLKFLLKRAKK >KVI07645 pep supercontig:CcrdV1:scaffold_639:53399:58144:-1 gene:Ccrd_013990 transcript:KVI07645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mad3/BUB1 homology region 1 MEENVPTITMPLFMDPETEFLASKQVTGNEWELFKENVRPLKRGRNVKLLNDSLKSHADIQIRNSLIDTRRKLIKAIDEYEGEDPLQPWLDCIKWIQDAFPPGGDCSGLVVIFEQCVRTFWHEEHYKNDLRYLKVFLDTNKIGETHSIFYITYASHLESKNKIRTANDIFELGISRNAQPIEKLKSAYKSFFARTMNRPRASEEELMDTRQPVRSFGTILARKDSGNRTLESSDIARKRPKQDGPGASLKVFKETSTMARSSMHQSEPPKSDLKPWHTLGARAERNKENNAIPAMWTSNKIPQRPVHRIGGATAPPCIEVFVDEECSEPHNVSSEGGRSSALQLRNKDGSDLKKETELLRENPLRHFPPSSLR >KVI07653 pep supercontig:CcrdV1:scaffold_639:25286:27049:-1 gene:Ccrd_013987 transcript:KVI07653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MALFSSSQPSSLFLFFLALFAASAFARQFSIVGYAPEDLTCIDKVINLFESWLLKHGKLYESLEEKLHRFEIFKDNLKHIDETNKKVSSYWLGLNEFADLSHEEFKSMFLGLKGDLPERREPSSEEFTYRDFVDLPKSVDWRKKGAVAPVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTALSEQELIDCDTSFNNGCNGGLMDYAFSFIVRNGGLHKEEEYPYIMSEGTCDEKKDISETVTISGYHDVPQNNEDSFLKALANQPISVAIDASGRDFQFYSGGVFDGHCGSDLDHGVAAVGYGTSKGVEYITVRNSWGPKWGEKGYIRMKRKTGKSEGMCGLYKMASYPTKQH >KVI07640 pep supercontig:CcrdV1:scaffold_639:122803:129024:-1 gene:Ccrd_013997 transcript:KVI07640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase D-related protein MAVLLLTSSVLLAILFTFSAAAPPQPLISRIAFGSCANQTSPQPIWDAIVNFKPQVFIWLGDNIYGDIRRPFKFFGNERTIGPWKNVPRFVPSSEDEMRSKYNIAKTNHGYSRLRKISKVLYLPQKVIGTWDDHDYGLNDAGKEFKQKDTNQRLMLDFLDEPQDSPRRKQAGVYASYTFGPEGREIKVILLDTRYHRDPLRSDGTILGTAQWTWLEKELNEGPSAITIIGSSIQVLSNLSACTGPLFYMESWGRFPSERKRLFSLISDSKRDGVFFISGDVHFGEITRFDCATGYPLYDITSSGLTQAVEKVIPSFLHFVLQFLAWLTPTTMRVMNKNCKHKSCTYGQPNFGVIEVIWDANPVSLRFEVRGVSGEAVNSVSTSLSELRARNPHMKAGDRKHCSLEVDLPWVVRRRLAILFSSFVAGYSHRGSVYVLKG >KVI07643 pep supercontig:CcrdV1:scaffold_639:64056:65042:-1 gene:Ccrd_013992 transcript:KVI07643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRSSFRRSQTFQPTNSDPFRVTNAVPPRKSLSIEFPTSPDQSLFEEQMNHFTHPHHPISLVNLQEAFTCSGCKERGAGKRFACQKCDFQLHDFCALSPPFLKAHPLHLQHQLVFHSKPKTGGIRWPTCNVCGKSTRGFTFRCSFCHFQMHPCCAMLSDQINYPSLHNHPLYLLPSLASSDDQLNFICRYCNRKRSGRLYSCKVCDYHLHAVCAKDLINGLKVNGIKNSEKASILGPAMRFASQAVAEFIGGLIDGIGEGVGEALVQDVARSTGGRNRTPRI >KVI07651 pep supercontig:CcrdV1:scaffold_639:41841:47916:-1 gene:Ccrd_013988 transcript:KVI07651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase domain-containing protein MESDYGVPRELSHLQKTRSLYQPQLPPCLQGTTVRVEFGDGTTVADSSGAHAISQSFPHTYGQRLVHFLRAAAKVPGAQIISVHPAMRVGLVFCGRQSPGGHNVVWGLCEALKIHNPKSTLLGFLGGSEGLFAQRTLEITDDVLATYKNQGGYDLLGRTQDQIRTTEQVNAAMDACKALKLDGLVIIGGVTSNTDAAQLAETFAEAKCSTKVIGVPVTLNGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVIIGEEVAASKLTIFDLTKQICDAIQARAEQEKYHGVILLPEGLIESIPEIYALLQEIHSLLRQGVSVDKISTQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAELVEAEMNKRQKEGSYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATVTNLKNPCNKWRCGAAPITAMLSVKHDGGGSRAMAIGKPLVHPATVDLRGKVYELLRQNARKFLLEDVYRNPGPLQFEGPGADSRAVSLCVEDLDYMGRIKELNEYLEKVRSIVKPGCSQDVLKAALSAMSSVTDILSVMSSPSKSGSAA >KVI07637 pep supercontig:CcrdV1:scaffold_639:130716:131761:1 gene:Ccrd_013998 transcript:KVI07637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHENDISTYKLYLYPCGFFCSRVKSSDNISWSDDKLQFTFHQWENIPANDEDHVHLTKELLGSCDSIEWQRRQFRLQQEILHGLASMKLSLEKEGDEPALPGLRFFMYLIKRFLSNLLCFAFYHHWLNVGNVKKAVGGKDLNGKSISNKIGERXXLMRVPHSKQQXRTPQYIAGENDDFISSESXRQMLLIKYMNYPLFLSFGFHLHDSLYMLSTLVDME >KVI07639 pep supercontig:CcrdV1:scaffold_639:118876:121787:1 gene:Ccrd_013996 transcript:KVI07639 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MLKDSTPTFQGGSGHHISPTPSNSLSPMTPSFSSISSDATPNAKSPVELLQQITSIEIEILHLERYLLSLYRTSFEHHLHSSETHTMPSPCQIETHSHLLSVADQSCDKVKPDACLSGYHCRISPLQKGISGSNDQLGSSVPMSSSRKERTSGNRTHRSLGDHFGTPCTDGGPDRLSEDIVRCISSIYCKLGDPNQSHQGPSESSASSLSSCSTVSTRNLSDTWSPFCNEDSKYDGLKDERGPYADMVEVLKIGLDDDGFNYAEKMLKHFRTLIKKLEKIDPGKMKREQKLAFWINLHNALVMHAHLAYGTHDNSRSNSILKATYNVGGECINAYIIQSSILGIRSHFRASWLQSLLSPGRKLTTVPTQHVYAIEYPEPLVHFALSLGTFSDPAVRVYKAQNVFQDLRLAKEEFIRSTVYIHKESKIYVPKILYYFAKDMELTVPGLLKMVNACLPETQQRAIKRNVKGKPDKYICWLSQSCIFRYVIHRDAIDDGRLPL >KVI07636 pep supercontig:CcrdV1:scaffold_639:138654:141254:-1 gene:Ccrd_014000 transcript:KVI07636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRLKTQTIKSRHVVWQRQRRSCVLCTTSINKQRALNSKATTSSSCSTTISERKAAAGVMMSHKYQPRLFQDIVGHKIVVKTLSNAIHHKKIAPLYLFHGPNGTGKTSTATVFAMALNCQSTHHTKPCCICKPCTSIETTPTICSANTIAPAFHKIKTTSSFLTTQILIIEECHLLTSEAWNELMTLVDANSSLVFLLITTHSINIPDNILSRCHKFCFPKLKDEDVTKKLSKILLHQGMKIQKQAMKLIVAKSQGSLRDAENILDQLALLGPTINTSVTQHLVGLIPQNKLLDFLAVAISGDTINTIRYAKNLSAYVEPASFLSQLATLITNVLSEGAALDSSSPHKKLLSRTHSARLCYILKLLVETERKLQSSNDQTWNIIAAFLDITSVKASSITLPRSANSSSFSEVTLHSREPHRSVKGKDGMKNSSRASLSDMEKLWKDVLEGIESSHTQKFLRDQVKLASLSVSRTNAIIHLTFRRPEDKMAAEVSEESLAKALEVAIGCPVTLHMSLEPLTLGHVGTTTILSGRHSLYSQQQNATESGLNRNDESLIIGQPSAILPEGLRLTKSKSCSTSQRPHRYSSKGRDLNTVQLQNLTRVSENPTPECHLTTKDPQRRDLILAGDSKQIMRANRSRHRWLSLSSIPQSDASVERYSQDVIYENSNKDGDDTVRKIPKFQKDNQILHGVALTHAVEDVRKSES >KVI07647 pep supercontig:CcrdV1:scaffold_639:192078:192542:-1 gene:Ccrd_014003 transcript:KVI07647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MSSVVTDEIRSSASEVYHGDKICRQKTKVLLTEVGLPNGLLPLEDIEECGYVKDTGFVWLKQKKKKEHKFEKVGRLASYANEVTAYVEKSKIKNLTGVKTKELMMWINLSEISVDDPPTGNITFKAPTGLFRTFPVSAFQVEDDDEQKLQVKEV >KVI07644 pep supercontig:CcrdV1:scaffold_639:85610:86233:1 gene:Ccrd_013993 transcript:KVI07644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGACLSATTHSIDRSTICALVISVKGELRNYPTPIFVSELLQFENPSSHPSSFFLCNSDNLYYDQHISALHLEDELDAGQIYFILPKSMLGRRLGASDMAALAVKASVALDSNAITNSSQQPKSRKNNNKSRISPLENSHMNVTVEEEIENKNYNASLGVSRSGSIRKVPRYSSRKARLAVRSFRLRLSTIYENEGGSDDQLQANVM >KVG73841 pep supercontig:CcrdV1:scaffold_6394:3089:4606:1 gene:Ccrd_026223 transcript:KVG73841 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEPLSAILLSVAPYILSITAFLILLEQISYLNKKRSIPGPTLVLPFVGNAVSLVRNPTHFWDLQSAMAKSSGIGFSANYIIGRFIVFISSTDLSHKIFANVRPDAFHLVGHPFGKKLFGDHNLIYMMGQEHKDLRRRIAPNFTPKALSTYTEIQQHIILKHLKSWMESPESSTKAFPLRLLCRDMNLETSQTVFVGPYLSGESRKRFEVDYNFFNVGLMKLPIDLPGFAFRNARLAVSRLVETLAVCAEDSKTKMKSGNDATCLVDFWMQDTIREIAAAEDAGEKPPPHSSSTEIGGHLFDFLFASQDASTSSLLWAVSLLDSHPDVLERVRKEVSGIWSPESEKLITAEQLREMRYTEAVAREVIRYRAPATMVPHIAGEDFPLTETYTIPKGTIVFPSVYESSFQGFIEPELFDPDRFMENRQEDRVYKKNYLAFGAGAHQCVGQRYAINHLVLFIAMFTSLFDFKRERTDGCDEISYVPTICPRDDCKVVVSLRCTRFPSLS >KVI03948 pep supercontig:CcrdV1:scaffold_64:588154:592537:-1 gene:Ccrd_017769 transcript:KVI03948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MGSLRFPFSFSQPATTRFPSGAFSPGSIACSVAVSAAIGAGISAGISISQNSTNPSLHSALNSFLSNFSRSSPLWGSLSLSDSSAAVVTESKTGFSFPAVLKDSQGLLGIGLRRKAVFGLKNIDVYAFGVYADDNDIKNFLSEKYGTLLTNELKQKEVTQDLMESDISVTVRLQIVYGKLSIRSVRNAFEDSVGSRLKKFGGSENKELLQKFTSQFSDEYKIPRGSIIDLSKEKGYVLRTTIDGKEVGSVQSQLLCRSILDLYIGDE >KVI03958 pep supercontig:CcrdV1:scaffold_64:360871:363998:-1 gene:Ccrd_017754 transcript:KVI03958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G02980) UniProtKB/Swiss-Prot;Acc:P33487] YYCIGEISQKGDAWRRRRFHAHRREREREREMAELTVILYMLTISLFTATVSASPCPANGLPLVRNISELPQDNYGRPGLSHITIAGSLMHGLKEVEIWLQTFAPGTRTPIHRHSCEEVFVVLKGSGTLYLASNSHAKSPGKPEEFRIFSNSTFFVPVNDAHQLWNTNEEEDLQVLVIISRPPIKIFMYDDWLVPHTAAKLKFPYLWDEQCYQSTLKDEL >KVI03942 pep supercontig:CcrdV1:scaffold_64:515872:520273:-1 gene:Ccrd_017763 transcript:KVI03942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype LWPPSQTTRQVLVDRIVKNLTTPSILSRKYGLLSKEEAEEDAKQIESAAFSAANQHFEKEPDSDGASTVQVYARESSKLMVEVVKRGPRPKEDRDIIPDLLSDHESVFDISGGRRAFIDAEEAKELLKPLKEPENKYTKICFSNRSFGLPAAHVAASILSNLKNQLTEVDLSDFVSRRPEPEAIEVMRMFSSALEGCDLSYLNLSNNTLGERGIRAFGDLLRSQENLVELYLMNAGGVALAEALATCTLLKKLDLRDNVFGTEAGIALSRGLSGHANLIEIHLGHLNLEDEAAIAILNALETCPSSIEALEMAGNAITSEAAPAIAACITSKKDSLTKLNLSENELKDAGAVAIGRAVAVEEEFGRLMMVDLSSNGIGSGGARILAKAVAGKPVFRLLNINGNFISNKGIEDVREIFQNSPRMLGPLDANNPDGEDDD >KVI03934 pep supercontig:CcrdV1:scaffold_64:243412:247324:-1 gene:Ccrd_017745 transcript:KVI03934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQVFGFGLLPVLPSITTLSTFSTSSNLCIPKYPCLLPVVCRSKKTEDELLSELPIEYHDDEWQARQREKTKELERLRQEEDEEEDRKVDEYREIAMLLKGYPQEEVFKAKKLVSSFIKSAEEIEEKIEEAAEKGELNELVLMVIWTRLDLARRDEEKDAIRSLDLIYRRVETEILKKQASPAMRLLNELLIMHDGFDDEGWLKACKKCMIKTFPREDPFSLLVPAGFDLDKMEADDLLLRIDFIREVDELLKEVRPAETEGSMVEAFDPESVANRLKQQEKKKVVRQVEALLDLAIKLRW >KVI03966 pep supercontig:CcrdV1:scaffold_64:107:361:1 gene:Ccrd_017729 transcript:KVI03966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore localization protein NPL4 MVTMRNLKNHLDRTKNFPFVKRISDFHLLLLLARYLDVNSDVPALAGCVHAQATIPEGYQILIDSMAASA >KVI03927 pep supercontig:CcrdV1:scaffold_64:181956:182360:1 gene:Ccrd_017739 transcript:KVI03927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MMKXSIIAQSLIGLFVIFKVVQTAPDTNVISVLCNSGIYTRGDPFTISLAYVLDELKRVTPSEQGHHDYHNISPYPNAFAYGHAFCNQSLTGTDCKTCLEAAEVDMLDACINRIGARAMLHDCAIRYEQYPFED >KVI03924 pep supercontig:CcrdV1:scaffold_64:601765:609134:1 gene:Ccrd_017770 transcript:KVI03924 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEDSLLSSSSMAKRPKPPSSPHLLPDSDHFTMDALLSSFLSLSDSPSIHTSFDRLIQSTDSDSDQNHLIQRALHLGSLLLEAANRSARRCSSSHNAVVWPLSPDLTIKVFSMLDTQSVCYAAATCSFFQKCAMDPLCFANIDLATLVPKVNNAVVSTMIHRAGVALKSIKLGVLPPIPAPPFFSSQPLVYSIRNSNDASGFSWNDKRSRQGRESSILTRSCLNSLSGNGGAPGAHLRRLHLYNIERMDNTALLASLSACPSLLDLEIVGLHVELRHTLESVSKHCPLIERLVFESSKTGRDDGLKYPTCNEFVLNCPNITTLALKGFKLHDYKARMLVKGLRKLKHIDLSTSYSFTGAFLNFIPIKELLEQRPDFCLVAEFPKGSYFDVEQLTESDVSLSLSSGSHSSSHTAYHSVFMNTSDGSYNSDHGSGNEDSIESSFIIYEENSD >KVI03961 pep supercontig:CcrdV1:scaffold_64:409206:414575:-1 gene:Ccrd_017757 transcript:KVI03961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTESTVVDETSAPLIAQHEEKKEKNFMVYISTFVAVCGSFAFGSCALRISTAFCTAGWLAIYFAQLMICAGVSVAFIIGTMLAWRTLALTGKQKEFEAALRKLRGKDVDVSEEADEIQIGVGLMVCQQFGGINGICFYTSSIFESAGYRKFYLKILE >KVI03943 pep supercontig:CcrdV1:scaffold_64:504009:506605:1 gene:Ccrd_017762 transcript:KVI03943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKESKAKELNAATETEGAQGNGSCEDNPDSLTYKSLYLDSQNKYEKINRSLGIMNPRMEKAVEAFTCYFLGGDGSDAGSSARSGAGLENQILKLMSRK >KVI03932 pep supercontig:CcrdV1:scaffold_64:267263:277955:-1 gene:Ccrd_017747 transcript:KVI03932 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MGRACIETSRPSSSSSSSSPPAVTTSTSITETVNGEHDFRISGYSLSKGIGIGKYVASDTFMVGGYSWAIYFYPDGKSLEDNANYVSLFIALASDGSDVRALFELTLIDQSGKERHKVHSHFGRALESGPYTLKYRGSMGYKRFFKRSSLETSDYLKDDCLQVHCCVGVVKSHTEGPKSYSIFVPPSDIGQHFGQLLECGKGTDVSFEVNGETFAAHRLVLAARSPVFXAQLFGPMRDQNIRCIKVEDIESPAFKTDGFDYLKESCPHVLTELLEHVARINEHSLPICTHPNAIILDGSDVHGRRLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQEKFPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >KVI03929 pep supercontig:CcrdV1:scaffold_64:86980:88581:-1 gene:Ccrd_017735 transcript:KVI03929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MAGSNEINANEAKKVVPLHTWILISNFKLAYNMLRRPDGTFNRELAEFLDRKVVANTVPVDGVYSFDVVDRATSLLNRIYRCASPENETSHHSGAGIIELEKPLSTTEIIPVIIFFHGGSFTHSSANSAIYDTFCRRLTGLIKGVVVSVNYRRSPEHRYPCAYEDGWEALKWVHSRSWLLSGKDSKKVHVYLAGDSSGGNIAHHVAHRAAVSGVEVLGNILLHPLFGGEERTESEKNLDGKYFVKLQDRDWYWRAFLPEGEDRDHPACNIFGPRGSNLAGVNFPKSLVVVAGLDLVQDWQLDYVEGLQKSGQDVKLLFLKKATIGFYFLPNNEHFYTLMEEMKXFVNPSS >KVI03940 pep supercontig:CcrdV1:scaffold_64:492642:493574:-1 gene:Ccrd_017761 transcript:KVI03940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3049 MASSSVIVYQGLQSCLEPDQVTESFVFQQKNLPKSSSEHPPQEFNNGGRKWSFLQALENPSMAVKNLQDSNEVYVHPMVKRSASALSTKSLEMCTESLGSETGSDVSESGDEFCSLSMEERERVRAVRVSKCYRSFDRKVHRGEFPPPLTSISGSDGTVKVRHHREGGRLVIKAVSVSSCGTNFKAERINGRLKLSLLKDCSVNNESERVEYENYETERINNENYEEGGEVESLEDEYVPSDDDDDVAVTAVAEEEEEEEGEEDGGGGGWRWKVGRPTGKGELKRLTRCKEGGNGNRVFANWRSSWVAIS >KVI03960 pep supercontig:CcrdV1:scaffold_64:382085:401998:1 gene:Ccrd_017756 transcript:KVI03960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFNKNLRRLCSKLRWPVRRRAKPKVVIKRFGKSNSKGQDSSSNPNGSNGGSAATIYPNNHLGIPKSENPIRIATFNAALFSMAPAVPESLTEKASSFDHGGNEEQDYTIKVPSNCSVSYPTTNSRSKSMVDRPKSILKQSPLHSSSISSSSSSSETLTKQQKFARSKLRVSINLPDNEISLKRSGQLSFVIGENEGDPGSKTGGSKSISRILKGKGVLRTQNSFSSKEVNGGQRGDYRSTKTVVEVLRELNADILALQDVKAEEEKDMKPLSDLAAALGMNYVFAESWAPEYGNAVLSRWPIKRNVLKATIDVPETGEVDFHCTLLDHLDEKWRMKQINAIIEANDGPHILAGGINSLDETDYSPERWTDIVKYYEEMGKPTPKVEVMKYLKNKQYTDAKDFAGEYYILVSPDSPYKFVPGTSDHHIVKVDVTKVDVSAQQQFVRRHRQPKQKLVKITNSNSSKGISVQLLLKLRIPKV >KVI03964 pep supercontig:CcrdV1:scaffold_64:347911:349230:-1 gene:Ccrd_017752 transcript:KVI03964 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD-like protein MDFFRSILADDADPNDYDESDTDPPSNQSPNHPESEGDEDDDSTSNINSSEDGSGSGIGGGLWSFGDLVKTFTTKSESVFETYRRDLKEFGTGLRKESDLFREVASRAVKELPSSIEVGTSAIDGVLKSTAEFIVQGKDSLLASSSGIDDSDASERHGSSDRSGLNLNSRRYSRFDAQLNAIQTDTRTYCEDPEDIDDYNKWKLGFVMGDNKDEIEKLVGDNGVLAGIYSKLVPIEVDDATFWYRYFYKVHKFKMQEDLRARLVKRSLSQDIEEELSWDIDDDDDNNNNNNNKEQQETSDQLRSNTTKSQDLENVEKQVNPDDESKTRSSKIVIEDKXMDTNMGGVDKNDVEEPKPDGGNGCLEKVESEKVYLKADEDEDLEWDEIEDVGNNDEENVTEGDTQKKGDLRKRLSTFADDDEDLSWDIDDEDXELVKSGNK >KVI03949 pep supercontig:CcrdV1:scaffold_64:580883:585609:-1 gene:Ccrd_017768 transcript:KVI03949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Blue (type 1) copper domain-containing protein MTCAVFNYNPTNHNVMQVNHVSFESCNITMSMKTYESGNDSFTITAPGHYYFICSFPGHCDAGQKVDIRVLKKHPLAISPHTNFSTLTSPKAIAMAPAPVANVATDSRCLLGTTVVTLMMMAVVMAVMTMAIRATMGGVVYKVGDSAGWTNIGHVNYKSWAFNKRFHVGDTIVFTFNKDFQNVVRVPYNGYLTCNGTVSYDTYTSGNDIFPIEFPGHYYFICTLPGHCAAGQKVDIRVPSSAEYNYPPPAISNGGSAPIQPLPFPYPPPSGPSPSPSLVKSPTFQSPSPSLVKESPLSPPEISTPHVAPAPPPKNSXTQVAINFELLATALMAAFVYLIAFSS >KVI03947 pep supercontig:CcrdV1:scaffold_64:556165:556407:-1 gene:Ccrd_017766 transcript:KVI03947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHPAPNKRNITVRYDFGSQSNAAATICRQKKLRXLPHIFAKVLELPFYADADVSIEETSDSLIFIVDTDDDRVWGGGI >KVI03953 pep supercontig:CcrdV1:scaffold_64:12712:44147:1 gene:Ccrd_017731 transcript:KVI03953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MFFPLSSGSRNVAMRPASEDEPCTKKPAIDLVSAVTELHALSSPDLSKLIRDAENGTIRWTKVDGSSFEINLESLARYLALHLIAKLLSGKVDQQHFRYLLGGVRLLHALCDLAYRYPKLEQVLLDDVNISEQMLDLIFYMIIFLSGFRQKIHASSHMVLLHSTLLASSLYLLRICISSKWHELSHVLLAHPKVDVFTRVAFPALRAVIHXLQVKLSAQHTDTHVMPNVDEVHRLCQHCEASLQFFHSLCQEKLFQERLVQNKDLCEEGGALLLVQDIMELPPCDDSHLMAGVSRLKSKVLSIMLHLCETEVERGSFLDLAASTTLGLDLAKSIVFQVLQVLKIVFCGDPRSPSDCSFKGHPRGLLQLNAMRLIEIFSDDSNFRCYILLNFTEFLTTIFSLPHGEFFSSWCSSALEPSEEDVTMEYDSLSAARQTLGVVSTFHVRSAVSTXHAPQTPYARQKTTLLIKIVANLTCFIPDICKEEEGLFVNKFIQCLQKELPQMGGAERSAAACRNLHSLLLHAESLREYLNEDDLQLLRLPPEGRDRSGNQDAGMAREDQRTDLAESSAABKGVEGSDRDARNIRTNGSDSSTMRVKNSVDRMNNIEGVPEDHMVQNVQKKRKRNLMNDVQIALIETALRDEPDMQRKAASMXSWADKLSLHGSEVTSSQLKNWLNNRKAKLARGAAAKDLHIPSGQDDSFTQNQGGSVSDSPKSPVDPSSSAPQXQGTHQKDVGETVCGNESPKIVPTNSFRRAHGQYVMLTNDQGEEMGKGNIHLANGIWFGRNLEEWGLCVVDVNDLKVDILTNLPHPCDATGTTFGQAEQILGRKRGCVLMAPGKKVVENGGDDISGSEEVKGGIGNLKEMGQDIGIQQLLQGGWGRQGYAFSINLLPLRYWCELQDILISSQAGTSGAKKIMRPANENVXCTKKPAIDLVSAVTELHALSSHDLSMLIRNAENGTIQWTKSNGSSFEINVEGLASYLALHLIAKLLSPRRDEHHLRYLLGGLRLLHTLCDLAPSHSKLEQILHDDVNVSEQMLDLILFMIIFLTGLRQKIXPSSPMVLLHSALLASSLYLLPACISSKWHELTHVLLAHPKVDVFTSVAFPALRTVIYFLQVKLSAQHTDTHVTPNVDEVRHLCEHFEASLQFLLSLCQQKLFRERLVMNKDLCEEGGALLLVQDIMELPPCDDSNLMAVVSRLKSKVLSIMLHLCETEVERGSFLDLAASTTGGLDLAKSIVFQVLEVLKIMFCGDPNGPSACSFKGHPRGLLQLNAMRLTEIFSDDSNFRSYIVLNFTEVLTAVFLLPHGEFLSSWCSSALEPSEEDVTMEYDSLSAAGKALGLFSTSHVVQSAVTTRRASQRPYARQKTSLLVKIVANLTCFIPDICKEEEGLFVNKFLQCLEKELPQTGDAERAAAACRNLHSLLHHAESLREYLNKDDVQLLRLFIRQLEARITPQESTIHRAKEFQSRGQCSSSAPQRLSPEGCGRIDNQDAAVDQLHQLNLNGELRSNDVVREDQRTGVAESSDRDFQNIETNGLDSPTMQLKNSIDRMNNVEGIPEDQIVRSVQSEEKQLKKRKRNIMNDVQITMIENALRNEPEMQRKAASIQFWADKLSLHGSEVTSSQLKNWLNNRKAKLARAAAKDVRVPSAEDDAFADKQGGSGTDPVSDSPESPVDEFFDPSPFAPQGTHQKDVGGTVLRICGNENSETSPRSSFSREHGQYVMLTDDQGEEIGKGNIHLANGIWFGRNLEESGLCVVDVNYLKVDILTNLPHPCDATGTTFGQAEQILGRKRVLWDSSKLLLLQPQLPR >KVI03965 pep supercontig:CcrdV1:scaffold_64:354329:355381:-1 gene:Ccrd_017753 transcript:KVI03965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAFRSLDSLLLLIGLLSLSLQPPLTVLAATNLRFTLNRKLSQNVPVFREAPAFRNGHACGSSTNDRIHLAMTLDSNYLRGTMAAVLSILHNSCCPENIIFHFLWLRFDHDIKTNIKTTFPYLNFKLYRFNSGMVQDKISKSIREALDEPLNYARIYLADIIPVDVKRIIYLDSDLVVVDDIEKLWRVDLQDKVLAAPEYCQANFTQYFNDYFWTDPELRNIFEGKDPCYFNTGVMVLDVEKWRKGRYLQKVEEWMVVQKQKRIYHLGSLPPFLLVFAGNIKAVDHCWNQHGLGGDNIEGKCRSLHPGAISLLHWSGKGKPWLRLDSRKPCVVDHLWAPYDLYRSSKHIFD >KVI03925 pep supercontig:CcrdV1:scaffold_64:610928:612831:-1 gene:Ccrd_017771 transcript:KVI03925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVSDASSSGPPPPPPATATATAQNLVTCVYQCKLRSSSSFIVTVTWAKTLMGQNLSVEINNPTNHSLCKLEIKPWLFSKKRGYRNLEMGSNSIDFFWDLSSAKFGSSPEPVEAFYFAITVNRELILLLGDMEKEVHKKMNNNGFNSSSSPNPIFISKKEHIFGKKSYATTAQFCGKGQIHDILIECDTINTNDPFLLIRVDGKTVMQVKQLRWKFRGNYTILVDGLPVEVYWDVYSWFFGRLIGGNGVFMFQTCLSAEKLWGSAINWSNSLIKDSAYSFCTRKL >KVI03946 pep supercontig:CcrdV1:scaffold_64:567935:569196:-1 gene:Ccrd_017767 transcript:KVI03946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKVTLEIFSKLEQQWLTQREGGRKTRVLSIDGGGTTGISSGAALIHLEHQIQLKTGNSDSRIVDFFDIIAGTGIGAFLAAMLNADNGNGRPLFTAKEAVRFVTDRHAELYKVKNVGVVHRRRRFSGKSMEKVLKEALTRDDGTVLTLKDTCKPLIVPCYDLNSSAPFVFSRADASESASYNFDLWKVCRATSADPSMLKPFQLTSIDGKTSCLAVDGGLVMNNPSAAAVTHVLHNKRDFPSLTGVEDLLVLSLGNGPLSNSPERKLSRGGYCRNQYVVGIVLDGVSETVDQILGNAFCWNHTDYIRIQANGYLNGGVGPKVEEVLMERGVESLPFGGKRLLTETNGERIESFVQRLVASGRSSLPPSPCKDAAVSQLVNGR >KVI03936 pep supercontig:CcrdV1:scaffold_64:227411:239714:1 gene:Ccrd_017743 transcript:KVI03936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNSVYRVLQDIFQQVDSRILRAVAIEHPKDADVAVEVVLAEVIPRLSEQSRTNKGKSSLSLSEDGARTGQFEATMDSGDVLPCLSEQYTEAGPSNIGGSLPVAIEDADLSGQPNSPKVVEPVTSEPLCTSCFYDANGEIDTVCYGIPIPLENLVNNNINHECVTPASISENGVYDNHDYGCVKTGDQNAAKVSNSIASSVGVSGSIQEEAAINDKFTHENGVYDDHDHGFVKTGDQNAAKMSNSLASGAGVSGSIQEEAAINDKITLLDVDTEMIAPSSSQLLGTCEKDLTVTQELNSSSSSKTTSEKNSLAITIVDPEDELIMTSVLTRSDQTCSTELLQDIIEDARNDKKTLALAMDSVVNLMKEVEFKERAAEQAKERAACGCSDILAKELQLRLLNLSDERSRSLEILEEIRLTTALKEIEAAEEEKLEKERSAKEALAYQESQMEMVVEESKKLKMEAEENSKLQEFLMDRGRAIDILQTTGTPSEPELETYGTPKTNAELGDSYGFLDKSSTSRSGLARSPKSPTETVHTINDCFPSGEEKHHDDDRKMLLDDGWELFDNGEDLRSTTVTVTVTVSPTPPPPPP >KVI03933 pep supercontig:CcrdV1:scaffold_64:248329:261840:-1 gene:Ccrd_017746 transcript:KVI03933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTLPSIATQPPPPTIGLWDGVVEMTKSAQIKGTDPLIWAVQLSSRLSSAGISMPSTEVAEFLVYHICWSNNVPTAWKFLEKAIALRIAPPMLVLALLSNRIMESIDDVLHLSEKFGIFSGEPGLLLVEFIFAIVWQLLDASLDDEGLLELVPEKKSMWPIKSQEMEIDDHNIGKKQIDRHDRLPIHWGSFIYNLRLLAANSISLRNSKDITPEALLQLTSDSCVLLSQECKSISLQQFHALMASGSLVSSTGPSHGASRSAIWLPIDLFLEDTMVGSVVATTSLLKAHQAISQASWQDAFLGLWIAALRLVEREGDAVEGPIPRLDTCLCLLLCTTTLAIVSIIDEEESAHFEEDEHEQSHMHFRSETRVLGNCRKSLVSSLQQLGDYEGLLIPPPSVTSLANQAAAKAIMFFSGLGVGSGYLDNISLNDMPVNCYTSAYLWPGYVKGHANQIPHTVASQMPGWSSLMNGSPLSPPMVHALVSTPASSLAEIEKIFGIATDGSNAEKIFAATILCGASLTRGWNIQEHTGFFIIKLLSPPVPRDYSGSESHLIASAPLLNVLLVGITSIDCVQIFSLHGLVPQLAGVLMPICEAFGSCSPTVSWPLPTGEHLCPLAVFSNAFTLLLKLWRFDQPPHEHAMKDVTPVGSQLTPEFLLLAXNSQLTSCGNLLKSQKKRKRFLRHCDPSSVRPVFLDSFPKLKLWYQQHQACIASTLSDLKHGTPVYEICDALLNMMFRRTNIGCQSLNPSTSGSSDSSGSEDYTLRLKLPAWDILEAVPFALDAALTACAHGRLSPRLKDLADFLPASMATIVSYLSAETTRGLWKPASMNGTDWPSPATNLSMVEKTINKILAATGVDVPSLSAATLPLPLAALVSLTITYKVDRVSEAVLNLAGPALSTLGTGCPWPCMAIIAALWAQKAKRWTDYLVFSASRTVFHHNNDAIVQLLRACFQSTLGLNSSPIVSNIGGVGNLLGHGFGSHCSGGIAPVAPGLLYLRVHHSVRDVMFMTEEIVSLLMHSVKDIVSTSVPIEKLKNPRTGMIMRYGEVSLSVAMTRIKLAATLGASLVWITGGLNLIQTLIKETLPSWFISVHQSDPTKGSSGGMIGMLKGYALAYFTILSGAFAWGVDSALSASTKRPLILGAHLEFMARALDGKITLGCKKATWRAYVCGFISLMVSCMPMWAREVNVDVLRSVSKGLQKWDEEELAMALLGISGVGAMGAAAEFIVESSM >KVI03963 pep supercontig:CcrdV1:scaffold_64:344602:349138:1 gene:Ccrd_017751 transcript:KVI03963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRSLLVMRGNSADMARHVMCQSPTKRISITFFKVRIDDNNNNCDKSPMSPKDPMTGALTVWQPGVVPNGTLDGYDIIPKWGAIRAPQLLMLAPIRPMVMSPRRLPRGGTEASESSIPEEDARSESLPCTMNSAVDFSTPSIAEVPTSIDDGSSFTARLATSRNKSDSFLKPVPNSFRSRRSPKLHKPPPMPLPLPSSDELILEVESSSSSPSLSG >KVI03962 pep supercontig:CcrdV1:scaffold_64:338144:343775:1 gene:Ccrd_017750 transcript:KVI03962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAKNAIISWFRGEFAAANAIIDSLCGHLSRLDGGRCEYESVFAAIHRRRLNWIPILQMQKYFSIADVTLELQKVAEEKEKAKVGGVEKIVEENVSPTVVETKPEILEEESIDIXGNGGDEVVDDDSTKDNSPKSGITDAGSQEAHSVVESVEICSNQEDWEARRAEIKMTKGFVAKESVKGHMVNVVRGLKLYEEMLNSSELSKLNDYITELRIAGXNGELSGGSRDAFVVNLILSFWD >KVI03957 pep supercontig:CcrdV1:scaffold_64:435778:437088:-1 gene:Ccrd_017759 transcript:KVI03957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHRKIFSKPDKKPKHIANWATGGPGMQAVFLDSGQRSCGTGVFLPRTAGTDYVEKPRKPAFAPVLLPSRVVQALNLNVHGLGLQIKPRDHNNNIKGIECHRVRNNKKTKDSSNQICVISQNRSSSPEIFLPKEWTY >KVI03941 pep supercontig:CcrdV1:scaffold_64:450680:461597:1 gene:Ccrd_017760 transcript:KVI03941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSAVGAPIPGRRTTVRVVVVGDRGSGKSSLIAAAASENFPESVSPVLPPTRLPADYYPDGVPVTIIDTASSLEGKAKLEEELKRADAVVITYACDKPETLNRVQTFWIPEVRRLKVKVPVIVVGCKLDLRDEHYPMSLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDQESQTLKPRCIRALKRIFILCDHDMDSALNDSELNEFQVKCFNAXLQPAEIVGVKRVVQEKVPEGVNELGLTLTGFLFLHALFIEKGRLETTWSVLRKFGYNDDIELRKENLPVPSKKAPDQSVELTSEAVDFLMGIFSLFDSNNDGALQDSELDELFSTAPESPWDEDPYKTVCSAFLTFDSWLQWALMTLLDPAKSLAYLIYLGYTNDPATALHLTRKRTLDVKKQQSDRHVFQCLVFGPKNAGKSALLSSFVGRPFQQSYTLTSNQCYTVNAVDQLRGTKKTLILREIQEDEVKPFLSSKESLAACDVAVFVYDCSDEYSLKRSSELLMDVARRGEDTGYGVPCLFVAAKDDLDSYPMAIKDSEAEMKIDAPIHISVKERDMNNIFWRIVNAAERCYLSVPETEYGRNKKQYRRLQHWN >KVI03955 pep supercontig:CcrdV1:scaffold_64:147359:154743:-1 gene:Ccrd_017737 transcript:KVI03955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAAGPPYDGLKRMLQSAVQSVQWTYTIFWKFCPQGRVLVWGDGYYNGAIKTRKTVQSVEVSTEEAALSRSEQLRELYDSLETGDHQVTDNQQQPPIRRPSVALSPEDLTESEWFYLMCVSFSFPPGVGLVGEAYAKQQQLWLTGANEVDSKTVVCIPLLNGVVELGTTEKDKSGMAAAVEDVNELLEVDMSEDIRFGSPNDGSSHFDSGFQLLPRSQADSCRAESPPRWSDSLELHQLHASAEPEDDHYSRTVSTILHNQLRRWSDDSQSAASGRNSLLYTTQSSFTMWTSTHHPKTTPSNSQWLLKYMLYTVPFLHTKSKSLDSVPKTMTSHEELMSANHVLAERRRREKLSERFIILRSLVPLVTKMDKASILGDTIEYVKQLRKKIQDLEALDHSSSKMRKIRVAEGSGGRTAVAVQVEVSIIESDALVEIQCLHREGLLLDVMKKLRELGVEITTVQSCVNGGMCMAEMRAKVKAKGNNGKKISIMQVKKAIGQIVSPSLY >KVI03959 pep supercontig:CcrdV1:scaffold_64:382061:401836:-1 gene:Ccrd_017755 transcript:KVI03959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKQKCKLKPLWELPIPSSDGDRDRSFEVEQWEEAFQTAKRRRKRIILSLVKQVEISMVAKKDIKKIEDRGQNEVRAPLIAQDDRRKENNCMVYISTRLFSASMSNTLSFDDHHVHQTYQIGVGAAPALAVTGILLYITGFSLGMGAVPWVIMSESCSSFPPWSKLEAFSVNDSGTAGAMENKAALKVAIRIGFCTAAHRPPELGAESPEVFVEDAKHVLEDMLD >KVI03937 pep supercontig:CcrdV1:scaffold_64:218976:219989:1 gene:Ccrd_017742 transcript:KVI03937 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MTMMICKQHQPSSLQIPIHLSLLRRRPLKEKVVVVMGATGTGKSRLSIDLATHHPAEIINSDKMQVYEGLDIVTNKITEEECDGVPHHLIGIVDPDADFTSSDFASTSSLAVKSIVGKGKLPIIAGGSNSFIEALVDDRNYEFRSRYDVCFLWVDVAMPVLHRFVSDRVNRMVAAGMVNEVRKKYNPNSDYSKGIRRAIGVPEFDSFFRWEYSASNADEKTRAKLLESAINETKINTCKLACQQLRKIHRLRNTKGWKIHRLDATKVFQQNGKEADEAWSELVAGPGSAIVSQFIHNLDHSRIFTTTTNTTTTGPSDGGSRTVREVERRTAMAAAAR >KVI03951 pep supercontig:CcrdV1:scaffold_64:67987:72662:-1 gene:Ccrd_017733 transcript:KVI03951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MTWIRGGPTSLDSRNIKEAIDNSLLRVQTDYIDLYHVHWPDRYVPMFGETDYDPVRQYSPVSFDEQLDALGRAVDAGKIRFIGVSNETPYGVMKFLQAAENNSTYPRIASIQVLYHLILVSNCNALNSYNLLCRNFDSGLAECCHLERVSLLAYSPLAMGLLSGKYLLPDGGADDARLNLFKGRYSEGESRYNLSNTNVREATKAYVSIAERYGIHPVSLAIGFVLRHPLVSSTIFGATKLWQLEQVVSACDIKLSPQIIADIDEVHSRFPNPCS >KVI03930 pep supercontig:CcrdV1:scaffold_64:288698:296748:-1 gene:Ccrd_017749 transcript:KVI03930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein MASIAFRNSNSRHLFRLSPQLRSGCRGSVXSASGFPTIESFSGNDNAVAPNXWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLADEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETTKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQLLELVELELRELLSFYKFPGDEIPIVRGSALSALQGTNDEIGKNAILKLMEAGRGTVATGRGSKKTTVTGVEMFKKSLDHGEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADVTGKVDLPESVKMVMPGDNVTAAFELITPVVLEPGQRFALREGGRTVGAGVVSKVIS >KVI03950 pep supercontig:CcrdV1:scaffold_64:44989:62495:-1 gene:Ccrd_017732 transcript:KVI03950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MGSKQWLQPAPTYHPLETYWDTEDDGPGRRCGHTLTAVAQTKSHGPRLILFGGATAIGGGPSSAAPGIRNYPFFVRYLSVVGFSSCKLIDSFSLFNREILGLDGVTNSVHVYDVLTRKWTRIRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYCRVVVRGQGPGPRYGHVMDLVAQRYLVAVSGNDVLSDAWALDTAQKPYSWQRLNPEGDKPSARMYATASARSDGMFLLCGGRDVSGTPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFIGARLHVTGGVLRGGRTVDGEAAVAVLDTAAGVWLDRHGMVTSTRNSKGQAEDPSLELMRRCRHAASSIGTRFMNRESMERLAEASAAEAKAANAVWQAAQAQAANPEVTTVSDDSQVAETTSEGSDTEGDIISTLLRPRNWKPPANRRFFLDSYEVGELCYAAEQIFLHEPTVLQLKAPIKVFGDLHGHYIDYLFLGDYVDRGQHSLETITLLLALKGENDGIWAWTRFNQLFNHLPLAALIEKKIICMHGGIGRSIHSVEQIEKIERPITMDAGSLVLMDLSDPTENDSVEGTANNAGALLVVGRGLVVVPKLIHPLPPPLHSPETSPEHVQEDTWMQELNNQRPPTPTRGRPQPNHDRNSLAYI >KVI03954 pep supercontig:CcrdV1:scaffold_64:124632:125837:-1 gene:Ccrd_017736 transcript:KVI03954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MKRMLDFEVIRLLILLLLLVNRSPFCSAILDPVDFLALQSIRKSLEDMPGSNYFSSWDFTSDPCNFAGVYCEGEKVVALNIGDPRAGAPGLSGRLHPAIGKLTALAEFTVVPGRIMGALPASLSQLKNLRFLAVSRNFISHEIPATFGELRRLRTLDLSYNQLTGGVPWAVGSLPALTNLILCHNHLSGSIPPFVSQTLSRLDLKHNDLSGSISPGSLPSSLQYLSLSWNRFSGPVDHLLPRLNRLNYLDLSLNQFTGPIPGCVFSFPITNLQLERNQFSGPIYPAGSVYIQTVDLSHNMLYGEVSPLLATVKNLYLNNNRFTGSVPTVFVDRLMSGSIRLLYLQHNFLTGMPINPRAAIPLSSSLCLQYNCMIPPVQTPCPINAGTQKTRPTSQCMEWKG >KVI03928 pep supercontig:CcrdV1:scaffold_64:75538:83058:-1 gene:Ccrd_017734 transcript:KVI03928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAFAVLLLPLTPKPPTPSNHQQCVYVGDKGLESFRSVVTFHVNCKAGIPLASRKIVVAWKVWLAHSPLGHNFNPFNIYPDPAEGSKPGILEFTNRTWLGRLVKSHPNGKVAEFEAMDRLVKADLKEVNLVFSRNQKCSTTFKLTNLMHTMAVAVSFNTTNPSLFSFTHPFSIIPPLGTASFTLFLLKPSNHPPLSTPPDNVLVRSSMLPTGKANQEDLRRLFSKPGPHIFKDVTIPISFVGPHVIEFLLSSSSTKTLEIAFVLSKAISWCDELQLGSLLRPAVMSGNCYVASVLVDAGADVNRREADGESVMDLAVKSGNVEMVRFLIESGCVIDHLKDRFLHAAASANRVDIMEVLCMGYLDIDVDSVDSEGRTPLHIGASYGHIEVLQFLITLGSDPDRADSRRWTPLHCASIEGHVAAVEFLLNSCNYVKYAVTNEGKTAFDLAVENEHTDLYDMLHLGDVLHRSARKGDIDEMKKCLAEGAKVNGRDQNGWTPLHKSSFKGWIDGVKVLLNHGARVDLVDGTGFTPLHRAVEAGHAHVAMLLIAHGAKASMKSLAEPPF >KVI03939 pep supercontig:CcrdV1:scaffold_64:183485:192660:-1 gene:Ccrd_017740 transcript:KVI03939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenazine biosynthesis PhzF protein NIPHTTASASHHIPPFXLIYFWGSDFDHLLSFTFNKFSASIITQSPTDRNYSIMATPDVFLQGEHDVFSSVSPFDYAKGDAKSRGFAIEXKIEYLESLNDRAHFTGDDVRPSPFCMTNLGEWDKFRNIDMDKEAGAIEALKGSSSQKKSCGDVDKIAALTAWHRVDCRTRDAFRRSFLPELVHGYEESIRAFVSEAAGKEVLVLYVQDSFHRLLLHGVCEFYNLVSITESETKGTKVSKMTKIKKKKXGNNELPTITLCQFLRMAKDGFCTNCQKGRNYTETKTLTGLRVVVFPQTLRSSVRKWRRSSSNTPFYRYSKSGAFFFGKQVDAFTDTAFKGNPAAVCWLGDIERDDKWLQLVATEFNISETCYLTPVVDHGLENPRFHLRWFTPVAEVELCGHATLAASHFLFQSGLVNSNAIEFSTLSGILTAQKVPDSRIKDSSPSGSENGEAQDSFYIELNFPVVPVSDFNDLKFSAVSEILNGVSIVDVKKMASDDILVVLPSGKEVAEFKPQIDKIKKSPGRGIVITGLVQNGSGFDFYSRFFCPKYGIDEASPRSGTLHLHLDTKNQRVLLRGKATTVMEGSILV >KVI03944 pep supercontig:CcrdV1:scaffold_64:533721:545363:-1 gene:Ccrd_017765 transcript:KVI03944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MENQKRHEQPTNSVRRSLKRKLEDEFIVDRKITSSDDAAQQDLVSEVRAQVEILDSTFSSAEADRALVKRSIHILSELAKNEDIVNLIVESGAVPALVRHLQAPQSKEVLEGPRPYEHEVEKGSAFTLGLLAIKPEHQQLIVAAGALPHLVALLKRHRDGQNSRAVNGVIRRAADAITNLAHENSSIKTRALRTLAFKNDENKTQIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIELLSSTCSESQREAALLLGQFAAADTDCKVHIVQRGAVGPLIEMLQSPDAQLREMSAFALGRLAQVVNLLVIYMFVHDIVGLSLTWIPSYAYDFCFSAGRMFLIYQDTHNQAGIAHGGGILPLLKLLDSRNGSLQHNAAFALYGLADNEDNVADLIRVGGVQKLQDGEFIVQPTRDCVAKTLKRLEEKIHGRVLSHLLYLMRIAERSVQRRVALALAHLCSPDDQKTIFVDGNDSCVALCKLAEKASSLSPVDAGPPSPISQVYLGEQYVNNPTLSDVTFLIEGKRFYAHRICLLASSDAFRAMFDGGYREKDAKDIEIPNIRWDVFELMMRYGSLIQRVLPEMRNYFVRTLARPVEADMRQ >KVI03945 pep supercontig:CcrdV1:scaffold_64:526441:530818:1 gene:Ccrd_017764 transcript:KVI03945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHQEPPLSQWFRCLGFHLARKRIGHGEIEGDWGVGGGRCNFLGSKTYRKLGLKETFKQSPGNPKVLSLLSSVLERCVKKNETILENTQSKDVVTAFHGLRAPTLTIKEYIDRIFKYSRCSPSCFVVAQIYMDRFIDGGNVNLTSLSVHRLLITSIMLAAKLEIKFLFGLDFQLHVSLRTFGMYCSELEKEISYELQMERLPPMIHAACGLKENWSSNDDSSSYTTTEIQII >KVI03926 pep supercontig:CcrdV1:scaffold_64:173813:178842:1 gene:Ccrd_017738 transcript:KVI03926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MSTVIDVSNENEASPDSKNQKKPSFLRGVSSFIEKKFGKYMQKIXHSIKVGIALVLVSLLYLLDPLFEXVGENAMWAIMTVVVVFDKGLLRGFGTILGGGLGCLAAILADDLGKIGNAVVVGVSVFTFAVSGLRADKVIELARERLSTIGMGFAVCIFTSLLVFPVWASDELHRKFCKMGTMAWKIWILLSMGEIHTNRREPCKSVGVSLGLTMRELGESMMNMKRCQAKVLTVPKLQSTKLDLILLSTSPKLQGIANVESLAIANFLFLLMEIVDKVEVLAKEVEALGE >KVI03935 pep supercontig:CcrdV1:scaffold_64:235535:239852:-1 gene:Ccrd_017744 transcript:KVI03935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MGGASSVPEHSIHEFTVKDSKGKDVDLSIYKGKVVLVVNVASKCGFTSSNYAKLTKLYEKYRNKGLEILAFPCNQFLKQEPESSEKVEEFACSRFNAQYPIFQKIRVNGPKAAPVYKFLKAKKKGFLGSSIKWNFTKFLVDKEGRVIGRYGPTTSPLSIEGDIQKALNA >KVI03952 pep supercontig:CcrdV1:scaffold_64:3457:5058:1 gene:Ccrd_017730 transcript:KVI03952 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MAVDDRSEAIVKTFAVKPKLKPTTNKSTPTPESKYWKSFKPKQTQTLVSSITSLAFSPTAPHDFAATHSATVTVFSSQTLEPKSTIAAFKDTATSACFRSDGQLLAAGSYSGLIQVFDIKKRSALRRLRGHSRPVHFVSYPRVDKLHLFSGGDDAVVKYWDVSSEISIHNLIGHKDYVRCGDGSPVSDDMFITGSYDHSVRVWDVRVSSDTSMLNINHGSPVEDVIYLPSGGLIATAGGNSVKIWDVIGGGKLLHSMESHNKTVTSLTVGKIGQDSGDFTNQYRILSVSLDGYLKVFDYSKLKITSSIRFPSSLMSVAFSPDCSTRVIGTSNGILYAGKRKTEETVGSVKSEWGKYVGFGAIDEPEKRVLRPSYFRYFHRGQSEKPSKGDFLIMRSKKVKLAEHDKLLKKFHHKEALVSALRAKNPENIVAVMEELVSRKKLLKCVSNLGVDELGLLLGFLQRYSTMPRYAGVLIAFAKKVVESRADDITGSEELKSHIRNLKRSVMEEIRIQQSLQEIQGIISPLLKIAGRR >KVI03931 pep supercontig:CcrdV1:scaffold_64:282297:286311:1 gene:Ccrd_017748 transcript:KVI03931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQYVMASNGYLPTLVFRPEQGLNRVPKDSQRLLPNYELREDIIRSSPLNMSPQQSEEPWKPISGLIDNNQFVMIEPTVTNVGITEQCTRHNRIFKFLMSGLSELERDGLDLLSLSDLNGLQTMTTDYKPYFPDVGPTDSPLIYPNIEFDSQKPLMDFVGDLVRRSEVSKTEMKNILSIFAEFYLLKNSSNWRKQSTLVPQFNRLDYNETSYYGSALELENVMVAPPKSRNKTAIKHRSNYIQACESLLSIIVDKNRNGKAAIPVLKKSGPELPNLLTQFSASIAGMGIAVLFSVMCKLASGRVPFCALKLLNTGLGLGLVWLSWAVNRLRDTIMVINKNSNKKGXKDEELMKNLDRSVKEIYFRGAALMAVVVLRLA >KVI03938 pep supercontig:CcrdV1:scaffold_64:194981:204648:-1 gene:Ccrd_017741 transcript:KVI03938 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein MSVKGFMKCYKITVLLHQRSMVPPWSVGSTGKQVYNCLAQKSRFERNLNPDHRAPEFVHLEFSFILNSINLANVVGLRARSSLKPDLTNEDDEDFRPWRRRLLSSVKMNVSFSFTWSSAVRASIFLLLLGVFAFACFSLPVEKILKDFLLWIKTELGPLGPLVLAIAYIPLTVFAVPASVLTLGGGYLFGLPVGFVADSIGATLGATAAFLVGKTIGRSYVISKLRKYPKFQAIAIAIQRSGFKIVLLLRFVPLLPFNVLNYLLSVTPVRLWEYMLATWLGMMPITFVFVYIGTTLKDLSDVTHGWHEISRTLLMIVYIIRVAKASLEKALAENGAIDGIDLDSPGLPILADSALGLHQPLISTTADDLV >KVI03956 pep supercontig:CcrdV1:scaffold_64:428224:433994:1 gene:Ccrd_017758 transcript:KVI03956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKKVKVNSYSPTGVLEDYLRSLESDTISSKESTSGSEDQKASSKWIDFVHLIRSKSKRCLPTFHPISSGLQFSRRLSSSFREQIGGIVVGQPSSSSSSSPIAPDINYFKPHWKNFTFSQLQSATNNFSNENMIGKGGYAEVYKGVLKNGQIVAVKQLTRGPIXERTASFLSELGIMAHVNHPNSAKLIGYGVEGGLHLVLELSPNGSLASWLHGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHTVSRFEGTFGYLAPEYLMHGIIDEKTDVFAFGVLLLELISGRRALDYSQQSLVLWLLKGQQDGWEFAIKNCRRGSSLKKSYEELFRAEKCSLTHPIRRIKGADSGFSTTTL >KVI11576 pep supercontig:CcrdV1:scaffold_640:118464:122881:-1 gene:Ccrd_010010 transcript:KVI11576 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MAGGGFVDGHGGARAEQYEYNITWYFVFACIVAALGGSLFGYDLGVSGGVTSMDYFLKEFFHEVYQRKQERLKETDYCKYDNQILTLFTSSLYFAALLSTFAASHVTRNKGRRASILFGAISFFVGAILNAFAQNIAMLIIGRCFLGVGIGFGNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGIFIANFINNATEKYKWGWRLSLGLATVPATLMFVGGLFLPETPNSLVEQGRLEEGRKVLERVRGTTKVEAEFQDLVEASETAKAIKHPFRNLLQRKNRPQLVIGALGIPAFQQLTGMNSVLFYAPVIFQSLGFGSGAALWSSTITTGALVLATLISMAFVDKFGRRFFFLEAGIEMICCMIAVAVTLALKFGQGEELPKGIGIFLVVVISLFVLGYGRSWGPLGWLVPSEIFPLETRSAGQSIVVCVNMFFTALVAQCFLVSLCHLKYGIFLLFGGLIVVMTIFIFFLLPETKQVPIEEIHLLWQNHWFWKRYCVPEDEPQVERKLEQQV >KVI11577 pep supercontig:CcrdV1:scaffold_640:149331:160413:1 gene:Ccrd_010011 transcript:KVI11577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMPLKGTFVMRSTTSGLKVSGRVNCPLAIFAKSAAKPWPFPWTISGAKESTIPHIVNVLSLDNFFPNLKSTSYDTRQQHAHIYRHGGSTEASRWLIKWRVKYGSNRTGDESVQKYANETSERASAMRLSCKIVNLERRSTERNNFNDDKFENSEDSTADFLLHHLLYKKI >KVI11580 pep supercontig:CcrdV1:scaffold_640:104941:108584:-1 gene:Ccrd_010008 transcript:KVI11580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLFIHGGILHTQSIGYNDGTGIVSLFMPQCSSLALLDDIFSRLLALTSYISNRFIQFFEDLIYRDLSRFSEDFESVPSDGKSVSTSCSSSCSSTSENLDTILVDHLPVYSRCEIIERKLQLTKFFVKSALVLALAPYRISSQCVKRAQIRVESIISRVQITLHGSSTDIGWIQRDPNMDPVQDGSARFLELLNAIRNGEHKLPDSYVYLLIPGLFSNHGPLYFVSTKRFFSKMGLACHIAKIHSEASVEYNSWVLKQYIEELFWGSGKRVMLLGHSKGGVDAAAALSLYWCDLKDKVAGLALVQSPYGGTPIASDIMREGQIADKETRRIMELLVCRIIKGDIRSLEDLTYEKRKQFLSQHKLPKGIPLVSFRSEASIGPGVISVMSHIAQVELPKLPFLGLGSNESEYEAGPIATRKVPVVVPVSAAMAVSALHLQLRYGEKSDGLVTCRDAEVPGSVVVRPNQKLDHAWMVYSSWNKNQTGADACEMCEALLTMLVELGKAKNSGN >KVI11578 pep supercontig:CcrdV1:scaffold_640:195233:197363:-1 gene:Ccrd_010014 transcript:KVI11578 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG1-like protein MISYSTSQYIRLGFSHCTTIAFISIAPISGLFDSSVDYETIRKEIVRCIKMVVDGIHAILLVYSVCHRFSDEEKAAISVLQDLFGKKICDYMIVVFTCGDELELDYKTLEDFICKCPQALKTARLKFERNVETAIKKVEGGVDRLREELKEAKTMEEKWKQSSSSCVIL >KVI11581 pep supercontig:CcrdV1:scaffold_640:59604:60401:-1 gene:Ccrd_010007 transcript:KVI11581 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAEALNSETESTTTTISDPLSKETGLKDPNRKWVNRSRKYAEDDDGNSRSSKATKTNSKHPVYRGVRKRAWGKWVSEIREPKKKSRIWLGTFSDPEMAARAHDVAALSIKGKSAILNFPELSDLLPRPVSCSPCDIQAAATKAASMVHLNHTTTATPRCTPSTSATSTCSATSSMMLEEVSMWKPQSEELSEIVELPSVGEGFDHSVDFVFVDSGWDYYSSPPPWAEDGDGDGDGGYLGGEPSYVTPSFSGSVGSFFFDASSWQY >KVI11579 pep supercontig:CcrdV1:scaffold_640:113913:120796:1 gene:Ccrd_010009 transcript:KVI11579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQNMATNLRSPCRVPRDEATLSRCCIVAVPLFKPSPYHDPCYCRIMVVFVLSCRCMLLVPCRNGWECHCHATEFLGKTTIKYHRWCSDPPPARRHVRLVLGNRKKMNMVITTIKPPNSKKMPYLRWQSDTRKHCATKAVKNMLTQTTILCPADLVSNGNISLGTSHPSGPHDLPYPRTKRLITTTRKMPIPFGSSSPCPNFRASVTATAICKDHKHQIISIPASKKKNLLPNLSTKAIDINVARTRAPVVMVEDQSIPKAPITNCGRFFLCSSVENGEKELTWFPNPMPTPRKHRPMISMAIFCAKAFRIAPTKKLIAPKRMLALLPLFRVTWDAANVERRAAK >KVI11574 pep supercontig:CcrdV1:scaffold_640:149429:160528:-1 gene:Ccrd_010012 transcript:KVI11574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAYSIDCNCIGDICAPRDGKVKETDNVGASEIGVFSPKSSYKEDDGEGNQPSNPEVGITRLRRVSSQFLPPDGSRIVVIPAGNYRLQYSYLSQRGFYPDALDKANQDSFCIHTPFGSNLNDHFFGVFDGHGEFGAQCSQFVKQRLCENLLRNSRFHTDPVEACHASFLTTNSQLHADNSIDDSMSGTTAITILVRGKTLYVANSGDSRAVIAERRGTEIVAVDLSIDQTPFREDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVALELTPDHLFFVIASDGVFEFLSSQAVVDMVSNFTDPRDACAAIVAESYRLWLQFETRTDDITVIVVHISGLTGATVGQAAISSTALRPPIPQVVELSGSESPTMSWNAKNQRVRTDISRTRLRAIESSLENGQLWVPPSPGHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQAGDTVVKQGGEGDCFYVVGSGEFEVFATQEETNGAVPRVLQRYTAEKLSSFGELALMYNKPLQASVRAVTNGTLWALRREDFRGILTSEFSNLSSLKLLRSVDLLSRLTILQLSLIADALSEVSFSNGQTIVDRGNGLLGLYMIQKGQVRITFDSYIRSQNASSLMADIQKLDDDMQSGTELSMDKSEGSYFGEWTLFGECIDSLHAVAVGNVVCAVLTKEKFESVVGPLPKILQDDYRSRETSSDLPREPIRNIDLSLLSKVQLSDLIKKLGKEEQVLKEKKLIKHINASAGVPQVLCTCADQTYVGILLNTLISCPIASILHSPLDEPSARFCAASVIIALEELHKAGILYRGVSPEVLMFAQTGHVQLVDFRFGKKLSSERTFTICGMVDSLAPEIVQGKGHGFAAD >KVI11575 pep supercontig:CcrdV1:scaffold_640:181197:186539:-1 gene:Ccrd_010013 transcript:KVI11575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein IIIIKYRNIYRKSSHLSNNSSSLSPSNFRRRLAPFSFFRQSVEVDLKGISTYMDRIVGGKYKLGRKIGSGSFGEIHLATHIDTFEIVAVKIENNKTKHPQLLYEAKLYNILQGGSGIPGIKWSGVDGDDNILVLDLLGPSLEDLFVYCGRKFQLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTHRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRELFTREGYEFDYIFDWTILKYQQSQKRSNNATYSAELTDRMRSNTAASPGVRMQLKSPMNRMTPDNPPERNSLSHSQMPPSSSAQAAVPKRYSTKPALPSDSTNPNHADSSGPSSSWISSLRRISSANEARAVSSREKAVDMLCSI >KVG73624 pep supercontig:CcrdV1:scaffold_6400:4940:25972:1 gene:Ccrd_026224 transcript:KVG73624 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNTFNPLMFPSPSPENASTSDCSSGAGFSESDVMLASGNPKKRAGRKKFKETRHPVYRGVRRRNSSKWVCEVREPNKKSRVWLGTYPTAEMAARAHDVAVLALRGRSACLNFADSVWRLPVPESSNVKDIKKAASKAAEAFRQTVEIEEETKELSEIVVYVDEEEMFPGFLASMAEGLMVPPPQTLGYAGNYVDNFVDGSSSMEGGESSEEVMLASSHPKKRAGRKKFQETRHPVYRGVRQRSSGKWVCEVREPYKQSRIWLGTFPTAEMAARAHDVAALALRGKSACLNFADSSWRLPTPASMDPNDIRKAAVQGAEEFRPVDEESTRVVYMDEEEEFGMPGLLVNMAEGLMLPPPPPPLPYGGGEEAEYGAGLSMEDTHSDSKSSTAGGDCYVEQEQVMLAEHNPKKRAGRKKFKETRHPVYRGVRRRNTGKWVCEVREPNTKFRVWLGTHPTAEMAARAHDVAVLAMRGRSACLNFADSVWRLPIPESRNIKDIQKAAAEAAEAFRPREDAAEMEERKELPEISLYNDEEMMFGLPEFFASMAEGLMVTPPNTLGYAGLSMEDTNSDSKSSTAGGDCYVEQEQVMLAEHNPKKRAGRKKFKETRHP >KVI00864 pep supercontig:CcrdV1:scaffold_6401:6168:10969:-1 gene:Ccrd_020880 transcript:KVI00864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MQSDQKHLRQKTELPLADQVGSGYISNPPIASLFGQNVDFQPSKICPRNFIIFDRTDNHSQIMYHPAVAQGFGYPGLNHIKDRMGVRNDMNDRREIESVMKEDSADIDLLLSFEEDEYEEEEEEEVSTGRTQGNDASDTADSCSSRPLRKSGLGVLSSSCSQKSSERKREKMRKMVNSLRGIVPGGNRMNTVGVLDEAVKYLKSLKVELQKVEN >KVI00900 pep supercontig:CcrdV1:scaffold_6405:12718:13294:-1 gene:Ccrd_020838 transcript:KVI00900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein IVHRRNWSIQEEDVLISILQEIVAVGDRSDNGCFRTDIYEQIVLKMCEKIPGLTTTSKHIQNKMKRLKYKYSTAYDMFSTSGFGWNDVHQCITVDAQVLEEYLKKHPSKNYIANKSFPQYERLKMIFGKDRVIGSMVESAIDALEHINLVSEVSPETKN >KVG73589 pep supercontig:CcrdV1:scaffold_6406:15818:20561:-1 gene:Ccrd_026226 transcript:KVG73589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF599 MEFKKYYLDLILVPLSFMIFFGYHFWLWHKVRTQPLSTVIGTNAHGRRLWVSTIMKDNEKKNILAVQTIRNTIMGSTLMATTSILLCSGLAAVISSTYSVKKPLNDTVYGAHGEFMVALKYVTVLVLFLFSFMCHSLSIRFVSQVNFLINCPQDLTIVTSTYVSKLLEKSFTLNAIGNRLFYSALPNALWIFGPVLVILSSLTMTFVLYNLDFLFGDTKKERTHEVANEV >KVG73588 pep supercontig:CcrdV1:scaffold_6406:1050:2592:-1 gene:Ccrd_026225 transcript:KVG73588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF599 MEFQKGYLDVILIPLSFMISISYYLWLWHKVRTQPLSTVIGVNAHGRRLWVSNIMKENEKKNILAIQTLRNTIMESTLMATTSILLCSGLAAVIGSTYSIKKPLNDTLYGASGEFMVTLKYGTLLVFFLFSFLCHSLSIRCISQVNFFINCPQDSTIVTSTYVSELLDKSFVLNVVGNRLFYSALPFVLWIFGPVLVLLCSVTVATMLYNLDFVFGDLEKGQTVEITNEV >KVH96952 pep supercontig:CcrdV1:scaffold_6409:15072:17165:1 gene:Ccrd_000955 transcript:KVH96952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MVEEKADIAGTNTGNLRLRHATDQLSSLIFLSQSIKVFSSKWKLIRNKVDEILSILASLPPEIQASADNPTLSGIIQSIEETTDYSNSLAQKCIEHSYSGKLLMQSDLDIIFVKFDNNVKSLSELVNSDGFLLNGNYAIVVSRPGPAASRDDIKFYVKDLLCRFKIGRIEMKKQALVSFTEVIQEDERYVKIAMEIDGLVHVLLKFLNSKEAGIQEEALKNVAIICGFDAYKSVLVGIGVVAPLIRMLETGSDLGKELATRCLMKVTANSDNAWSVSAHGGASALLKICGSGCDDLGGELVGLACGVLRNLIGVDEIKRFVVEEGAIPMSIKLVRSKNEASQISAIDFIQVMASGNEFVRGLIVKEGGIRVLVRVLDPKSSYSSKAREKSMRALMSLCSDSIGCMNSLKTYSFMDHILHFLHDGEVPIQESALRAASWLSGTSDELKKAMGDAGFMPEIVKFLDAKSFEAREIAAETLSNLLVVPRNQKRFVQNDQNVNFLLQLMDPNEGSNSDRKVVFEVAHGWRSETCEM >KVH95061 pep supercontig:CcrdV1:scaffold_641:79506:88721:-1 gene:Ccrd_002859 transcript:KVH95061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MDDEGGAAMTPEGLDWKFSQVFGERAAGEEVQEVDIISAIEFDKTGNHLATGDRGGRVVLFEKTDRVDHGVHRRVLEGMDCSSSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANSAMFLLSTNDKTIKFWKVQEKKIKQISNLNVEPSRPTSNGFISSSNVPTSFKACSANGGCIDNRLSCRSNNLSFLPGGITSLRLPMVVVTSHESSLLARCRRTYAHAHDYHINSISNNSDGETFISADDLRINLWNFEISSQSFNIVDVKPANMEDLTEVITSAEFHPSHCNMLAYSSSKGSIRLLDLRQSALCDTHSKLFEENEPPGSKSFFTDIISSISDIKFAKGGRYILSRDYMTLKVMSDALNERTLHLMRFSVLWDINMGSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGQRVATGSYSNTFRVFGCSEGSSEATTLEATKNPARRQIQTPPRFLRSPGNQSHGVNKREGSDYNSGGVDMNGNTFDLSTKLLHLAWHPNENSIACAASNSLYMYYA >KVH95081 pep supercontig:CcrdV1:scaffold_641:130176:141030:-1 gene:Ccrd_002865 transcript:KVH95081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MSKMKHLLRKLHIGGSCNDNNHRLAAADTSSRPPATTTLHSSSPSSSSPSILPIIDGTAAVLSSAVENVSDNSNSSTVDSNFFEEEFQMQLALAISVSSGSAQTGQPDAETAQIKAAKQRSLGCSPSESFVEYLSLRYWSNNVVNYDEKVMDGFYDVYGITSNSITQGKMPLLVDLQAIAVSDNVDYEVILVNRVVDKELRYLEERVSNISLEFQAAGTRQVMSRLIQRTANIVVDQMGGPVSDADEMLRRWTLRSYELRNSMNTIILPLGCLDVGLSRHRALLFKVLADKINLPCSLVKGSYYTGTDDGAVNLIKIDDGSEYIIDLMGAPGTLIPAEVQSCNLPSFGLDMRSIARGAESFEHQSPLIEVDTDLVSAPQPGNVAKIGTPSPEVVPVASLRSKAQDGKVRKRNQTKRFEYDFGELLPSLGRSHEGPSGAGGKTSPAQKLQIKDVSNCVISAAKNPEFAQKLHALLLNSGGTSSVSHLNVNNQEGEGQVCETIHLLDADMLNVGSQHVPALIMPYNEERLPPLTGVEASNVHYENPNTIMSGSQAEQEIRSSKNNLGYSLLSESTSESHSFILVHDGTSEEVLIDNSVGSYGEVYRSEWNGTDVAVKKFMNQDISGDALTQFKGEVEIMLRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLYKLLHRPKVQLDEKRRMRMALDVVCDFGMSRMKHHTFLSSKSAAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRIPWTEMNSMQVVGAVGFQYRHLDIPETVDPAVSRIITDCWHPEPQSRPSFREIIARLKTLGRLSVERVETCINQQ >KVH95062 pep supercontig:CcrdV1:scaffold_641:72669:73097:-1 gene:Ccrd_002858 transcript:KVH95062 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MADINTAETPPVYRGIRMRDGKWMSETRDPLTNTRYWLGTYATREKAAIAYDAAVYYFRGQGASLNFPHMATVLPRPPTSSKEDVRKACKKASLLLEPSIGTGSSSLPTHIQLLPTQIQAIRDSPLDCYETWMEANCTYVPF >KVH95080 pep supercontig:CcrdV1:scaffold_641:119290:122644:-1 gene:Ccrd_002863 transcript:KVH95080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase domain-containing protein TASIRDQIYCRAQTIVPGCAVCLRDCTLVFLLTTCLSAVAGGSRTPAGRHPPFLTTPIAILTMSTSPSTAGASAPSSSSNCAKTTTKDADALRQNRILSSKLYFDVPLYKAPVIYSTSYDIAFLGIEKLHPFDSSKWGRICQFLIANGVLDKTRIVEPLEATKDDLLVVHSEAYLRSLKSSLNVSIITEVGGTILAAKLAKERGCAINVGGGFHHCSADRGGGFCAYADISLCIHYAFIRLNISRVMIIDLDAHQGNGHEKDFSDDRRVYILDMYNPYIYPLDYEARRYIDQRVEVPVAEHAFDPELVIYNAGTDILDGDPLGRLRISPDGVTIRDEKVFRFVRDKNIPLVMLTSGSTTFFRNILFLRNG >KVH95066 pep supercontig:CcrdV1:scaffold_641:37745:41285:1 gene:Ccrd_002853 transcript:KVH95066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MSEERKRSLVGVMAPVKSLPSADDRKTNASISSSFSSRKVIIKSADMKEEMQSEAVNIAISAFEDLSVEKDVAEQIKKEFDKKHGPTWHCIVGKNFGSYVTHETNHFVYFYLDSKAVLLFKSG >KVH95079 pep supercontig:CcrdV1:scaffold_641:102250:107472:1 gene:Ccrd_002861 transcript:KVH95079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr MADSNDLVAIDMELSSPDRKEHLVKTANGFISVAIFGDPDKPALITYPDLALNYMSCFQGLFLCPEAFSLLLHNFCIYHINPPGHELGAAAVSYDDPALSVDDLADQVAEVLDHFGLGAVMCLGVTAGAYVLTLFAIKYRRRVIGLILVSPLCKTPSWSEWLFNKEVRGGSIVPDSDTVQSCRKSLGDMQSHNVLRFLQALNGRSDITEGLKRLQCRSLIFVGENSPFHLESLQMTSKLDRRFSALIEVGRCGSLVTEEQPEAMLMGLEYFLMGYGVYRAWEVNISPRSPLSPTSMCAELYKAESMGLKLKPIKTRLHPNQKGIY >KVH95076 pep supercontig:CcrdV1:scaffold_641:10266:11653:1 gene:Ccrd_002849 transcript:KVH95076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MEGIEHKTVSAIGINIHIAEKGEGPLVLFLHGFPELWYSWRHQILYLADHGYRAVAPDLRGYGETTGVPVNDHTKFTIHHLVGDLIGLLDAITNQGEKVFVVGHDWGAYIAWYLCMFRPERVKALVNLSVPFIPWNPNGDLVKMLRIAYGEDHYMTRFQEPGEIEAEFARLGTQQVVKKFLTFRDPEPFYFPKGKGFRHSPGDAPVTLPPWLSEQDVEYFTTQLNKTTFSGGINYYRALNLNWELTAAWSGAKVMVPSKFIIGDLDLTYHMPGIKEYIHNGGFRKDVPLLEEVVVMEGVAHFINQEKPDEINNYILQFLNKF >KVH95078 pep supercontig:CcrdV1:scaffold_641:179665:182900:1 gene:Ccrd_002869 transcript:KVH95078 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAHLLSTSCPLNISSSPKNGPNSLKQSLASLPTSLTLRTSFEPFRSLSIHRKNPQRLFSVCATTLQQAETKTGSGSDQSSGEQSRPTRVMVIGGDGYCGWATSLHLSNKNYEVAIVDNLVRRHFDDQLGLDSLTPIASIHDRIRRWRSLTEKDINLYIGDVCDFEFLSEAFTSFKPDAVVHFGEQRSAPYSMIDRSRAVYTQQNNVLGTLNVLFAIKEYGEDCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELYNRFDYDGIFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKRGEFRVFNQFTEQFSVNQLASLVTKAGEKLGIEVQTTSVPNPRVEAEEHYYNAKHTKLIELGLQPHLLSDSLLDSLLNVAVQFKDRVDIKQIMPSVSWRKIGAKPKTVSA >KVH95083 pep supercontig:CcrdV1:scaffold_641:190033:199548:1 gene:Ccrd_002870 transcript:KVH95083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MRKTAIFFLIFAVYHLRCNTTMSEQQPYRTAFHFQPPQNWMNGPMYYNGVYHLFYQYNPSGPLFSDQMYWAHSVSYDLINWIPLDLAFSPTESFDNNSCWSGSATILPGNKPVMLYTGIDSENRQVQNMAVPKDLSDPYLREWIKYTGNPIINLPDGIQPDDFRDPTTAWLAEDGKWRIIVGSQKDKMGIAFLYQSEDFVNWTKFDSPLHKVSGTGIWECPDFFPVWIDSKKGVDTSVMNPRVKHVLKVGLFDYAKDFYMIGNYSPEKENYVPQNELTLSTLRYDYGKYYASKSFFDPVKNRRVLMAWVNESDSQADDIAKGWSGLHSFPRSLWLDQNQKQLVQWPIEEIEMLHENEVSILDKKLEGGSLHEVVGITASQADVKISFKLSNLEEAEELDPSWIDDPQLTCSEKDASNKGQFGPFGLLALASNDLTEQTAIFFQVFQNNGRYVVLMCSDQSRSSTKSGIDKTTYGAFVDIDPQQDEISLRTLFDGVGCLQIDHSIIESFGGGGKTCITARAHPTLAIGKEARLLIFNNGTESVVISKLSAWSVKKALINVEERPMYFNGVYHXFYQYNPAGPLFTNQMHWGHSASYDLINWIPLDLAIAPTESFDINSCWSGSATILPGNKPESTQKNARFRTWLSQRTYLIYDPYLREWVKYTSNPVINLPQGVQPDNFRDPTTAWLAGDEKWRVIVGGEKDKAGIAFLYQSEDFFSWTAIDSPLYEAAGTGIWECPDFFPVWIDSKKGVETSVMNPSVKHVMKMGLLNQGKDYYLIGNYSSEKENYVPENGLTLNSLRFDYGKYYASKSFFDPVKCRRILIAWVTESDSEADIIAKGWAGLQSFPRSLWLDRNQKQLIQWPIEEIELLRQNQVSFQNKKLECGSLHEVVGITADQADVIISFKLSNLEEAEELDPSWIDDPQLIWSQKDASKNGKFGPFGLLALASKDLTEQTAIFFRVFQNKGRYVVLMCSDQSRLVLFL >KVH95069 pep supercontig:CcrdV1:scaffold_641:65777:66697:-1 gene:Ccrd_002857 transcript:KVH95069 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase METQKPVVFIMGATGTGKSRLSVDIAAKYSGEIINSDKMQVYKGLDIVTNKITEPEQRGVPHHLLGEIDPETDFSAQDFRYHALSAIKRVVRSDKLPVIVGGSNSFIEALVEDPLSSFRDKYKCCFLWLDVSVPVLWSYVEQRVDQMVDAGLVDEVGGIYDPKADYTRGIRKSIGVPELKEYFEAEKEISSEELLEAAISNIKSNTCKLIDRQLSKIYRLKKQLRWPLHRVDATTVFEKQGKEAEDAWERDVLRPCFQIVGDFLNLNLNLN >KVH95067 pep supercontig:CcrdV1:scaffold_641:23975:31210:-1 gene:Ccrd_002852 transcript:KVH95067 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenase acyltransferase, catalytic domain-containing protein MLLSRRILSGRSWNSGRRCLCYLTSIPPTTVVKPPTIAQFSSAALPFSKAKFDCSYKLNNCYNVMGHYFSTNALDSQAVDGVVDVPLAQTGEGIAECELLKWFVHEGDQVEEYQPLCEVQSDKATIEITSRYKGRVFKVLHIPGDIVKVGETLLKLTVDDSPVAFAVSETLLSSDTSNSDTSNSDDLKPELRKTQQGGALSTPAVRVLAKQHGIDIDDVLGTGKDGRVLKEDILKYSVEKGIITDKPVFNPSSIEPMSGPEEKLHEIAESLYQDKILSLRGYQRAMVKSMTAAASIPHFHYVEEINFDGLVELKAAFQKENSDPDVKFTFLPVMIKSLSMALTTHPIVNSTFNLEKYEVTLKGSHNIGIAMATPAGLVVPNIKNVQSLSILEITKELSRLQKLAMANKLPPSDISGGTITLSNIGSIGGKFGSPLINVPEVAIIALGRVQKVAHFRDDGTVYPVSLMTVDVAADHRILDGANVALFCKEWKLFLEKPELLLLHMR >KVH95072 pep supercontig:CcrdV1:scaffold_641:116613:118526:1 gene:Ccrd_002862 transcript:KVH95072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MENSGFNMPMGMAAENFIIPDENYPPAAMVSFNQKAFLDQNHHIMPGFPMLSMIPGEPVNGSGITNPTEHLGSNFPSIGHMDVPISATALAALLASRHGQQESLNFPLFGQSLEVPKSVVPNNHFPDTLPSLFNAAENFGYDGTMGDMSRKWDFNKFFMPPTERMGFDTHPNGWISSENNASVSSDGNELSLSLATCSGMSNLSLHGRQLGSTEQASSCNSKSFSLGFNSNSNRPIPVLQCSSGSNTYLHIMQEILSEIASYSLGNFDQMGYKQIGSDDFSDGNNTFEDHINPILKSRGVEMKKKHLLALLEMVDERYNQCLDEIHTVISAFHAVTELNPQIHACFSLHTITFFYKSLRERISNHILSMGADYNTMDPGEEELSSFVPKQWALQQLRRKDHQLWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDAEKQLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYLEMNRRSRDEETARNSQYHT >KVH95065 pep supercontig:CcrdV1:scaffold_641:11695:13782:-1 gene:Ccrd_002850 transcript:KVH95065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MEGIDHKMISVNGINMHIAEMGQGPIVLLIHGFPELWYSWRHQILYLAAHGYRAIAPDLRGYGDTTGAPIRDPTKFTTLHVVGDLVALLETLGADKVFVVGHDWGALIAWRLSLFRPDKVKALVNLSVHFGPRNPRRKPVETFRAAYGDDHYICKFQEAGEIEAVFASLGTEKVLKKFLTHRDANPFYFPKDKPFGDAHDAPVILPSWLSQEDVDYYTKKFEQTGFTGGINYYRCFDLNWELEAPWTEAKISVPVKFIVGDLDLVYNIPGIKEYLHKGGFRKYVPLLEEVVVIEGAAHFITQEIPDKINKHIHDFLLKF >KVH95070 pep supercontig:CcrdV1:scaffold_641:58104:58628:-1 gene:Ccrd_002856 transcript:KVH95070 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSDTHSSASGGTSSVYRGVRKRKWGKWVSEIRDPVTKSRIWLGSFDTPEMAAAAYDAVSFYFRGDCARLNFPQMATALPRPATPSADDIRVAAHEAAMLLKPSTSEIDTGSSSGHSVPTNVGLSPSEIQAINDLPLDSHETWMEYNNDPTMYYSNPASFDHNWDEVPDDSLWDP >KVH95073 pep supercontig:CcrdV1:scaffold_641:123745:129639:-1 gene:Ccrd_002864 transcript:KVH95073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKRMADKPSRGLVLYGDGLTRFVNPSHTHLHSLAAQSVCGFLSLPHFPPTENVDGTTSGESQDKCITPTISERFMGVRSALITENIRLRACGGKLGLSVLQSNKLINNSSSLVDSPVNLVAMELLKLLGIQGEKVLDTSQFDLVFVHVGACEEGQVGKYTEYINSLVGEIISKAKPESEIGSRLHLSVVLSFGDTSKDDESIFSLSNKNRNMDSNFASLFPSQSYRMKGSNPRSNVRDYCPMMIAQWQDAVTRKDTVETFSFQDFLKNGGNLTIPADRFVHEVAFKLWKAPKYGA >KVH95071 pep supercontig:CcrdV1:scaffold_641:93336:98296:1 gene:Ccrd_002860 transcript:KVH95071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQTLNSSLLENLEPMLNESIYHFLVELQKGRTDFSELGSIFFRLIQTMTDPPLEFIWFYSALTFHSAKSTTLRDSHNLLLSVKDLFHSLVSFRNPSGISSLKQVSLLAPVLSQLVNFKIEISSFKSEFEGLVDAIVTHIVVCCNNELEDQEVVVGKSTVSWVGLVPVWIADQVGENRGCVDGLQLFLPLSTDDIRRGIGGDCGMAYLAGVVMIESFLLRLCLMFHPGVSRKELHKDVKIHAAQIIKGFKKNSTFLVMLFKVLLEPRLPVAELLVSSSIPSTYHLVILHQMPTMTDELLLRRLLFDVALDFGCFLSCDTSSWLSDDQYKKIVILWLFVTNSALQFASENGDHVRVDSYLNAFLASQLPGQIISWVTGGTSTKPTAPHNSSPKELIGWLLVLEKQGIKICDHSISELHEKSVKYKLDGIEQGAKDGETMVEDAPIRINGRRKRDSTGEVQKQIKVIKCCHENLGDDVFCGKSEVGNSVSDQEMVDMVR >KVH95063 pep supercontig:CcrdV1:scaffold_641:45849:50380:1 gene:Ccrd_002854 transcript:KVH95063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MWQLGLGGGSESYPERPDEADCIYYLKTGFCGYVAFGKGCFDELCQVAGVMRSAGGEYPERIGQPVCQYYMRTGMCKFGASCKYHHPRQGIGSSSTLALNMSGYPLRPGEKECSYYLKTGQCKFGVTCKFHHPQPTAIISPPPPPPLAQAQTPESLSMSSAIYPTSVASSQQYGVFSGNWPVARPSLLPGSYLPGTYGPVILPPGMVPFPGWNPYQTPVSPVASPNTVGGGPLYAINPHLSPPMSSYTGSYLSMPSSTGPSKKEHAFPERPGEPECQYFLKTGDCRFGSSCRYHHPQEWNVPRTNFVLSPMGLPLRPVGPTRAEIASSNITSATQLGGDCTSLAFPLLLANIALTQLGKSSTHLAASSEYKKFMLLPIGGGAPLCSHYAQKGVCKFGPSCRFDHPMGTLSYSPSESSLADMPVAPYPVGSSIGTLAPSSSSSDLRVQQEEVISAATNKEDGLSMTSMPSASVGSSLSQSMPLSGSST >KVH95068 pep supercontig:CcrdV1:scaffold_641:50901:54800:-1 gene:Ccrd_002855 transcript:KVH95068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTEDERKALRGSKFAPLPSAAPTVSRSQPRLAHPGGPLKTNKAAALAKFLERKLQEPSGLSSINPKLVELAVKNAKETVRASNSSNSERKIQHVNSFGDPEVMIEEDEEMNVKPIKVKKKKNKKNKKQKVHFCF >KVH95077 pep supercontig:CcrdV1:scaffold_641:177080:177704:1 gene:Ccrd_002868 transcript:KVH95077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIADLVKTTLGPKGMDIILQSTGRGHSVTVTNDGAVYVIVKRKLVIASSFILRFGVSLCKLDVVAKGACVLEVSSKKGFLIDISKVQDDEVGDGTTSEVVIAGELLREAEKLV >KVH95075 pep supercontig:CcrdV1:scaffold_641:222:4176:-1 gene:Ccrd_002848 transcript:KVH95075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MEGIDHKMISVNGINMHVAEMGEGPVVLLIHGFPQLWYAWRHQIVYLAAQGYRAVAPDLRGYGDTTGVPVDDPSKFTSLHVVGDLVALIDALGADKVFVVGHDWGAMIAWSMCLFRPDKVKALVNLSIQFAPRNPRGTSVDIFRAAYGDDHYVCKFQEAGEIEAVFESLGTEKVLKKFLTHRDTSPFFFPKDKPFGAAHDDDTPVILPSWLSQEDVDYYTKKFEQTGFTGALNYYRCFGRNWELEAPWTGAKINVPVKFIVGDLDLVYNMPGIKEYIHKGGFQYFVPLLEDVVVIEGAAHFIIEEIPDKINTHIHDFLKKF >KVH95082 pep supercontig:CcrdV1:scaffold_641:153448:154290:-1 gene:Ccrd_002866 transcript:KVH95082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MTDRVYPSSKPTGKTKGTTTATTPPNPRLPPPPRKAQIQKPIPNRHPHRPNPIHNQRNPRRRSRRGCFCLCCFWSVLIIILLLLIATVAGCILYLLYHPHRPTFSIAALKISEFNLTTTADDTTRLTSRLNLTISTRNPNKKIIFYYDPIAITCLSDETQIANGSFANPFVSNPNNITIIRSSLYSNSLLLDTETVNHMRSDLKKKSGLPLKILLDTEAQVKIESIKTKKVGIRIKCEGIHSLIPKGGGGKSRNSSSVSAIVSAAKCKLDLRVKIWKWTF >KVH95074 pep supercontig:CcrdV1:scaffold_641:164662:165852:1 gene:Ccrd_002867 transcript:KVH95074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSGDVLVAYRPYSLSPAGNKIYCLVCEIPSRDEEKTESEPWRFHPKHRVKRKEDEEATNHHQLLILSFHNFHPANVKVFYLSLYTHSAIFIVNSSA >KVH95064 pep supercontig:CcrdV1:scaffold_641:17913:22767:-1 gene:Ccrd_002851 transcript:KVH95064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarylacetoacetase, C-terminal MDKLLSVGTKIVAVGRNYAAHAKELGNAVPKEPVLFMKPTTSYLANGGTIEVPNPLESLDHEVELAVVISKKARDVPEGTAMDYVGGYALALDMTAREIQASAKSAGLPWTVAKGQDTFTPISSILSLSRVPDPHSLELWLKVDGEIRQKGSTADMMFKIPFLISYISSMMTLLEGDVILTGTPKGVGPVKVGQKIEAGITGLLDMHFDVGRRQKT >KVI11678 pep supercontig:CcrdV1:scaffold_6414:13799:16558:1 gene:Ccrd_009908 transcript:KVI11678 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Nhp2, eukaryote MTGEAVNPKAYPLADAQLSITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADAEPLEIILHLPLLAEDKNVPYVFVPSKQALGRACGVTRSVIACSVTSNEGSQLRSQIQQLKDAIEKLLI >KVI11679 pep supercontig:CcrdV1:scaffold_6414:3253:5703:1 gene:Ccrd_009909 transcript:KVI11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MEEITEAVQNITISNDPHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMVALIIPVAIATVVTIAEILKNNGFAVEKKIMTSTVDMKDESRGRPIQKAKIEILLGKTDKFDELMAAAAEERGEVADGEEQHS >KVH93377 pep supercontig:CcrdV1:scaffold_642:55174:61192:-1 gene:Ccrd_004581 transcript:KVH93377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSLYCLTWFLHWALDEDVCATYTFSSVAVAGLAGDLWVHATRNSCDAYLWLSFWLLQPCSIIHKPELMLSAAPIEFQLLVSTVWFCYASVTLISIVSLFQLADSSLFLFWVIPDLVICILLFHLPVAVLAACNNDVTGYSFSLTLDAIDQGRNGAGEEWCRGEGDGEGKSTVVLRGGGGLTKMNSALQVVQGKQKIVQGLIPVVLLQILKLLPQLNCFSLPKLIIIASFGRDTRMEKEFASGIHGVKEVPNMDARIYDL >KVH93367 pep supercontig:CcrdV1:scaffold_642:89101:93560:1 gene:Ccrd_004577 transcript:KVH93367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaK PSTHQLILSLLLAFFFITKTLNPISLNHRFHRSMATAALLRSLRRRDVASASLSAFKSLNGAKTSWTGSHLSHKLGVIARPFSSRPLGNEVIGIDLGTTNSCVSVMEGKSAKVIENAEGARTTPSVVAFNQKGELIVGTPAKRQAVTNPTNTIFGTKRLIGRRFDDTQTQKEMAMVPYKIVKAPNGDAWVEANGQKYSPSQIGAFVLTKMKETAEAYLGKTINKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGLNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNAMLDFLVSEFKRTEGINLTKDRLALQRLREAAEKAKIELSSTTQTDINLPFITADASGAKHLNITLTRSKFEALVNHLIERTKNPCKSCVKDAGITTKEVDEVLLVGGMTRVPKVQEVVTEIFGKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTKLIGRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFDLMGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSDSEIEKMVRDAELHAQKDAERKALIDAKNTADTTIYSVEKNLNEYKEKLPSEVVSEIEAAVADLRKAAGGEDVAEIQAKIDAANKAQSKIGQHMQGGSGGSSDSGSGSGGSQGGEQAPEAEYEEVKK >KVH93374 pep supercontig:CcrdV1:scaffold_642:5384:5677:-1 gene:Ccrd_004585 transcript:KVH93374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITCIGYCKLLKEEDPKAWGLEPYDSDDNDANCLNLIRKGSVGIANIKFPLLYKSRSFSLVMVSDAFDYLSPKHLDSTLLELSIVSADGIVVLSGN >KVH93375 pep supercontig:CcrdV1:scaffold_642:11192:23386:1 gene:Ccrd_004584 transcript:KVH93375 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF21 MEARTIMGVCQHPTVISPPATQILRHHTIVFPLSPSHSTWLHAVTIISSFLTFTIIFSRFICFLKDKPVLNLISGGVRNGRKKLVLKKKKYIGWIVMTVQYECCGTGFFLHIGIVAFLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRKYAALGAILISVTLILLFGEIIPQSVCTRHGLAIGATVSPFVRVLVCICFPIAYPISKLLDYLLGHEHVALFRRAELKTLVNFHGNEAGKGGELTHDETTIIAGALELTEKTASDAMTPISDTFTKGHSRVPVYYEQPTNIIGLILVKNMLTINADEEVPVKNVTIRRIPKVAESLPLYDILNEFQKGHSHMAVVVRQCVKTTDQPATKTLTPLEKILKTKRSFKQWKSFPSRGSNSFSSKKWKKEMYSDILEIDGKPLPKLPDEEAVGVITMEDVIEELLQEEIFDETDHQFDDL >KVH93362 pep supercontig:CcrdV1:scaffold_642:188462:193264:1 gene:Ccrd_004569 transcript:KVH93362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGFTLLLHSTASITSHQSHNLFFTFPHNNTISLADRTLLDDQRKFVGFSAGRRNLVFRARTCSESIQLKPKSMDLKEELNKNLYEPLEPYTTGFLKVSDLHKIYWEQSGNPSGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQRGAGKSMPHASLEENTTWDLIKDIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPDKVTGIVLRGIFLLRQKEIDWFYEGGAAAIYPDAWEPFRDLIPENERECFVKAYHKRLNSDNLETQYAAARAWTKWEMMTAHLLPNEETIKRGDNDAFSLAFARIENHYFVNRGFFSTDTYLLDNIEKIKHINAVIVQGRYDCCCPMMSAWDLHKAWPEADFKVVADAGHSANEPGISAELVAANEKLKNIRRTK >KVH93371 pep supercontig:CcrdV1:scaffold_642:144284:146553:1 gene:Ccrd_004573 transcript:KVH93371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYSQESLPMIDLRSHRILGAALDPQETRAVSRSATDDSTFLGTDISMNILCELKRGSASTCSFKNKGVSEAVQVKITSDPEAQDINSEKAPTTNTLTESYEDCGIFICASSTAAELTDTDPEEILVSVLTRFPTGLLVRFLQLALPEKEFDLLLEQENQGLQILQTAADVLSASRAERLAEFNCDGDSTSVFRSDVTSHLGFTRTNPVSEINLLTPTGLQSIRS >KVH93379 pep supercontig:CcrdV1:scaffold_642:40320:41861:1 gene:Ccrd_004583 transcript:KVH93379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGLIIFSSTGKLHDFTSSREKLTDYTMGASSEVLNTGAINKGATVLSDSNC >KVH93372 pep supercontig:CcrdV1:scaffold_642:85032:87830:1 gene:Ccrd_004578 transcript:KVH93372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MGKGELSIDTEGDDMEGESSSRLRNGEVHSFRSHYPGLVRLKAYIFDGLGDYYNKEWDLLEGSGKEFCWYHVEIPKGNQKLSQSAQYLIDVLCPPLKLQDILSLISNGPYCGHVDGALVFRVNSPGPPSSKFTFRLAARVTENSVITVSLGRVPRLGFSPVGQSLLSEIPSVESPGAKQSGMREREGIVIKEHVLEFLLTMNHSEEADNPVPKSVSNLVVHVVDTHVDHLEDIKQYLDDRRFPKMHLDLQRLLQVITHGEQVFPRVKEKCCAKDWFASEDISSLEELIGRLRRLKDNVGFISNRVNAVQAGLDSWQAEQINRKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTGQRDPELVDGFRNVMLVCLATLALVFLCFLFPFLYSRITAWRRQKASKRSWSLNRRSFLKRTVARDREGYLCL >KVH93380 pep supercontig:CcrdV1:scaffold_642:54192:60615:1 gene:Ccrd_004582 transcript:KVH93380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MKELLTPVYRAQEESTPRRQLLGEELSGLNVNDLQKLEIKLEMSLKALTGEKTKPKIFTANPPQSGGQFPNLPTEQNLLKLGSKTFDPFDDQEKDQKQEQVLMDEVKELNHKGSFVGQENKELHEKVNLLRQENTKLQKEVYELWSMAEATKSSTTDMHHKNYELHAPINLQLSQPQPQKNCISVETMKLG >KVH93378 pep supercontig:CcrdV1:scaffold_642:70906:74517:1 gene:Ccrd_004580 transcript:KVH93378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKHSMSLIGKNCAATSRLTATISARLQGFRSNAALESLTRAGEERTQNLVLYNYPSFSGAFSALFAHLFHRHLNLPCLILPFSSVEPLRVEDLCIDGLEKCYFLDFLGPKGFASELSRQTSCKVIGFDHRNSTMSDIHLYKDFNSNITYQVNLEKSSSSAVYDYFCANLSEMRCSNGHTACLLDAEDQDRVKMVLKYIGDGDLRRWTLPNIKEFNIGLSNWRSKLNCITNPHLFEQLLKISVMNLVAHGSAYIASRQSSATKFLEKVFKVRLGRGLYGECLGVRIDGNSSLSDEIGKELSMKSEAAGLRPIGAVVYMQGKNLKMCLRSTDSFTDTSEIAKVYGGGGSPCSSSFIIRMDEYNEWLSF >KVH93363 pep supercontig:CcrdV1:scaffold_642:193324:196916:-1 gene:Ccrd_004568 transcript:KVH93363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQRLQSVPEMIDGNIKAEETEPSAEEKVNKEEEDDDDEEFYERIEAPKFVDFTKPNTFRTDDRYWFCSRVGCDQKHEEEINPEEISRNFVLRVLAARSPNIKLRKALNGEHSSMKCPLSAPAKPSKSRMPRLAVVSSSISCKMGDPQSKIKATSKVTSTPVVKSRQVAAKYMTTPRNKNCLPNPSSFRSVQNPKTTNDHVPKDRTVAKALVFRSPKKAMSLKKSVELQTPLTKICEGMKRLEIARQKKTLKCIKSKPKPETEDSSIRQLKICKGDKRFKDLVPSKSTKTQHKKIPTDKVVENNYGDMDVDVTVRDGSTFDCTKKEEDLQPKETSDTTSSHDLGAKMNLPNLEDKENMGSAEDHSNETLAGHGSGQMNDDGKENALNPEDNNRVFNNRPLGDKILSKETHKKNKVVQTLDKNLKGGLTSIGAGPTVVKYKKPKPTNIKPFRLRTDERGILKEATLERKLHFPAPEKEVVRDTSVIKKTFRIPKNEKFSIEQRKHIDSTSNNCGKESAAGGVSSTTALKMQMGSKPVPTTARKNAESTQQRPRSMTRLRTRSPSLQQQPQGVASPRKVGQQRLGVIKEKSSKVNSDVMVAENKTRATCAARSTSQTKRPVTIAKEPNFHSSRRPRSCPKIIV >KVH93365 pep supercontig:CcrdV1:scaffold_642:96244:125871:1 gene:Ccrd_004575 transcript:KVH93365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLHKGTELIAFGSWKSDALRLALKPRGKLSADSKVFRKLLPDPYSLNTMKVVSSLMGKPRLLPVTEVDLTTVKYDTGEIEEPESGVVFLKEDGRSEDRVESALKCLPQYDSANYHSSDSTPFCYWKIRDYANSYRKGLTTPSTVAEYVICAIEEFSNKKPPTPLLISFNAEDVRKQAAASTQRFAEGKPLSILDGIFVAIKDDIDCYPHPSKGATTWLHEVRDVTKDAVSVSRLRSCGVILVGKANMHELGMGTTGVNPNYGTARNPHDLDRYTGGSSSGPAAIVASGICSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTDIKGALCDGGTVEIVGPIASSVEDIILVYAAILGSSPADKISLRPSVPCLPDLSSQENSSVVGTLRLGKYTEWFNDVFSPDISNKCEDVLTMLSETHGCNVVEIVIPELDQMRTSHVVSIGSEAAASLSPDFQDGKVNKLTLDSRINFALFNSFTASDYVAAQRLRRRIMYYHMEIFKKVDVIVTPTTGMTAPVIPKSALKLGESNMKVTSNLMRFVLAGNLLGLPAISIPVGYDKQGLPIGLQIIGRPWGEATILRLAAAGLCIGAKQPASDIIVLKH >KVH93373 pep supercontig:CcrdV1:scaffold_642:80358:82239:-1 gene:Ccrd_004579 transcript:KVH93373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEVLMYRSGKTAAATTATSVNLGVELSVIEKRPAARRYNLKPLHWSKVSRMGKYFKDMKVAAFNSKLYHGCVSKAGSEQSRM >KVH93370 pep supercontig:CcrdV1:scaffold_642:143846:146964:-1 gene:Ccrd_004572 transcript:KVH93370 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MVVVSSGFANSVVLPESESRSMMINLSSSSSSSHTFLHCNSSSSSLAYVPPQLRIDCKPVGVRRLISDTGLVRVNPRCEVTSERNTDVESPSQLNSASLSALEALKTSAADRYTKERSSFIVVGLSFHTAPVDIREKLSIPEAQLPQAISELCALNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKVSGVSVSEISRHQFLLHNRDATKHLFEVSAGLDSLVLGEGQILSQVKQVVKISQGVPGFDRKISGLFKHAITVGKRVRTETKISSGSVSVSSAAVELAQMKIPQSSYDSVRVLVVGAGKMGKLVIKHLISKGCRKMVVVNRTEDKVAIIREEYTDIEIVYQPFSELISCASGSDVIFTCTASETPLFLKEQVEALPRLSSQRMFIDISVPRNVESSVADLETARVYNVDDLKEVVEANKEDRLRKASEAQLIISEEVQEFEAWKDSLETVPTLKKLRAYAERIRESEFEKCMGRMGNDLSKKQKGAIYGLSKGIVNKLLEGPMQHLRCDETEGRSLEEILENMHALNRIFGLETEISILEEKIRSKMEKSKK >KVH93376 pep supercontig:CcrdV1:scaffold_642:652:1161:-1 gene:Ccrd_004586 transcript:KVH93376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MELIRIKSLAIFLFMLSWFTHLPLGLAFLPPNKDMGLDFLVPHNKARAQVGVQPLTWNTTLATYARGYAYHRLGDCDLRHSEGPFGENLAEGYGDQFTATDAVNMWVGEKPYYEYVSNSCVGDECRHYTQVVWHDSTQLGCAKVKCRNSWWFVICSYDPPGNYEGQRPY >KVH93369 pep supercontig:CcrdV1:scaffold_642:164778:168834:1 gene:Ccrd_004571 transcript:KVH93369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVSILAGYVCFFALFVQFSGSKALISTPDVKQHHPKAIADLKEAIVKGLGLQSDEIKISGFDSRDALVGRSVAYEFDVEIDDKVLPFKLLEDVHRWEYVDLPIFRVEDQAGNDGDENGLAEKKGSGERLPVLAPFQLAGPMELWIQDAKDMRLSLPHDVDAGELRKVILADGAVVTVKGARSVSLRHPIELPLPLNNTQNGFASGLLTLAERLRHASRTQAQLLSLRIVGPTSLTSPTPSSPSSNNKLKLKRLAPGLVELSSVSKTNLRSVLSTIDLQGETPTLLTPDHFTTLWPLTSINGSHSNLVGLERLLSSVLGSKASKEGSFKLLKADISAHTFVKIGFRVERLTGNMAQGEGHGRLPEWRTKPETMKMHFEVLAKVDGDKFVAERVVQVNPPVMVEHTIAPNVVTGNTTMSKVPILHTPFTPFAL >KVH93364 pep supercontig:CcrdV1:scaffold_642:134073:138223:-1 gene:Ccrd_004574 transcript:KVH93364 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRA domain-containing protein MELKTVRDAFDRVAKKQKLSTSRYQQVIDQVGDEIKQAMTDIQSVDPPSSIDHKSVITNLKRKLDTVIPQEQLEKSQKELNTNLSQYPKILGKFFDTDISKAYRSVDFDLHIVNQIIISQLYHEGLFDVADILINEAQEPEIISLRCQFAEMHQILKALRARNLEPALSWVLANRQKLQQSGSDLEFDLRRLQFLELFQKGNQSDAINFAKTYLSPFASNYRNEFLKLMGCLLWPGKLETSPYSDLLLPAKWEDLIKEFTMQFCSLIGVSFKNPLGLT >KVH93366 pep supercontig:CcrdV1:scaffold_642:89190:96445:-1 gene:Ccrd_004576 transcript:KVH93366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase, NAD-specific MEAKMRKYQAAHYLHGVQTIWIRQELPKHFAVSRYINLGLNLCNIFTTGSFPQISHSSFDFRDHFTRKLLFVLVQILLDAVNGAWSSASLTIFSISLSERPPDDLIVICCSLPVVLSLAETVTIPFASMSNKQFFNITTKNTTLNSCSHGNCFIRIHSFAWSFPKNFCNNFLNFRNTSHPTNKENFIHLLGCDSCILHTTFAWWFTRASNFDLVRVIFKCLAPEASAVINGKLISVWVVDDSSILAFSAASLSLWRASLSFVKFIPSVLLNSLTKKSNMALSKSSPPRNDSAAAVGSLMILCTSKPAIRPASLVACLCASLKVDSAVRKAGHLNLEKEQKWTSTMGWKEYRTRHIRQSYIHTNNQTPIHSELLGVSKHKINSLEEKDSDIETERQQIKEIKVEMRAHTDLKAEREADATSRRRRDLSNAAVAMDL >KVH93368 pep supercontig:CcrdV1:scaffold_642:180271:183566:1 gene:Ccrd_004570 transcript:KVH93368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MSPESISGQYPFFLLLIFLIIIAPSSSQSDPRQILLKISTDLGDSSLPVFSTWNENNPVCNFTGIVCNGQNSVKEINLSQQQLAGTIDFDSICSLGSLEKISLGSNLLHGTISSHLSKCTHLQYLDLGYNLFSGEFPEISSLTHLQFLNLNLSGFSGPFPWQSLQSLNNLTYLSLGDNPFEMNPFPLEILKLGKLQTLYLTNSTIQGTIPEEIGNLRLLQSLELSGNYLVGTIPKAITKLTNLQELELYDNELTGTFPVGFGNLVMLAKLDVSNNSLEGDLSELRNLTRLESLQLFENKFSGEIPVEFGEFKFLKQFSIYDNKFTGELPAKIGSWADFEYIDVSENFLTGSIPPDMCKNGKMFDILMLQNTFTGGLPETYANCSSLSRLRVNNNSLSGRVPDGIWSLPSMKLIDLTMNQFEGQVTPNIGEAKSLQQLFIANNRFSGELPEEISEVSSLVEMELMSNQFTGQIPARIGDLKKLNSLHLEGNFFSGAIPESLGSCVSLSDLNLAGNSFSGQIPASLGSLPSLNSLNLSGNKLSGVIPASLSSLKLSLIDFSNNMLIGRVPESLLAEAYNNSFAGNPGLCAEGRRDLRRCSPISHKSSDLNVAKYCFIAGALVLVLSLACFLFVKSRRKDRKNLTDRGFSWDMKQFHVISINEGEILRSLKQENLIGQGGSGNVYKVELGCGKKLAVKHMWKSGIDSGDRKSYPSGETILPKRKIRWPEYDAEVATLSSIRHVNVVKLYCSITSEDSNLLVYEYMPNGSLWDRLHTYQKVEMNWDVRYEIAIGAAKGLEYLHHGCDRPVIHRDVKSSNILLDEEMKPKIADFGLAKIVQTNKVMDSTHIIAGTHGYIAPGKSLIFISR >KVI00720 pep supercontig:CcrdV1:scaffold_6425:7278:16589:1 gene:Ccrd_021028 transcript:KVI00720 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOB1 [Source:Projected from Arabidopsis thaliana (AT3G08550) UniProtKB/TrEMBL;Acc:A0A178V9W7] MDWILHLDTDELIHPAGAGEYSLRQLLRDIPGDVDMVVFPNYESSVERDDIKDPFIEVSMFKRNYDHLPKDTYFGMYKESTRGNPNYFLTYGNGKSVARVQDHLRPNGAHRWHNYMKTPKEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKDDVKRCFMLEFDRSAFIVASTATEEEKLNWYREHVVWTDKTINLKLLRKGILTRIYAPSVIIEGLKESGVFSSVIAKAPATLSQDKFLASIESSNSSRASGTHSQPPRKIIRNTDHQSHATVRKALEATELHEAAVPPLSPPGPTMETHDNNLIVQSR >KVI11235 pep supercontig:CcrdV1:scaffold_6428:5188:5406:1 gene:Ccrd_010357 transcript:KVI11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, subunit 1, conserved site-containing protein MAQKQIWSGIPLFPVLVMFFISCLAETNRAPFDLPEAEAESVAGYNVEYXRDAILNSSLLAEANVLGSRDSF >KVG73064 pep supercontig:CcrdV1:scaffold_6429:17642:19665:1 gene:Ccrd_026227 transcript:KVG73064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MSKEEFLKIQTCALKVNIHCDGCKRKVKKTLQKIEGVYTIVIDSEQGKVTVSGNVDPAALIKKLAKSGKQAQIWGAPKPNQQMNNQLKNLQIDAGKGNKIQGQKAGGNQQGKGGQPQQKGGGGGGGGPPTLQQLQQLQQLQQLHQLKGFQDMKLPPQFKDMKLPALGGGGGGGGGGGGGGGGGGGGGPKDAQKSVKFKMPESDESDDEFDDDDYDDEFDDDDYDDDEFDDDMDDLPMKKPVMAGGGGGGSQMANMMMNPQFMKGGQMPPHLMKGAPQIGDAGGNGGKKGGGGGGGGGNGSIPVQMNAGGKKGCNQNQGGGAGKNGGKNGGVGLADGQIKNGGGGGGQNKNNGGGGGIPNMNGNGVKKGGMNDGVHGMPNMMSMSGGGGGGLGPMGHMPMGQMSNIQAVQGLPAGGGGGGNSYFQGGGAEAMAGNPYYQQQLAAAMMNQQRANGNERFQPMMYARPPPAVNYMQPPYPQYPYPQPPQGDPLTHYFSDENPSSCNVM >KVH94379 pep supercontig:CcrdV1:scaffold_643:155082:157748:-1 gene:Ccrd_003572 transcript:KVH94379 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MGSNTTVQPPPQYAPYRLVKTLTSHQSAISCVKFCNSGKVLASASLDKTLILWNAQNLTLISRLIGHSEGVSDLAWSSDSHYICSASDDKTIRIWDARAAECVKTLRGHTDFVFCVNFSTQSNQIVSGSFDETIRVWDVKTGKTNHVIRAHSLPVTSVHFNRDGSLIVSGSHDGSCKIWDAATGTCLKTLIDDKVPAVSFTKFSPNGKFILVATLDDTLKLWNYSTGKFLKMYTGHINKKYCITPTFSVTNGKYIVSGSEDHCVYIWDLQGKNLLQKLEGHTDTVVSVSCHPSENMIASAGLLGDGTIKIWVQP >KVH94365 pep supercontig:CcrdV1:scaffold_643:54044:56000:1 gene:Ccrd_003563 transcript:KVH94365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MNSGIRKPAWLEALYAQKFFTACAIHENSKKNEKNICCLDCCTSICPHCVHIHRFHRLLQVRRYVYHDVVRLEDLERLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYRDLTPFLRVCNSLQLGPDFFIPNDTGDDEMTNETPHSTIVDVDDPMSSGSGSSGSENMSMMCTGFVRKKRSGLYSCESFSNKVHSEEDMATSMSRRKGIPQRSPMC >KVH94378 pep supercontig:CcrdV1:scaffold_643:161173:170294:-1 gene:Ccrd_003573 transcript:KVH94378 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MDTLPLGDGLSMAKRPCCSEPSTAKSPPTMSSEPDDDRFDNDTVLESFLGVSDFDSINTSFDSLIKSRSSDSDQNDLIQXALHLGXVLLEAGKRSDRKRSSFHNAVVWPLPPDLTIKVFAMLDTQSVCYAAATCSFFQKCAADPLCFANIDLITSVPKVNNAVVSSMIGRAGNALQSIKLGVLPPCASPLFCSSEPLVYSIRNSTDASGVSWNDKRSRQGKESSILTRSCLNSLSGNGGGAPGARLRRLHLFNIERMDNTALLASLSACPSLLDLEIVGLDDGLKYPTCNEFVRNCPNIITLALKGFKLQDYKARMLVKGLRRLKYVDFSTSYSFTGTFLRLKLSGLWELFLQENSSSFDILCDISNREGLASDGDWFHRCYSASFIPVKQLLEERPNFRLVAEFPKGSYVDVEQPMTNDLNSDFSLPSQLSSHASDGSFLMSTSDNSSDSEGSDNEDGPESSFVTYEESSDEVDFLSG >KVH94376 pep supercontig:CcrdV1:scaffold_643:151256:154104:1 gene:Ccrd_003571 transcript:KVH94376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFSLSSHCSAPPPVIVSPGSNRSGGVFRSELSLSLRTSPFRNKTLRLHSSNSSNGQPKEGSKSSSSRFLNEDGVVEDMDGYLNYLSLEYDSVWDTKPSWCQPWTITLTGASVIAGSWLILHNVLATSVATTLIGTWWYIFLYSYPKAYTEMIAERRKKVTSGVEDTFGLRNSP >KVH94364 pep supercontig:CcrdV1:scaffold_643:26207:30586:1 gene:Ccrd_003562 transcript:KVH94364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTSSSSSPVNHLLHPLHHRTLLIPKFPSLLKHTQFPSPLQFPSSRIQPTSAIAAKESIWLILIDSRVFRPAIYRSGFKCLKCNKSYSSKNIYLDLTVIAGAKDYVEIQPSRTELFRSPLVSFLYERGWRQNFNFSGFPGPDEEVSSIDAVHAGAALHCWPSPSNAIAEINRILRSGGVFVGTTFLRSTSSTPAILRPFDRRIGGSYNYLTEEEIEDLCKSCGLTNYTTKVQQAFIMFSAQKP >KVH94375 pep supercontig:CcrdV1:scaffold_643:186043:190588:-1 gene:Ccrd_003574 transcript:KVH94375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MLDSMDDGEITVSAYDTAWVALVEDVNGSGRPQFPSSLEWIVNNQLIDGSWGDHLIFLAHDRIINTLACVIALTFWNVHPTKCRKGVEFLKANISKLEDENEEHMPIGFEVAFPSLIDIARKLNIEVGEDSPVLEEIYARRNLKLTKIPKNIMQKVPTTLLHSLEGMPDLEWEKLLKLQCKDGSFLFSPSSTAYALMESKDEKCLQYLTNVVTKFNGGVPNVYPVDLFEHIWAVDRLQRLGISRYFKSEIENCVEYIYRYWTKDGICWAKNSNVQDIDDTAMGFRLLRMYGYEISPDVFRQFEKDGKFVCFTGQSTQAVTGMFNLYRASQVLFPGEKILEDAKKFSYNYLKEKQSTNELLDKWIIAKDLPGEREWNTMQQWYADFNIGRFGMSNITSLLVAYYLAAASVFEPERSKERIAWAKTTTLVDAISSFFDSQQLSNEHRRDFVNEFRNTPSSLHPAKYGKPWHGLMVALQGTLHELALDALMANSGDIHPQLYHAWEMWLTRWQEGADAIEGQAELIVHTMNMIAGRWTSKELFTHPQYRRLSTITDNLCLEILHSDNSKENRRTCFGSETANTTSESRMQELVQLVLSNSPDDLDQDLKQTFLSVAKTFYYKTYFDQETINVHISKVLFENVI >KVH94370 pep supercontig:CcrdV1:scaffold_643:92410:92925:1 gene:Ccrd_003564 transcript:KVH94370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHPAPNRRNITVRYDFGSQSNAAAVLCRQKKLRRLPHIFAKVLELPFYSDADVSIHETSDSLKFVVDTDDDIGTDGDIAAHTIEIYPGVTKIVVGGRRVVNNCGNNPVNELELDVWRFRLPASTLPELATASFSDGELVVVVPKDVNLDGNQGELWVEGNETGQFVLVQ >KVH94366 pep supercontig:CcrdV1:scaffold_643:15623:16021:1 gene:Ccrd_003560 transcript:KVH94366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFLRTHDRIFASRPSTAAGKLNLYNGRSHENTLDSLSTFVLKKGKFCSCRSHTLSREPIMLKVHLSRSTQSMICRMVLGRKYFSELKEKEIVTLVEFQEMLRSFSC >KVH94369 pep supercontig:CcrdV1:scaffold_643:108450:121133:-1 gene:Ccrd_003567 transcript:KVH94369 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MALYRAEVKSNFLQAQIGALDRIRMELRSSRVLKRDKPDINSSIQNHDFGDKKRLQLSSDESNSGKKGANEVEIGVCVDNAHDNLEMGPNNGSKIVSLEVTKNGGNTDDKGLFLGSEVLDPIKDLVVSCPEGEIEEESVERVQVESSGGGSGGGEEGNKPVLINLSEKDGGFKEEIPSRHISSISKLHTSPEVETMDCGTRSKNVESGKFVDDIMITANGDNSEEATTAVLDDSNLLNDAEKADAGVDKRGKKKGKGKRGRKKGNAGNLKKNESKQDSDTRSKEMKVGKADVCCSENGVKRKRGRKRKNVEISECNGDGIAKREKVEKGGVQVNGRLLRSRAMTIGGGVKAVHGRVEVVIGLKRIIKEGEGTELAARPKKKQKRRGRPPKVRPSENSSLNVFKREDENRQPSSSPRPEKKLKRRGRPPKVQGETTLKKDGILTEIPKKKLKRRGRPPKVRYETMPSAEIPLTPKRSRGRPRKETAPQGVQKNRHKDMIFKKLKRRGRPPKIDSRVLANVIKMRTVKLMEVKKNSNRLKANDVKRVPKIQKIRKEEGLLEVSGENPQKVQQFEENSEQMMDEGKGIISKPMKRLKCKQLVRDKIVDILLNSGWIIDRRPRQERAYKDAVYIEPSGRSHWSITRAYLMLKKKIEDGDADSNEVSAYIPISEEEIGMLFRVVDKVHGYKKKKNRKPIDVNKAGIVTKRRKRAGKNTKDGSKRKKIRNSATRSANKSLKHPNREKGIVTESRKPRLLARSSEKVSKQDNDGCLMYSGKRNLLSWMIDLGVILAGSKVQYGKTRRQKRSSEGIITSDGIHCNCCNEIMGISRFVAHAGGKLNQPFDNVYLESGTSLLKCMLDSWRKEEESNTIGFNRVDVKGEDPNDDTCNICGDGGNLICCDGCPSTFHQNCLDIQITYAVYVHCRIFLLGIGIASTVLANFVEWFPLLPLKWMTLVMHLPLKCSRAVCVRRNVRHSYNLHRSCLQEVEAVNVDSSELPFCGRKCQELFERLQTYLGVKVELEDGFSWTLLQRSDVGQDFSVQDTQLNVECNSKLAVAFSVMDECFVPIVDERSGTSMIRNVVYNCGIHGYRLAEMPFIGTRHMYRRQGMCRRLLDAIESTLSSIGVEELIIPAIPELLQTWTKVFGFMPLEESKRQAMKYMNMLVFPGIDMLQKPLLRNPLADRSPTPSAVSDDKAVEHTTSEPNDNHSDASEIVKDEAEEATVLMDVCSCPDPNDKTVSQSEPMTSNAIDCNQRPADAAEVGTDDIDNERTKEPTVLMNGCSRHEEAAAGIPKDSFDHEPPEETTGLTGGCSCPKEDVSRLEKDKFMISDGANGLCDLNFPVKNDRCRFLETTGPISSDAKSTDVRTSDDSAADMTCELYGTPKLELDVENDGISAAEASTTSKLAPKNTFDLNLHPTPVDTDSHIVGDNSLTNEPCRTSFELSETRSRVDHGRFMAVGSQSVGDEMMTMHLVAC >KVH94377 pep supercontig:CcrdV1:scaffold_643:145797:148230:-1 gene:Ccrd_003570 transcript:KVH94377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAHFASFLAAILSISVVITVNGQISSPCSVSMITSFTPCVNFVTGSSSNGRSPTASCCSAVESLTTTSMECMCLIVTGNVAFSLPNPINQALAITLPKACKSKRVPLQCKCSKKAMLAPTPSKTAPAVLPDPGADQEPNAPDASAPIRQAPIAGSGIRPVLTPVSASNPLSVPPPLLLLMLVATTVTNFCQVFLFRSIL >KVH94374 pep supercontig:CcrdV1:scaffold_643:9074:12868:-1 gene:Ccrd_003559 transcript:KVH94374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLEKWSPSASNHQEFITKSSSSINGEKGLTMATDQVSPAGINRKLMTKTIASSSTTTNYNKTYKIHDPKSDVKFTHGQVENEEVFSTTSTPENSKHRNTQIVSEQYLDVIDITGMDYSPAKRKPPIHN >KVH94368 pep supercontig:CcrdV1:scaffold_643:103758:107277:-1 gene:Ccrd_003566 transcript:KVH94368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13 MSLVANEDFQHILRVQNTNVDGKQKIMFAMTSIKGIGRRFANIVCKKADVDMNKRAGELSNAEIDNLMTIVANPRQFKIPDWFLNRKKDYKDGKYSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KVH94372 pep supercontig:CcrdV1:scaffold_643:125166:129276:-1 gene:Ccrd_003568 transcript:KVH94372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLGRIYDVKDPSSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLVRNGLDTKIEKSRKQMKERKNRSKKIRGVKKTKAGDAAKKKK >KVH94371 pep supercontig:CcrdV1:scaffold_643:97577:100260:1 gene:Ccrd_003565 transcript:KVH94371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein MSQEQPRRSQEEPIKYGDVFNVSGKLANKPITPQDAADMQAAETKVLGQTVKGGPAAVMQSTANVNENRGLVGRYDDQGIAVSDTEYAGHQIITESVGGEATVMSAGSKLVDQADAAAIQAAEVRATGRMQAVPGGDATKLLPEDKPVTRVDAEAVIEAEIRNKPNLATTPGGVASAVDAAAKINQQK >KVH94367 pep supercontig:CcrdV1:scaffold_643:22227:24616:-1 gene:Ccrd_003561 transcript:KVH94367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1817 MASIKPFSTLSSPLNQTIPSLHNSSFSFKPISSSSSSSVSQRGQVAVSVAFNPSGNFDLSLYDEQENVEPAPPPMPPKDGRYEVVIDKDIIRRLDMSSFSNATGITTTSTVDPREFLERTIGFTINYDREDEHDPRELSEFPDIRLWFVRLDAVYPWLPILLDWRAGELARYAAMLVPHQMSMRMGVVFNPEALELFIMKKVFISYSWLKENDIPKPRLKVINMARMLGFGIGEELFDMIDRHPVSSP >KVH94373 pep supercontig:CcrdV1:scaffold_643:143801:144724:1 gene:Ccrd_003569 transcript:KVH94373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF868, plant MRDFPSCFGENGVQVADASSSSSGASMATSATTTKISQNLVSCVYQCRLRNFSCFIITFTWTKNLMGQNLCVQIDDSTNQSLCKLEIKPWLFSKRRGFKNFEVGSILIDIYWDLSSAKFGSSPEPTEGFYFAIVVNQDLILVLGDMEKEVHKKIDSSKFTPNVVFVSKREHICGKKVYSTRSQFCGKGQIHDVLIECDLMGTNDPFLLIHIDGKIMMQVKHLRWKFRGNYTILVDGLPVEVYWDVHNWLYGKLTGEAIFLFQTCFSAEKLWANDSIPVPSSWSNSFRRDPHSQGLGFSLVLCAWKNE >KVG72886 pep supercontig:CcrdV1:scaffold_6437:18954:19364:1 gene:Ccrd_026228 transcript:KVG72886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MKSTIYGIFTKAYIKSATLLNMTMVAPVAPFGVCFSSQRVVPDIELVLQSELVKWLIQGRNSMVQVSDLVMSLGFVDGGLDLNDSVVLGGYQLEDHILEFNLGTGMMGFSSSSLLIEGNSCSKIRASVSRPTESL >KVG72835 pep supercontig:CcrdV1:scaffold_6439:13401:13885:1 gene:Ccrd_026230 transcript:KVG72835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFNKGKTKCLKFGSSPDEKKKMKRRRRNIATSKKKKKKKKKRKRKRKRKRKRKRKRKRKRKKKKKRTKKTMTSRLITLSAMNIPDEKNL >KVG72834 pep supercontig:CcrdV1:scaffold_6439:8906:12242:1 gene:Ccrd_026229 transcript:KVG72834 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MKSKEKMGLQVENILHMNTGNGESSYAQNSFLQEMVIRKTLPVFEHTIKGMADLDIDVFGQCFKIADLACSSGRNTLLFARTIMDIVDEVCKEYDRKGPQFEVCLNELYGNDFNTIFGMLPNFIAKLKKEKGENFGPSFVSAVPGSFYGRLFPDQSLHLVHSSYSVHWLSQLPEGLENNKANIYMSKTSPPNVIQGYQKQFYSDFTNFLNLRSEEIVCGGRMVLTFLGRSIADPTNINLFNLPFYTPCEDEVRNFIQNEGSFAIETLYGFQGNWDPYDTDYTNKNDLKEDSREHGKNASNAFRAVAEPLLTSHFGNSIIDVLFNKFERHVAEHLANKKTRYFNILISLTRK >KVI11255 pep supercontig:CcrdV1:scaffold_644:17328:19522:1 gene:Ccrd_010337 transcript:KVI11255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MCAMKLHSAEVQSSLFFFLLVLPLTIADLNSDKIALLNFAAAVPQGRKLNWRNKTSVCKSWAGVSCNGKRVTTLRLPGIGLYGPIPANTLGNLDALTILSLHSNFLNGSLPFDILSLPSLTNIYLNKNYFFGEIPSSFSSQLVTLDLSSNSFTGNIPTSIQNLTNLNTLNLQRNSLTGSVPDLQLSGLKHFNVSNNRLNGSIPTSLNKFPASSFGGNSGLCGPPLNKCLSPSPSPSPSPSPSPAPALSQTPSPSFSPSPSTDLVLPPPQTRVPPAQKLPTQLKDNKKLSKGAVVAISVVSSSMLLLMLLTLVVWCAKKKEGEASGSKGKAAFGLGRIEQPREEFSSGVQESGRNKLVFFDGSAHKFDLEDLLRASAEVLGKGGYGTTYKAVLGEGTLMVVKRLKEVVVGKRGFEQQMEIIGSVAKHPNVVPLLAYYYSKDEKLLIYDCAASTSLSSLLHGNRGSARTLDWETRLRVGLGTAKGISHIHSGKLTHGNIKASNILLNQDNHGCITDFGLTPLMGIPTLPPRTSGYHAPEVVETKKATQKSDIYSFGVILLEMLTGKAPVQSASSGQDEVVDLPRWVQSVVREEWTAEVFDVELIKYQNVEEEMVQMLQIAMACVGKSPETRPKIDQVVRMIEDIRMLDNENPQSSYDNHRRS >KVI08010 pep supercontig:CcrdV1:scaffold_6440:21048:21561:-1 gene:Ccrd_013624 transcript:KVI08010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFFSWIYVGVFYAQFGSLWSIYGCYWYHNEVGGGRSEELDEAQAIGPQDRRDLAKPLAFGFMFFRSYFRCSCAYRLHFLVHHCTFFFPREHFNNFLLLPIAMHSTNVVFLLFETALNS >KVG72805 pep supercontig:CcrdV1:scaffold_6442:14531:21740:1 gene:Ccrd_026231 transcript:KVG72805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3769 MANLRTSMDAAFYDLNIATPQTLHGSARSIPGEPVPLDGANASKVPRMEQLTFLGPGFPLGLIPSFARPLSYSSQKESGSLSLQTLWLRQPAENWQLGLVGQIRPRKLLSSLKAEAFRADPKVSRFKRIFKKFFDRSFYSFGSSSLLELGPSTHVLLSVEKDGQRKNRCTKAIVNHQASLDFISIFWTMTELTTSSQDSCHDVIHFAVQLPDHDITLEAAWPELFVDRYGKYWNVPESISLNCLSLISKSGLRYRFGIHKNTGSPSALDSVEGQAPASLRPGICAKAAFSYEKSKDLWRNPETKEDLVLVKKHGGGFRTTAYDLRMKEPHAAISGILGATCEAWFGGKNFDDNTNTKRSPFGADLFGSICFAFQQGKFRKRYGDLTRFDARLDLAKGFSDTLNSSSVSPQLNLILQQQVLGPLVFRVNSKVSLGSSSERRGPHIEDVIYSLNYSMRYLESGKVVAWYSPKRNEGMVEVRVCEF >KVG72628 pep supercontig:CcrdV1:scaffold_6444:7496:8205:1 gene:Ccrd_026232 transcript:KVG72628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEIKSHFEGDLKPKNSLSDWNCKDPTRLLSLIHELMSLYMAYQKKHVGEVDDERLKFDINTIYSL >KVI04754 pep supercontig:CcrdV1:scaffold_6446:3860:4747:1 gene:Ccrd_016925 transcript:KVI04754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNFQSEDAQILSTSDPPVIPTTPNEEEGDVKRRKRGCNSEFYDLNDSATSNFAPIAPIAPRAVVPLWTMCAPPTTGFHGGTIFMIPPCGATGPTICHQPQLWAIPTGATPVLDVPQRPISNYISAMKPVVCIGGSAVDTPAVSSGSISTTRRREMVKDLSLEIGEKRELQFMEGCSTDKTPMK >KVG72209 pep supercontig:CcrdV1:scaffold_6449:7065:8971:1 gene:Ccrd_026233 transcript:KVG72209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLEDLLAIPSSSSKKLEIIKAGRHAVELYILKALQIDRSYSENPSVIQPCVTVLRCLNGSLYGGFKREIQELLFQGLLSFFNRENHIANQRQVPRGAAVSVCFKTGLVHLEQNQLPDTFPLIRLVISSQKICCSQEGGDRYQELILDFLISLRKRRRDSLKLKEDSELNVYLMRIR >KVH88634 pep supercontig:CcrdV1:scaffold_645:75329:75817:1 gene:Ccrd_026237 transcript:KVH88634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRITTLGQHNGHDSTGGKTKRIATGVLQSVTTLWLNCAKQAIIASRKLKDNSKISPDSPMIQRPRKLIATISNKAIKLRHRKKRTGAVGKMKDDGGPDFGDDGLWQKEILMGDKCQPLDFSGVIYYDRDGNPTNEFPMRSPRASPFPAYVAKFDWSPPRER >KVH88639 pep supercontig:CcrdV1:scaffold_645:53338:53721:1 gene:Ccrd_026236 transcript:KVH88639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGVLRSPATLLLLTAITLLLWFTTTTATIAAIFNQPPPNSIGKVHIQQRDHHHHHLLPYPPARRTLHNKEALPPPPKKFNFLPFIYRRHHHRKTHHRPLVPLSKIDPRYGVEMHLVPTGPNPLHH >KVH88637 pep supercontig:CcrdV1:scaffold_645:104066:112600:-1 gene:Ccrd_026239 transcript:KVH88637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQATEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFVGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDAAIESMNGQYLCNRQITVSYAYKKDTKGERHGTPAERTLASSNPSTQKSRPHTMFASGPPTLPKVGQPNGAPVPPRPFANGVVPPVPTIPAIRPPPPPAHVYQPMQMSVPPPQWQQMGQPQGMPPQHLQQFRPPPPSMPPPPSNMPQMMNRPPPPPAGMAGQHVWRAPPPPQQLTGGHGHPSMMQMSMPPPPPPNAPPPPPPLG >KVH88635 pep supercontig:CcrdV1:scaffold_645:33439:40281:-1 gene:Ccrd_026235 transcript:KVH88635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1, membrane alanine aminopeptidase, N-terminal MAKPRKQKNEEQKADNSEAVVKHQKLCLSIDLEKRRIYGYTELEVVVPENGIVGLHADNLMIESVMVDGGPARFEIFPHYQQMDSDDRWCSVSSANSAADAAGSVYVSCLERELVPNLLIMCSSEVVKPVPKQPEQTGQENGTQISSESKQVTVVTESTYVSINMLCWVQNVKLIHIDFWVEKIETGIHIEKDVMHTNNQIRRARCWFPCMDDSSQRCCFDLEFTVANNLVAASTGTLMYQVLSNDDPPRKTYVYRISVPVAAQWISLAVAPFEIFPDRHNNLITHICLLANSSKLQNTVGFLHSAYSHYEHYLSTKFPFGSYTQVFIDPEMAVSSLSLGASMSIFSSQILFDEKIIDQTIDTRIKLAYGLARQWFGVYITAEAANDEWLLDGLAWFLTDSFIKQFLGNNEARYRRYK >KVH88638 pep supercontig:CcrdV1:scaffold_645:94818:95312:-1 gene:Ccrd_026238 transcript:KVH88638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MSDRSIVAGQLHRPPRPTTAGDGGQFMRTFRQRSLNSTQLMGILTLVVSGAILLLLTGVTITVTVLGLIFFSPIILLTSPIWVPIGALLFVVVAGFLCVCGTGLAAAAAVSWLYKYFRGLHPVGSDRVDYARSRLADTASHMKDYAREYGGYFQGKVKDAAPGA >KVH88644 pep supercontig:CcrdV1:scaffold_645:132260:135822:-1 gene:Ccrd_026241 transcript:KVH88644 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4413 MESKSVMDVALIPNIEPIDSAPGTSDKGNHMTKPRKKTMTSVYLKFFETAPDGKNRRHLSNRHPGYDKSDDGVSTPNSQPIIVVKKPQTQVKTSHVELDHLNWLLIKWLVLASLPPSTFEEKWLSNSFKFLSPPVQIWPGEKFQAVLHEVFRSMRDDIRSTLEHVSSKVSITLDFWTSYQQIFYMSVTCQWIDDTWSFHKVLLDISRVSSPCGSGEIYHTLIKVLKFYNLETRILSCTHDNSTNAVHACHTLKDDLDSQKSNPFCYVPCAARTLNSIIDDGLRSTKSVIAKIREFALEMNSSSEMMEEFSQLTSTYQEGNWKFPLDVSARWSGTYQMLDIVRKHEETHSRLLLNSAEKNAISIMHTYLEPFYKTTNDICTNKLPTVGLVLFFMDHISETITACRESRHSPDWLKNAAVDMAAKVRSYNDQVSNIFTYMTAILDPRIKIELIPESLNLENYLEEARSHFVRNYSTTHFPSMAASYGSTQELEDGGSNVSFAEEIARKRRRASMGTATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQATSVAPEDLFCSKGDEVERQKQLLPVSSMQAILCVRSWTESGMKLKYKSTEIDYERLMELAENGAGGFEKKQK >KVH88636 pep supercontig:CcrdV1:scaffold_645:8456:20647:-1 gene:Ccrd_026234 transcript:KVH88636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANCAVCKADDSAATALSSSDASKALYGTQCIGFYGKIRSWKSVAVLQMLEKQMGPESFCKVLKNLVAPPKDTTRPHRTLSTKEFRHLANEVGNLERPFLREFFPRWVGSSGCPVLKYCSGISAIFMLITSVLHLIILRMGFSYNKRKNLVELAALRGCTATPDSNSSVSNTKPDFVKREADVGWPGMMSIRVHELDGMYDHPVLPMAGETWQLLEIQCHSKLAAKRFQKPKKGSKHDGSDDNINQLEKDKDVVAQAQAITALELFPRLLSIVNALSNLLCDSQCMMWRLCTCNTWLHMAFINYTKCNFDLFYLLKAFWRVRIEAAFALASTASEETDWAGLLHLIKFYKSRRYDEKIGLPKPNDFHDFAEYFVLEAIPHAVALVRAADKKSPREAVEFILQLLKVDYNENNGNPYSDVYWLAALVQSVGELEFGQQSVPFLSSLVKRIDRLLQFDRLIPSYNGILTVSCIRALTQIALKLSEFIPLDRIIDLIKPFCSSKTQWQVRIEAFRALLDLEYHCKGIDAALILFVSGGSDDDHGVVRETLVALLRLLESPAAFNNVTLRHYLFCILQGHTEICNELKNFFAAIVNQSKPMDPSSDTLMLPYDGSVPETTIEPNIVSTVDNERSQPEDFVVPDAPKDASKEENYNSREEKQPDIITIPSENLVILDSSEAPKEPDTVSNSQERKKPMLKIKMKQSSASSRAEEAENAPLERSQGAHNGADHGTSSSMSMEAPPQRNFNEPANVSNQNLDDVNSCHDLGSRVTASIGSAKLAGDGDSLLKELQCTADSSKVQANDVDPVNHNKFISLRALNGADDGSLAGPIQKPVLGSKDKKDKKKKDKEKKRKKRDEHKSHKDDPEYLERKRLKKEKKRKEKELAKLMVAPATDVKHKEKTEAIKEEAAVVAQLTNMATSVEEAVKRTEPVANRTEGTTSTHKLKIKIKNRTLTRP >KVH88643 pep supercontig:CcrdV1:scaffold_645:119260:131580:1 gene:Ccrd_026240 transcript:KVH88643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MEPRRPSRVIDPKVRKVGFFTPGPPPDRTRSGPAATTPTRNQSPVSNSLSPVMIPPARHASDNLSRAVGMPVPVASQLHAHPPADSLQVGSYTPSDSVISASPTRSPSSRIGLDGEFSEDSINWMKRSNSGRISAARPTGGPDLKQSVEALINVAEGNKPGTTSGMSAPAQTSKPVNKPNQKKDGPPMVSSTIVPERKGGDKPPEKDRKKDMPPPRMQFDDKSRVEKAKKRSVTKQTEARNRVELFRHLPQYERGNQLSDLESKFFQLDHVHPAVYKVGLQFLAGDIMWSNTRCIAMLQAFQKVIEDYSTPSEKTLARDLTSKINSSVSFLIECRPLSISMGNAIRFLKSRIAKITLAQTESEAKTFLCSDIDRYINEKIVLADKVIVRHAVTKIRDGDVLLTYGSSSLVEMILLHAHELRRQFRVVVVDSRPNLEGQQLLRRLVEKGFSCTYTHINAVSYIMHEVTRIFLGAASVLSNGTVYSTVGTACIAMVAHAHHVPVLICCESYKFHERVQLDSICNPDAIAKVPGRTNNCLDDWAGKENLQLLNLAYDVTPSDYVSMIVTEHGMIPPTSVPVIVREYGREHLLI >KVH88640 pep supercontig:CcrdV1:scaffold_645:186159:188804:-1 gene:Ccrd_026244 transcript:KVH88640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MARVLAVEQLNQLRDIFARFDMDSDGSLTYLELAALLRSLGLKLSGDQIYILFHNMDSNGNGAIEFEELVGAMSIDVKTEEILINQRQLHEAFRWFDREGNGFITPAQLAKSMAKLGQPLTYRELTAMLEEADIDGDGVINFREFTRVMAKSAADIFGIQVS >KVH88642 pep supercontig:CcrdV1:scaffold_645:163303:184132:1 gene:Ccrd_026243 transcript:KVH88642 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1604 MEGDEEDFVFFGTPIEREEDMTSRKKKSIAEASGQLRTLAPWKQERLMHVALLFVLFYRNLTMIYCVFQVRDEEGRRRFHGAFSGGFSAGYFNTVGSKEGWTPQTFTSSRKKRAEIKQQDLSNFLDDDEKAEMEGNSLGTSMQFDTFGFTAAEIAKKQAEKEQNERPSAIPGPAPDEIIVPATDPIGVRLMLKMGWRRGHSIKSSRTNSLYDARREARKAFLALSEDASAPVVGSEHAEVEDMETAAYTDNVNQFHKSTPVYVLNPKQDMYGLGYDPFKGAPEFRENKRSHDSHLPGNRESGHKKLLPKKDGLFSFKSRNVAPGFGIGALEELDAEDEDVYASGYDFEAFVEEIEEPSRLTIEDKKKSSVKQDGILPGFKVATNSDYQLQRFDPPVVPKDFVPHHKFPATLEVNHKMAEVPPKEVPLPVDNNLKVLIEGVATLVARCGPLFEELSREKNQSNALFDFLNGGNGHDYYTRKLWEARQKHNDKTKPLLNEKVAPPAQKMTAESRGNILGEKPLKKSAKDVKPAVPTTNTVNIQFHLSDTFIEPASFVEPTEITKPFQHDPAKQERFEQYLKEKYHGGLRTKDAGGSSKMSEAARARERLEFEAAAEAVTQGKWGKESKPSNQQILGASAGRLQFTSGGSEACIMKPEVSRAEEMMKSMFPKREEFQWRPASILCKRFDLIDPYMGKVNVPSFWMGDKIDYVKAATEEERAISNSISSPASQLGEKEGTTTLGIEDKVEAIFSDDSDDEEGDSNVNQPEDPTKKIEAANTTLSRLMAGDFLESLGKELGLEVPPDQPYVENHPEPHASQKTVPEIHQEVHTQKREAIDSDAHKDISRIKEGGSKNETVVFNHEITEKDKKVKRVENSSGDERSQKRSKRYRHRSSSPDGNRDRHSSRHKGRKKEHRKHSKDRKHRSHGLSSRSRRSADKEYGDGKREKRKWRD >KVH88641 pep supercontig:CcrdV1:scaffold_645:137580:139452:-1 gene:Ccrd_026242 transcript:KVH88641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIGGKTGALMDWSVNTSYKSLKASDGTLTGGRWNPSRSKNSVCIWHYCCSIAVVLVINLATILLPPSLLPRLLVVSITSILERRCPIAYSYLSHGLDLLLAVYSRIIRISDL >KVH96743 pep supercontig:CcrdV1:scaffold_6452:6251:10347:-1 gene:Ccrd_001166 transcript:KVH96743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGELAFRYGIFLHVDLCLCGFVLPFACKLGYHVPPFDFSVQGVTSISADVHKYRLAPKGTRIVLYRNHNIQKRSDTFDIQISDAFSRVDISTPQTKAKLTCDIQHILGCIRSLPSANLSDSQAPIPAQHELLFKNELGSMYELDSLAGLWRHNFRYRRINILLLVLSLL >KVG72201 pep supercontig:CcrdV1:scaffold_6455:13233:21297:1 gene:Ccrd_026245 transcript:KVG72201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MAVVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTAKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDGKARELGVMFIETSAKAGFNIKPLFRKIAAALPGMDNLSSTKQEDMVDVNLKSSSNTSQSDQQGGGCAC >KVG72200 pep supercontig:CcrdV1:scaffold_6455:16493:21259:-1 gene:Ccrd_026246 transcript:KVG72200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILVEIPNRSPLQGFLLLKHITERLRFGHLSRQKGKSAMTNYQRHAVEGLWCLVVLH >KVH97208 pep supercontig:CcrdV1:scaffold_646:62725:65017:-1 gene:Ccrd_000692 transcript:KVH97208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MTTSRRVQDRSQKKRVHALEVAVEKHKIASKILFLLELLKHEPEQIIPIRSLDQHRRPLNLPKPHKISDFIRKSPKLFELYKDQRGTTWVGLTKKGEELIEEEERLIGDNEEKAVEHVTRLLMMSVEKILPLDKIAHFRRDLGLPYDFRKQLVHKYPDIFQVLKNEDDVEFLKLVSWNPSWSVTELEKKVLGITKADDHVPGMLSLPFPMKFPPDYKKVYRYGGQIENFQKREYLSPYADAKELKAGSVEFDKRAIAVMHEVLSFMNEKQLVTDHLTHFRREFVMPQKLMRILLKHFGIFYVSERGKRFRVFLTEAYDGSELIEKSPLVVWKEKVVNLTGYRGRKKKIETFDDLPDLEDDDLFESESEDDNVIRIDQSFKEEGTMSDLEDELLPQCSEMEMEIGEVQKAYKDNTLHGYLVDIACKHRTSSLLVPDQSELQSTCLTIGSSPLMMLNGSLQVLSSGHGFRETSIVQILEGTQQNWLKLFLGPLKSSIQKGKICVRRPKIVMDLILFLLYNLVSAQP >KVH97203 pep supercontig:CcrdV1:scaffold_646:148689:149781:1 gene:Ccrd_000697 transcript:KVH97203 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MEDSAQNLVGFSGEELASVVTGGEGDQSSGGGGSGGSRRLRGRPAGSKNKPKPPIIITRDSANALRSHVMEVANGCDIQESISNFATRRQRGVCILSGSGTVTNVTLKQPAAPGAVVTLHGRFEILSLSGSFLPPPAPLAASGLTIYLAGGQGQVVGGGVVGPLLASGPVVIMAASFGNAAYERLPLEDEEATPGSGNGPLGSPSGIGSQPQLMNDSSPSLFHGLPPNLLNSCQLPTDAYWGANRPPF >KVH97199 pep supercontig:CcrdV1:scaffold_646:179876:195507:-1 gene:Ccrd_000699 transcript:KVH97199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAFSEAESRLVNKILVPALEKIIKNSSWRKHSKLAQESKLVLERITSSEKPSPTSEDSQSDVDTSPQSPAPGVLQDSGTNELSLAESELILSPIINACSSGNVKIAEPALDCIQKLIAHGYLRGEADPSGGPDAKLLAKLIDSVCKCHDFGEEGVELLVLKTILSAVTSVSLRIHGDSLLQIVRTSYDIYLGSKYVVNQTTAKASLVQMLVIVFRRMEADSSTVPVQPIVVAELMEPTEKGGDGDGTMTVFVQGFISKVIHGMDGVLNPGTRVGSNAGGGGVHDGAFETKTSTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADEEVDRDDDIEVQIGNKLRRDAFLVFRALCKLSMKTPPKDALADPQLMRGKIVALELLKILLENAGAIFRTSERFLGAIKQYLCLSLLKNSASTLIIVFQLSCSIFISLLSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLKRLCVDSQILVDIFVNYDCDVNSSNIFERMVNGLLKTAQGVSPGVATTLLPPQDITMKLEAMKCLVAVLKSMGDWMNHQLRIPDPHSAKKAELVDNSPEIGNPPMENGIANDRESFEGSDSHSEASSEVSDASTIEQRRAYKLELQEGISLFNRKPKKGIEFLINVNKVGNSPEEIAEFLKNASGLNKTLIGDYLGEREDLPLKVMHAYVDSFDFHGMEFDEAIRAFLRGFRLPGEAQKIDRIMEKFAERYCKCNPKAFISADTAYVLAYSVIMLNTDAHNAMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISRHEIKMKEDDFALQQRQSVNSNRILGLDSILNIVVRKNAEENQTSDDLMRHMQEQFKEKARKSESVYYAATDVFILTLMVEVCWAPMLAAFSVPLDQSDDEIIIAQCLEGFRCAIHVTAAMSMKTHRDAFLTSLAKFTSLHSPADIKQKNIEAIKAIVTIADEDGNYLQDAWEHILTCVSRFEHLHLLGEGAPPDATFFSVNQNESEKSKHTKSYILPVLKRKGVGRLQQAAAATRRGSYDSAGIGGNTSAGITTEQVNSLVSKLNMLEQVGEMNRIFIRSQKLNSEAIVDFVKALCKVSMEELRSTSAPRVFSLTKIVEIAYGSYSSVSLSYMTVVIGLYGVHYNMNRIRLVWTGIWNVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELTNYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEMIEKIVRDYFPYITETETTTFTDCVNCLIAFTSSRFDKDISLNAIDFLRLCAAKLAKGDLGSSSMDKDKEVSEKISLSPHYAKKDRRYDNGDLADKEDHLYFWFPLLAGLSELSFDSRPEIRKSALETLFDTLRNHGHHFSLPLWERVFDSVLFPIFDYVRHAIDPSGENSSEQGIDGDVDELDQDSWLYETCTLALQLVVDLFVNFYDTVNPLLKKVLMLLVSFIKRPHQSLAGIGIAAFVRLMSNAGELFSDDKWLEVVSSLKEAANATLPDFLFLLSRDGLIVNHEDVSTRRSDGGSAEASMRDEELENLWRDRLYTAVSDVKCRAAVQLLLIQAIIEIYNMYRPQLSTKNTLVVFDAVHGVASHAHKINADTTLRSKLQELGPMTQMQDPPLLRLEIESYQTCLTFLQNLAVDRPPSFEESKVESQLVDLCQEVLKFYVEIAWPARMTGLSPNRAHWLIPLGSGKKRELAARAPVIVATLQAICSLGDSSFEKNLSSFFPLVSSLISCDHGSSEVQIALSEMLSSSVGPVLLRSC >KVH97206 pep supercontig:CcrdV1:scaffold_646:9669:11320:-1 gene:Ccrd_000690 transcript:KVH97206 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEYTIILISLSIFTFFSFFFIQSGRRRTGRRMCPGLPLAHRMLHLMLGSLIYKFDWKAEEGRMDMSDKFGFTLQKKLPLMAIPVKL >KVH97207 pep supercontig:CcrdV1:scaffold_646:74812:76089:1 gene:Ccrd_000693 transcript:KVH97207 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MGALRIITILIVSLLAAGSVVTAHNITAILSQFPEYSEFNNYLSQTKLDDEINSRETITVLVLNNGAVSSLAAKHPLSVVKGVLSLHILLDYYDNKKLHAISDGTTLSTTLYQTTGNSPGNVGFVNITDLKGGKVGFGSGVPGSKLDSSYTKSVKQIPYNISVLEIDAPIIAPGILTAPAPSADVNITGLLEKAGCKTFVKLITDTGVLKVFQTAAAKGLTVFAPSDEAFKAAGVPDLSKLSNAELVALLQYHALATYTPKGSLKTAKDPISTLATNGAGKYDLTVETAGDSVTLDSGVGTSRVASTVLDSTPLCIFTVDNVLLPTELFGKSPSPSPSLSPETSPSSSPAPAALSPEPAAAPSPFLSPPAPPTSSPTAGPAPSDGPTADSQNSNASNAVDGVKAPSLIQALVTASVSVIISSVMS >KVH97200 pep supercontig:CcrdV1:scaffold_646:176907:178868:-1 gene:Ccrd_000698 transcript:KVH97200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVVSEEATVQSMIGNGRSGVKLLQFAAEKMFTLPTSTMPNSLQTHVRNFLKKGKVNNLKKKTPKNSCVLHHISSYIAMDVTNQLKQVFKFFDIDGDGKISQLELTNVLLTFGHEKSMATMEAQGILKEVDFNGDGFIDLDEFMTIMDASNPVFAVSKQDNGGGDDDDLRNAFMVFDSDKNGLISAKELQRVLMSLGCSNSKLGQCRKMIKGVDKDGDGFVNFQEFKSMMSIGIN >KVH97201 pep supercontig:CcrdV1:scaffold_646:116495:117695:1 gene:Ccrd_000695 transcript:KVH97201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLYTPKNDLYRPSYLSPHEEQLKRSSFDFHHLQRKTVTGRKNPRNKTKVLFVVLKRKMAMKNLKLYMQNQCIIEENEKLRRKALVLHQENQILFSQLRNAKVNQHDVIV >KVH97202 pep supercontig:CcrdV1:scaffold_646:90484:105335:1 gene:Ccrd_000694 transcript:KVH97202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MIWGFEIKQLRWSAIILGISNMFLIIISLILITAAYPDCVIGDIVPFLVIFFVSCLRMASMIPIAMAQRATAMTIINTPSETRAVGTLIRRHQRIRYMKWIRWTRFAFVITVLQFMGASYLLINVSKNVFKDRTPNACVLELLSTNTTWLHNMAVVFVIMVCYVTLVQCYSGSDVLRWRSFYTNENKAWKHHYREVFDQGLREALCCMGRLALLQRESLMPKYQEETVEAPEELIQGAADFHPFAEAAYTGLLLDVGRNPVLFLCAWLYRQGILTPWTWNRLPKLEGDNWWRGHASAFLRYVNLPAEVLRQGRVCQARCEAAYFVVVLHHIKSVVICVRGTETPEDLLTDGLSRECMLATEDIDGSAYYGHSGIVEAARDLYVQIDGNPGNKGMEGGLLTSLLGAGCECEGYNLRVVGHSLGGAISALLGLKLYGRYPRLHVYSYGPLPCVDSVLANACSGFVTRVSYCPCCCSIVYDTEFSSRLSVASIMRLQTAAMLALSNDADADSAVIHKLARRFLSVSTYLWTKPQEKPPASELNPLPLRRESKKFNRDERFSLWHGMDMDDSSDDDGGIIDSSERFSNPFYQSPDNLDSRDNLVTRFMEAMPSRKGQSSENFREMFLPGVVIHIVPEKKKFDMPLYRRWSTPDARCGYEAYVANREAFMDMIVSPYMFVDHLPWSKDLNDNCSTEP >KVH97205 pep supercontig:CcrdV1:scaffold_646:41930:60572:-1 gene:Ccrd_000691 transcript:KVH97205 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MLRYTLHTTATARLCSLFHRRVLIHQWKQPRDLSDLTRTELVDRICRLLVLRRFNAIDTLSFDFSDDLLNQILSKLKLNPNACLHFFTLALKQQKFRPHAKSYCRLVHILSRARMFDETRAHLRVLVGFCEEDGRLGLRIWHDLVRVYREFGFSPTVFDITLKLYAEKGLTKNALYVFDGMCKLGRVPSLQSCNGLLSCLVRKGEFHSVFVVYDQMNKIGIVPDVCTCSIIVNAYCKDGKVGRAAEFVREFEDIGLEPNLVTYHSLINGYVGMGDLRSANGVLRLMNDRGLLTNVVTYTLFAKGYCKQGKVEEAEKVLRDMKEDNPSLVLDEQAYGVLVDGFCRIGKMNCAVRIHEEMLKTGLKVNLFICNSMINGYCKLGQFDEAARVVTSMSKWKLRPDSYSYNTLVDGYCREGYTTQALELCDKMVRDGIDVSIVTYNTLLKGFCRSNDIDKALQLWHLMIKRGLTPNEVGYGTLLDGLFKVGDFEGALLLWKQFLARGFIKPVIGFNTMLNGLCKMGKMAEAEQVFNKMFKLGCSPDKITYNTLADGYCKDGNLERALKIKDTMGRESVPLSIEMYNSLVTGYFRCRKLSKITELLNEMQNRALIPNIVTYGALISGWCREGMLDKAFTTYFEMKEKGLAPNVLICSTIVSALYRLGMTDEANMLLQKIMDFDLLPGDNRKFFEWDMRNVNVQKFSDLLDGVVEATLLPNNVVYNVAITGLCKLGKVDDAKRFISALLQKGFTPDNFTYCTLIHALSASGEVNEAFKLRDEMLKKGIVPDIATYNALINGLCKSGNLDRALRLFHKLRSKGVVPNVITYNTLMDRCSKVGNTREAKKLKEKMEQEHIASSVFTYSGLINCVNKNCEVREAVMSKKQENVQLAQRHQFTIDAAPREKIQKLVDYVHQCFTSSKPVNISRVALTSLTVLSNFIFSTDMAHYDTLQSQEFKDAISNLADIVGRLNLADTRVALRGQRFTRWKSGNVIRLPPGPYPLLIIGNIFELGEKPHISLASLSKIYGGLMTLKLGSIITIVVSSPEIAKEILLKHDLSFSSRSVPDTGRVVNHHLFSVVWLPVGDQWRRLRRISKQHLFSVQQLDDGQLLRREKIQKLVDHVHECCTSQKPINIGRAAFTTSLNVLSNFIFSTDMAPYDSVESQEFKDAVSGLADVVGKPNLADFFPLLKPFDPQGLLREANVHAEKLMAILDRMIDQRVQARAKSSAAASSTNDVLDLLLDLSAKNESEFSRNDILHFLFDLFAAATDTTTSTLEWAMAELIHNPEKMAKARSELEEITGNANIIIEESDICKLPYLEAVVKETLRLHPPVPFLLPHKALCDVEIQGFVVPKDAQILCNVWAIGRDPSIWSDPHEFMPERFLDVNIDYKGRDFELIPFGSGRRICPGLPLAHRMLHLMLGSLIRKFDWKLEPEDMDMSERFGITLHKSEKQMDYTMIFILLSISTCFYVLISRSGRDTRLPPGPYPFPIIGNIFKLGNKPHHSLAALSKTYGPLMSLKLGTTTTIVVSSREIVKEFFSKHDVSFSGRSVPYVATAHDHYKHAMGWSQVGDHWRMLRKIYKEHLFAARQLDASHLLRKKKVQQLLDYVHDYSDSGKPVDIGHIASITTLNVLSNFVFSVDLAQYDSQSSQDFMDLVWLLFENAGRPNLADFFPVLRPLDPHGLLRKAKLYTGKLLAIVEEYISKRLQERTATSSSDASSSKDLMDLLLDMSQNEKSSINLVGIRYLVFVTRSELEEVIRKEDRTFEESDIARLPYLQAVVKETLRLHPPVTFLLPHKATTNVEVQGYIVPKDAQILCNLWAMGQDSNVWPNAQRFEPERFLDVGIDYKGHDFELIPFGTGRRMCPGLPLADRMLHLMLGSLIYKFDWKIKGGMMDMSDKFGMTLQKNLPLMAIPVKV >KVH97204 pep supercontig:CcrdV1:scaffold_646:125395:138752:1 gene:Ccrd_000696 transcript:KVH97204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MASYKNGRGPVKVDRTQGGATANLRVSSSFKSKTSNVRRGSSGANLDDHVSGRVRVAVRLRPQNAEELVADADFADCVELQPELKRLKLRKNSWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGDEDTSARGIMVRAMEDILANISQGSDSVIISYLQIYMETIQDLLNPENDNISIVEDPRTGDVSVPSATMVDIRNHKDFMELLRLGEAHRVATNTKLNTESSRSHAILMVHIKRSVAEMESDIASESDHSSHMGNTLKPPIIRKGKLVVVDLAGSERIHKSGSEGHTLEEAKSINLSLSALGKCINALAENSTHVPVRDSKLTRLLKDSFGGELRDGCHITFGMIVYCFTTLFAGTARTSLIITIGPSPRHRAETASTILFGQRAMKVENMLKIKEEFDYRSLCRRLEIQIDKLIAENERQQKAFEDEVERKTIEAHKRISEAEMNYADVLEREKMKCQMDYMESIKKLEEKWMSNQSKYGNSGFINGSRGGKGESHVYEDVTELQKMLQKEISLRKEAEEEIHNLRNSQLLWTEAGANADVVKLKKLLDDETHQKQKLEEEVHLLQSRLSQLTCESGQPRYPQYEDQGNGETSSIASIHEQVGLQKILSLLESEDPNVRLHAVKVVANLAAEDTNQERIVEAGGLTSLLELLRSRSFDETICRIAAGAIANLAMNETNQELIVVQGGISLLAMTAVDAEDPQTLRMIAGAIANLCGNDKLQTRLRSEGGIKALLGMVRSRHPDVLSQVARGIANFAKCESRASSKEDGALPWIVQNANNEASIIRRHIELALCHLAQHEINARDMVSEGAIWELVRISRDCSRDDIRSLAHRTLTSSQTFLSELRRLRVEI >KVH97209 pep supercontig:CcrdV1:scaffold_646:201313:201717:-1 gene:Ccrd_000700 transcript:KVH97209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPPAGLSITDLPTSTCISTAYDLSSLFDPTLQPSSWSSMQQMQPGRSQFSGGTTTASPVNLAPSQGGGGSGDDSLEELGRFIAVPCSSGNMPLSPHGSLEEMR >KVI11588 pep supercontig:CcrdV1:scaffold_6461:21828:23915:1 gene:Ccrd_010000 transcript:KVI11588 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MASVRQTFLLFLLSSLLASSVYAGPDDAAAPGDDAAAPGDGANPQPMDGGSDYTMPPIETEFLGEWAIDNPNAGVAAMQLQLMPNDKVIWYDTTSLGPSGIKMQPEGNCPINPDANNQPDCFAHAIAYDWKTAQVRTLTVRLQGDAWCSSGNLWPNGNLVATGGTFTGNKAVRMFPNNDDPNSDFDTRLNVLADGRWYSANQILPDGSAVVMGGRDSYSYEIVPPSLEFTPRRFDMPFLQQTTTPALGPGRPVENNLYPFVFLLPDGNVFLYANNRAITFEPNTGNVVAEHPECPGGGARNYPPSGMAALLPLKLTPDNQAMNVEVVVCGGNLPDAYQVVDARHVTEKEFMPALQDCYRIHPLMPDAAWEKEQDMPSPRTMGDLLHLPNANLLMLNGARKGTSGWEDSTDANFVPALYTPFKPMGERFKELTPTNIARMYHSCSALLPDTKILVAGSNPHQFYTFDVEFPTELRVEKFTPPYLDPALDAERPIIDPAGSDVVLQYGQPFKIAATMTSNEVLALGENIITMVYPPFTTHGFSQNQRLIMPAITGIENNVITAVAPANGMIAPPGYYMLFVSHLGVPSQGIWVNIN >KVG71712 pep supercontig:CcrdV1:scaffold_6467:18785:20255:1 gene:Ccrd_026247 transcript:KVG71712 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1/V1/A1 complex, alpha/beta subunit, nucleotide-binding domain-containing protein MLQVFYLFSNMMINISKFSISIALTWPXRXPRPVASKLAADTPLLTGQRVLDALFPLVLGGTCAIPGAFGCGKTVISQALSRNLKKGYNILYRSQMLLSFLGFDLPPEKKEQEDYTIEATPDDDIGSILQ >KVH96655 pep supercontig:CcrdV1:scaffold_647:149830:153764:-1 gene:Ccrd_001254 transcript:KVH96655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1421 MDSGSSKGFDFASDDILCSYEDYTNQDNSNGNHSDSAIGTNSSKEFHKSRMSRPSVFPASSYSPPDDSGNQDVVIAAVERSMKKHSDNLMRFLEGLSSRLSQLELYCYNVDKSIGEMRSDLARDHGESEAKLKFLEKHLQEVHRSVQIIRDKQELADTQKELAKLQLAQKESSAGHDSQKSGETSPVPSDTKRNDNAAEGHGQQLALALPHQVAPLPAPQPAAPQPQMAPQPMSQSQTYYLSPSQLPNIPAPTQLTQNHYLQSDPHYQNPPPQRSQVSQPQPQPQPQVHSLPQYQQQWAQQVSQQVQPPQPAVRPSSPQVFPPYLQSQQVNPSTPETLPNSMPMQMPYSGIPQPSSSQAMTYAFGGPGRTPQQPSQHLKPGFGGQQNDGYVAGGHSNVPQGNTYMMYDSEGGRVPHASHPTHFSQSVYPPNPQQNSGSSMMARPPPQFMRSHPYNELIDKLGSMGFRGEHVISVIQRLEENGQTVDFNTVLDRLNVHPSGGSQRGWSG >KVH96654 pep supercontig:CcrdV1:scaffold_647:172155:178059:-1 gene:Ccrd_001256 transcript:KVH96654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MNDGLMFRIRLLLQSRSVPVIFLRRFSYKDIKRATDSFSRVITTSSHGASYKARFQGGHVAVVKEIHLIGQEDDHIFYREVQLLGRLHHRHVVALNGYSVGQKRFLVFENVENGSLKEHLNDPLRTPLDWRIRLKIAVGVAAALEYLHFFCDPPVYHVSISSNTIMLDENFDAKLSDVGLLGSGSDQVTAWRTSSSNETTGQAYGNIMYQLGLLILELITGQSSEKTGDDLVEWIEESCFPASMDNMIDPDLGNDYDSRELTGLLAVARFCLKSVDKPNSFTPQIYRYLQRKISIRKTPI >KVH96658 pep supercontig:CcrdV1:scaffold_647:122569:131093:1 gene:Ccrd_001253 transcript:KVH96658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGGICGKPSVIEDGRGSPSSRDRVPSKASSEPRGSRSKRVESFRVKSRAEVRTGSLDKRQSSSRRVRDDHYEKKREVPEMTIGTIPKAIEGEQVVAGWPSWLVAVAGEAINGWLPRRADTFEKLDKIGQGTYSSVYKARDLTNNKIVALKRVRFDNRDPESVRFMAREILILRRLDHPNIIKLEGLVTSRTSCSLYLVFEYMEHDLTGLASLPGVKFTEPQVKCYMQQLLRGLDHCHSRGVLHRDIKGSNLLIDDHGVLKIADFGLASFFDQGENMQLTSRVVTLWYRPPELLLGATHYGAAVDLWSTGCILGELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLRHSAVFKPTQPYRRRIEETFRDIPSVAIGLMETLCAIDPSHRGTALFALKSEYFTTKPHACDPSSLPKYPPSKEIDAKMREEEARSSLKQLLDNSSWSCRQRAEGGKGQKIDKESRGPREPRAVPAPDANAELPASMQKRNERSSSKSRSEYFNRNKDDTASGFPIEQPRLSHNSKEVRNDMELPPPMRESYSGPLVAGAWTKSGKKYDDVSITSRADLSALSGLVSSRTLLAEDREKRVPSQLETSQMGRLSEFTQDFGHSRKHDRKDLSWSMAGPRRIESGRSSTKEPAANGHGYKGNNKIHFSGPLGSSNVDQMLKDHDRHIQEAARRARLDKTRLTKAEAEMMSNPVYMSSRRAR >KVH96656 pep supercontig:CcrdV1:scaffold_647:155507:160856:-1 gene:Ccrd_001255 transcript:KVH96656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHNHQTPPQTTTITTAMATKLKDNFVFRSKWAELNGAMGDLGTYIPIVLALTLASDLNLGTTLIFTGVYNIVTGLIYGVPMPVQPMKSIAATAISDPDFGIPETMAAGICTGVVLFLLGVTGLMRIAYRLIPLPVVRGIQLAQGLSFAMTAVKYIRKVQDFSKSKSKGNRHWLGLDGLVLAIICVCFITIVSGAGDEGEDEDEDENDRDRGEDGDNRRRDWRRIVAYLPSAFIVFLLGVILAFIREPKVIKGFKFGPSSIQVMRISKNAWKQGFLKGTIPQLPLSILNSVIAVCKLSIDLFPEKTVTATSVSVTVGLMNLTGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGATKLVLGLLLGSSIVKILSVFPVGVLGVLLLFAGIELAMCARDMKSKEDSFVVLVCTAVSLVGSSAALGFVTGMVVHLLLRL >KVH96659 pep supercontig:CcrdV1:scaffold_647:50456:54951:-1 gene:Ccrd_001251 transcript:KVH96659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MVKSMMGSNSLVYPILGFASCIVFLYLSFGDFLSVNYKNDPKLSFVERNGSNFIVDGKLFYINGWNSYWFMDHAVHDYSRPRIKEMLQAAAKMGLTVCRTWAFNDGDYNALQIAPGQFDERVFKALDYVIAEARQVGIRLLFSLVNNLQAYGGKTQYVKWAWDEGVGLSASNDSFFFDPSIRLYFKNYVKTILTRKNTITGVMYRDDPTIFGWELINEPRCITDASGDTLQDWIEEMSSFIKSIDKKHMLTIGLEGFYGPKSSKKTTVNPEFWAADLGADFIRNSAPSAIDFASVHIYPDHWFKKKSLEGKLKFVAKWMRSHIEDGEKVLKKPVMFTEFGLSDENKGFDPAQRDQFYKTVFDVIYESAKKKGAGGGSFAWQFFVEGMEDYNDDFGIVPWKRASTYQIITEHSCRLAKAHGTIASQKENLKQICR >KVH96657 pep supercontig:CcrdV1:scaffold_647:110352:110864:-1 gene:Ccrd_001252 transcript:KVH96657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTNKLEDDQPPPSTASPTYLILLRLMSKRRTWVFLFLFVYTILLSSSWNLLKSVLSWYDSTVTTSPSSSTGWPAIYASVALGVIFGLLSMAAALAVAIPATLVTWISVLVLLTFFGKPRKSLVVEGKKLTAEITRTVGKILIKEGNLVAAVCAVLGYILLVRNGGKD >KVG71523 pep supercontig:CcrdV1:scaffold_6473:11598:16811:-1 gene:Ccrd_026248 transcript:KVG71523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MYESLKYLDFCFLVRAGGGFGEEVEVAXEEEGEPDNISKPDRRSREAATYAFGSILEGPTVEKLSPMGFETGSSILTPYVIDVITSLIATAERTYVNDSKLRSAAYETLNEVVRCSNLAETSQIITQLLPVIMTKLGQTIDLQILSSDDREKQGDLHALVCGVLQVIIQKLSSIDETKPVIFQASDQIMMLFLKVFACRSSIVHEEAMLDIGALAYATGPEFGKYVPEFYKYLEMGLQNFEEYQVCSISVGVVGDICRALDDKMLPYCDNIMTLLLKDLSSGDLHRSIKPPIFSCFGDVALAIGEHFEKYVPYAMPMMQGDAEVCAQIDINDEDMVEYGNQLKRSIFEAYSGILQGFKNSKAELMLPHAPHLLKVHRSDESVVRAAVAVLGDLADALGSHVKIIRFL >KVG71524 pep supercontig:CcrdV1:scaffold_6473:20899:25890:1 gene:Ccrd_026249 transcript:KVG71524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase TFGSQGWDASLAILALLASDLIHEIGPTRRWSLSNLTMLLTFSILQSSSLTLSLSMSMEIGVSVLHTLPGLLLEDWQQSAMGKAVNFLLKTQLEDGGWGESYRSCIEKKYVPLEGGQSNLVQTAWSMMGLIHTQQTTAEADIDDNENADDRQAATIGRRQPQHRGSDECD >KVH99499 pep supercontig:CcrdV1:scaffold_648:60714:61130:-1 gene:Ccrd_022266 transcript:KVH99499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCATRKSGSERAEGVSVVGVQQIDRAVMVIEETLKGHEVRLLNRKTLPALDLPKVRKNKYVEILRLFGGLRLLQDEACSRSSWKLYG >KVH99498 pep supercontig:CcrdV1:scaffold_648:153991:157004:1 gene:Ccrd_022268 transcript:KVH99498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLLYPTYTLHIHQLRQSYTLTLISKKTIEASKTTLHSLDTGFLVMAYRSQSSYVAPYSRFSLYRFMLSLDTLDSVCLQFCKIEDVPPMEKLMETWGFFTLCFIMCLGHVCFRNAF >KVH99497 pep supercontig:CcrdV1:scaffold_648:115556:117794:1 gene:Ccrd_022267 transcript:KVH99497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKGKRPIMATFIVNLVVAMSPPPPPKASLNANSPPPPPKAYLNAKSPPPPPKAYLNAKSPPPPPKVYAKTPPPPPKASVNAKSPPPPPKASVNAKSPPPPPKASVNAKSPPPPPKASLNAKSPPPPPKASLNAKSPPPPPKASSPPPPPKASLNAKSPPPPPKASVNAKSPPPPPKASVNAKSPPPPPKAFVNAKSPPPPPKASVNAKSPPPPPKASINAKSPPPPPKNS >KVI02770 pep supercontig:CcrdV1:scaffold_6480:12246:14463:-1 gene:Ccrd_018940 transcript:KVI02770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MFPLRVVHHRIVPTAESFINRFTIAFVVSNRLHIYTTFNRRFQGFIRPPNRSSIASQSPSIAFNRLRRFQSPSHLYYLQSSLSGINSNIVVMRKFKLERVQLESFVTHLAQRKTKYENSQKLEPSSYMLSNLKAAANNYGKPERGSTGPIDAGEYNNWTEDTNFFKKECGGWNSEYGDFFLSNGIFKCY >KVI06874 pep supercontig:CcrdV1:scaffold_6483:4346:9225:1 gene:Ccrd_014770 transcript:KVI06874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar (H+)-ATPase G subunit MDSSRGQNGIQLLLAAEQEAQQIVNAARNAKLARLKQAKEEADKEIAEFRAQMEADYQRKLTETSGDSGANVKRLEKETDEKIQLLTREADRISSDVVEMLLKHVTSVRY >KVG70958 pep supercontig:CcrdV1:scaffold_6488:10119:21082:1 gene:Ccrd_026251 transcript:KVG70958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MGIDDSSSSSSEKRSFRELDDVFLQSQARIWLGEVLHTRFDELLSICDLLSDGKLLLMKTGEFHSLQLVCKVLGLSGVDLFSPSDVVEKRGTRKVPDFDDVTKTIAMPTNVVGCIRRSLELSTCSVTHRRSTDARLRFRQKSSSASLKQEDKSCLEESDEEKSNFSDTSYADYLYLESGESPEAVDKYALTQSIQQVDTKKQKMDIFAHIPGSAESIVLQFVSSDNLLDGISSPVCESRLMICDEFTPINHGEDFWHDEEPERIDVSHGALSTPNMGEVNHHISLSNSLSFRILAPVHISANCLCHSCIGTETHNSNTTPNASMYRIWRKFPDDIEPSSISSVSSVGGRVIDFDFDAKSEPDDLKTSIFQFGTKLENSIDSISPCADDANLYRSVNQDLESLNHKSQTQRHLGDSLVLVKDNVTNITDNIQEILVSKGVNDKLMKGVEMADSNSTYIVDGFGTVKKEDDQLCTNQSDDVHCYAVDRSKAAGKCERKEDDIDSLAETNTNGRNDNGTVNMEKKPSRVPLMKRVAKGTALIGILFLVHLRNTRDRNRNHKMSEKSSQIHWRSSGVRLSRRNGEKGSKIYPVEKFRFGD >KVH88633 pep supercontig:CcrdV1:scaffold_649:57188:67995:-1 gene:Ccrd_026252 transcript:KVH88633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MVGIGRREWLRSAARMVEIRLGIMAEIDGACGAFGSSRNVGRNRFEFGRTCVVRPRGTHQATIVWLHGIGEMGSSWTRFLESLPLPNIKWICPTAPTRPVALFGGLPCTSWFNVEDMSDNATDDVEGLDASATHIANMLSNERDDIKLGVAGFSMGSAMALYCATCRVLGQYGNGNRYPINLSLAVALSGWLPCSRVQASQEAARRAASLPILLCHGQVDDVIEYKHGEKSAETLHSAGFQNLILGGDSVLIANGMVDDCGVNDEEEWVKN >KVI00725 pep supercontig:CcrdV1:scaffold_6492:18774:20222:-1 gene:Ccrd_021023 transcript:KVI00725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M18 MHIVADTTNGLTKRQDSWPFTLVQGPPGTGKTRIVWRMLMVLYLQKSLLLQLLVAQALIDATVLEKNLEDESGVRMVALFDHEEVESSSAQGADLQLCLMLYFASQASFPQIHSRAHFVPPPLVFTGIPTPIKNRNKQPYQGSKHPYFKTERTYKKPNPDIAYVRCIEKSNRSVAQIQNLAEKLVSDKLIRIQLSDLMSKQSTKSKLIDVIERRE >KVG70788 pep supercontig:CcrdV1:scaffold_6494:50:888:-1 gene:Ccrd_026253 transcript:KVG70788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MDQIKHTYVQVDGLKLHVAEIGSESAPPVLFFHGFPEISYTWCHQMIAVANAGYRAIAPDYRGYGLSDIPAEPEKTSFADLIADTATILDSLAISKVFVIGKDFGSMVGYLFALFFPEKLAGIITLGIPYMPPEALQQLQTLPEGFYMRRWQEPGRAEADFDRFDAKTVVRKIYILFSRSEVPIAXENQEVLDLVEPSAPLPSWFTEEDLETYGAXYTKSGFLTALQVPYR >KVG70787 pep supercontig:CcrdV1:scaffold_6494:10997:11860:-1 gene:Ccrd_026254 transcript:KVG70787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEALQQLQTLPEGFYMRRWQEPGRAEADFGRFDAKTVVRKIYILFSRSEVPIANENQEVLDLVEPSAPLPSWFTEEDLXTYGASYTKSGFLTALQVPYRSLLERVEPPNQDPNAPIVVAPALFITGEKDFFFSFPGMEEYLDNGIKEFVPNLEIIYIPEGSHFVHEQFPDKVNQLLLNFLSRNKY >KVG70786 pep supercontig:CcrdV1:scaffold_6494:24937:25877:-1 gene:Ccrd_026255 transcript:KVG70786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MVGYLFALFFPEKLAGIITLGIPYMPPEALQQLQTLPEGFYMRRWQEPGRAEADFGRFDSKTVVRKIYILFSRSEVPIAAENQEVLDLVEPSAPLPSWFTEEDLETYGASYTKSGFLTALQVPYRSLLERVEPPNQDPNAPIVVGPALFITGEKDFFFSFPGMEDYLDNGIKEFVPNLEIXYIPEGSHFVHEQFPDKVNXLLLDFISRNKY >KVG70764 pep supercontig:CcrdV1:scaffold_6495:15144:20493:-1 gene:Ccrd_026256 transcript:KVG70764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMKDNGEEFPKKLQLYSFSTSPPSFSVRIALNLKGLDYEYKAVNLFKGEQHRPEFLKINPIGFIPALMDGDMVLADSFAIILYLEEKYPQHPLLPCDLAKRAINYQAANFVCSSIQPLIRLPILKYIGDNVGLEEKVPWVHKHVGKGFTALEKLLKDHAGKYATGDEIFLVHILLHLALNGTKTKCIFVVVQVALDGSADVLLAPYIVGYLQRYNFDMSEYPLLSRLAEAYKQVPAIQDAMPEKQPDFPIN >KVG70699 pep supercontig:CcrdV1:scaffold_6496:11849:13145:-1 gene:Ccrd_026258 transcript:KVG70699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKVKNVKILNLDGCNLGETLIEMRDDVEETPNCNNIGMNSETSSSAIVEAIPRAFESCLIYLPSSLVYLSLANNNLSNESFPMDMSSLSMLKELYLDDNLLVSLPNWVKSLSGIEILSIRKNLLESLEHPPPTLKELAFDFVRDGEAIFNQEMSPVLLNYRVVGENGRENIEGIVKEEDMRDVEEKVLRSLGWSHLVNLDFTKIQPTEEGVKVRVKMVYEFGIFSTWYVGKEMPNWISDRREGSSISFTIPSSPCNLRGLNLCCVFTPGEFITNDGEFFAYISNIRISNITKMCTWIYEASEGFKGSREGITYLSHWIFGKNEMEDGDQITISIFTGSPNLSRRECGVSLVYDEDEEDDGLGYYRSWNHIIGGDLSPFQTTTPGEYHLDRYRFIGVQPGWFGNRYD >KVG70698 pep supercontig:CcrdV1:scaffold_6496:3452:12790:1 gene:Ccrd_026257 transcript:KVG70698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGILSLKEENKEEMTVLTYPLQTLRQSNYERGLVALGRREKPCVSTATIIDKAHPRDKLVGEVSRLVVAEACIQALDIDFTQGQIYEINSVPVEDAPMTPEDSYEY >KVH89170 pep supercontig:CcrdV1:scaffold_6497:7676:22005:1 gene:Ccrd_008846 transcript:KVH89170 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAYPTFFLSLSFLFTCIYAFTVFRRRNSRLPAGPYPFPIVGNLLQLGDKPHRSLASLSKLYGPLMSLKLGCITTIVVSSPDIVKEFFHTHDITFSSRSVPSTGGVVDHHKYSIAWLPVGDQWRRLRRVTKEYLYSVQRLEASELVLGKKVQELLDHVNQCCAREEPINMGVVVFTTTLNIFSNFLFSLDFAQYDSVLSQEFNDAIRGLMEVAGTPNLADFFPMLKLFDPQGLVRRGNVYGKRMLAIFDKIIDQRLHTRSNSSSCDGVSSRKNDVLDSLLDINLNATTLEWAMAELIRNPDIMKAARLEVTKHMQSNNRNIQESDITRLPYLQAIVKETLRLHPPVPLLVPHKAIHDVDVQGFIVPKNAQILCNVWAMGRDPNVWSNPEMFMPERFLEVEIDYKGHDFKFIPFGTGRRICPGLNFAHRMLHIIIGSLIHKFDWKLDGNVSALDMDMEEKSDVEPTNCDEDEFMNDDDDEDNDSEDVEPMYESEDKEASEEDEDDGLIEQL >KVI05876 pep supercontig:CcrdV1:scaffold_65:395372:409590:1 gene:Ccrd_015756 transcript:KVI05876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MPCCTCLTLSEIFTTCVTVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKENGVSRKDLEKTDYPSSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKIADMNMDPSKAVGNGNIASSSVSFSHKSSHTTNGEYTDKSYTTLTKDFSPSGGIQSLRLPVVVVTANETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDRHSKLVVINNDTFFLQLWDINMDSGPVSTFQVHEYLRPKVYILISCGESPDGNGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KVI05921 pep supercontig:CcrdV1:scaffold_65:23479:27214:-1 gene:Ccrd_015787 transcript:KVI05921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MEDMPPAVSVTFSLTNPISENSGLGNHVDFTRMKLFTETASLLSDPTTVLHGNRNGLKDDPSLGSLLSHNGVVGDDIMVQESDADEFMSVGDEPIEINSELLAMNASSGGLPIAVAIEGIENGQIVAELISLEATIETANERTLKASVMAIAEKNHGKGVRSVFELEYRPLWGSHSVCGKRPEMEDAVVSVPQFMQVPIKMFVVDHIIDRVNPNLSDLTAHFFGVYDGHGGSQVANYCRERVHIALEEELKVVKQELVKGTTNDSVQVGWEKAFTNCFQKVDDEVGGKASRNGDPSDVTSEPIAPETVGSTAVVALICSSHIIIANCGDSRAVLYRGKEAMALSNDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVTFTARAREDECLILASDGLWDVISNEEACEVARKRILIWHKKNGGNTAVERDGNGVDPAAQAAADYLSMLALQKGSNDNISVIVVDLKAQRRFKTKS >KVI05906 pep supercontig:CcrdV1:scaffold_65:190511:191192:1 gene:Ccrd_015772 transcript:KVI05906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MQTILVQRIKIKKMETINSHTLSILIIQLKVNHNSREKEVFQATQVHNLFNLQSFFHHYPEAEVISLSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKNEVVKKKVYVCPEVNCVHHEPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSR >KVI05894 pep supercontig:CcrdV1:scaffold_65:522841:524025:1 gene:Ccrd_015745 transcript:KVI05894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDDLSWERNTSSFPWSHHHHHQEIEESFIFDSENIFLSPIQNLQDQTDSSIRAAGAGGHQMGSHQLAPAMATAMLDSVNVSGGSQYWSQRLDVNGFQEGKNVIPTTTATVISLESLDCLLSATNSTGDTSENHDNGISIIFSDYKNLCNNIVVTNNNFSGDSSGDSVTKETTGDDGIVSKCSSEKTPTTKRTNNTTNGFHDDNVNPPKPKRPRSDPTRPTSSNINFRQASSESEEPDSEAIAQMKEMIYRAAAFRPVSFADEEVVEKPRRKNVRISNDPQTVAARQRRERISEKIRVLQKLVPGGNKMDTASMLDEAANYLKFLRSQVKALEQVGCGTTTNARSTTQIIETNVNPNYVTVGVPFNHNPFPMQTHFLLPHHHHHHQNLYCNTPPA >KVI05903 pep supercontig:CcrdV1:scaffold_65:98268:100410:1 gene:Ccrd_015779 transcript:KVI05903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSRWKALDFAALKRSSVSFFCNDKPESAVSRWCRARTRVAKVGKGLCQDKNAQKLAICHWLEAIDPRHRYGHNLQLYYNVWFTSQSSQPFFYWLDVGDGKELSVEKCARNILQYQCIKYLGPKEREEYEVVIESGKLLYKQSRRFVKTIEGTKWIFVLSTSRALYVGQKKKGQFQHSSFLAGAATTAAGRMVVYDGVLEAIWPYSGHYHPTEENFKEFIGFLEEHQVDLTNVKKCPLDDDDPFLNATRDENSKSNLIRGKPSVHVQPFQTERLPKDTIKARREKIGIHDMGKVKSPRWTSGTGPRIGCVREYPMKLQCQALEQVNLSPRKIMMANSSLFSSNGPIPSPRPSPRVHLSPRLANMGRNNEHNA >KVI05917 pep supercontig:CcrdV1:scaffold_65:54533:56289:1 gene:Ccrd_015783 transcript:KVI05917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPTKRLRYLILSPISLYHQTIYDLKNLDLHSLFRRSFLTVGESRSELRIEMADNNVNSSSPAENQNPDSDGTIKPKTNESETSIDAIAQKVQESLTLSKRHKFWETQPVGQFKDVGNSNLPEGPIEPPTPLSEVKQEPYNLPGPYEWITCDMDTKEMCSEVYTLLANNYVEDDENMFRFNYSKEFLHWALRPPGYYRSWHIGVRVKTSKKLVAFITGVPARIRAKDTIVNMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPISTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPVTPGFRKMELHDLPAVTRLLRDYLKQFMVAPDFDENDVEHWLLPKEDVVDSYLVESPETHDVTDFCSFYTLPSTILGNQNYSTLKAAYSYYNVSTRTPLLQLMNDALIVAKKKGYDVFNALDVMENETILKELKFGPGDGQLHYYLYNYRLNRALRPSELGLVLL >KVI05902 pep supercontig:CcrdV1:scaffold_65:104594:106632:1 gene:Ccrd_015778 transcript:KVI05902 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MGASAKWIKSLIGFQKANSNSTDQEKVGGNKIRTWKLWRSPSAGCSSVSSSSKGMKGGGRLSTSDASRAEDVSFSAAVATVVRAQPKDFMAVRQEWAAIRIQSVFRSFLARQALRALKALVRLQAIVRGRLVRKQADVTLRCMQALVRAQARARAQSARTTSENPAALRSQSEGGWCDSYGTAEEVRAKERMKQDGAIKRDRANVYAFYQQRPRTNPNSISGKNKTASPRSVKQNNEWSWLNGWMASKSWDNNSHNNVASEIKNSDDRSVKSCSYSSERDSLKIRRNLVSTRVSAKPFISSPITQSSSTNPSSGSVFNESTSSTNSSSATSETRVSSKERSVSKPSYMSLTESIKAKRQGYNYSTHGHKSKGGLSNGATVTARKSADSDLYSSETGKDLYPPIFIDRYDEVKSRKGLKEK >KVI05883 pep supercontig:CcrdV1:scaffold_65:252889:262878:-1 gene:Ccrd_015768 transcript:KVI05883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEAQCCSTHLIEGDGTFNAVGLDNFIKQVKLAECGLSYAVVAIMGPQSSGIWIARCAGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMLRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKRTPLSDFFNVQVVALSSYEEKEEQFKEQKFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKDWLELEEAVQSQLVPGFGRKLSNKEHLLSPVLEDILLLNFYNFFRGHFDIFVFSYDEEATYFEDSVRSTKRKQLEEKLLQLVQPAYQLMLEHIRSGTLDYFKKALNDSLNGGQGFAAAARDCTTKSTRLSVEALLEGGSDDTWPAIRKLLNHETETAISEFSVALSGFEMDEEDEEDMISKLRNYARGVVEAKTREEAGRVLFTSIFNHDNDSMPRVWTGKEDIRAITKTARSSSLKLLSVLAAIRLDEDTDTIGDTLVLALVDPKKGSGYKDTHNSCSEANKRNNNWLPPPWAIAAMVVLGFNEFMTLLRNPLWLLVIFVSYLLLKALWVQLDISGEFRNGAFLPTVTNLLRKLAEEGQRPTTTDAHSNTSNPPQASRIIQGVVGSNSGFSSTASSEVTMENEVEYTSPSRHDKDL >KVI05916 pep supercontig:CcrdV1:scaffold_65:95744:98215:1 gene:Ccrd_015780 transcript:KVI05916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLGFLVKRTGGINGCQLGFVVGDHDDQRAPVIISELDAAALTIQKFYKSYRTRRNLADCAVVIEELWFVVDYLLRLSFNFMTMMN >KVI05898 pep supercontig:CcrdV1:scaffold_65:577180:579180:1 gene:Ccrd_015741 transcript:KVI05898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MGRKSFFMDSITTIPPWTPLLLSLVAAILISSGEAQDSTTLVPAIFAFGDSAVDVGNNDYLPTIFKANYPPYGRDFVNHQPTGRFCNGKLATDITADTLGFTTYPPAYLSPEATGKNLLIGANFASAGSGYDDKTAIGSLQYYKEYQTKLQQVAGSGKAASIIKDALYLISAGNSDFVQNYYVNPFVNKDLHGLGARRIGVTSLPPLGCLPAVRTLFGYHEKGCVAKMNTDAQAFNKKVNAAATQLGKELPGLKIAIFDIFQPLYDLVKDPSSHGFVEATRGCCGTGRVETTVLLCNPKSIGTCSNATQFVFWDSVHPSEAANQVLADALILQGISLIG >KVI05875 pep supercontig:CcrdV1:scaffold_65:465483:467811:1 gene:Ccrd_015751 transcript:KVI05875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIYTKSVSFFFLQELFQRKRPPEQNTIREAVGVMATVPGQLIWEIVKKNNSFLVKEFGNGTQSVQFSKEPNNLYNLNSYKHSGLANKKTVTIQPAGKDQAVLLATTKTKKQGKPASLLNKSIMKKEFYRMAKAVSNQVADNHYRPDLKKAALARLSAVNRSLKVSKSGAKKKNRQAFKICGRK >KVI05879 pep supercontig:CcrdV1:scaffold_65:415183:415797:1 gene:Ccrd_015755 transcript:KVI05879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MSMNPQFPENEHLNCPRCDSSNTKFCYYNNYNLLQPRHFCKNCRRYWTKGGTLRNIPVGGGTRKINKRSPISSKRPADSSPPTSPATTPPPPSASGESETERSGIFSYGNNQRKLDGGSFNLMMRSNHRMQYGSFVDGLRSNLGGGSEEDGLIGTPASDVYQKKHLSLNDEGGSGGGREESSGWNGGGNGWPNLSIFTPGSNLH >KVI05874 pep supercontig:CcrdV1:scaffold_65:471963:472292:1 gene:Ccrd_015750 transcript:KVI05874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGITTATAGNPTLSPSPTTTTAATGNPTPPPSPTTTTVSQAKKPRYDRCFSFMEISIDPSIKSLKRLDSRKFKFEIQRWAKAVVRYARQVSDHFATRQVSKDNSSSRG >KVI05895 pep supercontig:CcrdV1:scaffold_65:530514:548482:-1 gene:Ccrd_015744 transcript:KVI05895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MPKGFISIDCGIVEGSTYTDNVTGINYVSDTDFIDSGQIHNILPIYNSFTVDTQLTTLTSFPQNTRNCYTLKPTQGKGNRYLIRARFMYGNYDFNWQLPEFDVYLGPDYWDTMKFNSSSKPRSDRYLYENRSTNYNFLDPQTRYKADEYDRLWSPINWPNSTFLYTLDKVSTGLFTTIDPPSEVMSTAITPRYPKDSFNIAWNPDNTTDKFFMYMHFAEIEILKQNQTREFNIYLNGNLSYGPFSPLNHTTTTIYSTEPEIVAPSYTLAINKTKNSTLPPIINALELYTLKHLPQRQTDDQDATAMWSIKSSYIIRKNWQGDPCAPQEFVWDGVRCSYNDTGSPRIVSLNLSTSGLNGEIDPGLANLTMMHTLDLSNNNLTGAVPSFLSGMNFLKVLNLKGNNFIGTIPAGLLAKANKGSLTLSFDGESTGDTLSSCGTNRCKRNRDSKIIVPVVATVASLFVILIALTAIWMIRKQMASGLEYLHHGCKPPIVHRDVKCTNILLNGTFQAKLADFGLSKAFPSEGGSHISTAVAGTPGYLDPEYYTSNWLTEKSDVYSFGVVLLVIITGQPAITKYDKDNMHISRWVNLMLADGNVKNIVDPRLLGDFDINSAWKAVELAMACVARTPSRRPTMNEVVMELNDCLIFKPSRMQRGYKIWQGFLPILVFLGLVLASVLVHGQDDQSGFISIDCGMVEGPSYTDNRTGINYVSDVDFIDSGEIHTILPIYNSFTIDKQLITLSSFPQNTRNCYTLKPNQGKGNRYLIRARFMYGNYDFNGQLPEFDVYLGPDYWDTMKLNSSSKPVSMEIIHVPSSDYIHVCLVNTGRGTPFISAIELRLLASNMYEETNFGSLYLFARVNFGTTFRTFRSTDFSFLDSQLRYNADKYDRLWSPINWPNSTFLYTLDKVSTGFFTAIVPPSEVMSTAITPRYPTDSFNIRWNSDNTTDKFFTYIHFAEIEILKRNQTREFNIYMNGNHWYGPFSPLNHTTTTIHSTGPETVAPKYTLTINKTKNSTLPPIINALELYTVMQLPQRQTDDRDGNNFIGTVPAGLLAKANKGSLSLSFDSESTGVNASSCDTNPCKSKKDNKIIVPVIATVASLIVILIALTTIWTIKKQIARGKRKTGTGLEIRKQQYAYSEVQSLTDNFSVVIGKGGFGTVYHGYIGDIQVAVKMLSKSSLQGDKEFQAEVYLLLSVHHKNLTSLVGYCNEGRHKAIIYEYMANGNLERHLFGLEYLHHGCKPPIVHRDVKCTNILLNGTFQAKLSDFGLSKAFPTEVGSHISTAVAGTPGYLDPEYYTANRLTEKSDVYSFGVVLLVVITGQPAITKYDTDNIHISRWVNLMLAEGNVKDIIDPRLLGDFDINSAWKAVELAMACVAHTPSRRPSMNEVMMELNDCLVLDC >KVI05878 pep supercontig:CcrdV1:scaffold_65:417339:428980:-1 gene:Ccrd_015754 transcript:KVI05878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MPTVSVKWQKELYPAVEIDTTQPPYVFKCQLYDLTGVPPERQKIVMKGGLLKDDSDWSKLGVKEGQKLMMMGTADEIVKAPEKGPGHSAGLFNLGNTCYMNSTMQCLHSVPELKSSLIEYPQAGRSNDLDQSSHLLTVATRDLFNELDKNVKPVAPMQFWMVLRKKYPQFGQLHNGSFMQQDAEECWTQILYTLSQALRSPSSSQNTDTVKALFGIDLVSRVHCAESGEESLETESVYSLKCHISHEVNHLHEGLKHGLKSELEKASPSLGRSAVYLKDSHINGLPKYLTIQFVRFFWKRESNQKAKILRMLRDEDGRKAGLKLKEKSSASVDNDVKMSDAENGSGESSKATSGEGVVSDKKTQLTGVYDLVAVLTHKGRSADSGHYVAWVKQENGKWIQFDDDNPIPQREEDITKLSGGEPL >KVI05893 pep supercontig:CcrdV1:scaffold_65:489071:489871:1 gene:Ccrd_015748 transcript:KVI05893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MIHTLYRTRSRLQQKAKPPNLESKKSSNPSSNSSNYHIRFNDRFELKNSFINFFQVLNRFAAIMGQAEKLMNQIMELKFTAKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRSEQMNYLRLSSRLDAVVARLDTQAKMTTISKSMGSIVKSLESTLATGNLQKMSETMDQFERQFVNMEVQAEFMESSMAGSTSLSTPEGEVNNLMQQVADDYGLEVSVGLPQPAGHAVPTKNTEKVEEDDLSRRLADLKARG >KVI05873 pep supercontig:CcrdV1:scaffold_65:435241:449672:1 gene:Ccrd_015753 transcript:KVI05873 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function CP12 MYGGSGKLGRGRGGGPVKRNIHTTFQPSSVQRPSTTPGGGRLSAGGGHRNRSNTPVAPALVSTAEETFSLVRNNPLNFGMIIRLSPVLVEEIKRLEAQGGAARMKFDSSAKNPAGNIIDVGGKEFRFTWSQETGDLCDIYEECESGDNGNGLLVESGGAWRKLNVQRELDESFKNHVKMRTVEAERKHKSRTAIVLDHPSTKNHMKAVAAAEVNNTWRGSYKQKKEPPFKKMKADTYSGPPKSVGKARLSSSTPSKVRTSASPLLSTPEQSGAPLSPHRNSNALKVHANRDDATLNQSSKENAGTSEKEMLNRGSVGAIQNKPGSNEKFGNKPTDLPSLLISLLMEKPQGMNLKALEKAVGDTIPKSVKQIEPILKKVAVLQGSGRYILKPDVDLESFKKYSSGSGSSPENNHHHREVTAPESSFPSKANDVKESEEPSHLISAPYEELNLSEKIDIEHRSPDVLSEKKVSENSEGPAASSSLSGSDSDSESDSSDSGSDSGSPNRSPAGSGSGSSGDSESDASSNSKEGSDEDVDIMSDDDKEPKQILLHPDPGLSTPRVPSTAPEVGYARNLVDEKDEENGSDFVELERDLFADNQEADMHVTTNSFPDKDGDNYADESRNLFVNHIEHQDRNLHSDKENVAKDGSEEIERVVPRTKAKRGFDENEHAKRLKTGNWARPAIPRGRNSFSESPLSRSPDGPNEVPYRGPMVQMDRTVRDVTDYDYEKVDNREVPGNSTSDSPRSGPRAIDLNARAKAPASMERTERYVEGLDLDRYSERGPQGHEPFFPQKDKTNKEIRDEDGHSKDRRPPKNSRLGADGGKHSGSHQKKHDALIGKIKEAGLVSTSQPKDSPIDNYRTDMKKSPVVNGRGATLRREASALEMGEFREDLLEETSGAKKRFERNSSFKQSENKPSSDHRNLDASKGNLAGRTSLDSAKASPLQSGIGTSSIPPSLSKKLISEDHNDDFARINGKPIQRETQALSRVDHVKPGSQQNKFAETNSKGRHNEAGAGQGIGSEGYSDSQRKVPPVGAAQKYEKQGAPPTTRDNRRQKSNDLGEKRKDFWLVDSRDSGQKRREMESSSDDSITSYTKYEKEEPEMKGPINDLSQTEFQIFGRDLELAKGRDNVRYNNILEQLMESYRQCATKHKRLKKIFVVLHHELQELRSSMEMSIASVKFPLTFRSVLLADPKIIMISTPICVSSRSTTCRRTSVIVNGMGGGSAKYKGTQMREKKLTELIEAKVAEATQVCEGDRGSDECKVAWDEVEEVSQAKADLRRKLDENKDPLASFCEENPETDECRIYED >KVI05910 pep supercontig:CcrdV1:scaffold_65:145386:145771:1 gene:Ccrd_015776 transcript:KVI05910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDVLHEHKCCDGRLVYKGAWTQEEDERLIVYMQSRGDRKQPWKDVPRSAGLARCGKSCRFRWLHYLRPSLNRTEFSTDEIDTIHNLRSSVGNK >KVI05881 pep supercontig:CcrdV1:scaffold_65:359919:369023:1 gene:Ccrd_015759 transcript:KVI05881 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVVNNGDDRSNLITHSGSLTSMASPRSLLGGCICEDECELVSPHTQRSILDLLNLLFVIVFYLFLLVVYLKRYGISENRYRRIGWFYVLVAICCFLTGGAYIVECLWEVITRTRNFDCSNWVMNIGRAVIWLSLTISVLIERSKAVNLLVSVWWMLLFLFISTIEIEILVEKHILFLEFVEWIVSFLLFLCALRNGKGFVGQQAPEILLDPLLAKGTQKGDKSQIKEPKFFSKLVFSWVNPLISLGYTKPLSLEDIPSLEPKDKANVAYHKFIMAWDSLQREKTANDANLVGRALKSVYCKEMVLVGIYASVRTIAVIVGPLLLYAFVNYSNRDIENVPYGLLLVGCLIAVKVFESLSQRHFFFNAKRTGMRMRSALMVAVYKKQLKLSSLGRRRHSTGEIVNYIAIDAYRMGEFPMWVHVAWTSFLQLFLAIAVLFFIVGVGVLPGLVPLLLCGLLNVPFAIMLQKCQLEFMSAQDKRLRSTSEILNNMKIIKLLSWEEKFKDFVESCRENEFKWLRESQYKKAYGSVLYWMSPTIISSVILFGCAFFNSAPLNAATIFTILATLRTMSEPVKYFPQALSMLIQTKVSFDRINSFLVENELKNKGVRENQEQKHSGICVRIQHGNFSWDPESPFLTLRNINIELIKGQKVVVCGPVGAGKSSLLHAILQEITSTSGTVDVFGSIAYVSQASWIQSGTVRDNILYGKPMDPIRYANAIKSCALDMDLNDFNHGDLTEIGQRGLNMSGGQKQRIQLARAVYNNADIYLLDDPFSAVDAHTAATLFNDCVMTALRMKTIILVTHQVEFLSKVDNILVMEDGHITQSGIYKDLIMADTGFEQLVNAHKNVMTDLESSSYENKRNVKETNSLNFRNEISEEISKSTVQLTEEEEKPIGDVGWKPFSDYIVISEGRRFFFLSLLTQIGFSALQAAASYWLAFAVKIPTISNVMLIGVYTLISTTSVFFVLMRSLSTTLLGLKASEAFFSKFTKSIFSAPMLFFDSTPVGRILTRELIRINGITKAPVANYASETSLGVTTIRAFKMEDKFFKNYLKLVDVDASTFIFTNATLEWLVLRAEALQNLTLFTAAFFLPSQWHHFNRTDQTIYVHSTRASSSCGEQHASFFLAFKGYRPNAPLVLKGITCTFKEGTRVGIVGRTGSGKTTLITALFRLVEPNSGRILIDGLDICSIGLKDLRMKLSALEKCQLKGTINSLPNCLDFPVSDEGENWSMGERQLFCLGRVLLRRNKILVLDEATASIDSYTDATLQRIIRQEFSSCTVVTVAHRIPTVIDSDMVMVLSSGKTRYDVIN >KVI05882 pep supercontig:CcrdV1:scaffold_65:244457:248363:1 gene:Ccrd_015769 transcript:KVI05882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGANAAGEQLDETIVLVNLVPLKQNFDPANAFSIYQKFWKKQVFINTSRFGAYEVVYVRYPGLPPSPPAVPLNSGVTIDQSDSVNDQKGRPFKPIGVDIPRNGREKNDRPNGSMIAVVILSAVTAFVVIIGAVWLFLLKCGCCSSDSQTEKEPRERAGESLIVGSRKSSDMMSFSSSLMAYTGTAKIYSLSEIEKATDNFNSSRILGEGGFGVVYSGVLEDERKVAVKVLKRDDRQGSREFLAEVEMLSRLHHRNLVKFYGVVLLELLTGRKPVDLLQPPGQENLVSWARPQLTNREALESIIDHEIISSNTPFDSILKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEIKETTSRSFSNEEEVGFKTMDHEMSGYDSKIELSATDLRSTIENMEGLESESFRRQFNSAPLKMGRKKQFWRRLRSLSRGSTSEHGFSSNL >KVI05923 pep supercontig:CcrdV1:scaffold_65:1059:14873:1 gene:Ccrd_015789 transcript:KVI05923 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3358 MNTMKQNESQKMDHFKGQNRLPKFAIPHRYDLTLKPNLELCNFSGSVLVDVSILDTTRFLVLNSIDLVIHESSFTNSNNDKVVPSEVVVDNDGETIVLVFDEPLSIGDGVLEINFDGVLNEHMKGFYKGTYVDGGVRKNMAVTQFEPADARRCFPCWDEPALKATFKITVDNVPSELTALSNMPVSKETINGNFKSLCFEESPIMPTYLVAVVIGVFDYIEETTHDGTRVRAYCPVGKSEKAKLALSISVKALELYTKYFSMPYALPKLDMVAVPDFSGGAMENYGLITYRETELLHDDLHSAAANTQRLSVVVTHEVGHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDILFPEWRIWTQFLEVTAGGLRIDSLEQSHPVEVEVHNASSVLEVFDAISYKKGSSLVRMLKEYLGDEIFQKYACKNARTEDLWSVLSDESGVEVNKLMDIWTKQTGYPVIYVKSEDYTLEFEQTRFMSLGLQGEGQWIVPITLSVGSYSNRKTFLLETKIGKLDISELYGSYTSSHQNSDKNEEVPEKPWVKVNVGHTGFYRVKYDSALTARLRKAIEEKWLSPEDKFGILDDTYALCEAGEESISSLLSLMDLFREDLDYLTYQLFTALATFGHRKTHEELKKRFQIYIKDKNTSLFPVDIRKIREQDTVYVTARISMEARETAWIWLKENWDAIIKRWGQGMVFHHFIRDIVTPFSTDEMAEEVEEFFRSRVTPAFVRNLKQSIEQIRIKAKWIEKVKKEESVIIPKLARGLTRSPITTVPKGVTI >KVI05900 pep supercontig:CcrdV1:scaffold_65:553064:556595:1 gene:Ccrd_015743 transcript:KVI05900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol oxygenase MTIIVDQPQFENDGEEQKPNNGEESGLVLDGGFCIPNTNSFGHNFRDYKIESERQKGVENFYRTNHINQTVDFVKRMREEYGKLDKVEMSIWECCELLNQVVDESDPDLDEPQIEHLLQTAEAIRKDYPNQDWLHLTALIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAFDKSIVHSKYFMENPDYNNSNYNTKCGIYLERCGLDNVMMSWGHDDYMYLVAKENGTSLPSAGLFIIRYHSFYALHRSEAYKHLMNEEDVENLKWLQIFNKYDLYSKSKVRVDVENVKPYYQSLIDKYFPAKLRW >KVI05877 pep supercontig:CcrdV1:scaffold_65:375493:385849:-1 gene:Ccrd_015757 transcript:KVI05877 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MDGGDLSPKNPIPAHHRRFHIIVIFSYSRNIPLASSISHLINTLLVLFAFQHHLQQQRFPSDHRILPPPAAMSGIVVIFDFDKTIIDIDSDNWVVDELGATDLFNQLLPTMPWNSLMDRMMEELHLQGTTMADIEQVLNRVPIHPRIVPAVKAAYALGCDLRVVSDANVFYIETILKHLGIRECFSEINTNPGFGKVIERIQASLEGNKRIVYLGDGAGDFCPSLKLLEGDYMMPRKDFPVWELICKTRHMVKADVREWTDGEDLERVLLTIIAMEDTKNNVDNTNQLFDCKFETIALEALPKPLYTIIDIDSDNWVVDELGATDLFNQLLATMPWNSSVENIEEVLKRIPIHPRVVPTIKAVHALGCDLRVLSDANLFYIETILKHLGIRDCFSEINTNPGFVNEEGTLRIEPFHDFHTFSHERIQATLEEEGKKTIIYLGDGAGDFCPSLKLVETDYMMPRKDFPVWDLICKNRHLLKAEVHEWTDGEDLERVLLQLITTVVSMEDMKNNIDNENQLFDCKFEKIAHETLSKPLYVP >KVI05915 pep supercontig:CcrdV1:scaffold_65:80949:81276:-1 gene:Ccrd_015781 transcript:KVI05915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYDVRSVDSMNSSG >KVI05897 pep supercontig:CcrdV1:scaffold_65:500412:504834:-1 gene:Ccrd_015746 transcript:KVI05897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVKDDGKKMDPLFPRLHITETDKGGPRTPPRNKMAISHQLNVPSQRCLTGSVSPILPLSNNNGSSLVPANSSYHTIETTNCQTLCNGGHLKRGDYCLFKPQDFKSTNSPVKKPEDEDDYLVPSLSFGNGQYRGKFPTLTTNPHIKHPTIKPTDKGVAQDNKLNATTVSKEPVSYYSDRLRFSEMLSGELAPLSPRKTSILVDELQMLQDSSIRLPLKRKSFQETEKDGILGEPELGARKPTDPKWTLLGDDRKTDHEVLDPTESPELLQEDNFYKLKKLPSSDDIFPNLDHHHRNETSLAFPIETTLTKPLHFNTPNPIELPSPPPPPPSTATKQPPWCFLPPPGNQWLVPVRSPSEGLVYKPYTGPYPPPVGLMAPIYAGEFITGGYNLPASYPQGIGVFPTTTQLYGSPTVTKGSSSPTMEDGLMKYNNKAIKSSCNIESEMQGSKGGDHELPLFPTTPTVQDSDQCTEMEKGYDENRIKVIKVVPHNPKLASESAARIFQFIQEERKQYD >KVI05922 pep supercontig:CcrdV1:scaffold_65:30029:33550:-1 gene:Ccrd_015786 transcript:KVI05922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVAYIKYMKMKMSACRGPILLYGPAGAGKTAFISRLARVMGVKAILNGFSVVFEDVDKTPLNAIRDSEGFQQLSTVSSLSN >KVI05904 pep supercontig:CcrdV1:scaffold_65:221632:222393:-1 gene:Ccrd_015770 transcript:KVI05904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin-like fold MAEKIYPASKPTTGAGAVPTAPTANPSFPASKAHLYNATRPVYRPQPRRSRRSCCCSCCLWITFTIIILIVIAAIAGGVVYVLYRPHRPTFSVSSVRVSQFNLTSSNKLTTKFNFTVTARNPNKKIVFYYDPVSISFNSNDVDVGDGSIPAFTMGKKNTTTLRAIVSTSGQTVDANSNLKSDLKNKKSVPLKIQLDTKVKAKIGSFKTKKVPIRVTCEGIKAAAPTGKTATTATTSDAKCKVDLRIKIWKWTI >KVI05901 pep supercontig:CcrdV1:scaffold_65:570575:571324:1 gene:Ccrd_015742 transcript:KVI05901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MEAMQLHSSKYLSISIFLLFLSIHGVAATTIMMVNKCNHPVWPGIQPGAGHPVLARGGFKLPPRKSYTLFLPPAWSGRLWGRVGCAFDNSGRGKCATGDCGGSLYCNGMGGTPPATLAEITLTAEQDFYDVSLVDGYNLPIAITPFKGTGKCSYAGCVSDLNVMCPVGLQVRSHDRRRVVACKSACSAFNSPRFCCTGSYGNPQTCKPTAYSRIFKVACPRAYSYAYDDPTSIATCTGASYLLTFCPHH >KVI05919 pep supercontig:CcrdV1:scaffold_65:33627:34658:-1 gene:Ccrd_015785 transcript:KVI05919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKSKAAIEAAEMAKRLAELETQKRRKAELKAEHEEAERKNLMVRLTQCTIQYRKYSIEDIEIATDYFSNSLKIGEGGYGPVFRAYLDHIAVAIKVLRPDISQGQIQFQKEVEVLSCMRHPHMVLLFGACPEYGCLIYEYMENGSLEDRLFRKSNTPPIPWRVRFKICVEIATALHFLHQTRPQPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPEVADDATQYHMTAAAGTFCYIDPEYQQTGLLGTKSDIYSFGVILLQIITAKSPMGLTHLVSRAIKEGNLLNVLDQAVTDWPLDDTQSLAKMALQCCELRKKDRPDLGSVILPELKRLKDLGGAS >KVI05920 pep supercontig:CcrdV1:scaffold_65:48291:53928:1 gene:Ccrd_015784 transcript:KVI05920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MAKQKQKWTTEEEYALCAGVEKHGHGKWKVILSDPEFASSLANRSNIDLKDKWRNLGSSGGMPSSREKVRPPRLEGVGINSLLSSPEPLSAVPLLEYEAMIFEALFNIDDPNGPDANKIMDFIELRYEVPQNFRRSVTSKLRRLVLTGELEKVDNCYKFKNAFCGAEPSQLEDVRMNNPDNRNGEQQAPEGSLTPLATDNTPEDSLTPLATEKAPEDSPTPLATENTPEDSPTPLATENAPEDSPTPLAIENAPMNSPTPVATAQERAPPPIPTETLEDAALYAVYAVAVAENVEQEAADACKKAELLAEALEESQTKLGKRKWFIKEMERAEGGRERGDMIMGFFLIKLLKYPYVGVG >KVI05872 pep supercontig:CcrdV1:scaffold_65:451262:463561:1 gene:Ccrd_015752 transcript:KVI05872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYQQASKVVGLGMNSAMDDMSLIQQRHHLVVRELGGEIDLEIGPGDDDPSFAHAPLIGVPPQESSADDHDENKNLAIVSHIPSDDQEILKSLPAKRKKKVVKRWREEWADTYKWAYVDVKEGTTRIFCSICREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQLASKDKIIADKPIYVKALMSKSAGSIVEAALKRDPNELEFIQSVQEVIHALERVISKNSGYVNTMERLLEPERTIIFRVPWIDDRGEMHVNRGFRVQFNQTLGPCRGGLRFHPSMNLSIANYMDELYRYVGPDKDLPSEEMGVGTREMGFLYGQYRRLAGHSQGSFTGPRLNWSGSSLRTEATGYGLMRDSKGYLVDEDGFDFMKLSFLRDIKAQHRTLRDYSKTYARAKYYDEAKPWNERCDVAFPCASQNEIDHSDAISLVVAGELELKEYNLNWAPEDFESKLQEAMKQTYQRALKAAVEFGFQKESPEALVHGAVISAFLTIASSMSEQGCV >KVI05914 pep supercontig:CcrdV1:scaffold_65:584373:588917:-1 gene:Ccrd_015739 transcript:KVI05914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHNDEDEEQYSKFMLCFPLRLQESDELRIGIELPHSNIPAPDLSASRRSNSDKDAHDLLPEFNDLVNEFNTAGKKANVSPVKDFELQRTKDTHEQEIKSLRNLVKILKERESDLEIQLLEYYGLKEQETAVMELQNRLKLNNMEAKLFALKIESLQADNRRLEAQMTDYMKAVSDLEAARSKIKMLKKKLRSETEQNKKQIMDFQQRVQKMQQDEHKNVVGVDPEVGSSLDKLKGLEAEVEELRKSNHSLQLEKSDLARSEIEFDLTDYWSNPQTEKLKVETQDLKKQNEDLSKEIERIQADRCSDLEELVYLRWINACLRYELRNYQPGPGKTIARDLSKTLSPRSEEKAKQLILEYASKEGGAEKGVDLLDIDSDQWSSSQASIITDSGDQLDESSVDDSLHHKNNNNKFFGKLIRVLRGKQSQNQNQNQNHQLRNHSRNPSLGRSESGGDDLNSFSDSISTTHLGSSSENQRPRTSTGGSSSSQRLTHRHSDHACFYKQSDSIAEGGGGGSSHGSCSSSSCGKKSELLKYAEALRDSSSTLNFKFHR >KVI05890 pep supercontig:CcrdV1:scaffold_65:291011:297153:-1 gene:Ccrd_015765 transcript:KVI05890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHNNCLLMLPFLCVSLLLFSLYTRRRRLRHHHGSPPVITDIVRTINSDDHSTGIENLTSHDSNPNNSLLLEILPALLEDNNRGADNSESTAVGDEECGKKKKKKRGRKKRLDGNDSSKGQVVIKDGLIAGIGKEKDHDDLVCLYPFTSSSSATQRKIKQQYDQLVKSHESNGLTLLQVHLLLCFHQLLMIHM >KVI05911 pep supercontig:CcrdV1:scaffold_65:125861:127228:1 gene:Ccrd_015777 transcript:KVI05911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGHGGGHTCCNKQKVKRGLWSPEEDEKLINYITSHGHGCWSSVPKLAGRTDNEVKNFWNSSIKKKLLAHTHHHHLSNHALATATTTTTTFPNPKATNIHVSLSGDHQYYEGLFDANPNVINPYQPHMIHDDQDHRHVHTPPPPAATQPPLINLDKCFVGIDPSPILPPLPPSFTVNPSPEFDHQFPTMEFLNSYDHNILKPENYDLILGQNSDHHHNHHPEIPFKLGDVELSQPIANSNELHTPTSSQTEYLETFVPNFLSSSPPSLVLPQFV >KVI05880 pep supercontig:CcrdV1:scaffold_65:372614:373465:1 gene:Ccrd_015758 transcript:KVI05880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MSSSPQTTANGGATAGETEGDWVWNEIKAAARRDAESEPALASYLYSTIISHSSLTRSLSFHLGNKLCSSTLLSTLLYDLFLNTFSSDSSLLSATVADLRAARQRDPACISFAHCLLNYKGFLAIQAHRVAHRLWALNRKPIALALHSRVADVFSVDIHPAARVGKGILLDHATGVVIGETAVVGNNVSILHHVTLGGTGKVGGDRHPKIGDGVLIGAGATVLGNVKIGEGAKIGAGSLVMIDVPPRTTAVGNPARLVGGEEKPKVHEDVPGETMDHTFIYVI >KVI05888 pep supercontig:CcrdV1:scaffold_65:270949:280356:1 gene:Ccrd_015767 transcript:KVI05888 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MKERGGKGGGQPSVNERYTQWKSLVPILYDWLANHNLTDGSVPNTLVIANCEIVKPRVAAAEHIAQFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQEDAEFALAMCQSEPFVLSGGKDKSVVLWSIHDHISTLATDSGATKSPGSNGGKSPKADEKPTESPKIQARGIFQGHEDTVEDVQSADNTVRLFDRRNLTSNGVGSPVHIFTNHNAAVLCVQWSPDKSSIFGSSAEDGVLNIWDHNKIGERSGPASKFAPGLLFRHSGHRYKIWRMIDLIHRPQEEVINELEKFKSHILTCSSP >KVI05907 pep supercontig:CcrdV1:scaffold_65:174021:181701:1 gene:Ccrd_015773 transcript:KVI05907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVTKKCLLNKGSVIHRTSGSRRRLSLRVLGSQMILTQGAVRPSPTCFTRFARLTTHLSFSKANPSSTSGSRYENSRGRLPYFNRILSAAPVHTNSSVQKGEQITLEQPEIIFIGTGTSEGIPRVSCLTDPLKKCLVCSKAVEPGNKNKRLNTSILIRYPRPSGICNILIDAGKYVKMLSSMVLSIRLDDLRDWTNNVQPYIPIYVAERDFEVMKKTHYYLVDTSVVTPGAAVSELQFDIIQEKPFIVHDLKFTPLPVWHGRNYRSLGFRFGSVCYISDVSEIPDETYPLLRDCEVLVMDALRPDRSSSTHFGLPKALEEVRKIRPKRTLFTGMMHLMDHEKENEGLLKLMETEGLDVQLSYDGLRVPINL >KVI05899 pep supercontig:CcrdV1:scaffold_65:580488:581711:-1 gene:Ccrd_015740 transcript:KVI05899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAKYIVLPTPPPPPPPPPSPPLPLHINHPFSLYAGDLHPDVTEPELYILFSIIGPLQNIHLCRDLHETRLEEVFGVFGRILSCKIAKDCYGNSKGFGFVQFDSEESAKEALCGLNGSKLDGKILTVDKFVKKSERKEPEFTNVYVKNLDEDFSESSLKEKFSEYGKVTSAVIVHDTEGKSRGYGFVNFELHDDAKMAIEGLNGAEIGKNIKLWRVYASFLGF >KVI05913 pep supercontig:CcrdV1:scaffold_65:590872:604192:1 gene:Ccrd_015738 transcript:KVI05913 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA MFSTRGFFMCEPKKEGGDRRLTEMRAFQTLNSRTHFIDLITTKHPQFHKIYRGFHSTNHLFIEESSTVSTIYDPVSNRLVTTRVANSKPSEGESDKDAYRSSNSDYVDALKDDRSYGSVLKANQGFGVVSKKKSKSKSTWVCSECGYTDGQWWGYCRECRNVNTMKEFTESDNGGKTTGFQVSEKIVKSWLPKGSGDAVPMRLTDVNRGINQSDWRFHLSGLFGTEVSRVLGGGLVPGVGKSTLMLQIAAIIAEGKEIGKPAPVLYVSECRTNWKQSRSYGNQRRGIDILQKAQVLSPRALIIDSIQTVHLMGVTGSAGGIYQIKECTAALLRFAKKTNIPIGHVTKSGDIAGPRALEHIVDAVLYMEGEQYSSHRLLRAFKNRFGSTDELGVFEMSPCGLKAVTNPSEIFLSEERSDSEFLAGLAIAVIMDGSRTFVIEIQALCAPGSSLSRQVNGVQAGRADMIISAIFLNVVSGLTLTETAGDLAFPIPNDVAFIGEVGLGGELRMVPKMEKRVSTVAKLGYKKCVVPKSAEKSLRGLDFGEIEILECINLKEVINAVFVTR >KVI05884 pep supercontig:CcrdV1:scaffold_65:320833:323491:1 gene:Ccrd_015763 transcript:KVI05884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSTTPNIPSPPPSPPVKESVGRRYKFIWPLLLAVNFSIGGEAPPTLVVTSTPVVTSTPASTAISTASLSHTPTPPHAIAEPVKSIPVDQQRELFKWMLEEKRKVRTKDPQEKKRIDEEKAILKQFIRAKSIPSL >KVI05886 pep supercontig:CcrdV1:scaffold_65:331235:333375:1 gene:Ccrd_015761 transcript:KVI05886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MTMYGTIPASSDEGTNLKFISTAKASIKSGLGTRRPWKQMFNLRSINFPHGVSDAFSRIRTNVGYFRMNYVIIMLLILFFSLLWHPVSLIVFVVMMAAWLFLYFLRNEPLMIFHRIIDDRVVLVVLFVVTIVLLLLTGATMNILSSILIGLVVVVVHAALRCTDDLSSDEERVEAGIYNKEIPR >KVI05912 pep supercontig:CcrdV1:scaffold_65:610904:612421:1 gene:Ccrd_015737 transcript:KVI05912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MKLLLVFLVVAIATAASHATNDYHVIRRVVPNSGLSHSGSAKTKCLSWRLAVETYNLRDWKLVPAECISYIGNYMMGKQHGQDCTAAADAAFAYAKTINLTGDGKDAWVLDIDQTALSLLDYYARPDVQFGGILYNDTRFEEWLAQGTAAATPAVLDLYNKLKSIGFKIVFISGTSETQRDIRIANLNKAGYYNWEKLLLKSESEHGTTAQVYKTNKRTKLVGEGYRIHGNMGDQWSDLIGPNNGDRTFKLPNPMFFIP >KVI05889 pep supercontig:CcrdV1:scaffold_65:283922:289205:1 gene:Ccrd_015766 transcript:KVI05889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MEWSSNGLLLTLFISIVFFISCSESFYLPGVAPRDFQRGDPLQVKVNKLSSTKTQLPYDYYYLNYCKPNHIQNSAENLGEVLRGDRIENSVYTFSMREELPCKVGCRVKLDAQSAKNFKEKIDDEYRVNMYVTCLSNPSIFLWHISVSYPLILDNLPVAVLRQRRDGTQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMYHKDLETDSARIVGFEVTPNRDIANYNQLDTQDEAQEETGWKLLHGDVFRAPAKSGLLSVYVGTGVQILGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAIFFVLNALIWGEKSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPIVDDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIITCAEITVVLCYFQLCSEDYHWWWRAYLTAGSSAVYLFLYSIFYFFTKLEITKLVSGILYFGYMSIASYAFFVLTGTIGFYACLWFVRKIYSSVKID >KVI05918 pep supercontig:CcrdV1:scaffold_65:61027:68413:-1 gene:Ccrd_015782 transcript:KVI05918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MARGIRARLKRSSLYTFGCLRPPRESIDEPHQFQGPGFSRNVCCNQPGFHQKKPLKYCSNYISTTKYNVITFLPKALFEQFRRVANLYFLLAAALSLTPVSPFSPVSMIAPLVFVIGLSMAKEALENWHRFMQDMKVNMRKVIVHIGDGVFVRRPWMKVQVGDVVKVEKDHFFPADLLFLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLDDDAAFKDFRATITCEDPNPNLYTFVGNFEYDRQTYPLDPSQILLRDSKLRNTAHVYGVVIFSGHDSKVMQNATKSPSKRSTIEKQMDKIIYVLFTLLVLISLISSIGFAIKTGYQMPNWWYMPQDAKKIYNPTRPFLSGCIHLITALILYGYLIPISLYVSIELVKVLQALFINRDIHMYDEETGTPAQARTSNLNEELGMVDTILSDKTGTLTCNQMDFLKCSIAGVPYGMRSSEVELAAASQMAMDLVGHDHNFPRTSAPHGSEIELEVVPTPRTGSSHNRMKGFSFEDSRLMNGNWLREPNADIILLFFRILALCHTAVPELNEETGSFTYEAESPDEGAFLVAAREFGFEYCKRTQSSIFRMSVIVKDETGQILLLCKGADSIIFDRLSKNGRSFEEATIKHLNEYGEAGLRTLAFAYRELEVSEYSAWNDEFAKAKTSIGGNRDLMLERLSDVIERDLILVGATAVEDKLQQGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQIYDLKHQFLNLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRIFGWMGNGLYCSLIVFFLNIIIFYDQAFRVDGQTADMAVVGTAMFTCVIYSVNCQIALTMSHFTWIQHFLIGFSIGAWYVFLILYGMFSPKISKNVYQIFIEALSPAPIYWLSILLVAVACNLPYLAHISFQRSFNPMDHHVIQEIKYYKKDVKDRHMWRREKSKARQETKIGFSARVDAKIRHLKLKLQRKSSVLSPRAVLYPTPT >KVI05896 pep supercontig:CcrdV1:scaffold_65:489466:497869:-1 gene:Ccrd_015747 transcript:KVI05896 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLMKTTRLIIDRQLKRKFSANKKKQKTEYSSSLGDQVGYRKKLNIQNVDGIEKGDENLIFGSCQKGSSSNWPVKTNTKSKTRRSSILRKDIRGRKKRKMEKVVKVDEVVEPMDLISELPVSIIHHILSFLRCPRDVARTTVLSKKWRSICASFFTLDFDQKRFKMLGGDHMEKFITFVDNSFTTKLEPMHNIQKFKLSLSQLSPKLKLRINEWISASINKDVKELEIHVEEKKKRHYMLPNIVLTAKTLTSLKLYGCKLDGKGVIDLRNLKELSIKNAYVNADVIQGFVQGCPLVEDLRLVHCTGIGRLQISTLHKLSRVELHECHGLVCVHIELPSLLRFLYWGKESWECNINLAGCANLKYLTLRDSNLTDELFQDQMSKLFYLEKLVLRGCDKLERIIILSVNLKELSVIRCKKLEVINIYAPYLSVLEYSGGKFPFSSMDVLDLHEAKLHLEVRKNRFVVLHELLVFLQKFENDGAWTLVVSSNKNITIHEELRKIQNLSSNDLKLELIKSPVKLKGYVDNLLRMSRPKTLSYWDDGVEKTILLGPWGGNGGNNWDDGAHSGVREITIVYSSCIDSIHVTYDEYGKPFTAEKRGGIGGTRSAQRTFGPFGVEEGTPFNFSANGGLIVGFYGRSGWFLDSIGFCLSWPKTGLFQKIHMMFKGFNPMAIKDRFEHHKMKDQKLPVARVDSRDLTIDPIDLLIVVIFACVSSRATTASRREDKR >KVI05885 pep supercontig:CcrdV1:scaffold_65:325345:330184:-1 gene:Ccrd_015762 transcript:KVI05885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGTAASSSTSVLTVEIVSHFLTRGIVSVSVNEVEALRELFNKLSSSIIDDGLIHKEELQLALLESSGGDNLFMNRVFDLFDEKQNGVIEFEEFIHVLSIFHPAASLEQKIDFAFRLYDLRQTGYIEREETFADADADMDGRINKEEWKNFVIQRPQLLKNMTLPNLSEVTTAFPSFIFNTGVEDSFHQHNVDVPRRR >KVI05891 pep supercontig:CcrdV1:scaffold_65:299500:310873:-1 gene:Ccrd_015764 transcript:KVI05891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MESGFKKMQKLYLVLMVVVLLLGFLVCFSSASVSYDHKAITINGQRRILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPQPGKDAMEKFTRHIVNMMKAEELYENQGGPIILSQIENEYGPMEYELGSPARAYSKWAAQMAVGLATGVPWIMCKQDDAPDPIAYVYRNKSGGCAAFLANDDKTDFATVNFMNQHYNLPPWSISILPNCKNTVYNTARVGAQTTVMKMTREKIGFAWQSYNDETEDYDDNTFGTAGLLEQLNVTRDASDYLWYMTDVEIDPNEGFLRSGEQPTLTALSAGHALHVGLKGEILSLHSLSGSSSVEWVQGSLVAQRQPLTWYKAVFDAPAGDEPLALDMNNMGKGQVWVNGQSIGRYWPAYKASGSCTTCSYAGYFDEKKCLSNCGKASQRWYHVPRSWLNPRGNLLVVFEELGGIPYGISLVKREIYSVCADIYEWQPTLMNYQMQASGKATKHLRPKAHLSCSRGQNISEIKFASFGTPEGGCGGFREGGYVHRARIMHGPGNTRDLWRRSVSECNEETIGGSHLQLNRLIA >KVI05887 pep supercontig:CcrdV1:scaffold_65:338434:343253:-1 gene:Ccrd_015760 transcript:KVI05887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERSVGKEEKRSDVVETVVVAVKASKEIPKSPLVWALSHVVQPGHCVTLVIVIPSQSSGRKLWGLPRFTGDCASRHWRSYLGKNEITDSCSQMILQLHNLYDPNKLKHEQKRCMEELQCNIVTIKKSQPKVIRLNLVGSPKGPEADGQLPSSANKKSSRKLQKDKILSMFSVQGLVTPTSSPEVSTATEAGTSSVSSSDPGTSPFFIPVTNGSLKEEALARKENRDLIKSRSDFESEHLSASFSSLRFQPWRVDILTSSRQPSQHWEEGFQGYSSQVQTSKSEGFFRQFSELDRDTDVEFRGNVRDAISLSRNAPSGPPPLCSI >KVI05892 pep supercontig:CcrdV1:scaffold_65:471977:483096:-1 gene:Ccrd_015749 transcript:KVI05892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anoctamin/TMEM 16 MDEIKEVHTPYEMGVVVPKKVSDQIGEGYGHDCVEVLVAQFHRVGLIVDRVVGLHDEFLKLLAPVEILGKAAAELQLKKRTHIGVDIQFEWDEAEAFIRQADGSFFSWCERFRCYNHMIYGIVNKSESAIILKSNCRDVRWEPGESLVWKLETEAIVKELLKCWALNWWDLTNQPIDEIYAYYGTKIATYFAFLGMYTKWLFFPAAFGLLLQLVDFGSLQLLVIPFFFICIISWAVLFFQFWKRKNSTLLARWQISYSVGAESAYKYMDMEWSSFHSSVGLVKKWGDGKAEDKENFQREEWSGRMMRFRNDAIIILSIICLQLPFELAYAHLYESIRSDIMKFGLTAIYLFAIQYFTQFGGKVSVKLIKDEQNENSEYRANSLVYKRKREKGPSGRKSYFNSRVEKEYFKPMYSASVGEELEDGLFDEFLELALQFGMIMMFACAFPPAFAFAALSNVAEIRADALKILAMYRRPFLIVVSICTNCVLLACLYDREGKWDISPGLAAILIMEHEPDWVKANRMKNATQAQNMCSKQLLRNISGGRGAFVTVVVVGDGGGVGFPVAAVVVVGDGESVGFPAVAV >KVI05909 pep supercontig:CcrdV1:scaffold_65:160314:166545:-1 gene:Ccrd_015775 transcript:KVI05909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDHHGDVGIHPYVNGDDEQLRFDNVNQNHENYDDNVDDSGGGGGNEMRHSINDSSRESSAGFAPGNESFSNYFSNYGELTDSVIMMDKISGRPRGFGFVTFANPADADKVLEQDHVIDGRPVEVKRTVPREDMQVNRGVSRTKKIFVGGIPLTLTEGRSRGFGFVTFDSEEAVEKIFADGQLHELGGKRVEIKRAEPKRAGGDFPFDNQGRRGGGSKSYGGFGRGSGGYGGKADRGYDDYGGGYAGYDSYGAGYGGGSAGFYGGYGGYGYGFGFGGPMYGGAGFAGAGYGGYGGPAGYGGGRAYGSGSGYGGKGFSRGGGSGGYGYGYGYDGSKGYDNSGGGGSSGGGSGGARFHPYRK >KVI05908 pep supercontig:CcrdV1:scaffold_65:169028:171166:1 gene:Ccrd_015774 transcript:KVI05908 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >KVI05905 pep supercontig:CcrdV1:scaffold_65:199150:213678:1 gene:Ccrd_015771 transcript:KVI05905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 1-like protein MAKRRSLKTTTIITLLLCLVVSARKFEIADDMFWKDGEPFRIIGGDLHYFRTLPEYYELLSSIFYYISRKVIRLHIIFCVQYWEDRLLRAKALGLNAIQTYVPWNLHEPKEGQLDFDGIADIVSFLELCQKLDLLVMLRPGPYICAEWDFGGFPAWLLTKEPAIRLRSSDPAYLALVDSWWGILLPKVAHLLYHKGGPIIMVQIENEFGSYGVDKDYLHHLVDLARSHLGDDLILYTTDGGTRDTLTKGTIRGDAVFSAVDFSTGENPWPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGENIAKTDATSTASALENILSRNGSAVLYMAHGGTNFGFYNGANAAQESDYNADLTSYDYDAPISESGDVDGAKFKALRGVIAKYSSGSLPPVPLNKKKTGYGRIRLEKTASLFDALDSNTHIKAIESENPISMEAAGQMFGFLLYASEYVTEGNGSSLFIPKVHDRAQVFVTCISEDQREQPHYVGTIDRWSNKHVNLPNAECASRSRLLILVENMGRVNYGQYLFDSKGILSTVYLDGKPLLKWRMLPIPLNNLNEAQKINPVFDRAYPNFDGVSTRKSLKSSLGVHSKEPAFYSGSFVVKEVMDTYMSFNGWGKGVAFVNGFNIGRFWPVILELESPEVELVVNSVDQPDFTCSSKHFEGRSAIN >KVI05924 pep supercontig:CcrdV1:scaffold_65:16129:17538:-1 gene:Ccrd_015788 transcript:KVI05924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIIPSLIQSSYHIFKPNSVKKSWDSINLILVLIALAFGFLGRNINNDDKLSFDNEFDRSSEPELSTGAPIMTTESSTHRQWYDFRDQPVNSVIGLRRQRTSSSYPDLRELSPPKNHRATGNRRFSDDTHLDYYRGLESNRNYLRQRSRIEHYGDSFSYTPPLQPPNPEEGLHFPLPELPSLPPQPPPPPQTAAVKKKTKIIYQNAGGEDREGRRSLVTGKIWSPGNEPSEYNGGRGERKRGDGERRRARSSEPRKILSPVIDPVPEPSSPLAFSPLTKGFFTSFYHKKKKKRQRDRSVDNLYSLLHNSQPAPVRFYQPPPPPPPPPPSILHNSFPSKKEKQKRVTTVTRAPPAPPPPPRTKIRPPPSTVTRVAPFTTDKPRAPLTMSSFTAIDDSSSGGDSPMKNIPPPPPLPPFKMPDWKFAVEGDFVRLQSTLSSRSVSPDGDEAHSPSSDVEEAAMAVAPPHES >KVI09657 pep supercontig:CcrdV1:scaffold_650:48041:50438:1 gene:Ccrd_011957 transcript:KVI09657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVHALQLCPFKLTRISSSNPPLKVPPFSHAQTEMAHTETLIVTKTDDESNRPPNPFNAIFSKFTQVLNFRLPPLPPMKKDPVKVETERKAILHGDEVVEVKKSVTVTYPDARTKTVAPLKLESEEAEQETSPVVLWQVYAIGGFFILKWAWGRWNERRARKKPSGEDTPSPSPTAGED >KVI09659 pep supercontig:CcrdV1:scaffold_650:20324:25349:1 gene:Ccrd_011952 transcript:KVI09659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MASTPHSSISAVVATTAANLKLSLLRNQFCPRRHDFRPRLDRIWNTSVDVHNQRRLLLLLPPRNVRSTAFAVNVSGPLLQDAGATVLVVAGAYALVAVTGKASGQTPFPASVDAKIECLVRSLSCLDFVFSPAFAWYIDKEEDRVHSIAYEEVTETNATSVLNYNPNTPSRKNESAAAKSIKIGGRTKNIPRCPTSCPVSLTVIAINLSRKLVHILSGLLYMGCWPIFRELLRGPLYYVLVLILSSLVFWRESPVGVISLSMMCGGDGIADIMGRRFGFHKIPYNKHKSWAGSISMFIVGFLISIGMLYYFSIFGYFELDWVRTVERVAMVAMVATLVESLPTNGGLDDNISVPLVSMVTAYLSFGF >KVI09662 pep supercontig:CcrdV1:scaffold_650:58314:71964:-1 gene:Ccrd_011959 transcript:KVI09662 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM-like protein MASPSARRSTSLGEAETRLTDSDKIEGKCGLDAADWTKIDFPSILRSDFIIDSVVYLWSLQHPASYGLSEILLKAERVMADGYGVLVNTDEAGTVFVTNFRLLFLSEGSRDVIALGTIPLATIEKFNKIVIKQPSGTRQSEKTPTRRLLQVVGKDMRIIIFAFRPRTKQRRAVFVALSRWTRPARLWDLYAFSSGPSKCSTTNPKVRLMNEYYRLLGMGSIRSSIGTIERGSFKLSNDWWRISDVNTSYDMCSTYPYALLVPKSISIGTSLSILYYLVTCVADFRDKDLLKACTFRARCRLPVISWCDKRTGAVLARSSQPLVGLMMNMRSNADENLVGALCTRLSSGKEGRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESLVRLRDYLDTHGTKSSDGMSSFLRNGGWTWGGGNLSSMSASVSTFGDSGWLIHIQNVLAGSAWIAARVALESASMLVHCSDGWDRTSQLVALASLLLDPYYRTIDGFQDWLAFGHPFSDRAGMPSFTGSGNMPLEISRHSSSPNICTSSLRQSSGSLTSQAPVSSNTQHSNNYSPIFLQASLSNNWVDCVSQLLRIYPFAFEFSSIFWTVCFLVGLGISCATGRNIRMHRYRKSNFINFSENEREKAGVFDACACLWKYLDDMRASEGSSHVHYNIFYKPSKHDGPLLPPAAALAPTLWPQFHLRWSCPSETQSGEAKDVAERYTREITTTVKSLTADLLNENQVSNSAVALAKKASRENTGIKRAIESLGCRVHVSANIDDNHIADIEDHPADLLQTLTSSPTGETVGDGHIYDEKTDMFVSIAVAADDDVTVNPTNRICETLCPLRTQDGGCRWPNAGCARLRSQFVGLRADYDAFDRLSIQDSYFQPE >KVI09658 pep supercontig:CcrdV1:scaffold_650:1007:6428:1 gene:Ccrd_011951 transcript:KVI09658 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MDGGVAAEQGTVTCASWIRRPEDAHLVALGKSKHGDLPASFQIFSFDRLTTSLSSSPSVTFEFEDGCDPVSLAVHPSGDDIVCSTTKGGCRLFQLYGQEKNVKLLAKNLKPLEDVGAQRCLAFSPDGSRFATGGVDGHLRIYEWPSMRVIVDESKAHKSFNDMDFSLDSEFLASTSTDGSARIWNTSDGVPVNSLTRNSDEKIELCRFSKDGTKPFLFCTVQKGNKSITVVWDISTWNRIGFKRLLRKPACVMSISLDGKYLAQGSKDGDVCVVAVKKMEVCHWSKRLHLGFSVTSLEFCPKWQIYLVLIGLFLASAVLFYIFFETSDLFWNFPLGRDQPARPKLGSFLPDPQSDDQNMWGPVDM >KVI09649 pep supercontig:CcrdV1:scaffold_650:160423:165058:1 gene:Ccrd_011965 transcript:KVI09649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MWLSKSSSNFGHSVSAGNGLPKCKGNSIVAIAIDKDKNSQHALKWVVEQLINRGQSVVLIHVHKISATGNSCPPFAFYNYLWGGPDAANKLQNEKQIRDLFLSFHCFCTRKQVQCIDVVLEDTNVPKALAEYASQNGIEYLVLGASSRHGFIRFKTSDVPSHVMKVAPDFCTIYVISKGKISSAKKSLRPAPFVSPLIEQIQEQSNTPTTAIPTPTTKTNNNNSFASLAPRKNSFQFRESDTDISFVSSGRPSIDRVPAAFYNDMDLGSPSCFSTSSDYNSSSPHLRSSSQNVDEGDMEMKRLKMELMKRMDLYSIACKEAVTAREKAGELHQWQMEEQHRLEEEARVIAEKEKAKGQADMEKAEAARRIAELESQKRVAAEMQAFSTHVNKEDLASVPDNQFKYRRYTIEEIEEATEFFAQSRKIGEGGYGPVFRGSLNHTPVAIKVEVLSCMRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLHRRGNTPPLSWQIRFKIASEIATGILFLHQTKPEPIVHRDLKPGNILLDQNFVSKISDVGLARLLPPSVTEDITQYRMTSTAGTFCYIDPEYQQTGMLRVKSDVYSLGIMLLQLITAKPAMGLSHHVENAIDDGTFGEMLDPSVPDWPQDEALGFAKLALQCAELRRKDRPDLGKVVLPELVRLRDLGKLVN >KVI09654 pep supercontig:CcrdV1:scaffold_650:26348:28801:-1 gene:Ccrd_011954 transcript:KVI09654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin/ribonucleotide reductase-like protein MEMCDEETLVEAALRVLNTPDPVDKARLGDQIANNWLQGLILHPYHPSQPDIAVPDRPARLANVKLVSPSLMPKLGKAGSLQSRQAIVHSLVHIESWAIDLSWDIIARFGKQESMPKEFFNDFVRVAQDEGRHFTLLAARLEELGSFYGALPAHDGLWDSAVATSKDLFARLAVEHCVHEARGLDVLPTTISRFRNGGDNDTADLLEKVIYPEEITHCAAGVKWFKYLCSQSKTPTDDISGDEESDEVIEKFHEVVRAYFRGPLKPPFNEAARRAAGFGPQWYEPLAVKDFTQ >KVI09653 pep supercontig:CcrdV1:scaffold_650:161378:164503:-1 gene:Ccrd_011966 transcript:KVI09653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVTEGGSSLARPTSLILLTKFWSRRMLPGFRNKIPVAISDAILNLICHDNGGVFPLLCRRSSKLPLAMGMIETASKHRTITTFADLSRLCKKLCSLFDLFNCISSVLKLVIWHTGQIFLVDMCTKCLHFSSYSFLGFQFSDSSSGFCFLHVCLALGFFFLCNHPGFFLQSMLFFHLPLAILYKSILFMSSIFNLFISMSPSSCTRSMLGLPELTNDISVSDSGRSPSDMSFAFAALPGLKKAPDETMPR >KVI09650 pep supercontig:CcrdV1:scaffold_650:147646:150971:-1 gene:Ccrd_011964 transcript:KVI09650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MLLALEGGKHRLLDEHVNTSPTPNPRESHVRNIFLTAVDLPRGNLSDGRYNPYSSLEVAPYQNFSHYNYFAVGEWLRLHLSFPFNRRFSVMLAGVISSRPKYWLLGSPLAHYASAARHRHIPSDASFPIKLLVPTTDCLDRRRFPYHSTAARLNFSASGISASLWHAILPAGNGHNLTPAGEGSWNVAWDVRPARWLHRPHTAWLLFGICACLAAPPIEFNDSSSEPVVADDNKINGNCTTTISENSTNYRITVYFMVLGLLKRHIELILKVRSIAGVPADGRCLFRAIAHMVCLRNGEEAPDENRQRELADELRAQVVDELLKRRKEIEWFIEGDFDTYVKRIQKPYVWGGEPELLMASHLLKTTIYVFMLERSSDKLLNIATYGKEYQQEEKSSIKVLFHGYGHYDILEDISYKKLP >KVI09651 pep supercontig:CcrdV1:scaffold_650:185466:196781:1 gene:Ccrd_011967 transcript:KVI09651 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MNFERGFPIPRHGNEDSRSSVTHGIPVPPESGIEHHHGSWTATSGGSGTVGGGDARATPRRPIPMDNGTVHGSESWNTINLEQPTPMGNGVTEDRNPTLERTILQRSGIARNANDWKSVIPGNLFPHRNGIGQSRNSWSPATPEKIVNQRSNTENGLESENWQDLIGMYTGLLKEDTVDKNGVLEDINPTPSKVRDYGNQNWVASNNKNATHRSSPSPYWNHTSNQASTTSDPYLKTNDPANWNSNLLATLVGSQNSSTHTSSANKAQTNGIHHISNRSAVPNSANQVESNSMRSTSWTSMLGSQRTMRFTSNNLINDAHNTEDGFPVAYQPGYKPNSPPRSAASSIIDSFPFAPITPDNQGKHMHSQRVPENGNFRVEGTSTPAKDSRENQTVSREDAENLYNELLQTIGDSPPSAISTTQKELGVPENTDEQGIDLNKTPQQKTPTRRKKHRPKVIREGKPKRTPKPKDPSDGTSNETRVKRKYVRKKGINILETQGDDVTKNIPVASVGKRKYVRKKGLEKFGDEQQSRMDDVATSVVGIPAKSCKKQLNFDLDPVAQDESYGIRSSQQGIDVNVETPARSCKKQLKFDLEPVAQDKGYGIRSSQEGININVNPQDIGQERRINSILERPAIEIAQQNISMQSGNQYELNVPITPLANTKHHALNMLARNMTIKNSIPEHDRRGNLYNKVNQRFHGEGIENLVLQADMVSTNLERVREPNLMSTPQSLASKGMLNLDERRGIKRQSPEQMCLNANTMDSLLLYQKLLLGVAHRAYDRNNLSSILLENSKKTKMQSEFQTLVSSEPSCIIPHKPRQETRQINGIYGNGSAMHLLTSSTEGVNPYKTMHVGGNVINGQFRPPMAATHYLQKHQVFSGMQHHPLRSVPERSQRYIQGHDIGSKTAIMSWNLPPPTPSKETSRYAVTAYPATSLEKRQTAKPNSYNQRLNGLNQMFQHHRNDPLKGYQQPTTVARGRPRKQKPELSVDDITYRLEGLHIYDGNKKEQHELVLYRGSNALIPFEPIKKRIPRPKVDLDPETDRLWRLLMGKEGSEGAETLENGKEKWWEEERRVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAKFPPKLSTTKETCCQDGACEEPIEVAEPNGITKCHEKIKQPVPDQSFFVSSKPSEDMTHQISSTRGAANKQSGISEEEVILSQDSFDSSTTQTVDEIRSSSGSNSEADDVTTGFETSKQSDPPVNLIQEKDHSCHDNWSTLIDEPKASIHHLPKEPECSMQLPRMNGVDLNSSSSFIPANSLQQESFVSSGQYQMSATPGPQKAGLLHFGVLGKESTSSLPSSNSEITEACHTSNVTCSENETPKFAGSSQGQYNLPSSHPVHQENFQPEPPVCSSQILNTNHPQVGEFFKETTRHGETLAKGKNGAQKQDTPMFEGIPSLVDKQICFENTVPEAKAKEQNHSSHEPPSGAGTNMSKAQKRKAEDERNRAFDWDSLRKEALSNGEKGERSKDATDSLDYEALRRAHVSEISDAIRERGMNNLLADRIKDFLNRLVRDHGKIDLEWLRDAPPDKAKDYLLSVRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPVLESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEEKRIVTSDAPVATDPIPPMVIRPMPLPQAENGFDKSERSFGRNCEPIIEEPTTPEPEAAELSISDIEDQYYEDSDEIPSIKLNMEEFTTNLQNIMQDSMELQDDMSKALVALNPNAASIPTPKLKDVSRLRTEHQVYELPDSHRLLEGLDKREPDDPSPYLLAIWTPGETANSVQPPERECSAQQSGKLCDRTTCFSCNNIKEANSQVVRGTILIPCRTAMRGSFPLNGTYFQVNEMFADHASSMNPIDVPRTWIWNLPRRTVYFGTSVSTIFKGLTTGGIQYCFWKGFVCVRGFDRKTRAPRPLMARLHFPASKI >KVI09656 pep supercontig:CcrdV1:scaffold_650:41254:41661:1 gene:Ccrd_011956 transcript:KVI09656 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MVKFLKPNKAVVVLNGRFAGRKAVIVKQFDDGTRDRPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKAFIKLVNYNHIMPTRYTLDVDLKDVVSVDALQSRDKKVTACKETKARLEERFKTGKNRWFFSKLRF >KVI09647 pep supercontig:CcrdV1:scaffold_650:74986:92424:-1 gene:Ccrd_011960 transcript:KVI09647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MLHNLENVARVVTRLAKKCSDPLLKCFERAYDDLIKSGVDQYHWQLTWKKMNKKVKKMEKFVFVNANLYQEMETLTELEQILRRMKSNDDQDSITLVEYMKKLAWKQQEVKRLKEISLWNRTHDYVVLLLARSIFTIFNRIGHVFGMNHILPLSTDYSDSLDSDHIYRSQSVSALLQSSIHPSENGLARFSSGPLGNIISRSGPISRTNNYNNFYSGPLGNSITVSGPISGKHRNMNSYSGPIGKSTSKSGPLSRAAKTGLKLWHKHGNSSNIHGSKSATPNRLSTTGPFKGCMLGGNGSPVKNCHINPNAACSTTPHRIEESEVEHHTVSSSKQKIFDAPPETLGAAALALHYANVVIVLEKLVASPHLIGHDARDDLYNMLPKTIRSALRVRLKPYAKSLASSDYDTALAEEWSEAISATLEWLSPLAHSMIRWQSERSFEHQNLLSKTNVLLVQTLHYANQEKTEATITELLVGLNYIWRXELLVGLNYIWRFGREVNAKAVGIYLVLETCGVRANVNGPYTNEKEGMVAESWFRGLWKSSKKHDLGQEKVFAFEVASLLSKLVHQWNSLTDKQVMKLREISNSVGIKRLVSDDDNYLVDLICAEMLHNLENVARVVTRLAKKCSDPLLKCFEHAYDDLIKLGVDQYNWQFTWKKMDKKVKKMEKFVFVNANLYQEMETLTELEQILRRMKSNDYQDSITLVEHMKKLAWQQQEVKWLKEISLWNRTHDYVVLLLARSIFTIFNRIGHVCIPLLTDYSDFLDSDHIYRSQSVSALLQSYIHPSENGSPVKNCHINPNAACVTTPHRIEDSEVELRTVSSSKQNIFDAPPETLGAAALALHYANVVIVLEKLLASPHLISHDARDDLYNMLPKTVRSALRVRLKPYTKSLASSDYDRDLAEEWSEAISAILEWLSPLAHSMIQWQSERSFEQQNLVSKTNVLLVQTLHYANQEKTEATITELLVGLNYIWRFGREVNAKAVGM >KVI09648 pep supercontig:CcrdV1:scaffold_650:121888:126718:1 gene:Ccrd_011962 transcript:KVI09648 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MPKGEDAIIRKKNKSNRKKLRSESAKVSNRVAAIIAQKKRRQSGKRRMCQGMCFSLPTLEDPFNDKAMITDSKKKDKTKKTKKIWPSKGNDDLQEKGSDALKNKRKANMDHQSNGKNQEQKRARVEKELTRSDKKSCKKLSGHISEGEGCPSKFLISCLKTIQDTLHHNEAFEPGKPLFFDSWGFEFWRCYSNGRNVLETSGGCATMEQIAWIGSTAVDTITKKEKEGVSFTNPFLLFLVPSQEKATKVRAVCKPLKAYGIHTVSLHPGASIDHQIHGLKTCEPEFLVCTPDRLLELVSMEAIDISGVCSLIVDGLESSSGDAYLDSIKSIRQCISVEPHTVVFCGDLSNACLPAVSSLLPSPVCRLSREDFFVNKSAGIIQSVDVGLRVLNEVFSNPLVPGPSKLTFVVGKDDNLEELVSAIRSKGYSASMNGPSCGAEVVNREKEPTVSVIDSEDIDDADLSDVEVVIIYNFDIGIEEYKNILAGMGRYTMKGKLHVILSRDDATIAAPLAKVLEQCGQQVPETLKQLCNSCVSSSQR >KVI09655 pep supercontig:CcrdV1:scaffold_650:30648:36050:-1 gene:Ccrd_011955 transcript:KVI09655 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA (guanine-N(7))-methyltransferase domain-containing protein MILTPTISRLTDSTHHRLLQLVKTALIKIFVSPYATVCDLYCGGVPDEDKWDEAQIGHFIGIDEASSGVREVREAWESRRKTYTSEFYELDPCIENLEANLGDKGNTADIVCCMQHLQFCFETEEKVRRLLQNVSSLLKPGGYLMGITLDSSTIWAKYQKNVEAYHNRSGGMKPNLVPNCIRSDNYMITFEVEEEKFPFFGKKYQLKFANDASAETHCLVHFPSLIRLAREAGLEYVEIQNLLEFYDDNRAQFSGMLLEAGHGLVDPRGRLLPKFYDRDWQGSVWRDEEKVGPSHTESTFSLGKITEQKGILGPGPEGLRFSEAL >KVI09661 pep supercontig:CcrdV1:scaffold_650:53369:54544:1 gene:Ccrd_011958 transcript:KVI09661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEESQSHPSAGSGSPDSPRSNRRITVTVASAAPPQNTLTLALPIQQPRTVGGVGGGGSGGGGGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKEVADIVSSREDYMKAPKTDIQCKNRIDTVKKKYKLEKAKIASGGGPSTWGFYDRLDQLIGPTATGKSGSSSLPFNASSTGRQQQDRGRGGREKNWGDSVRELTKAIMKFGEAYEQAESSKLQQMVEMQKQSMKFAKELELQRMQFFMKTQLELSQLKHSRRGVGNNNNNHHHSHNHNQSLNHHSSNHNNINNNNHSDDSNN >KVI09660 pep supercontig:CcrdV1:scaffold_650:23566:25203:-1 gene:Ccrd_011953 transcript:KVI09660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKGTDMLSSRPPFVGSDSTNVATIATIATRSTVLTQSSSKYPKIEKAECNLSVLLNNNPKSAPAAKASKLDGEQSGLSSSQEKTFKKPNKILPCP >KVI09652 pep supercontig:CcrdV1:scaffold_650:141658:144407:1 gene:Ccrd_011963 transcript:KVI09652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFISFSGYEQMGTMWEQVKAPLIVPFMKVMVTMCLAMSVMLFVERVYMGIVIVVVKLTRHKPEKRYKWEAIKEDLESGNSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPIIKALVELECKRWAGKGINIKYEIRDNRNGYKAGALKQGMKHPYVNHCDFVAIFDADFQPDPDFLWRSVPFLAHNPELALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEAGSATHAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRVSLKGWKFVYIGDLKVRNELPSTFRAYRYQQHRWSCGPANLFKKMITEIARNKKVTLWKKVYVIYSFFFVRKIVAHLVTFIFYCVVLPATVLVPEVHVPIWGAVYIPSIVSLLNSVGTPRSFHLLVFWILFENVMSLHRTKGTFIGLFEAGTTVNEWVVTKKLGEALKLKTGSKGTKKPRIQIGESVYMLEVFVGLYLFLCGCYDFSFGKNHYYIYLFLQSMAFFVAGFGYIGVFVPNS >KVG70466 pep supercontig:CcrdV1:scaffold_6503:19208:19920:-1 gene:Ccrd_026259 transcript:KVG70466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSSSLLFYCFDQLYWFLVRRTKSNFKCDIVLISFLSSSLRTDNTDQKVLLRGPKNCCEAVRHFGKASGDHTATPSHMCELKAGNSGRLEVEETVEAL >KVI11674 pep supercontig:CcrdV1:scaffold_6506:6625:18165:-1 gene:Ccrd_009913 transcript:KVI11674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline/Ethanolamine kinase MDVAGEARNNCNLQIPFSSLTVDHSLPVPEMKPSLVRLCKDLFHKWLNLDESHFFAERVSGGITNLLLKVSVKEQNGNMVHMTVRLYGPNTEYVINRERELQAIHYLSAAGFGAKLLGVFGNGMVQSFIHARTLEPLDLRKPKLAAEIAKQLSRFHQVEVPGSKEPQLWNDIFKFFERASNLTFHDHEKQKKYNTISFKEVHTELIKLKELTGSLDAPVVFAHNDLLSGNLMLNDDEEKLYIIDFEYGSYSYRGFDIGNHFNEYAGYDCDYTLYPNKDEQYYFFRHYLQPERPDKVSEKNLKELYVESSCYMLASHLYNEYKRQKDACFSLGKSYLSAAPETG >KVI11675 pep supercontig:CcrdV1:scaffold_6506:571:3680:1 gene:Ccrd_009912 transcript:KVI11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MKRDKDRKMTWHGFDPMNQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPVKGSMRRVVYYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVQRSLRSAEVYDPSKNRWCFVSDMSTAMVPFIGVVYGGKWFLKGLGSHRQVVSEMYQPETDMWTPVHDGMVSGWRNPSTCLNGKLYALECKDGCKVRVYDEETDSWSKHIDSKMHMGNSKALEAAALVPLNGKLCIIRNNMSISLVNVAKDGGEGMVAAEHLWETLAGKGQLKTLVTNLWWSLAGRNRLKSHIVHCQVLQA >KVH99452 pep supercontig:CcrdV1:scaffold_6509:13847:24303:-1 gene:Ccrd_022314 transcript:KVH99452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class I, conserved site-containing protein MMELKLLTAADVPPPLSVIAVSTLAGVSLTVESTLTSASPPVLVLPNGLKLHGTNVLLRYLGRTTTIIPSLYQGDAFEIGQIDEWLEYAPIFSSGSEYEGACKYVDGYLLQRTFLVGHSLSIADMAVWSYLAGAGKRWESLMKSKKYQNLVRWYNMISSEHAAVLDEITATYTKKKDMGKSISTKTKEGEQHNNKQIVNGNVNESGKVTSRPEVDLPDAEMGKVCLRFAPEPSGYLHIGHSKAALMNQYFAQRYNGKVIVRFDDTNPSKESSEFVDNLLIDIKTLGINYEKVTYTSDYFPQLMEMAEKLMREGKAYIDDTPREQMQKERMDGIDSKCRNNSLDENIKLWKEMIAGSERGLQCCLRGKLDMQDPNKSLRDPVYYRCNPIPHHRIGSKYKIYPTYDFACPFVDSIEGITHALRSSEYHDRNAQYYRIQEDMGLRKVHIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDARFPTVQGIVRRGLQIEALIQFILEQGASKNLNLMEWDKLWNINKKIIDPVCPRHTAVVEEGRVLLTLLDGPEHPFVRVIPKHKKYEGAGEKATTFTKKIWIEQTDAKSISANEEITLMDWGNAVVKEIKNGPDGTVTELIGVLHLQGSVKTTKLKLTWLPEINELVPLTLVEFGYLITKKKVEEDEDFVDVVNTETKKEIAAVGDSNMRNLKLGDILQLERKGYFRCDAPFIRPSKPIVLYAIPDGRQTATK >KVH99453 pep supercontig:CcrdV1:scaffold_6509:7109:8531:-1 gene:Ccrd_022313 transcript:KVH99453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAFRCLVLVAIAVAMCSMVVPIYSQISTPCSPSMITSFTPCLNFITNSTTNATSTPTADCCNSLKTVTSSGTDCLCMIATAAAATPIPSPGPAALGPTLSPGSSSTVPEAQSPTSTPESNTTPALTPDSDTTPALTPPSTSGDSGVSTTNPASRPTVTPSAATSTYPHATYILATSGAMLMIKSFLLG >KVI08313 pep supercontig:CcrdV1:scaffold_651:6075:6896:1 gene:Ccrd_013313 transcript:KVI08313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGWKPNVEICPPCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNIPVGGGCRKTRRARSVRISDTVSSQNFLAHGGVGTNGYGGRGNIETRSSCDGGSSMVQQPSNIDLQQVYANFLNQRPQGTDDHEQMHDHVFHSEVDPMLTFGFPTIPNMEMEFGATNYGSNLESFLGEGNGMQFCGYNSIFNNHEEEQEKHDYFGTSGVSNCGLPPLPGEELGWSESNIGFSDNVVNHRIDEPEGHISGEGSVKMFDLPENTETIFRP >KVI08316 pep supercontig:CcrdV1:scaffold_651:170456:172479:-1 gene:Ccrd_013316 transcript:KVI08316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MEIAFKASPLKMKAPIVNFVNRIKKLGKDDPRRIVHSFKVALAITLVSMVYYLRPLYKGMGEAGIWAILTVVVVFEYTAVAAATFSRFIPHIKRRYDYGVLIFILTFSLVAVSGYRVDKIIELAHQRLSTIILGGATCIIISICVCPVWAGEDLHNLIVSNLEKLASFLDGFGGEIFDLPLDGDSADISNEQDKSSLAAYKSVLNSKATEESLANFAWWEPGHGKFGFSHPWKQYLKIGVVTRQCAYHIEALNGYLDPKFQGSSEFRKIVQEPCMKMSSEAGKALKELAVSIKSFSYPCNFAMHIQSCTTAVNEVNTTLQASMVGEWEVLEIIPIITVISILIDIIKCVETIFLAIEELSEQAHFKKSLNENEKPQLLHGDERQDKGFVTVIIHNMASASPAMKDSRG >KVI08315 pep supercontig:CcrdV1:scaffold_651:51080:54087:-1 gene:Ccrd_013315 transcript:KVI08315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MATYYNGNSEIQGGDGLQTLVLMNPGYVNYSDSQQPPQQQSSQPGNFMFLNNHQHTSLSHASPPTPSQTQQFVGIPLSAQPPVSIHPQHDMSALHTFIPRVQYNMYNPVEMAAPPHEVTRFQQGLSLSLSSQQSGFGSQAAGVPTISHTTGDDGRAPGGGGGGGGGSTPSASGVSNGVSSMQSVLLNSKYLKATQDLLEEVVNVGKGVFKNSDQSSKNLKTVGNGGGGGGGSPPATREGLSGEETSAKHGDGSELTTAERQEVQMKKAKLVNMLDEVEQRYRQYHNQMQIVISWFEQAAGIGSAKTYTALALQTISKQFRCLKDAIMGQIKTASRSLGEEDNFSGGKTDGGSRLKFVDNQIRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQEQNRSDENKMSKSEQSEENSSSKQEKSLSPENSKRGFKSNNLENSFIHADQNNHPPPQMSVSTGVSTSPAATGINFQNPSGFTLIGSSQMEGITQFSPKKPRNSDRQQTSDVGFTLMSNPTDFMGSLGGYPIGEIGRFSAEQFQAPYSGNGVSLTLGLPHCDNLSMSGSHQNFLPNQNIQLGRGVELDESNEFEAMNQQSSSHSAAMYETMNIQNQKRFAAQPLPDFVA >KVI08314 pep supercontig:CcrdV1:scaffold_651:23156:24427:-1 gene:Ccrd_013314 transcript:KVI08314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGDTQKDIEIPSFFVCPISLEIMKDPVTLSTGITYDRDAIEKWLYTSKNGTCPLTKQVLTDVELTPNHTLRRLIQSWCTINAPFGIERFPTPRLPVSKSQILKLLQDSKSPSLQMKSLKRLKTIVLESDMNKRSMEAVGAADYLSYIIRNPSSNLTSSSPAGEVSGVDGFGNLLTAVDEAVSILYHLHLSQAGLKSLFGKTEEFVEALIHVMQRAANYESRTYAVLLLKSMFEVAEPIQVMSLKTHVFIELTQILAHKISEKATKAALKILIGVCPWGRNRIKAVEAGAVSVLIDTLLDSTEKRVSEMVLILLEQLCKSADGRAELLKHGAGLAVVSKKIFRVSEAASGRAVRILHSVAMFSGNTSVIQEMLQLGVARKLFLVVQSSCGGKTSEKAMEILKMHAKAWKNSSCMPYHLNSWYPS >KVG70389 pep supercontig:CcrdV1:scaffold_6510:13123:26149:-1 gene:Ccrd_026260 transcript:KVG70389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDHVIGGKFKLGRKIGSGSFGELYLGVNVQSGEEVAVKLESIKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGEYNAMVIDLLGPSLEDLFNYCNRKLTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGQKANQVYIIDYGLAKKYRDLQTHKHIAYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLILPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREVRQEVRDRFSGAVEALTRRNGSGSGLHNDHPRHRSSEHVTSSKDVHGDGDRGRISRNGSTSKRAVVSSSRPSSSGEPSDGRTKRLGSGSGRISTTQRLHSQPGFESKSSSFTRPVASRGGRDDALRSFELLTIGTGGKRK >KVG70384 pep supercontig:CcrdV1:scaffold_6516:24184:31001:-1 gene:Ccrd_026261 transcript:KVG70384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRKLVRCRMGKKKKKRKHAEADETKASTPSKKKEKKTEVEKDLAKSRVDVAHEIRLAKEAEAAMDRHVNKAVEKAANYDPIVAEDKQGINKEVIVIIFSLHIYIKKLKSSASQHLSYATTNDHIDCFRNHYGENELWKK >KVI00716 pep supercontig:CcrdV1:scaffold_6517:8079:24309:-1 gene:Ccrd_021033 transcript:KVI00716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MGIFEPFRAIGYITSSVPFSVQRLGTETFVTVSVGKAWQIYNAHITGCGDFIKVLAVVLTIILLKPGQFFGFQRGPQLAKKIRALASYRDYTFAAYGNDIGVFKRAHQVATWSRHNAKVNLLLLFGEHILSVDVEGNVFIWAFKGADENLSPVGHILLDSEFTPSCIMHPDTYLNKVILGGQDGSLQLWNISTKKKLYEFKGWKSSISCCVSSPALDVIAVGCADGKIHVHNVRYDEEVVTFSQSTRGAVTALSFRTASKSIRAWLYLAFHDLFVDFFPIYHSNMELRVNVVDEGMEDAHKDGQPLLASGGSSGVISIWNLEKRRLQSVIRDAHDSSVISLHFFANEPVLMSTSADNSIKMWIFDTSDGDPRLLRFRSGHSAPPLCIRYSSHSTTDGDVISFNSLLSADDQQSRELSQRHVTKRAKKLKLKNLEVYNGLAHLVEFRYCANEEAEIRERDWSNVVTCHMDTAHAYVWRLQNFVLGEHILTPSADVQTPVKACTISSCGNFAILGTAGGWIEKFNLQSGMSRGSYVDMSEKRSSAHDREVVGVACDSTNSLMISAGYNGDIKVWSFKGRDLKARWEIGCTVVKIIYHRSNGLLATVTDDLIIRMFDVVALKMVRKFEGHTDRITDICFSEDGKWLLSSSMDGTLRIWDIILARQIDAIHVDVSITALSLSPNMDVLATTHVDQNGVYLWVNQTMFSGAPHNDSYGSGKEVVNVKLPPISSGEGSKDDDDSDKPALSNSQPQATTNTLVSEQQIPDLVTLSLLPKSQWQSLINLDIIKARNKPIEPPKKPEKAPFFLPSIPSLSGEILFKVSEPNNEGKETQADEFDKHARSTDLPSSPFVQFLQSSVETENFEAFTDYLKGLSPSTLDIHGETIRRQSKLQAKAQKLLEAQSGVWQKLDGLFQSARCMVTFLSNSQF >KVI00715 pep supercontig:CcrdV1:scaffold_6517:4161:7259:1 gene:Ccrd_021032 transcript:KVI00715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSSEKMLKRKFIAIPVLVLFLITSEVLLSDASDVAKCSESELKALLNFRSGLVDPEDRLSSWKGNNCCQWHGIGCHKSTGAVSKIDLHNPYPLAGRYGFWNLSGEIRPSLLNLKYLTYFDLSYNTFLDIEIPEFIGSLRNLRYLNLSTAGFSGRVPPNMGNLSHLQYLDVSTNFGSLTVNNIRWLTKLSDLKHLKMNEVDLSMVDSDWLGVLNNLTSLTILHLSNCGLPSYISALSPVHLPSLSDLDLSVNSFDSKFPEWILNLTSLSFLDLSSCGLYGRVPLSLGELANLWYVNLAMNNNLTASCTQLFSGSWPKIEVLDLGKNKLHGRLPAAIGNMTSLTYLSLSDNDVEGGIPASIGKLCNLRFLDVSGNNMTGYLPEFLQGVESCVSNSPMPYLQIMRLTNNKLVGRLPEWLGQLENLEEMGLNYNHLDGPIPASLGRLQRLTDMGLAGNKLNGSLPESFGQLSELIIFDVSFNSLTGIVSEAHFSNLSKLTFLHLSSNSLALSFNHGWIPPFQIRFLDLGSCQIGPSFPDWLKTQKEINFLDLSNASISDSIPNWFWDMSFNLSLLNISLNQIHGQLPSLFDVVPFADIDLSFNLFEGPIPLPSVEIELLSLAFNKFSGVIPYNIGKIMPYLIFLSLASNHLSGEIPSSIGEMVSLEVIDLSSNSFTGYIPPSFADFSYLKALDLGYNKLSGLIPESFGNLQLLRSLHLNNNNFSGELPSSLKNLSSLETLDLANNRFSGDIPPWLGNNLASLKILSLRSNSFSGRIPRNFPDLSNLQVLDLAINNLSGMIPTNLGNLTAMSRDHIVNQYRFYGFYRGMYYEERLVVNLEGTFLEYNKTLSLVAYMDLSQNSLHGLFPTELTKLIGLRFLNLSRNQISGCLPDTIANLGQLGSLDLSNNNFSCVIPSSLSSLTFLGRLNLSNNNFSGRIPLGTQIGTFGESSFAGNPNLCGAPLAVNCKTNSSDGTRGVPNDKKDEGDNDNWLPLSVGLGFATGILVPLLMLSIRRPWSEAYFRFVENVARRITPGS >KVG70065 pep supercontig:CcrdV1:scaffold_6519:18158:24817:1 gene:Ccrd_026262 transcript:KVG70065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular solute-binding protein, family 3 MEHQNHIVSLVFLVAMLCVCFMVDFVQASILVTXEKGXTEEKYTKLRSTKTMTSTAIKDASSRKRRSIQEQGDTGQVDVCAGFLFLIYFSFGLCFKMKKKMTDERDEAKRNIIGPXWKIKKQGKGPCPTLVVWVPKKTGFTEFVKVNXQLKVEGGFSVATCXAVAGDVTVRGARAQYVDFTIPYLSSEVYMLVHATHEWNQTLWTFVRPFTKRLWITLLGACIFIGLAXAILEYRVSNPKFTSPFYQKLIMVIWFPISTFFFHEGKILNRCSKIVLVIWLSMIFIVVQIFTATLSSWLTLDQLRPRLPSSFENVGYQDGSFLKDLIAQKSNYSGRNIVPLKGVEEFKNALSNGSVNAIYDELPYIQLFLAKYGSDYMKFGPINQESGIAFAFPRGSPLLQNFSRAVINVTESELMMEMKTKYLGFSTLDKSQQNQALPQSLDVQSFIGLFIFMGSVTIVAVIWSEISLRRRNNKILPLTSTDN >KVH88632 pep supercontig:CcrdV1:scaffold_652:57003:68516:-1 gene:Ccrd_026263 transcript:KVH88632 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAGE protein MVNPPPPSLFSSRSFKEANANYTGLSGDFQPPFQEGDKLVAEVIRYILFKTHQNSGCPIKRDELTQLITKTYHHRSLPTIVLNKATTKLSSIFGYDMKELQRSRPSSTNQPRSSQSSADPKSYIITSQLNPEVYRKHVEDSSKSHLTGFTFVVIGIVYLAGGKITEENLWQHLGRLGLSQDDERHTIFGNTKQAVETLVQQRYLQKDKSNGPEGLTLYYELAERGLQATTSSSFKESLSQIVTSEAVAIELD >KVH88629 pep supercontig:CcrdV1:scaffold_652:71457:88254:-1 gene:Ccrd_026264 transcript:KVH88629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRSSTTRLLYTLRSSLKTTPYSSSRSPAPPSQITALLHLHRHYSAAPAVRAKDDQEPWWKESMNKVRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGKDGVGAKMDSMELEREKGITIQSAATYCTWKDYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRIAFINKLDRMGADPWKVLNQARKKLRHHSAAVQIPIGLEEDFQGLVDLVQLKAYYFRGSSGENVVTEEIPADMQAMAAEKRRELIEAVSDVDDQLAEAFLSDEPISSADLEAAIRRATIARKFIPVFMGSAFKNKGVQPLLDGVFNYLPCPLEVDNFALDQSKKEEKVKLSGTPAGPMVALAFKLEERPFGQLTYLRIYDGVLKKGEFITNINTGKKIKVSKLGRMHSDSMEDIQEAHAGQIVAVFGLDCASGDTFTDGSVKYTMTSMNVPEPVVSLAVSPVSKDSGKQFSKALNRFQREDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYMEPLPAGSETKFEFENMIIGQAIPSNFIPHIEKGFREAANSGSLIGFPVENIRVVMTDGASHAVDSSELAFRLASIYAFRQCYTKCKPVILEPVMLVELKVPTEFQGNVSGDLNRRKGIIVGNDQDGDDSVITALVPLNNMFGYSTALRSMTQGKGEFTMEYKEHSPVSADVQTQLINAHKPKTAE >KVH88631 pep supercontig:CcrdV1:scaffold_652:189903:192579:1 gene:Ccrd_026266 transcript:KVH88631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MGFLAEPKIPVIHMVDMKKSRDCRDLVCSEVRHALEEYGCFFVVCNGSITEEVNREVFDVLETLFDLPMETKIKNTSEKAYHGYIGQLPFLPLLESMGIEHAASVHGLYAKLVSEIEETVRKIVFESYGLNKYHESYNESVTYLLRVMKYRPPNTNETKLGSMSHTDKTFISILSQNQVKGLEVKTKDNNWIPVIYPPSSFLVMAGDAFKVPEELVDDAHPRKFNSFDHFGYLDFYDKDSMFDQEYSHVKSFCGV >KVH88630 pep supercontig:CcrdV1:scaffold_652:99848:116406:1 gene:Ccrd_026265 transcript:KVH88630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase CHR10 [Source:Projected from Arabidopsis thaliana (AT2G44980) UniProtKB/Swiss-Prot;Acc:F4IV45] MDYEQRLIAAAKYVFDGDTRAAAEAQVNCSDIGVNATLKPHQIEGLSWLIRRYLTGVNVILGDEPFNCYWQMGLGKTLQAIAFLSYLKFCQGLHGPFLILCPLSVTDGWVSEVTKFAPKLKVLRYVGDKQYRRALRREMYEHVEKQSSSPNVQSLPFDVLLTTYDIALIDQDFLCQIPWYYAVIDEAQRLKNPSSVLYNVLREHYIMPRRLLMTGTPIQNSLTELWALLQFCMTSIFGTLEHFCATFKEGGDPFSGKGAPIVKDKFKSLKYILGAFMLRRTKSRLIETGTLSLPPLTEITLMAPLATLQKRVYLSILRKELPKLLALSSGTSNQQSLQNIVIQLRKACSHPYLFAGIEPEPYEEGEHLVQASGKLIVLDRLLQKLRNAGHRVLLFAQMTHTLDVLQDYMELRKYPYERLDGSIRAEERFAAIRSFSQQSVIGSSNSEASHDSAFVFMISTRAGGVGLNLVAADTVNLPYNSRSILNFFEVKFSPFFCIIFLFSVDLEDESDRDYGYDCGAVQLDHATGNYKLRDSFSIRIVEWKALQERYIPFQITLRRQTFRKVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTERTVEEVIMHRAERKLQLSYDVMGEDATDKEGKDMVGVAAGDLRSVVLGLRMFDPTTESEESSDQLDRSKVNAIVEKVIAFRHGGRSETEGGKVEINSENVLSEHVYVTRSPSSKEFEPILDEASYLSWVEKLKETSETCHNSALEEQNKRSLPKEKHLKMESIRKKAEEKKLARWKAEGYESLSVKDVVCPADGNILSDSGSVHFVYGDCTQPSKVSPSESSIIFSCVDDSGNWGHGGMFGALAKLSSRIPSAYERASEFGDLHLGDLHLIEVTEGDDEENKVADTRLWVALAVVQTYNPRRKVPRSGISIPELEQCLSKASFSAARNFASIHMPRIGYQDASDRSEWYSVERLLRKYAALYGIKIYVYYFRRSS >KVI01625 pep supercontig:CcrdV1:scaffold_6524:9499:16678:-1 gene:Ccrd_020100 transcript:KVI01625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPGNGGPSFRFNQAEIETSEASHLKNSRLKNLKQLNPNWAQLCQKLGYGSELSSKKSGKEIQETILGKRKERSDKDEANENEMNALTPTSSDSRCDRHGLRNGWSQPSRKQKRSWKSDLAKDFRVVQKEVAELLKGKILVGHALRNDLKALLLSHPKKDIRDTAEYQPFLKEGRSKALRHLAAEVLSVKIQSGEHCPIEDARAAMMLYMKKKREWEKNVKDIVKMKLKQKKRKPKKRKKEGSLAS >KVG69472 pep supercontig:CcrdV1:scaffold_6528:22084:26619:-1 gene:Ccrd_026268 transcript:KVG69472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein RASIIVLSQNYASSTWCLDELVLILEQYRTSNHIVIPIFYHVEPTDVRKQQSNFGDAMVKHKQRMETETNEERRNLWSKKMELWNKALTKVVELKGKSAKDRIYLPSSLVCLSLADNNLSIESFPMDMSSLSMLKELYLDGNLFVSLPNWVKSLSGIEILSIRDNFYLESLEHPPPTLKELRFDFNRDGEATFNREMSPVLLNCRLTAYDWENIEGIHKEEDMSDVEKKVLHSLGWSHLVNFDLTKIQPTDEEGVKRRVKMVYEFGIFSTWYVGKEMPNWTSDRRWEGSSISFTIPSSSCNLRGLNFFCVFTPGEFITNYGEFLAYITNMRISNITKMCTWIYHGPTWFNKSREGITYXSHWMFGKNEMEDGDQITISLPECDGRFQRECGVSLVYDEDEDDDPRPLFRAFRPTK >KVG69473 pep supercontig:CcrdV1:scaffold_6528:3416:11891:-1 gene:Ccrd_026267 transcript:KVG69473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MELWNKALTKVADLKGKSAKDRCILESTFQAYDLIGSTPEMKNNLSGSCSKDKQLLRSLXILNLSYCEQLRSVGGFSEFPALERLILSNCSSLIEVCESIEQYDXLDLIDLSYCNNVWKLLRTINKVKNVKILXLDGCNLGETPIEMRDDVEETPNRNNIGMNSQXSSSAIVEAIPRAFESYLIYVPSSLVCLSLANNNLSNKSFLMDMSSLSMLKKLYLDGNRLDSLPNWVKSLSGIEILSIRENLLLSLEHPPPTLKELTFDFFKHGEAIFNREMSPVLLNYRMVDGHGRENIEGIHKEEDMRDVEEKVLRSLGWSHLVNLDFTKIWPTFKMVYEFGIFSTWYVGEEMPNCVSDIRWEGSSISFTIPSSPCNLRGLNFFCVFTTREFIPNYGDILVDITNIKISNITKMCTWIYDVQEWFRGSSKGITFSSHWMFGKNEMEDGVNRVLVVTTIVVAWWLVDGGGCCEDDNSDMVAE >KVI09665 pep supercontig:CcrdV1:scaffold_653:57136:62039:-1 gene:Ccrd_011945 transcript:KVI09665 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MILFYVQDYTETTYLRFLASNAEAGSIIGKGGSTISDFQSRSNARIQLSRNYEYFPGTSDRIIMVSGTIDEVLDAVELILTKLMNEFYAEDGEEVEPKSKVRLIVPNGSCGGIIGKGGSMIKSFIEDSQANIKISPQDNSYIGLNDRLVTVAGTLQQQVQATNLILSRLSEDLYYIQSIGPPFPYAAPYSVPNYGPNGAGKKFQNTKEDISNSVTLGVADEHIGIVVGRSGRNITEISQISGARIKISERGDFIPGTSDRKVTITGSQRAINVAEAMIMHKVASASSPPPASTPER >KVI09670 pep supercontig:CcrdV1:scaffold_653:116423:119685:1 gene:Ccrd_011940 transcript:KVI09670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANSEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEEIEEAERD >KVI09671 pep supercontig:CcrdV1:scaffold_653:15383:26177:1 gene:Ccrd_011949 transcript:KVI09671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase, catalytic domain-containing protein MNCLANLSRSFSPLPLLSFNCRSSIYPSSVNMKGLMNATDLQGSFLVKATSSSTPSTNMEGTKDEEKSDIYSTNMTEAMGAVLTYRHEIGMNYDFVRPDLIVGSCLQTPADVDKLWSIGVKTIYCLQQDSDLEYFSVDIGAIREYASTFDDIQHLRAEIRDFDGSDLRLRLPAVVSKLHKAINRNGGVTYIHCTAGIGRAPATALAYMFWVQGYKLNDALSLLLRKRKCSPNVDAIKSATADILTGLKKRSITFTWNGDNCSMVEISGLDMGWDQKIPLKYDEKHGSWILHRDLLEGRYEYKYIVDGEWMTNKYEPVTPVNKDGHINNCILVVDNDPESISAALWTRLGSDDFDLTTNEREIIKQFLDMYPDDE >KVI09668 pep supercontig:CcrdV1:scaffold_653:87520:97629:-1 gene:Ccrd_011942 transcript:KVI09668 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MKETIKQQMMKRLHRRNSSSSSTASIDYTSGERLEFKFSGLQALQVPKGWDKLSLSLISVETGKTIAKTGRAAVQNGICRWTENLSERIWVPHDDASKGLEQCLYKLFISMGSGRSSILGEVTVNLSGHFSSENSTSIAQPLKNCSHGTILQVEIQCLTPRANLRWTDTDSFTEDANASDDLDNTSDASDGRITKSLGSSISSNFQYTSQAGGLGSRDRSLSAGGSRSSFDSMDDSFGRESCSPNRNLSEVANDLIGRQDSVRSSNSAQDSSYHVYDSPRSSHSLYSSGSGKNVLSQRQDSGKVSNSIPASPLRTSGSTEFALEAEGSTMEELRTEARMWERNTRKLMVDLDFLRKESRDQTKKLENATMEVLASRTECDGLKHEINYLKVLLDESAVKEKDADDLKLQVQDKKDIQTELEEEIKFQKELNDNLSLQLNRTQESNLELVSILQELEETIEKQRLEIESLTMLKLEQDGEEADTRVQVSDKKIRAVPCGSDYINNSIENPKTGFLVEGNDQWDPELQLQKFLESQKTLESIILHLEKTLEEKTQEIEREQVLKAQTLLDNELEWTKKLTLKDQEIFNLEEKLSEAHAAQFPVERESHSRETPDLIEIKALKDKIQELERDCNELTDENLELLYKLKESSKDLSTGANSISSSLGRRPGSESPIIEDSKMIKLECQTQQLKEEAKKRELDGIDAGYLQLRCNDLESKCVELEVNIQGFKDRAYYLDGELDKYREKAVEQEKEVDALKQSLKSQQEGKQENSFPQEGQAEVVLNNVVQSNTSLGNLHVAKYNVHGEETKPMTKDPWNVENKMDDSLKNNNDMLEKFNMELKSRVEDLGKELLAKTSEIEALKSGFLLKGREIPCRSYNQRDLKTQLSDMQILKSQLKGSLKAMQSDSTLIYECLDKVKSDMVMLNGTKDSQFAANKILEKKLLELESCNKELELHLAELEVENIHLSERISGLEPQLRYLTDARESSRLEIQHSETSIKNLQAEIRRLEEEIETSKVDMRQKLEYMQKRWLEAQEECEYLKKANPKLQNTAESLIEECSALEKSNRELKQQRLDLYNRSKDMEAELRESQHNFSKLSRNLEDLEDKFSLMINGVATKEKMFVSELEDLYLQNKEQTEKFVMGENLFNQMYSEKMVEIDNLQQEVAHLSTQIYATQDERDRMASEAVLEMHVLRADKDKLEIAIEDVKEKFRSSEKKLDTIQDEYEARIQDVMVELAASKQNHGILEANLDKLMELLENSRSNEEKLRITVGELHDDLKHCEYQGVQLTEEISSLKGQLQKVPLLQDEVVALKNSLNDVKYENERLEASLQMITADYEELKEEKTSLFQKTSSMQKRVIELEDQKRSKVALEEQIMRLQGDLTAKEALCAQDAELKNELGRLKRSNSHLQRKINHLQEEKDECMKNVQVLEEKLEQKKGLQPDDIERSTNNSANSFGSNGSLHDYMKFSEDVEDETIIDAASRIRSLENELAEALEANDMYKAQIKSFVSEGQDVEVAGITINKEHDKDASSVETELKELQERYLHMSLKYAEVEAQREELVSKLKAVRPGRSWFS >KVI09663 pep supercontig:CcrdV1:scaffold_653:36803:41073:1 gene:Ccrd_011947 transcript:KVI09663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Globin MLICICYRERERERDLEMGFSEKQEALVKESWGIMKEDIPSLSLYLYIQILDIFPEAKGLFSFLKDTDEIPQNNPKLKFHAVKVFKMVCESAIQLREKGEVVISGSTLKSLGSVHLQKGIADPHFEVVKEALLRTVEKAVGEKWSEDMKLACEEQERLVKESWEIMKENIPALNAEEIPQDNPKLKAHAVKVFKMVVKEALIRTVEKGVGDKWKEDMKGAWSEAYDQLAAAIKAEMKQDVAQESNTSA >KVI09667 pep supercontig:CcrdV1:scaffold_653:83371:87366:1 gene:Ccrd_011943 transcript:KVI09667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFQQQLPQKPDACSDFETGGSDFERGFHDLMRGQLDDCMSFASCSSPRTTEDDDDTDGGDQLMRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRESPSPSPPSRRQGNVERPSTRASSILQMWRELEDEHVLNRARERVRVRLRQQRSVDSNTNISTTTEGREDVTESENDYGTWSHDQMEPRNERRDHDGSSHEQTPDIGEVERERVRHIVQGWRETGVADHSPGVAQRSNGPRAEWLGETERERVRIVREWVQMTSQQRGSRGGRREDQSEQNRERIGDEGQPEHIQRDMLRLRGRQALLDLLMRVERERQRELEGLTEYRAVSDFAHRNRIQPVFLFYQSLLRGRFLRNERPVEEERPSSVAASELVQLRQRHTVSGLREGFRSRSENIVHGQETEHENQEQSQLWVEDIDVHQVSDHTGSLESVAAVQSTNQEADIDEGEEWQDQVVEVERGEWQQESYNEFNEWRDGTSEDVGRNWQENSGTDWPQETSATGGEGAHMHGTNEVWHEDVSREAVENWSEGPSDPPRMLHSVPVRRVNRRSVSNLLRSGFRESLDQLIQSYVNRQGRAPIDWDLHRNLPTPASLEGDQEQHRDEQTENQRDAIGRPSLVLPSPPVPPPQPMWHHLPYSSWSRHSMHRSELEWEMINDLRADMARLQQGMTHMQRMLEACMDMQLELQRSVRQEVSAALNRSGGEQGIAAETSEDGSKWGQVRKGTCCVCCDSQIDSLLYRYSMLCSMNTFNITKKHGNLVKQSNKTDTIKSQMTSNYWFCNDNGKNLKNLY >KVI09669 pep supercontig:CcrdV1:scaffold_653:106542:108894:1 gene:Ccrd_011941 transcript:KVI09669 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MKRRSIATSSSYGYGAQEQEDRLSSLPDVLLIYILSYIDTRLAVQSSILSKRWVNLWTLLPVLNFDSSFFEEEQNYTLDNFTFDKFIDKVLAYRNGSIKIDNVSIKVTDHKTMARVFRYAMSHRVPNLSIDTSQNLSKYHPISCADSSDSLMSFTLKGMLNFGRFPKFSGLVSLRLERVKIIESEPFSCFPNLEKLFLVNCKLPFDLPALEVIGSRLSRLTISSCFYHPIPYEKLVLLTPKLVLLELDGLIPMSFEASELPFLDTVHIDCCFSFPRVASAHQPDESQQKLNLINILRCLGNAKSVHLSPSTVKLLDLSHGMLVEETCPFGNLKFLNLIPPPNKPVELLPHVEAYLLKDCPRAVVTTLPR >KVI09664 pep supercontig:CcrdV1:scaffold_653:55257:55886:1 gene:Ccrd_011946 transcript:KVI09664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKIIAVAFLVLFIVDLSFAARSSKKIYGEGGGGGGGQGGGGGGGSGIDESGQGSGSGYGSGSGSGYGSGSGGKGGGGGGGGGGGGGGGGGGGSGKGSGSGSGYGSGSGSGYGSGGGKGGGGGGGSGGGGGGGGGNGSGSGSGYGSGSGSGYGSGGRKSGGGGGGGGGGGGGGGGGGSNGKGSGYGSGSGYGEGSGYGGGDYGGYQP >KVI09672 pep supercontig:CcrdV1:scaffold_653:28999:32787:1 gene:Ccrd_011948 transcript:KVI09672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTKGGCVGKTFALAKLNDSTGGKKSKRRSKLERKGMVETFIKRYQASNNGSFPSLHLTHKQVGGSYYTVREIFRELIQENRVLAPPKLPPGEQNMENLDSFLENYPLGSISFDPNIHVLPPKNNQTLLNEYEFRREKVLNSRRISELHRGSVDNDNIINGSNTTVKNEEFEEPRHIVSNIPEAVVVETSDATLSSEEFKEPKHTELLMEQALEVQKDEIKVEGYEAQIRPLAEDVVVETFPLRPVSSTVYNPDEDISEKEVLDGDLEMKTGKDEGFVRDEIHMFDDLTEVVDTKLEEELPLPESKPTSILRTHNHSSPDENIVLDVNITDVTSPAENDKDSSIPNGIQSQNLDGNNSSSLQQSTFEAATTNKNKSDIQFCGSAQNQTDSATLKTINLESWEAAAESKKSHIQETNPIVSFIQTAVAAFMKLWSE >KVI09679 pep supercontig:CcrdV1:scaffold_653:7747:13839:1 gene:Ccrd_011950 transcript:KVI09679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion-transporting ATPase-like domain-containing protein GQKFFICGSLPTLQVILEFTPRNPPIKPFILQPFSRSSPKKSKPKMAVAPYHATTSMPSSPILNRFTSITQTGFISYPNPFNPYKVDAVLSSSLLSLSSKRKQPRNLFKVRSAVAPVETTAEFDEMVSGTQRKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVDGPISPLFALEINPEKAREEFRDVSKKNGGTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLESQEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKISSATAAIKNVFGQEETRQDASDKLEKLRERMIKVRELFRDTNSTEFVIVTIPTVMAISESSRLRASLTKENVPVKRLIVNQILPQSASDCKFCAMKRKDQTRAIDMIRNDPELSSLILVNTCSSIHPSYWFRANEKSLQIIATGSFCNRRVHEFPAHFWLEGETPIYIYTRTQTGKKSAS >KVI09676 pep supercontig:CcrdV1:scaffold_653:179930:180937:-1 gene:Ccrd_011935 transcript:KVI09676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEPDVADQTTTAGGGISGDDGGPTNIVKGKRTKRQRLQSSIPFTIVPQSSTSNNPFNSSPASSSDFPAGSTTTEEEDTAKCLILLSKGHNVSLRNINNDDQFSGYKFNSKRYIQTSTDTVDGFTGIYVYECKTCSRTFPSFQALGGHRASHKKPRINEEKRRPPPYTVFSDEDEPSLQFPSRKNNSSSSSSLSLQLNHRVSSTGNTKKSSSKLHECSICGTEFNSGQALGGHMRRHRVANCTTTTSAAATTTNTTLSLVPYSPITPMVADDQDNYQKSRNDGLCLDLDLNLPAPPEASSNQDHRRESSFTFTANPTKQQSAVHLSAAPTLVDCHY >KVI09673 pep supercontig:CcrdV1:scaffold_653:174871:178459:1 gene:Ccrd_011936 transcript:KVI09673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGNRSWLIFFIAFAALLLVLVNGDDVFLEWQVNIDTTIKPVLADQPVITINGMFPGPLINATTNDIIHVNVFNNIDEPLLITWNGIQQRLNSWQDGVSGTNCPILSGSNWTYVFTLKDQIGTFSYFPSINFHKAGGAFGPIRVNNRVVIPIPFPTPEGEFDLLIGDWYQESFKEIRSILNNSIVNLRPNSMLINGHSRYPQTRNQTFAVEAGKTYLIRISNVGTEWSINFRIQNHLMLLVETEGSYTSQIILDSLDIHVGQSYSVLVTTNQGEADYYMVASPKMVNVPESSTLVGVGVLHYDDSTTPPQGPFPLGPDPFDINFSVNQAKTIRWNLTAGAARPNPQGTFNVSNVTLSQTFILQGSLAEINGYARYTVNNVSYRVPNTALKLADYYKNGTGTYQLDAYPANSSNPIASDGTFVVSGTHNGWLEIVFKNNLRVIDTWHLDGFGFYVVGFGNGVWTPDMRSTYNLYDPVHWYLGQELYIRVYDPDPNPAKERQPPSNLLFCGSVIPPSPQPPPPPPKRSRATTLPINW >KVI09675 pep supercontig:CcrdV1:scaffold_653:189344:199382:-1 gene:Ccrd_011934 transcript:KVI09675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-glucosidase, pullulanase-type, C-terminal MSILCQSFSAFLPAIISPVRTPSSRWFPFDTHNKQSGSFTPTNLPIVKCRTMRTHHCYCSSSSSTVSLASSPPKDSLSYSRAYWVSRSVIAWNVDAVGGSCFLYSSSSAALTIAETGIEGYDLNIELERYDQRLPENVRDKFPHIRDYKAFKVPTALDPKTILKDQLAVAFFDANGQCISVTGLQLPGVLDDLFSYTGPLGAIFSNKAVSLFLWAPTAQEVRALIYSGPSGGEALEIVQLKESDGVWSVNGPRSWEGCYYVYEVSVYHPSTLKIEKCIANDPYARGLSGDGKRTFLANLDSDALKPQAWGNLADEKPEIADFSDVSIYELHIRDFSVNDPTVHPEVRGGYLAFTSQDSNGVLHLKKLSDAGLTHIHLLPAFQFADVDDEKDKWKFVDSAEQQEYITAIQDEDGYNWGYNPVLWGVPKGSYATDPNGPCRIMEFRKMVQALNRLGLRVVLDVVYNHLHGSGPIADNSVLDKNSTCVNNTASEHFMVDRLIVDDLLNWACNYKMRAKSLLRNLSRDKDEVDGSSIFMYGEGWDFGEVANNGRGINASQFNLAGSGIGSFNDRIRDALLGGSPFGHPLQQGFLTGLSLQPNGHDHGTEANAAHTLAVSKDHIQVGMAANLKDFVLTNCDGQEVKGSEVLTYGGSPVGYASQPVETINYVSAHDNETLFDIISLKTPMEISVDERCRINHLATSVIALSQGVPFFHCGDEILRSKSMDRDSYNSGDWFNRLDFSYSSNNWGVGLPPKGKNENSWPIIKPRLASPLFKPQKSHILSALENFQNLLRIRYSSILLRLRTANAIQERVRFHNTGVSQIPGVIVMSIEDGHEGVAGLSQLDPMYSYIVVVINVCPTEISFASPVLRGKALQLHPVQMMSTENSTYDPSLGCFWVPSRTAAVFVEPR >KVI09678 pep supercontig:CcrdV1:scaffold_653:121465:130542:1 gene:Ccrd_011939 transcript:KVI09678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller ISTASTVRQYLGYVKFSSAKRDIRTRQSSLISRKANMATDSRNWHSLLDSDQWVALPVAGRRPSARYKAFDLKSLSWSTIKLSTKLEAENDKDSTLLENFPAISGHSMVKWGNTLLFLGGHSKDTYDNVTVRFFDLESHMWGVMEATGKVPVARGGQSVSLVGSKLIMFGGEDKHRRLMNDVHVLDLTTMTWNVAETIQTPPAPRFDHTAAVHADRYLQIFGGCSHSIFFNDLHVLDLETLEWSQPQIQGDVVSPRAGHAGVSIDEKWFMVGGGDNRSGASETLVMDMPKLAISVLTNVKGRDPLASEGLSVSAALIDDEQFLVAFGGYNGKYNNEVFVMRPKPKDSKQPKIFQSPAAAAAAASVTAAYALAKSESLDFSTIEHSKPKVDLSVEVGVLKGEKKMLESSIAEVKTENSALMEKLVECNGTRVDLTKELHSVQGQLASEKSRCADLEAQILELQKMLSSMQSIEQEVQTLRSQKSAIEQDMELAATDQRQGSGGVWSYDAVSNAEDCNFLLSCWISFKVKFA >KVI09674 pep supercontig:CcrdV1:scaffold_653:138104:152999:-1 gene:Ccrd_011937 transcript:KVI09674 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSQELMCVAQPAAPPTLPAAAPSSPPPTSLAPGFRFHPTDEELVRYYLRRKACGKPFRFEAVSEIDVYKSEPWELADYSSLKTRDLEWYFFSPVDRKYGNGSRLNRATGRGYWKATGKDRPVRHKSEMIGMKKTLVFHSGRAPDGQRTNWVMHEYRLLDQELERAGVVQEVADINVPYRSEGSLMDHPETIPFVCKRERAEGCVLNRGPAELQTFSLFHNKRPKQSALNANGSNGNGSEDSTTSQDPRTTKVSSALMEFPLLQSLEAKESYPSGSQPSFDSSTLEKSVPPGYLKFIHNLENEILNVSMERETLKIEVMRAQAMINILQAKIGELSRENDELKRGRAVVLDSLPPAPSSLAPGFRFHPTDEELVRYYLRRKICGKPFRFDAISDVDVYKVEPWDLPDYDLIHMLFGPFWGAGLSRLKSRDLEWYFFSVLDKKYGNGSRTNRATDRGYWKTTGKDRSVYHRSQLVGMKKTLVYHSGRAPKGERTNWVMHEYRLIDQELEKAGVVQDAFVLCRIFRKSGSGPKNGEQYGAPFVEEEWEDDELVMVPKQESAEELRVDDDDDAYLDASDLEQVCDFMLHVKILGSDMPEEDGPLPLDYHQGNDVSCADVSSEMIDETENFLVDGGEDQPQDDKEDGPKLFDLPVQNGMDPKSVKHEYIGETSNTMDXDVDYLLDEPFFDASTGDFQFDGTSFFEADDLKNDVKTEPGLDMFBEFSIFNSTMDNLEYTFDSPTLENTNEETLQVSEACQQLFEGQNNDSWQLFEGHNNNGASSSNQDSATGSVEGTHETSEAREQLSEGRSNNLAISSKQEDADLPTEFAYPFLKKASCMLGNISAPPAFASAKYLASASQASTSVRVTTGMIRIRDVSFTGSKMDWSLGKHGQLNIVLSFGLEQNGDNNSANAHERKGDSRSWFYCLFVWIMVLSLSFKMSSLICPRSYMS >KVI09677 pep supercontig:CcrdV1:scaffold_653:131127:133193:-1 gene:Ccrd_011938 transcript:KVI09677 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MYMTSEEQLQSSHTNTSGFDSRTGIYHSLLQLGDNRNIPTTPYLDTATFVLSQFPQRDQAESKLALIDSVTNKRLTYSQLQRSIYSLAAGLHHGLGVCKGDVVFVLSPNSILYPTICLAIFLVGGVLTTANPLNTESEITKQVLDSGAKLAIADPREVHKLASTKLPVLLTYRPSSGDELSVEELIDNCGPMELPETQSTQSDTAAILYSSGTTGVSKGVILTHANIISVMTLLKWSVDNTSANNDVFLCFIPMFHIYGLAFFGLGLFCCGTTTVLMQRYDFQAMLEAIHTHKVNNIPAVPPVILNLVKYKGGYDLSSLRRVGSGAAPLSKELVDAFRLKFPWVALRPGYGLTESCGAATLFISNEEAKSHPAASGTLLPTFCAKVVDYETGIAMPPYREGELWLNGPAVMKGYLGNEAATAATIDSDGWLRTGDLCYFDKDGCLYIVDRIKELIKHNGLEDEEAGEIPMAYVVREAESQLGEDQVIQFVASQVAPFKKVKKVSFINVIPKSAAGKILRKDLVAQSRRYVKSKL >KVI09666 pep supercontig:CcrdV1:scaffold_653:66716:70306:1 gene:Ccrd_011944 transcript:KVI09666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1997 MALSSSSYFSSSSCSISTQFRKNPTNFASVSPRNALFGLTSSSNSDDLPKPSLSVSADSSSAPKARFVARRTESISVRPLERPLLEYMSLPASQYSVLDAQRIERIDDNTFRCYVYTFKFFAFEVCPVLLVRVEEQPNGCSINLVSCKVFKSLQIVYFILPLCRMSPLTLVWYGHAASMVNRISCDRNQSNSSMQELKSDTVIEVSIEIPFPFQAIPAQTIESSGTQVLEQILKIMLPRFMAQACDTCVLVTAYFEFLYAFTLVKDYEAWASGDASRQPLGTGGI >KVG69397 pep supercontig:CcrdV1:scaffold_6531:12205:12700:-1 gene:Ccrd_026269 transcript:KVG69397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, epsilon subunit MAMVPDLLFNLRNNFYLGAYQAAINISDIKNLSEEDSIERDCLVYRSYIALGSYQLVIDEIDSSATTPLQAVKLLALYLSIPEKKVGFLLINFVSSFDR >KVG69398 pep supercontig:CcrdV1:scaffold_6531:14153:16971:-1 gene:Ccrd_026270 transcript:KVG69398 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MQFPLLSRSGVMERLIADASEHGDSGCTISLHDVPGGAKTFELVAKFCYGVKHEITAANVVHLRCAAEHLKMSEEYGEDNLISQTEMFLNQVVLRNWKDSLRSLQSCVDVLSHAEELNITKRCIESLAVKASTDPNLFGWPVVEHGGPMQSPGGSVLWNGISTGARPKNVSSDWWYDEASTLSLPLYKRLILAMEARGIKQEIIAGSLANYAKKYLPGLSRRKSAPVGPTEPPSEDDQKLLLEDIDHLLPIQKGLVSTKFLFGLLRTALILRANPTCISNLEKRIGLQLDQATLEDLLMPNFSYSMETLYNIECVQRMLEHFKAVDQATGGASPCSIEDEQLLGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQLLAAAVPEVFSSNLIRAGCFSQMQSHPWLAESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLFSDNLADGSRPLRSGPCGSQEGGWNTAVRENQVLKVGMDSMRMRVSELEKECSNMKQEIEKLGRVKGGGGGGGGGSLGSTWGNMSKKFGFKMKSQMCSAQEGSVTKQNNGNGNEKVERVREKQQKHKKDLSWEG >KVG69287 pep supercontig:CcrdV1:scaffold_6532:7854:8054:-1 gene:Ccrd_026271 transcript:KVG69287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MAFLTNASIDLLLRFSRPGKSISYGGVMVDAFGRIGRLLLQVCVLVNNIGVXVVYMIIIGMARLIR >KVG69224 pep supercontig:CcrdV1:scaffold_6535:14002:19346:-1 gene:Ccrd_026272 transcript:KVG69224 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MDISEEQRASNKKAFSELFDTNDTLDEDIRNMIHNKRRRLIFQLSHLFQRGAEDLARRLLQNPSEYMQSLSDFITERTRSIDPKFLKEGEQVLVGFDGPFVSRKVTPRDLLSEFIGSMVKVEGIITKCSLVRPKVVKSVHFCPSTGQFTAREYRDITSTMGLPTGSVYPTRDDNGNLLVTEYGLCQYKDHQTLSMQEVPENSAPGQLPRTVDVIAEDDLVDSCKPGDRVAIVGIYKAIPGKSQGSVNGVFRTVLIANNVSLLNKEANAPVYSSEDIKKIKQIAERDDTFELLSRSLAPSIYGHSWIKKAVILLMLGGTEKNLKNGTHLRGDVNMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVICIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSITPTKNIGLPDSLLSRFDLLFIVLDQMDPVIDRRISDHVLRMHRFRSATDGGEDSISMYGREDESEADGSVFVEYNRMLHGRTRGRRPHASEYIATAYAELRNTGGNSSRTGGTLPITARTLETIIRLSTAHAKLKLSKQVLKSDVEAALRVLNFAIYHQELTDMEEREQQQEKELEKKRKADHDENNDHSGRRNGKPDADDDTQTRAEEAMEVDEAPVVETISPERVAAFRSAFEQHRHEKRFEQISITEIEQVVNHRAAVPYLRAEIMFLLESWRDEGRVMLVDDVVYPTY >KVH94629 pep supercontig:CcrdV1:scaffold_6537:5953:9382:1 gene:Ccrd_003305 transcript:KVH94629 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MGKVLWTEDGDQFDKIHSGCMSGMFHALDYQYWHSVKKILPHRKHETLIKHANRNRRHKRISDDQDPFEVLKLLEAETSHILVDRSNRKTSSTQKRSLKARIKALVSEDTFKDNDKERDPKFVPSPRLQRTNSIHHLESNEWVRPIIFFPDSEELHENATENPNVPNSETKDYGDILEMFKVNKELFVNMLQDGSQVSNMKAKLTKSGSFPTGRRFLRPTKLKDKLNESYTTSKTERRLDSSSNLGFLMTELDKQDGKNSHDDLNNNNVMPIRRISSLNESSNRYSQLLDFSKEATLRPSRSLKLANGSENIPSTQEPNFFRTNRSLPHINNPNQESHDAYFFRSASQNERNPVSFPLCTDKPEDCECLNEVVYRSENFSENEHSTEDIPHLSSVLAPEKVQSEFQIPEGLDSLTNSKGNVEKEIMIVNNNKHLESSMRHYQEDDDFTYVKQILERSGFIKNGFHQTWYSSNQPLDPFVFQEIESQYFHDPELFEEEFNELSHHLLIFDLVDEVLVNFYEKSSAYYPKALSSSCHIRPAPTGPRVLDQVWKRVSRWLDLKPDMNESLDDIVSRDLGSDDGWMNLQLDCECVGLELEDLILDEVLEEVLFECS >KVH94628 pep supercontig:CcrdV1:scaffold_6537:23168:24114:1 gene:Ccrd_003306 transcript:KVH94628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein, family 15, plant KHHQASSLSSIKNTHTHTLFLLSIPFPNLSIMSCCNGKCGCGSSCSCGSSCNGCGMYPDIEQTTTTAVIVDGVAPKQMYGEGSESSSFVAEGGHGCKCGDNCKCNPCNC >KVG69213 pep supercontig:CcrdV1:scaffold_6538:6755:8597:1 gene:Ccrd_026273 transcript:KVG69213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEPKRERIHSKEEEEAAAQEEIWKYILGFTPMALVKCAIELGIPDILENHETPMTLAELTSKLGCNQSSLYRIMRFLIHYKIFQEKPVSETSVGYAQTSLSRLLTRHGENSMADLVLLESSPVMLAPWHKLSAWVLGNKELPFEAAHGGKDLWGFTAANPGHSKLFDAAMACDARVAVAAVIEGCPKVFEGLKTMVDVGGGDGTALRSIVKAFPWIKGINFDLPHVVSVAPAATGVEHVGGSMFDYVPKADAAYFLDTGKVIIVETIIGKKDHEFKGVGLMLDMVMMAHTSNGKERTLEEWAYVFHEAGFSRYTVNHIQSYPSVIEVYP >KVI04994 pep supercontig:CcrdV1:scaffold_654:120147:129581:1 gene:Ccrd_016683 transcript:KVI04994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MRIQPLVLLRLCLVSLGRTSTTVLLTVILSAHLIPVLLSLSELVYTLVSLNVQNTSEDGLTPEALIVHQSSAPLLGWLQRIENGQITVNGTIVADPKSVLRSGTELVYHRLPWKEPYAPHLLEVLFEDDHLIALNKPSGLQVLPGGLFQQRTVLTQLQWHIKNQGPTLTDRELCPVPVHRLGRGTSGILLCAKTKLAKTRLAALFADKTAAVASNRKINMGVNHGKMSKIYRALACGIINEDEVVIEQPIGTLKYTGVAKGLYVASTSGKPALSKVRVLKRDVQNNHTVVQVEIQSGRPHQIRIHLSFIGHPLIGDPLYIIGGKPKCAAPETIDETCAEDGGYHRPANPVPGDCGYYLHAHQLVLCHPITDEACVKDNSTITTRLLT >KVI04986 pep supercontig:CcrdV1:scaffold_654:76160:84646:1 gene:Ccrd_016687 transcript:KVI04986 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein MDDIVSECKKRMARFRIQELKDVLSQLGVAKTGRKQELVDRILALLSGEEDIHGPKNKFIRKEDVAKIIDDTYKKMQPTGANDPVTGGHCVSDSSSITPREEIVDQKIRCPCGSPLKTEFMIQCADPQCHVLQHIHCVIILDESTEGVPDA >KVI04997 pep supercontig:CcrdV1:scaffold_654:7091:9449:1 gene:Ccrd_016690 transcript:KVI04997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MMMISGNRNNVGPFGDTTLTKVFVGGLAWETPNDALRDHFYTYGDILEAVIISDKTTGRSKGYGFVCLLLQFLLTNRRFIRSDFSDSANVTFKDPESAKKACEDPAPVINGRRANCNLASLGARRQRSLSVAPPHQNGIVAFTFLKFRLYRTSLHACILSLSNIGFVGPNVNVGPRSAGTVTPPPPPPPPPGHVQWYYPPTPSPFIHRHHHQSTVPYYGYGPATYNVGYNHKIGYMGGAYTHVYPGQAMVGANALVAMYPLHHYNQGAAGGPYYGPVSIPAILSKPKRITPTPVCVAVE >KVI04985 pep supercontig:CcrdV1:scaffold_654:112337:115115:1 gene:Ccrd_016684 transcript:KVI04985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYSTQRLFFSILPFFDSFIHSKSDIIGAFSINPPSSQDRLAVKKMNSFCRNMAGESSSNQHDIVSCPFLRNINEPTNFSFSSSVALPLPVRGTKGPIFEDGPNFDAAFRIFHGQNGVVPLSDQSSDCSKLRSEPAPQQFNPLAAKAATISLSGFGGAFGFDAFNEMFKNQQRKHKSSKKESSQKGDEIMGEEWLQNGKCPMAKSYRSVSNVLPMVAKSFKLPSGINYRCPPIIVAARAALARTTFAKNLRPQPLPAKVLAIGAMGMAANVPLGIWREHTKKFSPSWFAAVHAAVPFIAMLRKSVLMPKSAMAFTIAASVLGQVIGSRAERYRLKATVGASHQVAVTSEHCGEPVDWESMPLKVAVPAS >KVI04995 pep supercontig:CcrdV1:scaffold_654:132361:135127:1 gene:Ccrd_016682 transcript:KVI04995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MKGHFSKISSLLVSLLAFITLLHSTTAAATTAAARQHRYQRLKDKIVSLPGQPANVSFHQYSGYITVDKKQGRALFYWLIEVPTNNGPASSKPLVLWLNGGPGCSSIAYGAFEEVGPFRRPIFFSSILRLELVFRTRTLPSTKSPAIKEQLSQIIVRLNKGVKNPEINFKGFLVGNPLLDDYHDNIGTFEFWWNHGLISDTTYQILNETCPGSDNCIIKHTKIYMNRPDVQKAFHANVTRLPYPWITCSDIVRGSWTDSPTTMLPIFKELIKAGIRIWVFSGDTDAVLPLTGTRYSIKALNLKTLIDWHAWYDKDKVGGWSQVYEGMTYLTVKGAGHEVPMDRPRLALTLFAHFLKNITLPSSAHN >KVI04993 pep supercontig:CcrdV1:scaffold_654:45685:47725:-1 gene:Ccrd_016688 transcript:KVI04993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGWFSAVRKAFIPSGDSKDKKEKKPQKTVSKKSWFGRQKAVEPDSSMAESAFATPALRSPPSEPDEELKLAESQNAQSEPTKLETETSKPTKPENEQSEPTKTENVQSEPINPENEQSNPTKPEDEQSNPTKREDEQSKPTKPEIEQSKPTKPEIEQGKPKKPEYEPSKPKKPENEPSKPKKLENEQNKNSFKARAAGAAAAFRRLLGKSKEEVAAIKVQTAFRRFLVMFYMQPPHEHIRLLRFEYANTVLLVIGYLLLKARKSLRAIKGSERLKALVQSQSVKRQAITTLRCMQTLARVQSQVRARRIRMTEENQALQRQLLQKRERELENLRSSDWDNSRRTKEQVDASIQKRVEATALRERALAYAQTQQYTWKNPSKSANPTFMDPNNPHWGWSWLDRWMAARPWESQSSIDNQQPKGLTRSSSVGDTSKQLDRSPSVSSPRSPSVSRRRLAPSSPKNSNDPMQSPRNRRHSISNTSFHEESTRVSSPRVSSPRVSSPRVSSPRSTKTKSMIPSPNPSPSPSPSSNTNLSPLGSGKKGASENKPTTRPVNRRMSLSGAPAGGSARRLSVPPKVVASGSR >KVI04984 pep supercontig:CcrdV1:scaffold_654:105477:110197:1 gene:Ccrd_016685 transcript:KVI04984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPINATTKISVSAKANSGGGQKLLETSKSERHVWLMKCPPIVSRSLSQQQPHLPSPPDSSSAPITAKVIVNVDPLLPNEDFSSTQLELLGTDQSGRVPKCYSMDMSKDIIPMSVFSESKQDFYLANSYTSGRLSVEGTIYYKFDMKPHNENLEEYGKLCRERTNKYMTKTRQIQERKKAPVKGSDTKRTRRDRGEMEDIMFKLFERQSNWTLKQLISETDQPEQFLKDLLKDLCIYNNKGSNQGTYELKPEYKRSTEASK >KVI04983 pep supercontig:CcrdV1:scaffold_654:150075:154925:-1 gene:Ccrd_016680 transcript:KVI04983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1751, integral membrane, eukaryotic GPSLFAGITKLCKGLAAVLVFGHIAVQVFPSAVTYVALIPARTIPFGWNLLTAGYIEQTVHGVLISTVSLLFIGKLLEPIWGSGEFLKFIFVVNFLTSVCVFIMAIVLYYITMEEVYLYMPLSGFGGALSGLLVGVKQIIPDQELAPLKIKAKWAPSIMLFLSIVISFFTVEPATCLPMLIFGTYIGWIYLRYFQRKQETKIKGDPSDEFAFSTFFPEFLRPLIDPIASIFHQMLCGRSEDSNEARGYTVGTAPLPGSDPVEATRRRERGARALEERLAAEPQRDVTEKV >KVI04996 pep supercontig:CcrdV1:scaffold_654:89125:104225:1 gene:Ccrd_016686 transcript:KVI04996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLAHPLDPVKLLVSSTPDDGSQPLQNVETSFQITRANVHMLENAGYDVQAWCILLNDSVPFRMQWPQYPDLRVNGIPVKTINRPGSKMLGANGRDDGPSISLFLVEGCNQISLCGSDARTFCLGVRLVKQRTVHQVINMIPGEQEGESFTDAVARVCRCIGGMASANDDSDSDLEVIADNVTVNLRCPWQCPICLRNYSLEDIIIDPYLNRIVKMMQHCVEDVTEIDVKSDGSWRAKIGRPFMDLERWHLADGSLCISEVKVDSNMEVPAGKSEHHANGHGVSDVGLPLGNQSEEFITNYGQEIISMSSGSSDNMKEDEFESINHHGTNQFDITTNKDSMMESVPYNYNQTSGITNRSSSSSLGDPSVIVLSDSEEENDDMVSPTGVPLLGPPNGSSSSLTANPETPVMHSGDAVLPAGLSRPSGDVKESGHMSNSKRQGGPFTFPRQPRTVANGSRKQVHVI >KVI04982 pep supercontig:CcrdV1:scaffold_654:146478:149923:1 gene:Ccrd_016681 transcript:KVI04982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MSRFSNTVIGLLNLFTLLASIPIIGGALWIARSSATCESFLQTPLLVIGFVILIVSLVGFIGACFHLAWALWVYLLVMLFLIAALLVITIFGFIVTGAGGGSEVSGRVYNEYHLEDYSPWLRKRVEDPKYWMTVRSCILGSKTCGDIVMWSPVDYLTKDMSPIQSGCCKPPSVCNYQMTTMDQDLDCYKWNNDPDVLCYECDSCKAGVLEDVRRNWRKLSVLNVIMTLLLIGIYCIGCCAFRNTRRAETDYPYGENRMSKVRPRWDFFWWRWWHERRHSLY >KVI04988 pep supercontig:CcrdV1:scaffold_654:176123:201285:1 gene:Ccrd_016676 transcript:KVI04988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein AIASSSVALHTIPANTKIQDGKTTIASPGQVYELGFFSPGNSKKRFLGIWYKKAKSTIVWVANRETPITDTSAMLKLTGDGNLLILDGGNNLIWSSNSTGSVINPVAQLIDTGNLLVWDKSSTNRNLIWQSFDYPGDTLLPGMKFGKNLVTGREWIMTSWKSPDDPSLGKYQNMIDTNGYPQIVGKRGPVLLTRLGPWNGLGYGGCAPDIPNPIYSTEFVVNHNEIYHKYELTSSVLRRMVLTWDGKTLILHWIDRIQNWVVYDDIAADACGRYALCGPFGTCTLNKHPPCSCMEGFEPKFPEEWNGSDWSSGCQHKKPFDCGSGDGFRKLSGVKFPDTRRSWYNMSMTLEECETACRKNCSCTAYANLNIRNGGSGCLLWFDELMDIGEYDVDHNIYIRTAASELSGHNKKKAALTLILPLSSAALLLSAVAYACRKKKRRRYKGGKWAHALDKKDHTRSAQMDNLDELPFFSLYKVAKATDNFSIDNKIGEGGFGPVYKGVLEDGQEVAVKRLSETSQQGLDEFKNEVICIAKLQHRNLVKLLGYCIHGNEMILIYEYMANKSLDSFLFDEIRSSMLDWPQRFCIIHGMARGILYLHQDSRLQIIHRDLKAGNILLDGDMNPKISDFGLARKFVGQDAEARTKKVVGTYGYISPEYAVHGRFSVKSDVFSFGVLVLEMVSGKKNRGFSHEDHSDNLLGHAWRLYREDRSIEIMSPSLRNSCVISEVLRSIHVGLLCVQHHAEDRPTMMSVVLMLVSEGVLPPPKQPAFFTEESCDVAPSLDEYMITLFTSVHGQFSVKSDVLSFCLLVLEMVSGKKNREFYHEDHDDNLLGHKTGMGIHSNSNSNSIFLLFSTALFFLLSSSIAVDTISANQTIRDGNTIVSHAGMFELGFFSLGNSNNRYLGIWYKKISVCTVVWVANRETPISDTSGRFQVSRDGNLMVVSGGNTVIWSSNSTLSDRNLDPVAQLLETGNLVVWDKSSTKKRLIWQSFDYFGDTLLPGMKFGKDLETGRERYLTPWKSPDDPSPGRYLIWVDTNGYPQILRREGRVLQSRLGPWNGLGFSGLPIDIPNPIYSSEFVVDQKEIYFRYELLSSVVQRIHFTWDGKTLQMHWIERTQEWVVYADVDADSCGRYAICGPYGSCNINRRPPCSCIKGFEPRIPEEWKASDWSSGCQRKKPLNCGSGDGFQKISGVKLPDTQRSWYNLSMTLGECEMACRKNFSCTAYANLDIRNGGSGCLLWFDKLMDIREYDVDQELYIRISASELATAYKGNGVLTLVLLTVLLLFAVVYACRKKKKRLRMKGKGNWYGLDKTNTSIQMEDLDELPFFSLRQVAKATDNFNINNKVGEGGFGPVYKGVLEDGQEVAVKRLSETSQQGLDEFKNEVICIAKLQHRNLVKLLGYCIHANELILIYEYMRNKSLDWFLYGCKYPNTNMSIYFTEHCCSGYISPEYAVHGRFSTKSDVFSFGVLVLEIVSGKKNREFSHEDHRDNLLGHGWRLYKEDRAIELVSLSLRNSCIVSEVLRSIHVGLLCVQHHAEDRPTMLSVVLMLVSEGSAAVDTITTNQAIKDGDIIVSNGEMYELSFFSPGKSKDQYLGIWYKNISTAYAQLDIRNGGSGCLLWFDELMDIREYDEKQELYIRMAASDSESLMVSRSSLNNKKILTVVLSISSAAVLLSAVAYACRNTKKGRGNRNDLDKKNTNLPMEDLDELPFFSLHEIVKATDNFSINNKIGEGGFGPVYKGMLEDGRDVAVKRLSDSSQQGLAEFKNEVNCIAKLQHRNLVKLLGYCIHGNEMILIYEYMANKSLDSFLFDETRXSMLDWPXRFRIIHGMARGILYLHQDSRLQIIHRDLKAGNVLLDGDMNPKISDFGLARMFVGTDAMAKTKKVVGTYGYISPEYAVHXRFSVKSDVFSFGVLVLEMVSGKKNRGFSHDDHSDNLLGHIVSGKKNRGFSHGDHNDNLLGHAWRLYNKDKSIELMSSSLCNSVNSWSTRVRLGVFAIRLLDPIESEFRYMENSDLVGNAXQSYPLMNTSMEVYVHPILVLFSSTILFLLSRSAAVDTISRNQAIKDGDTIVSDGDMFELGFFSPGKSRNRYLGIWYKKISKGTVVWVANREKPINDTSGIFEVSREGVLQILSAGNTLIWSSNSTVSVRSRNLEAQLLDTGNLVLWDESSTKENPIWQSFDYPGDTLLPGMKIGKDLVSGREKYLTSWKSPDDPSIGLYKNWIDTNGYPQIFQREGRVIHSRLGHWNGVGFHGFPVENPNPIYSVEFVINEKEIYYRYKQKSSVVPRIHVSSEGIALQLNWIERTQEWAVYGNIVVDSCGRYARCGPYGTCSINMYPPCSCLEGFEPRLPEEWNAADWSGGCQREQPLKCGIEDGFWKISGVKFPDTRRSSYNVSMTLAECEMTCKRDCSCTAYAQLDIRNGGSGCLLWFDELMDIREYDEKQELYVRMAASELAGRMVSQSHFIKKEVLIVVLTISSAALLLSTIAYACRKTKKARGNWNAXDKKXASVXMDDLDTLPFFNLYEIAKATDNFSINNKIGEGGFGPVYKGVLEDGRNVAVKRLSETSQQGIDEFKNEVICIAKLQHRNLVKLLGYCIHGNEMILIYEXMANKSLDSFLFGXTLSSALLSHIFDMNPKISDFGLARKFVGQDAMAKTKKVVGTYGYISPEYAVHGRFSIKSDVFSFGVLVLEIVSGKKNRGFSHGDHSDNLLGHAWRLYKEDKSIEIMSESLRNSCIVSEPRKFRKSILGIWFKNTSPHTVVWVDNQETPLFDTSGIFKLDSKDILSLVNCGSTDIIWSSNSSASSTNIMHMAQLLNGGTLVIKDEDTISNESLIWQSFDYKDEKNVWKENFIWQSFDYSGDTFIPGMKLGKNLITGEQRYLTSWRSADDPSPGERRMSRISIDNVPRRTEIPDSSTLEISIDNVPMRRMSNTVGNHVSNKLLQI >KVI04990 pep supercontig:CcrdV1:scaffold_654:167630:168600:-1 gene:Ccrd_016678 transcript:KVI04990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MASNTLMSCGIPAVGRPSLLSSSKSRFAAAVPLSGVATNASRISMTADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILLPEALGLGNWVKAQEWAALPGGQATYLGNPVPWGTLPTILAIEFISIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKAFAEYKVKEIKNGNIFA >KVI04991 pep supercontig:CcrdV1:scaffold_654:162288:165615:1 gene:Ccrd_016679 transcript:KVI04991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MEGTSIPVFFFTLLFLLLSSDCASLHNLSANQAIKDGETIVSDGEMFELGFFSPGRSTNRYVGIWYKKISTQTVVWVANRETPITDTSGMFKVSREGNLLVTGGGDAVIWSSNSTLSARNLNPVVQLLDTGNLVLWDESSTKENPVWQSFDYPSDNLLPGIKFGKDLVTGRERYLTSWKSPDDPSIGLYSDRVDTNGYPQLIGWRGQVMLSRLGPWNGLGFSGFPSDIPNPFYSVEFVINEKEIYHKFELTSTVVQRVFLTWDGKTLHMHWLERTQEWAVYQDMAVDGCGRFALCGPYGMCSSLKHPPCSCMEGFEPRHLKQWKVSDWSSGCRPKKPFNCGIGDGFQKFKGVKLPDTGRSWYNVSMTLAECEVACRRKCSCTAYTNLDIRKGGSGCLLWFEALMDIREYETGQDLYVRVAASELKDYMVYNRRQGVLIIILPISLALLLLSALAYAHRIRKKTPLKKGQGNRESGLDKKNSSMQMEHLDDLPFFSLYKVAAATDNFSSSNKIGEGGFGPVYKGVLEDGREVAVKRLSETSQQGFDEFKNEAVCIAKLQHRNLVKLLGYCINDNEMMLIYEYMANKSLDSFLFDEGRSSMLDWPQRFRIIHGTARGILYLHQDSRLQIIHRDLKAANILLDSDMNPKISDFGLARKFVGNDTSDKTKKVVGTYGYISPEYAVHGRFSIKSDVFSFGVLVLEIVSGMKNREFSHEDHRDNLLGHAWRLYKEDKSMELISESIRTSCVIPEVLRSIHVALLCVQHHAEDRPTMLSVVLMLVSDSALPPPKQPAFFTEENSRELDPVSSVDEYMITLLYAR >KVI04989 pep supercontig:CcrdV1:scaffold_654:171360:174538:1 gene:Ccrd_016677 transcript:KVI04989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKYTGEMLRHLEKQDELLMDAYRSMSHELHKLQVEEEMLMRAFYDLMAAQGLATKKDDGRNGSEELKALVNVDTQQNQER >KVI04987 pep supercontig:CcrdV1:scaffold_654:196602:202113:-1 gene:Ccrd_016675 transcript:KVI04987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMIFVLDAEELDDQMMSVLPQLTRDRISLLSNLKMPEVSKSGVSCYGVC >KVI04992 pep supercontig:CcrdV1:scaffold_654:19853:21742:1 gene:Ccrd_016689 transcript:KVI04992 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MEGCLKTAVAVAVAATPMTISTDSAYSRSHSPPSSQLSPTSVLVLPPPPPHPVVLSPCAACKILRRRCIEKCMLAPYFPPNDPHKFTIAHRELPESSRADAVSSMVYEANARLRDPVYGCAGTICHLQKQISELQGELAKAQAEMVNLQCRQSNILTLIRMQMDQPPLPVSPPLQQQQTLYDNICFFDDNANLGSLGPLWT >KVH94184 pep supercontig:CcrdV1:scaffold_655:128680:130771:-1 gene:Ccrd_003750 transcript:KVH94184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MANMRIQQLPLSFFIITLFTLSLSIQICVSYSKESATIYEILRSNGLPIGLLPKGVTNFTFDDSGRFEVHLDQACNSKFENELHYDRNVSGKLTYGQIDGVSGISAQDLFMWFTVKEIRVDIPSSGLIYFDVGVVHKQFSLSSFDTPRDCLASTMSIYNQLITQTVPKSLSRKLRHQKLHHQEPSMAVL >KVH94182 pep supercontig:CcrdV1:scaffold_655:172013:178557:1 gene:Ccrd_003756 transcript:KVH94182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hemolysin A MALQMSKLPLFRRCGWLSSSNGVFLSEHSYLSQQPGKVIVDGKVVLKAGHPVSDKAIVEIKAEIPKYVCRAGHKLEAAIEQLGIDVAGKVALDSGLSTGGFTDCLLQYGASFVYGVDVGYGQVADKVRRDERVSVIERTNLRYLSELPQKVDLVTLDLSFISILVVMPAVISLMKEEATLVTLIKPQFEARRSQVGGGGIVRDPSVHQEVREKIIKGVEDLGFKCNGWIESPLKGAEGNIEFLACFSRSNEKNVKESPVTTTTTV >KVH94191 pep supercontig:CcrdV1:scaffold_655:10449:14198:1 gene:Ccrd_003742 transcript:KVH94191 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTP pyrophosphohydrolase MazG, putative catalytic core MTEVLEGDETVTLKVLKQKMHDFAQERDWERHHSPRNLLLALVGEVGELSEIFQWKGEVAKGLPDWKEEEKVHLGEELSDVLLYLVRLSDICGIDLGQAALRKIRLNAIKYPIPDPTPTPKP >KVH94177 pep supercontig:CcrdV1:scaffold_655:168192:169861:-1 gene:Ccrd_003755 transcript:KVH94177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaL, reaction centre subunit XI MASLQLKTGLTSSLTTTRPVAPKGLSGSPLRVFPWSKRSSSTIKATQTDKPINGDPFIGSLETPVTSSPLIAWYLSNLPGYRTAVNPLLRGIEVGLAHGFFLVGPFVKAGPLRNTEYAGAAGSLAAGGLVVILSICLTMYGIASFKEGEPSIAPSLTLTGRKKEPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYYVK >KVH94189 pep supercontig:CcrdV1:scaffold_655:83825:94240:1 gene:Ccrd_003748 transcript:KVH94189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MASMAKPILSSIFLPPPPSLHLNPTQKASFICLPKKRNLSVLRASYEVGGGYTQEELEAREKSRSKQQEAGDPSTTWGTSQYEVLLKGGEQVTSVLEEMANLLEDDQMDAESEEFAVMLAAQGVIGKRVDEMESGFMMALDYMIQLAEKDEDEKRKSLLEVIKETVLSHLTKKCPPHVQVVGLLCRTPRKESRQELLRRVAAGGGAFESEHGAKVHLPGANLNDIANQADDLLETMESRPVVPDRKLLARLVLIREEARSMMGGGLLDERNNRGLNTLPRSEVNFLTKLVAEKPGKAVREMIKNVMLGKDEGADNTTDEESKRGGKVRSGIAGRGSVPGSKPHPVRPGMFLETVSKVLGSPEYTSNSSRNSILTLAMATYAPHAFFVHKLPVFDRVRKGARCRIAEYR >KVH94196 pep supercontig:CcrdV1:scaffold_655:40464:40745:1 gene:Ccrd_003745 transcript:KVH94196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAVVFLMVVVVVIGGLEAVEICDMTEDGLMACKPSVTTPDPADPSPECCKAIAGADLKCLCSYKESRFLPSFGIDPTLAIALPAKCNLPSPPC >KVH94186 pep supercontig:CcrdV1:scaffold_655:186927:190010:-1 gene:Ccrd_003758 transcript:KVH94186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MESLSIPLSSSPPFSKNPKTHKITTPKPYFLFPNCNLIPNSIQSLSNFIKKTPKSSITTTLKPNLQSLSFLFVGFPFPLSSFASESASIELPTNQSSSKINLEAIVVSIDDFFNRYPFFVAGVVFIWLFVIPVSQGYLRKYKPISAIDAFKKLKEDPNAQLLDIRDNKTVRVLGSPNLKILNKKAVQVEFSDGDDEGSFDGDSMKVAELLFNNGFKEAYAIRGGEIQETLLPPSVHVFPKTGVKKSNNNGSVGKQSEAKSEPTFLDSKQTNNGYVDTSTKPNTSVRPSSPYSMYPDMKPPSSPTPSKPQ >KVH94192 pep supercontig:CcrdV1:scaffold_655:26773:29108:-1 gene:Ccrd_003743 transcript:KVH94192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MDYEYKRNHVPAFGSWDCNDDLPFTQCFESARQAGLLRYSYSEDRDLYVTGDLYDNNVVTPAMIVVPRRTGKAGYPHVKEGKKDAWVVCDYEYDYDYDCDVKEPPSPVSVATPTPPPPQHPRRHVKAMAVDEDLYRISPELLRAKPKRKKWGLFSSCMQPTCVM >KVH94195 pep supercontig:CcrdV1:scaffold_655:43894:72916:-1 gene:Ccrd_003744 transcript:KVH94195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MEIIGRKSTDLLFLILMLLCFQLHLKAQEYPSYKEIPVGAILDMRSWVGKIVHSCITMAVSDFYTVNSHYKTRIVLHDRDTHGEPLHALSAALDLLDKTKVQAIIGSDSTSEAKFLAVLGDEARIPILSLSPTPSFNSHPYFLQIAQDETIQVKSIAAMAESFGWKNLIVFCEDTANGRDMATFMANALGERSISVTYRSLISTSASNELVQEELHKLSTMQTKIFVVHTSPSLASYLLLNAKYIGMMGEGYKWIITSKTMDFINFMDDEVMETMQGVVGFKSYIPQSSDLHNFTREWRKEYDGRNTLMDIKGINAYGVWAHDAVSALAMAVERAESVEQVLKRNMKSLEKTGSSQRGTALLNQMLGISFHGLGGVFQFMNGKITAQVLEIINIVGKGEHRVGFWTADADFTKKIGKLNSFSNDGLEAIIWPGGITTNPTHRMLQMSSKNLRFGIPVQYRSGRLFQVNHDAQTNSTVASGFCVEVCEAAFAAITSGVAIQFIPFVINATVGNVNYNDFIDRVHAREFDVAVGDITITANRSLYVDFTIPYTDIGLGTLSRNADASMWIFMKPLSSELWVVSACFFILLGFVIWILEHRTNEEFQGSHGQQIGTTFWFAFSTVVYAHREKLQSNLSRFVVIVWLFVVLVLSSSYTATLSSLLTIEQIQLASNANSIGYRHGSFMEGYIKKNLNFVDNRLKPYSTPEEYADALSLGSKKGGVDAIVDEIPYLKEFLTLYPSGFSMTVSEATTNGSPLAPVISRRIVRLREDGTLKMLEDKWFNQQSGPRPKDSAKILNLKDFRGLFYVSGASMAAALFLFILYLVPEKLHFTYTMLAGGKLEFIMRFLVPKTECIYEEERDGEARPYLNRICSIGSYLCILDSYEDRNPCLVDEPEPCCQSVINRDPWSRPWLILEKQVFDEMSLRAGYDLSSDDHALIRKNTNLLFLILMLLCFQLHLKAQEDPSYKEIPVGVILDMGSWVGKIIHSCIIITLSEFYKVNSHYQTRIVLHNRDAQGETLHALHTVQAIIGSDSTAEETFLMVLGDEARIPILSLSPTPSSNKDPYFLQVTQDETTQFNGIAAMAESFGWKNLIIICENTGNGRDMATFMANAFREKNISITYRSLLPTSASYELVQEELHKLSTMRTKVFVLHTSPSLATNLLLNAKYLGMMGDGYKWIITSKTMDFLNLLDGEVIESMQGAVGFKSYIPQSRELRKFTWKWRKEYDGRSPLMEVKDINAYAIWAHDAVSALAMSVESMEAVKSKYLETSGSSQKGTTLLNQMLRISFQGLGGVFQFRNGRITAQVLEIVNVIGKGERRVGFWTTDAAFTKKIGKSNSFSKDGLKAIIWPGGITSHSKQLGSKNLRIVVPAHSRSKGIFQVNYDAQTNSTVVSGFCAEVFQVAFAALGQDVALHYIPIRNNSKIGEIDYNDLIDLVHSGEFDAAIGDITITANRSRYVDFTLPYTDLGFATLSRDADASXWIFMEPLSSNLWLASACFFILLALVIWILEHRTNQEFQGSRGQQVGTTIWFAFXTLVYAHRQELQSNLSRFVVIVWLFVVLVLTSSYTATLSSLLTVEQIQLASKRNSIGYSPSFFVNRGYNKNSIQGTRLKLYSTLEAYADALSRGSKKGGIDAIVDEIPYIKEFLAQYPSGYSMTVSEATTNGFGFVFARGSSWXPEISRQIARLREDGTLNKLENNCPFPVRALFGSRETTFQLHEAGRRKASVDQKVSRAEKLVMLLKEDDSNNNRTKVQAMIGSDSTSEAKFLAVFGDEARIPILSLSPTPSFNNHPYFLQVAQDETIQFKSIAAMAESFGWKNLIVFCEDTANGRDMATFMANALGERSISVTYRSLISTSASNELVQEELHKLSTMQTKIFVLHTSPSLASYLLLNAKYIGMMGEGYKWIITSKTMDFINFMDDEVMEXMQGVVGFKSYIPQSSDLHKFTRKLRKEYDGRNTLMDIKGINAYAIWAHDAVSALAMAVERTESVEQMXGISFHGLGGVFQFMNGRITAQVLEIINIVGKGEHRVGFWTTDADFTKKIGKLNSFSNDGLEAIIWPGGITTNPTHRMLQMSSKNLRFGIPVQSRSGRLFQVDYDAQTNSTVASGFCVEVCKAAFAALSHNIDFQFIPFMINSTVGAVNYNDLIDRVHTREFDVAIGDITITANRSLYVDFTIPYTDFGLGTLSRNTDASMWIFMKPLSSELWVVSACFFILLGFVIWILEHRTNEEFQGSRGQQIGTTFWFAFSTVVYAHREKLQSNLSRFVVIVWLFVVLVLASSYTATLSSLLTIEQIQLASKANSIGYRYGSFMEGFIKNNLNFVDTRLKPYSTSEEYADALSLGSKKGGVDAIVDEVPYLKEFLTLYPSGYSMTVSEATTNGSPLAPEISRQIVRLREDGTLKKLEDKWFNQQSGPRSKDSAKILNLKDFRGLFYVSGVSMAAALFLFMLYLVPEKLHFIYTMLAGGKLTFILRFLMPKTGVFEVSGVVYWYGQRDPLSDAKQVFDEMLLWAGAFECSGAVWKMWPSF >KVH94183 pep supercontig:CcrdV1:scaffold_655:137388:137864:1 gene:Ccrd_003751 transcript:KVH94183 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-type domain-containing protein MAIASLHRLSSQISRNPTLSLYSRAIISRSSATSSSSAKVSDRIVKLVAYDFDGQKRDIIGLTGQTLLKALCNHNLIDPASHRLEDIDACSAECEVNIAEEWLGKLPPATYDEQYVLKRNARHRILNKHSRLGCQVVLTQEMQGMVVAVPEAKPWDTP >KVH94194 pep supercontig:CcrdV1:scaffold_655:79955:80818:-1 gene:Ccrd_003747 transcript:KVH94194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEATNGAAAEVQSVTFTGLKPQVFVEASKTEDIEAAVDKAVKAGAEGDMTEGEGAWFGGRVGKVKDPYGIVWLICAPAKKCDVEA >KVH94180 pep supercontig:CcrdV1:scaffold_655:144003:144524:1 gene:Ccrd_003752 transcript:KVH94180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDLSEIHHLFQMFDHNGDGKITTQELTMSLENLGINIPEDDLGHMIDHIDTNGDGSVNMEEFRGLYETIMEEWDEEEEIQQVFYVFHEAIMQERDEEEDIREAFNVFDKNGDGFISVDELTSVLSSLGLRQGRTIDECWLMIKMVDEDGDGVVDFGEFRKMMRGGTFAALQTS >KVH94178 pep supercontig:CcrdV1:scaffold_655:151356:159486:-1 gene:Ccrd_003754 transcript:KVH94178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MIYSEAQEDPPYKEIPVRVILDMGSWVGKTVYGCITMPVSDFYTVNRHYPMWIVLHNRDAHNERLHAISVAVGAFYLSLMEISSRKRIDLLFLILILLCFQIYSKAQEYPSYKEIPVGVILDMGSWVRKTIYGCITMALSDFYTVNSHYQTRIVLHDRDTHGEPLQALSAALDLLENTRVQAIVGPESSVEARFLEVLGEKANVPILSLATSPYSNQNPYLLQIAQDETNQFEGIAAMVESFKWKTVILICEDTANGREMATYMVTAFQEKSIHVTYTSLISTSASNDYILNELHKLLTMQTTLFVMHTSPSLASNLFSMAKEVGMMGEGYMWIVTSKTTNFLDSLDVEAIESMQGAVGFKSYFPESRELHDFVLKWRKEHFALNPFMEFKEVDPNGIWAYDAICALAMAVERVQTTIPRLDEHVTELASKDLTTINGTSRMGAALLNEMLRVKFNGVGGEFKLMNGRIVSKAIEVINVIGKGDRRVGFWIASGEFTKKIGKFNLSSNSGLEMIIWPGGTLTIPKRRRLQMNGKKLRILIPDFGGFPNLVHVTVDPGTNLPTASGFCGDVFKFAFNALGYGVDIEFTRFSYEDGGTYNDLINKIHLKEFDAAIGDITITANRSRYVDFTIPISDLGIGTLARNANKSMWIFFDPLSADLWITSCSFLLFLAFLIWFIEHRSNEEFQGSTRQQIGTTLWFACSTLVYAHRQNLQSNLSRFVVTVWVFVVLVLISSYTATLTSHLTVQQIALKEGSIGFQSFSPIARGAVFNNLKFADFRMEKLNSSGDYVKALTTGGFAAIIDEILYIKSVLALYSAADFSLVATSSTTNEMSTEIAKLREDGTLKALEDKWLYGQSSLMTNGFSSSSPHILNLHGFRGLFLISGVSMVLALLVSIVYRVHEKWRGKSKMQIL >KVH94179 pep supercontig:CcrdV1:scaffold_655:145931:149297:-1 gene:Ccrd_003753 transcript:KVH94179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLATYTSSDEEEDQQQSHQHQKPKQNQSNIPSQPTSKFFSSLPPPKAPQQPLTVTNPSSSKPKKFSLPEYRSDSALPPPNSSSASLFSRLPQPKAERSDPFSLDPKPKKVVQFRPPVMPKVEDDDDEEDDDKEERRKKDDFIPQAPSVKSFLSSIPAPRNSGSLGALPSSTGMGRRSILDDEVPALSNSNVVKDTVNKASDDQVKYENSFVGNDNSSNVNLSGASYVNYDNTYNGYVGSNENADVAATSADYASYDYSNYGGYENVDTNHSSYGPAAPASDDHANYSSHEGYSNYGNHGQYESKWIDRSSGDLEPEISGPPIQNVARAPGKRGRNEIPQDVIEVSQDELMKNRPREDQVKMTGIAFGPSYQPASSAKGKPTKLHKRKHQIGSLYFDMRSKEMELAERRSKGFLTKAETQAKYGW >KVH94190 pep supercontig:CcrdV1:scaffold_655:110864:113860:-1 gene:Ccrd_003749 transcript:KVH94190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter MASSIPYISLTAPLNSHTKLSIHKPLFCFPNSISLKPINKTPRFPSPVIRKSSSNSAGASAASACSTSYPFIGKVGLHRREGNFMLLSYGTNPNTGSIDGVKTDVSQILSAMLPFVVALTAIAALTQPLTFTWVSKELYAPALGGIMLSIGIKLSIEDFKLVFKRPLPLSVGFLAQYILKPALGVFVATSFGMSPMFFTGFVLMSCVAGAQLSSYASFLGKGDVALSILLTSSSTIASVLFTPLLTGLLIGSVVPVDAVAMSKSILQVVLLPVTLGLALNTYAKPVVSVLQPVMPFVAMFCTSICIGSPLAINRSQILSAEGLKLIWPVLAFHAVAFTVGYWITKLPQEEEVSRTISLCTGMQSSTLAGLLATQFLGSSQAVPPACSVVVMAIMGLCLASFWGNGYRIRDLPYLLFPQTDSAVSPQT >KVH94187 pep supercontig:CcrdV1:scaffold_655:193310:196168:-1 gene:Ccrd_003760 transcript:KVH94187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPIRWDDNDHLPSHGLPRPWVSVNHALVTWSWFINQTWVSVFLGIKNTEVRAYRTDPVQVRSCPHIRFFRI >KVH94181 pep supercontig:CcrdV1:scaffold_655:183382:186259:-1 gene:Ccrd_003757 transcript:KVH94181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQATCDGSLSLIDFQIAANDFAEKWKKFNSAYPEWLWIDCSNRLGFAAHGVIDGYLSLQNVFIRRSLEEERDEGGCNDDEEPFDNATLVCIVPVMMEINIISMSYTALRTGFQCSTSMYRIPEHPYLNRPWYMLHPCGTSEWMKLLLVGVDNSMATNGTERYMISWFSVVGQVFGLKLPFEMLKSIG >KVH94185 pep supercontig:CcrdV1:scaffold_655:193252:201781:1 gene:Ccrd_003759 transcript:KVH94185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNAGSSPTSTIVAIRNAEKEVGMMGEGYMWIITSKTTSFLDSLDVEAIKLKQGGSGLQIASRELHDFELNWRKEYFALNPFVEFKEVDPNGIWAYDAVCAPTLGVDRVQTTIPQLEEHVTAGGCKICGDCLGFSGACAHIELHCKREAVGCRWRWLFWCPIVYLVRGNGMLPTRLTPDANGCSTSKLMNGRTISKAIEVVNVIGKGSVSGWFAKEIGKKNSSNGGLRTIIWPGGTVTIPKRRRPQMNDKKLRILVPDKNLGSITYRAYKLGGYKEPDTGNRFQKFVEAKSKATDWVSSMAGSGLSELTRVRRCVATETVVTGGELHSLMKPFWFCCALERGFGGDAANCR >KVH94188 pep supercontig:CcrdV1:scaffold_655:197415:201780:-1 gene:Ccrd_003761 transcript:KVH94188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein YLQLAASPPNPLSKAQQNQNGFIKLCNSPPVTTVSVATHRLTLAYLLYCLPAMDDTQSVALLLASTNSKIQQLQKAFAELESHRAVTLNLKWKEIEDHFHGLEKSLKRRFTVLEDQEKEFETKTVQSQQVLEKRQAAVFTKEEASLVKLQEKRDSAVTAIAYTLGKHRNGNIDQDCASFVEEKQSMPECTINSMQKLTENDVEKLSNPQLVQLCKKMDSGGLHKFISDNRKNLASIKSEIPIALKAAADPGGLVLDSLNGFYIDGKKDSNLLGLQRTCIMLMECLSLLLTNLDANTVCKLISDDVKARAKVIAEDWKSKLNDLDVDASNGNSLEAHAFLQLVATFGIDSDFVQNDLSMLIPMVSRRRQTADLCRFLGLSKNMPAFAFELTEQFAPNDASEKELSALKAVIKCIEDHKLEDQYPSDPLQKRILQLEKAKADKKRATEVSKPQLKRPRANGVAGYGPRNTNIAADKNFYPRMTNRYAPPTQSMYDTRPYGYPAAAENHIPLFIGGVTCGGAVPEGGVYPFLGGVTYNMGPNHGNYFGNGYQYQAPYIHQVCRHGT >KVH94193 pep supercontig:CcrdV1:scaffold_655:41707:53857:1 gene:Ccrd_003746 transcript:KVH94193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSFPPASIVLFQDGHGQNKEIIKNVINIYKEIKMPATIGSFSINVIILAYIKNHTFRLLEILNYSIVRSGYHYVCVVDNQSAYVASLYLKKQFAIIQSTYDGGEIALRIESLYTRLESNKQPCNHARTHQMGWQRSSTESWSRPWVSVNHALATWFWLINQTWVTVFLGIKNTEVRAYRTDPVQVRSCFSIPLFFIYAFFHLKS >KVG68573 pep supercontig:CcrdV1:scaffold_6556:11464:16336:-1 gene:Ccrd_026275 transcript:KVG68573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin MPDQAFEGGDFLKTNETILDGGSLSSLYQSARFGNFCYSFEDLSSRDYFLDLHFAEIINTNGPEGMRVFDAFVQDEQVVSELDVYSYVGANRPLKLIDIRVTVGLDGMLVIKFKGVHGTPIIMKQEQTQLSCDVREYANLILDMDNMISAIQGQVAQCEDLKQKYNEELVKRRKLYNQIQEAKGKFSHSCRSLDKHEVSAGHVMVVDLSASRDGDLGILDKAKQDLKSKDEALKKVGRKSTELGSERLNARIRCRKVTEVENKLKERTQMFELKLSASEEKIKKLENGLERQDDHTSS >KVG68572 pep supercontig:CcrdV1:scaffold_6556:3:8074:1 gene:Ccrd_026274 transcript:KVG68572 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4414 EDTRGLENGLSSVGIRFEIQPDIRESLDEDDEDMSGDEGDEVDEDEDGEDEGQNDLEEDEVHHLPHPDTDQDDHEIEDEFDEDMIEEEDEDDEDDDGGVILRLGEGMNGINVLDHIEVFGRDHSFSNDSLHVMPVEVFGSRRQGRTTSIYNLLGRSGDXSVPSQHPLLMEPSSSRVVSSRQAENARDGHLERNLESSSSRLDSIFRSLRNGRHGQHGHRLSMWTNDQQSGGSNASSIPLGLEDLLVSHLRRPTPEKASDQDKMVEAQTKNESGQSQGSAGMVPDTAAENNDNGDQVPPASLSGSRDSGNAPTVDETQGGTDVPVGQPQSVDMQFDSNDAVVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSSDLRTRRTNVSVGNTTSISVRDAALHSVTEVSENPSQETXQSDXAQDAQRDGAGGSAPIDPAFLDALPEELRAEVLSGRQGPVAQPSNTEPQNDGDIDPEFLAALPPDIRAEVLAQQQAQGVHRSQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAVLANLTPALVAEANMLRERFARRYNRTLFGMFPRSRRGESSRRGEGVGSSMDRTGGIITRRSSGSKPVETDGXPLVXREDLXAMIRLLRVVQPLYKPQLQRLLLNLCAHVETRSAVVKILMDLLMLDIRKPGNNLHASEPSYRLYACQSHVMYSRPQCFDGVPPLVSRRVLETLTYLARNHSFVAKLLLQFRFPPTAGQESESLDQSRGKAIMVVEENETEKQQEETLAISMLLSLLNQPLYLRSIAHLEQLLNLLDVIIDNAESKQAPVEQGVSVTEESPGQTSTLDINAGGLSDNAYALVAEVLKKLVTIAPRHCHLFITELAGAMKNLTTVAMDELCRFGEIEKALITTTASDGAAILRVIQALSSLVASLYQEKDHTLPEKDQAATLSLVGDINAALEPLWTELSTCISKIESYSDTSPDIPDSSMISTSRPSGAMPPLPAGTQNILPYIESFFVMCEKLHPGHPGGAQDFGVAAVPNIDEATTSDGQQKTSGPSMKVDEKHVVFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFIDFDNKRSHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDAQLLDVHFTRSFYKHILGVKVTYHDIEAIDPGYFKNLKWMLENDISDILDLTFSIDADEEKLILCERTEVTDYELIPGGRNIRVTEENKHKYVDLIAEHRLTTAILDDMKSNTEYSGYSAASPVIQWFWEVAQGFSKEDKARLLQFVTGTSKFQSIGFTRISFKRTSGGEAIACNS >KVH96887 pep supercontig:CcrdV1:scaffold_6564:5173:9670:1 gene:Ccrd_001021 transcript:KVH96887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MQQQQRSHIPKFGSWDADNVPYTAYFDNARKDKGTTGVMFNPNDPEENPEAFMTYGGGYDDGNNDHKIVFADKNMKTSMTSSDKGSISDISNNQQSYKSDPKKSSNSERSASVPMFGAWDEKDPRSAEGFTVIFQKVKEEKHIAATKFPPIPQHPTSNHPNTPKHDNTRRKGIC >KVH96803 pep supercontig:CcrdV1:scaffold_6567:11427:12521:1 gene:Ccrd_001105 transcript:KVH96803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRSSPNTQKRPLIEGIQDEPLIQKRLRQVSEDEALARRLQNELNDDIHDKKSSGEAICNFKPPKDKLPQTFRLMRVRGLQPWANTSSVSVGDVIQILYPREEAKTKKARTKSYTAVRHSTQHSRLGCRDSGIGRRQQPAALQQQHTVSGEAVTHTGGRRRGTAVS >KVI04182 pep supercontig:CcrdV1:scaffold_657:87733:97181:1 gene:Ccrd_017510 transcript:KVI04182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQENVKHLEDCSVSNALGTWVFSVAGALIAIPVGIKRKSLAPLVFFGTTGTMVDIIMGVSACEREHAERQMKILEEQNAASVAAVDVASDDVI >KVI04172 pep supercontig:CcrdV1:scaffold_657:28463:46751:-1 gene:Ccrd_017507 transcript:KVI04172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheromone shutdown, TraB MNGLTQSRALVNSVELQPLKLRRYNKRSSSSPVGLLFRKNSGGASTKTRTFAPEPTLRQFSVPPKLHRRSTTTLRHRCLTAMDSDSLPHAPELEATTTINTPDNQASSSSLDDQSVVANEESRDANDNEVEGEQKKVLPEELSRSVLALSCESSAEGGNCDVYLVGTAHVSMESCQEVEAVISFLKPQVVFLELCSNRVAVLTPQNLKITLRRTWSRMPLWHKTKLMYSLMFQSIFLPSSTRLNEMLKELDNVDMLTLVIQEMSKEYPTLMETLVHERDQYMSSSLLKVAREHSSVVAVVGKGHLQGIKKYWEQPVEVKELLELPSTKPSVSVSKVLSTVGVAVAGVAIVSGIYLSTRK >KVI04173 pep supercontig:CcrdV1:scaffold_657:22038:27138:1 gene:Ccrd_017506 transcript:KVI04173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 MSIFRIVPSNIKDICLIMSSLSIFYLLSHPLTAPIPTAPTLISVVARPPTTIRHILFSIASSHKSYNNRKPYLRLWYKPNFTNAIVFLDRPVTDSDAGGGSDLPPIIISGDTSRFPYTFPHGLRSAIRVARIVKEAVESDESDDIRWYVFGDDDTVFFTENLVKVLAEYDHDRWFYIGSVSESYEQNLRHSFNMAFGGGGFVISRSLARVLARVLDSCLMRYPHVYGSDSRVFSCLVELGVDVRGDMSGILSAHPLPPLLSLHHLDLVDPIFPGLTRLEAVKHLFEAAEHDPARILQQTVCYDSSNSITVSVSWGYVVQVFEGNQFLPDLIQVQKTFGSWRRKENLLSSLHMFNMRDYHKDLCKAPDTFFLEGLDPDTDRFHTSYKRNTASNCSRSNVIRDLMNIRVFSRRLDADTEQDHNDTLLLGIKPVYGLSLHMTDFNDTSRYYMIESDPSRSTVCYQKYKFIRSK >KVI04186 pep supercontig:CcrdV1:scaffold_657:195559:196140:1 gene:Ccrd_017520 transcript:KVI04186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MKEAKKGKSRRVLTICCGVAALLLFIFLIVFIILLFTVFKPKNPKITTHPTSLENVKFQLYPNVSINATIVLNVTISNRNYGSFKFHSSTAYVDYRGTLIAEIPIEQAKIPAHGNFTITAYANVTAEKMVTNPNFYNDMGSGHLNFTSTATLKGKVSIFKIIQMGAKVTNICDISVEIMTRKVESKCRAKAKL >KVI04176 pep supercontig:CcrdV1:scaffold_657:162485:162760:-1 gene:Ccrd_017516 transcript:KVI04176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MRVVVEILTGKLFYVQIGDNATVLDLKKEIGAQEKLPDDRLILLLCNNLMNENEALLVEYGVEDGSHLYLFFDSLKDGSTHQFLLSTSESL >KVI04180 pep supercontig:CcrdV1:scaffold_657:125151:127053:1 gene:Ccrd_017512 transcript:KVI04180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MINCITERMFHLLFPSWNASSSSLHHGRPSLKPTAVKMGAGGRPFDHPPDDQMILKQKVVESRRASSNHAAYVSHGIFFAVFLSVVYYLIVRWHEKTLNSTPLLVVTMFEMAAIITFVASCIYLLVYFGNSLVHHTHFLDEEEDQPEETTNTVHCEYAHLSLKQVGKKDKVTQEDEAVIQAVVSGETPSYSLESKLGDCKRAAVIRRMAVERIAGKSLDGLPVDGFDYESILGQCCEMPVGYVQIPVGIAGPMLLDGKEFWVPMATTEGCLVASTNRGCKAIYASGGATSILLKDGMTRAPVVRFATAKRAADTGDAMGMNMVSKGVQNVLEYLRADFSDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEQVVKKVLKTNVASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNIESSHCITMMEAVNGGNDLHVSVTMPSIEVGTVGGGTQLTSQSACLNLLGVKGANKESAGSNARQLAMVVAAAVLAGELSLMSAIAAGQLVNSHMKYNRSNKDNSVES >KVI04181 pep supercontig:CcrdV1:scaffold_657:133316:135728:-1 gene:Ccrd_017513 transcript:KVI04181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MDVRRRSLLKQAATKGKNMAGEGQFDYPSDDQMFKANNKKVVDAAKASSDTVPLPMGISNGVFFTVFFSVVYFLLTRWREKIRNSTPLHVVTMSEMAAIFTFVASFIYLLGFFGMNFVQATPFPDDDEEEELEGTTEGDVNEIIRKEDTRATPCGASLDCESDLPVKPVLKKDVEMVPIIGTTVSEEDEAVIQAVVSGKTPSYSLESKLGDCKRAAFIRRVALERITGKSLDGLPLDGLDYESILGQCCEMPVGYVQIPVGIAGPLLLDGKEFAVPMATTEGCLVASTNRGCKAIFVSGGATSILLKDGMTRAPVVRFGTARRAADLKFFLEEPQNFETLASVFNKSSRFGRLQTIRCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLEYLQTDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVAALVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNIESSHCITMMEAVNDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANKESAGSNARQLAKVVAASVLAGELSLMSAIAAGQLVKSHMKYNRSSKDVTAIKS >KVI04183 pep supercontig:CcrdV1:scaffold_657:98170:101827:-1 gene:Ccrd_017511 transcript:KVI04183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPLNAISLEPTKLPLFPYGTKIRGSKNKSNRFPKFSAVLVDVQSTNNTLSKRFEMPNPKPDAPGSINESKKGLHLHKLHQGLALCSAMAELKQYHSQIIKLGLQADNDAMGRIIKFCAISRNGDLRYALKIINNLLQPDAFIYNTVFRGFLLFHLSKDCIQLYSQMLQNSVTPNKFTFPPVVRACSFGNSIDEGKQVHAQILKFGYHSDGFSQNNLIHMYVSFKGLDEARKVFDKMPQRDVVSWTTLISGYSQSGCIDEAREVFEMMPEKNPASWNAMIAAYVQNDRFREAFSLFDEMRLNRVELDKFVAASMLSACTGLGAMKQGEWIHDYIKRNEIEIDSKLSTTIIDMYCKCGSLEKAIETFNELPSKGVSSWNCMIGGFATHGKGEAALELFKKMESESVQPDYITFVNVLSACAHSGLVEKGRYYFRYMVEAHGISPGTEHYGCMVDLLGRAGMFDEAVKLISQMPMEPDVGVMGALLGACKIHKNIDLGERIGKEVIELEPNNSGRYILLANIYATASKWDDVANIRKLMNDRGVKKTPGFSVIEVGSTVNEFIAGGRAHPESKEIYAKVDEMLAGIRSIGYVPETESVLHEIDEEDNENPLFYHSEKLAIGFGLLKSKPGDILRITKNLR >KVI04170 pep supercontig:CcrdV1:scaffold_657:14474:16360:-1 gene:Ccrd_017505 transcript:KVI04170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MAKVAIMRTLMGLVATAMLLDLVAAVDYTVGAPNGGWDQSTDLQSWATSQTFSVGDNIVFQYSSTHDVLEVSESDYNSCATGSPISTSRSSPTRIALTTAGSRYFICGISNHCSQGMKVQIDAAAASSPAPPQGTTPSAPSPPTDGPTGNSPPPPVTGETVDPPSSAITLKMAAGSILGFGFLVMMLLSL >KVI04179 pep supercontig:CcrdV1:scaffold_657:161196:162454:1 gene:Ccrd_017515 transcript:KVI04179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MKIQILTRAYALTIEVSTQETILEIKRKIEHFLGVPISSQTLSIYDWELLDGLDLEDYPIVTEGTKIDLTINDHTPSLETRRQMQITVKFSSRKHNIEIDITETVGSLKEKIHIIDGTPIKQMMLFFSGVEMDEDFRSLSDYGVGEFSEIVVFLKTMSRLVTEPPSRKLGFVVQTSSALFDSTCIPLEMKDSSTI >KVI04177 pep supercontig:CcrdV1:scaffold_657:164817:165970:1 gene:Ccrd_017517 transcript:KVI04177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVHWRSAAGHRTFGINHGWYPSRISLDLPLDPPHTEEQSSRESKLEFSEDEKNLITRMYKLVGDRWSLIAGRIPGRTAVEIKEYWTSRVSAND >KVI04184 pep supercontig:CcrdV1:scaffold_657:178548:182435:1 gene:Ccrd_017518 transcript:KVI04184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase MAASFSVPSMILEEEGKFQAEVAEVQAWWNSERFRHTRRPYSARDVVSLRGNLRQSYGSNELAKKLWRTLKAHQTNGTSSRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSQEERARTPYVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDIMGTETVLVARTDAVGATLIQSNIDTRDHQFILGATNPNLKGKGLATLLSEAMAAGKAGPELQALEDNWLSMARLKTFSDAVVDAIGAMNIPETERRRKLNEWMNSSSYEKCLSNEDGREIVARLGLTNFFWDWDLPRTREGFYRFKGSVEAAIVRGWAFANHADVIWMETASPDMVECTKFAHGVKTMQPETMLAYNLSPSFNWDASGMTDVQMMDFIPRIAKLGYVWQFITLAGFHADALIVDTFAKDFATRGMLAYVERIQREERNNGVDTLAHQKWSGANYYDRVLRTVQGGITSTAAMGKGVTEEQFKETWTRSGTTDMGDGIFTKAMDDELVLLPWFTDGENDDDGDNKKQHDADSHAFS >KVI04178 pep supercontig:CcrdV1:scaffold_657:145748:147975:-1 gene:Ccrd_017514 transcript:KVI04178 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MAYIPSLVLQLLLLALVFAIANGSDLKLGFYRKACPRAEAIVEKATADHVSVAPSLAAPLLRMHFHDCFVRIANISEIELILYDVLQGCDGSVLIDSTKDNRAEKDGPPNLSLRGYQVIDAAKTVLETECPGVVSCADILALVARDAIYQNSVYISSSFQIKGPYWAVPLGRRDGRVSIASESLTLPAPFDDITRLKEQFSAKGLRGHTIGTSHCSTIAARLYNFTGKGDTDPSLDSDYVPYLKSKCKPTDRTTLLEMDPGSFRSFDKNYYKNVLKRRGLFQSDAALLADKETSAYVKLQAESNGHTFLKDFEESIMKMGQIGVLTGEAGEIRRHCARIN >KVI04175 pep supercontig:CcrdV1:scaffold_657:47938:57021:-1 gene:Ccrd_017508 transcript:KVI04175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYSKEDFIQFIKRIGAYLSAKVSKVLNTQKCFVTPEICLQDIRSVWAIAGLAVAVIFTWRLFRAPGAPRRRQPKHQAPAPSSSGVNSHSDVNLFHSGVSSSSEDSRAQTVIDEFFQPVKPTLGQIVRQRLSDGRKVTCRLLGVILEESTPEELQNQATVRSSVLDVLLEITKFCDLYLMETVLDDESEVLFCSTDIGRTSFVRQLEPDWHIDSSREITTQLARFIKYQLHISTLKTERIASNVFSSSSLEQFFSG >KVI04185 pep supercontig:CcrdV1:scaffold_657:184987:191266:-1 gene:Ccrd_017519 transcript:KVI04185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin, N-terminal MNDLLSDSFVSDAREHPPRDMDIQMSTRLPRSNSDMGMESFNKQIQDIEKQVDKLSGLLKKLKDSNEESKSVTKASSMKAIRKRMEKDVDEVGKIARNVKTKIEAINKETINNLIETGNSEQIFQNAMKEMGRGQVLNTLEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVEAQGDLLDNIESQVTNAVDHVQSGTTALHTAKKLQKKSRKCMCIAIILLLVIIAIVVVSVIQPWKSGKGA >KVI04171 pep supercontig:CcrdV1:scaffold_657:8961:13077:1 gene:Ccrd_017504 transcript:KVI04171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEIDENPNWLFDYGLMEDISAAHFTVPPPPLPPPIVFDWPSPASLPAVSIEIESSFIDFESPKEAGPRKRLKSEPNNVFGSKAGREKLRRDRMNERHPGRAPKTDKTAILSDAIRMITQLRSESERLNESNAEKNELRDEKQRLKVEKEKLEQQVKSMNGQPSYLAHATAMRAAFAAQEQAAGNKLMPFVGYPSVAMWQFMPSSVVDTSQDHVLRPPVA >KVI04174 pep supercontig:CcrdV1:scaffold_657:71747:80809:-1 gene:Ccrd_017509 transcript:KVI04174 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS, C-terminal MFGGHVTVPVNSPHLRKSGSRQLFSDLGSSEEAASSHLIESEMKGVGGTPLAAAAMLPSPVLLWRLKVMLFFLWGFSCCKIGWDSVMRMSLDLRDLFLYEAFLYYNPFLLVTAAVWFWGANLWVFAQANVNYSKIFDLDQNHLTHKEIWKAIAFADFFVADILTSMSKVFSDLERSVCRMVHKQVATIAWFEADSVCGSHAVAIPIILVLPYIFRFFQCLRQYKDTREKTSLLNALKYSTAVPVIFLSALKYHVFPDSWINFYRPLWLLSSVVNSLYSFYWDVTRDWDLRFKKVIQLFDLESQTVYLWVIGSNLILRCTWTYKLSAHLRHNHLTVFAITALEIFRRFQWAFFRVENEWNKMNLKQNLQMGDISGEEEKLLNVNNHNV >KVH91645 pep supercontig:CcrdV1:scaffold_6570:4706:6742:1 gene:Ccrd_006331 transcript:KVH91645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MGSVGDDGIANLMSARKSLKINLEKSRTLELSIKKAGPRLEEINQRLPSLEAAVRPIRAQRDALDAVGGHINRAVVPAAAVLKVFDAIHGLEKSLSDPQSDLQGYLSVLKNLQEALKFLSENCGMAIQWLDDIVEYLEDHNVADGRYTSSLKKALKYLRELQSKEEKGRLDGGLLEAALDRLEVEFRRLLTENSIPLPMSSSPLKDEQACIAPSPLPVSVIQKLQAILGSLIANNRLEKCKSIYVDVRSSNVRASLQALNLDYLEISVSEFNDVQSIEGYIAKWSKHLEFAVKHLFEAEYKLCNDVFERLGLDVWRGCFANIAAQAGMLAFLQFGKTVTESKKDPIKLLKLLDIFASLNRLRLDFNRLFGGAACAEIQNLTRDLIKRVIEGASEIFWELLVQVELQRQAPPPPDGSVPRLVSFITDYCNRLVGNDYKPILNQVLAIERSWKHEKFQESLLHDELLKLIQAIELNLDSWSKAYNDTILSYVFLMNNHWHLYKHLKGTKIGALLGDQWLREHQDYTEYYSTIFLRESWAKLPSHLSREGLILFSGGRATARDLVKKRLKAFNEAFDNIYKKHSSWIILEKDLREKTCQLIIQAIVPVYRSYMQNYGPLVEQDSSASKYSKFTAQSLEKMLSSLFFPKPVRHGSFKVRQNSSKFSNGVEDQYSASPTPTVA >KVH96597 pep supercontig:CcrdV1:scaffold_658:133106:140723:-1 gene:Ccrd_001307 transcript:KVH96597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MKKLEAEDFVNLVVALKVSPPTWPSLVAGVFVFISLTLSIYLLFEHLSAYKNPEEQKFLFGIILMAPCYAVESFMSLLNPSISVDIGILRDCYEAFAMYCFGRYLVACLGGENRTIEFLEREGRAGSKTPLLEQSSEHGTVKHLFPMNLFLKPWRLGQRVYLIIKIGIVQYMIIKAVTAVLAVILEAFDVYCEGDFKWGCGYPYMAVVLNFSQSWALYCLVMFYEITADELAHIHPLAKFLTFKSIVFLTWWQGVAIALLYSFGLVRSPIAQTLQFKSSIQDFIICIEMGIASVVHLYVFPSKPYELMGDLFRGNVSVLGDYASIDCPIDPDEVRDSERPTKLRLPQPDNDVKSKTAIRESVRDVFVGGGEYIVNDLRFTMTQAVEPVEKGFTRFNEKLHKISENIKSKKGRRSKDDSCIIKTSPTKRVIRGIDDPLLNGSFSDSGATKKKRHRRKSGYTSGESGGESSSSDQMYQIHGRRWVTKE >KVH96605 pep supercontig:CcrdV1:scaffold_658:159162:160376:-1 gene:Ccrd_001310 transcript:KVH96605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLNEYPEAISNKKDVQIWNNAAFDNGDGLNSSNLIKPSSSWSPLKKSNQPSDSVDSSFSSKENQSPSGFSKSAVSVSARSTVLVHQSKPLKNLPIKIVVENLEEKTDEEIEIENEISRLYARLESLRLKRADQNAKNLEKQGRTVDAAKIIKNRDSGVKKIEESGFSRTKIQRRGFSLGPNEIMSATNPKSKQLGATPIQSTQNRRKSCFWKLEDIEEEKMGVSRGKNLSLRQAVTTGGAKKGMKKDDSVLSSIQPKKLFGEQSVPAKKPLKPGRVIASRYNQATVTSLMRKKSLTDNNVDRKSRGTEGRVKRRWEIPSEIVIPKRLDLDSNENENESEGSIDVVMPDVLPRIRAVRYVDETGRDSGPAKRVAELVGKKSYFDEEEAVCQRLSFEEEEEEEE >KVH96596 pep supercontig:CcrdV1:scaffold_658:75766:77536:-1 gene:Ccrd_001306 transcript:KVH96596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MEFDLENPFTKDLQHSLFAMESDHLPLSNSDLDSDHDIRRQIISFISSFSQKLHHPFVSYLAINYLHRFLASHSIPGEKPWILKLVAVSCVSLAFKMIGADSGLSDVQKDGELMFDFRMVERMEFLVLGALQWRMRSITPFAFIRFFVSFFKLKATSLHSDDADHHHHQVLKDRATEIIYKAQIESKLLEFKPSIVAASALLCASHELYPLQFPFFKNSISSCSYVNEDDFSKCYSEIQELVLDGYESMLSCKTPINVLDLDYSSSEDTHNKTAPSAEEKKGLKRLKISTFSKSPFQLS >KVH96594 pep supercontig:CcrdV1:scaffold_658:148408:150904:1 gene:Ccrd_001308 transcript:KVH96594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 MASERKQANPMREIKVQKLVLNISVGESGDRLTRAAKVFNLYKSFQTGFDVYSVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQQIGA >KVH96598 pep supercontig:CcrdV1:scaffold_658:196082:202235:-1 gene:Ccrd_001317 transcript:KVH96598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MESEDSNPKALGLYMAESLNAYKILYCHMVEPRMKELGEAVECPHSLVPMRKAFKGTFISAGGYDMEDGNKALSENRTDLVAYGRWFLANPDLPKRFELKAPLNQLKMAAKQGEKQVQEDGKTPLLTPXKMGNFELSHRVVLAPLTRQRSWGNVPQPHAILYYSQRTTKGGLLIAEATXVSDTAQGVSNTGFQPNGQAPISSSDKGLTPKLRSNGIDVAEFSTPRKLTTEEIPLVVNDFRLAARNAIEAVI >KVH96604 pep supercontig:CcrdV1:scaffold_658:163429:167147:-1 gene:Ccrd_001311 transcript:KVH96604 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF641, plant MQLGGAKDSQISNQKVHPQPMEEAANQNPEAVEALVSKIFTNISSLKSAYIRLQSAHTPYDPDKIQAADKLVISELKNLSELKHFYRENNPRPTYISPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIHNKDSEILQLQHHIQEATLKRAKLEKNLKLRGLSSSSKESEGSVDDNEPFSTDLTPELFKSAVEVASKAIHDFSKPLINMMKAAGWDLDAAANSIEPDIVYAKRAHKKYAFEYHICQKMFSGFQQENFGLKSETDASSSKESFFQQYLASREMDPLDSVGQNPDSDFGKFCRSKYILVVHPKMEASFFGNLDHRNYIIGGGHPRTPFYQAFLKLAKAIWLLHRLAHSFDPVVKVFQVSKGSEFSEVYMDSVVKNFVVVESGEKPKVGLMVMPGFGIGGSVIQCRVYLTGIKEIE >KVH96599 pep supercontig:CcrdV1:scaffold_658:195341:195910:1 gene:Ccrd_001316 transcript:KVH96599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MGKFCMQIRLCSTLLLALSFVHCIDQDPVAVEDWFKGLATMQQKLTKLHFYFHDVVSGNSPTAMTVAKPSGYTSLTGFGNMVMADDVLTAGPESNSTVVGRAQGMYASASMDDLGFLMTMNLAFTDGDVNGSTLSLFGRNPVLHEYREMSIVGGSGVFRMARGIATAKTYSSNLLGDAIVEYHIMVSHY >KVH96595 pep supercontig:CcrdV1:scaffold_658:153686:156569:-1 gene:Ccrd_001309 transcript:KVH96595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLCASNRLKRAVVPRWKNPNLLCISSFLSSPCNYRTIDRGGLVKTLHLSISYFLNTKYISSNPVKVQWMCPLYFTPSICTFRSRSLVTEASVEEEEVAYQVLGEIVSELQKCPSSVMESCTNHISKLCRKKKLRAAAKLLQLLRDEHAINSLPAYNLLLAVACEENDTGIVSLIFKDMLVNHLLMNSTTYFNVAKAISKSNDLTLVLIFVKEILELICTGSVTVVNRIIYAFADCGHVHNAILVFDHMKSLKCEPDLITYNTMLGIFGKTGQVDEMLNVFASMKKVNIAPDIVSYNTLLNSLRKVGRFDFCVVLTVEMGKVGLQPDLRTYTALIECFLRSGNIEESLRLFQDMKRGHICPSIYIYRSLISNLNRLGKSELALKFLEEMNECEVEIGGRDAIQCYGSAAIPISLK >KVH96600 pep supercontig:CcrdV1:scaffold_658:190995:191270:1 gene:Ccrd_001315 transcript:KVH96600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function wound-induced MSHLNRVWMTAGVAVVNGHTDQGHKLKSGMKSFQQGKKAFGSSVVVDRTELRPFLGVLGSDVGRFVGGDERRKQSDDSIRQVMYMNCWGPS >KVH96603 pep supercontig:CcrdV1:scaffold_658:172571:174869:-1 gene:Ccrd_001312 transcript:KVH96603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKGLNFYISRLQTCVSIDQLRQLHAQILKTRVSHNVFLLTRVAHTYLKFGCVNVGERFVVNVIRNPPLFLWNETIKCYARKGCYRESVDLYYEMVRSGYTPNAFTFTFVLPACAGLKSVSDAWRIHDDVLLFGCEYNEFVATALIDVYGKCGELGFARQLFNDLPVKKTASCNALMAGFVLDEKFNDALSLYNEMKKLGITADSMTLVSVLQSCASLGALQQGRWVHDQVIRSQMGINIYLGAALINMYARCGSIEEARRIFEGMPEKDIVAWTATICGCGMHGLAHLSESLFLRMLNDGIRPDAVTFVGVLAGFSHNGMVEKGWYYFNKMSDEFGIKPVLEHYSCMVDMLGRAGQLNEAEKLVRDMAVKPDSKIWGGLLNACRIHKNVEMAERVVGEILSLDPTNAGWHVLMSNIYATAGKWDQVVKMRRIMKDRKLEKPPGWSSIEVAGQIHTFLVSDQSHPRSREIYRYLKDIKEKMRAEGYVPETGVVFEKVNEEVKEEMLSCHSERLAIAFGILSTSDGDVLRVMKNLRVCVDCHNVIKFISQFAHREIIVRDAKRFHHFKEGSCSCGDYWSSVNATMYSSVALDATGSNQLKICGKCLRLYISICTACWYHQPNWNAFYICIPTLMEQL >KVH96601 pep supercontig:CcrdV1:scaffold_658:183786:184199:-1 gene:Ccrd_001314 transcript:KVH96601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MAYNKVSIFSSFVLLLSFLLLICSGERHAKQSTLVCNTIYGTRVGDTCSSIIEAFQLTATSFGAINPNLNCNKVFVGEWLCIDGSIE >KVH96602 pep supercontig:CcrdV1:scaffold_658:181322:181956:-1 gene:Ccrd_001313 transcript:KVH96602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MAYNKASMLLSCILLLSFALLISVGESKVSFHKSLKRQPVLVCNKIYGTQAGDTCFSIIQAFHLTTTAFSTINPNLNCDKVFVGEWLCVNGFSI >KVG67535 pep supercontig:CcrdV1:scaffold_6582:8237:37118:1 gene:Ccrd_026276 transcript:KVG67535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytolysin/lectin MAATAVRVFGKPITHGTRTQRALAAKNYQNADGKLDQVLRVSNQSLKAAAGVGNAATVSTTFGRVYNATGETVTYVTAYDWEGNVSGTYPVNIQNGQWAVFEHAGTRGPNRQGSVGALVYGIKDTSEFMISWNNPWKNSRGNSNTAYCEMNDPGYFENCDWDEILEKLMASGTESQTSLEATAVRVFGKPITHGTRTQRALAAKNYQNADGKLDQVLRVSNQSLKAAAXVGNAATLSTTFGRVYNATGETQSDYRIHDFIEYLPRIESLKESQNSDIXPCHPYPKPHLQSFLLLQYQLNTSMAATAVRVFGKPITHGTRTQRALAAKNNQNADGKLDQALQLSNQFRKVAAGGGNVATVNTTLGMVYNATGETVTYVTSHDWEGNASRGYKVIILNGQWGVFEHDGNRQGSVGAVVYGIKDCSEFMISWNNPWKNCGGNSNTAYCEMNDPGYFENCDWDEIHEKLMASGTESQTSWRGYSTKVSIQAGGNIASVTAFFYLDV >KVI11031 pep supercontig:CcrdV1:scaffold_6587:2618:11955:1 gene:Ccrd_010562 transcript:KVI11031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane transporter, Tim44-related/Ribosomal protein L45 MATRKLVRDIFFSKQPLYRKVLAYKQVSTAAPRLRLLGAANGNSTSREFSVFNEFSKKIKGEVNRNQEFQQSVKEFKEKTEELKGVKEDLKVRTKQKTEQLYKHVDGAWTEAEATAKKVSENLKEKVSAAKEEVKETLGIGKQESSESSSSSSNEGSAGKDDKDHKQSADGEYKKQQSGFGDTTETIFSKFRSGVSSVFPKVSSSFQTAKDAKVLDLAKKGYDIVKDELSGSSSKRKRAKAASAASQANVERSTRTDIAVVPVKQSRFNKKWEAFKAKMQGHPVFKRVSGFSEPVVTKSQEIAEDMRERWETSDHPVVHKIQDINESVFRETDAAMSFKEIRRRDPYFSLPDFVSDVQEIVKPVLKAYTKGETEVLEKYCSPEIIERCKAEHRVCEMQGTFYDNKILHISEVDVRETKMMGDSPLIIVGFQTQQVYCVRDRLGEITEGGKDTIHTVHYLWAMQLAEAEEGSEEAHMPLWKLRDMQQVGIRALI >KVI11032 pep supercontig:CcrdV1:scaffold_6587:14084:22790:-1 gene:Ccrd_010563 transcript:KVI11032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MDFPLLGISSTSISTSIFDRKICAVSSSKQAGRQGLDQFGDLTLKTMPEEKALTITNGSSNKKIPHVLTVAGSDSGAGAGIQADLKACAARGVYCSTVITAVTAQNTLGVQDVSILPEDSVAEQLKSVLSDMRVDVVKTGMLPSIGMVKILHDSLKQFPVQALVVDPVMVSTSGDVLAGPSILDTFRHDLLPMADIVTPNLKEASALLGGLQLKTVTDMRSAAKSLHNMGPRNVLVKGGDLPSSSDAIDVFFDGKDFYELRSTRIQTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKRYVETALMYSKSITIGNGPQGPFDHGFKLKRNAGNSSRLQLLDPSDLFLYAVTDSGMNKKWGRSITDAVKAAVEGGATIVQLREKDAETRDFLEAAKSCLEICRIHNVPLLINDRIDIAMACDADGVHVGQSDMPVNTVRSLLGPEKIIGVSCKTPEHALKAWADGADYIGSGGVFPTNTKANNRTIGLDGLKDVCLASKLPVVAIGGINLSNARSVMELGVANLEGVAVVSALFDRECVVAETRKLHGLLTETVANMGSSDTN >KVI08220 pep supercontig:CcrdV1:scaffold_659:99213:120918:1 gene:Ccrd_013410 transcript:KVI08220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component Sec10-like protein MVVACIRIFMLVINESVSSTSIPIAINVSLSRLRRSPFPRSLSAISVTMKSHQKSIFNVKHHITSSLVQWSMKETREEITGDKVSRTSSFSSELLVLDIENFKGDFSFDALFGKSVNGLLPSFQDEDADSMEGMINISTSDALPSGRSTHMLSSPLFPKVDTLLVIFKDSCTQLAELRKQIDGRLQKLKKDVAAQDSKHRKTLGELEKGVDVIFNSFARLDSRISSVGQTAAKIGDHLQNADSQREAASQTMDLIKYLIEFNSDPGDQMKLSSLFSDDKRVAEAASIAQKLRSFAEEDIGRHGATMQSLPGNATASKGLEVAVTNLQEYCNELENKLLSRFDNATQRRELTNMAECAKILSQFNRGTSAMQRYVALRPMFDVEVMNEDSCLVLGDQDSIPNPADVSLELSKLYRQITDTVRKESATIRAVFPFPNDVMSILVQRIMEDRVPKLLEKLLVKPSLTNPPPMKQGGLLLYLRMLTVGYEKTQELAKDLRGVGCGDLDVEGLTEAMFLEHKDVYLECEQASLRQLYKAKMEELLAEAQLSADSIGRSKGAPISSSNQQISVTVVTEFVSWNEEAISRCNLFSPQPASLAANAKAVFTCLLDHIRQYTTERLEKARDVLREAASQRERFVLGRKAAAAAPAAEAAAAAGENSFRSFMVSLQACGSSVAIIQQYFANSISRLLLPVDGAHAATCEEMAAAMSSAEGSACKGLQQCIDTVIAEVSNQTLTSIILFKWNCHSHIYIICIKQVERLLSTEQKATDYKSPDDGLMGDNRPTVACTRFVQTNKLVVESAELVVAYLSRVLESAFTALEGLNKQSFLTELGNRMHKALTTHWLKFAFNASTVSLVFSSMLFYPSVCSGGLRLKRDITEYGDFLRNFNTPTVDEKFELLSIMANIFIVAPESLSSLIEGTPSIKKDAQRFVQLRDDYRSARLASKLSSVWA >KVI08219 pep supercontig:CcrdV1:scaffold_659:156922:157785:1 gene:Ccrd_013411 transcript:KVI08219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MVLAYNMTPCYHQVGAPARVGLVAPVDVVVPPGNTSLVPSQTSFFQVLHIPTKTNKGTVEIITPVELIKKGDKVVSSEAALLAKLGIRLFSYGLVVLTVYDNGSVFSLEVLDLTEDDLIEKFALGVSMVTSLALAIHYLTIAAAPHMLINAYKNALAIVVETDYSFPLPDKVKEYLEDPSKFVVAALVAASSGGGPPAAAASAPAEEKKEEPAKESDNDMGFSLFD >KVI03132 pep supercontig:CcrdV1:scaffold_6592:17407:19854:1 gene:Ccrd_018574 transcript:KVI03132 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MYYKHRPELIAFIEESVRAYRALAERYDKLSTDLQKANTTIASVFPDQLTYDTDYEDDDNNNGLRNPKNIGSDPPKAPKLPEGKIMKKMQAKKVTKDDHLDLGRLGLTKNEAIEEIDKLQKDILSMQTMKEFTKSSYENGVAKLWEIEKTIIEMQQRVCSLQDEFKVAKSIEDDDARVIMAEAALKSCDETLAKLQETQKKSTEAAGLEHERIQNMKQKLESLKHTNDAEKEEDRKLEKELWNSVESEPEIEENPSKKPLTVTELAETVDKLVNKVISLESLVSSQTVLIDRLKTESDDLQIQIRNLEDDKANLIDGTNNLGKTLKEMEKKLNGVQDLDQKIENQNNSIKMQFSQARCSLDHLSENLHNIKPDEDEPAKQMIMELEGESESQARLEGEDQMVIKIKDDDLKPISQVRMKGEDQMVMKIKDDDLKPESHARIEGEDQMVTKIKDDDLKPESQVRIDCEDQMVTNIKDNPIKPKETGISDTEERDETNWQELLLGGLDDKEKVLLQEYTSILRKYKAAKKELAEEEQKRQENILETNLQLRNLQTLLAKRDTEIQQIKQNLKLVQEDGVEELSIFNNKSEPVSEIEEKLRTDIDVILDENLDFWLRFSTAFHQVQKFKKQVEDLQQEITKAKTKPKSNISTSSKDIRSDINPIYKHLKEIHNELMIFLENIASLKNELQRRCSSLSNIQEEITTALKEGMEEEEIKFSTHQAAKFQGEVLNMQQENCKVNEELEAGLDHVTAMKVETEETLRRLEEEFGLLDHSNGERQHRPHVPLRSFIFGVKSKKQKPSILACINPHKKFAASDS >KVG67135 pep supercontig:CcrdV1:scaffold_6593:23539:26676:1 gene:Ccrd_026277 transcript:KVG67135 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL-like protein MATICVPLMYNFNQVVTYHFFHWKKGTPFADDQGIYNRLTWWEQIDSGKQLTXNRKFLTVVLVVLVDVPTASNVYFTLGSISQTLDIKQFLTIHP >KVH99332 pep supercontig:CcrdV1:scaffold_6598:19183:20819:-1 gene:Ccrd_022437 transcript:KVH99332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MATPVEPPNGIKSEGKHYFSMWQALFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIHNAFDNRIDALRTLRELKLLRHLRHENVIALKDVMVPIHRRSFKDVYLYLHSANILHRDLKPGNLLINANCDLKICDFGLARTRSGKDQFMTEYVVTRWYRAPELLLCCDNYGTSIDMLVFDPSKRISVTEALHHPYMSSLYDPRCDPPINVPLDMDIDEDLGEDMIREMMWKEMLHYHPEVAATANA >KVG66831 pep supercontig:CcrdV1:scaffold_6599:14280:20169:1 gene:Ccrd_026278 transcript:KVG66831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MMHLKETELCLGLPGGGGHNQEEPLKITGKRGFSETTHLKLNFVQPNESSSSSSDVSKDTTTTLYVKSPPAKAQVVGWPPVRNHRKNVIGGKRKTEEARLVKVSMDGAPYLRKVDLKMYKSYQQLSDALANMFCSFTTGNDGRQGMMTELMKESRLMDLLNSSEYVPSYEDKDGDWMLVGDVPWQMFVDSCKRLRIMKGSDAIGLAPRAMEKCRNS >KVI10758 pep supercontig:CcrdV1:scaffold_66:489659:490420:1 gene:Ccrd_010846 transcript:KVI10758 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MKFNEISHFSHPQHRLKPCYTEVPFKCDGCKEVGIGSNYKCTTCNYDLHVHCALPSSSISHPFYTKCSFQFLSRPPGPIARYCNACEKVVSGFVYHCSLCGFDLHPCCAKLPTMLDDGEVELYLYRKVGSACHRCGRKGRSWSYRSRCKKYNLHVACVKEMLVESWHELYFGGVGVKGNFSCDLYGQNRKVETRIPSLKGTLESYHQNHHHQSKGKVKKCCEMAGLAMQFVISAVLGDPTTLIAGVVGAFMSK >KVI10766 pep supercontig:CcrdV1:scaffold_66:8094:13284:-1 gene:Ccrd_010815 transcript:KVI10766 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MDFYKQTLVEQDAEMKQNLASDNNNSKKKRKRKRTPKEPWEPKSKDKQIMSDKPKKCPGKGKRTRVCDIYNDAEDQYMCLERAAFLLERLDAESPKFAKCMLPSNVLYSFWLILPKKFCVMHLPEHDTTVTLVDETGKEFKTNYLKVRHGLSAGWRGFSIAQKLLQGDILFFHLVEPCKLQVNIVRRYGLETIEAAVCLMEMHPRVKKTRTSNYFDKAGEPKKEKLKHKEAKKEDEGKLKNQTPPMLIQTKKGKRTRRSPKKFIARLSLDFCERNVEEDGERVSCVDQCENSSDGLSSEVVQGSTLEMTNQSQPDEVGCYALNLACHEGINCHSTAERIYHSSTVTT >KVI10760 pep supercontig:CcrdV1:scaffold_66:515325:515789:1 gene:Ccrd_010848 transcript:KVI10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGICASVQYRRNQGRLLISNGPSSTAKVIHSGDGRLQEFRQPIRASNVISDQSNAFFLCNCETMFINCHVPHMAADEELQPGQIYFLMPISKSNKPFSLQELCSLAITASSALDKSSETRRNGGAVSFSRRQKSNRNAKANHEANFQLALKKLG >KVI10744 pep supercontig:CcrdV1:scaffold_66:292922:297937:1 gene:Ccrd_010833 transcript:KVI10744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDRSALTVGPGMDLPIMHDSDRYELVKDIGSGNFGVARLMRDKQTNELVAVKYIERGEKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIQRILNVQYSIPSYVHISAECRHLISRIFVSDPAKRITMDEIRSHEWFLRKLPAELMKDNALEQFGGADEPSQSVDEIMQIIAEATIPAAGANNLNQYLTGSLDIDDDMDEDLESDPDLDIDSSGEIVYAM >KVI10745 pep supercontig:CcrdV1:scaffold_66:305947:319656:1 gene:Ccrd_010834 transcript:KVI10745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISQAYYKDDITKCITSQIPFPIIAISSHQRDFNAGQKNSPEDSSTSLPPLVSGILRLKTQFTGSNHRMMRDFKFLRRNSGKNLNLEEPENVPVNVNLRESSVARMGTDSHSRPPLNTIQEPLQNPSKPDQESGFKSSKIYRTPTKAKVRYPDSGMPMRTPEKQGVLARNRNGWGQDSRDEGRIGNVNTPRSCRTLGRTSSAFAEPNSTQSTPTKSVGKPPNPGLVYGGNSRPPISGGVRTCNFTALSKGIPVTCNTNTNVNAIEVPHFDLKEDPSFWMDHNVQVLIRIRPLNGMEIGTQGYNRCLKQESAQCLTWVGHPETRFTFDHVACETINQETLFKMVGLPMVENCLSGYNSCMFAYGQTGSGKTHTMLGEINDLEVKPSPYRGMTPRIFEFLFARIIAEEESRRDERLTYCCKCSFLEIYNEQITDLLDPSSTNLQLREDVKKGVYVENLTEFEVNTVGDILKLLSQGSANRRVAATNMNRESSRSHSVFTCVIESRWEKDSTSNLRFARLNLVDLAGSERQKSSGAEGERLKEAASINKSLSTLGHVIMVLVDGANARTRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSISSATETLNTLKFAQRAKLIQNNEELAILKRYNISKSLTFSSKDAEEARQEHENCSNASSLEMNMLKFDNMLGDEGKVLRVSSKQLKSLETSLNGALRREQSTESSIKQLEAEIEQLNCLVRQREEENRCTKMMLKFREDKIQRMESLLAGSIPADSYLLEENNTLSEEIRILRAKVDRNPEVTRFAVENIRLLEQLRRFQDFYEEGEREMLMTEVSELRDQLVLFLDENSKEDNHMNSSMEKEAAEDQKESDSLELELKKSQEELDKCRGNLNSCLEKNSKLCREIVELNALLEMQNSVVHDQDGGIEVIKEPILESSSIGDEASHTVQKMDDSLADTKEVLDLQLELDILKIILKEERLTHGETVEKALSLSRDLKLTEEKFLLITKQCEYLREELQEAKSVIEALEIQQLVSINELEDLKNSNKQYAEILREKELKIIYLNDQILSQGSRDLPPSSNDLENEDSPLQGKLNKVQASLSKAKRLNMWYQADRACHASNEEEMDEVRRQVESETTEVIVCLQEELVSFQQQMHESSLKERETQRESTLLQEKLGVMTECNKALREKCEEKDRRLNSLSEEIEEVLTAGHKVLDDASNQLGPGKRTWVSDQLQVIARNISEKELRIEELNSYLEDAKSRGNEMECMLRSLRGATLVMSEAHQQDCIKKDQEIHQLLSQLSEKSSIITQLDDTVNQTEEQNRAMSVCATAAFVIVNRFSEIKDGCLEALNQKEAELDKLKGSLTANESLIHSQAVAISDAAISDAEKQLGSLKEELETAGTGIGSSQGVNKDLNIDEDVKLVIPSCRQKTLVGCRTSMGESHSDDVLLKKELESTLESLEGVKTEVADYHSEKEVQFPKKQNPRSIEIILPQVLDLQAVVDNFQEQVGTAMVSLDCRLRTVGELLQQSNKSYFQKRKIYELELIDAKLNAAEKAVESSCYLEKFVEIQHDINEADFMIYELVTANEAMKREVGELRGKLLADIKNSFDRISRKENEAGEISIKVASFEKKMLDLQLLEEAMLEQSSHMGHEISMLMKEMDVSHQKQQVINDKDEKLKDNLMMDLGAKEIELFIMSSRLEQMALENNDLEKEKISMSVVLEKFKEDMIISYVDMQLKDWILLEKDAESCLLQKEIKMQEEALKISYNRSSALEQMASEANVLEKEKSSMSMVLEKVKEDMIISYVDLQLKDWILLEKDAEVCLLQKEVRMQEEALKISCNHSSALDKMASENNNLEGKMISMSTVLEKFKEDMIISYVDMHLKDWILLEKDAEIGLMQKEVKMQEEALKISYNHSSALEQMASETIDLKEEKISMSMVFEKLKEDMIILHVDMQLKDWILLEKDAEVGLLQKEVQIQEEEALKIYCDRSSVLDKMASENKDLEKEKISMSVVLEKFKEDIIISYLDMQFKDCILLEKDAEVGLLQKEVEMLEEALKIFCNRSSALEQMASETNDLEKEKIKEDMINLYVDMQLQVKMEEEAHKEENETLVVIINDQKDKIEEFEACIEALEYELCENVVKGQVLEAQLKEKVALISTLEADFSRDRESIKSLSSEIHLLMENVEDALKAKESSKEQLSEMMKAKESMEIEILELETALDKNNTLIESLKGDLKTVTCERSDLHIELLRARKEVEMAQALAEKHEAIAMKAKQTGEISIRYAEEKEEESKLYEKSVEELECTVNVLENQVNMVKGEAERQRLQREELEIELHALKQQIHTVKNSDSDMRRHLDDEKEKNLQDVLQRIKILEKEIASKDAEFKALEAMADQVKPDGTSRDLSNSPSKRLERNGSRSRGSGSPFKCIGLGLGQQLKSERDEELIAARVRIEELEALAASRQKEIFTINAKLAMSGSMTHDVLRDLLGIKSDMNTYSSIVDDNQIEEVTGKIRIHDADSQVKEQEVIKLKQELNEFVVERQRWREEIERKHVEMEQICLQDQLLATENEKFKKKVIDLEAEIKKLSGLQNIQQRIHHHAKIKEENNSLKAQIDEISHKLRRSEGIVTRVKEELANLRAAANGKHPC >KVI10772 pep supercontig:CcrdV1:scaffold_66:182073:194076:-1 gene:Ccrd_010826 transcript:KVI10772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MALMYTNMFILITTTVAILTIGSSNANFQKGPQNWNFGFNHTNEPFRHENPVQSSRRIIVGGSDNWRFGFNYTEWARTNAPFFFNDTLVFKFDPPSDSNAHPHSIYLLPNLWSFLRCDLRWAKRVANTSQGRGEGFEFVLNKWKPYYFACGESNGFHCQSGMKFFKAQTSKMALIYTKMFIIITITAAILATGSSNADFRKGPGNWNFGFNHTNDPFRHTNPIQNDRRIIVGGSENWHFGFNYTEWARTNAPFFFNDTLGTCDLRWAKRVANTSQGGGEGFEFVLNKWKPYYFACGESNGFHCQSGMKFFMLILISIIAAILAIGSSNANFQKGSQNWRFGFNHTNEPFRHKNLVQGSRRIIVGGSDNWRFGFNYTEWARTNAPFFFNDTLVNVEIDGDTVFKFDPPSDSNAHPHSIYLLPNLWSFLRCDLRWAKRVANTSQGGGEGFEFVLNKWKPYYFACGESNGFHCQSGMKFFVMPLFRWY >KVI10774 pep supercontig:CcrdV1:scaffold_66:156605:157643:-1 gene:Ccrd_010824 transcript:KVI10774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQHVSWCALLETIQVIEIIGTPKKWLFMVVDQGLTKELSKYHWVKSLITKRDIVYESLTYSSELYVSARLIWKNDRCRSKNKQFDRKDLSNDFSRSFYSKWNI >KVI10753 pep supercontig:CcrdV1:scaffold_66:449868:451061:1 gene:Ccrd_010843 transcript:KVI10753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MIILSPAPSPSPSPSPSPSSAPSLSWFPNSPSKPPVRFSPPLIAMVVIIATAFITITYSRAISRRFLRLRRSWRQWRRRRRLLRSYVPSSVGNGDIESPAYSGEDSYDPTYGYQLFSPYGLDDAVIKTIPLSIHTRKSSVHECAVCLLEFEDNDYVRTLPVCFHAFHVDCIDIWLRCHANCPLCRASVFRPESPFVPVMSARIRPNFEDVMIESAIIEPSPEPEREVQVDSTTTSTGEITQERSPTRNSSEERFHRRDFLLKRSYSFGFERNIGSERLVIEPATASPWRYRRGGGGGGGSFWSKRPSPFSSLTKTRVFSFRYYRGMKSPFFRRRGGSFLPLSEDGGGGSSSRRRKSFASPIFMRQSGSGVNSGMFSSSRLRSGDPEALLSPERYNRR >KVI10759 pep supercontig:CcrdV1:scaffold_66:528971:530914:-1 gene:Ccrd_010849 transcript:KVI10759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGNIVEPLCLSVLKRCKNLRSVKQVHAHIVKTGISDAFVTGNLILHCAVLISDALHYAHSLLHHFPNPDAFMYNTLIRGFSDSDHPYHSLTTFIDMRRNSDNSPDSFSFAFVLKAAANLRSYTTGIQLHSQAIFYGLDTHLYVGTTLVSMYAECGWISHAGKVFDKMFEPNIVAWNAMITAYLRCCDVRGAEKLYKQMPVRDLISSNIMLAGYMKFGELQFAKKLFFHEMRLKDDVSWSTMIVGFSHNGCFDEAFDLFVESQRLGLRSNEVSLTGILSVCAQAGAFEFGKVLHGYIEKSGLVWITSVNNALLDTYSKCGNINMAQLVFKRMPGKKSIVSWTTMVAGLAMQGYGEVALKVFHQMEESGIKPDGITFISVLYACSHAGLIDHGDRYFSMMKNHYGIEPTFEHYGCMVDLYGRAGDLQKAYNFITQMPIKPNAVIWRTLLGACSIHGNVDLAEEVQKTLSELDPNDSGDHVLLSNIYAVAGKWKDVLTVRKSMHCQRLTKTPGWSMIEVDKVMYSFVAGEKQNEVTDEAYKKLGEIMLRLRVDGGYVAEVNGSVLHDIEEEEKEDAVATHSEKLALAFGMSRLCDGGVIRIVKNLRVCKDCHTVMKLVSDIFRLEIVLRDRSRFHCFKDGCCSCRDYW >KVI10755 pep supercontig:CcrdV1:scaffold_66:478428:478822:-1 gene:Ccrd_010845 transcript:KVI10755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGICKIGDLDSAMLLFRDMCRKGLMPTGCTFDVLIKELCEKNEVFEALKGESYELLIKGLCNEGLMEGMKLQVEMVGRRFELNSKGIKKIADKLKGFVWWGWRFLECSKPLEDKMVA >KVI10762 pep supercontig:CcrdV1:scaffold_66:82150:95277:-1 gene:Ccrd_010819 transcript:KVI10762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MSSVFGCGKIITSDEYLLQIDGFLRVHKDGRCERFVGNKTVAAGIDPSTGVQSKDVVISPETNLSIRLYLPKTTSPNQKLPVLIYYHGGGFVVESVKSPTYHPTLNFLAAKSGVIVVSVDYRLGPEYPLPIGYNDSWEAIKWVATHGKEGGPEAWLNSADLQKVFLAGDSAGANIAHNMAIRFGSDPIDAIKLEGIILLHPFFGGEDPIGSECGKHKQLKAFTDQFWKLANPSGSGLDDPLFNPEKNPNLGGLGSSKILVCVAEKDSLRDRGLNYRELMEKSGWRGELEMMESKEDHVFFLFNPSCENACTLHERVDDIIKDDIIKEISGIVRVYKNGRVQKLVGTDVIPAGLDPSSGVQSKDVLFSPNKTLSARLYIPKSTNSSTTKLPLLIYYHGGGFIIETAASPIYHNFLNLIASESNVVIVSVDYRTAPEHPVPTCYQDSWEAIKWVAQHVNGNGPEQWLNNYSDLGHVFFSGDSAGANIAHHMGIRVGSESIKLNLQGIILLHPYFWGKDRIGCESDKHPWTKAVRDLWLFVHPDTSGLDDPLINPEMDPKVADLGCSRVLVCVGEKDILKDRGWNYRTILKENGWKGVTEMWEDKGEDHVFFLYNPRAQNACTLRNRICKASKIG >KVI10769 pep supercontig:CcrdV1:scaffold_66:117631:121970:1 gene:Ccrd_010821 transcript:KVI10769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait ETNLVCLLHQVSHLLILPAPQSNNEQLHGGQTQTNRGLIHRNRFKMQQTNGNGTGNGTGNGNSTGNGAGSDLTQQQQQQQQQQWLAMQQYQQQQWLAMQQYPAAAAASMAMHHPAAMMYQQPPPXYMPYHYQQQXXQQQXXQXQXXNQIQSSSEDNKTIWVGDLQHWMDETYLQSCFSQIGEVQSIKLIRNKQTGQSERYGFIEFLSHEAAEKVLQSYNGTMMPSTDQAFRLNWASFSTGEKRGDTGSDLSIFVGDLAPDVTDTLLHETFASRYPSVKGAKVVVDTNTGCSKGYGFVRFSDENERTRAMNEMNGQYCSSRPMRIGVATPKKPSIQQPYGQQQYSSQAVILAGGNGVFGGTPQSSQSDEDSSNTTIFVGGLDSEVNDEDLRQTFIQCGEILSVKIPVGKGCGFVKFANRSSAEDAIQNMHGTVIGKQTVRISWGKTPAGRQRMDSNGNYQGKQGYGGGGYGYGMQQNQDAGMYAGYESNGYGNHQQPVS >KVI10768 pep supercontig:CcrdV1:scaffold_66:150570:151487:1 gene:Ccrd_010822 transcript:KVI10768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MMPSPAVDFNFESTNTTPYATAPSTPQRFPTFFYSAPTSPTHQFLLINSSPASPTDPLKKPLFEDEDEDEDDFAFDFSGRLEPPSISAADELFHCGKIKPLKPVSNHSRFTEKAFSPRSKKKDLDPFTSALNQTAGDQNPSKRGREKTTTTRDKASSSSFRISDILSDEETHHQKNSITHSSSSSLTWYNKWNLKNLLLFRSASEGSARRIKEPVNKYSRIRKSDEDEVVKKSSFRSRDGRRVMRKVSAHEIHYTANRAVAEEMKKKTFLPYKSGLLGCLGFHNNGGSVHEISRGINCVMRQRGD >KVI10781 pep supercontig:CcrdV1:scaffold_66:552742:553884:-1 gene:Ccrd_010853 transcript:KVI10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHVSKKSAAATTSSVSPAMSLKETQQIITELNAYEAACRSDADLQSFDAMLHARANNVINTLAVGVEVRALSFDTLKEVTECLLEMNQEVVKVILHCKKDIWKNQELFELVEEYFENSLQTLDFCAALDKCLKRVRDSQLMILVALQHFDEDEGVEENRYEKTLEDLKNFKDAGDPFTDEFFKIFHSVYRQQMVMLEKLQAKKTKLDKKVKYIQTWRKVSSVIFVATFAAVLICSVVAAAIAAPPVAAALAAAAAIPLGSMGKWINSLLKNYENAIKGQKEIISSMQVGGYVAIRDLDTIRVLVDRLQMDIEELMRKAEHVIEGDEMVKVAIEEIKLKLNSFMKNVDDLGMQADNCSRDVRRARTVVLQRIIKPPHNQ >KVI10751 pep supercontig:CcrdV1:scaffold_66:410806:417121:1 gene:Ccrd_010841 transcript:KVI10751 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MNSTALNQSMSSPEKLPLRAIPGDYGFSFFGPIKDRYDYFYSQGEDEFFRTRITKYKSTVFRTNMPPGPFISSNSKVVALLDSNSFPILFDTSKVEKTDILDGTFMPSTSFFGGYRVCAFLDPSEPNHHLLKSLFLTFLASSHQKFIPYFRTSLSELFERFPTENRRILTSIAITWRVNPSETKLKSEGPGITNTWLALQLAPLGTLGIKYLPDFIDDIIHTFRLPFFVVKPPGYKKLYNAVYDSATLLLDEAEKVGIKRDEACHNLVFVAGFNAFGGMKVLFPALIKWIGSARESLHRQLAEEIRTVVKEEGGVTFSALEKMPLTKSVVYEVLRIEPPVPYQYATAKEDIVVESHDAAFEIKKGETIFGFQPFATKDPEVFNNPEEFVADRFVGEGEKLLKYVYWSNARETESPTADNKQCPGKDLVVLCSRIMVVEFFLRYDTFTVEIGKLPLGASVKITSFTMARNKSDDVIVTDCGQVTER >KVI10770 pep supercontig:CcrdV1:scaffold_66:106635:108794:-1 gene:Ccrd_010820 transcript:KVI10770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MVVERSVRGAEKEEGPCSNLLHHPPPTLFWFPAMESTQDEILHDFHPILRVYKDGRVHKMTTTPIVPPSDDPQTTVRSKDVVVSADPKIAARLYLPRTTNTKLPLLIYIHGGAFAIESAFSTLYHNHLNSLTAAANIAAVSIEYRLAPEHPIPACYDDCWETLKWIANTPDPWIRNHADLSRVFLAGDSAGANIAHNIMVQFSENGIGSGLKIVGIALIHPFFSFDEEDKLWKYLCPGTNGLNDPRMNPAADPGLMAKMVCEKVVVCTAGKDHLRDRGWSYYEALKKSGWGGQLQIMETEDEDHCFHLFNPNSEKSHALVSFLSSLFN >KVI10767 pep supercontig:CcrdV1:scaffold_66:154271:156603:-1 gene:Ccrd_010823 transcript:KVI10767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNQILRSPDWGGRLELVIDRKAKIRFRVSRKQELSILVLLSYMGLNIREKSGKTNKAFILIPPGGEKQNPKEYKKLTIGSISASFSPFPVIGTLLSSCSSLDDSDGLHHSFSTKIEKDLTLARVIPITSRDPLSTRSISFDYQDEDDYSPRKRSTRAVRVDSIAN >KVI10748 pep supercontig:CcrdV1:scaffold_66:338521:339631:-1 gene:Ccrd_010837 transcript:KVI10748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKIMLLTVMVTMVIAVRSLDTNSVFNPCSDAKVKRRDGFTFGFAFSSKQSFFKNQIQLSPCDRRLSLGGTDAQLAIFRPKVDELTYLTINGTHFDPAKAGGYMVAFAGRQYAARSIPTFVADKSNIITSFTLVLEFEEGTLVNLHWKKFGCKSCAERSAVCLNNQDCATPISKCKANGGSVDCKISVQLAFSGTDKALDVLNSWYEVEQFRQYSLIGLYQDINDMVMGP >KVI10771 pep supercontig:CcrdV1:scaffold_66:196797:201025:1 gene:Ccrd_010827 transcript:KVI10771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAPAKPSGRAISQQAFDEMVKENIDDLGMEPAEALSDAIETLTLQGVDLSGIVTSTLPGETNPVIQSLDRIKQLQISDWTDEAVVDEALSLMDNLTALSRVEGSGNAAIATRNGGIELMCSICSKLAVGGRHSALASALNALAAFLHDLQSTETFRQNGGPKMVVDILSDGTQNVNILNSCFSVVAAATTGNEVLKESFMDLHIDELMVSIMRKHSKGSIPSLYDAIRVLLTADDNRVVASQVYGYARQFAKTGIAEALVESLKDGISSPSLVSATIALKAVAVNDEICRSVAENGGIDSLLICIDDSGVQANKVVAKACCALLTKLAGSDFNKSTIIERGGLNRLITLSSRLADDPFVLQEVMSIICSLCLRSPQNAALAMEAGAGELAIQAMQKFPQAYQLQKNSCLMIRNLVARNPENRSILLSNGIEKIIRNAKESHKSCRDAATDALRDLGLENYNS >KVI10776 pep supercontig:CcrdV1:scaffold_66:203760:208851:-1 gene:Ccrd_010828 transcript:KVI10776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein QVRTESGDKSVTLSIRDFNSYIYKDTPRFPFLGQKEREMRRGRCYLDISIGGELEGRMVVELFTDIVPKTAENFRALCTGEKGVGPITGVLLHYKGVRFHRLIKSFMIEGGDISAGDGTGGESIYGLKFEDENFEMKHERKGILSMSNSGPNTNGSQFRITTTRTPHLDGKHVVFGKIVKGVGILKSIEHVATGDNDCPNLDVMIADCGEIKEGEDDGVGNFFKDGDVYPDWPADLDNGPSELSWWLDAVDSIRACGNEAFKKQDHKMALRKYKKAVRYLDVCWEKEGIDDDRSISLGKKKAQIFTNSALNNLAYSRPAIQVLTVFTDVHSQLTINYPFSLSLSQACKLKLGDAKGALFDTEFALRDGENNAKAWFRQGQAHMALTEVDAAIESFKKAKELVPNDAAINRELKAALKKVSDRLEREKKAYSKFFSYGESDFVTNE >KVI10782 pep supercontig:CcrdV1:scaffold_66:535644:535967:1 gene:Ccrd_010850 transcript:KVI10782 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, NADP-binding MKFLLPSLVLSSPILLHNSDVFHVLLRLTSVALSGLSPNGIFVNITTSEPLLAVEIVNSAVGKSCFSIDALVSGEDRGVRDAALSIFAGGNGCCETIESNFLLFRES >KVI10743 pep supercontig:CcrdV1:scaffold_66:270784:272841:-1 gene:Ccrd_010832 transcript:KVI10743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGSLNPSPELTLDLKPSFIPKTISQFLGGMSRIGSVTEKVLQVDDFVDRLETETRKIEAFERELPLCMLLINDAIVALKEVSMVLKKSSNAEPVLEEFIPLKNSCDDDAKVESIIKKDSGDKKNWLSSTQLWNTNENIIQIPNATIKKRAEEEDCGKAFFFPEIKNPMRENGLLTEINGDCRLTQNVQSNIQTSGTAGLPPHQQTSRKQRRCWSTELHRRFVNALQQLGGSHESRFIQTLLPAATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRFPSSNTNQSGVVLGGGLWMPPQDQYVGSSKCSNSQSGSPDGPLPNGGNTMDDEEDEKSENYCWTGNL >KVI10754 pep supercontig:CcrdV1:scaffold_66:435970:437122:1 gene:Ccrd_010842 transcript:KVI10754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold acclimation protein WCOR413 MMKGVKNYLRMPTDPTISEATSKLINSDLKEIGDATKKLADHVIKLGVSGGLITTILQWFACFSAIYLLVLDRTNWRTNMLTSLLVPYIFLTFPNWLFGILRGDIGKWITVVGVILRLFFPNHFPDYLELPGSLVLLVVVAPSFLAGYVRAGWIGVIICLAIGCYLLQEHIRASGGFRNAFTKSNGISNTIGIVLLFVFPVWALIGLI >KVI10742 pep supercontig:CcrdV1:scaffold_66:254986:256241:1 gene:Ccrd_010831 transcript:KVI10742 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MNAFSLIFSNANEDHVVEVLHRLGLEDCFDDVGSSERKKSVDYALESIHNIREALSGLWESVMKSKDVCGSQKIAIETTVKA >KVI10752 pep supercontig:CcrdV1:scaffold_66:365839:366726:-1 gene:Ccrd_010840 transcript:KVI10752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAGHLVPGSVLALLGLWHIINTIKSYHLKGSTHFRSSFWHPFLTPFPKLVYLELLLIVFFSIFSIVMQVLDYPLFTLAFKLNNYEHATMFLHLAIYAGFTLLSELTHKSDTLFEVSGILAASVFSQELFLLHYHSADHVGLEGHYHWLMQLIVLVSLLTAIFATTFPSSFPTSLVLSISVAFQGFWFINMGFMLWVPELVPKGCVLRLGDGGDSDMHGAIVCGTHEARLRARAMANLQFSWILAGILIFVGCLCLSFPKKVTHRGQSAEYERLHSRIAEVPLSVTGFKQVHP >KVI10765 pep supercontig:CcrdV1:scaffold_66:877:7906:1 gene:Ccrd_010814 transcript:KVI10765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MELKPGLSAFVTGGASGIGRALCIALAQKGVFVTVVDFSEERGKEVASLSQNENLKFHSGLKFPSAIFVRCDVSNKGEIAAAFENHLEVYGGLDICINCAGISTPAVFQKDQTDGSRTWRHAIDVNLVAVIDCTHRAIRIMEAAKRPGVVINIGSASGLYPMITDPIYSASKGGVVMFTRSLAPYKRKGIRINVLCPEFVRTDLAEKVDSKFIERMGGYVSMDTVIQGTFELISDESKAGSTVHTLNHNFRSATSIVRAPLRLPIKPNHVLLKIIYAGVNASDVNFSSGSYFSGSKQEISSRLPFDAGFEGVGLIAAVGDGVKNLKVGSPAAIMTFGAYAEFTMAAQMESGKIVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKDKAMLLRDLGVDRVIDYKEEVIKNVLKKEFPKGVDIVYESVGGEMFDLCFNALAVYGRMVVIGMISQYQGEHGWKPRNYTGLCEKLLAKSQTVAGFFLTQYTHLWQQHLDSLVHLFSVGKLKVAIDPKSFVGVQSVADAVEYLHSGKSVGKVVVCIDPSFSQQAAKL >KVI10775 pep supercontig:CcrdV1:scaffold_66:238277:238732:-1 gene:Ccrd_010829 transcript:KVI10775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPALDPTQQQPSPFPPVIQEQAYKPHSSHGSVGPVIGVLAVIMVMGAVAVMIGRLCSGRRIMGHGQYDFEGWVETKCSTCIDGRLGPPPRRETVPVVLVETTNASSSEAAAAAAAAPAEIPADEAPPQADEEAATHQPPQEQHNLREN >KVI10780 pep supercontig:CcrdV1:scaffold_66:543794:548799:-1 gene:Ccrd_010852 transcript:KVI10780 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MELRSIQSPVVSSLATQGFIEKQQLICYRQLIRSFGTKGILHSNSIKLRPVRFKAQASSAAKTGSQPADMISKNGENDGNLIFVAGATGKVGSRTVRELLKLGFNVRAGVRSTQRAETLVKSVQQMKLDEALSDGSQRKRTLPRSLPASHNFRDLPAIEKLELVECDLEKPEQIKPALGRASTVICCIGASEKEIFDVTGPYRIDFQATKNLIDAATASKAKQFILVTSLGTNNLFWGVLCWKRKAEEALLASGIPYTIVRPGGMERPTDSFKETHNLTLYTEDTLFGGLVSNLQVAELLAFMAKNPALSYCKVVEVIAETTAPLTPMGDLLAKIPPQRAVTYPPKEPEASKEPEPVPKGSSSESSSIVTETQSHYENLKPPTSPTPTPSGSKETPSYSSSTPEIASESTTSVDKIVEIEDGGDAKEDPPQTLTSSNSPYYVYDNLKPPTSPSPSSPSVSPPSTIPVDNGSPSASTPENTSASTVVKPRPLSPYPMYETLKPPSSPIPSTPQ >KVI10747 pep supercontig:CcrdV1:scaffold_66:327055:334021:-1 gene:Ccrd_010836 transcript:KVI10747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain-containing protein MAIKAMETLQDLIEEAKLRTVWWAICIFAITYILTHTSKSMWMNVPIAVFLVSGVHILLNEVDFHWKIRKPRGHSYLAHLEKKQLSVNDSRLSTLPPLPKWKRKIDSPVVEAAMEDFVKKLLQEFVVDLWYSDITPDKEAPQLIHAILMDVFAEISTRVKDINLVDMLTRDVVDLVGDHLELFRKNQAAIGREVMVTLSSDERDERLKHHLMTSKELHPALISPESEYKFLKRIMGGVLAAVLRPREAQCPLVRCIARELITCLIMEPVMRFASPGLVFKNQTFLAQHLAHINELLEIIFLANNNKGETEAGDQSSNVVGPTQNQTQNQSAATNIVKPPESASTKSGSSSGQMSGQTLSTPNNQKEALLNPSGTIVSGPVQDEPLHARTSDWARVLEAATQRRTEVLQPENLENMWTKGRNYKKKAQKNVAPGHQPPSINGSGISSVINRKDLGKEISPHKPEISTSIGKTAAQIPPGPYADDQQKDPNLDANGLSDQVKTPALCDLDNENSNGSSICDLNIQTQPENKSPIQVGGSIISEFYSANAGRDSDALNVNSASDKGSRSEGYVPKLKCRVLGAYFENIDSKSFAVYSIAVTDAGNKTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRILSSSTEDAFVHQRCIQLDKYLQDLLSIANIAEQHEVWDFLSMNSKVCISLCVFVHAFTSTIEIIILKDITTTELLFWKVVINGENLSSNTLLFSFGLTVNVDDAVDDIVRQFKGVSDGLMRKVVGPLSPSGASASAANRTVPWKAEEPSDSVLKQTTLDTTNNLSDNEEGDKDRTPQHEEMESAAQANGQHLDNELNSKVFPPRVLKSDELNRSLELDKKNISEVRSEILSLAANFPSNSGLKEDPLGVPAEWTPTNVSVPLLNLVDKIFQLNRRGWLRRQAFWISKQILQLIMEDAIDDWLIRQIHWLRRDDIVAQGIRWIQEVLWPEGIFFLKLNRSQMDSSQPNEGSSRTTSGSKGNKQASFEEQLEAARRASDIKKMIFSEYSLFLIGHKQYKRCAKDVYYFLQSAVCLKQLGYGLLELALITVFPELQDVISNVHEKRKSEPLENNISTRRCWSRDK >KVI10756 pep supercontig:CcrdV1:scaffold_66:476872:478308:1 gene:Ccrd_010844 transcript:KVI10756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MDDVPGSMGTSAGFALRLGQTIFSSAALLFMALGGQFYSYTSFCFLVTIMGLVIPWSFTLAFLDGYSVLVTHPVRQKGILFIIVIGDWVLLTLTLAAASSAAAVVDILLRAEGSVCPPKICSRYLLSTIFAFLSWFLSMASSLFNLWLLPSL >KVI10778 pep supercontig:CcrdV1:scaffold_66:577309:579561:-1 gene:Ccrd_010854 transcript:KVI10778 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MFFSFTPVRGMKSKIVPYYMNGNPSSLFCILPRDDSSRFYPPGSYPVYLNVYDISTINSCISWTGLGAFHTGLEVHGVEYGFGCHQESESGVFEIEPRKCPGFKFRETILMGTTSLSPSQVQRFMELQSSNYYGDTYHLFGKNCNHFCEDMCYKLTGNKIPKWVNRLARIGSCCRCILPESIKGSDVKRESNGQDYEKKSLGNPFSCFSSLSTHNKLRKVSISSLYKHSLYKGCLPPWELEGDSQRLCDDHDE >KVI10749 pep supercontig:CcrdV1:scaffold_66:353450:360059:-1 gene:Ccrd_010838 transcript:KVI10749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3/1,6-mannosyltransferase ALG2 MENKKGSKMNIAIIHPDLGIGGAERLIVDAAVELASHGHNVHIFTSHHDGSRCFEETLAGTFAVTVYGSFLPRHIFYRLHAVCAYLRCMFVALCVLFMWPSFDVVLADQVSIIIPIVKLKKSTKVVFYCHFPDLLLAKHTTLLRRIYRMPINFLEELTTGMADLILVNSKFTASTFAATFKRLDAQGIRPAVLYPAVNVDQFSEPNAYKLNFLSINRFERKKNIDLAISAFAMLGHPDENIPEGFNFADMTLTIAGKCLQILESVQLIRVLLCGFDQRLRENVDYLEQLKVLAEIKGVSNQIKFITSCPTAERNALLSDCLCVIYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDGETGFLCDPTPQSLSFAMAKFLQDPSLAERMGANARSHVATTFSTKTFGDQLNQHIMDVVWGMFGGDDIVDDISLFLDWMQRMDQCCWTFRVQSVYL >KVI10761 pep supercontig:CcrdV1:scaffold_66:56085:57435:-1 gene:Ccrd_010818 transcript:KVI10761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MEVSNSHGGVRRSRDSEVTSSGNGKRRKFGAQDREDVFQLEYQSRRDRDVNLQENIVSTAVSGTSDHASPFMCFRNDDSRPDLKSQAECISETEVFMSSNDGFSEETSVSSVVCLESEEMESVSTSTPKKKNPAPPNEATSRRKPSATAKIPSAAELEEFFSEAEKYEKKRFAEKYNYDIVKDAPMEGRYQWVRLKP >KVI10779 pep supercontig:CcrdV1:scaffold_66:588059:605950:-1 gene:Ccrd_010855 transcript:KVI10779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MWGSSSSGENRKEEEEGEVEAVIIGGMVLDIQATSSIPPNPRTTSPGKVCYAVGGVARNIAECVSKLGTKPCMISTLGLDMAGNLLLEYWKSAGLSTEGIRMGQEIDTPVVCIVFDTYGEPAAAVASVESVERFLTPKWIAQFKSNIASTPIVMVDANLSPAALQASCELAAEFGTPVWFEPVSVAKSRRIVSVANALRLEGSSSSSSPYVVHFPAVSSASVVRVTGAGDCLVGGAVASICAGLDVMQSIAVGIAAAKAAVEAETNVPTEYSLEQIAEACNLFDEMPDRNVFTWNAIISAHIKSHNLAKAQGLFDAAPHRDSVTYNSMLSGYANSDGYEKPAIDFFMQMHSVGYDGLIDEFSLTRMCNLTAKLRNSRHAKQLHSFMVKTGNNLSGFAVSALVDMYSKCGCFSEAYEAFNGCYSGSVDVVSKNAVVAACCREGRLDMAMELFSTQPEFNDVVSWNTMITGYAQNGCGKDAIELAVCMANNGFRWNEHTFASVLSACSSLKSLKLGKELHARILKEITSLNPFISSGIVDVYCKCGNMKYAESANSLIGTENKFATTSMIVGYSSQHNMKEARRIFDSLTVKNPVVWSAMFSGYLHSHCIEDVFELFRLFNTEETTVPDGSILASFLGACAIQATMDLGKQVHSYLFRMNIHMDEKTISALIDMYSKCGNIMYGKRIFERLTFRDSVIYNIMIAGFAHHGYELEAFQLFDNMVRSGFTPDTVTFIAILSACRHCGLVETGESYFMSMIEEYKITPEMDHYACMIDLYGRANQLEKAMEFMRKIPRELDVVVVRTFLNACKLHRNLEIARESEEKLLRIGGDSVTRYVQLANIYASEGEWEEMGRIRKKMRSNEVSKVAGCSWVHVGSNVHSFTSGDTYHSEAEATYGILDLLIAEMNNKEEMQLCPRFPSNVDPRVKYAERGNRFQIPYSCYRFLMQVGLRLLLCPLGSNIVVRTACCSVGVVLPVYSTFKAIETNNQDDQQKWLLYWAAYGTFSVAEIFADRIIYWFPLYHHMKFAFLVWLQLPTTNGAKQLYMSHLRPFLLRHQANLDQLVGILYSETVKPLSLFKCLKLGASKHLVNNSSQPVPPPEGRTITGQREQVESSNSDDSNDDDDDDFVAFPDSF >KVI10764 pep supercontig:CcrdV1:scaffold_66:28804:34299:-1 gene:Ccrd_010817 transcript:KVI10764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF829, TMEM53 MLTSGGGGGTFYWVRNEETPSSTRVEGIVVIFAWGSSLEEYHLKNYVNLYSSLGWNSLLVLSDFLNPFLPDRATSLAFSVLNELLEELRTRPSPLVLASLSGGSQACMYKIIEGTCEAHLSLDDSRLIMTCISGQMYDSGPVNVTGDLGARFALHSSVLNLPGSSKLVSLVAKGVTSGLDALFLTRFASQRSEYWQTLYSSVGLGAPFLILCSENDDLAPYPVICSFARQVQGLGGHVRLIGWKDSPHVAVTEFLEHSTSIFNQKLEKVGERCGMEGMHDKIYELICDLQNAAVDSNQSLRRVAVGPNDHFFLPSSETGKESGSLQDEQKERRGVHLASPPPPPRLNPHSVLGQVLFDVCVPKNIEGWDIKFDKRQPFASARKRSSLNALKRMRRSKL >KVI10750 pep supercontig:CcrdV1:scaffold_66:365157:365543:1 gene:Ccrd_010839 transcript:KVI10750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASATLSPVTFTAAAVVAPTRSSARITTTKVNYIKGLNSFGGLKAHNTLASLGLPVSTEQQFANFVCSLKKPSSNNRRGGGGALTSTCNAVAEIFRIAAIMNGLTLVGVAVGFILLRIEAFVEEAE >KVI10777 pep supercontig:CcrdV1:scaffold_66:614036:614998:-1 gene:Ccrd_010856 transcript:KVI10777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSATKKKKKQPTPYEHIDHPQSSSMAATTTSLTDPINYNTPLSEPPTLDLLGDQDLHGTNLKLTLLYQPPPPSLEQQPPPSLEQEQQPPPSLEQEQQPPPSPSPSPPPPPPPPPPPPSQNTHPILGQQPQPPPEPVGIPAPRRQRRNSRQAPREGKSLTIQPPFPWATSHRAIVHSLKHLMDTGITVISGDVHCKRCDQRFQIEYNLLDKFLEVASYIAENKYKLSDRAPPEWKNPTLPKCRFCGQGNSVKPVIAKKKNSINWLFLFLGQMLGCCTLEHLKYFCKHTGNHRTAAKDRVLYLTYLGLCKQLDPTGPFNR >KVI10763 pep supercontig:CcrdV1:scaffold_66:25668:27979:-1 gene:Ccrd_010816 transcript:KVI10763 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MAIAPQSTTPLCSKVNYDLASNLIISYDPKVAASAQPPDSQAKSAAIERATEVQASLPSELPSFVKPMLPSHVTGGFWLGFPKKFCDVHLPKHDEMVVLVDEDQQEFNTKYLVDKTGLSGGWRGFSIAHKLLEGDALVFQLIEHWKFKVYIVRVNGLNEIDGALGRIKKDSRNPQRAEDMDIDLHQNDIQERGLVTYDQSGDDGEYIDSEVSEGLRFSQSVLEFKDIKGIDDFSIVMDGLIIDSEIPKHFQIKYYDLCCSQKTYLHENLLKGLNVQLAVGIILETVTIADAIKACKVTTTRDNFETWDKTLKAFEEVGMRVGFLQDRISKLIGLLFESEEILEAKRNEQVKAEDEMRVMNQKLCGVREAIKNLNVEIESLEMKCQKLKPVFLKEANAPW >KVI10746 pep supercontig:CcrdV1:scaffold_66:324260:325469:-1 gene:Ccrd_010835 transcript:KVI10746 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCG-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G15890) UniProtKB/Swiss-Prot;Acc:Q9XIM0] MMIRSVPSQSSTLHRPPLTTICRSSRNNAYIPKLEPFSRSKLDRLVKDPPLIQKSENDLSDYCSTLEGDPSYSCWRAYFELKDLEKEAPKEVVERVILESGGVKSLIGCLHGISEIHKAKKQLEDEQGSDMNNNTNSDSNSENRAVVGGERACAVPDGVPKTREEMEEEEKGKMPDSPFTRLLRSKGRLPAWYSAAPDHETS >KVI10757 pep supercontig:CcrdV1:scaffold_66:493484:500182:1 gene:Ccrd_010847 transcript:KVI10757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGICSSCYFATTGTITSPSTVKLILLEGELREFPSPMKVFLVAPPLDLGNDLCSFICNADEMDFDHYLTAMNGEEYLRLGQLYFELPLSWLNRRLAAEDMASLAVKAGRAITVSSGKVRCGCCVIQVDPVVFSDADNEIDVIMPESPSEMITSSWSRVGGGGGGGGNHSHGDPHRSHLRRFAAMVMVAVELLAWYKRMYEYLECGKKSKRKLDNDKNAAKEGDTVKNGKNEAKNSGKKSKRKRDNDKNAAKEGDDTTTVLHNWGTNCL >KVI10773 pep supercontig:CcrdV1:scaffold_66:169367:174149:-1 gene:Ccrd_010825 transcript:KVI10773 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand-like domain-containing protein MGTCASKPPRRNPYLPRDFPEQLQTPENEDHRDDSSAAGKPSFFYTPSPIRFFLSKKKSPARRSSGNSTPSRILKGPFPPPSPAKHIMAVLTRRRPGTGTEGGQEAGAGLDKRFGLSKRFKDRYEVGEEVGRGHFGYTVSATCKSGDRTGQKVAVKVIPKAKMTTAIAVEDVRREVRILQALAGHDNLVQFYDAFEDDDKVYIVMELCEGGELLDRILARSGGKFTEDYSKNVLVQILNVVSFCHLQGVVHRDLKPENFLLKAKDDDSQLKAIDFGLSDFVKPAPEVLLRSYGTEADVWSVGVIAYILLCGSRPFWARTESGIFRSVLKTDPSFIEQPWPSLSTHAKDFVQCLLNKDPRHPWIRDHNGVEVPIDIRILRLLKAYTLSSPLRKAALRALSRTLTADDLFYLNKQFRHLAPEKSENVTLESIKTALTKHATNAMKESRITDYLTWLSALRLDFDEFCAAAVCVHQLESFDRWEQHARCAYEIFDKDGNRAIVIEELASELGLGPSVPLHAVLNDWIRHTDGKLSFLGFVKLLHGVSTRSHKRLR >KVI10783 pep supercontig:CcrdV1:scaffold_66:538901:542231:-1 gene:Ccrd_010851 transcript:KVI10783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPSSEAKRTAVGPPSVLAYLDPNYWNDRFTCEDHYEWLKDYSHFRHLIQQHITPTSSVLELGCGNSQLCDELYKEGITKLTCIDLSSVAVQKMQTRLLSKGYKGLCISIISSWIQLFDNYIESAYVVFTTGEQTHLGFLTSKLRTSDLQNIKVLEGDMLDLSFADESFDVVIEKGTMDVLFVDSGDPWNPRPEAVNRAMTMLQGVHRVLKPNGIFISIAFGQPHFRRPLFSAPEFTWSIEYATFGDGFHYFFYILRKGQRSSSEVDADVKKVETPSLCLYQDELDDEDYLFRTNIDEMGDDR >KVI08504 pep supercontig:CcrdV1:scaffold_660:116014:126132:1 gene:Ccrd_013124 transcript:KVI08504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta galactosidase small chain/ domain 5 MASSATMAVNNKLIVAGDGYKDSRLPAEFEITAFCHECGSEKKNIVAVQVYRWSDGSYLEDQDHWWLSGIHRDVLLLAKPKVFIADYFFRSNLAENCTYADLEVEVILDKPLEINATTDVKMEATLFDISGNDRVDLLSTKVADLQLQPPPSPLGFHGYRLAGKLQNPKLWSAEQPNLYTLVVTLKDASGNIVDCESCQVGIRQISKAPKQLLVNGHPVIIRGVNRHEHHPRWIRGKDPSRAIHYEGGGSRTSSTDIICPMYMRIWDCVKIAKDPNETRPLILCEYSHAMGNSNGNIHAYWEAIDSTFGLQGGFIWDWVDQGLLKESSDGNKYWAYGGDFGDTSNDLNFCLNEVKYVYQPIKVSFTNNIIKITNTNFYQTTQEVEFNWVIEGDGCKLESGILHLPTLDPQSSYDIKWDSGPWYPSWTSSSAAETFLTITASLLQPTRWLQSGHVLKMKEITLDWDIVDHNLTIRQNFSEITFNNQSGAIESWMVEGVPVMVKGVTPCFWRAPTDNDKGGEANSYLSKWKAANLDNVSFVKESSTIEKISDHLLEVSIVYNGFSKGGENDDSVSGTEGSNILFKVDMKYSFHGSGDVVLACYAKPRSDLPPLPRVGVEFHLEKSISNIKWYGRGPFECYPDRKAAANVGLFEKKVDEMHVPYIVPGECSGRADVRWVTFQNDRGSGIYASIYSGSPPMQMNASYYSTKELDRATHNEELVHLDHKHMGIGGDDSWSPCVHDKYMVPSSAPYTFFISFFPLTAATSPHDIYKAQS >KVI08499 pep supercontig:CcrdV1:scaffold_660:36783:43164:-1 gene:Ccrd_013117 transcript:KVI08499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1517 QVTTTTRPWANAGFSLSSSSSVHHHRSLLLKISPTPFMANPPFLLESNFNSNLLLQQTFHFPPNRYFPIKFSHSHHNALKINHSSHKMIRVSPNLGIKCFIINHNPKGEKSSARGMEGFTNDLKKIVTGSGMNQVFWRSITNVLKALQKPAITGILLGLLLLYDHHHGCVALAASGGRMGGKSFSSSSSSSSSSRTYTTRTRGPELSFSAPYYAPTPFGGGGGFYLGPAVGVGSSFFFVMMGFAAFILVSGFLSDRSDSDDGSLLTATEKTSVLKLQVGLLGMGRSLQRDLNRIAEAADTSSPEGLSYVLTETTLALLRNPDYCISGYSSVDLKRSVEDGEKRFNQLSIEERGKFDEETLVNVNNIRKQSSTNQRSNGFRNEYIVITIIVAAGGVHKLPPINSSAQLKEALQKLGSIPSSRIMAVEVLWTPQNENDTLSERELLEDYSLLRPL >KVI08505 pep supercontig:CcrdV1:scaffold_660:104502:108903:-1 gene:Ccrd_013123 transcript:KVI08505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRALLNKITVRYLLTPPPPPPSRSFTPISKINHTLFGSFRSKIRFFSTENGDSSIDVPNPASEKNSIDKPPAKQLEVEDVSNKELKMQIEKYFNEKDEEALPAVFESIIRRKVKGKHSDTDDELLEEFRMQPIDSVNDKEFESDFEEIHETDDEIDNLYDAKDILIKRMTKNEFFYMDDRKWEGMIKEATDTGHVKDTKECEEILQDMFMWEKLLPDLVETGELEPEAGYEIYQEFEHNMLMKHVEEMEKAGPPKFDDEPEKKDVDDPPGDGPILRWQTRVVFVPGGESWHPKNRKAKLAVTLKELGLSKNQFEHREENRKDCLRTLLGLIEEAAKADKLVDDVRTSYVKNRLRANDKFMEKLNAKIMRKQGSNVLHA >KVI08508 pep supercontig:CcrdV1:scaffold_660:65839:86269:1 gene:Ccrd_013120 transcript:KVI08508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAPDASDALAVRQKVQQFLNAAVNGNLDFLKSVAAQLDDGKGLSQTVASIKDANKRGALHFAAREGKIEVCKYLLEELKLDVNTKDEDASLKNNEKIRGSRRLLVKGKGGGDPADEFPIVSSTYRALLGETPLIHAARQGHTSTAKYLIEHGANPALSSELGATALHHAAGIGNIELMEFLISIGVDVDSQSDSGTPLVWAAGHGQQDALKVLLKHHAAPNIETDDGITPLLSAVAAGSLPCLELLIQAGAKINVIAGGATPLHIAADSGNLELITCLVKAGANPNVADEDGLKPIQVAAARGNRGAVEILFPLSSPVESVSDWSVDGIIEYMQSEVAKEQEAERNTGGVNLPSDATVSTKETPEVTPEAKKKAAEAKSRADDAFRRKDYLMAVDAYTQAIDFDPSDATLFSNRSLCWIRLGQADQALTDAQSCRGLRPTWAKAWEAVEAGRQFHETNKEN >KVI08509 pep supercontig:CcrdV1:scaffold_660:161627:168229:-1 gene:Ccrd_013129 transcript:KVI08509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MTIARDLDYGAFMEKFILPPSPASSPEQLPLNRLTFAVKDINCFVCVNLFVINIGTQSRFDMDGYVTGFGNPDWLRTHSAATSTAPAVLAMLKAGATCLGRTVMDEMAYSINGENVHYGTPANPCAPDRVPGGSSSGSAVAVGATLVDFSLGTDTGGSVRVPASYCGIFGFRPSHGIVSTDGVIPMAQSFDTVGWFSRDPGTLNHVGKILLQLPDEKHVRPSRVLIAEDCFKLLSIPSTRVTNVIARSVENLFGVPSLKHFMEDDFENQEFSISSLVALSTAMRLLQRFEFKKNHGEWVSTVKPDLGPGISERVLDALKTSGEHIDACESVKTQLREALTALLGEHGILVIPTVPGPPPKLQTDPTLLETFRARAFSLLSVAGVSGLCQVTIPLGKYNDLPVAVSLLANHGSDGFLLSLVETLYDTLKQEVEVAEKLSY >KVI08507 pep supercontig:CcrdV1:scaffold_660:87886:88318:-1 gene:Ccrd_013121 transcript:KVI08507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPPPNSPNSRESPNCFRTTRKIFFNQKEFGSDVGYLSRSFRNSIIDDGMIKDLTFSNSSPRKQREDVYKRDMQQQEGKGLHRGTPEHLSDVSISMVTHYFDESFLRTEEDYGNTYSKFHLSDSIVEDRIFSEEFVMIHA >KVI08513 pep supercontig:CcrdV1:scaffold_660:188030:195174:1 gene:Ccrd_013132 transcript:KVI08513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MEGSFPVREYLIDMGSDMDDKSSGRTPTDDQFFLLYFIMGTYFGPDLKEETQKSVFQRRAEGLPPYTPEKLAGSCIKTVEVERVYYYVLRKADPSIVLKLPWLQQFFHGNLSTSTRSPTLPFPQFDDLFPPNLHPHSMFKGQYEAINNIVFISDPNTLYIGPEYLERFKRLTRLEDVRLDRDDARRHTTVDGKVLYNIDVQEIDCHKESRPTSSGGPEEETPPLSPLLKHKGSLPTNGVPYSDTPPIRSVVGNSTPISGCVTNNGGSADCLSTGMKSSALAELGSDMIFLPSRPTREEWINIVNATKSGCALTGSATMGHIGPNIGSIDIGECEDSYLFRVSLPGVKRDEREFSCEVEDDGKVSVRGATVTGEKTVCRFDQIFEMQSQKLCPPGHFSVSFKLPGPVDPQQFSGNFGTDGILEGIVMKARRKGKR >KVI08500 pep supercontig:CcrdV1:scaffold_660:7516:22874:1 gene:Ccrd_013115 transcript:KVI08500 gene_biotype:protein_coding transcript_biotype:protein_coding description:B30.2/SPRY domain-containing protein MAEDSQRINRLASGLAVILDGEDRKESSQRNRLISYSDDFGEQSLERTLEYVFDLPSKTINLLTSQVDANVICSIIKNEYLMHHRILQNIDPCREGVSAVADGSGPYTVKIEESSVCGEFQVIKPPLLVESHAVFSSVRASACVWKGKWMYEVALETAGLQQLGWATISCPFTEHTGVGDADDSYAFDGKRVIKWNLNAEPYGQSWVIGDVIGCCIDFESDEISYYRNGVSLGVAFNGIRKMVPGLGYYPAISLSQGERCDLNFGGRPFRYPVQGFQPIQAPPSTNQLAIHLLHCFSKLLNMWRGERTSHNCMEKLRRLKRYLPVEELYSPVSRGICMELFSALDANAESVEYICWGPLLSFLMDVFRDQAPHDYESLDRVLDILLDFPGSNLMFEHLLFALSYRCKTACIVLRECPYSGSYPYLALVCHMLRREVLMVVWWKMSDFEFLFEGFLSQISPNKQDLQYMIPAVWWPGSCEDISYENSMMLTTRTLSEAIDKIEEKHRDLCCLVMQFIPPVTPPQLPGSIFRTFLQNIILRNRGADRNIPPPGVSSNSVLVSLFTVILHFLSEGFATRGCGWMMGSGTSGGTNIGFLHRGGQQSFPLPLILKNDPHRVEIPRLGGSYSHLANFHPVNVDPEAEVVRWEEGCTDDEGTTITHGGSQKPCCCLSLDANFSRISKNPFRYTTKASQSHCSSIPERTAQVAAECSAGNLNDEMADKPSTSDQSDSEFGYRSVQQMRVVALESSLSSSTLVEEELLDAMLLLYHLGLAPNFKQASAYMSHQSHSMSQLEETDRQMRDRSSGEQLRRLKEARGVYREEVIDCVRHCAWYRITLFARWKQRGMYAACIWIVQLLLALSKMDLVFCYIPEFYLETLVDCFHVLRKSDPPFVPAGMFIKQGLASFVTFVVTHFGDPRISSAELRDLLLQSISVLVQYKEFLAAFESNQAATRSLPTALLSAFDNRSWIPVTNILIRLCKGCGFGFLKHGESSSTSSVFQKLLREACLNDEELFSAFLNRLFNTLSWAMTEFSVSIREMQEKCQMIEFQQRKCSVIFDLSSNLARVLEFCTCEIPQAFLSGADTNLRRLVELVVFVLNHLTSVADPEFFELTLRRPGQTPEKVNRGMILAPLVGIILNLLDASVVTDSREQNDIVGIFTSMDCSDTLISGFQYLLKFNWAATFKGDVHLTKLRQLEDFSSHLISRTVKRVSYEGETESNDDMCCICYTSEADAKFLPCTHVSCFGCINRHLINCERCFFCNATVLEVIQNDAKTCEI >KVI08496 pep supercontig:CcrdV1:scaffold_660:47656:49914:-1 gene:Ccrd_013118 transcript:KVI08496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MAGSDQINLSESKRVVPLNTWILMSNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANVNPVDGVFSFDIVIDRTINLLSRIYRPAAKETEGGAPLSTSIAQLEKPVSTSDVVPVIIFFHGGSFAHSSANSAIYDILCRRLVGLCNAVVVSVNYRRAPENPYPSAYDDGWTALEWVNSRPWLQSKDSKVHIFLAGDSSGGNIVHQVALRAVKSEIQVLGNILLNPMFGGKTRTESEKRLDSRYFVTIQDRDWYWKAFLPEGEDRDHPACNPFGPKGASLEGIKFPKSLVVVAGLDLIQDWQLAYADGLKRAGHEVKLLYLEKATIGFYLLPNNDHFHTVMDEIHNFVTPIPDCE >KVI08503 pep supercontig:CcrdV1:scaffold_660:127589:131243:-1 gene:Ccrd_013125 transcript:KVI08503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTVFALFRFRRELGNMSIYSEILGNFTQLEVTMLTKLLQGLMLISKHFMVVLSKDKTTTDSLIREESLKLNGSNIHRITISFMYRF >KVI08497 pep supercontig:CcrdV1:scaffold_660:58911:60433:1 gene:Ccrd_013119 transcript:KVI08497 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2, C-terminal domain-containing protein MGNDDDGSPEDEDKSQQIAKTRGVTVGVAPSFRSAERAEKRKEYYTKLEQRHQALEAEKMEAKMRTMFRKNLFVKAHPVPSFYREGPPPKSEPKKIPVTRAKSPKLTRRRSCDDSCHTYTEDKGSCSRTTTRRARSTTPNPVRNK >KVI08510 pep supercontig:CcrdV1:scaffold_660:152231:159778:1 gene:Ccrd_013128 transcript:KVI08510 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp fold, subdomain 2 MDREVKMVAGCSSWSSISLSLPHHHCCFSSTLQLHHLKSASNSLLTPSTRLSYANSYFPKFSMSPLKSGTGSTEEIKTTQDKSSVKNLMSDFHAIDSELLQKLVYDALVWSSLHGLVVGDRNSQRSGLMPGVGMVHAPFALLPNSLPESHWKQACELAPIFNELVDRVSLDGKFLQDTLSRTKKVDAFTSRILDIHSRMLQIGKKEDIRLGLHRSDYMLDEKTNLLLQIELNTISCSFSGLSCRVSELHSAIVLVVVQPEERNMYDQHWLSATLKEKYPFYLTAKPDILPELINTYNITSIRKTLAEIDAQGKILSDGTLQVDGETVAVVYFRAGYAPTDYPSESEWRARLLMEESSAIKCPSIAYHLTGTKKIQQELAKPNILERFLDNKDDIAKLRACFAGLWSLDDSNAVKNAIEQPGAYHVLNAGNNIYGDDVRETLVRLQKEGSEEEAAYILMQRIFPTAFRTILVRDGICYKEHAISELGIFSAYLRNKEKVISNEQCGYLMRTKVSSSNEGGVAAGFAVLDSLYLT >KVI08511 pep supercontig:CcrdV1:scaffold_660:169076:173776:-1 gene:Ccrd_013130 transcript:KVI08511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKWSKKRRAALPLSSTHAPSASPPSLKNPTWINVSVRIFFFSVCDFSSHGLQILMKFRELLRFLYPVADCESICSTDVLLFRYKFCYSCILQWTKVVGSKHCPCQSFVKCPLCKRKSSSIIYGYDGTSFQQHLIYQNSESSIFFTKAHKYRLQCYYVEPGNSIGNIDVLRYWKSNKYRQPNQWLSSWVSRELQALIQEKDVDIIVHHILGAIDSWRRNETKVSKVSPALKQEEFKSMVADVAKPFIRGRIDRFVNELEMFLASGLNIEAYDKMYVSHMGWKIPEVATEDDEEPNERNPQIPFLCFSDEDLDENT >KVI08498 pep supercontig:CcrdV1:scaffold_660:23400:34117:-1 gene:Ccrd_013116 transcript:KVI08498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRRREVLLEYLKSSSVVSLSLSGMFEFGDELIIDSYRIPWLIWIQLLVMFLLVILLYYFSTTPSDLSLHFSTATATATASPSATGLSYHRSLLTSTAANNQHNSKDMEFGEIRAFQMDEKSQLCFTSPQQDIVATDLL >KVI08512 pep supercontig:CcrdV1:scaffold_660:177940:186000:1 gene:Ccrd_013131 transcript:KVI08512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MNTLLRKATAQIGSYTKTVGGSRALLVRWTSTDAVQSDDLSVDRNGFKGHDMLAPFTAGWQTSDSDPLVIEKSEGSYVYDINGKKYLDSLAGLWCTALGGSEPRLVAAATKQLNTLPFYHSFWNRTTKPSLDLAKELIEMFTASKMKKVFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARSKAYHGSTLISASLSGYDLQEIAIIAAFIAEPVMGAGGVIPPPVGYFEKVQEVVKKYDILFIADELISIYAKALKATETRVEELKSRS >KVI08506 pep supercontig:CcrdV1:scaffold_660:87993:100126:1 gene:Ccrd_013122 transcript:KVI08506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRNNESPLISTHLTNVREFLYAILFLLVAAYLVCTRLLFVFGDSSELQTEFEKVKSLIIPSSMIELRKLLDKYPTSEPNSFWLKNIFLVVLKQLGDSLLLGLLGGGPAYGVLDNKSKGFDDIDIDEIDNIGASYBIGASDDIDVSDDILDMELELLISMNVLTMDMLPEEEDQLYITLQFELAKAMSPCIIWIPNIHNMDSKHSKPSPFGGERWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLAAISVFGFIACCFVWFNNTAYPSEFYGXTGPEASQAQAFTFLVRDQRLGANVRSAQGPTGLEEMSRLNGNLLRSFIRRRSFCTADNIDGNLQSSKVQIFDRHLKRKQVKFCCTVNTNYVLLVVVINIQRDRAAWLINREDSLVNTVADNLLDRLEDVKKTFPTTLCLGGSLEAIRRSVCGRGAIQKLIMMDSSYDMVKLCKDAEKDFASDNIETSFMVGDEEYLPIQGSSVDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAIFGGETLKELRIACTIAHMEREGGVSPRVSPLAQVRDAGNLLTRAGFTLPGVDVDEYQVRYNSALELIEHLRAMGETNALVNRIKFLKKDTALAAAAVYESM >KVI08502 pep supercontig:CcrdV1:scaffold_660:131345:138870:-1 gene:Ccrd_013126 transcript:KVI08502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast carcinoma amplified sequence 3 MRKSRNKAKNNKHDNGNPLVLKSFRFVSSCIKTVSSNVKSAGASVAVSIAGDSSGELHKDQVLWSCFDRLELRPSSVKHVLLLGYSNGFQVFDVDDASNFKELVSRRDDPVTFLQMQPIPEESKGREGFRMSHPLLLVVASEEKRDLGSPCNKRDSYTVTQMGNFVQFPTAVRFYSLRSHNYVHILRFRSTVYMVRCSPRVVAVGLASQFSLKTGYLEQVLLLKLKLWCVVTYPVPRLGGEGPYGINIGYGPMAVGPRWLAYASNNPLLSDTGRLSPQSLSPSPGVSPSTSPGNGSFVARYAVKSSKHLATGLIHLGDMGYKTLSKYYHEFLPDDSTSPMLSNSSWEGGRVAAHSTETDNSGMVVIKDFVSEVIISQFRAHTSPISALCFDPSGTLLVTASVNGNNVNIFRILPSSSKNGSGTRVFDWNSSHLHLYKLHRGMTSAVIQDICFSQYSQWVAVVSSRGTCHIFVLSPSGGETSLQLQNSKMSSPMLSAWWSTSSFMIKRSFLPPAPAAITLSAVSRIKNNFGWLNTVTSAASLASGNVSVPPGVIAATFQSSVCHNLEPTVLNSSALEHLLVYSPSGHIIQYQLLPSLGAEQGESSLRSGMASQAQMHDENLQVKVEPIQWWDVCRRADWPEREECIGGVTHRHNAAETLMNNSDDEDISLAGKDFSKPHEQSHWYLSNAEVQMRSGRVPIWQKPKMYFYVMDPKLCEEMSISRKYVDGEIEIHKLPVQEVEVRQQDLLPLLHGSHGIHPDWSDGRVFAAGQYFAAPSNSNGSSEKFPEDPTISHRTAKRNDDIQCLQQMGTVKCYQILAPKVNDQRSLAGINLVLPSERTTTITLPLHENYVMNSSTPIHGSIFPEETMAGLNQSSESVVTSDVSNPGSNRSDLSMNIVDDSPLHENMHGFLDFGHDLQEGYCKPSAYEDNLQHVERSNKKSEEYGHSDDLLGEVFAFSE >KVI08501 pep supercontig:CcrdV1:scaffold_660:142636:146078:1 gene:Ccrd_013127 transcript:KVI08501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MINQEGSDRHKFLPKRIILVRHGESEGNRDGSAYSTIPDHKIELTQQGIAQAKHAGAQIRSVLSDSDGLLDNWKVYFYVSPYTRTRSTLREIARSFPRKCVNGVREECRIREQDFGNFQVTEKMNVIKDTRQKFGRFFYRFPEGESAADVYDRVSSFLESLWRDIDMNRLHHDPSKDLNLIIVSHGLASRVFLMKWFKWTVEQFEYLHNFSNCEFRVMQLGMGGEYSLAIYHSDEEMQKWGMSPEMITGQKWRAHTTTGALEKIPWYLDVFLDHVTDDGNDKDNSVDKNGS >KVI01554 pep supercontig:CcrdV1:scaffold_6605:3694:21568:-1 gene:Ccrd_020172 transcript:KVI01554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MTEMGGSEHSGAVVKTKSTSGCLIIKKKAAYGVEGVLGFSDSSSRNLFNSNKERKRPRVVLSDSESGDELSDPLRRKVDTIHTESVAYKKDLVENKDFQTEKRVKNGLDILKFDECDERRIRKDVRQNEDQRESESGSTRMIVDNKRDLYSEMDDDEFNLPLSVSNETDEEDLDEPVRLQRINGVLKVMVNKHKPMAVSHRGNGDAEAGDWKESTTGVIGKRKLSLSHNIRDQREAKDRQGSRPRDANKKRLGDGTINTRIENANSVKGKKSKIKRASCTEKQLLREKIKNMLLGAGWTIDYRPRRNRDYLDSIYISPAGTAYWSITKAYDALLKEDKDSLKAGVEFTPLPNEILSKLTRQTRKKMEREMQKNRSHEGNSRKSKRARLKKSALQVAGDQHKKKLGSYMRQSRKSSESRLKEISQDSSDYGYSSDNSDKETPQKDGAEKRTVCNNSHIVNGRKNRKVGRRTLLGRGSDKGLNLENNGFVPYSGKRTLLSWLIDSGTVSVGEKVQYMNLRKTRVMQEGWITRDGIRCGCCSKIVTIIRFELHAGSKLGKPFQNIFVESGQSLMQCQIDAWNKQEESERKGFHAVDIDGDDPNDDTCCLCGDGGDLICCDGCPSTFHLSCLDMQMLPQGDWHCPNCACKYCEVVGGYCTKASDKTESSLLTCCLCEEKYHKSCSPEMDDKPVDSDDLDFSFCGQNCRELYCSLQKLLWIKHELDSGFSWSLIHRSDPLPDVSSVNFSQRAECNSKLAIAQLVMDECFLPIVDRRSGISLIHNVVYNCGSNFSRLNYSGFFTAILERGDEMICAASIRIHGTQLAEMPFIGTRDMYRRQGMCRRLLHAIESALSSIKVEKLVIPAIEEHLHTWTNAFGFNRLEDSCKQELSSVNMLVFPGTDMLQKPLMKQNITSVEGKKGVEVEDCNKSELKDTAMEDGNHSEPEIRLSGKKYDRQSDTNALKNVEDGNETAEGNAGADSSSMVETIDLQNDNETAIRITDANSLQSQVPDTISTDISHEPQLQVAAKDSVSTDEHSDSIPAQNGNVTGLPSPRSPPDIPLEPDLEFPGKESASIDVQSVSMEKEIDVQDATGVSDSIVAHCTRK >KVH89035 pep supercontig:CcrdV1:scaffold_6608:8764:15097:1 gene:Ccrd_008981 transcript:KVH89035 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MEEPKHKSSRKDDSVKKEEELKRGHREKERNGERRRERERDRDKERERSVDEKYKDREKHKERHRDKEKEREKEKERKEREREKERERERERAKEKENRERERERERVKEREKEKEREREREKERERRERREREERDREKMREKRREAERDHNGAGGSDDVRDRDRDRKRRRRDDDYKEERERSTKVSSSRRREDSVDNSGANKVDENSSDKKEVRTREEDMEEEQRKLDDEMEKRRRRVQEWQEKRRKKEESEREQLGVAGETDEPKSGKTWTLEGESDDEEAAPVENAEGDMDVDDNVKPVHEIGDVMVNGLNSEDTVPALQNGGDRGDDNMDDDDIDPLDAFMNSMVLPEVSKLSNTEIPVLDQKSSYTKKDDKVQDNGQQRRKSAGRSMGRIIPGEDSDEDYTGAENDEDPLEDEDDDEFMKRVKKTKVEKLSLVDHSKIDYIPFRKNFYIEVKEIQKMTVEEVAAYRKLLELKIHGKDVPKPIKTWHQTGLTTKVLETIRKLNYDKPMPIQAQALPIIMSGRDCIGVAKTGSGKTLAFVLPMLRHIKDQPPLMSGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGLSCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSAGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDITQLVEVRLENERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFLSSEDERYAPDLVKALELSNQVVPDDLKALADAFMAKVNQGLVQAHGTGYGGSGFKFNEEEDEVRKAAKKAQAKEYGFEEDKSDSEDDDDGVRKAGGDISQQAVLAQAAALAAASQQPSTGGVPVALPGILGMPGVAVGNEGAARAAALAAAMNLQHNLARIQADSLPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYIQPGRIPGPGERKLYLFIEGGTEQSVKRAKAELKRVLEDITTQALSLPSSQPGRYSVV >KVH94991 pep supercontig:CcrdV1:scaffold_661:122214:122549:-1 gene:Ccrd_002940 transcript:KVH94991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MVLQIHPSELVGKTIGLYFGAHWCPPCCDFIAQLVEAYNDIINNTNQEFEVIFISTDRDRKEFELSLTKVPWLAIPFDDKSREDLCRIFEIKWIPSLILLGPDVRTISTNG >KVH94990 pep supercontig:CcrdV1:scaffold_661:172138:172554:-1 gene:Ccrd_002941 transcript:KVH94990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQCGDGTGDDGQKYFLPSDNDDASTSQMKQKESLPPKGEIQTMSPSISTFNGTSESAHGTKVIIYKESIGVKDVYGSLVQTDLTIGLASSRKPVFLPNMAVEEKELNKSYSSFQHGPWSRHVLPKVAKSILAQDMM >KVH94993 pep supercontig:CcrdV1:scaffold_661:112448:158529:1 gene:Ccrd_002939 transcript:KVH94993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21e MCSVKNDTHNLKRAFASLMFVLEINPDMLKPRTVETFLDSMKVTNGSPAFALVKSMFKNRYFIPFGMWGDALVVINRKIGKFCEFLEVFNKNCRIAKEEKLDSLRPDLAACNAALEGCCHEFESVTDAQKVLDTMFVLGVHPDETSFGCLVYVYAIKGLETKIIESEDLVSKFGFSDQRVFISNLISGTYGEIVKGYLTHGSIKALASLILEAQKLESTTVIVEKSVGYGIISACVNLGLLEKANNVLDEMNAQGGSVGLGVYVSILKAYGKEQRNSRSCTVGCPVNQLAAAAAAVRAMQKCSQLAAAAAVRATQNAAAKRSQLAAAILLLIDGRDEEGTHQLLPFAVVAAVSNGSEKDAASVLVEKPKENGTVGCCFAKEKKKNDTAVLLCEEIAKMQNEEGQNMDLYIPRKCSATNRLITSKDHASVQVNVGHVDETGRYTGQFSTFALCGFVRAQGDADSALDRLWQKKKVEARQ >KVH94989 pep supercontig:CcrdV1:scaffold_661:198312:199325:-1 gene:Ccrd_002942 transcript:KVH94989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21e MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHVDETGRYTGQFSTFALCGFVRAQGDADSALDRLWQKKKVEARQ >KVH94992 pep supercontig:CcrdV1:scaffold_661:15964:122210:-1 gene:Ccrd_002938 transcript:KVH94992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLHGARGFPFIEPKILEIEASLMKEGDGLPQEAEDCKHEHILKLEIPKAYICDFYKRHGTFWAFPRGCSSKEAVEGDQRRQREAATRGGDEQRRRAAAMSGGDDRPAGRRRQQANEPKKNENKQLDRIIEHPDGDVELRFSNNRVTRLKSLSSRFSTSDIPTSSYEKDSVIGTKFTKDEVCQPIYKIEIPNSSQSKTPNSPTASDMGYSEDYGINVLSKLEKEFSFSGKLEQEFYSP >KVG66568 pep supercontig:CcrdV1:scaffold_6610:21220:22928:1 gene:Ccrd_026279 transcript:KVG66568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase YIYIYFNIRFCLYVYIVADESYLGFTYEATDFTPAQXYDYIIVGGGTAGCPLAATLSENYSVLLLERGGVGSSDPNVLHEANMLVNILNANDKGTPGQPFISEEGIPNTRGNVLGGGSMINFGFYSRADDYFYSKSGIEWDMGIVEKAYEWVENGIVSIPEGLNSWQNSXYQALLESGVGPANGFTVEHLQGTKISGSTFDGSGRRHGAVELLNQAHPENLRVVVHATVDRIIFSSSRSSGVEAVGVVYHDSKXRSHEVHIKRNGEVILSAGAIGSPQLLLVSGVGPESYLLSQNITVVHHHPFVRQFMADNPRTEISLLVPFPLADIGGRVVGITKEGPFIETVSGVAPFYSPASTIIFPYPYAPLNLSTITIVAKFPRLASVGILDLKSASDVTVTPKVSFNYYSSIEDLRQCANMVNVFDKMLRTQAMDTYKFSDRDGRKYFQFVGFSLPENPSNEESVEAFCRNTLRTFWHFHGGCLVNKVVDSDLKVIGINGLRVLDGSVFSDSPGTNPQATLMMLGRYIGIKIHNARG >KVG66565 pep supercontig:CcrdV1:scaffold_6612:10894:16799:1 gene:Ccrd_026280 transcript:KVG66565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Achaete-scute transcription factor-related protein MLALSPPLFSTTYGWPLEDLIAQNLQQDYCNEANSYHSLLDFPSFDPIQQDFVPENSISYGGAVNGGTVDDLMMVAKKLNHNASERDRRKRVNDLYAFLRSLLPMSVDQKKKVSIPGTVSRALKYIPELEKEVETLLRKKEKLWSYSSSTATPMQERLSIKKQSAKDATIKTKSLVISSVSVLGEKEAVIQLISSTDHMSKNKEVGLFSKVLEYLEQEEDGLVLLNATSFKCSGEGTLLNTLHLQVLIWYLEDDLAQASEERVVVWEKKVGGSAKGSLRSRGQRLVERGIMPGGRQGGAPN >KVG65975 pep supercontig:CcrdV1:scaffold_6613:9122:10107:1 gene:Ccrd_026281 transcript:KVG65975 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4413 MSSMPKDMQEKFDKYWSDYNILLSCAAILDPCFKLERVEYCYEKLYGETYAKEMVSRIKVTLFDLFDEYKRVACGATSPTFASSFGMTNVSHDVGCDENQMDDILEYKMFLSKTRKVENDKSELDLYLEEKNFDVMGKCDVLEYWNRSLYGKKLINPWRASLEEKIIEMLACYEDWLRAKGLTLGSTSLFNYDDSIVGEEDEDENEVEEDSFILEQKLLSGGCFTVKELWMFLIKNWDV >KVH96386 pep supercontig:CcrdV1:scaffold_662:127821:129781:1 gene:Ccrd_001528 transcript:KVH96386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGITRYIQLVVRNDEELSSGSQVHCLEADIKTRVSEGMRLELCREGKVGLLLVFCVVVAPRYGSYADLQDTGIRKYRVNGQNILRCMVLFCKAAIEI >KVH96383 pep supercontig:CcrdV1:scaffold_662:10308:11510:-1 gene:Ccrd_001525 transcript:KVH96383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRRASGALKDRSSLLKASLTVRSTLRNPDIGVAVIKATTHDESCVNYRSNQRVFAWVRISDDHLCLVLWAISDRMGRTRTWAVALKGLMLLHGVFCCKVPGIQQIGRLPFDLLNFEDKHGKRRKMSGLNEFICAYYVFLDQKSSFIFLHSQEQRERRVKRQLELLAGNETSDEQKEKSMIQDLIWLQKLQGLLDTLLQIRPKSIQMVNTLVLEAMDCIVVEIYDIFSRICNGIASVLVKVYSAEKNEAEMAFSILQKAKVQHEELLFYFEFCREIGAVNASESPKMEQIPKEGIQELEHIINGESEQSKTDRSPKEEEKSIIVVEYQKSNKNHSRKNSVSSSKTVITDDWELFDEEQKKSYQNIELIDLVSASAKNNASVDHLVRRKIVEVPDLIVF >KVH96387 pep supercontig:CcrdV1:scaffold_662:135660:137805:1 gene:Ccrd_001529 transcript:KVH96387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MLDTNHPHHHLTVATGPSSSDPFPSPDHGLSSKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRDSPEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNNKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACVVRHVHPDLPAFRRTCSPPTASSNSLSSETNFNATRAFPRIQQIQPHPELLHLQAQEDTHNNLELQLLPPSSSSLFEENNNNQTHLKLSIGSRISFRNEEEKLKMAMAEMAFAEESRQKAKRQIEMAELEFAKAKRIRQQAQVELERAKLQQEQVTKKVNSAILEITCHCCKQRFQAVSNKASTTTADETSSIGLSYMSSAVTEAEGD >KVH96389 pep supercontig:CcrdV1:scaffold_662:174584:192565:1 gene:Ccrd_001530 transcript:KVH96389 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF5/SMARCB1/INI1-like protein MKPHPAWSSLRNPVKFRIPTADNLVPIRLDIDIDGQRFRDTFTWNPSDPDSDVILFAKRTVKDLKLPPAFVTQIAQSIQSQLTEFRSFEGQDMYTGEKIVPIKLDLRVNHTLIKDQFLWDLNNFESDPEEFARIFCEDLGVEDPEVGPAIAFAIREQLCEIAIQSVASAKETRINKKGRRGAEHLPLSKPGGSALDTLKLFGNKSSVIRKRREWDLYEPIVDMLSNEEVDALEAREA >KVH96385 pep supercontig:CcrdV1:scaffold_662:41554:48068:-1 gene:Ccrd_001527 transcript:KVH96385 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MWVNDRNDNNPIVVINKLKFTYPGIDGHPPPGSVPLIEDFSLTLNSGDRCLLVGSNGAGKTTILKILGGKHMVDPDMVRVLGRSAFHDTSLISSGDLAYLGGEVLDIDLSWRMHKVSDGQRRRVQICMGLLKEFKVLLLDEITVDLDVLARADLLTFLRKECEEHGATIIYATHIFDGLENWPSHIVYVAHGKLQLASTMEEVKNMSNLSLMRTVEQWLRKERDEERKRRKERKANGLPEFDRRIDGSRVIGGDPVRVVNNGWAAGRLNSTVAGEKNFMYSSNRVMRQ >KVH96388 pep supercontig:CcrdV1:scaffold_662:193814:194985:1 gene:Ccrd_001531 transcript:KVH96388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylacetic acid degradation-related domain-containing protein RSVVVRSTSKLTFHFAFLCLLKIESREGGWLLLPNYSLSLFYPMIKPPPPPPLLDSAMDSHPNTEALDAPLHAIGFQIDELTPHKVTGRLHVTAKACQPFKVLHGGVSALIAEALASMGAHIASGWKRVAGLQLSINHLRRADLGDHVFAEATPIHAGNTIQVWEVRLWKIDSESKSLVSSARVTLLTNLPVPNNAKDAATTLKKYAKLFIQSKCND >KVH96384 pep supercontig:CcrdV1:scaffold_662:19778:34587:-1 gene:Ccrd_001526 transcript:KVH96384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDLPTLAVVLKAALSPNPAERKAGEESLNQYQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFIAKNWSAIDPEEPSKILPSDKDLVRQNILVFVAQVPPLLRSQLGECLKTIIHADYPEQWPGLLHWVTHNLQDQQVYGALFVLRILSRKYEFKSDEERIPVYQVVEETFPHLLNIFSRLVQIGNPSIEVADLIKLICKIFWSSIYLEIPKTLFDPNVFNAWMVLFLNMLERPVPSEGQPTDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPENKAFAQHFQKNYAGKILECHLNLLNAIRIGDYLPDRVINLILQYLSNSISKTTMYNLLQSRLDVVLFEIIFPLMCFNDNDQTLWEEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKENLQKFILFIVEIFKRYEEAPVEFKPYRQKDGALLAIGALCDKLKQTEPYKSELEPMLVQHVFPEFASPVGHLRAKAAWVAGQYAHINFSDPNNFRKALQSVVAGMRDLELPVRVDSVFALRSFVESCKDLSEIRPILPQLLDGMHFEVLLIHAMELAFLTHGFLSDFFKLMDEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWKCINTSEADEEADDPGALAAAGCLRAISTILESVSRLPHIFAHIEPTLLPIMRRMLTTDGQDVFEEVLEIVSYMTFFSPTISMDMWSLWPLLMEALADWAIDFFPNILVPLDNYISRSTVHYLTCKEPDYQQSLWNVLSNIMSDKNLEDNDIEPAPKLIEVVFQNCRGLVDHWVEPYIRITVERLRLTARPYLKCLLIADALYYNAPLTLNILQKLGVATEVFNLWFQMLQQTKKSGVRANFKREHDKKVCCLGLTSLLPLPPDQLPAEALERVFKATLELLVAYKDQVAEAAKEEPEDDDDMDDGLETDDDDGSDKEMGVDAEDGDEADSLRLQRLAAQVSLQASDQMRFQNLSQTLDFRYQALANGVAQHADQRRIEIEKEKAEKAAAAAATAS >KVG65188 pep supercontig:CcrdV1:scaffold_6627:23666:24518:1 gene:Ccrd_026282 transcript:KVG65188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVFTAPPPPSRRRSANHHPHPTIVCRLHLRLSPPSSPSPATRPASAFSMILLRLTRGVSTGSGEGLTGSSKVGFVAVCSTE >KVI06895 pep supercontig:CcrdV1:scaffold_663:268:10189:1 gene:Ccrd_014738 transcript:KVI06895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MADGPGSPAGGSQSGGERSPQSNYNIREQDRFLPIANISRIMKKALPTNGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKAYLSRYREGDTKGSARGGDGSGKKDTIESQLPPNAQYTHQGSFTQGMNFLDSQAGRQAKGGVKLGKQEVGVLVY >KVI06894 pep supercontig:CcrdV1:scaffold_663:16952:18379:1 gene:Ccrd_014739 transcript:KVI06894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 MENSEASISSTATRTWHDFLERMRQPSATEFVKSIKSFIVSFSNNAPDPERDSASIQEFLGNMEAAFRAHPLWAGRTEEELDNAGEGLEKYIMTKLFNRVFASHPDDIKIDNELHQKSALIQQFIRPEHLEIRQIYENETSWLLAQKELQKINVYKSPRDKLACILNCCKIISNLLLNAAINANQNPPGADDFLPVLIYITIKANPPQLHSNLSYIQRYRCKSRLVGESAYIFTNMLSAESFILSINAESLSMNETEFTKNMESAQLISGLSSETQSETVSNSKLKEVPSVSDLENKGAAVIVAEENVSEKFDNFPYLYSKAEDLTIGNVEELLNGYKQLVFKYVCLAKGLGVPVWWHQAQGTRTGGEAAGDLGKIDGDEEGLGVPARLSAEIGGGSGVALEGKESMELNGDDVGGGKELGGPEPPLESSEAGIAVHSPEAKGDVVVDDEQKVEDVRVEGGRNDESTVAGKYMER >KVI06905 pep supercontig:CcrdV1:scaffold_663:69122:69704:-1 gene:Ccrd_014744 transcript:KVI06905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPLRFHHTLPWTTNHLFHPKSESKQSMLTSLTIFRDASFKASGGGVDDKNSTIRLRSSSNHVLDEISMARSIYDGAVIFHVIPRSRSAFSLSSTHAYLNERLFISAASFSNFSITRLSIPPSLYIRCPVVVDFPEST >KVI06906 pep supercontig:CcrdV1:scaffold_663:80338:81972:-1 gene:Ccrd_014745 transcript:KVI06906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAPCLCTLTPLPSPSTSISKFILDQPCLSIIQTKCSTIKDLQIIHAQIIKTGLIKDTIAASRLLAFAATSPAADINYALMLFDQIQNPNLFIWNTIIRGFSRSSNPRMAVSLFIDMLIQLSVEPERRTYPSVFKAYAELGLARDGAQLHGRILKLGLQFDVYIRNSIVHMYANCGCFGEALELFRDGEDMDVVAWNSMILSLAKFGKVENARDLFDNMPQRSSVSWNNMISGYVRTGNWVEALSFFSIMQAEKIKPNEFTLVSLLNASAHLAALNQGEWIHDYIKKNRIELNVIMVTAIINMYCKCGSIEKAWQVFESASTKGLSCWNSMIMGLAIHGRENEAIELFSRLESSEFKPDDVSFISVLMACSHSRLVKKAKYYFSLMTKKYNIKPSIKHYGCIIDVLGRAGLLREAEMVIKSMPMKPDAVIWGSLLSSCRSYGDIKMGEWASRNLLDLGVDESCGHVLLSNVYAGEGDFEMAIQERMLMKEKGIEKNPGCSLIEVNGEVHEFLAGGRLHPQVQEIRLLLENLTLKVRDINFHNHDF >KVI06896 pep supercontig:CcrdV1:scaffold_663:193509:199994:-1 gene:Ccrd_014750 transcript:KVI06896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1751, integral membrane, eukaryotic MSSPSGASLFTGFTRLCKGLAVVLVCGYIAVQIFPSVLTYVALIPARTIPFGWNLITAGYIEQTVQGVLSSTIGLLFIGKLLEPIWGSREFLKFIFIVNFLTSVCVFITAISLYYVTMQENYLYMPLSGFSGVLSGLLVGVKQIIPDQELSLLKIKAKWLPSIMLLVAIALSFFTIEPAAYLPILIFGTYIGWIYLRYFQRKQETRLKGDPSDEFAFSTFFPEFMRPVIDPIASIFDRMLCGRSEASNDARGYTVGSAPLPGSDPIEATRRRERGARALEERLATERLTAVGAKSKTDESDIDASENV >KVI06901 pep supercontig:CcrdV1:scaffold_663:27973:34333:1 gene:Ccrd_014740 transcript:KVI06901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0363 MSRERFRKALLPPGLENIEKLKKIVDEGNYYGAQQMYKTTSARYTSVGRYTEALDLLQSGACLQLEHDQVTCGGELAALFVETLVKAKVDFDDDYLDRIRKIYKKFPRIPLPENLDLADDEAIHRLSETLGAAKTRVQSCSSFLKAAIKWSAEHGPRKIGSPELHDMLAEYIYSESPEAVCLLYLSIGNLRDANNIMDEIKKLARAKELEFPESELMRLMRDALPLFNMLRQKFSSCIDRDPMFNELLDDIGEKFYGVRRKNPLQGMFGDLFKM >KVI06900 pep supercontig:CcrdV1:scaffold_663:69043:70580:1 gene:Ccrd_014743 transcript:KVI06900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKTHVNIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIEKFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETMKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDSTTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDAITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVSVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKEPTGAKVTKAAVKKGGK >KVI06903 pep supercontig:CcrdV1:scaffold_663:84937:88183:-1 gene:Ccrd_014746 transcript:KVI06903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1301, TMEM70 MARSILLQLVRSQTRRHLSSPKIHTDFYPHRFRAWQAQKTLAPYFNPAKHLSVVQRRWASQSSATEDSSKITIGPSKGVDSGKDDKDSGVVYYGPISNTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVIIKGAVASSVIFLSASTTAALHWFVSPYIHRLRWRPGSDTFEVDMMTWLASFVPKTIKFSDIRLPDTNRPYVTFKANGNFYFVDADHCHNKALLARLTPTAKATQDSALKNL >KVI06897 pep supercontig:CcrdV1:scaffold_663:128496:133222:1 gene:Ccrd_014748 transcript:KVI06897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFCRNMADESSPSQHDIVRCPFLRNINEPTNFSFSSSMAFPLPDGPNFDMAFRLFHGQNGVVPLSEGSLQFPQKVKAEPVSQQFNPLAAKAATISLSGFGGSFGFDAFNEIGDSKHEAMGEEWLKSGNCPIAKSYRAVSNVLPIVAKALKPPSGIKYKCPPAIVAARAALARTAFAKNLRPQPLPAKILVIGVMGMAANVPLGIWREHTEKFSPSWFAAVHAAVPFIAMLRKSVLMPKTAMAFTIAASILGQVIGSRAERYRLKAIAARPPAVAGTFVGGFDPAGVNMGGSHPVGVTGGHCGEAVEWKSGPLQVAGPSA >KVI06898 pep supercontig:CcrdV1:scaffold_663:176718:183473:1 gene:Ccrd_014749 transcript:KVI06898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MDREEEYVKLKERSLEITPSWAVAVVVFVILAISIALEYILHLIGHWLHKKHKPALYEALEKIKAELMLLGFISLLLTVGQGTIADICIPSKVARTWHPCNKKTADDAYDDPCLKKMKKWKAWEDETKTVEYQYHNDPERFRFARETTFGRRHLRFWSDSTLLLWIGCFFRQFFTSVAKVDYLTLRHGFINAHLTPESTQRFDFHKYISRSLEEDFKVVVGIRLVCIPLAALHPIDCKYYSHLIILLVGAKLQVVITKMGRRTHEMADVVKGTPMVQPGDDLFWFGRPQLILLLINFVLFQNAFQLAFFMWSWYTFGLRSCFHHRIEDIVIRITMGYVTLPLYALVTQMGTRMKPTIFSDHVAKALKTWHHTAKKNIKHGNHSAPTSPFSSRPGTPLHGSTSPMYLLHRYPKDSLESPSNSPRGSNFEHEGWANESPSNHRHNHREDGDVGRDDIHDLEENEIQAPQSSSIELPTGPRPVRTQHEVDITDFSFGPGK >KVI06902 pep supercontig:CcrdV1:scaffold_663:36203:38530:1 gene:Ccrd_014741 transcript:KVI06902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMNTTSSSPPKPPLNPSEITQNLTKILAKNPPSISLISSISKFIPHLTPVIIHSVVSSQTLKSNPQILLNFFKISQLHAPSFSNGSPATLPSFFVVLQTLFAHNKWADAKTLLLNFIGADTRHRLLRTILHPSRDIPRLSKALYDTAIGAYIQMGYPHLAMIVFRRMKRLRIPPKLITCNTLLNSLVKHSSSRSILYSREVINDAIRLGVVPNVNMFNIMINGYCLENKFGDARDLMNKMREFNCLPDNVTYNTLLNALCKKGRLKDVRELLLEMKNQGLFPNRNTYNTLVHMYCQRRGSLLEATHIFDLMTQNNFLPDVWTYNTLISGLCNEGKIEEAIRVSNEMEKLKLLPDVITYNTLIDGCFKWKKSSEAIKLLDGMSERGMKRNTVTYNILIKWYCKEGDMGKASETVKHMETNGLFPDSVTYNTLINGYSKAGSLGEALKMMKEMSGRGLQMDSVTVSTVLHALCLEKNKGLLEKAITLFNTWISKGKTVDAVTYNTLVSCLCKEGRFEDAMDLVAEMKEKNLGPDRYTYNAVLVTLTDAGRREEAEDLMSKMIEWGNVLDDLSSHGVQDEPDTSLVACSEDIDKLCTERKYRDALRLFGELSGKGIAVKKSTCISLMCALIKKEMRRLNAV >KVI06904 pep supercontig:CcrdV1:scaffold_663:92711:99479:1 gene:Ccrd_014747 transcript:KVI06904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLILDIGDDGIEKMEENNAATVDDIGRQVDDLFTKVEQLEQRVNEVEQFYLNLSKKQSSASQKSSLVKDKDKVKHIPGFKKHQQDAARREAAAAKRMQEIMRQFGSVLRQITQHKWAWPFMQPVDVEGLGLRDYYEDGVYQVIDRPMDFSTIKNQMEAKDGTEYKHVSDICSDVRLIFQNAMKYNDDKSDVHAMAKTLLEKFEEKWLQFLPRVNEEEKRRGEEEAEAQLNMQRVQEAAHAKMARDLSNELYEADMHLEGLRETVVQKCRKMSIEDKRNLGIALTQLCPEDLSKALDIVAQHNPSFHATAVEVDLDIDAQSESTLWRLRYFVKDALQGHSKDDDEGNGHLIPTKPTNTTRTIASKRKKQICNALARTAKKRNQKCSS >KVI06899 pep supercontig:CcrdV1:scaffold_663:41083:43970:-1 gene:Ccrd_014742 transcript:KVI06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MASSIKQELICEGSHLVPSPRHLPVSLNNTGFPSLNPSFKSLIKDRKNKRRIESSWRLLQEGKEMGKKGWLSAVKRAVIRSYSKDKKGKRSHRSNSRKSRHGNQMMSFDSYSPQTEAALANPPMEMEVDIMQHDSIAHANIAMVEEPADLKQPDDEQIMQPDSTTDMEEEPCVADDEVIPETSTTQFSGKSKEEIAAIKIQTVYRGHMARKDWRVLRARRRLRVMIKGQAVKRQTASTLMCMQTMARVQSQVRSRRIRMAEVNKDLQRQLLQKTKKALDRVKSSEQVEASLRKKRETAERREKALAYIHTHQQIWRNSLRSANPTSTEPWGWSWLDRWNAVRPWEPATSNTESPSKPALLSASSKGSLYSMQSIRGSPNDSLRSSSVANASKGSTKSTKVRSKISTHAPGRLVSGKQGGSSSRLGVVSTKKQISSSGSSSGLRRSMGPRRTKF >KVI08876 pep supercontig:CcrdV1:scaffold_6631:18046:19482:-1 gene:Ccrd_012747 transcript:KVI08876 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDQEKLHVAIISSPGMGHLIPVLLLGNRLATHHHLHATILAVTTQTSYVESQLLASLMDSRHINIIKIPLVDISNLLHPNDAVVTQLAVMMREARHGIRSAISAMTHRPDVLIADVFSSESLPIADEFHMRKYVYVPCSARFVSVTTYCHVLDEEIKGQYVDQREPLMIPGCDPVRCEDVPDPMLDRNNQQYKEYVRMGIDFATLSDGILMNTWTDLEPKSSDALKFNKILRSVVKVPVYNIGPLTREVEESSSKKSEVIEWLDRQPLESVLFVSFGSGGTPSADQITELAWGLELSQQRFLWVIRPPAGSSNDGAFFTAGTGTDGPPEYLPEGFLTRTQRVGLVLPLWAPQVEILNHRSVGGFLSHCGFNSVLESIKGGVPMIAWPLYAEQRLNASIVAEEQKVGVRPAVLPTKKVVGREEIEQMARRLMESEEGKRMREKVKALGESAKEALGIEGSSYNSMCEFIKCCEKKMTRP >KVH99587 pep supercontig:CcrdV1:scaffold_664:118548:143743:-1 gene:Ccrd_022180 transcript:KVH99587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MAICSFVGFHLPDTGDPAAPSLTTAIGTSGVPLVLSPSMIIAGITCAIASQKLNLINGVLFTGGSSKAGLYFDVVGIFNETGEIERDREEIEKKNDDPDHLQVSKRLQPWTKQITIRGVIASIIVGSIYTVIGMKLNLTTRMTPNLNVSAALLGFKSRIFTVPFTRHENTMTQTCSVASYTIAIKGGFGSHLLDLNKKTYKMAGGANSPGTYKELCVGWMTGYSFLICFAGLFVLIPLRKEANQGVCQVLFSQFLVGNLLVENVDLLNSLLSDWKLIRTHKGLFGEMGEQDVERLLWLYI >KVH99586 pep supercontig:CcrdV1:scaffold_664:147506:158811:1 gene:Ccrd_022181 transcript:KVH99586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein TRNRWRKRKRGEQSRKPRPQPQHHHDEDEEDYEEEDDVDLDQQNPHPEFDDDLSNSSDPTAATGAESEVISDGAVRISTFPSVIKQTVNQHHYSVIQIVARERACQTGDSSSGGMNCPFLENISHGQLQALSAMPRDAPALTGGDSEGSFVITPPPIMEGRGVIKRYGSNRVHVVPMHADWFSPTTVNRLERQAVPHFFSGKSMDHTPEKYMDCRNRIVAKYMENPARRLSTSDCQAFLVGVDADDVTRVVRFLENWGVINYCAAPLNNHDPRNEGSYLTEDLKGELRVPATALRSIDSLIQFDKPRCRIKAADIYPELAVDSDENSDLDSRIRELLSENRCNHCSISLGIVYYQSLKEVIYQPVCTCYFLFSICDPSLSKSHFLKIETLLCLNCFNEGAFVAGHSSLDFIKVDSTKYYGDLEADSWTNQETLLLLEGMELFNENWIEIAEHVGTKSKAQCILHFVRLSIDETPLESIEVPAASNLPNGNGCGKPQSYANGKASIIEDPEFEDRLPFEKSGNPVMSQVAFLASAVGPRVAAACAHASLAALSEDDHLGASENAGVVDGSVSENRMNSETINGRDDCTRADTRNPVQQKVEISGVQGARSQGDANVTCISSEKMRNAVRAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVESLLIKECEQLERARQRVSAERGLLLSSQFGPGGGVTRPTGLPGVGPSLVNNAAAGSSRQQGSPAQPFISGFPSNHPQQPMFGLGPRLPLSAINPSSSSAASPHPMLRPVSGSRSGFE >KVH99585 pep supercontig:CcrdV1:scaffold_664:106537:114689:-1 gene:Ccrd_022179 transcript:KVH99585 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-oxoguanine DNA glycosylase, N-terminal MKRCCPPPSVTTATLEPIRSKTTLTNSISSYYSSKKPRKHLKKSPQNPKWVPLNLNKSELFLPLTFPTGQTFRWKQTAPFQFTGVVGSHLVSLIQLQDANSSDVAYCFHNTRTSDQAAAKSALLDFLNMGVCLSDLWSDFSAIDPRFAELAEHLSGARVLRQDPLECLIQFICSSNNNIKRITQMVDFISSLGNYLGVVEGIDFYEFPSLDQLSKVSEEELRKAGFGYRAKYIIGTIEVLQSKPGGGVEWLASLRKLDLQKAIEALITLPGVGPKVAACIALFSLDQHHAVPVDTHVWKIATRYLIPELAGTRLTPKLCSRVADAFVEKYGRYAGWAQTLLFIAELPLQKALLQSVTNERKEASKDKAQRVEEEGP >KVH99582 pep supercontig:CcrdV1:scaffold_664:2275:13874:1 gene:Ccrd_022176 transcript:KVH99582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 28 MDPDESLSKGKTEESYGATASNEVENRSATSSPLMHKDVSSPVEISKSKELSYSAPPHPRRALDHSVTAPASVRRNLFPETKEAVYSRSMTEKWWTQSWIPKHELKLDRLSEREKQKLIVELVKIQSDGTVEVDLSKNAPVASELFEYQSVEGFHPDMEFIVTDSNKSIPKLKIAMLVVGTRGDEFGHHVRLATHANFRSFVESAGVDFYPLGGDPRILAGYMARNKGLIPSAPGEISTQRKQLKAIIESLLPACTEPDLKTGKAFHAQAIIANPPAYGHAHVAEALGVPLHMFFTMPWTPTSEFPSPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKLNLAPIAYFSMYRGSISHFPTGYMWSPHVVSKPSDWGPLVDVVGYCFLNLASKYQPPEEFIQWIGKGTSPIYIGFGSMPLEDSVKTTTIILEALRKTGQRGIIDRGWGDLGTFPEIPEDVFLLVDCPHDWLFPQCAAVVHHGGAGTTATGLRAGCPTTIVPFFGDQYFWGDRIYHRGLGPNPIPINQLSVEALSDSINFMLQPEVKSRAMDLAKLIENEDGVANAVDAFHRHLPADMPLSSTLPSKQEDDDDHPNPLQWLFTQIGLYCGCGTS >KVH99588 pep supercontig:CcrdV1:scaffold_664:179741:182712:-1 gene:Ccrd_022183 transcript:KVH99588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MAGLQHESRTTGGSNRVSAVDLTSIVNSKDYDHKHMNHDQKPGWRNFLSFVGPGFLVSLAYLDPGNMETDLQAGADHRYELLWVILIGLIFALVIQSLAANLGVSTVIGTAFALNILFKIPVWVGVLLTGFSTLLLLGLQKYGVRKLEILIAILVFVMAGCFFGEMSYVKPPAADVLKGMFIPKLSGHGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSVRGINEACRYFLIESGLALFVAFLINVSMIAVSGTVCSADNLSSDQKDRCDDLNLNSASFLLKNVLGKSSSTLYAIALLASGQSSTITGTYAGQFIMQGFLDLKMRKWLRNLITRCIAITPSLIVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFTFVDWLIHSTWPKVANVFIGMLVFPIMAIYILSVIYLMLRKDVVVTFIEPPKFDPNAQAVMEGGQNGVDRRMESMNAPYREDLADIPLPQ >KVH99583 pep supercontig:CcrdV1:scaffold_664:20649:27506:-1 gene:Ccrd_022177 transcript:KVH99583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MDTKGRLVAGSHNRNEFVLINADEVGRVTSVKELSGQICQICGDEIEITVDGEPFVACNECAFPICRPCYEYERREGNQACPQCKTRYKRIKGSPRVDGDEDEEEFDDLDNEFDLANYPRRDPHSVAEAVLSSRLNIGRGTSHASGFATPSEVDAATLNPEIPLLTYGQEDDGISADKHALIIPPFMNRAKRIHPMPFSDTASSVSLPPRPMDPKKDLAVYGYGTVAWKDRMEEWRKRQNDKLQMVKHEGDGGGSGHNDGDVDDPDMPKMDEGRQPLSRKLPISSSKINPYRMVILLRMVILGLFFHYRILHPVKDAYALWLTSIIYVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFAEKVDYLKDKREYEEFKIRINGLVTMAQKVPEEGWTMQDGTPWPGNDVRDHPGMIQVFLGNNGVHDMEGNELPRLVYVSREKRPGFDHHKKAGAMNALIRVSAVISNAPYMLNVDCDHYINNSKALRESMRQALYGYDAPTKKKPPGKTCNCLPKWFCCFCNSRKKKSKGKSKEKTKKTKETSTQIHALENIEEGIEGIDSEKSSLMPQIKFEKKFGQSPVFIASTLLDDGGVPPGATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKPLERFSYINSVVYPLTSVPLVAYCTLPAISNYASILFMLMFLSIAVTSILEIQWGGVGIDDLWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTAFTVTSKGGDDGDFAELYLFKWTTLLIPPLTLLIFNIIGVIVGISDAISNGYESWGPLFGRLFFAIWVILHLYPFLKGMMGRQSNVPTIIIVWSILLASIFSLLWVRVNPFLDRGGIVLEVCGLDCD >KVH99584 pep supercontig:CcrdV1:scaffold_664:88923:101040:1 gene:Ccrd_022178 transcript:KVH99584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad (HIT) protein MSSRMRLQVLNSHITGAHQEPSAMAAEKEAALAAVPSDSPTIFDKIINKQIPANVVYEDDKVLAFRDIAPQAPTHILLIPKVRDGLTGLSKVVAKQEGLEEGFRIVINDGPNG >KVH99589 pep supercontig:CcrdV1:scaffold_664:165707:169665:1 gene:Ccrd_022182 transcript:KVH99589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MADHFILHLFFLIPLLLLPHCHSQSLLTLQTFLTTFNARGTNNYCTSSITSSVVINLPSAPPNSSVTLVCYNNTITQLHITGNNNHFPRDQTLSTKSFFYTISTIFPSLKVLSLVSLALNGPLPATVLADTLSSLEILNISSNHFHGNLRFELSYLKNLQTLVFDHNNFSGEVPDWLGSLSSLTVLSFKNNSLDGFLPFSLSRLVSLRVLDLSFNRLSGDVPVDFKNLTNLQVLNLEGNQFGPSFPVLHNRLIVLVLSGNRFRNGIQGYDLRSFYQLQKLDLSSNEFSGPFPDLLFSLPSLAYLNISGNKFTGKLSINVSCGDALVFVDLSFNRFTGELPSCMHSSESKTMENDRVLYGGNCLSNVDKSQQHNVSFCHNEALAVMIKPPESANDNLKSSSKVKVVVATSVVGGVVVGGVAVVGVVLVAIRGKSFGGGCAATPQIRVLLEKVSPAYTIKMLKDARYISETMKLGSLGISAYRTYVMGELIEATNNFHVSTLVGYGSHGQLYKGQLSDGTMVAIRNLKMRKRCTIQSCTRQIELISKLRHPNLVNPIGHCFDRQPDELAIIRGVFLVFEFVPNGTLRASLSGEQKLSWAQRLSAAIGISKGIQFLNTRIGPRIFPDNLKITNVLLDQSFHVKISGYNLPLQVETKVAARQPSSGKRFDIEEDDHDHDHVYDLGVIMLELILGRAINCISDTTIAKDMLQVSLVVDEVARRSIVDPLIRKECSNESLRMLMELCLGCLSKDRRSIDDVLWNLEFIAQAHTSSGEDPTSSQMTTDATIVCIPEKVE >KVH98725 pep supercontig:CcrdV1:scaffold_6643:19421:19756:1 gene:Ccrd_023048 transcript:KVH98725 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, subunit 1/F420H2 oxidoreductase subunit H MDGSLDLFVTFEEPYEMKISCTVLLSTVDIIEAQSRYDFWGWNLWCQPIGFFVLLISSLAECERLPFDLPEAEEELVTKKIGGSCDTFTKKILL >KVH98724 pep supercontig:CcrdV1:scaffold_6643:19852:20136:1 gene:Ccrd_023049 transcript:KVH98724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19 conserved site-containing protein MVSHTIAIHNGKEHLPIYITDHMVGHKLGEFGPTLNFRGQAKSIIDLVEANFMLNKRTTEVYALGQHISMSAHKA >KVI11257 pep supercontig:CcrdV1:scaffold_665:136363:139960:-1 gene:Ccrd_010335 transcript:KVI11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light regulated Lir1 MRSVLSDVGQARVAGVRAPIGTSSGSPSYGQNPGNKRKMHRSSVSAERNKPVNQESEDESSYEILEDSKDDLYGLYLIALNQNYKMMIEINLEVDLLDLKILMAPYHPSGIFLAEACETVGGIACDVEMFSETKLREEAKPKAKVTTSQAVDREYVEYNSIDRYANAPAKRKHIFIRLYKTNLTELKLNSILHHGIQVQEAILAQVVKCLFY >KVI11258 pep supercontig:CcrdV1:scaffold_665:47868:48194:1 gene:Ccrd_010334 transcript:KVI11258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L44e LTSPRQRRLNASRRTAGSIHYISLAAQGKHRYDRKQSSYGGQSKHMFHKKAKTTKKIVLRLQCQGCKHVSQHLI >KVG63390 pep supercontig:CcrdV1:scaffold_6654:8198:11865:-1 gene:Ccrd_026283 transcript:KVG63390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFLLLLLLLIPNSFAAKSGRLPEYSALLSIKSAITDDPQSSLSTWNLSTTHCSWSGVICDSRRRVVDLDISGLNLTGTLSSDIGHLRYLLNFTIAANNIAGVIPPEFSFITGLRLLNLSNNIFNETFPPELSSLKSLRVLDLYNNNMTGDLPVAISEMTNLRHLHLGGNYFSGIIPPEYGRLPFLEYLAVSGNELTGPIPPEIGNLTNLQQLYLGYYNGYTGGIPPEIGNLSSLIRFDAANCGLSGEVPPEIEKLQNLDTLFLQVNGLSGSLTKELGSLKSLKSMDLSNNIFTGEIPDSFKELKNLTLLNLFRNKLHGSIPDFIGELPQLEVLQLWENNFTGSIPQGLGKNGKLQILDLSSNKLTGTLPPNLCTGNNLETVITLGNFLFGPIPASLGQCQSLNRIRMGENFLNGSIPKGLFSLPHLSQVELQNNLLSGEFPVTDSVSVNLGQVSLSNNRLTGPLPASISNFSGVQKLLLDGNKFTGRIPGEIGQLQQLSKIDFSHNSLSGEIAPEISQCKLLTYVDLSRNQLSGEIPTEIKGMHILNYLNLSRNHLVGSIPTSIASMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFVGNSNLCGPYLGPCKEGVANGTHEPHSKGTLSASVKLLLVIGLLLCSIVFAVAAIVKARSIKKASKARSWKLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGVMPNNELVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGKYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDGNKEGVLQILDPRLSTIPIHEVMHLFYVGMLCVEEQAVERPTMREVVQILTELPKPPNSKVAADGDSMAAATTTAPPSPTMELGGKGDLLSI >KVG63391 pep supercontig:CcrdV1:scaffold_6654:8198:11962:1 gene:Ccrd_026284 transcript:KVG63391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSPLPPNSMVGDGGAVVERDDCGSSVMADLMDRSAEYSGKRPDLAAKEFGMSSKRRRRKRKLMLMAISFSLYWFVRERRNGKEEEGGGG >KVG63389 pep supercontig:CcrdV1:scaffold_6656:14215:19762:1 gene:Ccrd_026285 transcript:KVG63389 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MRGLVIGVSLIPLSEHNYVNWTLMSCNMQRQSFPLSQFQKCIVGTSFYQLCTNVPTKILVCIKNPRFDRVNALKTTNFSAWCCYTWWRTRFGENILVAYMPWEGYNFEDAVLICEHLINEGPERITNEMPHLEAHLLRNLDKNGIVMLGPWVETSDILVGKLTSQTVKESSYSPEDILLRTVLGMGWVIDVRWIHSSKTDETDKIERKAKIGTKYHLIRRSSKKEISKVRSLNDKWEIYGKLQSPPQNSAPTHLHQHCFSTGRPRTNYREFGR >KVG63388 pep supercontig:CcrdV1:scaffold_6656:19786:20093:1 gene:Ccrd_026286 transcript:KVG63388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVARGDKIEXVRIKISLYSISMIDDHRRPLYHFRRVEKFGSSQGSLLEVMGSNPVSPILFVKENYMFYSIN >KVH98848 pep supercontig:CcrdV1:scaffold_666:88856:91793:1 gene:Ccrd_022925 transcript:KVH98848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVSTTIFSASSLCFNFSTKIPRPTTFSRFPNLCTKSLTVTASTIVHYSTDKSSVSSKTSSWQWKFRDNSIKIHYEEHGDESNGPSKNILMMPTISDVSTVEEWRLVAKDIIQRSGNVNWRATIVDWPGLAEDLVIVGGGHAATIAVRAAKKGLVKPTAIAAIAPTWSGPLPIVFGRWMMYNVLVSNKKSIQSQYKSHVYADSTNVTPGMIESRYELTKQKGARYVPAAFLTGLLDPVKSREEFIELFQGLEGKLAVLVVSTSGAPKRSKAEMEALREAKGVSKYVEVSGALLPHEEYPNLVANEIYSFLQDVII >KVH98853 pep supercontig:CcrdV1:scaffold_666:155843:157243:-1 gene:Ccrd_022930 transcript:KVH98853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MASVALKSFVGLRQSSTENHRSSIASLTKPLSSTQIHYRRLNVVAGKSSPKVTGRNLRVAVIGGGPAGGAAAETLAKGGVETILIERKMDNAKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKPHEYIGMVRREILDAYLRDRASAAGATIINGLFVKMDKPTEKYKPYVIHYNSYDGKAGSAGKKVSIEVDAVIGADGANSRVAKSIDAGDYEYAIAFQERIKIPADKMQYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQLATRLRARDKILGGKIIRVEAHPIPEHPRPRRLAERVALVGDAAGYVTKCSGEGIYFAAKSGRMCAESIVEGSENGKRMVEEADLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKKVAPGNPLEDLKLAVNTIGSLVRANALRKEMAKI >KVH98838 pep supercontig:CcrdV1:scaffold_666:14085:17518:-1 gene:Ccrd_022918 transcript:KVH98838 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MGRSGAAAGDLLLLIAQNFDVLAGPVVSLAYPLYASIRAIETKSVADDQQWLTYWVLYSMITLFELTFAKLIEWIPFWSYAKLIVTCWLVIPHFSGAAYVYEHYVRPFYTGKQTINIWYVPSKKDVFSTQDDILVAAEKYIQENGPDAFQEIIQRSDSEVKYRGSSFISENDYVY >KVH98851 pep supercontig:CcrdV1:scaffold_666:140892:147233:-1 gene:Ccrd_022928 transcript:KVH98851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3675 MNFRVLIFPVGFSYMEDHLILHVDQLVAPQEVEAPVLIPKASCSHGAGLSTSVTDRKEDREYDASGEEEPLIQSVECRICQEEDSIKNLEFAHRKCVQRWCNEKRDVPHSLLYSYFLLPTPLSSPVGSWTLAGTPLDLNDPRVLAMAAERRLLETDYDEYEDNSASGALFCRSAAIILMALLLLRHALTIGDGDSDDDDPFAFFAEAETLAAADVAFMIPAGQHRNLQVTIAPGPAMAPTTPMVIPIPAASPTHESLQ >KVH98852 pep supercontig:CcrdV1:scaffold_666:167178:168911:1 gene:Ccrd_022931 transcript:KVH98852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MKLLLVVLATLLSGFIHGYDCKIVQFIFGDSLSDNGNNNRLTKSFAQAALPWYGIDFGSGIPNGRFTNGRTVADIIGDKTGVPRPPPFLDPSLSEDVILANGVNFASGGGGILNETGGLFIQRFSLYKQIELFNGVQRLIRGTIGDEKANKFFQEAKYVVALGSNDFINNYLMPVYADSWTYNDDTFISYLMETLRAQLMVLHGMGARQLMVFGLGPMGCIPLQRVLSTSGGCQEKTNTLALNFNKATAKLMDELSAKLPNASYQFGDAYDVVNNVITNPKKYGFDNADSPCCSFGRIRPALTCIPASRLCKDRSKYLFWDEYHPSDRANELIADELIRKLRFKPVNKTN >KVH98854 pep supercontig:CcrdV1:scaffold_666:175890:177795:1 gene:Ccrd_022932 transcript:KVH98854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWENGVKIIMQQVILCHIVEIKGFGFLVIWGFCWIYKKGSRAEKQKVECNPKKYGLYGVLSHNVLNGADLVIHQTKECLDEMGEWCQDYHVA >KVH98844 pep supercontig:CcrdV1:scaffold_666:46417:47878:-1 gene:Ccrd_022921 transcript:KVH98844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPLLEKKDDEASCSSSATGAYPSLAISADTNINPIGRSNTSNLQITTTTATTSSAEPSSKKQPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSTYFNPNFTLPQRRNLFPGIGLSSSENSSSNLLSFGSTMNLNQILQAKQEMRENPVELSETEESMGRKRRPPAELDLSSQHQQQMGNYLMQSSTGAMAASHPSIPANFWMVANSNQHQVMNGDPIWTFPNVNNSAAVYRGTVSRGSEPPASGSQSHHRGGGGDGGDRHDTTSHNS >KVH98849 pep supercontig:CcrdV1:scaffold_666:83742:86224:-1 gene:Ccrd_022924 transcript:KVH98849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSAGAVRERRPKRRQLERVERGVY >KVH98840 pep supercontig:CcrdV1:scaffold_666:2243:6759:1 gene:Ccrd_022916 transcript:KVH98840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MASNIGMMDSAYFVGRNELLSWINARLQLNLSRIEEAASGAVQCQMMDMTYPGAVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKIDKARSTILILLQHIEVSRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPLERRSKACKERNFKASQKNSKSLQTNNSQNPGSGEGMNIHKITGTKQKPSAVAVESGSSEEIQALSNEITELKISVDLLEKERDYYFAKLRDIEILCQAPKLEHIPMVMAVKKVLYAADEGSALAEAQEIASANQ >KVH98842 pep supercontig:CcrdV1:scaffold_666:76217:81858:-1 gene:Ccrd_022923 transcript:KVH98842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase ITCKHTTHKINNPPFLRGCIKRSSSDHPPDFHYFPSQHRSIMATKGNPGDNRTRRSFSVFIVFGLCCFFYLLGAWQRSGFGKGDSIAHEITKNADCSVISNLNIETHHGVGVKNPDDLRSESKVFQPCDDRFIDYTPCHDQSRAMSFPRENMEHRERHCPSDNEKQHCLIPAPKGYVTPFTWPKSRDYVPFANAPYKSLTVEKATQNWIQYEGNVFRFPGGGTQFPRGVDAYIEQMASVVPIGNGIVRTALDTGCGKNVITMSIAPRDSHEAQVQFALERGVPAIIDVLGTIKLPFPSRSFDMAHCSQCLIPWTQNDGKYMMEVDRVLRPGGYWVLSGPPINWRMNYKAWKRSEEELEEDQRKIEEIAKLLCWEKKHEKGEMAVWRKRVNKHYCQERESRVTMCKQTNVDDIWCDYEDILLEMDRILRPEGTVIIRDHEIEVIKVKKIVSGMMWNTKMVDHEDGPLVPEKILFVVKQYWVAGENNLTSLR >KVH98845 pep supercontig:CcrdV1:scaffold_666:25857:33955:-1 gene:Ccrd_022920 transcript:KVH98845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVRNWYNQSKTATLIWFISLITFYAVFRMASTFSPPSTDLPVSNAERLRLYDKMTRDLDEHGALFLKKGETSQSMKLSDLFDVHNGSVTPVLKAANPPVRANVLYMNTEYSHPISKAVRDIFSPSLEKVIWFQNSELYHFSMFHASHHILPVPASEEEIEAEANAVKAVVDKLCPMTIVLDRVVLTSTGVLLGCWQVISGTDPVTIRSKLRNALPRAPGKQLYEPAILHTSLARILGHPNNSSEVSILFLVFYVEEPSIASELQYFHELVAHLNNRIRGTKATISELWYVEEYDVLALALDGKTKIRRFQFGCLKV >KVH98855 pep supercontig:CcrdV1:scaffold_666:187952:190037:1 gene:Ccrd_022933 transcript:KVH98855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MEDPGIKLFGKKIVLPEINKSINLPVMFTAGGADKDGGYDHTRGLLKDSLEGKRVNREGVEKDHMVESENPKTPSIEEETRTQKSESNPQTKTLKKPDKILACPRCTSMDTKFCYYNNYNVNQPRHFCKSCQRYWTAGGAMRNMPVGAGRRKNKNPASHCRFITIPQETHCVSGGSTVTTSDSTPENFQDQNRFHSHVHSIPGVSWSYNPWNSRIPIPAICPPMPIYPSTNWNCIPFLPPCDPILGKHSLDSDDCDQDLKKPKNSVLIPKTLRIDDPDEAAKSSIWATLGIKNENFKAFEEKGEERKKRPATITSPVLYANPAAFSRSLCFQEMA >KVH98843 pep supercontig:CcrdV1:scaffold_666:67892:72288:-1 gene:Ccrd_022922 transcript:KVH98843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MVADKGKKPKVGVKIEEEGAEQIDGELVLSIEKLQEVQDELEKINEEASDKVLEVEQKYNVVRKPVYDKRSEIIKSIPDFWLTAFLSHPALSDLLFEEDQKIFKHLTSLEVEDFKDVKSGYSITFHFSPNPYFEDTKLIKTMTFLDDGTTKITATPIKWKEGMGLPNGVAHEKNGKKRSHEEDSFFSWFSETQSKDDVEDVHDSIAELIKEDLWVNPLTYFNNDADEEDFGDEDDEEDIGTDDSEEN >KVH98850 pep supercontig:CcrdV1:scaffold_666:148610:149936:-1 gene:Ccrd_022929 transcript:KVH98850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MESAMRSIDFMYPDQSGCSIYSDHLFSVQQDHLHDATSLMDIMISNNNPICSSMDILRADSTFYEEGFLVGGDGELGFPADQEMSMMNSLGFQDQEMYMMTTNSLGFLHESQPGLMAMKNMNDNEEMKMARSSGCRKPLKEVDHKGGGDHGGNVDQGGCGFSYPMRMTLSREMISQYFYMPITQAAKELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLITNVQELGKSSGNGAEEKLQEAVALLEKERKKMEEIPDLQLEDNTKRLRQACFKANYKKRKTTNSSTLTAYRQSSSSCSTTSVDHGGYEDLENDEEIKSMLFLDCFPSSSNNLF >KVH98841 pep supercontig:CcrdV1:scaffold_666:8672:12341:-1 gene:Ccrd_022917 transcript:KVH98841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MLTRRQDENYPRSLADSSRLTLPSTTSSSQGSLLDDVDSLHDVFIWGEGSGDGLLGGGVHRMGSPSSARMDALLPKALKSSMAFDARKVSCGSTHAVVVTKQRAVYSWGEGSGGRLGHGVEADVSIPKLIKELSRTNIELVACGENHTCAVTQAGHLYTWGDGIHNSGLLGHGTEASYWTPRKVGGQIEGMHISFISCGPWHSAAATSEGLLFTFGDGTFGALGHGDNSGTYCPREVEALKGLRTVKVSCGVWHTAAIVEVDHEPSTSGSSPAWKLFTWGNGDKGQLGHDDKDPRFFPSCVLSLYDKNFCQVACGHSLTVALTTSGQVYSMGSADYGQLGNPENTGNVPLCIEGKLKDSCIKEISCGSHHVAILNSKYEVYTWGKGAKGQLGHGDNKDRNIPTLLEALHETQVKSVVCGSNFTVAICLRKHVCAVDLPMCSGCHAQFNYKRKRQNCYNCGLVFCKPCSNRKSLKASLAPNMDKPCRVCEDCYYRLNKEETDMKSSYLPPKVSRTNTNHRSGPDNERKSSYHKSQSLLARLSSLDSFRLSGNQHSKPDTSQESGNSGALSLHNQSLQKEYSYASNSSPFVFDPGRIAAFLPSAIVGFQAPSPISTKPSPNHSMSFSSTTLSLALPEAKGDDSKRKNDDPKKEISTLRDQVEYLSKKAQILESELKTTSLQLKEATKQARDEGEKNKVAKVEIKSLTTQCNTTTVRRPGQIGRPGVFSDVQSVRFICWKNLQDFWPSFSQKAMDPLDITYVQWTDSFE >KVH98847 pep supercontig:CcrdV1:scaffold_666:112094:113624:1 gene:Ccrd_022926 transcript:KVH98847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELSPSRYQTWKLHRSRGEDDHPSASPSWQQMSKGQWERRLQTDIHMAKQALCDALSLDNKSVNLPQLSSSTFSRKPSSSIGTNPSAKIEQELVLPPPQTNQSTITYASSTENIARLLPNWMKKKQKSSQTSSESTQTRNSFDGQFPSPPSEGFDNSLQFGLHNYSNISEVSQSVSPETSLFQDESNPSANTDNAQLPPLSFLEKWLLDDANAQEHDQDNLMNLSLEESNGLF >KVH98839 pep supercontig:CcrdV1:scaffold_666:18900:23485:-1 gene:Ccrd_022919 transcript:KVH98839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MESSSTLLPATLLPTSYKNSSVVRHTPPLSSRRRVSEEFCSDQITNKNLNIGFGDTHCGQLTLSAWVQKNRQLEMNLEITRKKEHFWLIGCAFLCILHPSKKNPGKVPKRIHKAEREKMKREHLNELFLELAGALELTEQNSGKASILGETTRVVKDMLDQIKSLKKENAALLSESQYVTVERNELQDETCALQKQISELKSVIKERTVQKNLDLNAPAMESQEPQLPQYLPHDIIRLPPGNPLLNSVVVIPACHNVQIHTRPDSGLIIGNKPVSNVSKPYPRYPTPSDSWPFQLLEKAGEDPHREGA >KVH98846 pep supercontig:CcrdV1:scaffold_666:130997:141864:1 gene:Ccrd_022927 transcript:KVH98846 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox protein, Cys/His-rich dimerization domain-containing protein MKKTSAAGSNGTSSASLIQTFRYGECQKNHAADIGGYAVDGCREFMATGEEATDVLLLSVLVLPETDGDSCVGLAAGIGITIGVVGAIAGPGAIVTCKFLCCPAGIMNATSAAASVSASCLYSRARKEKPGCPK >KVG63253 pep supercontig:CcrdV1:scaffold_6663:5670:19595:1 gene:Ccrd_026287 transcript:KVG63253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKRRAGGYKKDDDKLLCQVYMQAQDPIKDSEKFVPTVWVIRILKEEPAINQSKRMLSKDPKEWLEIRLCLEHY >KVG63238 pep supercontig:CcrdV1:scaffold_6665:5707:11606:-1 gene:Ccrd_026288 transcript:KVG63238 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MASLEDIGVSALINIIGAFTFLLAFALLRIQPINDRVYFPKWYINGARSSPRHAGNFVGKFVNLNFATYFTFLNWMPQAMKMKEHEIIAHAGLDSAVFLRIYILGLKIFGPVTLVALLFLVPVNVSDGTLFLLRKDLVVNDIDKLSISNVQPKSLKFFAHISMMYTFTFWICYMLYKEYDVVASMRLKFMASKHRRAEQYTVLARNVPRLSGHSVSESVDNFFKKNHPDHYLCHQAGFLGLWGKKVDSIDYYRHRIQEFDKKVTVCAQTHQSKNPTLWLTKWAPEPRDVYWKNLAIPFVSLSIRKLVISISVFALVFFYMIPIAFVQSLANLDGLEKVAPFLRPVIELKFIKSFLQGFLPGFALKFFMYILPTVLMVMSKIEGHVAFSVLERRTAAKYYYFMLVNVFLGSIVTGTAFEQLHSFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAAEILRLKPLVIFHLKNMFIVKTDRDREKAMDPGGVDFPETIPSLQLYFLMGIVYAVVTPILLPFILIFFAFAYFLLLLGLLSTKKAANSTPLLVALPILTLTFHKYCKNRFEPAFREYPLESYLSDAYLHPIFRSFEEVELAEVRVDKNPDNILSRPEPETEPQTETETEPASPSHSPSPSHHLHEEHEESVTVQHYEVGPPANVYHYGYEHHENIFHYSIDRNHETQHDVQDYVVESPHSYYRY >KVG63239 pep supercontig:CcrdV1:scaffold_6665:19202:21693:-1 gene:Ccrd_026289 transcript:KVG63239 gene_biotype:protein_coding transcript_biotype:protein_coding description:NYN domain, limkain-b1-type MVSLVKFCTKRTITTVFKIIRHHHFNTDRALKIPDAFASVNGGGRNIVAVFWDLDNKPPRSIPPFDAAIRLKKAAESFGDVRFKIAYANQRSFDYIPPAVRVHRKDRKALNQLENKGVVKPADPYICRVCGRKFYNNERLINHFNQIHEREHMKRVAQIASARGSQRVKLVGKYSMKMEKYSNAARDILTPKVGYGLGDELKRAGYWVSTVSNKPQAADIALKNHIVDLMDKRQMECLILVSDDSDFVEVLKEARLRCLKTVVVGDADGGSLKRVSDAAYSWEEIIMGKAKKEAVSVVCRWKDRDILRRLEWTYDYKTERRLYSSCSDDDEQIDDLDTSNLGFGEDHGIKTDRNHCGITFV >KVI01441 pep supercontig:CcrdV1:scaffold_6667:20896:22305:-1 gene:Ccrd_020289 transcript:KVI01441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVDHAFSISNEDTMTDSDSSYSQHNRPPVKEIGLAIALLIFGIVGVVSASSCPSIAGYAVNTGVLSYVDCLLRIQGYKGFSFSNIPPVYGFSIVESLRCSSVRSLGRQDLSNSFLGDRRARELLGTNVPSNLLVLPVPFEAPNLQIVSISTITSSIDMNDTYTIERFTLDNSN >KVI01440 pep supercontig:CcrdV1:scaffold_6667:10716:11991:1 gene:Ccrd_020288 transcript:KVI01440 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAVDCVGVQTVEHLSRMFQLTGHDFNVSSNFKHPVKRTGHARFRRGPPPSSTDSQGTSTSSQSEEKQQDVSKECFSNKSTSSRSTSSSSMVSSLLGGGSEGSVSNGKQFSSLGIVAPAPTFSSRKPPLPSTHRKRCSADRPTASLHGSGRENHSVSRSGCHCCKRRKIGSKREIRRVPIIGSKVTSIPADDYSWKKYGEKKIDGSPYPSLLQVQYRKRMSGEKACGVSVRRFKDASGNIRRRTPTPSPSRSNAGTDKFDRFGCSVKVREHHVVHRHFAQFSKVNKTV >KVH88623 pep supercontig:CcrdV1:scaffold_667:67484:83475:-1 gene:Ccrd_026297 transcript:KVH88623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKVHQLLSAVKPRGGLLSTLVKTLCMANLHPPTVVNGSMLSAYICPVITPKLVALIDVNRFVKLIRVLMFIMLLAMASAAAPNASECKKERRLAVNGCKSVLYGRPPSSYCCQRVRVTHFKCVCPIITPKLAALVDVNRLAKLIQGCGRRLPHHFKSDVTIKIMKRDDIRQVLSKHGRERERERRKLKAIIILFMMMFLAMASAAEPTAAECKKERKLAVNACKSVLYGRNPSALCCQRARVSHVECICPAITPALAALIDVNRFVKLIRGCGRRVPRHFKCGSITTP >KVH88616 pep supercontig:CcrdV1:scaffold_667:178058:178930:1 gene:Ccrd_026312 transcript:KVH88616 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MCPTNHKEEDDGDWRQEAINGGSLKLVNLDTGSNGWASPPGALFSLRSRNYFTKKHKCPSGPWLLHPAGVDWLRSTSKLDHVLSRADNRVMNALRKQHSAKKNFVLAVNLQVPGRDHHSAVFYFATDDPIPPGSLLYRFIHGDDSFRNQRFKIVNRIVKGPWIVKATVGNYSACLLGKALNCHYHSGPNYLEIDVDIGSSKIATAILHLALGCVTAVTIDMGFLVEAQEEEDLPERLFGAVRICQMEMSSATFVDTATNRSVTPAAAAAAAHSNRVQASDLQDDDASVDN >KVH88618 pep supercontig:CcrdV1:scaffold_667:190375:197303:1 gene:Ccrd_026314 transcript:KVH88618 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase, conserved site-containing protein MGGTTTISVGSDGVAIITILNPPVNSLSIDVLNSLKESFDQALQRDDVKAIVVTGAKGKFSGGFDINAFGGMHDGKAMSTGPASKPGYVSIEILSDTVEGEMLVFLVSAARKPSIAAIDGLALGGGLEVAMACHARIATSTAQLGLPELQLGIIPGFGGTQRLPRLVGLPKALEMMLTSKPVRGEEALSLGLVDAIVSGDQLVDTARRWALDVLERRKPWVASLYRTDKLEPLGEAREILNFARAQAHRQAPNLQHPQVCIDVIEEGIVSGPRAGLKKEYDEFQVLLKSDTCKSLVHIFFAQRGTTKGLKPRRMNKVAILGGGLMGSGIATALLLSGYQVYLKEVNEKFLEGGLGRVKANLTNSVKKGKLSQEKFEKTLSRLKGVLDYESFRDVDMVIEAVIENVPLKQQIFSDLEKYCSPHCILASNTSTIDLNLIGEKTKSHDRIIGAHFFSPAHIMPLLEIVRTAKTSPQVVVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAALLLVERGADIYRIDRAITKFGMPMGPFRLCDLVGFGVAIATGSQFVRNFPERTYASMLIPLMQEDKRAGEMTRKGFYVYNDKRKASPDPEIKKYIEKARELAGVSVDLKLGKLSDKEIIEMVLFPVVNEACRVYAEGIAVKAADLDIAGVMGMGFPPYRGGIMFWGDSIGSKYVCGRLEEWSKMYGDFFKPCGYLAERAALGSPLSIGGADEAEAEAKAKSKSRL >KVH88610 pep supercontig:CcrdV1:scaffold_667:136406:138489:1 gene:Ccrd_026306 transcript:KVH88610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MMKCFSIFKTKKRGKSSPELREQNASTKPPTRLVKSTGSISSPRTIPELYKEKGHNLTKFSFSELRNATNNFNRLLKIGEGGFGSVYKGSLRPPHGQGPPLVAAIKKLNRNGMQGHKEWLAEVQFLGVVDHPNLVKLLGYCSVDGERGIQRLLVYEYMPNKSLEAHLFSRTLPPIPWKTRLRILLGAAEGLAYLHEGLEIQVIFRDFKSSNVLLDEKFNAKLSDFGLAREGPQGDRTHVSTMPVGTYGYAAPEYVETGHLKSKSDLWSFGVVLYEILSGRKAIDRNLPQSEQKLIEWVKQFPADSKRFRMMMDPRLKNEYSMEGARKVAKLANSCLRRNPDERPEMSRIVDVLQEAIRESEEDTISGMLPKRRMGDVA >KVH88624 pep supercontig:CcrdV1:scaffold_667:65469:66577:-1 gene:Ccrd_026296 transcript:KVH88624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MDGGVTKIMVVLMMVVVVVVPVATAAGLTAAQCHEERRLAVNACKSLVKGGLPSPQCCQRARVSHAECICPDVTPIVMAIVGDVNRAIRLIESCHRRVPHHFKCGCV >KVH88608 pep supercontig:CcrdV1:scaffold_667:94447:99492:1 gene:Ccrd_026300 transcript:KVH88608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGCFNSTVRKPVPGHENPTILASQTAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKQKGVIDFGDFVRALNVFHPNAPQEDKISFSFKLYDMDGTGFIERQEVKQMLIALLCESELKLADDTIEVILDKTFSEADVDQDGKIDKSEWHNFVTRNPSLLKIMTLPYLRDITTTFPSFVFNSEVEEIAT >KVH88615 pep supercontig:CcrdV1:scaffold_667:166991:174402:-1 gene:Ccrd_026311 transcript:KVH88615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MTEEGKRSSASVTFPAKPPFMADDRKTTASSLSTSTSSSRKVIIKSADMKEEMQNEAVNIAISAFENCSVEKDVAEQIKKEFDKNHGPTWHCIVGKNFGSYVTHETNHFVYFYLESKAVLLFKSG >KVH88621 pep supercontig:CcrdV1:scaffold_667:61042:63240:1 gene:Ccrd_026295 transcript:KVH88621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae MAVGKNKRISKGKKGGKKKAADPFSKKDWYDIKAPSLFSTRNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQNDEDHAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQSLIEAHVDVKTTDSYTLRMFCIGFTKKRANQVKRTCYAQSSQIRQIRRKMREIMVSQAQSCDLKELVQKFIPESIGREIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKMERPADEPIAEETEVIGA >KVH88617 pep supercontig:CcrdV1:scaffold_667:179463:187416:-1 gene:Ccrd_026313 transcript:KVH88617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MTTANHRNTQPSLTPDDIIRLAQYHTTAPLHPFLLSPTSHDTLVSHLHTLPPSAVSEYTSSLLSLLSPSPSVSTSTSTSTLLTSLLNSYINLFNSNKIPHDRHSLKTLQLFTAHLDNIPITQLQSISDSIVSRLSQINTDPDESQLLDLLPKCFNIIFNSTEIDKPRDSVNALFDRILDCNWSRVLLVKLVSISRDFQFVDKGRGRQFAEKVFDNMHCVELQDLPSLVYQLLVLASKGFNKREIIKGIVFFFGTKMHMKKTSYNIVREVEGTALLYVNFAVKQDPSLGQEVMGLVRSDLQVIDHFVVGLLLSIARIRRYRESSMGTLKTALSTAYKDYKFSRHCKWLPDGLKEEYLQNAILTEKAVLRAVNESNYGREHIVPSIVQLGFVLLESVEEINLKELENTGLVGSQELGIQMLQSLFEVHDMARNEVSNTMSPLTEMLKIIEQCKFRILSLKPEQSLVIIRLLGSLVQNYSYPMLEYVSHLKELLDYFGFMHNKVASHLINVLLPLIKFSHNLQDYIILVVRKAMFRRDDHIRLAAAGAIINVILAEKRSKQNRPCPYQESSSQASSSQVPAIPHGMGSDLFKELSGLLQRCLYQQAKVKERIYWGLLKLVLVDPLTAGEVFDFLLPHFLQYYKEASTPDGQLGIIQSIKMENGKVCIVEPLDDLLSCVSWILILQPQGKSDIRSDSWDSFGFSLTQDTEQAGGTFSGELFSSALLTIRKLLRNENLEGLLGQMKDPASTPQQGERYKYSAQILSGVIEVILNTLAIELEKATNDKKIDLEKEFVDLVDLYGSLEKYTSTSAQSTQSNGTRKGIARSSALCLTYKNESDNMKSFKERASFLATPSIHQLFQTSFELYKNDAVKSVTTSQVHSQATSNSPNQHSRLFYFILNVTLCQTKSFSYVAKDDPLKALIHGDIKVLGPPLLKLIWLLMWPDQKKKDANGKKDNEDRKGLIFLALMCLKELLKVSSQNLDEMREIEDMLAHAVGEDASRDVLEAEDDDNEQVNGINNQREELFITKIVKPLFSELLHAKFYHEVEILCDITNMIGSMSSVRSNLLGAWAIYICKTSDVANPKIAKSVVTLALSCTRAPNDMILACDMALELVKTMGSERQTLLEKSKIYAIINRSTETAIASVLLQLAESVIIDMDRLSMKLKAYLTGAYKVISLDHDGKQNPYFTLEDTLYQRAEAVTRRLLIHLRKLQGFPKFADPQAEHLLRSAAKFYKNLARLSKFHIAPKGCKQILPSLKCQRLVEVTCKQLTEPLYKFVEMMQQKQQDSRASKGSINKIKRENRCIPDLIFQIEDYEKYLILLSKASKMNLLRHAKRSTARDFRILDPQEQEDGANQEAQPDEGAAQDDLVQDSGDASEKALSPETGSTLAAAEDSDENMIPSAKRKKLGRIVHDSDDEA >KVH88612 pep supercontig:CcrdV1:scaffold_667:126615:128212:1 gene:Ccrd_026304 transcript:KVH88612 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MRHKKSGLQIPQPPPPSYSHSKTPCQKPILHPPPDYDEQLLPFKKNHPSKDLMNPHHHRNTNTSLSCCSSSEPLLATKAIALKLLLNLGHGKKLFWMKAPSRLFILLSLPSIYYFSLNHRQSLYLYILFLMTLCVLILSFLNLPSILAPVLNIGSNASKTRPPVVWSIGSKNRTQESTISGFLVKVYSNGDVYEGEFYKGKCSGSGVYYYNMNGRYEGDWVDQKYDGYGVETWAKGSRYRGQYRQGLRHGYGVYRFYTGDMYAGEWIKGQCHGHGVHTCEDGSKYFGVFKGGVKHGLGHYHFRNGDMYGGEYFADRMHGFGVYHFANGHRYEGGWHEGRRQGFGMYTFRNGETQSGHWEDAVLSISTPQDNAKVVKAIQEARRICKNAVGAANVEVKVKRAVAAANRAANAARVAAVKAVQSRVHRQHA >KVH88626 pep supercontig:CcrdV1:scaffold_667:328:3845:1 gene:Ccrd_026290 transcript:KVH88626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MESFSSFFGSQSQSPSPSRGSSWTYDSLKNFRQISPVVQTHLKQVYLSLCCALVASAIGAYLHILWNIGGLLTTFATLGCMFWLLATPPYEEQKRVSLLMASALFQGASIGPLIELAINFEPSILVSAFMGTAIAFACFSGAAMLARRREYLYLGGFLSSGLYFGLLVFVGYMVVDTQEIIEKAHFGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKEERKKRRRD >KVH88605 pep supercontig:CcrdV1:scaffold_667:111446:118041:1 gene:Ccrd_026303 transcript:KVH88605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II, regulatory subunit MYRERGGGGSKAKIEVTSRVGGGGLNLNGKDDNKDRLSAGSSNLSRSSYPDBXSSKGDKNVAVESETDSEESDVSGSDGEETSWISWFCSLRGNEFFCEVDDDYIQDDFNLCGLSNQVPYYDYALDLILDVESSHDEIFSEEQIEQIESAAEMLYGLIHVRYILTTKGLGAMLEKYKNTEFGRCPKVYCCGQPCLPFGQSDISRQSNVKIYCPKCEDIYTPQFRFQDSILHSILQLDLDGAYFGSTFPHLFLLTYGHLKPQKTLQEYVPRVFGFKAKQRDWWATTFDMVVLTEKGSHKLIFSGLEHNSNIMYIEH >KVH88625 pep supercontig:CcrdV1:scaffold_667:15604:20941:1 gene:Ccrd_026291 transcript:KVH88625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MGKQGPCYHCGVTSTPLWRNGPPDKPILCNACGSRWRTKGSLVNYTPLHARSEPDDFEDHRVSRVKTISIKNKEAKLLKRKQNYDNVVGGSGSIMLGGGGFGGGLAHDHHNQGFRKGFDEDTSNRSSSGSAISNSDGYMQLGSADASDLTGPAQSSVVWDTLVPSRKRTCVNRLKQSPVEKLTKDLHTILHEQQSYFSGSSEEDLIFESDTPMVSVEIGHGSVLMRHPNSMAREEESEASSLSVDTKNEAYSRLTTLPVYNANKGGNFSSRRIDTSKKPMNQDHMNRDNDEKLQILMNHNSPLCHIDLKDVINFEEFASHMTKDEQQQLLKYLPLVDNAQLYNSPQFMENLSSFQKLLAEGVFDLSFPVVKNEGCRTLKKLALCNVTKSDWVEKYNLLQDTKCKYDGGGSVVGGVRNAMTPGHSVKLKRSRDAQFQAYTGPKTAMKSPKRTSMKTNYEHKEVTDNDGPGFSPRSLFALPPDNSSLMLDSLRYADESCDQDLLLDVPSNNSFPQAELLRPTLSFPAAQASTCSSSIYQNFVGR >KVH88619 pep supercontig:CcrdV1:scaffold_667:89800:91642:-1 gene:Ccrd_026299 transcript:KVH88619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNDQNKRRNLETQVSNVEEIVQSSWVYKCFERGQLDVLVGHDEQVDKETLERLVKVGLWCIQDEPARRPSIKCVLLMLEGITDLATPPSPTSTC >KVH88614 pep supercontig:CcrdV1:scaffold_667:142142:148126:-1 gene:Ccrd_026308 transcript:KVH88614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filamin/ABP280 repeat-like protein MAPQFLFSLFIMLLLPHLTKSDDGLPAFTFNLLDYKDEFRAGDTATIHVTILGNYIIGAYKHPFNPNISVNDKMGNTSYITAVSSIFNPDLNNWRILFMPIMVGLFNVLITDENFNVFDASMHYYVTPGPIYSPGGIVSWMGQLNNFVAGMKATLLILPKDAFGNNVTSLSEGRNIDGFEVYATYANGSNVILQDVSNQGWNGFGYLCIQFTLTTAGSFLAHVKQKNETLIGSPLPFTVDPDALDVGNCLPEWSTETESFQLFSTMETFINQRDKFGNLVPGLCAFDFDVMEKGTNLSLPIGDLQYDEVLPGIQSFSFKLVEPGDFILMISDKDKKNQILNMPYEFNVYIGYVDGLASIVNGSGLNNSVAGEVSKFSILLRDAYQYPSPLELQRLQVHITLPSLSLRLYPQISPMDSVDGTRPTGILDYGPFDPTGIASVPSVYPYNNSARNWKTMSSAFDVLYVPEKSGVYEIRVFCGNIPLNDGIPYIKAVSAGKVNSSISRIVNFAPKVSRLIVNDIVVQLMDSFSNPVLLQASNLFLQIASINRSSFTVFLFVDNKDGTYTGSYLLMEVGIYEMCVTFDVTHLLPCPFGVNAYIRDYFPIAYNDTVSVWEDESIAFNAVGNDFYAGGNATVIEYQKAGHGSVLQYGNLFRYTPYKGFYGNDSFLYTISDVNGNIASGFVNVYVLCIPPQFVSFPSELQANEDILGPKFGGFPGFEITYSATLENISVMLSAQHGTVFLSPLPMQLWDPMWSELSVSKMEGRAEDLHLVGRLEVINFALQSLQYIGRDENFSGTDSIRVSTINKNGRNNLDVPVSVKPINDPPFINVPEFIILKNETEDKGILIFDRQLDKFNFSIGDPDHLHFPAEVAGNESLFRVMFSLEVSSGFFSTKLPAELISTTELKLKNSKQWQPLQTFVEISGHFTVKAKGIRFRGTINDCNNVLQQLLYYGDEHGGVLRVNVNDMGWYGCYPDCAEMMSVPLISEATVNLITRMPVTPMVDHSVESVIVLELIVLSSLTMILLFFTCKCVIVLIHEKKKRQVQSHNIQLYKLQSSHEQTSSTDSSENVIPSPVKGSGEPSNLSNP >KVH88628 pep supercontig:CcrdV1:scaffold_667:27500:30535:1 gene:Ccrd_026292 transcript:KVH88628 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3-K9 methyltransferase, plant MVMMSNEFLSDGSVMRSRTENGSCSLNGDSVLPSKWKPRTVSAVRSFPRGCGPIVQPVNVVSQEPDASSTLVNNNSNGAKDAAGISAAQVESLPPDVSKSTEDGRAMLIVEPHIVEIEETLVKKELENGEDQAVSKEVVNGPVNAVVDVKLLSPTHGMENGVCVIKEATPKIKFPRRRVSAVRDFPPYCGLNATDPTEEERKRINGKSSFGTEDKGGAENVRLTEAMVVDVLQKTQTDELSNEPPMGDEVGGEISTEKTIGGGEQSNINLQDGKILKFEHDITGVEPNNRTIPQNSDKSVTGQGCQEIVVYKRDTVSEGRCSADAHGEDSEEEFQDEHVQNYGTQEIVVTPSIGSPQKDIKNIIAEFDRLGHEKRLKKRMFDDTNNVGFIGEKRRVVLCLMAQSCGPQESQQENLANLDGITTSNAKVHASKTKTPRKSTKRKAKHGEKESSSSEKKVAYTGTLEMVVRDDDDFVVATEEQGEEGPPTSHGAHNVDVVLPPFGPKSSNDVRNKVRETLRLFHALCRKILQGEEARTRDGEETKKGRTDLMAKTILQEKGRAPDRGKKAWGPIPGVEVGDEFQYRVELALVGLHWTLQAGIDYTKKGKELVAVSVVASGGYDNELGKPDVLTYSGSGGIGKDKKVEDQKLEKGNLALKNNILTKIPVRVIRGSKSKPTEPSDSRSRPITTYIYDGLYTVEKYYQEPGQLGNMVYKFELKRIPGQPELALREVKSKKFEKREGICVDDITGGKEVFPICAINTIDDEKPPSFTYITKIIYPDWYCPVPPKGCDCVGRCSDKRCSCAFKNDGEIPYNHNGAIVEAKPLVYECGPSCRCPPSCYNRVTQNGMKIELEIFKTESRGWGVRSLSSISSGSFICEYIGELLEDTEAEKRTGNDEYLFDIGQNYNDCTKPSPGEVVEGGGFTIDAAHYGNVGRFINHSCSPNLYAQNVLYDQEDKRMPHIMLFAAENIPPLQELTYHYNYAVDTVHDSDGNIKIKSCYCGSSECTGRLY >KVH88620 pep supercontig:CcrdV1:scaffold_667:84274:87372:-1 gene:Ccrd_026298 transcript:KVH88620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEEKYEPLKELGSGNFGVARLVRDKKTKELLAVKYIERGTKARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSRTPRLKICDFGYSKSGLLHSQPKSTVGTPAYIAPEVLSRKEYDGKVLHIAFGSFIIKYYTHTLLKIPETLEISRIMSVQYSIPDYVRVSADCRHLLSHIFVANPSKRMSIAEIKKHPWFLKNMPKDLVEGEKTNYENTSGDQSPQSVDEINRIIEEAKTPGEGLNTNGQSVIGSGSLDPDDDEIDLEEEIE >KVH88606 pep supercontig:CcrdV1:scaffold_667:107825:111064:1 gene:Ccrd_026302 transcript:KVH88606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2052, coiled-coil MYLQIAGGFRQIADFVDLTKRNPIQTDFFHQEMTEIGASTTSSSAMESIMERLSHLENLYFPRAQQSSALLPSQRKSILQDLYSRDTPVFLERYGSLLTLEELKEFDVLRDNYEINWHLNHLRSLISPTSEDLKLRSAKVKNRRRAYMDKLMFDGKFFSEDAMRDREPYLHHEFLGKFQDPSGRRMARPGERWSETLLRRAEEGVLVEKIRREQQRLGVDEREWVGNERVQEEQEEEEEEEEEEDEMEEGNDGDINIHRRMHQREVLPDDKGSLGNEEGTSLSAEEMADRMDQFTHVMQQKFLSGEDHHHFNYSSIDEDETLDDHWMREANYDAEEKYFADV >KVH88622 pep supercontig:CcrdV1:scaffold_667:46820:48547:1 gene:Ccrd_026294 transcript:KVH88622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MSSSMALFSSTTPHLSSFSSSSAKTHLPNNPFLSFKPQRHLLSGSFRATENGSGAGILVEENKAPEPKEASTGNGAPVADDVADSLLKFEDPKWVSGTWDLKQFQKDGTTDWDAVIDAEVKRRKWLQDTPESSNNDFPVVFDTSIIPWWAWMKRFHLPEAELLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDYDNLKKLLDETTFYDKQWQATWQDEKKKE >KVH88609 pep supercontig:CcrdV1:scaffold_667:139204:141420:1 gene:Ccrd_026307 transcript:KVH88609 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF11 MESNFLPLFSFIFILALTTTTFVNANQPETYIVSLNSPHGIQFAKPEDRQSWYNSFLSPTTTASHVKPSIIHAYHHVLTGFAAKLSAAQVKAMENMDGFVSARPERVYKLHTTHTPAFLGLHQNLGFWRGSNYGKGIIIGILDTGITPGHPSFDDTGLPAPPSKWKGKCQVVGCNNKLIGARDFTNSASGSPLDDEGHGTHTSSTAGGNFVDGANVFGNAKGTAVGMAPLAHVAMYKVCDDFGCSDSAILAAMDAAIEEGVDVLSLSLGGASVPFYEDGIAVGAFSAIQRGISVSCSAGNSGPFNSTLSNEAPWILTVGASTVDRKIRATVHLGNKDLIDGESLFQPKDFSQNLMPLVYPGLNGGQDVAWCAKGSLKQVDVKGKVVVCDRGGGIARIDKGQTVKDAGGAGMILVNQVTDGDSTEADAHVVPASHVGYGAGIEIKTYLNSTSSPVATITFHGTIIGVDTAPQITSFSSRGPSLASPGILKPDIIGPGVSILASWPVSVENKTQTKATFNMISGTSMSCPHLAGISALLKSAHPDWSPAAIKSAIMTTAGQVSLGGQPIKDERELPADVFAIGAGHVNPSKANDPGLVFDIQSNDYIPYLCGLGYTSKQVGVIVQKRVTCSKVIPEAQLNYPSFAVTLAAGDEKTYTRTVTNVGEANSTYTVKIDSVPIGLTLGIGPAELKFTQLNQKLTYDVYFIRDSKSEVKTSYAQGSMTWSYGKYSVRTPFSIRFV >KVH88611 pep supercontig:CcrdV1:scaffold_667:128659:131269:-1 gene:Ccrd_026305 transcript:KVH88611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSGSGSGSESESESDLHSTLGWAQDGFCDNPMTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKLRKRINFCKCVFFRYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KVH88613 pep supercontig:CcrdV1:scaffold_667:154092:155522:1 gene:Ccrd_026309 transcript:KVH88613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEEMKMMTTIGFPLFTMGLVGYLKNMIAVICMGRLGSLELAGGALAIGFTNITGYSVLSGLAMGMEPLCSQAFGSRNLHMVTLTLQRTILMLLFASLPIGMLWVNLEPLMLKLRQDPEISHIASLYCRYAAPDLIVNSLLNPLRIFLRSKGSTWPLMWCTLLATVLHFPITNTLAFTLHLGVQGVALSTFITNLSTSVFLLGYMFFAHASKEALSEPTIRESRSIAIEGSLGEGWGMLIRLAISSCLAVCLEWWWYEFMTLVAGYLHKPHIALAASAIVIQTTSLMYTLPSALSMSISTRVGNELGSGQPGKAHLATLVAIGLALLTSVFGLLGITLWREAWGKVFTNDSEVLQLTMAALPIIGVCELANCPQTTCSGVLRGSARPSTGARINFCSFYLVGTPVAIILAFVWKLEFLGICYGLLAAQMTCVLSILTVIYRTDWERESSNARQLVGGRSECTYEGQTMECDQGVGFI >KVH88627 pep supercontig:CcrdV1:scaffold_667:33450:40655:-1 gene:Ccrd_026293 transcript:KVH88627 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MEMATMASHFFPTARILSKANSRSNPTLTASLSSSSSPSSYSSITTSLSTNFICPYVASSVSSDFSGHKIRPASLNPSSSSHGKRVGVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDDKKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLAAGAKGNRSALPSSTIMIKQPIARFQGQATDVEIMRKEIKNVKTELVKLYAKHIGKTTEEIEEDIRRPKYFSPSEAVEYGIIDKVIYNERGSEDRGVLSDLRKSQLI >KVH88607 pep supercontig:CcrdV1:scaffold_667:101436:107033:1 gene:Ccrd_026301 transcript:KVH88607 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAI1-like protein MDLSEQEVDLFGDLEFENEDTHKKEDDSGQQSSSSASSSSSSSSSSYSSSNRSSSGGGGRGSGSGTGSDSSGGSVSAAAAATGDAVGGGEEVEEDEDNGEVRSTYYNQQYGYDDDYNVVEEDKDLFGSDNEEYVKTQIASPFPVPVLPQPPARNTNNPGRGGSFGRGRWQNDRGGAGILPRPGPFPQRQNYGYGSKFYAPRNDERFVSELKFSKSEETLSRKCIAFQEPTELGCYSRVEGGEVYFDDXSLRLFKRLITEDIGADLNQDLGSQGFGDLLACIRNKNIPLQNMHFVTYRNNLNKVSCCLPIFFWHASVAHVLAYGVLVDSMVNLYVFNCWQILATAYMRHEPWEMGVHKRKGVVYLDVHKLPERPKSELDRRRCYWGYCFETLATEDPTRDDGEGIHHVDANVEYCSVVKTKLGAHRILMGAEMDCCDSMDDGRRFYVELKTSREGEIAKVLGIIVFLALPHYIHFTFVNAYHIMCLNLFITTQIQSFLAGVPFIVIGYRDDAGRLVRTERLRTKDITHRVKMKNYWQVSIDRFQITPIPNPNPGGVCLAFADEVLCWLYGTVKENEDYILQFAAPFTRLELLTAQSCPQEITDHVEQL >KVG63136 pep supercontig:CcrdV1:scaffold_6672:3138:5754:-1 gene:Ccrd_026315 transcript:KVG63136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAYFPPKLKRKDLDEVNDDFCDFSLSSPARKIRRLDAELPPIIEEEETVNPVVFGQPPPSRDNFVEQVVSGSPIAIHELSGSLPENEERAIVLFDPLNNMLPLQPHAPFSFSVNSDFLSGYKSPVLWSNQSNLLKSLVGKQEKPANNSELNSGSLAVVPWVPKQALAEVEAPRMEVVSEMMDADDMEATTMDIEDNYSEEKPGAVFGSVNGGEEWQQHCMIPQLPHNLSTPIAWSAGTGA >KVI01364 pep supercontig:CcrdV1:scaffold_6673:3718:4310:1 gene:Ccrd_020366 transcript:KVI01364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MGSVIKALILIATVACLTSVAHSIAGQATFYTPPYVPSSCFGYQDRGVMILAANRGLFAGRAACGRRYRVRCTGPTNAGVPQPCTGKSVDVTVVDLCPGCAGNQVDLSQEAFAVIANRNAGRINIQYNQ >KVI01363 pep supercontig:CcrdV1:scaffold_6673:22263:23268:1 gene:Ccrd_020367 transcript:KVI01363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMARAFILIGMVACLTSVAHAIDGQATFYTPPYVPSSCFGFEDRGVMILAANSGLFNNRAACGNRYRVTCTGPTNGGVPQPCTGRSVDVTVVDLCPGCAGNQVDLSQEAFAVIANTDAGRINIQYNQI >KVI08141 pep supercontig:CcrdV1:scaffold_6678:4379:6226:1 gene:Ccrd_013490 transcript:KVI08141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNHACHWESKRGGGGGYEISIKKRGPFDNPYPSSYQKPKSFIICNVDELLHILLSFSSSSSLSITFMHIIASLFMQDPKTNSATIRKPWYQRAMEIASLWKITYPTSTPPPNPTLRKTLSTSTKVSATRNTTPNRQKLRKSTSLKVATSFTRVCLCVPISSYTEVFQADVPPRRSNTYPRSKPFPSCIQERPTARMSMEGRRIFRGKSLTDDVLMRRFVVEEEAMMQVRRRNEMEVIRKRHAKRRRRLGPSPLSRMVLAEEEEF >KVI08139 pep supercontig:CcrdV1:scaffold_6678:11566:15195:1 gene:Ccrd_013492 transcript:KVI08139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIRFKKPRDPSFVTSRFLGNCNQDHGCRNSQIFNRMFCSCRLYSSSGPPDPSFSPISGASSSKAQRRHDDGVSAIDAGSSLRKPVSFWPGIYHSPVTNALWQARSTIFGSSTPSDVVDSITPKTPSLSRTSILYPFSTDYILREQYRNPWNGIRMGKLLEDLDALAGTISFKHCINHASMADSLLLVTASVDKIVLKNPIFADTDLKIEGAVTWVGRSSMEILLEVIQETCDHVDSQALVANFTFVGRDSKTGKSASINPISPETAKEKMLWQEAEERNASRKKRRTQMKNIESGKEVERLNALLAEGRVFIDMPALADRDSILIKDTRLQNSLVCQPQQRNTHGRIFGGFLMRRAFELAFGTAYAFAGSQPRVLEVDHVDFLKPVSNKFYFTFTTYSDTKKNKWKIRSVVPATEEEARRVIERMDCENS >KVI08142 pep supercontig:CcrdV1:scaffold_6678:67:2439:1 gene:Ccrd_013489 transcript:KVI08142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein/NADH dehydrogenase domain-containing protein MRFILLRPKPNLGCLFVCLCSSFLQDHLRVFGSSNTSTSSLTLDFEQMSQRGVWQLRKLTVSYCNWGGSSKGISCLSMCRAFMESHLQTFKESNPQLEVVAELNRGQHPFLKGSYKNKNERVVSVMNMTPEDVLLCATRLRNSLGRKVVKLKTRHVTKHPSVQGTWTTDLQI >KVI08140 pep supercontig:CcrdV1:scaffold_6678:6712:11258:-1 gene:Ccrd_013491 transcript:KVI08140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MSPVVLIRGVAAVGDLHGDLLKSKQALRLAGLIDSQDRWSGGSSTLVQVGDVLDRGGQELKILYFLEKLKRQAVKVGGNVITMNGNHEIMNIDRNFFCVHPSGLDEFQNWAGWFSTGNNMKQLCDGLQKPKDLYDGIPSTFPGIKQQYMNGFRARIAALRPQGPIATRFLSKNLTVLVVGESVFVHGGILPEHVDYGLERINEDVRDWITGSRETVSSDLVRTRNSLLWLRKFSNETAEDCDCSLLEHALSTIPGARRMIMGHTIQRGGINGACNNRAIRIDVGMSQGCINGLPEVLEISEDSGLRILTSNPSDHQNRHDLLIPEQHGPREVEVQA >KVI01678 pep supercontig:CcrdV1:scaffold_6679:11302:12272:1 gene:Ccrd_020043 transcript:KVI01678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLGDIPYGFSKPVMLQQLDVSHNHLTGTLTSLAELLVLTELDISYNLFMGLCVDCCLNCDAGRNFTRCTHSSDKQRGLTKFQTAMVALGTSALMFAVVIGLGFMLQCRKREKHDIVEIYDDKEDGSLFHKVMVATEDLNDKYIIERGSHGTVYKVSLGSIDRLYAVKKLMFGASKEGNMSIVREIETVGKVRHRNLVKLEDFWMRKDYGLILYRYMHNGSLHDILHEVYPPPFLDWSIRGNIALGTAHGLAYLHFDCDPAI >KVI03592 pep supercontig:CcrdV1:scaffold_668:20307:20618:1 gene:Ccrd_018102 transcript:KVI03592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVI03587 pep supercontig:CcrdV1:scaffold_668:40893:43502:1 gene:Ccrd_018104 transcript:KVI03587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MVRVSVLNDALKCMYNAEKRGKRQVMIRPSSKVIVKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KVI03593 pep supercontig:CcrdV1:scaffold_668:25029:27992:-1 gene:Ccrd_018103 transcript:KVI03593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MANAASGMAVHDECKLKFMELKAKRTFRYIIFKIEEKQKEVIVEKVGEPTQSHDDFAASLPATECRYAVFDYDFVTAENCQKSRIFFIAW >KVI03597 pep supercontig:CcrdV1:scaffold_668:147190:147396:-1 gene:Ccrd_018112 transcript:KVI03597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIAREFKAEVETLSTVQHKNLISLQGYCVHDGSQLLIYSHMENGSLDYWLSKLDWPTNPDVCGKRQ >KVI03595 pep supercontig:CcrdV1:scaffold_668:174613:177867:-1 gene:Ccrd_018114 transcript:KVI03595 gene_biotype:protein_coding transcript_biotype:protein_coding description:3,4-dihydroxy-2-butanone 4-phosphate synthase, RibB MDSIFFHHPLFPPFSTTTRHPAAYVPPKPPPISSHNTLNFTCRTLGNGGGADNGSLLGVSGSDAGELFPSPETFTTVDAEITPETVDFFVSDAEGDPDCPSDGFSSVEDALATLRLGKFVIVVDDENGDIEGNFVLGASFATPETTAFVIRHGSGIISDAIDTSTGVSASDRAKTILALASRDSGPRSFRRPGHVFPLKYRNGGVLRRAGHTEASVDLVKLAGLDPVSVLSTIVNPEDCSIAPLNRLRKLALDHSIPLVLITDLIRYRRKRERLVERIAVSRLPTRWGRCDCGNQLALAMQIIEEAGRGALIYLRGHEGRGIGLGHKLRAYNLQDQGHDTVEANLELGFAPDAREYGIGAQMLRDIGVQTMRLMTNNPAKFTGLKGYGLAIVGRVPVLTPITDENRRYFETKRTKMGHIYGSDIPDSLVGPIIDKNDTTDV >KVI03590 pep supercontig:CcrdV1:scaffold_668:77750:83131:1 gene:Ccrd_018107 transcript:KVI03590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPVTDGEDYAFQRQLAIVDVVTPISHSVSNSDSSSITPFSSPFSLPDGWLVHQVPRSDGIRVDKYYIEPATGRKFRSRNEVKRYLNVEEYRATRSGPLRLDYGIKNSRDRKMNTSGANMTLIRKCGTSKFTLPEDWFVEKVPRKSGWTIDKYYHDPETGRKFRSLKGVERFLTEGCTPTRSSAKRLNYHKKHLENCGSRKKIVSGGKMLDFEEDKYNEYQLVNVTPTSFPSTLPFKLPDGWIVEEVPRKTGGHVDRYYYEPGTGQKFRSLIAAQKHLAELEENSPLSVVLEELIENNLPLSKAFKLRSSIKNHGSYDSWKKSISRKERASSFSSPPSKINWVIASSAGQTWNAFVGDELVPDSLMQQWGKRFMLAIDNNKHNPPVSG >KVI03598 pep supercontig:CcrdV1:scaffold_668:145419:146882:1 gene:Ccrd_018111 transcript:KVI03598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEILESNVDVVLVDFVEQEPNKAPVEEYVIEKAVIDVMPTSTIDRDSEQVNQEAKQTMDYAEEITLESVAEDSLIKEENQVPMKATDAIDHPTSIKKMTSDNNENIGSVIVAVEENKNINEQAVDEKPKSLNDTSIRQLRKQLKALSIKNNTNSIKDDKVGEVRPAMQAVCENQLVTEEMEDGENMDGGDDSDIGGFEG >KVI03594 pep supercontig:CcrdV1:scaffold_668:182772:191750:1 gene:Ccrd_018115 transcript:KVI03594 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MTDPPSVDAKENKFLKGTFAVVGIMSTLVIYGVLQEKIMRVPYGPNHEFFKHSLFLVFCNRITTSAVSAVFLLTSKKALDPVAPIYKYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTLIMQKKYKGPDYLLALLVTLGCSLFILFPGATELTPYRNGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMGIYNQIFYTTLCSCILSLTGLILQGNLLMAIDFVSRHKDCFFDIALLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFGHPLSVEQFIGAVIVFGSLYARGFLKSKPEKPLPSIHKSDEEVIVPLKVTA >KVI03586 pep supercontig:CcrdV1:scaffold_668:100189:101564:-1 gene:Ccrd_018109 transcript:KVI03586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF966 MEVIPKSARTPADLHHMTKKWKHLTTQRSPERTKVWIEPPPHHHRHRKVPVVYYLSRNGHLEHPHFLEVPPSSADGLYLRDVIDRLNSLRGKGMAAMYSWSSKRSYKNGFVWHDLAENDFIYPAHGQEYILKGSELFDSQGASFSSKSDEAPSSMSKKSPSSDTLKSIDEFDFPVSRSRKNQPWTAIDLHEYKVYTGESSAVRVAANASTQTDENRRRRRAMMSEVEDKRNEPYEDTESSELSRNEISPPPSDSSPETLESLMKSDDKLIVRPETNGEINPTAGNKMRASSVLMQLISCGSVSFRDCGPGAYSKHDNPEFSLGSAYESRMVTRGEGGNNSVEQGAGENRVGKKEDKEYFSGSLIETKKDEFPALKRSNSFDANG >KVI03585 pep supercontig:CcrdV1:scaffold_668:84794:86143:1 gene:Ccrd_018108 transcript:KVI03585 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTSHRKILIVSYSGQGHVIPSLRFAKRLLNMGVDVTYSTSLSVVRRLEKETTPHGLTFAPFSDGHDSGKQPNTTLQQFVADFATNGASAVAEIISSATAAGQPFDHLVYTTVIPWAARVANAHGIKPTVLWCQSTTIMDIYYYYFNDYQSLISSNNSDPTFPINLPGLPPLTIADLPSFLLQSCPKEHAFLVPVMKEHVDVLKLSSRILVNSFGELEFESIRAIEKLEYLPIGPLVPSEVVESMNKTSGKDFFEKSEDGYIHWLNTKPTSSVVYVSFGTIATFSMDQMEEIAIRLVESRRPFLWAIGDSGIAERLSKIEELKKQGMIVDWCSQAEVLSHQAIGCFLTHCGWNSTVEALVSGIPMAVFPQWSDQETNGKMVEDVWRTGIRVRRREGDGILEGMEIQRCVEIVMGDEEMKKNAEKWRNLAREALNNGGSSTINLQAFLDDM >KVI03588 pep supercontig:CcrdV1:scaffold_668:44636:50166:1 gene:Ccrd_018105 transcript:KVI03588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIAKDIKQRLSDTDSKAEPSTIKINKGGADGSGGNGEGAQKSACCGS >KVI03591 pep supercontig:CcrdV1:scaffold_668:12974:15972:-1 gene:Ccrd_018101 transcript:KVI03591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPVFFKREFSRNWPFLVGFAVTGTIITKFSLGLTEEDRKNSVFAQRHKRCCYFFLESASYLPEMKFVLTFELIISSNDDWKCWSTASYCFHW >KVI03589 pep supercontig:CcrdV1:scaffold_668:62603:67955:-1 gene:Ccrd_018106 transcript:KVI03589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MTVKRLLEADTEIENMAMANCLMLLSTVGKSAQPRRLFHCKTCNKPFQSFQALGGHRASHKRPKLNDDGLSQSPPKPKTHECSICGQEFAIGQALGGHMRRHRDDATGKTIRTVAKKKQSTETRGFFLDLNLTPYENDLKLEKMAIKRSWEDDREVENLAMANCLMLLSRVGQSDSPPDRVFHCKTCNKQFKSFQALGGHRASHKRPKSAESEAQSPAKPKTHECSICGLEFAIGQALGGHMRRHRDGGSGRPEVARRAEPVAEKRGLCLDLNLTPYENDMKLWSSSVKTALAI >KVI03596 pep supercontig:CcrdV1:scaffold_668:170467:172837:1 gene:Ccrd_018113 transcript:KVI03596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1635 MEEFASLWSYQENIDELKQKLLYTTLELEAVKAETDEEMKRNMESTKQLLQLLKLACQERDEAKDQLQKLLIKIVPTNDQQFFNPTNPSIPNCFTNDQLQQIHQGNPLMPAKANSSITESNSLSDAYNHSSSPVDSLFDPISSPEFSNINLETPFVQDYHQNGVLSPKVDQATLVMEGMIKGKNLPQKGNLLQAVMEAGPLLQTLLVAGPLPRWRNPPPLQAFNIPPMTAAMDQISVAQKQTMSGSNCLVRSPTMVFKQPQPYVEMGCGSSSQMMAAGGGGGGGGGGCLSFGDVNFGSNSQGRMVSACPGGSNLGTIGKRQRLH >KVI03599 pep supercontig:CcrdV1:scaffold_668:120066:121477:1 gene:Ccrd_018110 transcript:KVI03599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MRHVEDESIRKGPWTEHEDVQLVFYVNTFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMTPHEERLVLEYHSKWGNSSSSSSFCTNDPIVDSMPMTETKERSFYDTGGIEMVPNSRPKNNTTLMKYAKDDSEEHEGIYSMDEIWKDIDLLEIDDGMKPVFDPYSEIMPSHIWDYSSINSFWTTDSGQEEFSTYMAFPATSERLLG >KVH89511 pep supercontig:CcrdV1:scaffold_669:23367:35203:1 gene:Ccrd_008498 transcript:KVH89511 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein METGSEGESNRNMNDNNNGQSDGSKKPKRQMKTPFQLGMLEKTYASEMYPSEATRAKLSESLGLTDRQLQIRLKDKKEGIVKRPLADGALGERIESMRSSKQELMVVERGGGSDHGSRSRSRHESWSRSGSGSGSGSESDSSQFNEPLVHSRAYELTQQKIMLRRIIDCVEVQLGEPLREDGPALGMEFDELPPGAFGTPIVVKKRHGQTRHSYDGNLFEEPDPRPIKVEVAGLLEPGVSTIKPEPYGVVSRLYDSPVGYPSDQRLVIQNGQLPQPYVGSGQLKDRERRKEEERMMREKQRQEERFQREEKREIERREKFLQKESLKAERRRQKEELRREKEAIKLKAAIEKAAARKIAKESMELIEDERLELLELAASSKGLPSIVSLDYETLQNLDSFRVRLKRPFSIHPWIDSEENVGNLLMVWRFCMTFADVLGLWPFTLDEFVQDLHDYRKNLSFSSVSFVRPNKCLLSNQDSRLLGEVHIALLKLIIRDIEDVARTPSGGPGTNQYTVANPEGGHPQIVEGVNSDQNPLAYMWGFDIRNWLKHLNPLTWPEVLRQFALSAGFGPQLKKDKAKRCEDVITMLRNGSAAENAATLMQEKGVHLQRKSRHRLTPGTVKFAAYHVLCLEGSKGLNKTGLRDLTTSKTPDASISVALSRDPILFERIAPSTYCVRPTFRKDPANAEEVISSAKEKIQSYANGILAGVNVEDVEKDEDYECEVAEGPEIDDFGTSSTTKDANFYNEEAKTAVGEDVDLDMKNEFENAGVGVSSIDQGSSEIDERKSGEPWVQGLTEGEYSDLCVEERLNALVALIGIANEGNIIRLVLEDRLDAATAVRKQMWAEAQLDKKRLKEECITKFQDSSLMAAAAEGGQSPLMPTIDSKTNDGLLQMAQDGSMGQTTAQVHNNGYNTTERLRLQLKAFIGHKAEEMYVYRSLPLGQDRRRNRYWQFVASSSKHDPGSGRIFAFDALLLSLDTRGARESHLHVMLQKIEMSFKENIRRNAGRAENTDRSGRFSRIEAGPNVASDGSPNSTVCVSGSDSLEPSPSFNIELRRNELEKKNAMKRYRDLESWMWKECLYSSNLSAMVHGKQRCFPVQGVCDFCHESYCYEKDICPRCYRSFSTFGDRLSYPESEYQENVPKTNDQCDWDITHPQRIRLIKSLLTFLEVLTWFESVVRRDYLSLNFETTEELLGTSGLSQRAASGSGSVPVLSWIPQTTAAVTLRIFELDASISYSPEQKAELHMGDELNHMMVEKPPLKFTFLKNIGKTITDMDHSKPMKQGARGGGGGGSRGGKWQKRAAGAISVSGSRQNLKDNVTMSQAIKQQTHGRGRRTVRRRRIEKTVEEEEEPIRNFIDEEWVQEPVDKMETGNTNFRISEEDEEEESESEDEGEEQRVGFEMANEESSGFGVANNESRWASMEMSEEEGFEVVEEDDEMMNDDYVDGNDGRNFKVEDSDSFGSGDYSD >KVH89507 pep supercontig:CcrdV1:scaffold_669:103158:109934:-1 gene:Ccrd_008504 transcript:KVH89507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane attack complex component/perforin (MACPF) domain-containing protein MSIYKTNFFDPQSAAEKAVSVIGYGYDLTCDIRLTGCKPGPSGSTLIELDETLTKDLVVPGGVVVPNVPSSIKCDKGERTRFHSDVLSFNQMSEQFNQDLSLSGKIPSGHFNSMFGYKGCWQKDAPTTKNLAFDGWFITLYNIELAKAQITLSKKVKQEVPSSWDPTALAEFIDKYGTHIVVGVKMGGKDVIYLKQLQSSNLEPAEVQNLVKQLADDELSEDLSEASVSGSDKPHKRWLSTVSQSPNVIAMSFVPIVALLSGVRGSGFLSHAINLYLRCEFTNRRLRNLINFWSSNCHGNGRRHTVILRLLLDAKETRPHRFSSLSWVDSENRPVTGVRLYLEGRKSDRLAIHLQHLSSVPKMLELSDDITYKPNYEPIEKGYVEPVKWNIFSHVCTVPVEHTETHIDDSASIVTKAWFEVKGVGMKKVLFLQLGYSTVGSAKIRRSEWDGPSMHSRKSGLMSTLMSTPFSMGLATPEQKPVKVDLNSAIYPDGPPSRPPKMSSFVDTKEMVRGPEDPPGYWVVTGAKLCVEGGRIRVKVKYSLLTILLEDSILM >KVH89510 pep supercontig:CcrdV1:scaffold_669:37921:51211:1 gene:Ccrd_008499 transcript:KVH89510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 MSAVFPLSLLTIKYHQPRIQNSSSLTLLQSFPLPDCSSFTSIRKKASINGCKSITRASNTATSPVTIEKDVADGTVFVIRVQDQMGLIQGITRVLKTIGFKIERTTVEFDEIEDYFVTIKFLVTDLHGNQIDDNESLMRIQNVLMEAIYGSGTVVRKAGYFGEKEEHMFGLMDGFLKNDPALSHSVRDRLIERWHDTQQHFKKKDPKRRSLSNSVNNLGIRDQCAEALNQLGFEYEVLAEQIILDGYQHEQPDYWLNFGNPWEIERVHVSYAVKFYGTVKEEVVNGEKCKVWIPGETGKELRLKQQYFFVSASLQDIIRRFKDDHGNFDNFPEKVALQLNDTHPSISIAELMRVLLDEEKLGWKRAWDIVRQVFSFTTHTVQHEALEKVPVDLMESVLPRHLQRRWIVVSNPSLCALISKWLGTDAWIRDVDLLARLREYASNSDLQKELQMNMEKSERRKVVPRVCIIGGKAPPGYEIAKKVIKLCHAVAETINNDSDVGDLLKLVFIPDYNVSVAELVIPGSDLSQHISTAGHEASGTGSMKFLMNGCLLLATADGSTNEIIEEIGAENMFIFGAKINEVPTLREKGSTVKAPLQFARVVRMVRDGYFGFKDYFKSLCDTIENGKDFYLVGADFASYLEAQVS >KVH89503 pep supercontig:CcrdV1:scaffold_669:46260:51211:-1 gene:Ccrd_008500 transcript:KVH89503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRDQNYFIEQQYDLQLQHQKEELGVAFTRLDPPGFLCVIFDCSIAAKSSRASSTNVAKLDDLLCNLVTRRSFPSDYANTRSEFDAYSLRRANRSTSRIQASVPSHFEIRAHREGLLTTIHRR >KVH89506 pep supercontig:CcrdV1:scaffold_669:125195:125929:-1 gene:Ccrd_008505 transcript:KVH89506 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAPRDRTPTAVKVNGGSAGVGVQVKEAHFRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAAKAYDAAAREFRGAKAKTNFPIHDDNGLLKVQKDELFKKASYVSQQSTSQGSTIESPSREAESVVPSLDLNLSYGVAAPPAVQFPFHQKNMYCFSPSTGAVTGFFTPPSNQMFYFPDGIFLSGKVQVHHSGGYDSSALPFRQSVSDDSNSSSVVDLKPLPTPASQRLIIDLNLPPPAESS >KVH89505 pep supercontig:CcrdV1:scaffold_669:86468:89254:-1 gene:Ccrd_008502 transcript:KVH89505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MSTAEAEIDIKQPEQGGRGGVAKRKPVFVKVGELKPGTNGHTLAVKVLSSTTVLDKKSRNTSSYSSRPGAAHTRISECLIGDETGTVLFTARNDQVDLMKPETTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFTVKEDNNLSLIEYELVNVAEE >KVH89508 pep supercontig:CcrdV1:scaffold_669:185132:201194:1 gene:Ccrd_008507 transcript:KVH89508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MWRVFNGGAFPRCAVLQYDDGKAPQCDGGMMSAETNNTFNETSKEVTGGDSLISLYKSNKIPHSEEETTKMSAKDVNDTQKEPRLEAPLIRPRSSSPAVEINEGNKRPALICNFFAKGWCIKGNSCRFRHIKESMNANDQQKENSVAAYQKSEPQDDEGLRDGVERSGLATPVAVPTTTCSSETILLQDTKESPKHKINPKESQKEDPVNISLVDDDKETGGSRENQYLDKEHPPVNANSLLHETSSSNPFTGSDIRKSNWVSSYATSMEELDGREYQFRFHNLKVPITNYSPNYGSSSFQSTSRISPSNHSSMWPRTSTLLSSSSWNSDSFGTVKHLGGDRELHPIFRPNVTPFSGSESEHLYRDKDVLPHSAGFTTKFSSYDWEPSKPFRSSFLISQGIYSPASQYDPIRDSIEQPKDGDKISKFSSSSRTPSISSIHSLMDVNAPLKEKFGMDHGSDRLSLASHVNGHDNAMDVNSNARELGTVDTKQENTKREAKGKKHPIHAHVGDAIQSRELQVNPEFVQENDGPSKEIKIGDDSSRYRGQDFDIEGEGTRESKALKHFRAALIEFVKELVKPTWRDGKLSKDAHKVIVKKAVDKVINTLPAEHIPSMQESIDIYLSSSQPKIVKLVEGYIEKYGKL >KVH89502 pep supercontig:CcrdV1:scaffold_669:83569:85763:1 gene:Ccrd_008501 transcript:KVH89502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQGHEVPSGWPFGLGSMNTRLSVRESGVAVTGGREPNTFHGPSSSFSSFSSSNLDTESTASFFQDNSVSLGRLIGIAPRENRTLYFPRTTCIPDQRSQRSKVSTKAHEIETSHGICVPNLLNILVKISRSKSHTRQ >KVH89504 pep supercontig:CcrdV1:scaffold_669:98982:101786:1 gene:Ccrd_008503 transcript:KVH89504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYLRRFFSLHNPLQPQFELCKSIIFVKCIHALAVITENTQSTTTLRITHDAEWTPSSISYSKLLSQCCQSKSLSPGLQIHTHLIKIGLANDSKHRNHLINLYSKCRLFGCARRLLDESPEPDLVAWSSLISGYAQNGLGEEAILAFSEMHSLGIRCNEFTFPSVLKACSIKKDIVGGKQIHGIVVVTGFESDVFVANTLVVVYAKCGEFLDSRRLFDQIPDRNIVSWNALFSCYTQGDFFKEAIYLFQDMVSSGIRPDEFSLSTIINACTGLHDVNQGKKIHGYLMKHGFSSDPFSCNALVDMYSKVGDFEDCKQVFEHIPNPDIVSWNAVIAGCVLHEFNNMALELLLKMRRSGITPNMFTFSSVLKACSGLGLQDLGQQFHSILIKSDIELDPFLCCGLIDIYSKCGEMDDATRVYDMMPEKQLIALNALLCGHSQNGNDLKALSIFAEKHREGIGFNETTLLAILNSAAGLQDVNVSEQVHGLSLKTGFQSDPFVVNSLIDSYAKCGHLEKATMVFDDSPIGDLATFTSLISAYVQSGQGEEAMKIYLKMQDLELKPDPFICSSLLNASASLSAYEQGKQIHVHTLKFGLLSDVFTANSLVNMYARCGSIDDASRAFSEVPEKGIVSFSAMIGGLAQHGHGEKALSLFDKMLKDGIAPNNVTLVSVLCACNHAGLVTQAKRFFDSMEDVFGIKPTQEHYACMIDILGRAGKLDDAMDLVNNMPFEANAAIWGAVLGAAKTHKSVDLGQRAAEKLMLIEPEKSGTHVLLANIYASAGLWDNVAEIRRSMKDSKVKKEPGMSWVEVKDKVHTFIVGDRSHFMTKEIYEKLDELMDLVGKEGYVPVLEIDLHNVKRSEKEVLLSYHSEKLAVAFGVIATPSRAPIRVKKNLRVCVDCHTFFKYVCKVVAREIVVRDINRFHHFKNGSCSCGDYW >KVH89509 pep supercontig:CcrdV1:scaffold_669:160168:160683:1 gene:Ccrd_008506 transcript:KVH89509 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MASPAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGPKAKTNFPSPPPSPPSLSLDLNNFPSIHHHHQHIRWVAAPPSRQVMVGRELELFHTGVVRDRHHGGGGCCSAVVVGNGGERAVEEGGSDGGKTAGFLGIVRRGLGIDLNEPPPMWL >KVG62197 pep supercontig:CcrdV1:scaffold_6691:4869:12643:1 gene:Ccrd_026316 transcript:KVG62197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MGGLAVCSLESMSSLEMVIDWNRKSDDRMKVVFFTCRLLSDAHRYILRCLITLQRVSQCTIYTSISEVWMSNIELFDVCGSITALIISASHDKIGQSAYPDSPLGPDAYHEYQSLLVQDYEELMKKNDSNSINTNNKDINENPILEDEGWLQLTPREKDITKSPTFSSTKDIYDDRIDNAEDVGHKLIAYVHHFPLILCPFSPKFFVLPSEGSIAEAYISAEQENSVSSGLPPLSTGTFHDGEDVPAGVALTAQFLYHLTTKMDLKLEIFSLGDLSRTIGKLITDMSSLYDVGRRKRSAGLLLIDRTADLLTPCCHGDSLVDRIFSSVPRRARTSSGLAKGSQTQLGHRPANVLRAPLDVQIPLSDVLRGVPTKNVQLLETIEAFLQGWNSTNSATQINTLVSLKDKLHGENCFSSESELLSGSLVSTESFRMTSYLESILDRRTKDGTLLIKKWLQEALRKEKISVNPKLQPGFELQLMIRALAKDQSSFVRNKGIIQLAAAASYAMSEECRAKWDSFISTEKILNISAGDTSQNLSSQMCDIINKSTLMQSQGQRTGEAQGLFSVEDALLLTVTGFILAGENFPTSGSAGPFSWQEEHFLKEAIVDAILENPELAKLKFLHGLYDDLEANLKKKRGGETKEEPSKSDDDFEDDQWGSWGEEDADTNSTSNEHVYSDMQLKLELRDRVDNLFKFLHKLINVNGNKGLREATLNWENNIVDDPYSRKGLLYKLVTRILSKYDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLSDQNIILVFVVGGMNAIEVHEAQEALSESGRLDIELVFGGTTFLTPESMFDLLLGDSSYI >KVI07947 pep supercontig:CcrdV1:scaffold_6698:9365:21621:-1 gene:Ccrd_013687 transcript:KVI07947 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MENKQSNMQIVLVDQDGDVNDDEGLPPGISVANFDYSVENHLKAMDKILEFSGESKFEYDQSEIQRMTSSVTFLSDHRSTTASYSGDPRSTKEGTHRVQEMRIDSKHNLIEWRHFCYQPQAIRFACQSEAPQRKDVTSRIINLTQFSSAAVPKDISGGDKISSHSSKDFVMYVGGLVWAMDWCPRVHERPDCDINLEFIAVAAHPPESSYHKIGAPLTGRGVIQIWGLLNRGLKDNDVIPHVKRKSKTNSSSNKATKPKSTQPTKPRGRPRKNPIDGSIKLYDNNQHLHAQAVQFPEDSTKLLLTDGRSHDILELVATGVTNAKPSAPKRPRGRPRKNQLKESKDNLDHSNKHLESLKWPEVASNLNNVYLETPENFSKGTCRDQNAPVGVSTEKQQDIRATETINFNVVKPMEGVRKKKTKVSADNLDSSNQSVKPLAVKFPEDNINVNNQYLKPLAITFHEDSSKLPEVDEISIETPEIVSKVDDGSKTHELVAKKDSGRKRKAHDEGHPEKSVLIASTSTLTKCKLRLKSVETATDLHLPSQKCGTSLLNADTSSGCGQDPMRSIEDKADPVLLETDMDSRCIPEDVALPRLVLCLAHNGKVAWDVKWRPSDTYFNSKHRMGYLAVLLGNGALEVWEVPAPHAVEVMFSACRKEGTDPRFIKLEPVFRCSMLKCGDRQSIPLTLEWSTSSPHDLILAGCHDGVVALWKFSADGPLKDTRPLLRFTADTVPIRALAWAPVPSDSESANIIVTAGHKGAKFWDLRDPFRPLWDVNPAQRIIYGLDWHPDPRCVVLSFDDGEIQIISLSKAACDVPVTGAPFVAAQRHASHSYHCSSSSIWSVQVSRLTGMVAYCCSDGKVVHFQLTMKAVEKDPSRNREPHYLCGAMSMEESGLTILSPLPDVPFLMKKSSKEWGDTPRTRRGYRSLSNQEKRAKEQMLKECQQPLAVCYDGNSDSETQQSSSSKKGKRDDEEEELPSKIVGKRDDEDEDEEEQELASKIVGRREDEEELPSKIVGKRDDEEELPSKIVGMYGVRWNTNKGSERWLCYGGAAGILRCQHIY >KVI09980 pep supercontig:CcrdV1:scaffold_67:467942:471473:-1 gene:Ccrd_011624 transcript:KVI09980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MAESTAVLRTFHYSMRIDSTSHSAAARRGVVSMHNAKWLTSTKSLISAFGRELVSSRSKRAGILVSCVKTSDGAVFDGSNGSPEKTSRRGATFPSGFEELVLGVCDTTQVAELKMKIGDFEMHLKRNIKSVEAHVPVASPTEPPPIPSKPMTESAPADEPSFSGKPKTNPFTNATVKKSRKLAALEASGATGYVLVSCPTVGSFHKSRTLKGKKQPPACKEGDAIREGQIICYLDQFGTELPVKSDVAGEVIKILFDDGGTISGSINLAHTVTFH >KVI09989 pep supercontig:CcrdV1:scaffold_67:61787:63277:1 gene:Ccrd_011588 transcript:KVI09989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGPPPYHHHDRSLLTTICCCPCIMLSSICGSFEGCISVLCSPLLHCFGLDRRDYHRPPPPPPHHPHPPPPHHHRF >KVI09998 pep supercontig:CcrdV1:scaffold_67:357001:358632:-1 gene:Ccrd_011612 transcript:KVI09998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNKRERERERERGRERSRERERGEIVKKQQLNMVSREHKKATLHEKLQLLRSITNSHANNDSSIIRDASKYIQELKHKVQILNQDIASGQSTSSYQNSWPMVTVEALEKGIQVNLYSERSCPGLLVFVWKVFEELGLNVLEARVSCTGSFQLEALGVERQENGETIDVQMVKQAILHAIENWSQNNDHQDS >KVI10014 pep supercontig:CcrdV1:scaffold_67:121745:126002:1 gene:Ccrd_011593 transcript:KVI10014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASEEIALVLSHRSDNNHPQFLFQDESNLPFRCNPPQHHQQQRHTSGHHPAGHTTNNKTTRELTGFIEHQNRHYQPQATTDFRRSICDAAPAYHRGIQDWDIRNGRDSSSPSGDGSDSDDDGDGDDDEMDDGDGDGDDHVVGLVNSSNDIKNARKSNVSGHSSCVKNGNGKRTHLSSIGSSSEVMKEGIVIQNHQQTQLCQYQNAITVADPNGELYYSQYLRGAEGSGAGLKDMLVENGCGFSGRKDISNSGGSGESLRAILSDPLTGGLMDDAMILPCGHSFGSGGMQHVMRMKACYTCSHMVSEGSVTPNLSLRSAVLAFRREEELQTHRASKRRNRLEQDKSNYGDSTLMDQSKGRGVQFPFFVTDRVIIKGNKRTPPRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVEDARPLEVGSSKMTPPNWL >KVI10011 pep supercontig:CcrdV1:scaffold_67:83198:93287:-1 gene:Ccrd_011590 transcript:KVI10011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MASGENDGVVLERKRTIVSALCKSRSLEPAKFLDDVRNDLKSLSVSIMKTALENNEEFNKWVIFAENFPSDSKGCSDGLNQLNKYLTDNSVLVGGGSTPSEADVIVFSTVHPYVIGLPVSDKNKLPHLMRWMDYIQNKHDFGDLFKWIVLEKAPFDPPLAKPAAKVEAEPNTKKAVPNAKAATSGAGSEKKFDMPKKQATGNTEAATDKKKLPEKVPDDKDKDLSVSLLKIQIGLIRKASKHPSADSLLVEEIDVGEGKCRQVVSGLAKYCSPDELTNRLVALITNVKPGKLRDVVSEGLVLCASNADHTNVEPLIVPEGAKIGECVTFSGHEGKPEDVLNPKKKQLDKITPNLFTDDKGVATYKGVPFMTSAGPCTSTISNASVK >KVI09993 pep supercontig:CcrdV1:scaffold_67:421078:421296:-1 gene:Ccrd_011618 transcript:KVI09993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSNGDGFGRVNTESSNGEGGIEILTHLSDYTLPSVDPEDFAILIAAFNEDRRSESVNGMRYEIEGV >KVI10009 pep supercontig:CcrdV1:scaffold_67:158276:162706:-1 gene:Ccrd_011596 transcript:KVI10009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MEDLQDACDPVERDNSTCSTSTSSGYRLFDRQTTIHQLMGGGKAADVLLWKRQRISWGIIIVATVAWLIFERSGLSFLTICSDVLLFLIVLRFLHANYASLRNKQIQTLPELVLSEEMVNYAAASFRIKVNYLLLMAHDITLGKDFRLFFMVVGFLWLLSVLGSLISFFTLAYIGTILCVTLPALYNRYEERVDGCAGTIHRSFSRHYKIVDENVMSRLPRNLPKDKAM >KVI10020 pep supercontig:CcrdV1:scaffold_67:199548:201594:-1 gene:Ccrd_011600 transcript:KVI10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MIEETVRRDAGPMYHMGWYHLFYQYNPESAIWGNITWGHSVSKDMINWFHLPFAMVPDQWYDIQGVMTGSATVLPDGQIIMLYTGNAYDLSQLQCLAFAVNSSDPLLLEWKKYEGNPILFPPPGVGYKDFRDPSTLWLGPDGEYRMVMGSKHNETIGCALIYHTTNFTHFELKEEVLHAVPHTGMWECVDLYPVSTTHTNGLDMVDNGPNVKHVLKQSGDEDRHDWYALGTYDVVNDKWYPDDPENDVGIGLRYDFGKFYASKTFYDQHKKRRVLWGYVGETDPPKYDVYKGWANILNIPRTIVLDTKTNTNLIQWPIAEVENLRSNKYNEFKDVELKPGSLIPLEIGTATQLDITATFEVDQTMLESTLEADVLFNCTTSEGSAGRGVLGPFGVVVLADAERSEQLPVYFYIAKDTDGSSKTYFCADESRSSNDVDIGKWVYGSSVPVLEGEKFNMRLLVDHSIVEGFAQGGRTVVTSRVYPTKAIYGAAKLFLFNNATGISVKASLKIWKMKEAQLDPFPLSGWSS >KVI10000 pep supercontig:CcrdV1:scaffold_67:336032:338289:-1 gene:Ccrd_011610 transcript:KVI10000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTKNRIKAAAVTVYPTHLEKTKNNIEDLQIPLSEFKDNGKAVTKMRYSSKRSSKEFVLPQDFIDQQRAYFKEDDEYGLEVEEVSV >KVI10012 pep supercontig:CcrdV1:scaffold_67:105807:108892:1 gene:Ccrd_011591 transcript:KVI10012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MRKALGICIFLLLAPVIIVQSLNPTLDDDVLGLIVFKADLQDPDGKLASWNEDDDSPCKWKGIRCNPRSNRVSDLVLDGFGLSGRMGRGLMQLKFLSKLSLARNNLTGSIGVNLAQLANLRVIDLSENGLSGPVPPNFFDQCGSLRSISLANNRFSGQIPDSLVSCSALGALNFSSNQFSGLLPSGIWSLHGLRSLDLSDNLLKGEIPKDIEGLYNLREIKLRKNQFTGSVPDEIGACALLRSVDFSENLFSGLLPSTLQKLSLCNDLNFHSNQFTGEVTEWIGEMGSLQTVDLSKNMFSGAVPNAIGNIQSLKVLNISNNGFSGSLPASLINCKSIQSLDVSRNSLTGSLPTWVFQLGLQSVLFSENKLTGSIYGAVTSAIGISHENLQFLDISHNALSGEIPSDIGSFSSLRLLNISKNSLIGEIPEAMGKLKALDSLDLSENQLNGSIPSQIGGARNLKDLILARNSLSGSIPSSIGTCSSLTSLILSRNNLSGQVPVAMAKLTDLQFVDLSFNKLTGTLPKPLANLVHLVSFNVSHNQLQGELPAGAFFNTISPSSVSGNPTLCGAAVNKSCPAVLPKPIVLNPNSTGTDPDSIPPTLGHKRILLSISALIAIGAAAVIVIGIIFITVLNLRVRSSMSRSAAAVPLSGGDFSSSPTTDTNSGKLVMFSGDPDFSTGAHALLNKDCELGRGGFGAVYRTVLGDGRSVAIKKLTVSSLVKSQDDFEREVKKLGKIHHPNLVALEGYYWTPSLQLLIYEYVSGGNLYKHLHEEDEDGRRSSLTWNERFNIILGIAKSLAHLHQLNVIHYNLKSSNILIDGLGEPKVADFGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEIVTGRRPVEYMEDDVVILCDMVRGSLEEGRVDECIDERLQGKFPAEEAIPVMKLGLICTSQVPSNRPDMAEVINILGLIKCPSEGQEESG >KVI09999 pep supercontig:CcrdV1:scaffold_67:352689:355266:1 gene:Ccrd_011611 transcript:KVI09999 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MDCSVCSTMPYNLRPPRNTICVACYEGAKSIIAMTNKIDDHKVSGKFSGETSVPGSSKATRSNIFKNMLDSDGCKAAPNDMITLPELNHEEVESLLEFLYSGDLCKEKLDKHLYSLSIAADKYEITFLQKFCENRMLEILNASNALDILEISDTTCNRSLKDTALSFVVKNMEDIVFATRFDVFALKNPHLTVQITRASFMDIKNRRNDV >KVI09991 pep supercontig:CcrdV1:scaffold_67:28350:30412:-1 gene:Ccrd_011586 transcript:KVI09991 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEEIVSLIFHGGKLVKELEESLPNIANQPHVLISSCDEISRVFGNARERLTLAVQDYGTHHESLPQMEVGGGSVPEWLRSSHAMDMGLHEQLAHHQHHGFDAIAGQELGGGNVEAVATDVAQSSLHRPRRRKHEADRRTFRVPAPRMGNTEVPPEDGYTWRKYGQKEILGSKFPRGYFRCTHQKLYNCPAKKQVQRLDNDPYTFEVTYRGEHTCIMSSTAPSMPPPPSLPEAMTSYPTSHNIPLTTSQPVTQWLSIDVKQPFGELYSTIAHHNMQMYKSQSDNIGGGGTGPSSPARYTDYPGVTDLIDTMFNSGSSSNNSMELIFSSEEKKDDREKTN >KVI10007 pep supercontig:CcrdV1:scaffold_67:130337:130564:1 gene:Ccrd_011594 transcript:KVI10007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPSNPDPKPQNAASFPFLPSHNRRAHSEVNFRLPDDLDLASDPYDAPSGSFEEMSSEEDLFLLIWTLKSSDPT >KVI09981 pep supercontig:CcrdV1:scaffold_67:474110:476530:-1 gene:Ccrd_011625 transcript:KVI09981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WQTNTKSISICSSLSSSQPSLQLSISKTLNHPSSMISFSILADYNYPVSLWTSKPLKTSMNNILHHENTISKLLTNIIKDILNYTPIKPSNSFLKLPEPNSIPDLKHIFNFLFLALTFIISIYEAPQDIRCDCINNLKDQFSCSWARETSKRLMLLMGSNTEEQWMRSMNLAITNWMMELRTTNYVLKAPSPMYSSATSTLGLWKVQLYCPVIAMQIEKCNSPGLEDPKLEFSLNYHQVEGVIQLNYHVMVREKWIDVLVSIDNVRSSENPTREIGLERSIEGSFEPPNPHIGLSVSAGETVTTNLKPWKFEQSVYGYSGTFNWFLHDSVNGREVVTSKPSKMALLQPKAWFRNRYSSAYRPFTRQGGVIFAGDEYGESVLWKVGRGAIGQTMEWEVKGCIWLTYWPHKYKTFYTETRRLEFREVLRLIVA >KVI09982 pep supercontig:CcrdV1:scaffold_67:448957:454397:-1 gene:Ccrd_011622 transcript:KVI09982 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF399 MEAHALRGFHCPNNAQVDTHHHAPTRIRSLNPLSSPEIGQRIFRVATTTAKKRPRHVSIGAVHSRGEEESDSAGEVRHRRRDVLVTPFIAIGACVFRSAVARADEKVATESAVVPAAVTETKVKATEGVTAAKVEVKKEEVINSRIYDASVIGEPMAVGKDKGKVWEKLMNGRIVYLGEAEQVPTRDDKELEVEIVRSLAKRCAEVNRQITLALEAFPADLQEQLDQYIDKRINGETLKPLVSHWPPQRWQEYQPLLNYCRDNVVRLIACGTPLKILRTVQADGIRGLSKAERKAYAPPAGSGFISGFTSISRRSSMDINYANQSIPFGPSSYLSAQARVVEEYTMSQIILQAVTNGGAAGMLVVVTGATHVAYGSRGTGVPARIARKMQKKNQTVILLDPERQYIRREGEVPVADFLWYSAARPCTRNCFDRAEIARVMNAAGRRRDALPQDIQKGLDLGLVSPEVLQNFFDLEQYPLLSELTHRFQGFRERLLADPKFLNRLAIEETISVTTTLMAQYQKRKGKFFEEIDYVVTDTLRGIVVDFFTVWLPAPTLSFLSYSDDANGPNSIDALKGLLGSIPDNAFQKSLAGKDWNIGHRVASVVFGGIKLASVGFISSLGAVAASNVLYTLRKVLNPALMQKNRRSPILKTAIVYSGFLGTSANLRYQIIAGLVEHRVSDMFADQTLFVNMLSFVARTINSYWGTQQWIDLARFTGLQTQKSEPEPEPSLNQTIESANSTPLNTTEEAGIDEINSQ >KVI09977 pep supercontig:CcrdV1:scaffold_67:3222:3530:1 gene:Ccrd_011582 transcript:KVI09977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKIWYLSSTFASNVTRGSLCTSMHLVEALIVIWVMQVSHGCNVSKYVLGLHVDYTTFNDPVETKQRVLHRDIKSSNILLDDEWNAKVSDFGLSKVGPANGTN >KVI10016 pep supercontig:CcrdV1:scaffold_67:327385:330963:-1 gene:Ccrd_011609 transcript:KVI10016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MLSFRNMEAKGYTQDGTVNLRRQPVISSKTGKWKACAFLVGYEAFERMAFYGVASNLVVYLTTQLHEDTVSSVRNVNNWSGAVWMTPIFGAYIADSYLGRFWTFTLSSLVYVLGMVLLTLAVSIKFLKPKCRNGVCNKATTSQIAFFYSSLYIIAIGAGGTKPNISTFGADQFDDFDPYEKRLKASFFNWWMFSSFTGALVATLGLVYIQENLGWGLGYGIPTIGLILSLLIFYIGTPIYRHKVRKTKSPAGEFFRVVRAAFANRHREQPRDSSELHEFDLQHYVDSGKRQGALKEENHGMPPCTITQVEGAKLVLGMGMIWLVTLIPSTIWAQINTLFVKQGTTLDRHLGSSFQLPAASLGSFVTLSMLISVPMYDRYFVPLMRKRTNNPRGITMLQRLGIGFTIQVLAIAIAYLVEVRRMKSIKSHNITSPKEDIPMTIFWLMPQYVLLGVADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMVDKVTSKGGKKSWIGKNLNDSHLDYYYGFLLIISILNLGAFLWASKKYVYKRESNVEAKDEMLEMESKPMEAIPLGLQV >KVI10019 pep supercontig:CcrdV1:scaffold_67:292579:292830:-1 gene:Ccrd_011607 transcript:KVI10019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHPAPNKRNITVRYDFGSQSNAAATICRQKKLRQLPHVFAKVLELPFYADADVSIEETSDSLIFIVDTDDDRVWGEGFEDR >KVI09995 pep supercontig:CcrdV1:scaffold_67:398127:400526:1 gene:Ccrd_011615 transcript:KVI09995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2985 MVSNDNGGIEDEKSNGGRVKTIAPLHIQTAQRGFLRDEDAQTPGGTSRTPSFLVFGNLGTPTAKFQRIAQVRDDFSRTIPSSTSQAIRDRFTRVFSKKIDWVSLQKLAKEWIRNPMNMVLFVWIVCVAVSGAILFLVMTGMLNHVLPRKSQRDAWFEVNNQILNALFTLMCLYQHPHRLYHLVLLLRWRSEDVSKLRKLYCKDGTYKPHEWAHMLVVILLLNLNCLAQYALCGLNVGYKRSERPAIGVAVTISVAIGAPAIAGVYSVVSPLGKEYTTASDEESQLKPESVSSSRPMQPRLKSFERRYSFTPKDETRTIESSPKWSGGIFDFWEDISLAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCLAPFWIFNLAAININDETAREALGITGIFLCVFGLLYGGFWRIQMRKRFNLPPSDICCSKPAVTDCALWLFCCWCSLAQEVRTGNSYEIMEDKFYKKPDDEAREIPISTLPQEGEEFGFRSGPSSPLPNSSFSPYKANTASPSRFLKQHRSPTSQPSVVEEDFHSRGKDATLEPPVPSFIHRDG >KVI09983 pep supercontig:CcrdV1:scaffold_67:461025:464929:1 gene:Ccrd_011623 transcript:KVI09983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRKQRKKKIHDKDKKEAYVSSNHSVPARNIRKADLGAVILGCTNSTINECLSKKLFGLPALHYSYIKNITKGLALFLFNYSDRKLHGIFEAASHGQMNIDKYAWVAGGDSYTSYPAQVRVRVRLQCHPLSENNFQPIIAMNYYESNHFYFELDLGQTNNLISLFQSSPVNSSSLPVSAKGQAYNAMHNWEEVGFDHTEKAKKTGSTSDTPLRPGLSYASALCGNNTSTTATATSSLPTGNWSGLFKGQSSSESTNDYKCPIQASSSSFSASDSSIIPQSSWVTNSPAYQSVQVYEDSWDDVVDEFPQNQEYQDKADFLQANTCEDSQLLATTTDAECTQTWVSHLNPEANTTTDELQLHQEYNDSVNFLQANTWEESIATGTDEVDQGQTNAPSSSDQPLDYNPDSKQSLCDKENESSVEEKHEKDSSLFPNTAAERSENLESLVIKLNQEVEALKVSQLKHTVKVNMLEQELGESKLEIQSLRNRIGLLEFGSPSIVDPTDVNDQLLRICLSNFVDSVFIFGGYDGSSWLTTLDSYSPYSDIKRSLSPMSFVKKYASAATLNGELYHFGGEGAHTVESFSLAKNQWVSRPPLYRKNIHVAGASIKDRLFVVGGGNGHQCSSEVQYLDLNIGKWLPTQSMQNMRLAPAAAELNNSLYVTGGYDGRSYLSSVERFDPREETWCKLQSMNARKGCHSMVVLNEKLYTIGGHDGDKYIPTVEYLDTRMGSWVDVEPMNVSRGNFGAFVLGEKLYAIGGLTENNEVLDIVECYKEGSCWEIADLKAIGKRSQFSAIVMK >KVI09978 pep supercontig:CcrdV1:scaffold_67:482714:483361:1 gene:Ccrd_011626 transcript:KVI09978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MASPPTSLPVSNPQATTTTQTTSIATPALRSFISRLSSSIRQSFSQRRPWYELVDRSAFSRPVSLSDATSRVRKNFSYFRINYSALLAAVLAFSLLSHPFSLIILLCLLGAWLFLYLFRPSDQPLVMFGRTFSDRETLLILIVFTIIVVFLTSIGSLVISALMVGLAIVCVHGAFRDPEDLFLDDQDQATAGLLSFLGGATSSAAAAAPPAMARV >KVI10022 pep supercontig:CcrdV1:scaffold_67:243658:247827:1 gene:Ccrd_011602 transcript:KVI10022 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MDLDHGKCWANSKKDSWRTTLLLAYQSLGVVYGDLSISPLYVYRSTFAEDIHHSETNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSSICRHAKVSLLPNRQIADESLSTYKLDQSPVRENSSRVKMLLEKYKILHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSKDHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVFLWLICISALGVYNIIYWNPQVYQALSPYYMLKFFKKTKKQGWMSLGGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAYLSRHHEIDDQIGYYVSVPGLAVMAVMLVTTCLTSLVIILCWRKPPIVALVFLFFFGSIELLYFSASLVKFREGAWLPILLALFLVTIMFVWHYASIKKYEYDLHNKVSLEWLLALGPTLGICRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRILVFVCVKSVPVPFVPPDERYLVGRVGPSSLRAYRCIVRYGYRDVHQDVDSFESDLVKRLADFIYYDWCRQQKASDAGNESLASGSDRSSGEHRLAVIGDTEFSRTAGFEVEENMQQVSVSFGFQTVESLGDIIEMSTPKERRVRFTIDDHDEPEKRTDVQMRQELEDLWGAQQSGTAFILGHSHVKAKQGSSVLKRLAINFGYNFLRRNCRGPDVALKVPPASLLEVGMVYVV >KVI09990 pep supercontig:CcrdV1:scaffold_67:47181:60203:1 gene:Ccrd_011587 transcript:KVI09990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MFKFLKDVVSGSGAGDDGSPVSIFSLTGSNANDGHLAAGRNGVKRLRTVRHPNILSFLHSTEAEISDGSSTKVIIYIVTEPVMPLAEKIKELGLQGIQRNEYYAWGLSRIAKAVSFLNNDCKLVHGNVCLESVVVTPTLDWKLHAFDALSEFDGNNEASTGPMLVCYDSNSSSSTSDMHCFICGNIEVENSNNIFAGLKLGKTEELRNTASIPKSLLPDYQRLLSSMPSRRLNSSKLVENCEYFQNKLVDTIHFMEILNLKDSVEKDTFFRKLPTLAEQLPREIVLKKFLPLLASALEFGSATAPALTAFLKMGAWLPSEEFNKKVLPTIVKLFASNDRAIRVGLLQHVDQYGESFSAQIVDEQVYPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASHLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALNATSSYYDAQEIAARILPNVVVLTIDPDSDVRSKAFQAVEQFLQIVKQYHEKKYVGDSTEETGSGISSLPGNASILGWAMSSLTAKGKPSEQTSQAPPKSTSPLVSAASNASSVSNTPSTTLVRSASSGADMADQYIADEPAPVSPTSTDGWGELENGIHEDHESEKDGWDDMLPLDDPKPSPALTNIQAAQKRPVMQTKPQVSIPRPKSTSHVSKDADELWGSIAAPAPKLVAKPSSARSSAASLDNDDPWAAIAAPPPTTRAKPLSAGRGRGSKPGVPKLGAQRMNRASSSEKF >KVI10002 pep supercontig:CcrdV1:scaffold_67:579362:579996:-1 gene:Ccrd_011631 transcript:KVI10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein RRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPFHQRADAVSSLVYEANARMRDPVYGCVGAISYLQNQVSQLQIQLAMAQAEILCTIQQQQQQQPPSAINGRLPELHPAQSTTILMDDVVSNDMISSYLHNTITNHHHQHFNLTSNSVIQYDSLKRESSSLWT >KVI10006 pep supercontig:CcrdV1:scaffold_67:179419:184566:-1 gene:Ccrd_011599 transcript:KVI10006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MRTTEPQTDLEHAPNHTPLLDHPEPPPAAVRNRLLIRVSSSITLVSLFFVSAFLLILLYQHDSTYTDDNSAPSESSSQQPSAADRLRWERTAFHFQPAKNFIYGPLFHMGWYHLFYQYNPYAPFWGNMTWGHAVSKDMINWFELPIALAPTEWYDIEGVLSGSTTILPDGRIFALYTGNTNDLEQLQCKAVPVNASDPLLVEWVRYDANPILYAPSGIGLTDYRDPSTVWTGPDGKHRMIIGTKRNTTGLVLVYHTTDFTNYVMLDEPLHSVPNTDMWECVDLYPVSTTNDSALDVAAYGPGIKHVLKESWEGHAMDFYSIGTYDAFNDKWTPDNPELDVGIGLRCDYGRFFASKSLYDPLKKRRVTWGYVAESDSYDQDVSRGWATIYNVARTIVLDRKTGTHLLQWPVEEIESLRSNGHEFKNITLEPGSIIPLDVGSATQLDIVATFEVDQEALKATSDTNDEYGCTTSSGAAQRGSFGPFGIAVLAHGTLSELTPVYFYIAKNTKGVITSRVYPTKAIYEAAKLFVFNNATTTSVKATLKVWQMSQAFVKAYPF >KVI09988 pep supercontig:CcrdV1:scaffold_67:66169:69581:-1 gene:Ccrd_011589 transcript:KVI09988 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MEYYEEDVPHVLAVDDNLIDRKLESSILKEVPVIIMSSENIPTRINECLEKGAQTFMLKPLKLSDVKKLRHQLTKSGS >KVI09975 pep supercontig:CcrdV1:scaffold_67:6590:7046:1 gene:Ccrd_011584 transcript:KVI09975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MFFMGLFVFAPKILNLSDVSFIWMQRLKIWVGVARGLNYVHRPMGTKQRVLLRDIKSSNILLDDERNAQIGPTNQSHTYLVSNVVGTFGYCDPSYFETGLLEWCCLRHRFVMVENVIKESC >KVI09996 pep supercontig:CcrdV1:scaffold_67:391222:397662:1 gene:Ccrd_011614 transcript:KVI09996 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF attachment protein MSDQIAKGDDFQRRAEKKLKGWGLFGSKHEDAAELYEKAGNFYKLGKSWDKAGAVYVKLAECYLKCVSHLEKALNLFMEIGRLSMSARYCKEIAELYEQEENLKQAMVYYDKAADLYQGEEVNTSANQCNLKIAQFAAQLEQYQKAIDIFENIARQSLNNNLLKYGVKGHLLNAGICQLCKGDVVAITNALDKYQDMDPTFSGSREYRLLADLAASIDEEDVVKFTDAIKEYDSMTKLC >KVI09984 pep supercontig:CcrdV1:scaffold_67:440173:441052:-1 gene:Ccrd_011620 transcript:KVI09984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQAALYIYISPQLRWSSQLEDIFYLPSESSVKVQLAMPDVMSRENGLLSSALNPNAPMFVPAAYRNVEDFSDQWWSLVRSSPWFRDYWLRECFSESLFDLNCYDDYDSFFPDEDSISVEDKIRGSEDEEKEVRRDLILSRMSNWRKARSMDSPRLYEKAPKIVNVKVSPRPIHQPR >KVI10003 pep supercontig:CcrdV1:scaffold_67:568684:575477:1 gene:Ccrd_011630 transcript:KVI10003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 85 MFNLFRPYINRRFLISLRNFYISLTHPLFSLFSPNMNHDPQTESTDDAPQPDSTLFDPYVPSTPVSYPIKTLQDLELRTYFDSFHFQFNKASVPLSCSGVDVVSLPNRRRMMACHDMAGGYIDDKWVQGGSNPDAYGIWHWYLMDVFIYFSHNLVTLPPPCWVNAAHKHGVKWEEGRLIAEQFLATTEVTQLYAERLSELAVALGFDGWLINMEVELDIGRIPILKEFISHLTKVMHSSKPGSLVIWYDSVTIEGKLDWQDQLNDKNKPFFDICDGIFMNYSWKEDYPRSSAAVAGNRKFDVYMGIDVFGRGTYGDGQWTTNVALDVLKKDDVSAAIFAPGWVYETKQPPDFQTAQNRWWSLVEKSWGVMQSYPKVLPFYSNFDRPCLEFFGDAATETIQAFVDFKQASYSGGGNITFEGVLEDHAYLTRRLFHGELRFGNSAVHFTYSVKSDGSSVIGLLLDFTDTMDTEKTSVLLASWGDTLLTMDRFSSKFSRVIMPRHVKKLAAEPEWIIQDSSLTMEGFTLTGIHVVCYKSNPQVGTLKSPSNSSEYYAVLGHIAIKTSTENMVFPPASEWLVESQNIDWRSDSKGHITVSLKILWALNSGVTPVFSKYNIYVENEADKSAQGLQHLGVALVEAFYISELSVPVGISSVKFIIQACGLDGACSELTVSPFIQLRVEGL >KVI10018 pep supercontig:CcrdV1:scaffold_67:278332:284035:-1 gene:Ccrd_011606 transcript:KVI10018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase HemE MESAFSFRLLPTTHLRILHSSSPINSDYRLQEGFLKRILYAMKPDEEYSSFEFECLETTWDRKSSKSVVLEDDFLGVLWLLTTSDYVENLQLHSTMSLMSVPSFSSISVSNLTHRRPNQIICSAGASVAERKAVNATEPLLLNAVRGEDVERPPSYQAICQKHPSFRERSENVDLVVEISLQPWKVFQPDGVILFSDILTPLPGMNIPFDIVKGKGPIIFDPVSTAGDVDKVREFTPQEWVPYVGEALTILRKEVNNEAAVLGFVGAPFTLASYVVEGGSSKHFSKIKRLAFSQPKVLHALLQKFTTSMAKYIQYQADNGAQAVQIFDSWATELSPADFEEFSLPYLKQIVDTVKQTHPNLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSDIAVQGNVDPGVLFGSKEFITKRINDTVEKAGKGKHILNLGHGIVVGTPEENVAHFFEVAKGLRY >KVI10013 pep supercontig:CcrdV1:scaffold_67:115734:119449:-1 gene:Ccrd_011592 transcript:KVI10013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRGLLIITILIVLPLESYALSPLYSFRHLYEQSNASPSPSPSNGVDFASGGTDFQHYCNRASRSCQLKNIAACLTYFQDGVEVGCTRVNNHEAPLHVKIMILPANNTIKEIDLPSHGIEKIKIFLDVESSSAIALNTRDGDCVIRAAARAPAPENHFQKYPSYTTYITPQNGAYLVILLVIVGGTLTCFKSRIQARHLDRVPYHELEMGNSPALSSQDLEDSEVENWDQDWDDEWGDEKPVKSGGENPVMVKQANGLTAKLPNSDGRRKEWDD >KVI10004 pep supercontig:CcrdV1:scaffold_67:592927:595548:1 gene:Ccrd_011632 transcript:KVI10004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCHELTTRLLHQLNLVPPRVIQSCGYTFTLLGLYIASPLLLPYHHHHNSPSYTLTVKTLRRFPCFSLSILTKIMLLRASPTIFLPNGATAGAGENFSGSMLSMLSSSSVSSPSAIVCSAAKGGASNNRPLTGVVFEPFEEVKKELNLVPTVPQQSLARQKYADDSESVINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSEEEREHAEKFMEYQFLVSQNKRGGKVKLQSIIMPLSEFDHAEKGDALYAMELALSLEKLTNEKLLHVHAVASKNNDVQLADFVESEFLGEQVEAIKKISEYVAQLRRIGKGHGVWHFNQMLLNEGAAV >KVI09979 pep supercontig:CcrdV1:scaffold_67:486088:493309:-1 gene:Ccrd_011627 transcript:KVI09979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox homologous domain-containing protein MMGYENQGFEDAQIYASREEMESLVLDDDDNNNNGNENNPSSRSNGGANGDSIQHQHPLSSALPFAEIPTTDDDDPLLSSSSPQKSPNSFNSFLEPPSYAEAVFRSFDGDHGKQINGHGAVSTSSPSSSSDYLKISVTDPQKEQDLNNSLVPGGNAYMTYLVTTWTNLPEFNGTEFSVRRRFKDVVTLSDRLSDSYRGFFIPLRPDKSVVESQVMQKQEFVEQRRMALEKYLRKLAAHPVIRRSEELRLFLQVHGKLPLMKTTDVASRMLDGAAKLPKQLFGESVVSAAVDPNDVSQPAKGGRDLLRIFKELRQSVTNDWGGTKPPVVEEDKEFLEKRKKLQDFEQELSNVSQQAESLVKAQQDIGETLGQLGLAFVKLTKFESEEAMFNSQKVRAADMKNVATSAVKASRLYRELNAQTVRHLDKLHEYLGVMLAVNNAYSDRSNALLTVQTLLSELATLNARIEKLEAAASKIFGGDRSRVRKIEELKETMRVTDEAKSCAVREYERIKENNKNELERLDKERHDDFFGMLKGFVANQAGYAEKMASVWETVANETSGYAKNC >KVI09976 pep supercontig:CcrdV1:scaffold_67:3544:6568:1 gene:Ccrd_011583 transcript:KVI09976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDEFRSLPWDDEGESVRAIQRLTYRLIDRIRVEMAGFPPPLTTIRGADGTIRVKHHREGGRLVLKAVTGHRF >KVI09992 pep supercontig:CcrdV1:scaffold_67:14101:15870:1 gene:Ccrd_011585 transcript:KVI09992 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MERNKKKLVETLTRGRNSAMRLQNLLRQKVHDDGLVSVDDLVTEISRSFSGSLLVLNSCNSGKFCGVPVNPHLGLACSLNRVPEVYSGNTAKNPAQTTKERRGCYKRRKTIDSWIEISGRIEDGHAWRKYGQKKILDSKFPSPSTITSIQIDPSFGKEDPSDNVSSGNDAQSSPPLLWKEILVNDLDIFKKDYVLTAISFDDALYF >KVI09994 pep supercontig:CcrdV1:scaffold_67:420772:420951:-1 gene:Ccrd_011617 transcript:KVI09994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELEHHALIAGILQSFLRVSQFGQRMTTWNLERERDTEMTNEIQGVGDIGNGDRTRLL >KVI09985 pep supercontig:CcrdV1:scaffold_67:443326:446032:-1 gene:Ccrd_011621 transcript:KVI09985 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MGPLTRTLKIGIIGFGPFAQFLAKTMIKQGHLLTATSRSDHSGHQGVNFFRDMEEFMGLEHDVILICTSILSASEVIKSIPFHRLKKPTLFADVLSVKEYPRQLLLQFLLIANMNVTICGSKEVPEDSDLVCTHPMFGPESGRDGWRDLTFMYERVRVRDDDLCSSFLTIFECEGCKMLEMSCEEHDRLAAESQFLTHTIGRYSEILSEMEIMNTPIDTKGFEKLVQVKETTMRDSFDLYSGLFIHNRFAKQQLKNLESAVQRVKGILEARMNKGDEQKCDQ >KVI10010 pep supercontig:CcrdV1:scaffold_67:171259:174359:1 gene:Ccrd_011597 transcript:KVI10010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese/iron superoxide dismutase MALRTLVSGKTLGALSRFQQQIRGLQTFTLPDLAYDYGALEPAISGEIMQLHHQKHHQTYITNYNKALEQLDDAIAKGDASTAVKLQSAIKFNGGGHVNHSIFWKNLAPTHEGGGEPPHGSLGWAIDQHFGSMEKLIAKMNTEGAAVQGSGWVWLAVDKELKRLVIETTANQDPLVTKGASLVPLIGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVYKKECP >KVI10005 pep supercontig:CcrdV1:scaffold_67:174985:177820:-1 gene:Ccrd_011598 transcript:KVI10005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVCPTTLSPFHLTSPPQNPSHRPSNLRPLTALVSDSHYETERGFEFETGDTFFRHESATGRDLGVLAAALYKRSNDKLRVLDAMCGCGIRSLRYLAEARADFVLANDANEDCNGVIVSNLSTVSSEDEGRWKVSHSVANRVLAERYVERDYFDFIDVDSFGSDSSFFRSAFDTVKLGGLLYVTSTDGFSSGGHRPNHSLAAYGAYIRPHPYSNEIGLRMLIGGAVREASVLGYHVSPLFSYYSYHGPVFRVLLRVNRGKLPHGHVLLVTVCIIGLSATVILVVPDSLVVSGPLWTGPLHCAAYLTEMLGLAGEWGWLGSGTKNDLDKLLQRMIDESDPNLSFGYIKLDEIASRAKVNSPPLVTILNAIHQEGYSVSRSHISSNAIKTNCPMSECIRIAKQLRQC >KVI09997 pep supercontig:CcrdV1:scaffold_67:381617:390166:1 gene:Ccrd_011613 transcript:KVI09997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKGSRKGKKAWRANISTEDIEDFYEKSSKDALSGGSLTEVPSDSLFFVDKSRDLSVKRKIDKHREKILRVDSILQKNSFVKPVPSSNQKKSKKKQKEIQRAESSSQKDGTTDTGMVDIWDGTDELVVKRKISKTMNIPAVEVEPPGCSYNPPSESHQDSLANAVADEMQKIYTKELRPASVPLTVDGEVIDEEDMYFLDADNESDDDVQNKDVDENSDADLEKRPFKMKRVTRVVLNRRARNKEKLKMEAEVKKTKEFSRDLDSLPDIIDEIAKEDEEKSKRHTRRVIAKQERLKSHPPRLGRRKFEPAPVQVLLSEEITGSLRKLKACCTLARDRYKSLEKRGIIVPTVKSGRK >KVI10021 pep supercontig:CcrdV1:scaffold_67:227475:227768:-1 gene:Ccrd_011601 transcript:KVI10021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFICFLISPTILTARPLMVEPELNLALPGALMEKISSDSDSAVDKKQNVPCEMSSANDLKRFGAGNYGSLFLSALPKGMTVPPSGPSGRTNAVNN >KVI10008 pep supercontig:CcrdV1:scaffold_67:145294:146323:1 gene:Ccrd_011595 transcript:KVI10008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAFPTFTVLSLLLSSFNLVYGGWENAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCNDDPRWCLPGSIIVTATNFCPPNPGLSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIGMFIQFQSKGQKQDGKRCQGTGGKTGKATPTLTVNRSLFKLQPVMDEPSQASTWRHLTGNLRKN >KVI10015 pep supercontig:CcrdV1:scaffold_67:321418:322310:1 gene:Ccrd_011608 transcript:KVI10015 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MLGLPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKLLMEVPENQRADAANSLVYEANVRLRDPVYGCMGAISSLQHQVQSLQSELNAVRAEILRYKYSETTHHDHHHHIIPSTHHLALFSTGAVSVTPPPPQQQRPPPSPLPPPPPPPPPPPPASSSSSMYTPPSTTTDYSTITNDNVSYFG >KVI09986 pep supercontig:CcrdV1:scaffold_67:523656:525635:1 gene:Ccrd_011628 transcript:KVI09986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MTTAWADGPEFITQCPIRPGGSYTYKFRISGQEGTLWWHAHSSWVRATVYGAIVIRPKEGDSYPFAKPKRDSVVVLGEWWNANPLDVIREAMRTGGAPNISDAYTINGQPGDLYNCSSKDTVIVPVDSGETNLIRVINAGLNQQLFFSIANHKLTVVGADASYVKPFTTSVLMLGPGQTTDVLIKADQPPARYYIAARAYASAQGAPFDNTTTTAILEYKTAPCPAKGVSSKPIMPSLPAFNDTATATAFSTSFRSPGKTLVPTKIDESLFITAGLGINPCPPTASASTCQAPNRTRFTASMNNVSFVLPSNFSLLQAHHHGVPGVFTTDFPAKPPVTFDYTGNVSRSLWQPTRGTKVYKLKYGAKVQIVLQGTSIFTAENHPIHLHGYDFYIVAEGFGNFNPAKDTSKFNLVDPPLRNTVSAWIMHCHLDVHIGWGLATVFLVDNGVGILETLQTPPADLPVC >KVI10017 pep supercontig:CcrdV1:scaffold_67:267696:269420:-1 gene:Ccrd_011604 transcript:KVI10017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease METSVPPSSLTRLNTFIGKSRIGERFKLNERNTTFTTELRAGTATFLTMAYILAVNASILSDSGATCSVSDCIPLCSDPSATNCTVIQPDISCKFPPVNPGYTACLERVRKDLIVATVASSLIGCVIMGTFANLPLALAPGMGTNAYFAYTVVGFHGSGNISYENALAAVFIEGMIFLLVSAVGVRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNEGIGLIGYSSSTLVTLGACPRSSRASLAPVITFPNGTVSLLPAGSVSGEIMCVNNRMESPTLWLGVVGFVIIAYCLVKNIKGAMIYGIVFVTAVSWFRNTQVTVFPQTPAGDSAYEYFKQIVDVHKIQSTAGALSFSSIKKAYFWEALVTFLYVDILDTTGTLYSMARFAGFSDENGDFEGQYFAFMSDASAIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVAGYFMLAFFFTPLLASIPAWAVGPPLILVGVMMMRSVVEIDWDDMRQAIPAFMTLILMPLTYSIAYGLIAGIGTYMVLNIWDWGEGFLGKYGILKGVKSEESVINGSVGGNGVIKESGVDGNGSRKAIEV >KVI10023 pep supercontig:CcrdV1:scaffold_67:247767:249945:-1 gene:Ccrd_011603 transcript:KVI10023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MKAVAPFSSSSFMVASSPLEIKAPLSNLNNKKPQFNPNYFKPTSISCKATQNGTEGASQSTKPETSNHKMEDYNTAMKRMMRNPYEYHHDLDGLVESLLLILIYFINLPQKPEDIDHLKEEQNVAYILNLQQDGDVAYWGVDLESIVERCQQLGVRHMRKPARDFDPDSLRSMLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFHDMDLNTAYETLTAKRPCGPNKKAIRGATYDLAKNDPGKEPFESLPENAFGNVADWERKLIQDRVRQLRGA >KVI09987 pep supercontig:CcrdV1:scaffold_67:552351:552851:1 gene:Ccrd_011629 transcript:KVI09987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MHHHTHAVGPHQCSSAVVQSISATVDAVWSVVRRFDNPQAYKHFLKSCDVILGDGDVGTLREVHVVSGLPAGSSTERLEILDDDRHVMSFSVVGGDHRLNNYRSVTTLHPSPICAGTTVVVESYVVDVPPENTKEETCVFVDTIVRCNMISLKQIAENLAKKTNSK >KVI10001 pep supercontig:CcrdV1:scaffold_67:605152:610232:1 gene:Ccrd_011633 transcript:KVI10001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISVQNLLIERRYPFIASLFLLLLFVCFFILSTNTSQPPPLYRVLQPSSHPTVTQNISSTTATVPVLEIDGKKSNIATDRDLDDSGGGADLVFEWELCPGPLAVDYIPCLDNYKAIKSLKSRRHMEHRERHCPKPNPRCLIPLPVGYKVPVPWPKSRDMIWLNNVPHLKLVEYKKEQNWVKRSGDYLLFPGGGTQFKEGVTHYIQYIEKNLPKIGWGKRTRVILDVGCGVASFGGYLLDKDVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQRLTFPDNAFDLIHCARCRTVVIFMGELSGGNPLLELNRILRPGGVFVWSATPVYRNDERDKKVWDGECSVNSRRVFLYAPLDGCISAIPLTETGSSYGWPTPWPERLKSKPASLSTEQDAERIFHEDTKHWSELVANVYLGGLGVNWSSVRNVMDMNAGYGGFAAALTDLPLWVMNVIPVNGPDTLPVIFDRGLIGIYHDWCESLSTYPRSYDLLHSSFLFGNLTQRCEMLDGAVEMDRILRPGGVVIVEDTIEILNKLRPILHSLHWSVNLHQQRFLVGRK >KVI10648 pep supercontig:CcrdV1:scaffold_670:71606:73374:-1 gene:Ccrd_010955 transcript:KVI10648 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOMON domain-containing protein MTMVLSSTSIIFISIILISLFFTSSAQTCSNHTFTSKRVFTSCQDLPYLNAHLHWTYFKSSKQAKIAFRVQHTPNGWTAWAINLNRRAMVGSQALLAFRDSKGRMSAYTTLITGYNPSMQPRKLQFRVSKLSADSGLILFFHILASNFNISPARTCSNHKFTAKRVYSSCQDLPHLSAHLHWTYNSSTGQAQIAYRARQGPSGWVAWAINPNQIGMVGSEALVAFHNSNGSMAVYPTMITSYSPSMLPGDLSFQVSGLTAEYSNNEITIYSVVGPFAGGSLVNQVWQAGSLVLDDIPQMHSVSQQNLQSTGELDFLSG >KVI10634 pep supercontig:CcrdV1:scaffold_670:27577:29073:1 gene:Ccrd_010948 transcript:KVI10634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MQLGFVTNSSMQASEHNRSATSNAFYHQSMQQIEAFCNPQLQCLDHLSHGTNYFTKSDSYCTLESSSANGSCTTVYYSPSTLSSSQNESHSSDAHDFRYRLRQLETVMLGDSDFDDDAGNGKSFAGGVVDMPDVNIWKEVMGCFPRRDLKQVLIACAKSVSNNDLFNAQYLISELRQMVSVAGEPIQRLGAYMLEGLVARLSSSGSTIYKSELPSDMHILYEICPYFKFGYMSANGAIAEAMKDEKRIHIIDFRIVQGSQWVPLIQAFAKRPGGPPHIRITGFHDSTSKLHIVGKRLCKLAKAYNVPFEFHTEVGIESFRAQPGESLAVNFAFVLHRMPDESVSTQNHRDRVLRLVKSMNPKVVTLVEQESNTNTAPFYPRFLEALEYYHAMFESIDITLPRQHKKRINVEQHCLARDVVNIIACEGNERVERHELLGKWKLRFSMAGFSPSPMSPVVNGTIKRLLNNYSERYRVEERDGALYLGWMNRDLVASCAWK >KVI10636 pep supercontig:CcrdV1:scaffold_670:93652:94909:1 gene:Ccrd_010957 transcript:KVI10636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MEKAWKEACAGGPAPSSVLIPPGTYMAFPPILFGGPCKGPVEIKATGATIKAPPELARFKSDSWIAVQYINKLTMTGGTFDGQGQETWKSKKCSDTAQTCQVPVNIRFSYVKNSLLKDITSLNSKYFHINILGCDNTIVDHLTIFAPDKSINTDGMHIGRTNGLNITNSKIQTGDDCISFGDGSKNIHVERLTCGPGHGVSIGSLGKYANEQPVDGIWIKNCTMERTTNGVRIKTWPNSPPGVATNIHFEDIIMNNVENPILIDQQYCPHNTCKKGAPSRVKISNVSFKKIRGTSATKVALRIVCNQGLPCDNIEVSGINLTYKGGGGAISECSHVKPRVVGQNIPPACPGAPHHRAV >KVI10640 pep supercontig:CcrdV1:scaffold_670:132393:136802:-1 gene:Ccrd_010961 transcript:KVI10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MYGTQSKRDLSFEIQSQMTILRPSIHARRANIVVKFQDLYGFTVEGNVDDVNILNEVREKVRQQGKVWWSLEANKGANWYLQTYVSSALKSSLKFSVLVNAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPDSYYRDLTIAVEGKVTPATKQIDHDLPRTFPGHPWLDTPDGHAALRRVLVVYSFRDSDVGYCQGLNYVAALLLLVMKTEEEAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKGLLAKECPRVSAHLEALEFDVSLVATEWQRKKQEPAVMAELGQRLRRLNTTNMDVNTRLP >KVI10641 pep supercontig:CcrdV1:scaffold_670:176612:181638:-1 gene:Ccrd_010964 transcript:KVI10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MTKITLGCEPAVGSLAPSKKRDYRVTNRLQEGKRPIYAVVFNFIDSRYYNVFATVGGNRVTVYQCLEGGVIAVLQSYIDEDKDESFYTVSWACDADGTPLLVAGGINGIIRVIDAGNEKIHKSFVGHGDSVNEIRTQALRPSLVLSASKDESVRLWNVQTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVERSFTWEELPSQFPTKYVQFPVLIASIHTNYVDCIRWLGDFILSKVNDCLIQSVDNEFILWEPKMKEQSPGEGTVDILQKYPVPECDIWFIKLSCDFHYNTAAIVGKISDDVDLEAYIIQATEKGKYLYGNCRLAPLLSLQGYLMSSPNLPSGKLPCRLTEAQYSVAVKTEPFGVGIQSQPHSL >KVI10649 pep supercontig:CcrdV1:scaffold_670:65767:71239:1 gene:Ccrd_010954 transcript:KVI10649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 28, C-terminal VTESLHNIYSKFIFKSSIEIYDKIAPINISSNRNRQRTLTANSHQASFDPQSSLKRHAHQLPLSAAETPSTLTSLILADGLDAILQTSNAPERRRQRKADYNKMDVSDNHFESKRIVFVTVGTTSFDSLVRTVDTDQVKKELSKKGYTHLIIQMGRGSYIPNKSSGEDGSLVVDYFTFSSSIADYLRSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLFCGRPQTLYQVIEALDLDSIVPYQSGDASPVAKLINRYLGFPAD >KVI10647 pep supercontig:CcrdV1:scaffold_670:80276:82745:1 gene:Ccrd_010956 transcript:KVI10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MMGKFLGLLFCVLISNLGLSYAQNCSNYAFSNNAIFATCVSLPVLNGHLHWNYHSNSTVDVAFRHTGSEASQWVAWALNVNGSGMIGAQALVAVTSSBGSVRAYTSSVTSYATGLQPSNLSFPVPRIRAERVDGDVVIFATLVLPGGGTRFNQVWQVGPVTSGAPARHALVADNRASVGTVDFSTSNGTGSPPPTPTPTPTTPTTPTTPTTRNDCINFAFSNNSNYATCVSLPVLNSHLHWNFNTNRTVDVAFRHAGSETSQWVAWALNLQGSGMLGAQALVAVTSSNGSVRAYTSSVTSYQTSLQPSSLSFEVPMIRAERADGDVVIYATLVLPNDGTTFNQVWQVGPATDGVPSVHSLVGDNRRSVGTVNFATGQAGGGGNVGGSRQRRRNTHGVLNAVSWGVLMPMGAMAARYLKVFNVANPAWFYIHAACQTSAYIVGVAGWATGLKLGGDSTGIRYDKHRNIGITLFAIGTLQVFALLLRPKPEHKYRIYWTIYHQSLGYSVIVLSIINVYEGLDILDPEKKWKNAYTGILISLGVMTVVLEALTWYIVLKRKKEDKRTHAGANGSSYAANGHGQSA >KVI10637 pep supercontig:CcrdV1:scaffold_670:160348:165568:1 gene:Ccrd_010962 transcript:KVI10637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHDHVMVSAVAALYRPFVLIRLRWLDLSDNIICGIKPDDSESRPYAPDTYFFGYPILLMILNPDLFTLLFFISILPFKHFVEIGRSAHWGREKFPESALTSDIGNLKVLILPKFRVNPLRINHLMALDYIFPSGNVYCAAEQNPKKLLMVEVVDGDVAMDAVIMDIEEVVQDVAPRQKRPRPLRKDKLTLKNPNK >KVI10635 pep supercontig:CcrdV1:scaffold_670:35461:36380:1 gene:Ccrd_010949 transcript:KVI10635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYYRGEGWRAGDGDELNGSFDITSRPEELIAAANPSFTNGVATKDIHIETSTSLALCIFLPETCLVSTDPRSKARVTTK >KVI10651 pep supercontig:CcrdV1:scaffold_670:111886:113516:1 gene:Ccrd_010958 transcript:KVI10651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 ELATSTINGIPLLSPTSPSQNPEIKHTHASNKSLTEMGKERWSQRAVCCLLLMALMARNHAAVVDVKTKGAKGDGKTNDGPVIIIVYMIVLNAIVDAWKAACAGPPPSSVLFPPGIYMAFPPIDLMGPCKGPIEFKATGATIKAPPELAKFKTDAWIWFTKVDSLTMTGGTYDGQGQETWKNNKCSTSGTCSLPSVSVSLNYTCLYSDHVIMPTLISQTIKLSFVKNALVKDVTSANAKFFHMFIVDCENTRLDHVTIDAPGNSVNTDGIHVGRVSGVNITDTNIKTGDDCISFGDGSKNVHVERVTCGPGHGISIGSLGRYPNEAPVAGIWIKNCTFTGTLNGVRIKTWPGGTPGTASDMHFDDIIMTNVGTPILIDQLYCPYSPCQKGPSKVKISNVSFRKIRGSSSTKIAIRLACSPGLPCDNVEVADINLTFKGPGGPATSECSSVKPKVVGQVVPPACPGGPK >KVI10644 pep supercontig:CcrdV1:scaffold_670:51144:52721:-1 gene:Ccrd_010952 transcript:KVI10644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MSLKPTLNHSDLDLIAHPLRPSGEIHVIVGPMFAGKTTALLRRIKSEGTNGRKVAMIKSSKDNRYAIDSVVTHDGNKYPCWALPDLLSFKQKLGEEAYEKLDVIGIDEAQFFDDLYDFCCKAADHDGKTVIVAGLDGDYLRRNFGPVLDLVPLADTITKLTARCELCGKRAHFTLRKTNETKTELIGGADVYMPVCRQHYVNGQRVIKAATLVLDESHKFQAEPDQEAILTTVT >KVI10638 pep supercontig:CcrdV1:scaffold_670:168928:170478:-1 gene:Ccrd_010963 transcript:KVI10638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKKGLVLLALLFLIGIVVSSARSIETADAKSEREALDERKKRPSDEVGVVGTGGDSLEDIVGIGVGSGSGGSGGSGHGGGGSGGGGKGGGGSGGSGGHGGSGGSGGGGSGGGGGHGGSGGGGGHGGSGGGGSGGGGGHGGSGGGGGGHGGSGGGGKGGGGSGGSGGGKGGGGHGGSGGSGGGGGKGGGGSGGSGSGGKGGGGHGGSGGKGGGGSGGSGGGGGSGKGGGSGGSGGGGGSGKGGGSGGSGGGGSGGKGGGSGGSGGGSGGKGGGSGGGGGGSGGGGGGHGGSGGSSGHGGKGGGSSGGGGKGGGGSGGSGGGGKGGGGSGGKGGGGSGGSGGSGGSGKGGGGGGSGGGGGGHKGGGGGSGGGGGGHKGGGGGHGGEHKGGGGGGGGSGSGGGRGGGEE >KVI10643 pep supercontig:CcrdV1:scaffold_670:58706:63631:1 gene:Ccrd_010953 transcript:KVI10643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQSDLGKLFIGGISWDTNEERLKEYFSSYGEVLEAVIMKDHTTGRARGFGFVVFADPVVAEQVTKEKHNIDGRMVEAKKAVPRDDQSTMSRNSSSFQGSPGPGRTRKIFVGGLASTVTEADFKRYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPLGAYPYGLSRTSSFLNGYNQGAYSPNAVGVGGYGVRMDGRFSPIPSARSGFATFGSGYGMGLNFEPGMSGNYGGGTNYNSTLSYGRGMNPYYVGNSNRFGSPISFDGGNGGNTSFFSSNPRNLWGNGGLNYGSNSTGSSGYVGSGSGVIGGGILGNTGLNWGSSPISPQGGGNGSTQSANLGYGGGDNSYGLRGAAYGRNATTNGVPTSSYAASSVGYDGPFSDFYGGSGSVYGGDPTWRSTNNEREGSGSFGGYGLGGGGSDVQTKSSSGYVGGYSVAKRQENRGITG >KVI10650 pep supercontig:CcrdV1:scaffold_670:118752:119075:1 gene:Ccrd_010959 transcript:KVI10650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRILAMRLNIQNKRKSPKVADESMFGEGNGAEFPNIVDQHTRQGFSNGLSVIYSIVRAPLLLLSCLSSHPHISGAADGVWVSGELARMSEVNHLMVNDSMRYAILM >KVI10642 pep supercontig:CcrdV1:scaffold_670:190756:191642:-1 gene:Ccrd_010965 transcript:KVI10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVDTTEVVVVEDTTEVVVVEDTTEVVVVEDTTVVVDGEDTTVVVVVDVEDTTVVVVDVEEVEEDTVVAGMVVVVDVTMEGASVAARSQRQLHTSKLRIDAAIGDEPSFPSRVKKMIHHAILQYVLLIHVIIDMASIERSVYLICVK >KVI10646 pep supercontig:CcrdV1:scaffold_670:44217:47471:1 gene:Ccrd_010950 transcript:KVI10646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MPSADAETSEQDREPFVEVDPSGRFGRYSDLLGTGAVKKVYRGFDQEEGRDVAWNQVKLRNFSGDPSVLKRLLSEIELLQTLENENIIVLYSFWRDTENSTLNFITEACASGNLRDYRKKHRRVSLKALKNWSRQILRGLEYLHTHEPCIIHRDLNCSNIFINGNIGKVKIGDLGLAAVVGKNHAAHTLLGTPEYMAPELYEEDYNELVDIYSFGMCLLEMATMEIPYSECDSIAKIYRKVTGGVMPQAFTKVSDPEVKAFIERCIGKPRERPSASDLLKDPFLIHSEESDGSS >KVI10645 pep supercontig:CcrdV1:scaffold_670:48750:51070:1 gene:Ccrd_010951 transcript:KVI10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGSVSGYGSCSGSAPKDNASMLSSPFSSPNVSALLKIKIISWSQETGLPASVCVHVADRVFNLHKYPLFSKSGFFMRKLSESNEIELPQDFPGGPETFEMISLFIYGSSTLVDPFNVAALRCAAEFLEMTEEYGSGNLCERFDIYLNQVVLQSWEDTLIVLQQSRSLVPWAEELLIVSRCIESLAFMACMEILDPERRRDQPVITLETLSTHRWSSEMVQEIVSQDVWIRDLIALPFGFFKRVIGSLRRQGMKEKFVSPVVLFYANWVLSDRNGSQKDLAVLLTGVLDLLQMGEKGSRVIPVGFYFILLSRSLKLSLDRECTLKLQNQISGVLHMAQVEDFLLPESGIDDIPSNIKVTTMETIFSTYVLFNMSANNGSPSPYHSAVAELWDSYLTHIATDPEMGLKRFMELIEIVPTPSRQNHDHLYRALNVFLQMHPELSQDEKSTVCKYLNCQKLSHEVCVEAVQNELMPLRLIVQALFVQQLSTHKALKECSDSFRYAQCGDFSGSLPSSRYANSRSQNLVDSPCVDLEDTRNRPLSFLLQTDLVSQKPELSSKDYESTSFRIQTLEQQLMTLKRSLQLQNTSKKREQVSKKVEPVRPYGLEGRTMSKKRTPLGQVTSCIGTVNFASQRKYASRLLKVFRRFSLFGRTKPKRKPSVTGLHPKSL >KVI10633 pep supercontig:CcrdV1:scaffold_670:13764:18845:1 gene:Ccrd_010947 transcript:KVI10633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDEVFQEIRLGLCFYMDFSESTKTVYDRIQKIEPENVSKIIGYLLLQDHGEREMIRLAFGPDKLIHSLISKAKTHLKLPFNTASCRPLSPPQVNSIPEMPIRFTPFSPSARRSPSTLQVPTRCFDPHGQPVDYVPMVYPASFPDDYRLHNQLRFLSLEDQIESVNSAEFSTNNYYSSEPALGPRANRRSPSLPEFPFKVCHYFIKGFCRHGNNCRYLHPTAESFSQIFSPGLSEDDHVFSPGSLEKLELELTELLKSRRGFPVSIASLPMLYYEKFGKTLQAEGYLTESQRHGKAGYSLTKLLARLKSICLIDRPHGQHAVVLADDLAKCTDHNGDRNEHGGIVAGSRQIYLTFPAESTFSERDVFNYFNKFGPVHDVRIPCQQKRMFGFVTFVFEDTVRHILNKGNPHFVSGARVLIKPYREKSKADDRKHGEMFQNPMCQGAHCLDTELGLHSSEKHTKLLTYFHAAITFVLNTIQLFLNDAVPRICDNSRLLDKQLIHEQQEQALEFERRRFSEMQLAADPNNQLACFATSLEELKLSEGNSHAQQMDFPTAEQFNYLLDVLNSREETIRQINTKYNEQESSQGLNLPDSPFASALGGEISTVI >KVI10639 pep supercontig:CcrdV1:scaffold_670:129520:131864:1 gene:Ccrd_010960 transcript:KVI10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MSLAVEAVSSSTNMGLEENKEGEKSGFEENGDEKQSMKRVGSESSFYETEDEEEDKQIELGPQCTLKEQFEKDKDDESLRKWKEQLLGNVDINDVGESLEPEVKILILSILSPGRSEIVLPIPEDGKPKGPWFTLKEGCRYSLRFSFQVGHNIVAGLKYTNYVWKTGVKVDSTKQMLGTFSPQQEAYTYDMPEETTPSGYFARGSYSAKTKFVDDDNKCYLEINYTFDIRKDWASI >KVI01672 pep supercontig:CcrdV1:scaffold_6701:17100:19391:1 gene:Ccrd_020049 transcript:KVI01672 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAAEDKTKKPPHVLLFPFPSQGHINPLIQFAKRLISKGVKTTLITTIFVSNSILSNHSHNTIPIVSISDGFDVGGFATAGSSEIYLESFREVGSKSLADVIRKFHSEGTTIDAIVYDSFINWTLDVAMEFGIDGGAFLTQACAVNNIYYQVYKGILSIPADSTVSVPGLPELEYWETPSFVQNPGPYPAWSHIVFNQFSNFDQTRWVFSNTFYKLEEEVIEWMKTLWPLRVIGPTIPSMYLDRRLQYDNDYGVNLIKPNHNECMEWLNDKPKGSVVYVAFGSYGELVPEQMEEVAWGLKDSDLNFLWVVRASEEEKLPKGFVDRETKKGLVVAWCRQLKVLSHEAVGCFVTHCGFNSSLEAVSLGVPVIAMPQWTDQITNAKCLEDIWGVGIRVKRDEKGIVKRVNLVSGIKAIMDGEQGVVARRNAKKWRDLTKEAVAEGGSSDKDIDVFVSDLNRSRLRS >KVI10630 pep supercontig:CcrdV1:scaffold_6703:13818:15092:-1 gene:Ccrd_010969 transcript:KVI10630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPPRNKKLKLSVEDGDDHKVHGECLPLEDLPRDVILAILIRLPISSLIQFRLVCKSWKLLSIDPDLSRLHHSALANDDPTLLFHCDYPIRNHLSFVELSSSGCDGDGDGDGDGKIVRKIYTPFSGSMPEFNVVGSCNGLLCLSDSLYVEPVFLFNPFSMDYLELPKSKQFQEQEVLFGFGFHPVTNEYKVVKIVYYRNWQVRRRIIRNNRNYPKSEVQILTISKQTNKSSWRCLGKVPYHLDRQATEAPVVNGRIHWVSRPGRIAGVPGRAIISFDLKDEQFKVVAKPGHAIVNRGNYHLAVIKGCLAAVISCGYGKLEIWVMKEYDSKESWMKEFVIHGAFPAKMPSHEQHHYGIWRWPLGGRMVRVLCVLKNGDILLEYRGGSLVKYDPKWKEFKDVVFPRMPKLFQTIVHVGTLNWVHT >KVI10629 pep supercontig:CcrdV1:scaffold_6703:6226:15172:1 gene:Ccrd_010968 transcript:KVI10629 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHASE-like protein MSFLAVPLEFSKPFLKICKWVLFIMSLNCKLSGIKGRLLTNSKMRKAKEPLKGSNGGWKWKRLLLFLWVFLVATGFSWFLFGFVSTQKLEISRTSEDNSSGLIQQFNVSKDHLHELASSFFESDQITSLKCTKQLEHETAEKNVITCALEVPYLENHGLEKQKYVESREKCCICDENIPTKGDSSISSDSSVPLFSQSLPSIASKLQSTTENTMQTMALGKFIIEHFHGLQYCFMRVCLWAIFAMGLSYQISGLHRKLWKKRKRKVHRKKDKVEGSSRGAGKWMKKLLVLFVLAGVAVSIWLFWYLSSGISFRRKETLASMCDERARMLQDQFNKTFGEYTERTSFERPLTSGVAYALRVRHPEREKFEKKHGWTIKKMETEDQTLAQDCDPEKLDASPIQDEYAPVILSQETVAHIVSIDMMYLGASYDIPSLVEKLLHQLASKQTIVVNVYDTTNISADINMYGPNETDTGLLHISSLDFAIAASAGQLIIVLLLGHIFYAAINRIDTVERDYQEMMELKHRAEAADVAKSQMLMDTNLNAKQLDFAQTAHASGKDLIKLINEVLDQAKIESGKLELEAVPFDLRTILDNVLSLFSTKSQEKGIELAVYVSDQLPKVVVGDPGRLRQIITNLVSNSLKVSPPSFAIITIYAHYYIHLVVFNLHLIRLQFTHDRGHIFVSVHLADEVRRPLDMKDEVLKKTLASVQNSLNSIVVYNTLSGLPVVDRRKSWGSFEKLSGTDSIDQSENIKILVTVEDTGVGIPKDAQSRIFMPFMQADSSTSRTYGGTGIGLSISKRLVGLMNGEIGFVSEPGTGSAFSFTTVFMKKETSSLDTVLQQQYYPSISEFDGLRALVIDQKSIRAEVTRYHLQRMGIFVEIASSLYSAQSYISSDSANLDMILIDEEVYSHESDLALFLGLKELRPTDSTNIAGIVPKIFLLANSKSPARHNELKSANLVSDVLVKPLRFSVLISCFQETLITEDRTQVTRRKPPTLGTLLCEKKILVVDDNVVNRRVAEGALKKYGAIVTCVDSGKAALEMLKPPHFFDACFMDLQMPEMDGFEATKQIRSLENKVNEEIQSGEVAVEMFANVAHWHTPILAMTADVIQATDEKCMKCGMDGYVSKPFEEEQLYTAAACFFESG >KVG62116 pep supercontig:CcrdV1:scaffold_6704:17341:18777:-1 gene:Ccrd_026317 transcript:KVG62116 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTNNAPPKTHVAFLPSPGNGHITPLFELALRLVSQHNLQVTFLVITTGATLAQHNYFNAFKPHPHLHLLHLPPAGMSAFLLDDMTAVARLSVVVRESIRPLRSILSGMNLKAFIVDIFCTDAIEPCKELSVPVYSFFTASAALMALSLYLPTMDMEVEGEFVDLPEPVKVPGCDPIRTQDLLDQIRNRKIDEYKWYLFHVSRLSMAAGIFVNIWDDIEPVTLKALKHEKFFLNLPTPPVYAIGPLTKQIEPAXDGQDKEIMAWLDEQPKDSVLFVALGGGGTVPSEQLTELAWGLELSQQRFILVVRKPSDTSDAAFFNAGSDSDDPRGYLPDGFLERTKGVGLTVCSWAPQVAVLNHPSTGAFVSHCGWNSTLESIKHGVPMIGWPLYAEQRMNASMLSNEVGVAVKMAVVGEGGETVMVGRDEIAKVVRMVMKGEEGKKIRKRARELEESGKETLSCGGSSYETLARVVESWKSES >KVI11858 pep supercontig:CcrdV1:scaffold_6705:18101:20577:1 gene:Ccrd_009726 transcript:KVI11858 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDVFYASLLSLLLVLVSFSLHLVFYKSKPAVEGKLPPGQTGWPVIGESIEFLATGWKGHPEKFIFDRMIKFSPHVFRTSLMLEDAAVFCGSAGNKFLFSNENKLVQAWWPSNVDKIFPTSKLKSKVEAIKMRKMLPNFFKPEALHRYVPIMDMVTQKHFENGWEGKDQIVTYELTKNFTFGLACKIFVSIDEPERVKYLSNPFESIALGLLSIPIDLPGTPFRRGINAANFIRKELVAIIKQRKTDLAEGKASATQDILSHMLYFRDEDGKFMEESDIADKILGLLIGGHDTASSACAFIVKYLAELPEIYEGVYKEQMEIAKSKASGELLKWEDVSKMKYSWNVACEVLRLAPPLQGPRMCPGKEYARLEILVFMHHLVTKFKWEKVIPYEQIIVNPMPIPAKGLPIRLYPRNI >KVG61871 pep supercontig:CcrdV1:scaffold_6706:19905:20285:-1 gene:Ccrd_026319 transcript:KVG61871 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase chain 4L/K MSMPIESMSLAVKSNFLVFSISSDDTMGQSFASLVXTVAAAESAIGLAIFFITFRVRGTIVLLKDREKDF >KVG61870 pep supercontig:CcrdV1:scaffold_6706:19612:19902:-1 gene:Ccrd_026318 transcript:KVG61870 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, B chain/subunit B/MI25 MRLSSTNMQARKMLFAAIFSISASSSKKISIYNKEMIVARCFIGFIIFNRKRLGKTFKAIQEESQQFLNPNEVVPLESNEQQQLLRIS >KVI04423 pep supercontig:CcrdV1:scaffold_6708:21548:22114:-1 gene:Ccrd_017263 transcript:KVI04423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MITGCLLILEELFAQTKYKEAVELVAESPQGILXTPDTHAKFXSVPVQAEQTPPLLQHFGILLTKRKLNAFESLKLSCLVVN >KVG61807 pep supercontig:CcrdV1:scaffold_6712:9117:15973:1 gene:Ccrd_026320 transcript:KVG61807 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA-processing protein EFG1 MAHGGYDKRRVAGRKPVNKATNHRPKALGVEKKPKAKLGSLKRQIRSTERLLRKDTRPEVKEALTKKLEGLKNQQQVQDNLALERKIFLRDRKIKFFERRKVERRIRRLEKQQRASSGQAQEPEIVEQLSRLKEDLEYIRFFPKTEKYVSLFKKGDNMDTVDKRNSLRTQIKANIAAAVASGKDLEETGSDDDGLDLSEDDFFVSGSSSDEADADDEWTDKSAREQASSASGKAASGMSSDERNQRHISARALMPPPRASNGSSAVHVKSRYSNSFNKNSSFRRRDMSSSSNTSTSVDRPSFENRRPYIHTGNSSSNLSSNSDARKPKRKRRPKKKKQQG >KVI08029 pep supercontig:CcrdV1:scaffold_672:111502:112860:1 gene:Ccrd_013604 transcript:KVI08029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MASLPILTVLEQSDVSPPPATVDDRSLPLTFFDISWLPFHPVHHLFFYDLPITKTHFIETVIPNLKRSLSITLQHFFPFAGNLIVFPTPTRKPEIRYLEGDSVALTVADCTLEFNDLTGNHPRDCDKFYHLIPQLPKAAKGPDHVTIPVFAVQVTLFPDCGISIGMTNHHFLADASTRFYFLKAWTSFARCGSDESFLANGTLPFFDRVVNYPKLDESYLKNAKVETFCEGYQPQSLSGPTDKVRVTLILTRTVIDRLKKWVSTQLPTLAYVSSFTVACGYFWSCIAKSRNDELQLFGFTINCRARMDPPLPAAYFGNCVVPCIAMAKTALLMNGKEGFLTAAKLLGENLHKILTDKDGVVKDIGPLDELLSQGMPTTIIGVAGTPRLHFYDLDFGWGKPRKHETISIDYNDSISLSACKESTEDLEIGVSLSATEMEAFVNIFHGGLEAYL >KVI08032 pep supercontig:CcrdV1:scaffold_672:66403:72137:1 gene:Ccrd_013603 transcript:KVI08032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MTGLILHQAPVLVLSNARRKLPLLASVDSSPCFADLPTRTICSSSSPSSLQCRDIFKPDLAGNRVRANASNVGVGAGGYAGRDENDNQNLSTNGPTSDSSSNIKKLPKEIPYPLSIALVLSGCALVFSIIAFGKGAPSSLLAAISKSGFTAAFTLIFVSEIGDKRYWPCNMIKIFNSVPAQFQTTLPIGEYAAVTLLMFFGLKAIKDAWDLPSSNVKNGEKSSSELDEFAEAEELVKEKASKQLKNPFEIIWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHLLATAIAAVGGAFLASYISEKLVGYLGGVLFLLFAVATFLGVF >KVI08030 pep supercontig:CcrdV1:scaffold_672:186765:190700:1 gene:Ccrd_013605 transcript:KVI08030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEASIRNKPGMASVKDMPLLQDGPPPGGFAPVRYARRIPSKGPSAVAIFLAAFGTFSWGMYQVGKGNKIRRQVFIFSKPLAIKEEKYAARRAILPMLQAEEDERFVKEWRKYLEEEARIMKDVPGWKVGESVYHSGRWMPPATGELRPEVW >KVI08031 pep supercontig:CcrdV1:scaffold_672:47014:48799:-1 gene:Ccrd_013602 transcript:KVI08031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MALDPTSCLMIDDDILNFSLDGEDDDLDEEHNTTKPLSSSLNFSKPNSQDPDDWSRSFPEFAEEDLEWLSNKDAFPAVETCFDLLSNPTVIVVDHQSPVSVLENSSSSSNMSNSNSTITNCCGSLQIPISYPVRKRSKRRRRRRRGFPELPNQQCWWWNQVNLKKQEPPPPPSRFSPPSPPTAVTASATGIGRRCQHCLAEKTPQWRAGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTYSSVLHSNSHRKIMEMRREKQDDGGKTACGYGVG >KVI11193 pep supercontig:CcrdV1:scaffold_6725:11097:16439:1 gene:Ccrd_010399 transcript:KVI11193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEDLPRRSPRLVKMSNEVLISKKKRGFGNFEINQSFHGPMNLFANGRPLIDLVAVLPDTQPTDVETSDMRSGKMSNEERYGKYCVNVTAAISRHPESISFKNIDLLNTFRAAFVVIDNMSVDISSETKYGVTPSILIAHGCGIFVMRHMETYMGQSVGRLDYLLGKESATQHVQLTRLSPEDHNDKIFGYMEREDEAFFRIVSE >KVG61569 pep supercontig:CcrdV1:scaffold_6727:25946:26637:1 gene:Ccrd_026323 transcript:KVG61569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKVCIFRFLWIALIIVLAYAQGPVWPPSILFGGLAGGRSNPVINPGTNPPHNRRLLETFEDLE >KVG61568 pep supercontig:CcrdV1:scaffold_6727:4899:23503:1 gene:Ccrd_026321 transcript:KVG61568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor E2F/dimerization partner (TDP) MNEKRRILRTDSHSLDNMSSLNEGSPNIMWMESSLTLQVFFVEEQIALHLSSFKFIFVSTTFCKSIGALFLNPAEFEKDFHPWRHCMIPKLKILLFTVEKRNLSAFYAPSVERRRIYDIVNILESVGARVRVPDAIWVDWTMMRYGQIAIIILRNNGGYSIEEEIHERHLEHNDFSTPSPRLENYRDQPYRLMNEVSLQQRSHRVIDPVPSPGTDSPHNRHLLETVRGS >KVG61570 pep supercontig:CcrdV1:scaffold_6727:6824:18182:-1 gene:Ccrd_026322 transcript:KVG61570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEKAIFMQLCLLVLATISFSSIVAYGSDGEVTALLKWKESXQHQNNISVLPSWMSSLPNGTQNRSSTPTMQSTSPCYWYGVSCNSDGSITRLNLSSSSLTGTLNAFSFPSFPNLTHFELSFNNFSGVIPPGISNLSKLVYLDFSGNQFSSIIPPEIGLLENLETLHLFDNQYLNGSIPHQICRMKSLSGLALYGNTLSGEIPFCLGNLTNLSYLFLNDNELFGSIPYELGNLTNLTGLHLENNLLTGPIPDTLGKLNKLIXLNLFXNXINGSIPPEIGVLSSLZLLNLYNNSLTGSIPNSLCRLQSLIFLRLYSNNLSGPIPEDLGNMSSLVNLQISNNQLNGSVPKSIGNLLQLESFHLRNNQFSGSLPEELGNLKLVVVELSENRISGSLPDTICNGGRLQLLLASRNKLTGTMPRSLFNCSTLIRVRLDGNQLTGNVSEIFGVYPHLQFISLGDNKVYGELSDSWSKCKNLTSLHVGGNNISGSLPPSLGNSTQIVMLNLSFNHLVGKIPMEFGRMNRLATLVLRDNQLSGALPLELGSLTELSLLDVSKNNFDGSIPYTLGNCLNLFQLYLGDNRFVHEIPIQLGRLFHLSDLDLSNNSLTSTIPTQIXSLSSLEKLNLSHNQLSGSIPKSMEAMNALWSIDISYNRLQGPIPNSKGFXNISLQGLQGNQGLCGNITGLQQCDNDLIAEGRNTRKGRKLALIVSLPLLGALLLGIFVGMVIYSHRRKRMSSKNQRVEEHDMEFFSIWAFNGKGKYDEILKVTQEFNEAFCIGKGRCGSVYKAKLASNETVAVKKLHSSADMVDRDSFLNEVKALTEIRHRNIVKLYGYCSHKRHSFLVYEYLERGNLFEILRNEVARTLDWTKRVNIIKAGLRKSAPGAKEECSWGRGSWGGEGVKEFWKRGGVQGYFGY >KVH89716 pep supercontig:CcrdV1:scaffold_6729:14081:17890:-1 gene:Ccrd_008290 transcript:KVH89716 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATH-like protein MAIGDVTQGDQHGVLRSTSEAPPAHYILNIQQFSSLTKHDVGRYESSEFEAGGYKWKLVVHPNGNKSKKVGEFLSVYLAMAEPTSLPPGWEVYATFRIFLLDQNNDNYLKLEDAMSKGRRFHRLRTEWGFDRFIDLKEFSDSSNGYLLEDDCVFGAEVFVCKERSKGKTECLSMVKDAVAFKNTWKISSYTKIMNTDSESSNIFIVGGDHKWKIKLYPNGKKNIGNYITLNLALADPASLPSGTKILVEFTLRIYWFSASKPEWGWNRFITHGTFFQTNRGLLYRDVCSIEAEVAIHGETTVYG >KVI01507 pep supercontig:CcrdV1:scaffold_673:113147:114588:1 gene:Ccrd_020221 transcript:KVI01507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNGTKPYEGARWVKIKSVREGVVTEESEHRWAFLHDIARQRFMCLKLCVLTLYLSPATLMSLALIGVCVDRKEF >KVG61333 pep supercontig:CcrdV1:scaffold_6731:23114:23341:1 gene:Ccrd_026324 transcript:KVG61333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MAPPSTTAIINRTDSDGGTIILNKYQLTRLLGRGSLVYHGRSFIDDSSVAVKVIEKPTIAYPTMQPRLVRECLHP >KVI08008 pep supercontig:CcrdV1:scaffold_6734:10046:11284:1 gene:Ccrd_013626 transcript:KVI08008 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSSSSSSSSDSCNSRHFSWLMKSCFPDPRPNTSLHYHPPPPTTTISSLPDDLLLDLLSRLLQSSLPSLSHVCRRWTHLINSCEFYDLRRRRGLLHFAVFLVSFSDSGLFVANYKVGHDCHWRTTSFLSNYDDVVSPASYSALSSHARLAAIGRWIFIIGKTAMLRCDAWTGALTPRSKMSVLRKKFAVAVIAGKIYVAGGSTRTAAVEEYDPKRNAWRVVCHAPRMRYGCVGAAVDGVFYVIGGLKIGTAGGTGAHVYASSMDLYDVEARRWLRSRAVPGGGCVVAACSSGDHIYILASHAVELSFWRFHGGRKIENHHVNFGEWCRLKSPPLPTRIQLDGGLRFSCVGVGAKVVLIQIMGCIDDLLRRGGRSGRGVKDALVLVYDSGGGEWSRGADLPDVIRRAACVCVEW >KVI06689 pep supercontig:CcrdV1:scaffold_6735:20505:21872:1 gene:Ccrd_014956 transcript:KVI06689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTFMGEQKKSKLFNKFKKEEITTIPDREVIAADGDLRLSGKEHESLNDDDSLVITKNIGPSPSGKGHSLTSYKGLQGIKNSGPSTGGNGHDFLIPGSLGEIKHSGPSARGKGHDFVTVESLGNIKKSGPSPGGKGHGYPNAQSLGDIKNSGPSPRGKGHDYPNAKSLDNIKNSGPSPGGKGHGLRNDEIVREIHNSWPSLGGQNQVFVNSVETNRNMKNSSKGYGFNRPYDNLEETNSSGPSSGGKT >KVI06690 pep supercontig:CcrdV1:scaffold_6735:6167:6667:1 gene:Ccrd_014955 transcript:KVI06690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSNYFIIKVLLLLNYVSVNIVARPIIVAKSIDCADDVIIGAIDIFSLAAIKSSKRHEFPTADSFGMIKNSGPSPGGKGHGFSDAESFGNIKSSGPSAGGKGHDLPTSVKTLGNILKSGPSRGSGLDETTSSGQRFGSKRQMVTVSGNLWDLKNSGPSPGGKGH >KVI04416 pep supercontig:CcrdV1:scaffold_6736:368:5840:1 gene:Ccrd_017270 transcript:KVI04416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCVFPQSKYGSRYHFCRTTITQQPTTKSAMTVRMSGGGAAGRLASYEEGNLERPNWTGQTPLSRLVGALISFKPLYSLLKLGARQVLISLLQFLGDQTEYCLNSTAEKSNVPWRAMTKEILESDVYKEMETIQNADIVYPDWCDIFSSSSFETDYLKPFHAYDEGNLSWLAAAEVEAATMSMVKRAIPDASSVDDANEIVRGNWLNAIEQHHQQFSGNLKIRDILDVGCSVGVSTRFLADKFPSAKLTFHECPATATINLVKEAFRLLRPGGTFVATDNSPKSKKLQELSPVLFTLMKSTEPFLDEYYLLDLEKAVNDAGFINVQTRLTDPRHRTLTATVPY >KVH96342 pep supercontig:CcrdV1:scaffold_6737:15644:17605:1 gene:Ccrd_001573 transcript:KVH96342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AILDSYAANVCLTALANSQNPSSSAYDSDEQEANMNKSSRCTNPFLSNEENLKGDIWNNPELECSIFGNDFTNNHEDEKFRKSLAAACNVPTHLFGNTTGFYIDRKSVECELPELMVCYKESDFHVKSICIDEGMCDGETILIDENNCEFLCNSVAVNEDDGMIEANLDTEFSNNCADDVDQQPIQFPKGAIARSEAAEVGQNGKTTDISNKNEMDPESIHEETQIAVKHQDVANQMHYGEGEASFSMAGVISEIITYSRPITFSSNISIRSDSSTTRGNSSPVRMGKTERRRLRNYRGWRQGLFCCRF >KVH96343 pep supercontig:CcrdV1:scaffold_6737:9251:10069:-1 gene:Ccrd_001572 transcript:KVH96343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase domain-containing protein MNHTHSLLPQVNHPLLSNNPHVLVPFLELKLYLENENPDLSSFTSPRLFSTHMPYVSLPKSVHDSQSKLVYLCRNPKDTLVSLWFFTNKLRLKEMGTNPLEDAFEKFCAGVLVSLWFFTNKLRLKEMGTNPLEDAFEKFCAGVSIYGPFWDHMLGYWKESLNNPQRVFFLKYEEMKEQPELHLKKLADFLGCSFSSKEEEERTVDDILEICSFDNLSNLKVNKEGKLPSGEDFSAFFRKGEVGDWKNHLTPEMVERLDNICEEKFQGSGLKF >KVH96341 pep supercontig:CcrdV1:scaffold_6737:17845:20072:-1 gene:Ccrd_001574 transcript:KVH96341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MPFVTEELWQRLPAPQHYPREKCIMICKYPSLVECWTNERVEYEMGMVESTVSDQSKRTYLQKKEMKVSRTDQIVDLLRRHEMEVSTLANLSSFTVFMTLNKTMSAKGYEENLNKTMSAKGYEEKVPAHVKEENVSKLATLMQQLLSIEEAAQHFEREAAAKASALQD >KVG61248 pep supercontig:CcrdV1:scaffold_6739:12099:22615:-1 gene:Ccrd_026326 transcript:KVG61248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSLKPETYLMQKNVELPVRWLRRGEGRRSIGGWGRRRIPELVLQVLVCTKNLNVALWKSCLEYIFHRQACCVSSVSV >KVG61247 pep supercontig:CcrdV1:scaffold_6739:5818:8514:-1 gene:Ccrd_026325 transcript:KVG61247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMNWKKSIDCCTWNGVTCSQYTGDVIALDLPCAMLRGTIHPNSTLFTLPHLQSLNLAFNDLYDSQLPHEIGMXSNSLTHLNISXCGLTGQIPSEISLLPKLVSLDLSLNFYLKLEPHVFYNLLHNSTSLEELXLDEVNFSSILPTYLDISSSMKSLHLSLMGNLPKSLANLRHLTTLDLYHNKLNGTLPSWLFTLPSLEAIYLDNNMFSGSLPTELFNHQSLKRLSLRNNHFDGMIDVLDQGSIQQTFHQLPNLTLLNLSFNNFTGVWELDTLLSSLRALDYLSLSYSGLSIVTNNASRYVNPNFRILRLASCKIKVFPESLRAMRKLQVLDLSRNEIDGHIREIGGNEMSYLDLSRNIITGPFPPSIWNMDNLQYLNLSNNRFSGVIKPGDMKFSPLVIDMGNNSFNGTIPHVCGGELKGLILNGNQFEGKVPSCFSKCPELEVLDLGNNRLTGAFPDQLGRLPNLKVLVLRSNKFRGPIERASMIEHPFPSLSVLDLSQNEFGGHLPGKYFQNFDAMRNVFKDGTNTYLNLYSSTIFYSIIVVVKGQQLSFEKISSDYTIVDLSGNKFEGEIPNEICTLNSLIVLNLSNNHLNGQIPQTIGNLSEIESLDLSQNQLSGKIPQSLADITSLEVLNLSQNLLVGRIPSGTQLSTFNSSFZGNPGLCGFPLPKECEHASAPQLEVDGEEESVFTWKVVMLGYGCGTLVGLLLGYFMLSTGMPKWLNAIADEIEQMIQRRQNKRR >KVH88602 pep supercontig:CcrdV1:scaffold_674:106374:115237:-1 gene:Ccrd_026329 transcript:KVH88602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKASGGTDIMNEFLNLGISKVPEEDESSLQITCFSYVFNDISLNFQIVRLPKQIYAWIGCNSAKFGHLYAAATTRPKRKRS >KVH88601 pep supercontig:CcrdV1:scaffold_674:42022:45039:-1 gene:Ccrd_026327 transcript:KVH88601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFQLRLMLVQKNVRGQKAAELLALEITKELENTTSFQHNKHDLVGRIGGVVELLELTRTSYTCLVCNKTFVSSFSSFDIQILGSNFTCLGMKLYTQVGEPISM >KVH88604 pep supercontig:CcrdV1:scaffold_674:165194:165935:1 gene:Ccrd_026330 transcript:KVH88604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSLYLKIKEMIPWDGCKAKQIASAPRTNDCVGCKRCESACPMDFLSVRKHFFINIVDRIPVRLHIWNCTKKXIYLREVCGKFWENVNGC >KVH88603 pep supercontig:CcrdV1:scaffold_674:85791:89091:-1 gene:Ccrd_026328 transcript:KVH88603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKLPFLITFVLFTCCHSISFHFYSLRKQSSILVSLKQSFGVSRHPSLDGWNHLYYTSLCSNWSGITCDNTTFSVISLDLSNLNLSGTLSPAITQLRSLENLLLAGNGFSGAFPTRVHELSRLRLLNISNNMFEGGLELDFALLKKLQVLDAYNNNFTGLLPVGVSKLSSLNHLNLGGNYFSGEIPAAYGGLWQLRFLHLGGNDLRGLIPREIGNLTNLTQLLLGYFNQFDGGIPVEFGKMVKLVHLDLSNCGLDGKIPTELGNLTNLDTLFLQTNRLVGLIPSELSNLVNLKYLDLSNNQIVGEVPPELSALKELKILNLFINRLHGDISMFVAELPKLEVLNLWDNNFTGTIPPMLGQNGKLKQLDLSTNKLTGMIPKSLCFGQKLETLILFNNFLFGSLPDDLGKCRSLGRVRLGQNYLSGSLPNGFLYLPELLLVELQNNYLAGLLEGPNSNGINGRLAQLNLSNNRLTGVLPTSIGNFSGLKILLLNGNKLSGEVPPEIGGLKSVLKLDLSRNSFSGGIPPEISHCSSLTYLDLSRNQLTGPMPPQISQLRILNYLNVSWNRLNRSLPDEFGSMKSLTSADFSYNNFSGSIPETGQYSVFKSGSYEGNPNLCRPYSNHPCNNSSSELPLQTNGRLDNRTTKVPARYKLVFALGLLVCSLAFVVLAVIKTRKMRTPSKSTWKLTAFQKLEFGSDDILECLKDNNIIGQGGGGVVYGGTMPNGEQVAIKKLGTSKGNNNGCSHDSGLSAEIQTLGRIRHRYIVRLKALCSNKETNLLVYEYMPNGSLGEVLHGKKGGCVLKWDTRLKIAIEAATGLCYLHHDCSPLIIHRDVKSNNILLSSDFEAHVADFGLAKFLQEGGTSECIYGVVLLELITGRRPVGDFGEDGLDIVRWSKTQTNGTKEGVGKILDERLKKVPMDEAKQVFFVAMLCVQEHNVERPTMREVVQMLAQAKHPNTFQMR >KVG61100 pep supercontig:CcrdV1:scaffold_6745:17130:19786:-1 gene:Ccrd_026331 transcript:KVG61100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor MAEIDVPVPQSEVKVESEQERLKYLEFVQVAVLHTVVYASRVYGYAKDNSGPLKPSVETLEGTLKTVVGPAYDKLHDVPVEVLKFVDQKVSTKTKSLSTVANEVKNSSMVDTASGLAKTAYTKLEPTAKGLYTKYEPVAEIYAASAWGSLNQLPLFAKVAKVVVPTAAYCSDKYNQTVQQTAEKGYKVSSYLPLVPTEKIARVFSPSEPLIPSGGEEVVAVN >KVG61099 pep supercontig:CcrdV1:scaffold_6748:17985:19273:-1 gene:Ccrd_026332 transcript:KVG61099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MQFLCISSLQSLTMQSSLVLSPLPAFIPPLKTTAFRRIRPPSAVAAPPLTTNIRQAVTHSMPPEKVDIFKSLESWAAESVLPLLKPVDQCWQPTEFLPTSSQPFDQFTDEVRALRDRSSDLLDDYFVVLVGDMITEDALPTYQTMINTLDGVRDETGASPNPWAMWTRAWTAEENRHGDLLRTYLYLSGRVDMKMIERTVQYLIGSGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKDGGDPVLARICGTIASDEKRHENAYVKIVEKLLEVDPTGAMMAIADMMRKKITMPAHLMYDGQDPSLFEHFSAVAQRLGVYTVDDYADILEYLIGRWKLEKMEGLTGEGRRAQEFVCGLAPRIRKLQERADERAKKMGPRGVKFSWIFNKEVRV >KVG61098 pep supercontig:CcrdV1:scaffold_6749:19996:22173:-1 gene:Ccrd_026333 transcript:KVG61098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation transporter MTNSHALVRKATNLFKYPYKINHTSEKVSTTFVHAIIHPNLFWVQLSYFVILSFVGFVALKTLEFKTPSFKPKDIDVLFTAISAVTVSSMSTLDMEVFSNTQLIVLMFLMFMGGEIFVSMLGLQFRKFKIKSRMINLGSNSNSDSTVRSLECTIELGVNSNDLEPTQSKSFDQKYLMHEAIKSLGNVVLCYILVVHFIGYILTYMYITFSPSSKSILINKGLSRNTFSLFTTVSTFANCGFIPTNENMMVFKKHSGLLLILIGQILLGSTLYPVVLQAVILLLEKITKRVELGHMLKYSKEMNYDQLLPKVQSLYLGMTVFGLILVQFIVFCCLEWHNMDGLDGYEKVVGSIFQVVNTRYAGETVFDLSKVSPAILLGFIVMIYDVCYQRSMHDGRGWLRKRYLPSSTTYLPVQDQENSQRSDQKKSFVECFLFSQLSYLIMFTISVCLTERKKMEVDPINFSVINIAFEVFSAYGNVGLSTGYSCQHQIKPDEHCKDELYGFVGRWSNNGKCLLIL >KVH89561 pep supercontig:CcrdV1:scaffold_675:4116:12817:1 gene:Ccrd_008449 transcript:KVH89561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MDQIKHNYVQVDKLKLHVAEIGSESSPAVLFLHGFPEIWYTWRHQMIAIAEAGFRVIAPDYRGYGLSDVPAEPEKTSFVDLVTDIAIILQSLAISKVFVIAKDFGSMVGYIFSIFFPEKLAGIVTLGVPYMPPQALQQIQTLPEGFYMRRWQEPGRAEADFGRFDAKTVVRGIYVLFSERELPIAGENEEIMDLVDPTAPLPSWLTEEDLDIYATSYKKSGFLTALHVPYRSLLEKVEPPNQDPNNLRIETPALFITGDKDFFFNIPGMEEYLTNGVKNYVPNLEIIFVPEGSHFVHEQFPDKSSFFNLIVKMDQIKHNYIQVDGLKLHVAELGSESLPVVLLLHGFPEIWYTWRHQMVAVAKAGFRVIAPEYREKVAGIVTIGVPYMPPQALQQLQTLPEGFYMRRWQEPGRAEADFGRFDAKTVVRKIYILFSSSEVPIADENQEIMDMMESSAPLPPWFTEEDLEIYGASYEKTGFLTALQVPYRSLSERVEPPNQDPNDLRIEAPALFITGKQDFFFNIPGMEEYLQNGAKKYVPNLEIVYLPEGSHFVQEQFPDKVNQLLLGFLKHNKY >KVH89564 pep supercontig:CcrdV1:scaffold_675:65077:85467:1 gene:Ccrd_008446 transcript:KVH89564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTFAIAAKTENAASLTSGAILFVEASLVNSATASFRSDHLVAFWKEKVLRVKKYSNSFKLITYHRQQILQKGQSPQPQLQLPVLWQPSIVHDGGAKHDHRVRLQRNRPETQTEMPSKGFSLNSSSCHLFWSLSLFFPIRRQEPVASNLPPAAAGGGGGGAPPIPCCPGGGGAGTEPSDETIGGGAGTDPLDEAIGGGGGGRLPGAAGAGGCGGEPGPTGLASPDAVGGG >KVH89572 pep supercontig:CcrdV1:scaffold_675:137078:143976:-1 gene:Ccrd_008439 transcript:KVH89572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGSPSYEWQFDDDDGFDVTANDDEPVDFESLFNMLAEKSRGDYSQYGPADPSSSSAQCSESVSGVGVNEHSQTNDGSLAFPPPHHSEALASGTFWSSGSSVTERQIFYSGFESHQDMFPMHTDGSVSDWQMPVPAHVTPWLANHGVTQEIFSFSNHGTDTDFERASSNETFGFNNGSHQLMDRSGITDGILADSVRNSYQTLEAPDNVEVPSVDFNGYSNIHYGPNHEFIFPYITNTNEPPYFGSSRQSQSSIYNDGMLLNVETESKEHLMPSISRSSAMNYEAVAVREGGVAVVDRTSDIGPYVGAGGIINRLTSDESSLGQPAKGSTSGYHWLCMGNMANKTQVVCVKDEKHDAPVPSTGTACKNQSPVDGKSHTNAQTSTLGFPHTHMQINNAKFKVTDELSAHQAPLQDLPHSKPEKSLPDGGLAVPLLRHQRIALSWMTRKETKSTRCCGGILADDQVFIHIPFVFLFI >KVH89571 pep supercontig:CcrdV1:scaffold_675:158330:165563:1 gene:Ccrd_008438 transcript:KVH89571 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRA domain-containing protein MSLFWSVIRQLAESEAMATSKKVITRDEWEKKLNDVKIRKEDMNKLVMNFLVTEGYVDAAEKFRTESGTERILFISSMNIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEVLTVTNISMQILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVKNSPVGDLLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLRMLLWAQNQLDEKAAYPRITDLFTATLEDPFV >KVH89568 pep supercontig:CcrdV1:scaffold_675:118888:120448:1 gene:Ccrd_008442 transcript:KVH89568 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MESTDSSTCSQYPNLPPGFRFHPTDEELVVYYLKKKASSIPLPVAIIAEVDLYKFDPWELPSKATFGDQEWYFFSPRDRKYPNGTRPNRAATSGYWKATGTDKPILSSRGDQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLVDNASFKSPIVNLANRKGSLRKNNGSRPTERDTTDHHDYIENMMAANSSKATNPSGSLIDHQETSSFFEILNNEDGSRIQQTNDSIISKLASSSSSLKSMVFNPKKRSFPTTHYWNLDSTEKRFNSEDEMNGMMDGSGSFVSLLNPIQQAGIGGFHPNTVLGSIGDSAFGYQLSSGNWNT >KVH89570 pep supercontig:CcrdV1:scaffold_675:169362:169709:-1 gene:Ccrd_008437 transcript:KVH89570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIEKLVWRNTCSKKQCRSLFWRMRAAMKKAVKNQTFLVAFYGGQKRHFNYQYDPSSYALNFDDGTHHHDHHQHHHHHHHHHHVVEHPKITKLPPPNRQGPHSTWVYVVWVGSF >KVH89560 pep supercontig:CcrdV1:scaffold_675:38480:39337:1 gene:Ccrd_008448 transcript:KVH89560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MGFSSKQVSGDHLELNWTQTMLGSDTNTQSPNPRSTGIKRPLQTQQSDPLKCPRCESTNTKFCYYNNYNKTQPRHFCKACKRHWTKGGTLRNVPVGGGRKNKRAKRSNSGVMAAGTAATTTVDHHHGVHSLGLSDQKYLFSDDKGLFFKPIDSDGKGVLESYTDNIDRVSWDFNGGFTGPTNMPQLPHQSLGFSMLSNLSSIDTNPIPIIPTSFSPLLTGFKEDSTTRSMIMPNSSSTAINVSQPWLQVPSTSNFLESNYWNWNDIDSMVQADLNKPFEDQQLKP >KVH89565 pep supercontig:CcrdV1:scaffold_675:50659:75200:-1 gene:Ccrd_008447 transcript:KVH89565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDDKLLKEAKKLPWEDRLLHKNWKVRNDANIDLAALCDSITDPKDPRIREFGHFFKKTVSDSNAPVQDKALDALIAYLKAADADAGRFAKEICDAIVAKCLTGRPKTVEKAQMVFMLWVELEAVDVFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGSKIVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGREPVKSILFEKMRDTMKKELEAELANVTGTAKPTRKIRSEQDKEPEQEVAAEAVGSGPSEESAADVPQEIDEYELVDPVDILTPLEKSGFWNGVKATKWSERKDAVAELTKLASTKRIAPGDFTEICRTLKKLITDVNIAVAVEAVQAIGNLARGLRTHFSASSRFLLPVLIEKLKEKKPTMTEALSQTLQAIHKAGCVTLADIVEDVKAAVKNKVPLVRSLTLNWVTYCIESSNKAILLKVHKDYVPICMECLNDGTPEVRDAAFSVLAAIAKSVGMRPLEKSLEKLDDVRRKKLSEMIGVSGGGASTVAGSVTHASDGASVRRSAASMLSGKKPVPAAAASKKVVSAKTGAGKKVDGPGQTKKPVEVEDVEPTEMTLEEIESRLGSLIQEDTISQLKSAVWKERLEAITSLKEQVEALQELNPSVEILIRLLCAVPGWSEKNVQVQQQVIEVVSNIASTASKFPKKCVVLCIGGYIYMLTGICERVADIKTRAQAMKCLTTFSEAVGPGFVFERMFKIMKEHKNPKVLSEGLLWMVSAVEDFGVTHLKLKDVIDFCKDTGLQSSAAATRNATIKLIGALHKYVGPDIKAFLSDVKPALLSAVEAECEKNPFEGAAAVPKKTVKALDSLSSASGGGLDSLPREDISGKITPTLLKGLESSDWKIRLESIEAGILSDVIKCLGDNKKHMRECTLATLDSWVAATHLDKMVRRKDLFDWLSRQLTGLTEFPDAIHLLRPVAVAMTDKSVDVRKAAEACFGEIVRVCGPEVVMKNVRDIQGPALAIVLERLKSHGAFPEVYDTSKPVTTGQTAKTSSKIGKSNGYGSKHGSRPVSSRAVSTKGSKPESIMSVQDINIQSQALLNLKDSNKDERERIVVRRFKFEELRLEQIQDLEVLEFLPELFDTLTNENYTLNESEASIFLPCLVEKTGHNIEKLREKLRELMKQIIHSYSAAKTFPYILEGLRSRNNRTRIECVDLVEFLLDNHGSEISGQLKSLQIVASLTAERDGELRKAALNCLATGDDIWRYVGKLTEAQRSMLDDRFKWKTREMEKRKEGKPGEARAALRRSVRENGSDVAEQSGEVSRSMSGPAFSREMYAPPEPQMERLAFARPPGGAIGPTDWNEALDIITYGSPEQSVEGMKVVCHELAAATNDPEGSLMDDLVKDADKLVSCLATKVAKTFDFSLTGASSRTLDNLITELLLWLLDERVPRMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLKPLDPSRWPSPPSNESFATRNMKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMEPQPIILAYIDLNLQTLAAARMLTPTGPVGQTHWGDSMANNPMPASHSADAQLKVDIFSQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSVPMPTPPPSALNLSSPKFAPLSPVHTNSLNDEKSVNTKAEPTNFSLPPSYAEDDRTENVMASRGPMAVQSDYRQQVGDQRNDRFPSGVSSGTLDAIRERMKSIQLASGEPGNRAMMNGSEHHESTEQESNPNPVVHSGVLPMDEKALSGLQARMERLKSGSIDPL >KVH89569 pep supercontig:CcrdV1:scaffold_675:90897:101511:-1 gene:Ccrd_008443 transcript:KVH89569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHTHLEYALFQLTPTRTRCDLLIFSGNNKEKLASGLVEPFISHLKFFKDQISKGGYSITLRPNTNSAFCFTKYTFQRSRILFMAMMEKSKRAKLKAGEAGDQGQEENSKIQLQRLLETRKAMLRKEQAMAYARALVAGFDPENMNDLIVFAGDFGASRLREACIEFKDLCKKKHNDGLWMDELAAMAAFPPSELAYTGTSGLLLTTESNASTLNNDLNFIAMQNGSEQTNSEVTQADQLPSTPTNVGMQMPWPNQIPQYMYNFPGPQGPRYPYPYPGMPPYYPAHMSWPPNADDSSHGRHHKSSSKKKSKLLESSEEDEEEEDDDDSNEDGSDSVTDSGTNSKKEKSAKKNRKKSSKTVVIRNINYITSNRKNSDGGTDDSDGDEVDGVLESLAKHHNKSSKSHKKKGADLDLDSESGKRNENWNAFQNLLLKDDVQDEHFTIRNSEDGSFLPRKDAMDMGSENFVKNRPKTGINDSFVVPERSGVNESRNVNSQDFEGGDSFRVKGRDSSDADMVISQRFGETGMNEMKNFQDLGSESSMIRNKREEDWFVVKNSENTIGKSTFDDDYVSMKGDVFSLESSKKIVPIDDSFMVQPQTTPAYDSQWRSADVSMVENANKPDVTDGSGGPVAKTGFYEPDDLYLMVSRDSGAEPPVRSSWTPEIDYGNEVPFTKSEPKPAPVETKEQPEEVPVKTKKKVMKTRPLSKSLPTDKRLPFVSRPIVHKSKREQEDEIRKRVEELAIQRQKRIAERTATKTGAGGSSGSGSKTKTGATTPVK >KVH89562 pep supercontig:CcrdV1:scaffold_675:85468:88840:1 gene:Ccrd_008444 transcript:KVH89562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDAAGAGGGGEPGAAGMALPDAVGCGGVLAVGAGGGGDPGVTGMTPDAMGGGGVLSTGAGGGGGEPDATGMALPDAVGGGGVLATGAGGGEVESGATGMAFPDAADGDGVLAAGAGGGGEPGAPGMALPDAVGGGGGVLAVGGEPGATGLAFPGAAGAAGGGEPGATDMALPDAMGGGGLLPGATEAGGGGDEPGATGMALLDAMGGGGVDGAAGECGNGAAVLLEVDGGGGSGGDIEGGGDAVLLVVTEGPGGGGTGVAMSPLPSERGGGGGGVFPSGVVDDDAVWLVAISDGGLSSDALGIGTGGEIFDSPPGCGGGGDFLASETASCFGGDWIVAEMDGRSDDDDGDAEPGINCTFSAPTIDSNDDGGTKTSICNFTKPSESTSFFFPSTSATLESLFAAQSLRQSWQLPHVTSAPPHHWNLEPSLMIQTSPSHRLNLEPSSMIRTSSPHRWNLEPSSMIQTSSPHP >KVH89567 pep supercontig:CcrdV1:scaffold_675:128652:131051:-1 gene:Ccrd_008441 transcript:KVH89567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTEKKRELVASAFGDDENGGSQTRLTVEDMKYLFQA >KVH89563 pep supercontig:CcrdV1:scaffold_675:79963:85523:-1 gene:Ccrd_008445 transcript:KVH89563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAPGSPPPPAPAASGSIQPPPTASGDAKPVGPGSPPHPPAPAAPGSLPPPPPPIASSNGSVPAPPPIVSSDGSVPAPPPPGQQGIGGAPPPPPPAAAGGKLLRKSVSKLRRSSQMGCLYRQLKSKVEGSSTKGKTLQKKASKVGASGGNGKSMADALAEMTKRSSYFQQIEEDVKNYASAIREVKAALISFQTTDMDEMVKFHKYVESHLEKLTDETQVLARFEDFPTKKLEGLRMAAALYSKLDTMANTLQNWKIEPPVNRLLDQVENYFNKVTSRLIIINIIFSNHVPFPPLTDEQFHMKIKGEVDTLDRTKDDEIKKFKSQKIHFDFGILIRIKELMVDVSSSCMELALKEKRETSSKTNGKKMNSGKILWKAFQFAFRVYSFAGGHDDRAENLTKELARQIQTDIN >KVH89566 pep supercontig:CcrdV1:scaffold_675:131054:137068:-1 gene:Ccrd_008440 transcript:KVH89566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, superfamily 1/2, ATP-binding domain-containing protein MYSVCGPLIHYYVVFMVILWQGLGKTISAIALILKERSPPSSVCTIQMKENETETLNLVDDEEEDKDAMTLLDKFKQDVESCVMATNASSIEHTYTSVQTKSCLAAGTLVVCPTSVLRQWNEELQSKVSSEANLSILVYHGANRTKDPFELAKYDVVLTTYAIVSMEVPKQPLVDEDDDETKKRSDVLPIKLSPTKKRKYPPSSDESSRKDKKGTDNEPSESIDRPLAKLRWFRVILDEAQSIKNYKTQVARACWGLRAKRRWCLSGTPIQNSIDDLYSYFRFLRYDPLAVFKSFCSAIKAPIQRNPVAGYKKLQVILKTIMLRRTKGDISFQSININLLRTLSVCSTLLKGEPIISLPPKTIILKKVDFTAEERGFYRSLEADSRAQFAEYAAAGTVKQNYINILLMLLRLRQACDHPLLVRGCSSSTGWRLSVEKAKELPQEKLCGLLKCLEASLAICGICDDPPEDAVVTTCKHVFCNQCILEHLSSDDSHCPSSECKSLISRSSVFSKSTLEVAIANQPGQGNIPYGSGSVESGVLETSSFIGPFGSPKIEATKALDSSKIKAALEFLRSISKPQDITMATTSSSSIGGSAGNPEKSLGSISGVFDKDTNTKGNSVKREKAIVFSQWTGMLDLLEVCLKDSSIGYRRLDGTMSVVARDKAVKDFNTLPERLVSG >KVI06568 pep supercontig:CcrdV1:scaffold_6752:16304:19808:-1 gene:Ccrd_015082 transcript:KVI06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MASPPSTSSSSSFFPFLFLLLLHTAAVARSQSYIGVNYGIVADNLPPPSASAKLLQSTSIGKVRLYGSDPAIIKALANTGIGITIGAANGDIPAMAADPGFAKGWISSNVLPYYPASKIILINVGNEVLQYGDENTKSQLLPAMQNLQNALDGASLGGKIKVSTVHSMTVLGQSEPPSAGSFDPAIGDMLKGFLEFNKATGSPFMINPYPFFAYQTDPRPETLAFCLFQPNAGRPDSGTNVKYMSMFDAQEVEIVVAETGWAYKGDPTEVGATVENAKAYNGNLIAHLRSMVGTPLMPGKSIDTYLFALARGPLATAPTPTMTPPADAPAIGGATTLPTNSTVAPPNSTTPHDSPTAKVNASKNAARFNKVDLRLVVVFLVMASML >KVH95282 pep supercontig:CcrdV1:scaffold_676:84391:85449:1 gene:Ccrd_002653 transcript:KVH95282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde-lyase domain-containing protein MATLTPSSVSSLRPETLTRKPILCFPSKTLIHLPKSYGFESLSSVVNRKTLTTPPIRSSTTTISESLSTTTAPQTLKSRLKSGETLYGLFLLSFSPTLAEIAGLSGYDFVVIDMEHGHGGISEALSCLHALAAARTPAILRIPDTDAAWAKKALDLGPQGIMFPMIENAKMAKKAVSYCKFPPNGIRGSAHTVVRASDYGIDNGYLSNYEDELLIMCQVESEEGVKKIEDIAAVDGVDCVQMGPLDLSASMGYLWDPGNKKVREMMKTAEKGVLKTTAKGSGGAFLSGFAMPHDRPEEMRSRGYHMISGSVDIGLFRSACVEDVKKFKMEALASDEDEDGIEDSKDEKYWSE >KVH95287 pep supercontig:CcrdV1:scaffold_676:53751:54503:1 gene:Ccrd_002647 transcript:KVH95287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGFQQTERDRLLKIKAFYLSLSFSNTPKHLPDSLTLHYLPRINGSPLEINDSVFRPDSPAFLTLYRVVSDDDIRLGKRSVMYGSRERVRVSEGVRFEVYLREEKVVKGGFRKDEEGEWKMECRCGLEGEMVVEVKKVEVCVAVEGEVEVMRETVEMVVRRKRGRCFERLEEIPEQQSEGEDVEMEEGVCCCCCDCGGGEAENGGEVEVEVEVEGVRWAVDVGIWVMCLGVGYLVSKASSKSLRRRRLL >KVH95275 pep supercontig:CcrdV1:scaffold_676:4030:16089:-1 gene:Ccrd_002643 transcript:KVH95275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0017, hydrolase-like, conserved site-containing protein MAKVAPQLTQSLLANPPIRRQSRVRVRATMATTKADQFKDHHPSLEVLGGARDSFCPVIKTHLDRPYNPFPVFGSNRHLETIFAAFFRNIPDVRLKRECLRTQDDGTVALDWVSGDAGNLPGNSPILLLLPGLSGGSDDAYVRHMLVRARNKGWRVVVFNSRGCADSPVTTPQMYSASFLGDLDEVVKHVGSRYPKANLYGVGWSMGANILVQYLGKEPGSRRFSGAASLCNPFDLVMSDEDLCKGFNRIYNRSLGKALSGILKKYCSIFNHLDCFLNTIFVGIFIFMRFHIILCRHLLLFEDIGGEYNIPLAANANIIRDYDEGLTRVSFGFKSVDEYLQNSCSANYIKHANPNCLLIVTPKGGHLGWVAGENAPTGCPWTDPMVMDFLQHLENEKSGTPQDATNLLHHASKHLFFTPYIPITPFFNSTTVTRYTAIAATMSATPQPHCSIHVSGGALDSFLPAFNTLDRPYDSYPLVCSNNHLETIFAFFFRSTPDVRFQRECLRTKDNDTVTLDWVAGDDRSLFPNSPTLIFLGNIAMAKVSIAGLATNRLFFPPYIPLASSQTTNPFSTTVTRYTTMAATPLNPQPHPSLHVSGGALDSFLPAFKTLDHPYDSYPLVASNRHIETIFASKFRSVPDVRFRRECLRTKDNGTVALDWVAGDDRSLSPNSPTLILLPGLTGGSGDSYVRHMLLRARRKGWRVVVFNSRGCGNSPVTTPQFYSASFLGDIGQVVAHVSSRYPDANLYAAGWSLGANILVRYLAQESDSCLLSGAVSLCNPFNLVIADEDFHKGFNIVYDRALSASLRRIFSRHAPLFEEINGDYNIPAAANCKSVREFDEALTRVSFGFKSVDEYYQKSSSSDSIKNVTTPLLCIQAANDPIAPARGIPCEDIRENSNCMLIVTPEGGHLGWIAGNEAPFGAPWTDPVVMDFLEHLVRTKSISVSYSSKSKENKHTLPTSVK >KVH95277 pep supercontig:CcrdV1:scaffold_676:108180:110424:-1 gene:Ccrd_002658 transcript:KVH95277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MANKNGVQWSIRVGDGSLVVLEPEHKNRVMRLWDGLKGLVVKFAMKVWRFLKQAWDLGVDDPRKVFHCLKVGVALMVVSLFYYMRPLYDGVGGSAIWAVMTVVVVFEYTVGGTLYKCLNRICATFLAGFLALGIHWVAIHSGHRFEPYIMGISLFILASATTFSRFIPVVKARFDYGCTIFILTYSLVSVSGYRVEHLLSVAHERLSTIIIGTCLCIITSMLVFPVWAGMELHVLIPRNMDKLSKSLECASSRSCAYCIETLTSCLDSKNQVPESIKDHLSSACMNLSSSSSSVMKELAKIVSTMTRSATIDMAVQEMKNAVQELQNDLQSLPDLLIQPLDSQEESTTPNSPDKTELVQSEITVMPLMEVIPLVSFASLLMETASRIEESIVKAVEELADSAQFKQAEDEIKLKQNPTTSKIASEEV >KVH95286 pep supercontig:CcrdV1:scaffold_676:32825:42005:1 gene:Ccrd_002645 transcript:KVH95286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNGDPSQLRNPDSKFYPGEDDSIQSMDGSSPHDNVDHSSKLNQSVLSNVNHIDSTIVDPTHKQEPPKEPTITALQKDHGRPIVTMRELLSELKNGESSAALVHSKEIKHHREQSSVAMALINNIGGSDEGQSRHRMLKFAAKRYASAIERNPDDYDALYNWALVLQESADNVNSDTNSPSKDSLLEEACKKYDEATRLNPALHDAYYNWAIAISDRAKLHGRTKEAEELWKQATKKYEKAVQLNWNSPQALNNWGLALQELSTIVPAREKQTIVRSAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTSRTGVPVVGHAVSSSELYSQCAIYIAAAHALKPNYSVYSSALNLVRSMLPLPFLKVGYLTTPPVLNPVAPHSDWKRFQFVLNHEGLVQIHDDEQKQMAKGIPSISGDKTTNVRPAIRVDIPDVVSVTTCSDLTLPPGPSIFIDTIRGPIFLIADSWEYLDTWLDAIQLVYTIFARGKTDVLAGIITG >KVH95284 pep supercontig:CcrdV1:scaffold_676:78409:80198:-1 gene:Ccrd_002651 transcript:KVH95284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFPLPLLSPFPSLVVVKCSNPLHINAIESSNLINNGGGLKNLVISAIVDDQVDESANRNESDVLLNGLDKSTQIDELTEIQNQETKVSEIAELSEARPMVEEAEDGERKAMNKNKEKLESVKAASISAIVGTLASLPISLTHDTNAFQLTVSTAITIITCALYGATFRYAVRRDMDDFHLKTGTSAAFGIVKGVATLDGRPYLEHEAGSLLPQALNGAICVSENVLIFLFAAAGLDICYKMGILSPFPVERSVSRTKM >KVH95279 pep supercontig:CcrdV1:scaffold_676:98836:103120:-1 gene:Ccrd_002656 transcript:KVH95279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MFHCLFVLELLLSSLLFGFDIVNSPVVPMDSEVKSIVSANGSHRKFQRKSKPKRQPEPDDTDIVEICPNNRYVRYREVLGRGAFKTVYKGFDEVEGIEVAWNQVTLDDTLQSREDLERLYYEVHLLKTLKHENIIKSYCSWVDEKERTLNMITELFTSGNLRQLSAAELLKDPFLSAESTKVYEPVKCNSSKQQNSSSGSPCIPTVEIKSSDTVHSIAEEMVEQLDLLHQDVAFIAKLIDDLILRLVPTWKTSFGKATCSQMIQNPMGVADICNSSGPCRKNKSVVDKKLQQEFNGEYNKWCGMLQRLRESKKVATWAAVWNQSLGPLSSSVPHLDIDCNNVVPKIQEVQ >KVH95285 pep supercontig:CcrdV1:scaffold_676:75645:78293:1 gene:Ccrd_002650 transcript:KVH95285 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MADPNMKLLKNLIWYAASATVSCWVLLASLRKLDTHRQDNRRSSDQRKQLFKRLGPCDIVNPDHIDVEFDSIGGLDSTKQSLYELVILPLRRPELFAHGRLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRSTDSEVITNMKTEFMTLWDGFTTDQNARVMVLAATNRPSDLDEAILRRLPMAFEIGKPGQSDRAKILKVTLKGERIDEKIDFEYIASLCGGFTGSDIFELCKQAAYYPLMEFLQDEKNGKKASAPRPMSQMDLEKAVATSKKAKIPTYEAGGSTFWLPRIEPDDNQDF >KVH95278 pep supercontig:CcrdV1:scaffold_676:104502:106500:-1 gene:Ccrd_002657 transcript:KVH95278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MGDDNNSSKTSANKKERVRRSRLIINGVAGLLGLTWKMVMLPITITGASVGLITGVIGLGFWVAGGVLSYSLSIIGVISPGRNRESSLIQPAVARAPEAIENRFGKSERNQASSAIPPVSVSEAASGASGAIDLSSEFESWNGKSGGTRESSPIPPVSVSEDAAGPSEATDFLSDFEILYGKRHPNFVPDGFTEAVQRSRREFKLLFVYLHSPEHPDTPEFCSVTLCSEIISAFINENFVSWGDSINANGGHKMSRSLKASRFPFWAVIMAAAGQKLQSVLEESTPAFVAARLDTEEHRNNTRLSEEQDAVFEADQAKDHQQREGENQLEREAAFAKSLGPEPEKGPDVTQVLVRLPNGERKGRRFHCTTTLQSLYDFVDSSGCLEVGSYNLVTFFPRVVYGSDELSSTLEELGLYPRASLFVELNM >KVH95269 pep supercontig:CcrdV1:scaffold_676:158124:160445:-1 gene:Ccrd_002662 transcript:KVH95269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MDTAHWPQEIAIKPMEEIVVQNGTNSCSSKPSSNSSSLERRGTRPQKEAAINCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSISSSHSSMSKKLPDLVVPPAAPNPRMHHEHGQDLNLGFPSTSHDFKTISELIQVPNFDGTKNTTSGSATTTTSAQLSALELLTGMTTRGMMNSFMPIPIPDPNSVYSSSGQLMIPMPEFKIPSLNFSLDGMGGNGGGGGTYGSSIHDQSSSGRLLFPFEDLKTSTSATTTHDGHHVEQNRDQNGDTNGFWSGMLGGSSW >KVH95276 pep supercontig:CcrdV1:scaffold_676:112481:117895:-1 gene:Ccrd_002659 transcript:KVH95276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MKDGGLKLLLTMQDAHFEEEIQGLIDDLLLQAPMFGGGLIGEAAFTKKHLWMSSEDNYIAHNSSGSIWDMFRDDSKFCSQFSSGIKTIAAIPVEPQGVVQFGSTKKILERTEFIDQTKRMFHEIVNGDGPAISSSSNGLFASLISSEEGCPFSVGSSSAFPPHPFSFGSEPQTLFLDNNQSTTQFVGVGNDGAAPWSTASSLTSFKEPFLSESRGLETWNTLSVQSKSPFPSTNTHQDLFDLPTDFGIPDEFFQTGDFNIAQWYPQSPGQSTVTLGNLTPNDHLLSQATGFLPISSDEGGKSLTISGIDVDLFGSSGDLGDIVTPVINENHLGFDSYNSGHISVSKSTKNVDNHDSATLGQKKGLFSNLGIKELLEGISATSNTASTSCIEDQVSSAAKRRKTGNSVWETSSLQPVVYNKSLKSEPGIWMSDAYSMDGSSTILQAKKQVESPKPTKKKAKPGTRPRPKDRQMILDRMAELRELIPNGEKMSIDCLLDRTIKHMLFLQSVTKHADRIKQADEPKHNGVIPNNYSNDPSNNGVTWACELGNQTMICPLIVEDLSTPGQMLIEMLCEEHGFFLEIVDIIQGFGLTILKGVMEARDEKIWARFIVEAEAKRHVTRHEIFAALVQLLQTMGSNDNHLDKNVMQTGTSILNNFQPSGIQLPVSLADTGYGMNL >KVH95272 pep supercontig:CcrdV1:scaffold_676:146004:152441:1 gene:Ccrd_002661 transcript:KVH95272 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL-like protein MANLYVQAEPPTDLNRNTEWFTYPGVWTTYILILFFAWLVVLSVFGCSPGMAWTTVNLSHALLTYHFFHWKKGTPFADDQGIYNRLTWWEQIDSGKQLTRNRKFITLVPVVLYLIASHTTDYQNPMLLLNTLAVFVLVVAKFPHMHKVRIFGINAEE >KVH95281 pep supercontig:CcrdV1:scaffold_676:85976:90652:-1 gene:Ccrd_002654 transcript:KVH95281 gene_biotype:protein_coding transcript_biotype:protein_coding description:MT-A70-like protein METQSDSTDEIANIKDIRQQIESRIETLRSSQLEIISSLQYGVVPDIASSLDLHLKVVSSFNGRPFTPLPNPLPGPNPNTRRRIQPPPPAPTIKISKLSGDLHPKPSADVIVDEGGAGTTPLSTVRSMVAVCLLERVPFTEIDSASVLSKLESEGFQSVTAAEKAALREVAGGDSILAVEMALRSMAEDNGGVQLEEFKVNGRFRVLVKGIDRTRLVKELPESRQNESNSNNSSGGNAIQQSSRTMADGGWMGQGDPGQFSGPGGGGQMMGPRAMMGMIGRPRGMGVNPMHRAPPMGMYSPMNITGGGSNGKQPRTEEDDLKDLEALLNKKSFKELQKSKTGEELLDLIHRPTAKETAVAAKFKSKGGSQVKEYCTALTKEDCRRQSGSFISCEKVHFRRIIAVHTDVNLGDCSFLDTCRHMKYCSEVELGESQWINCDIRNFRMDILGQYGVIMADPPWDIHMELPYGTMADDEMRNLNIQALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGDPIVNRNIDTDVIVAEVRETSRKPDEMYPLLERVSPRTRKLELFARMHNTHAGWMSLGNQLNGVRLVDDGLRARFKAAYPEVEVQPQSPPRAPAMEVDARSPFAGGAESKPMFSEPTYASEPKPMNLDVEMATS >KVH95274 pep supercontig:CcrdV1:scaffold_676:42274:46704:-1 gene:Ccrd_002646 transcript:KVH95274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MMNTEVKENGEIERDKRSEDMEQDKKDDGDRHLEGSRRLQPWTQQITVRGVVASVIIGSIYSIIAMKLNLTTGMTPNMNVSAALLAFVFMRSWTKMLQKSGVASAPFTRHENTMIQTCSVACYSIAIGGGYGSYLLGLNKKTYELAGGANSPGTYKEPGVGWMMGYSFLVCFIGLFVLIPLRKVLIVDYKLVFPSGMATAVLINGFHSQGDDMAKKQVKGFAKYFSASFLWGFFQWFFTGKEEECGFVQFPTFGLKAWKNTFYFDFSMTYVGTGMICPHIVNLSLLFGAVVSWGIMWPLIEKNKGDWFPTGLPESSMKSLNGYKVMLAFISIALILGDGLYNFVKILCITSMSVHGRFKTKNLNPVAIEKKVNEEELKQNEVFIRETIPMSIGAIGYIIFAIIAVIAIPYMFPEVKWYYVIIAYIFAPSLAFCNAYGAGLTDFNMAYNYGKIGLFMMAAMAGKEQGVVAGMAGCGLVKSVVSVSCILMHDLKTGQLTLTSPRTMLLSQAIGTAIGCIVSPLSFFLFYKAFDIGNPDGEYKAPYAIIYRNLAILGVQGFSALPKHCLELCYGFFAFAVAINMIKDILPKKIGKWMPLPICMAVPFLVGGYFAIDMCIGSLVVFIWQKVNAKKADSMVPAVASGLICGEGMWTLPASVLALAKINPPICMKFLTS >KVH95267 pep supercontig:CcrdV1:scaffold_676:56244:70886:1 gene:Ccrd_002648 transcript:KVH95267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGGGQKTYTTNPDDYKLLEEVGYGASATVYRAIYLPNNDVVAVKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAFCSFVVNQNLWVVMPFMAEGSCLHLMKTAYPDGFEESAIGSILKETLKALEYLHRHGHIHRDVKAGNILLDTNGVIKLGDFGVSACMFDRGDRQRSRNTFVGTPCWLVHGQAIISSPLLMAPEVLQPGSGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKRFSKSFKEVVAMCLVKDQTKRPTAEKLLKHSFFKQAKPPELSVKKLFAELPPLWNRVKALQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDIEDLKFKASLVQDDDEIQDIREEDVNSTSFVYNDASNSKATIGKPYSASEIVCSDEVAPSESLSNTGDTPESNLPESDNKGNIALERSRTKTEQLPSTSDKDAVQAKSRILTGRSRQTQSGPLVAGVVLNHSKSERTRNLERCENESSHAVDKMHHQVRRAPSFSGPLNLPNRASGNSLSAPIKPSGGYRDSLEDKSKANLVQIKGRFSVTSEDLDLVKDIPLCTAPRRSPQESSLRKSASVGDWIFDSKQMPTPKDINSSSVHSNLPASVLMPHLQNLFQQTSVQQDLILNLLNSLQPAEVASEASQNGKLPPLPRSSENNGAVEVSDRERLLLTKVSELQSRLTILTEELTTENIGGAGNQESWSHAQ >KVH95283 pep supercontig:CcrdV1:scaffold_676:79588:83720:1 gene:Ccrd_002652 transcript:KVH95283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent protein hydrolase MEMGEGADWKEIEVKRKEAHKSGVCLFETGQGMFAVATRLLAPTRLFISNRNFKLTPMAAATFSSNSPSYTPLKRVGTHNGSFHCDEALGCFMIRLTNKYFGAEIVRTRDPEVLQTLDAVLDVGGVYDPSQDRYDHHQKGFAEVFGHGFTTKLSSAGLVYKHFGTEIIAKELQLDEGHPDVQRLYLAIYKSFMEAIDAIDNGINQYDTDQPPKYVNNTHLSSRVGKFNLDWTDPDQSSEKENEAFQKAMALAGSEFLDSVRFHVKSWLPARSIVMECLAARSDIDPSGEIMVLDRFCPDERSKSWRVQAVAKSPDSFESRKPLPSQWRGLRDDELSKESGIPGCVFVHMSGFIGGNHAYEGALAMARGALKL >KVH95271 pep supercontig:CcrdV1:scaffold_676:141654:144456:1 gene:Ccrd_002660 transcript:KVH95271 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MESQRSVSFGLNDIKTSDVTVCLRNSKGRPELFYLHSSILKEKSKFFADKLSDPNCGTSIDIYCLDFNYEHHVDFLRRLYYSKEALLESWNSVHSALGVLQVATEFNCQQIIDSCIQYLEAVPWEDTEEELILKVVSKLGPEAMPILARVQPVDFSGTKNVFLSAIRFATSIVSPSPPFGDELKTSAQEQVEYMLGDDDEEIPLVIADEEVKSESKKGLANICSLFDKELSCLLSQADEEATEKRVMQSLSDLGWICNILSKMDLMKEFVDQWIDFSNRVIGVMEDKVLKSSMWGLKLKLVELTGKVLDAVGYGNVIIPAARRVELVKTWLPYIRQMKPVLDSIGNEETGYPYKMDEDLCQNIEGAIVALLSALPSNDQADILADWMNGEQVKYPDLSEAFEIWCYRTKSAKRRLVEGLDRVGKATVSL >KVH95280 pep supercontig:CcrdV1:scaffold_676:91667:96611:-1 gene:Ccrd_002655 transcript:KVH95280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MEDEIRAPLIQPANDDGDTLPPLCSSSASEEVEENSPIKQVALTVPTTDDSSLPVLTFRMWVLGTLSCVLLSFLNQFFWYRTEPLSITAISAQIAVVPLGQLMAAKITDRVFFKGSRWEFTMNPGPFNVKEHVLITIFANSGAGTVYAIHVVTGVKIFYKQQMTFFVSLVVVLTTQVLGFGWAGIFRRYLVEPAEMWWPSNLVQVSLFRALHEKEKRPKGGLTRTQFFLIAFICSFAYYIFPGYIFQMLTSLSWVCWIFPSSIMAQQIGSGLHGMGIGAIGLDWSSISAYLGSPLASPWFATANVAAGFFLVMYVVTPLAYWLNLYNAKNFPFFSDGLFTASGQEYNITTIIDSNFHFDSAAYQKEGPLYLSTFFAMTYGVGFAALSSMVVHVFLFHGSEIWEQSKSSFKERKMDIHTRLMSKYSQVPEWWFWCILVVNISLTIFAFYLCTAWWLMETIPNICDSSSDVWTCPGDHVFYDASVIWGLIGPRKIFGDQGTYTMVNWAFLLGAIAPVLVWLAHRAFPTQHWITLINMPVLIGATGSMPPATSVNYSAWILVGFLSGFVMFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLENIGLDWWGNELDGCPYASCPTAPGVFVDGCPALQI >KVH95268 pep supercontig:CcrdV1:scaffold_676:71593:74313:1 gene:Ccrd_002649 transcript:KVH95268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHVRPRSTRPTSPIVQKWGGSSPTPAPPPAFESPPFSAPPPPAMNSLSLSPFYSACNTSTLPKLKPLYTLHHHSYTNVNQKQRIRKGRCRAELLHEAPFVVAIGACVLNSLAFPLPVGPDDNEDGNSVIDSADARFAVMGIISFIPYFNWMSWVFAWMDTGDKRYAFYAILEASIKNGDLQSFQLFNGALRNTSSKKDARISEQGTRKDGQRLPSAHDESRNKIRSWGIPKKPAQQANHLDEEEEEGKKH >KVH95270 pep supercontig:CcrdV1:scaffold_676:185205:189870:1 gene:Ccrd_002663 transcript:KVH95270 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MGSCSEEQEDDFFDAREVFASMSDSGSDCSPEDCSTSGFGYDYWVGNLDSVDIRRDKLLRFMGLNSRWLVRDPEEEYEDDFVDEIREDTMLVKSDFQDGFLYRQPSLCDWSNKGMELVTSGSVKDHLVVKTGNWDESGEDMLMKRSFDFDGSNEMKGNLDSSSQRSSQRERETVGLLDRRKKWKKGWLQKLNIMARITDRQEDSVSLKPKYTNSKGSGCGRSVHVHTHKKKSKELSSLYATQEFPAHNGAISMIKFSHDGRYLASAGDDGMVRIWEIFEDQDPRIYEIQGTDPSSFSFSTNHLSELAPLQVDKEKTRKIKGFRKSSELACVILPPKVFRILEKPVHEFHGHGGEILSLSWSRKGYLLSSSVDKTVRMWQVGHDECLKTFTHNNYVTCVEFNPVDDNYFISGSIDGKVRIWDVHRCQVIDWIDIGDIVTAVCYYPDGKGSIVGTLDGKCSFYDIIDDRLQLRTQIYSMSKKKWTKRITGFQFCPTDSRKVIVSSADSQVRILCGINLVGKFKGNRSSGSQMSACFTADGKHIISAAEDSNVYVWDHTSADKLFTKPKSNVSYENFSSQNTTMAIPWCGIKSIAAALPSPRFASNNNNNTNNVVVPRSTIDSPRMPSPSTNRGFFLESLLKAPPTWPAETLPDSTQVCVSPSMRKSEYRFLKSAYQSTFVAPHMWGIVIVAAGWDGRIRTYLNYGLPVRL >KVH95273 pep supercontig:CcrdV1:scaffold_676:24793:31695:1 gene:Ccrd_002644 transcript:KVH95273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MVWRKLGPTTTRRSKVEILISLLPLLLFSLMFDVFSGGDGRRTGFAFVQGAVGKIDGGAGAIFWITGGGVGANGGYGSPSNVGIAIAVTVMAGIAVAATLVYSNRGHLQSPWSRRRRKNALQPKQWRSLFDEDGKLCDGGVNYDLKSSKEERDAVKTKNRKEYEKLRKQCQQTYIRIDKSTQIKDISRSSSNEDSKDGSQVLDSSAVGNTDSSRNSVSPEADRSAGQNQAEDPGGDGSEITCKSDTGAESESSDSDSSEEADDMHPSPTPEKVTDETDINRHLKDDSDTGGKNPIPYRSESFSTWQRIIRLDAIRANDEWIVYTPSQAAVSLIKAHRSAESVCLKEYNHLEACRIFHAARLVSILEAYALFDPEIGYCQGMSDLLSPIISVVEDDSEAFRCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKGKDSHLYRHLEELQAEDCFFVYRMVVVLFRRELNFEQTLCLWEVMWADQTAIRAGITKSAWGRMRMRAPPTDDLLLYAIAACVLQRRKQIIEKYSSMDEILRECNSMAGHLDVWKLLDDAHDLVLPEKSQDIAGGEQKCGEVKDWESVLPESGQEQSILRGSWGM >KVH96946 pep supercontig:CcrdV1:scaffold_6763:6034:6469:-1 gene:Ccrd_000961 transcript:KVH96946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MAKNASMFVKMATILSLFLMFVVLAESRSTLVDGLHKAKSAIVCNQVIGVEVGDDCTTISQSVKMSLESFLAINPNINCEKIFVGQWVCVDGSATN >KVH96945 pep supercontig:CcrdV1:scaffold_6763:9189:9623:-1 gene:Ccrd_000962 transcript:KVH96945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MAKNASMFVKMATILSLFVMFVVLAESRSTLVDGLQKAKSVIVCSQVTGVEVGDDCTTISQSFKMSLGAFLAINPNINCEKIFVGQWVCVDGSATN >KVG60693 pep supercontig:CcrdV1:scaffold_6764:4963:6991:-1 gene:Ccrd_026334 transcript:KVG60693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTSDPGMLSPLSQFWTSPIVIAKVDRAPNEKAALTSKDKGHSSIAEAGQQNVRSTMPKRDPKLSFKLRSPYVTRAITFDVIFPAVEPLFYYIVVFDLKRPSISIIDSENRAGKVDDIYGSRTVVLQYMMIMHLLKEGHGAWKVYTEMDQDNIKTRWQFRENTVDCGVMLMRHMETFFRGDIVKWDCRLYKESTK >KVG60692 pep supercontig:CcrdV1:scaffold_6765:8337:9095:1 gene:Ccrd_026335 transcript:KVG60692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDLPISDCNHRMLNHYPLYLNFMAVLFALVIQMQHLSPTAMNQLRVAVIAFTVNFAANLGVQQTSNGCTGCSRAHRRLCVFLTIFYVTMLVTPVILLFILVVEPSLWHPILGYFHSIWSGINHLVFGETATAIAMALPPPEIEDSVYRRISVGVCNFLARPTDGPTPPILPVSMPPPTTASWWSSVASSGRRFIMRSRREEVERTMSV >KVI01643 pep supercontig:CcrdV1:scaffold_677:24215:31130:1 gene:Ccrd_020079 transcript:KVI01643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MLPLSLLKTSQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKNRTDRKPPGVGRGRGRGRDDGGGRRQPRGMGRGMDEGGGRGRSQGGYGGNPSNRGGGRGRG >KVI01644 pep supercontig:CcrdV1:scaffold_677:94072:98284:1 gene:Ccrd_020080 transcript:KVI01644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp, N-terminal MRSGACTVQQTLTTEAASVLKHSLSLARRRGHAQVTPLHVAATLLMSSRASILRRACLKSQNNSSSSSQNPLINPTNPPLHCRALELCFNVALNRLPTTPGPLLHGQPSLSNALIAALKRAQAHQRRGCIENQQTHQSQQQCHQQQQPPLLAIKVELEQLILSILDDPSVSRVMREAGFSSTAVKSNLEDFSSNSLTSAPRVFFSSSGGGVYSSPSSPNSDHQYHHQNPTFWQTHFLNHSPDQNPVFISPSKKTPSPDQSDHSLKKDVSLVVEVLLGKKKRKNAVIVGDSLSLTEGVVIELMGQVERGDVPDELRCAHFIKFQFSSVPLRFMKREEVEMNLADLRRKVESLSSTGGVIIYAGDLKWTVDEKENIGGVIGLYSPIDHLVVEIGRLVSQYNVTNKVWLIGTANYQTFMKCKMKKLSLELQWSLQAVSVPSGGLGLSLNAAAATTTSCGNESRVNLSNNTRTDEMVDRKPLISSKEEGDEDDMVVMNCCGECNFNYEKEAAAAAATATATAKPSTVLPFWLHPSTPISSTLHKENLMELRRKWNKLCQSLHQGRHNLNNNYMNSSPLITNDNQGLTGKSYSYNSSCYPFWPNNNNHLKHNSCISFGETLKAQNGEGERSYPRFRRQQSCHIDLSFSSGNHQKVDQEPNLDCLKSREDDKEVKITLGLGYSASDLCRRQDVYKCLQENVPWHSEKMHLVVEFLMSFSSSKAVKRDFWFLMEGNDSIGKRRLAMAIAEAIFGSKDSFLCFNMRGSLNKCHNLERALKDKDVVVFVEDADFGDGKFLKSLSDGFEKGNFGENGHVIFVLSKSDHLEDDKRSRISTTQLKLVVNQMNPATEIDQKRKTKWDTDELSKRKVPRIEEDEIKKDLSRQSSSNTLDLNVKAEADQHDENEDTLEFSPNSSDLTREMDGSPRNPLGFLETVKTRFIFDRSPARDSLMKESLMFKIKGAFEEVFGSIEFELNVEEMVLEEVLFGFGLYLNGLFEKWLKEVFQTSLEMVKSGGTGVKSLKVRLVIDEKDGDGVGIQEKDEGFMGSNLPNKIKICFIM >KVG59777 pep supercontig:CcrdV1:scaffold_6775:7578:12663:-1 gene:Ccrd_026336 transcript:KVG59777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARLAIYLKIIKETLLVHHSHTTTETPATAFFHRNKAGFEMVAQEFTVDLDKPLVGHLGESYQEWVHQPIVSKEGPRFFKSDFWEMGHTLPQIAIILVMGIFVWSLLEYTLHRFLFHIKTKSYWGNTLHYLLHGCHHKHPQDGLRLVFPPTATAILLVPFWHFVKLISTPTVAPALFGGGLLGYVMYDVTHYYLHHGQPRNQVPKNLKKYHLNHHFRIQNKGFGITSSFWDKVFGTYPESKSVEKEKMMQQ >KVI11225 pep supercontig:CcrdV1:scaffold_6778:11647:13077:-1 gene:Ccrd_010366 transcript:KVI11225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MEDQAQAQTQTQTPPPTSPRTQCLERNHEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVKIRKLLEKFGSVGDANVFYWFQNRRSNSSSSSTSSRLGGADEIYPVSSQAASFPEIEQNPNSMPSRVITVFINGVPTEVESGPFNMKALFGEDLMLVHSSGVPVPLSESGVCALGLQHGESYFLVSKFC >KVI11226 pep supercontig:CcrdV1:scaffold_6778:14789:16141:-1 gene:Ccrd_010367 transcript:KVI11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVKIDLKSLQKLKTGFFHVDPHHGNIAIDVDESLIYYDFGIMRGIKPFTRARMMDLFYAFVMNNMISLGALQPTDDILLSRHWLRGLCMKGEACGDYSACMKSALSRTALISTPTKTLMSSSSNPEPIPEHIEAQKCRD >KVH89116 pep supercontig:CcrdV1:scaffold_678:124708:128982:1 gene:Ccrd_008902 transcript:KVH89116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MATELPAKVESELAPAKVETPVDVAPEKPVDPPTVPETKADDSKTLAVAEKTSAPEVVKKGSSNSLDRDVALSELEKEKQLSFIKAWEETEKSKVENKAQKELSAITAWENTKRADIEAKLKQIEEKMDKKKAEYGEKMKNKVGLIHKQAEEKRAMVEAQKGENKLKAEELAAKYRATGTLPKKFLLCFGG >KVH89112 pep supercontig:CcrdV1:scaffold_678:152176:168037:-1 gene:Ccrd_008904 transcript:KVH89112 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MNNNYARGGGGRYPPGIGRGGGGGNYYGNPNPNFQQQKNYQQQQYAQRNPVHHQQFQQQQQWLRRNPVENDSSVVDEVEKTIRSEATDPSSQDWKAQLRLPPADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDSNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLSKKGICVLKDCAMLVMDEADKLLSPEFQPSVEQLIHFMPTNRQILMFSATFPVTVKDFKDRYLQKPYVINLMDELTLKGITQFYAFVEERQKLDNRGRGTWKMAIVGWKVLPYVTRFF >KVH89121 pep supercontig:CcrdV1:scaffold_678:43888:45066:-1 gene:Ccrd_008898 transcript:KVH89121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLCLFSDLQKGSKIKPNEVSFVALINACANLSAFSQGIWAHSYVVKRNLKLNQFVVTSLIDLYVNCGFLEFARQVFDELPKKDVFCYNAMIRGFATHGYGLESLDLFKKMVSEGFGPDDVTMVAMISACSHVGLVDEGCEFFNSMREKYGLKPKVDHYGCLVDLLGRAGRLHKAMEIIRTMPMKPNAVLWRSLLGATRNHGNLEIGEIALDRLIELEPETSGNYVLLSNIYARMNKWEGVKRVRTLMKDNGINKMPGTSVLEIGGAFHQFLTADKTHPRSQEIYQKIDEMNRKLHEYGHNARTMDVLFDLEDEDREDALSYHSERLAIAFALIASDPNSPIRIIKNLRVCVDCHDSTKLVSMIYKREIIVKDRIRFHRFQDGSCSCLDYW >KVH89120 pep supercontig:CcrdV1:scaffold_678:52824:59967:1 gene:Ccrd_008899 transcript:KVH89120 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MALMDMAATMIFTDCSSSSSSSSTARLLHFTSTKVQKLPNTISRFHRSNSLQFGISNPRFSRTIITHCSSSSISAIELNNEASATVIDGKRVAKDIREEVAVEISRMKDEIGIVPGLAVILVGDRKDSATYVRNKKKACDSVGINSYEVRLAEDSSEEEVLKHISKFNDDPSVHGILVQLPLPSNILNAVCIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYDIPIKGKRAVREDATVSIVHSRTKNPEEITRQADIVISAVGQPNMVRGSWVKPGADPESPRGYRLVGDICYEEASKVASAITPVPGGVGPMTIAMLLSNTLLSAKRVHNFR >KVH89118 pep supercontig:CcrdV1:scaffold_678:10180:14719:1 gene:Ccrd_008896 transcript:KVH89118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MSFTGTLDKCKACDKTVYFVDLLTADGVTYHKSCFKCTHCKGTLVVTMEGEPFHKSCFKCAHGGCPLTHSSYASLDGVLYCKHHFARLFMEKGNYSHVLEAANNRKSAPPTPDPSEPPSGDEAEGEDETADDPPPDAEHAEEEKEEKEDDD >KVH89111 pep supercontig:CcrdV1:scaffold_678:172417:183161:-1 gene:Ccrd_008905 transcript:KVH89111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPLTVGPCARQSGSVKHGTLLYFGCDFDTKYLRNNSSGSFIGVWIKNLKGYRSSNSKRFHVIARIKKGRKHDYPWPDDIPSSTTGAEALSYLSNFKPLAEKPKPVTLAFEKPLVSLEQKIIEIRRMVEETGLDFSDQISTLEKKYQQALKDLYTHLTPIQRLNLARHPNRPTVLDHILNMTEKWVELHGDRAGYDDPAMVTGIGSIDGKSYMFIGQQKGRNTKENIARNFAMPTPHGYRKALRMMKYADHHGLPIVTFIDTPGAFADLKSEELGQGEAIAFNLRTMFGLKVPIITVVTGEGGSGGALAIGCANKLFMLENAAFYVASPEACAAILWKSAQAAPKEPLGGAHADPKWTSQQIKQTIIQAMEELTKMDSDELIRHRMKKFRSMGLGGFQEGIPVDPERKRNMKPSEITPTNAMELESEIKNLKMKISESKGSSDPINIEKLKHELDEEITKACISMGLQQKIESLKMDMSKDPDPNESRLDEKAKYIIEEFKHNLSRPGAYLPLKQKLQKLSMIERLMEMKTKSEKLKTEINQKVSADIKEKFNVLKRARENLSKGEDLGRDLEEQVEKAKMELQEVLKSANLEIIGITNRKFEEKMVNVNKEIMDEIERSVNGSDVRKRIEELKEEAAKGSNAEKLEELRSKIKEEIFGMMNVAPLKEKVDHLVMNFGTENGRC >KVH89115 pep supercontig:CcrdV1:scaffold_678:134020:134430:-1 gene:Ccrd_008903 transcript:KVH89115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWESARMEAEARLSMESSASVSVLLSSPNKSTTSTDYFLRIWHSEVGESFQNLNKGKMGCFSPASQTSESNTTIETEPKPYREPEPRLDGVTFCQGFTRASSPCEMEDSSDTSLQLFFDFAGLNDMSFLEAQFN >KVH89113 pep supercontig:CcrdV1:scaffold_678:96099:106503:-1 gene:Ccrd_008901 transcript:KVH89113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine deaminase/editase MSSPSPEKKQWGEKVSQTVFSAYNRLPKKGKPQGREVTVLAAFLTSSPSQGQLKVVAMGTGTKCIGRSRLSVSGDVLNDAHAEIVARRALVRYFYSEIQKGSAQFPVGSATDSIFYQEAGGFGNGKYKMRPGWQLHLYISQLPCGDASLNSQLFPCLNSSLKEGCSISSTSKLNDLMEEFLESSMKNNGDCSQVIGTVQRKPGRGDATLSVSCSDKISRWNVVGVQGALLSHFLQPVYISSITVGQSRNCSDKEVEEQLRRSLSDRVLPLSKKLTSPFKVNKVVRYSISWNKSGLHEVILGTTGRKQGTSAKGAMHPSSESTLCKFRGEYTDYRILEKAGEYNSALKIFKESPQFSNWLVKPLQFEPPVLMGQ >KVH89114 pep supercontig:CcrdV1:scaffold_678:61888:72233:-1 gene:Ccrd_008900 transcript:KVH89114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MSGVILKNTGVHVSAFVSVFLVTLFITAVFFTRWVDVSALTAQFRQKDILPSADRGNISDLTPESCPEYFRWIHEDLKPWKETGVTKEMVEKAREKAHFRLIIVDGRLYMEKYDYVFQTRDVFTIWGIQQLLELYPGKVPDLDLMFMCHDWPLILKANYPDNTSVIPPLFHYCGDDLTYDIVFPDWSFWGWPEVNIMPWVKLKKELEEGNQRKKWKEREPYAYWKGNTHTGSARKDLAKCNSDGKQEWNARIHHLDWGKGFKDTNLASQCTHRYKIYVEGNAWSVSEKYILACDSMSLVITPHYYDFFTRGLIPTVHYWPINEHKKCSSIKFAVDWGNKNTKKAQKIGRTGSRFIQNELQMKFVYDYMLHLLTEYSKLFKYKPVITKNAVEVCSCFGKGLVKEFKELSKETGPSKADPCAMHPPFEDFEIQSLHEKKLNLTRQVEIWEESQRI >KVH89119 pep supercontig:CcrdV1:scaffold_678:930:6361:1 gene:Ccrd_008895 transcript:KVH89119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVHPGTSSRIVSYIIGVDSLKAFNVDNITSIPRACEDIRLRMDGLFEQEKQAIGFTKEFMALYTNGPAGGGGIRSISHIISVGREHIYWRISAKRNQPTNSKDQINVVHPETPSDNLEGFLSPEIHLSPAPSGQKVCLYNVGHSRAGDKGNDINFSIIPHFPPDIERLKIVITPDWVKRVVSPLLTQSSFPNPDDMETRNKWVEENVNVEVYEVRGIRSLNVVVRNILDGGVNCSRRIDRHGKTISDLVLCQQVVLPP >KVH89117 pep supercontig:CcrdV1:scaffold_678:25091:40507:1 gene:Ccrd_008897 transcript:KVH89117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MNFSCSFQVRGCQGTSCGTLEQLNLQSSFGCNFPGTPRFASKVGSRKKLITSSSHGYNSSKIAKGELDSCLRSSSRSRYSICILKTSRGNLKTACQGNDSVAFVNGNGRDVEFVETKNGENSKEETSYSPEKKNDSRDKGEETEAEFPTLEELKELLQKARKELEIAQLNSTMFEDKAQRISESAIALKDEALNAWDNVNLALLSIEEIVKEEDPTKEAIQRAKMALSLAEARHQVALDSLEVAKEKSAMLGSLKDYYVDEDENLNIATEEETLLAAQKDMKECRVNLENCERALIRLQNRKDELQREVVRLNELAEKAQSDALKADEDVANIMLLAEEAVAFELEATKRVNDAEIALKKAEKFLANSLVDSSESTMSQSSSSSDGETLGNEKGIERNSVEVIVDGDSDAKTEDPLSDPIQDGELDFLGEMSEESRFSDDSDQEHGQTSKDTEDDAEKIKNEVQTKKPETQKDFTKDSQPLSSPKALLNKSSRFFSASFFSFAGDGTEFTPVTVFQGLSESAKKHLPNLVVGVLLVGASIDQQTDIIATNINEVSSKVEPLVRQIKNLPDRVKKLIEMLPHQEINEEEASLFDVLWLLLGRSPVLGYLTAGILIGPYGLSIIRNVHATKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHSVCGQLGPAAIVIGVAGARREHITSWTSHIFCFTFPGIMCFLPLSPCSSLHSPLSHCRVDLAVVVLLILIPLISPNSSKGGIGFYAIAEALGLAAVKALVAISAIIAGGRLAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPIIMGSLGLLIVGKTMLVAVVGKLFGVSIISAIRLSSLLFLVVGISMALTPWLAAGGQLIASRFEQHDVRSLLPDERETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVSVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLASAVLAQLSETNGSSLGYGFQRIMSKPKSSSSSSSSSSSSSSSSSSSTASDSSDDNQISEGTLAI >KVG59348 pep supercontig:CcrdV1:scaffold_6789:3964:18756:-1 gene:Ccrd_026337 transcript:KVG59348 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2431 MEEIAGRYEHHFFMNRSIQLIQKEIWAKHYSSNHQILLVGEGDFSFALSLAVSFGSGFNIVASSFDSYARLLFKVDARKMVSHNDLYLRKFDRIVYNFPHAELASQSCLTLLECVEFKLEDYPGYNNKRGDGLRSDKPFPLGECCTFKFISSSTATISTQKEIWVKHYSSNHQILLVGEGDFSFALSLADSFRSGDFSFALSLADSFRSGFNIVASSIDSRARLLFGVDACTMEFHSYLHLRKFDRIVYNFPHAVHVSHKTTFPFDCWNIVELASQSCLKLLECVEFKREDYPGYNNKRGDGVRSDEPFPLGECRTYKFISSSTALYHGNHQELQEIRLQGANTSLFTDPARAIDSTECFRIFVEYFDHARSTCGKNDCYLSSSVRDHLRFGFQRYMAEDHRRQSIDFVKLLEELRSLSKQRIEFLQKRLWELDLRG >KVI03432 pep supercontig:CcrdV1:scaffold_679:9420:26874:-1 gene:Ccrd_018272 transcript:KVI03432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MLYLVREKLFIGNINAAAEVLLGGNEEITHILSVLSSASIGFFSEWKSSFSVPTKEIRTVYVGDSDSDENLDGDGSNKTELCSKKIHYVVENAGHDLKLVRMAVPLRDMESENLLDSLEVCLDFIDESRKKGSVLVHCFAGVSRSAAIITAYLMRTERMSLEDALESLRRSNESVCPNDGFLEQLSMFEDMGFKVDHSSTIYKRFRLKVLGDSYNRGEKIDNCKFAADPGLPKKEASIGTGAGSQKEELIPTVAFRCKKCRRVVALQENVVSHTPGEGESSFEWHRRSSRNTFNKYDEFECTSIFVEPLRWMKTVEEGGLEGKLWCVHCEGRLGYFNWSGIQCSCGSWITPAFQLHKSRVDVSTV >KVI03431 pep supercontig:CcrdV1:scaffold_679:138428:145833:1 gene:Ccrd_018266 transcript:KVI03431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSLQQCRHQPPVVGSLRPHICMSSSNYSTQCIDSITTNLQHKLLVNIPRYVKIVEVGPRDGLQNEKDIVPTAVKVELIKLLVNSGLPVVEATSFVSPKWVPQLADAKDVIEEIRSVRGARFPVLIPNLKGLEAAIAAGVKEVAVFAAASESFSRANINCTINESLARYRDVASAAKERSLPELLKMGCDEISLGDTIGVGTPGSVIPMIEAVKSVVPLEKLAVHFHDTYGQALSNILISLQMGISVVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLRKLTLAGDFIRRHLGRPSESKVATALSKTAFCASKL >KVI03427 pep supercontig:CcrdV1:scaffold_679:100574:104242:-1 gene:Ccrd_018269 transcript:KVI03427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MITGSDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNTRFIAADTLQKLMVLVVLAIWSRVSARGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIAEQFPDTAGSIISFRVDSDILSLDGKEPLQTEAEVGDDGKLHVTVRKSTSSRSDIFSRRSHGGMNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNANASSVSPRGSNFGNMGYDEETGNNPRANGQGSGVYPAPTNAGIFSPVSGPGAKKKGGGADGGGGGGKDLHMFVWSSSASPVSEGGIHVFRGGEYANELGGVPHTKDYDDFGQNEFSFGNQQGPNGVDREASVLSKLGSSSTAELHPKASPHGEIKPTAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWNVEMPAIVAKSIAILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLQGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYIVLGL >KVI03430 pep supercontig:CcrdV1:scaffold_679:127561:129784:1 gene:Ccrd_018267 transcript:KVI03430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTDHPKLMRVSTQPVASCELEPWRDLRGTIVMVTGASSGIGWEFCIDLAKAGCKIIAAARRTDRLKALCDKINNLDISDDQVRNENNDVQAVAVELDVSAHGPSIEASVLKAWKSFGRIDALINNAGIRGPVRYTLNLSEEDWERTFRTNVTGSWLVTKYVGLQMLAFNQGGSIINISSTAGLNRGHLPGALAYASSKSALNTMTKVMAMELGRHRIRVNSICPGIFKSEITVDLLQKKWLKNVVSKTMPLRELGTTDPALTSLVKYLIHGSSDYVTGNIFVVDSGYTLAGVPIYSSL >KVI03429 pep supercontig:CcrdV1:scaffold_679:156406:161089:-1 gene:Ccrd_018265 transcript:KVI03429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLLLAAAIVFIPTLYYLLQTLFQRLRFNLPQGPRPLPIVGNLYDVKPVKFRCYAEWARTYGPIFSVYLDSKLNVIVNSSELAKEVLKENDQQLADRHRNRATMSFSRGGKDLIWADYGPHYVKVRKVCNLELFSLKRLEALRPIREDEVTAMVESIFKHSSDPDNRDKSIAMRGYLGSVAFNNITRLTFGKRFVNSEGGMDEQGQEFKGIVSNGIKIGGKVFMAENLPWLRWFFASENEILAKHEQRRDRLTKAIMAEHDLARSKTGGTQEHFIDALHTLQQKYDLSDDTIIGLLWDMITAGMDTTSISVEWAMAELVKNPRVQHKAQEELDRVIGTDRIMSETDFSKLPYLQSIAKEALRLHPPTPLMLPHKANSNVKLGGYDVPKGAIVHVNVWAIARDPTIWKDPEEFRPERFFEEDVDVKGHDYRLLPFGAGRRVCPGAQLAINLVTSMLGHLLHHFEWTPPAGVKPEEVDLTENPGMVTYMRTPLQAVPTPRLPNNLYKRVPV >KVI03434 pep supercontig:CcrdV1:scaffold_679:82678:83946:1 gene:Ccrd_018270 transcript:KVI03434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHLYHRSATDTTTGNRHLPPQMLLSGCTTTSNHDSSLLLDHYPCHESVTADSSPPSRSLSSSSASINHKEAEKRRRERINFHLNRLRTLLPCNSKTDKASLLAKVIERLKELKQITSEIEQLESFPSEKDEITVISLNNDEGNGRIVIKASMCCENRSDLLTDMIQTLKSLQLRPLRMEMVAMGGRIRNIILVECDCERDDSGELVYCLKEALSCLVKSNLDSNQSSKRRRMIGCR >KVI03435 pep supercontig:CcrdV1:scaffold_679:30832:36571:-1 gene:Ccrd_018271 transcript:KVI03435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPNQTRENQHENSNFPNEVEPTLNSQSGKTSNFPYYSPSPFHNSTAFKNSPANSSVGSTPLRIFKRPFPPPSPAKHIRSLLARRHGSVKPNEASIPEGNEFEVGLDKNFGYSKQLLSHYELGEEVGRGHFGYTCTAKGKKGSMKGHDVAVKVIPKSKMTTVIAIEDARREVKILRALTGHDNLVQFYDAYEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKAVMVQILRVTAYCHLQGVVHRDLKPENFLFTSKDEHSLLKAIDFGLSDYVKPAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPNFDEAPWPSLSSDAVDFVKRSSMAGQLSRRENTFGHDNLQDRKSIYMLISSKKSCFRGCCKDFINYRARLSSRPVCGTRSKQKRIHIHAELQIGAPLLSFLCPVSSLQHKKFDFEEFGAAAISIHQLEAMENWEQLARLHVVLQDWIRHADGKLSFLGFIRLLHGASSRAFQKA >KVI03428 pep supercontig:CcrdV1:scaffold_679:123678:125279:-1 gene:Ccrd_018268 transcript:KVI03428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMTATASRSSKTESYVDNKRKDDIRMANIKSARSVADAVRTSLGPKGMDKMISTASGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDVVAGDGTTTVVVIAGALLKQCQTLLHAGIHPTVVSDSLHKASVKAIDILTAMAVPVELSDRESLVKSASTSLNSKVVSQYSTLLAPLAVDSVLSVVDPAKPDLVDLRDVKIVKKLGGTVDDTELVKGLVFDKKVSHAAGGPTRIENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERTYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDDIEFITKTLNCLPIANIEHFRAEKLGYADMVEEVSLGDGKIVRVTGIKDMGRTTTVLVRGSNLLVLDEAERSLHDALCVVRCLVNKKFLIAGGGAPEIELSRQLGAWSKVLQGMESFCVRSFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >KVI03433 pep supercontig:CcrdV1:scaffold_679:2938:7822:-1 gene:Ccrd_018273 transcript:KVI03433 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MEILASPATPKYECLLFDMDDTLYPMSLGLNSSCRKNIEEFMLTYLKIDECQVPDMCLDLYREHGTTMAGLKVYIRYSFMFKNYLVLVKRVGSGRALGYEFDNDAFHGFVHGTLPYHLLKPDLVLRNLLLSMPQRKIIFTNADQAHAAQVLRRMCLEDCFQGVICFETLNTDQQPNLVENHHARIISSPTRIVCKPALESFQTATIIANLDPKKTIFFDDSVRNIATAKAAGFHTVIVGRSSVVAGADHALNSIHNMREALPQVWEDVYEGEQLLVQSTAVETVVNA >KVG58938 pep supercontig:CcrdV1:scaffold_6792:1246:22795:-1 gene:Ccrd_026338 transcript:KVG58938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein FFPCSILHYKNHLATTRGFDVCKPLCSSSQSKSIAVTEDKSLNRRSANYAPPLWSFDHVQSLSSKYTRLGISYHFEEEINQVLELIYDDHFKTQEQWNGMDMNLRALGFRLLRQHGYHVPQEIFHDFKHKTEHVKGDIDVVEMLNLYEASYHSFEDESILDDVRDFTTEYLKQIRDTIDGSSSLWSLVSHALEFPLHWRVPRVEAKWFIEECKKTSGMIDLTLMELAILDFNMVQAIHLQDLKYSSRWDINAIEELPDYMKICFLGFYNTINEITYDNLTNTGLLILPYLKKAVINPSLLTNIIKTWKKLNKEVTGVAGSQFLQEFVECATNVARMAQFMYGEGDGEEDYEARAYSLKKEVKTMIQKVTGNPLNSLALVDNLQRLGISYHFKEEINHVLELIYDDHFKTQEQWNGMDMNLRALGFRLLRQHGYHVPQEIFHDFKHKTEHVKGDIDVVXMXNLYEASYHSFEDESILDDVRDFTTEYLKQIRDTIDGSSSLWSLVSHALEFPLHWRVPRVEAKWFIEECKKTSGMINLTLMELAILDFNMVQAIHLQDLKYSSRWWRNTCWNKKLSFCRDQLVENFLWTVGVNYLPKFRLGRKTLTKVNTMITTIDDVYDVYGTLDELQKFTDVTTRWDINAIEELPNYMKICFLGFYNTINEITYDNLTNTRLLILPYLKKAVINPILLMQVIPSRSKVDEMARGDNPKAINCYMNETGATEAEARSYMKLLISKTWKKLNKEVTGVAGSQFLQEFVDCATNLARMAQFMYGEGDGFGRPELVTKSYILSLLINPIQGLH >KVI11472 pep supercontig:CcrdV1:scaffold_6794:8214:9266:1 gene:Ccrd_010116 transcript:KVI11472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MLLVEMKEEVILLDFWASPYGMRVKIALEEKGIEYKRLGENLQDKSPLLLQLNPIHKTIPVLVHEGKPVCESLIIVSYIDEVWHDKSPLLPSDPYQKSQALFWADYIEKKVYDVGRRVLWEKGEKQEMTKKELIQGLKELEGELGTKRYFGGENIDFVDVALVPFTCWFYSIERRGNFSIETECPKLMSWVKRCISERESVAKTLQDPLKIYDYYNELDPQ >KVG58935 pep supercontig:CcrdV1:scaffold_6795:8024:15799:-1 gene:Ccrd_026339 transcript:KVG58935 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MEVDLSEEIEAKGGIWALEQKIDQPMDEEAGRLKNMYREKKFSVILLLRLAFQSLGVVYGDLGTSPLYVFYNTFPKGIDDAEDVIGALSLIIYSLTLVPLIKYVFIVCRANDNGQGGTFALYSLLCRHAKVNTIPNQHRTDEDLTTYSRSTIHKQSFAAKTKRWLEAHAFKKNALLLIVLVGTCMVIGDGILTPAISGSCLFSTSLYNVKCSIRENIHSGPIINYDILTKIFLSASGGIKIDHPRMSNDYSNFYLRFVADVVILVSVIILLGLFSLQHYGPDKVGWLFAPIELEFSTSANMIEGYCGLSRLFSDDLLKLAGTEALFADLAHFPVSAIQLAFTVIVFPCLLLAYCGQAAYLMKNKEHVYDAFYRSIPVVECTYFSAVLFKINQGGWVPLVIAGVFLLIMYIWHYGTVKRYEFEMHSKISMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHLITNLPAVHSVVVFVCVKYLPVYMVPEDERFLVKRIGPKNFHMFRIVARYGYKDLLKKDDEFEKKLFDNLFLFIRLESMMEGCSDSDEYSLYGSNQQTSRSMNDFRSLENDTFSSEIDLIVAENEGSGKGSGSTEVDEAEFLMSCRAAGVVHIMGNTVVTARRGSRFYKKISIDYIYAFMRKICREHSVIFNVPHETLLNVGQVFYV >KVG58581 pep supercontig:CcrdV1:scaffold_6799:1003:10302:1 gene:Ccrd_026340 transcript:KVG58581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type ISSLFSTRRLFVLFTRSIIILFISLISLVCQFASEMGSSSSRVESDRSSKPSRMNRTKKRLSSLLLCGLTSNSTSRSSVLELESPISSIENIPPASVESVSSAGVSSSLFGSGPVCTSSKTSEAETGESESCNNSAKNAVVKHDSVNGSEDKASTSYEGQPIHESVSRNDGLDSASAIDTYSARNEGMPMTSSDNISLSTVGETEENLDPDESYGLNRNDIPMSGDSDGRALFVLSDSFLSLRMFGSGLTTSGSGFLSSDVERDVISGRILLVDTGSVSPDVFPSGISEFNSLEARRNSGRLFGETSSRRSSRRNSDFPAVIFTAGLVDDLGSHDRWLLDISGDLRHDGRGHGYSRSHGRNEERRHFRSQMSERGLAGLDEREHRTRFCASGLHRNGTCSCGSSFLGEEVGSLGSISRVVLLAQALSEVLDEIHRQPLSLSMPMLSLPAPESVVDSFLLKSHKKMDASESGPNYVQQCYICLIDYEEGDKIRVLPCQHEYHAPCVDKWLKEVNGVCPVCRCNVCDTPAATQASVSSSELPA >KVH92674 pep supercontig:CcrdV1:scaffold_68:49944:57476:-1 gene:Ccrd_005281 transcript:KVH92674 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MKKKNNDVGDRVAASDVQTTTNCMESVSSNSDCKPAQMEAPRSQADDPWFDSVSNVGDSNSDDDFISVHGDDDLQLEPNEEAVQDENMSSHFLENKFWDQESHESNAKIDNISAENTPIKGRVKQENSLFFLSSNGHELPYSGDDDDSTSYKLGRSYSSFNGDKDDKNEALILKPGVPQLFPSASFNDKITNTSNSGSLNQTNKLTVIRLVKRSPVDGEDPSGTCATEKFFYRPRAGLLIPCCTDEKPTPGCWSAIDPSNKSKRPAPSYCPYTAFGVDLFVCPKKINHIAKHIELPTLKGEGDLPPLLIVNIQLPSYSAQMFLGDSDGEGVSLSLIEDEMEMVKGFRKETIVPFRERLKIMVGVVNPDDLLSSSTERKLLHAYNEKPVLSRPQHSFYRAQKPEELPEKVLCCLRLNKIDFVNHGQIPTLVAADESC >KVH92727 pep supercontig:CcrdV1:scaffold_68:104686:105309:-1 gene:Ccrd_005275 transcript:KVH92727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRCAVCKYFRRRCPSDCVFAPCFPPDNPQRFTCVHRIYGASNIGKMLEVINLECNLVPLSILTELPMHLRVDAVDSLYYEAKWRIQEPVYGCVGIISSLHRQIHIAQTQLAKARAETAFLRANVVAAKTMPSSGEFMAQQNSIDNGLDDQSNP >KVH92713 pep supercontig:CcrdV1:scaffold_68:417025:419024:-1 gene:Ccrd_005247 transcript:KVH92713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin MRECISIHIGQAGIQVGNSCWELYCLEHGLQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRKSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGIIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESADGEDDDGDEY >KVH92726 pep supercontig:CcrdV1:scaffold_68:106067:108848:-1 gene:Ccrd_005274 transcript:KVH92726 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MQRAPDQSHSIIFPISRVLLSPNSKMWRSISVLAIVVVILGFVPTPMVKGMRFDIKTGATKCITEDIQSNSLSVGKYSIINPNEDYPLPDSHRITVRITSPLGHNCHYADQKDVGNFAFTANEDGDYMACFWVAKQNPPTTMTVEFEWRSGLAAKDWSKVAKRGQLEKLFDTIASIHDEMYYLREREESMQVLIRSTNSKMATFSFFSLIVCLAVAAMQIWHLKTFFERKKLI >KVH92702 pep supercontig:CcrdV1:scaffold_68:549289:558215:1 gene:Ccrd_005236 transcript:KVH92702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 4 MSYFNRASKAIQVANRIYRFSLNHHYNLGLPYFASNEHGFCSNSRNSNRRDDNNVIDLSQYPTDKIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQAQTATMFYNYELEDSNTSYLLNLVDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPDRVKAQLKSMFDLDTSDVLLTSAKTGQGLEHVLPAVIERIPPPPGKSDSNLRMFLLDSYYDEYKGVICHVAVVDGCLRKGDKITSAATSQSYEVLDVGIMHPELRPTGFLLTGQVGYMVSGFKPAKHMVFSGLYPADGSDFEGLNHAIERLTCNDASVSVARESSTALGLGFRCGFLGLLHMDVFHQRLEQEYGTHIISTVPTVPYIFEYSDGSKVEVQNPAAFTANPKIRVVASWEPTVLATIIIPSDQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSEYVASDVVKLDILLNGQPVDAMATIVHKISAMRKNVLAKCYGGDVTRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHAILKVS >KVH92705 pep supercontig:CcrdV1:scaffold_68:581297:588140:1 gene:Ccrd_005231 transcript:KVH92705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDQFFNNISLLDRSGTNSLGQYFRFDDGTGIDVMASINTGLFEVHSGCLLWKKQGGSKSVEVDIADIVRITWMKVPRSNQLGVQVNNGVKYKFDGFRDQDVSSLINFFQNSCSITAEEKQLSVSGKNWGEFDINGNMLSFLVGSKQAFEVSLADVSQTQIQGKDDVVLEFRKDDITGANETDSLVEMSFHIPSSNTQFVGDKNNSPAQVFLKNIQSMAVVGVGGEEAFVTFDTVTILTPRGRYDVELHLSFLRLQGQANDFKIHYSSICNTPHTFVVITLDPPIRKGQTLYPHIVIQFETDYVVESKLALNEDLYSSKYKDKLGPYYKGLIPEVFTVILHGLSSRKLTRPGKFRSCQDGFAVKSSLKAEYGLLYPLEKLKMKQEHLFRSIQSNEYHNLFDFISSKGLKIMNLGGGQATGGVVAAQQDEVNEADGEESDEENVKKHSPGITIKNLARILGDRWDKLS >KVH92703 pep supercontig:CcrdV1:scaffold_68:538011:546415:-1 gene:Ccrd_005237 transcript:KVH92703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MSTPARKRLMRDFKRLQQDPPAGISGAPIDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >KVH92683 pep supercontig:CcrdV1:scaffold_68:400902:401240:1 gene:Ccrd_005251 transcript:KVH92683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MNGVAIAALVVLTMTQFMVQPSAAITCVDVNVMLEPCLVYLQTGGDPPINCCNGLRRLQVETPTRLDRQTACSCAKTAAIRLQIKQDFASDLPRRCGVVINVPINPNVDCST >KVH92678 pep supercontig:CcrdV1:scaffold_68:6126:17694:1 gene:Ccrd_005285 transcript:KVH92678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGRSNGSSKWSTAVVVAFCATVMVMLPEVTAKRYIVGGNMGWTSNVNYTLWAGNQTFYFGDWLFFVYDRNQNDILEVNKTNYETCNAEHPVHNYTTGAGRDVVELNVTHDRYFISSKGSCYGGMKLHVHLTKLPPPPRAAPVKSHASRFGGLRRQLVIPTVFAVAAIWDSLLLQRERVRDRCIVVVIEDDMSRSGGAVWRKTVLLVAAVAAAIVTCVMCRQPVRHTVGGSRELWKPNFNYTDWSLQETFYTGDWLYFRYDKHMFNVLEVNETSYNNCNDQGFIFNITRGAGRDVFELTEPKRYYFLSSGGYCYNGMKLAVNVVDFLPAPQPSPHPAKSGCNTITAINPLISSIMILVAWAVFIKNQ >KVH92677 pep supercontig:CcrdV1:scaffold_68:42715:46466:1 gene:Ccrd_005282 transcript:KVH92677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGCISSKHEVRSSSYHGRPPQGPSLKNHGHQIDPSTKIKDEKKNHVGIDGESSNLKFGRLSEAELVAAGWPSWLSEVAGEAIHGTYSSVYRARDTKTGRTVALKKVRFDNLQPESVKFMAREITILRRLDHPNVMKLEGIITSRLSRNIYFVFEYMEHDLSGLLSSPDIKFSDSQIADFGLANFHAPRSKRSLTSHVVTLWYRPPELLLGCTRYGMYVDLWSIGCSKLPLAAMFKPHHAYESTLRERCKDLPETAVDLLDTFLSVEPCKRGTARSALRSENPVGSIVRASGASRNPTRARKTCREQAAFGKAVPPTEVKAGGSSGGGGAYSCTSKGSTKASCDTGSGFSRTTEKGSQLDGIRALTIQAAASDGGFVWETRRRKHGGGPTPVSKNEAAVAVFGRPMVA >KVH92694 pep supercontig:CcrdV1:scaffold_68:275109:281741:-1 gene:Ccrd_005261 transcript:KVH92694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch synthase, ADP-glucose type MASMGSIPFVIDHNAIRQYWIPSSLNFRPRKSLTDLIFVCDGGNAAAGGRVRRIKATGERKSGTDGGRDSNDALQATINGNFKLIIESTTINSEEEGILSEESEETLFWSKFQSATSNGTYEKSKNTSESIPDEETDDPASDDVESPPLAGPNVMNVILVAAECAPWSKTGGLGDVAGALPKALAQRGHRVMVVVPLYGDYVEPQDTGIRRRYNVPWYVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMRYTRSVLVIHNIAHQGRGPVADFGHVDLPTHYLDLFRLYDPVGGEHFNIFAAGLKTADRVVTVSHGYAWELKTIEGGWGLHGIISENDWKLRGIVNGIDMKHWNPELDVHLTSDGYTNYSLETLDIGKPQCKAALQKELGLPVRDDVPLIGFIGRLDVQKGVDLIAECVPWMVDQDVQLVMLGTGRPDLEQMLRQMESQHNDKIRGWVGFSVKTAHRITAGVDILLMPSRFEPCGLNQLYAMNYGTIPVVHAVGGLRDTVQPFDPYNESGLGWTFHKAEASELIHALGNCLLTYREYKQSWAGIQRRGMTQDLGWDNAAHRYEEVFVAAKYQ >KVH92689 pep supercontig:CcrdV1:scaffold_68:558883:562514:-1 gene:Ccrd_005235 transcript:KVH92689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MSASDNLVFLIKWLEEFPMYKDSQFFLIGESYAGHYIPQLAELILDVLAGEYLWSHGAISDKTLMLEKTVCNDSKYLREYVHSGWSQGCNDVFNRVANEVGVDVPYDDLLLPKCLSTPSSQQFKPRGITGDPCLKSRIFTYLNRHDVQKALYANTTDLPGHWDFCLGPLVYQEENLEINIIPLVSNLLKAGLPIWLYSGDQDSKIPLTQTRIIVNNIAKEINLTTLTKYRTWYSHNQVGGWSQAFGGLKDGKNVMYLTYATVRGAAHEVPFTSPSQALTLFRSFINGSALPRPKS >KVH92704 pep supercontig:CcrdV1:scaffold_68:594877:596944:1 gene:Ccrd_005230 transcript:KVH92704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MISLYDAYHVVAAIVPLYVAMILAYISVKWLKLFTPEQCSGINKFVAKFSIPLLSFQVISKSNPYSMNLKLICADFLQKVIALVGLTIIVYVRSNGHLNWVITGLSLSTFPNTLILGIPLLKAMYGDEAGALLGQIVVLQSLI >KVH92711 pep supercontig:CcrdV1:scaffold_68:471969:473364:1 gene:Ccrd_005245 transcript:KVH92711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSSRRSREAPRITDDQIIQLLSKLQQLLPEIRNRRSNKASASKVLQETCNYVRSLHQEVDDLSDRLSQLLSTVDANSPEASIIQSLIM >KVH92719 pep supercontig:CcrdV1:scaffold_68:370251:377075:1 gene:Ccrd_005254 transcript:KVH92719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1666 MGSVHEFLFENLCLLASAYLIPLFLYLIRYRRNLGFKENKFGDSRVVLAETYEQIELNRRLGEGEDQEFVEFLFKFPNFEEFNGGKRDVESSSVVEMCFDEFEAGDYGFSSRADENLDEFEDDFEEKEALHEDLSEKVDQKADEQKFHQESVYSYVNSHTDSITSKNIHLSTSNPVDFEAGDYGFSSRADENLDEFEDDFEEKEALHEDLSEKVDQKADEQKFHQESVYSYVNSHTDSITSKNIHLSXSNPVDAYGGDNDDSKDDFKEKEAPHEEKLQNSNKKVGQKVDEQKFHQGTVYSSMNKDSDSITSNKFHPSTSDAYGDGDDFGTKSVDIQESEEKTADSNTKNLSNDSRNKLESLMEHQELIKQLKMEIKKVKVTGLPTIFEESESPPKIVEFQPWKKIQESYQDVGHKWNEVHKFYKIYKERMQKFDILSYQKMYATGFLQLNDPFQSVSSSRSLVPEITTHLCVRFSSTKVKKRDRNPKRKFIKELQSDLEVVYIGQMCLSWEILRWQYEKVLEIWESDPHGVHQFHEVFEDGSKNRRKTRIQADGNGMCCDMLVEILEESIRLFWRFIPSRIQTGLDWIGLNWMNLIRLDQTNYLSDV >KVH92708 pep supercontig:CcrdV1:scaffold_68:526287:528958:-1 gene:Ccrd_005239 transcript:KVH92708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYCLFQLVASSNSTMPLSIQYDPVESNSVRSWLERWSTSHFWEPLPRPKKTLDTKPKRKQTKLQSEETETGRPKRSARRVPAANLDNNPLNSSENEKPKRIARKVSSIQAEAVQEQSHSELEKVKRNLRKISVAAAGVSEKPEVPTEKLPAEKLSVPELCPDEPLEKVNETDIIVAKQPEPEPIPATPMEDQPLDVNPPLVETNGKVENESHLNAESNGKENQKTRRRKSFPAKQEYPESVSQNSPTLPSYMAATESAKAKLRAQAAAKAAEDGGENGSARRHSLPSTTSKLSLQSPRVQKPLQANSKGGSKTNKPQISPRDGAHIRVEEVSAAIGKSPRPSTTFP >KVH92699 pep supercontig:CcrdV1:scaffold_68:251875:254782:-1 gene:Ccrd_005264 transcript:KVH92699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSLLCVNFSSHTHTHKLSPIKNHEPSFLHLDLLSSRFPSNDRFIESLKRKKKQLLKMDYCSSFSFSRKVFAIIAVLTLFLSTGFSGELMRSTETTGDVFATPPALFQENEGIRREMIDLDYEDAGPNTNKRSGLNLPTPDPEAPAPQP >KVH92691 pep supercontig:CcrdV1:scaffold_68:199335:200990:1 gene:Ccrd_005268 transcript:KVH92691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIRRQTNDKNPNFHQRSNLWRKCTTFRKLRQIHASMIINGFNTNRFNLRELIYVSALVIPSGISYAHQVFDKITQPDLFMWNTMLRGSAQSPNPAKAVFLYTQMEKRGIQPDRYTFPFVLKACTKLSWANVGFSVHGKIMKNGFEENTFAKNTLIYFHANAGDIEIASSLFDSSVTTQVVAWSALTAGYARRGNLVMARKVFDEMPEKDLISWNVMITGYAKRGEMESARLLFGQVPKRDIVTWNAMIAGYVNCGLHKQALEMFEEMKPLGEKPDEVTMLSLVSACTDSGDLDVGEKIHQSVLDLGGGELSILLGNALIDMYAKCGNIDKSLKIFHSMKEKVVTTWNSIIGGLAFHGHSEDAIRIFKEMRRMKIKPNDISFVGVLVACSHAGRVEEGREYFNLMKHQYNIEPNIRHYGCMVDLFGRAGLLNEAFEFVDKMEIEPNSIIWRTLLGSCRVHNNVELGRRANEELLKLRRGESGDYVLLSNIYASQGEWDGAERLRKVMDDQGVRKEAASSLVDADDDDQETLMRFLFDSKPQTTINKKCDSIH >KVH92717 pep supercontig:CcrdV1:scaffold_68:496633:504197:1 gene:Ccrd_005243 transcript:KVH92717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEAMRFKKVSKKKEDDVQETGCWIKFRFFGRCISSRSKVESSISGSSTQYDCKSSAICGYGSVCCYFDVENPLFMIESADSVILFYEPAESKSTNDSSTDQPVAPVASSSTTSNGESITSTPNITEELKIASQLRKFNFNELKSATRNFRPESLLGEGGFGCVFKGWINENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLLHPNLVKLIGFCIEDDQRLLVYEFMPRGSLENHLFRSKLTMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLIDPRLEGRFSVRGAQKAAQLATLCLSRDPKARPLMSEVYETLKPLPNLKDMACSSPYFQSMQLERTGSNPSSRNGSKGQSMRSLSISNSPYNQNQPYRSPKPVQ >KVH92715 pep supercontig:CcrdV1:scaffold_68:508758:514917:-1 gene:Ccrd_005241 transcript:KVH92715 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, prokaryotic-type MATLSLSNPPFSSEFTIAGKSNTRRLINCAFRSNRGKRISRFRGGLVVLSTEKKKKEVWIWTENKQVMTAAVERGWNTFVFSSLHRQLATDWSSIALINPLFIEDKRVLDNEAKLVATFSEISSPQQLEQLQPAYEHADNVIVDLLDWQVIPAENIVAAFHGTRKTVFAISNSPSEAQVFLEALEQGLGGVVLKVEDVEAVLELKDYIDKRYEEGSLLGLTKATITGVQMTGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGKTCYLSELKAGKEILVVDQSGIQRTAVVGRAEKEATAIPVTSLKVGDQVLVRLQGGARHTGIEIQEFIVEK >KVH92706 pep supercontig:CcrdV1:scaffold_68:574514:579171:-1 gene:Ccrd_005232 transcript:KVH92706 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAMMAVKLEENSRRRSITMVNHEAPEERCVKRRRRDVGALALDCKNEPILETGQGSPTTVKRSSKYRGVSRHRWTGRFEAHLWDKLSWNVSNYEKEIEIMEDLTKEEYLASLRRGVARHHHNGRWEARIGRVFGNKYLYLGTYSNTYITWLKPIAKTPLVSHVSQTYIKSNMMSSNTFKEPQTPFLESHDFVAEGQRSYGKQKSPETKLPFSPSQKSSQTALGLLLRSSMFRELVEKNVNSSNEDEREDIKTQMAISCGGTDDSIEFLDSLNAINARFEGDFDFSYEF >KVH92728 pep supercontig:CcrdV1:scaffold_68:95192:99654:-1 gene:Ccrd_005276 transcript:KVH92728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDLSSTHIQHQNPHHKFHPTVLLLEIIFQSFCRRYNSQEVRRRSMARVILVAHDGTGDYRTLQEAIDTVPFSNTRRTVIRLSPGIYKQPVYVPKTKNLITLAGLSPELTVITWNNTANKIDHHQPSRLIGTGTFGCGSTIVEGEDFIAENITFENSAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKSSQEATGYVFLRCVITGNGGTAYSYLGRPWGPFGRVVFAHTYMDACIKHHGWNNWGKTENERSACFYEYRCFGPGSGSDKRVTWARELVKEEAEQFLMHSFIDPNPERPWLAQRMALRVPFSA >KVH92695 pep supercontig:CcrdV1:scaffold_68:291158:303828:1 gene:Ccrd_005260 transcript:KVH92695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MTRFHVNGKVVDTVDLLRKRHWPWRLDSWPFAILYATWMIVIIPSLDFLDAFIVLGGLLAVHILVFLFTVWSVDFKCFVQFSKVKDIHHANACKITPARFCGSKEVVPLHFRKLAGSSTEEIYFDFRKQCFIYSNEKKTFCKLPYPSKETFGYYLKSTGHGTEAKVQVAAEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVRCDIFCCLCPCNVFCVGLWCLDAYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQMIMAYRCGKWTKISGTDLLPGDVVSVGRAAGHDGEEKSVPADMLILAGTAIVNEAILTGESTPQWKVSIMGRGPEEQLSSKRDKTHVLFGGTKILQHTPDKFTINMFVLSMLQTFHMKTPDGGCLAIVLRTGFETTQGKLMRTILFSTERVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPNRSRYKLLLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVGGLSDNVDLETETKKVPTRTLEILASCHALVFVDNKLVGDPLEKAAIKGIEWTYRSDEKAMPKKGGGSTVQIVQRHHFASHLKRMAVVVRTEEQFFAFVKGAPETIQERLNDVPAFYISTYKRYTRQGSRVLALAFKPLPDMTVSEARNLDREVVESGLTFAGFAKLFCDQVMITGDQALTACHVARQVHIISKPSLILAPMKNKERYEWVSPDETETVFARVAPEQKELIMTTFKSSGRITLMCGDGTNDVGALKQAHVGVALLNAIPPPSTSDKPSSETKPAKPKKPKSVAESSSASNPPATSNRHLTPAEIQRQKLKKLMDELNEGDDGRSAPIVKLGDASMASPFTAKHASVSPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGIFTAAFFLFISHARPMPTLSAERPHPNVFCFYVFLSLLGQFALHILFLISSVKAAEKHMPDECIEPDSEFESNLVNTVSYMVSMMIQVATFAVNYMGHPFNQSISENKPFKIALFGAVVFFTVITSDLFRDLNDWLKLVPLPRELRDKLLIWAALMFVGCYTWERFLRWAFPGKMPTIKKSKSIDGKKKKSQ >KVH92697 pep supercontig:CcrdV1:scaffold_68:221374:229870:1 gene:Ccrd_005266 transcript:KVH92697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSTSGQPQFRYTQTPSKVLHLRNLPWECIDEELVELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAINMVTYYASSSEPAQVRGKSVYIQYSNRHEIVNNKGPGDVPGNVLLVTIEGVEAGEVSIDVIHLSGYVVMCVILLSKVYLLAYVFSAFGFVHKIATFEKAAGFQALIQFTDAETASYLLPEHVGSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAIEGFAQPIVGPDGKKKEPESNVLLASIENMIYAVTVDVIHTVFSAFGPIQKIAIFEKNGGTQALVQYHDVTTAAVAKDALEGHCIYDGGYCKLHLSYSRHTDLNVKAFSDKSRDYTVPESALLAMQHASGQPAGAPVWPTSQASQMYSGGEYAAGGSGQAQPPNPPGQPPSWDPNTQGARTGFVSAAATFYGGSPPGPSPYSTTGGGPPLAGGGQPTNMRPGDASSPVQPPYYS >KVH92707 pep supercontig:CcrdV1:scaffold_68:568236:572136:-1 gene:Ccrd_005233 transcript:KVH92707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein, C-terminal barrel MLMMMIKNGLLTNDVRLFGEPVADEKASLVTPNVLALSARSLYAAMLTPQGRFLYDMFLYEPPRTNEKPDPSGSRRRSDQDEVVLLADVDCSVDIENVGEELCCWQRYGVDLHKRAPSDPEAASVGNTGGWQWHKDPRLNCLGFRGIFPSSVTRESIPLEYNFAGLNAISFDKGCYVGQELIARSHHRGVIRKRLLPLKFRNESGKEVAEPKVAPSSEVIASKSGKKVGIVTTVLGSRGLGLLRLNEAFKGPGNLVIKGQEDVKVEPIRPEWWPTEWFLEHEPHQAAG >KVH92685 pep supercontig:CcrdV1:scaffold_68:306894:321152:-1 gene:Ccrd_005257 transcript:KVH92685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane VGILTVPYALASGGWLSLILLFAIATSTFYTGLLIQRCMDADPTIRSYPDIGDRAFGNIGRILVSISTNLELYLVATGFLILGSDNLSNLFPEMEFDIYGTRIGARTGFLVLIAILILPTSWLNNMSLLSYISASGVLASLIILGSILWAGAFDGIGFKETGKLVNWKGIPSAISLYAFCYCAHPDFPTLYTSMKNQLTYSSMAVIGYLMFGSKVESQITLSLPTNKISSRVAISTTLVTPIAKYALMLTPLVNAVESWLQSYYKKRKCGFLIRTILMISTVAVALFLPFFGDLMSLVGALLSATVSITIPCLCYLKISGAYRRIGLEMVIIGLIVLVGLMIALVGTYISFIGITESQITLSLPTNKISSGGVAIWTTLLAPISKYTLMLTPIVEAIEARFQSCYNTRKLALLVGNGDLGRKEEEDEYTSPTASFFSTCFNCLNALSGVGILSVPYALASGGWLSLILLFAIASSTFYTGLLIQRCMDADPTIRSYPDIGDRAFGNVGRILVSISMNVELYLVATGFLILGGDNLSNLFPEMEFDIYGTRIGAQTGFLVLIAIVILPTSWLNNMSLLSYISASGVLASLIILGSILWAGSFDGIGFQERGKLVNWKGIPSAISLYAFCYGAHPVFPTLYTSMKNQLTYSSMAVIGYLMFGSEVESQITLSLPTDKISSRVAISTTLVTPIAKYALMLTPTVNAIESWLQSYYKKRKCGFLIRTILMISTVAVALFLPFFGDLMSLVGALLSATVSITIPCLCYLKISGAYRRIGLEMVIIGLIVLVGLMIALVGTYISFIGIVRRL >KVH92725 pep supercontig:CcrdV1:scaffold_68:117648:118265:-1 gene:Ccrd_005273 transcript:KVH92725 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MNSTRCAVCKYFRRRCPSDCVFAPYFPPDNPQRFTCLHRIYGASNIGIADAPTSGRSGFVVLRGQMENTRAGLRVCRNNIVTASTDTYSSKPTSKSSSGNCFSSCVVVAKTMRSSGEFMARQNSIDNGLDDQSNPWFY >KVH92709 pep supercontig:CcrdV1:scaffold_68:408615:411541:1 gene:Ccrd_005249 transcript:KVH92709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4/S9 GIRVSRFRAFYLPYIVEELNQAYFLLLRLHFAFLSKAAMVHVNFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLDEKDPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKVGRQVVNVASFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKASGGDGDEEDED >KVH92675 pep supercontig:CcrdV1:scaffold_68:64892:65989:-1 gene:Ccrd_005280 transcript:KVH92675 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MARKRKVATDQNSDHLEGNICWDGSASETVVTAVHGGPRKARKKFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPSSQPFSTAPALPSKITNLLIRRLEARNNSLAATTSSQNPSLLTANMKQPEEFQDGTAEFSDTHFMDDFLNDLDEYLPANDSMIFTDSGTSSSEADMAVHVDRCDYHPTDSSSTGDTIGGEVEDEEGMDFKFVDELGSACSFSPFEIAQEIALESGEEEEPLTISEAMKRMKYERKFSASLYAFHGIPECLKLKLGPRGGLKARELSKTQGTDRPVKQGKKDTEECVVVEYVATEMATISSSNDVIYGELSLWSSLDLPTICYV >KVH92729 pep supercontig:CcrdV1:scaffold_68:89743:93459:-1 gene:Ccrd_005277 transcript:KVH92729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1997 MIVLSSMAVLPVLRSCNHHHHHHSIPKITHAATNITIARDLKRKVLKHNSLKSNEESSPKSRLRLRFKCRLSNASTYSSRIATDIYLYESPDASFDQYLEDKPRVFKAIVPDKRRSQQLDEVLKRLVENMKEKVNGSLLADYTKFKNEQTRKLV >KVH92698 pep supercontig:CcrdV1:scaffold_68:245159:247806:1 gene:Ccrd_005265 transcript:KVH92698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MGAILIPMTSFLLLIVSSVNGYYITPWSNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTPLFNNGLSCGACFELRCVNDPQWCLPGTIVVTATNFCPPGGWCDNIHFDLSQPIFLHIAQYRAGVVPVAYRRVPCRRRGGIRFTINGHSYFNLVLITNVGDAGDVRTVFIKGSRTSWQPMSRNWGQNWQSNSYLNGQSLSFKVTTGDGRSLVSYNVAPPSWSFGQTYTGGQFR >KVH92718 pep supercontig:CcrdV1:scaffold_68:504760:506704:1 gene:Ccrd_005242 transcript:KVH92718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphodiesterase MVLVMAIGDLHIPHRAMELPAKFKSMLVPGKIQHIICTGNLCIKVVPWGDLDSLAMVQRQLDVDILVSGHTHRFMAYKHETGMVINPGSATGSYCGMTYDVNPSIVLMDIDGLRVVVYVYELIDGEVKVEKIDFKKTSATT >KVH92680 pep supercontig:CcrdV1:scaffold_68:383587:399109:-1 gene:Ccrd_005252 transcript:KVH92680 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MVVVMAWNGYMLPPQTEGIVTAPTRRFVGRRKLCFDGISSVCSSSDTHHDDGSISPRPRLFTKTVGEKAFKELGEVTRGFPTTRRMLLTSLLMPLYFPPSRYSSAYALGDPSVTIEQVTPVVIPSGALFPPEVCIKCLCFIASFLPLLEERIVKLFENNTYSVVNIFDVTLRPQLNITGAEMVLELFGMKKGTLSQITMLSAILYQGIQNSEKLLHVVQKNFEGKLIGADRSKDLAVLKVEAPTELLRPMNVGQSSILRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNRDISGCRICHPFLNGGQDSTSVVRAGLNIEIAPDLVANQLNVRNGALVLQVPANSQAARVGLIPTTRGFAGNIVLGDTIVAVNNKPVRNKAEFLKTLDDYSVGDKVQLKLQRGNETLEMLIALEETTS >KVH92712 pep supercontig:CcrdV1:scaffold_68:485559:486282:1 gene:Ccrd_005244 transcript:KVH92712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein TSEDEGCQLGEKKRRLNLEQVKALEKSFELGNKLEPERKRQLARVLGLQPRQVAIWFQNRRARWKTKQLEKDYNVLKRHFDSIKADNDALKNQNNKLHAQLVALKSGEPNGVRAMVNLNKETEGSWSNGSDNSCEDNNTIFYTQISNNSSMIGGGLTPPYLLGQPLNQEAVAGDHEGFCTNMLNVMEDQQPAAFWPWPESEQQQQPEL >KVH92684 pep supercontig:CcrdV1:scaffold_68:336035:337093:1 gene:Ccrd_005256 transcript:KVH92684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEIPPSSPPPTTTTTTTNTTTAIKTLDPDTRIQPNTIPKHLPPPFSNGVLKRHKPRHLHHINPMPVVITYRECLKNHAAAMGGHAVDGCGEFMPSSTSTPTDPTSLKCAACGCHRNFHRRDPDETFPNNPPTQHVIEYQPHHRHHPPPPPPQPISLAGARGNSSSPPDSPSPPPISSSYYPSAPHMLLALSAGLSAPPPENMNNPSIPITPGSAPGIGSHPNGKKRFRTKFTQDQKDKMQELAERVGWKMQKRDEDLIIGFCNEIGVEKGVFKVWMHNNKMNLGSKKDSGNQNDNGSYGGAGAGAGGIDFLSNRDNNDNHHHHHHHQQQPHNDSISSGGGNVIGTNGSSSSS >KVH92722 pep supercontig:CcrdV1:scaffold_68:143267:148884:-1 gene:Ccrd_005270 transcript:KVH92722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys/Met metabolism, pyridoxal phosphate-dependent enzyme MALSSCASRVSLPFECRSDPDFSGGIPRRDGGRFFCKLGGVSGNGTIGMSLILRFPPNFVRQLSNKARRNCSNIGVAQIVAASWSNNQPISSAAAGGKAVDAAASSSAVSAPIVAGEDVVNNNDKDLGSSNGSPLVQFEALENVHKPSFLKSDGSVAIHAGERLGRGIVTDAITTPVVNTSAYFFKKTGELIDFKEKRHTSFEYGRYGNPTTVVAEEKISALEGAESTLLLASGMCASTVMMLALVPAGGHIITTTDCYRKTRIFIETVLPKMGITATVIDPADIAGLEAALDNHKVSLFFTESPTNPFLRCVDIELVSKLCRAKGAIVCIDGTFATPLNQKALALGADIVLHSATKYIGGHNDNAAYLIIRGMKTLHLRIQQQNSTAQRMAEVLEAHPKVKHVYYPGLQSHPEHHIAKKQMLGFGGVVIERSFECLLLPTSLR >KVH92721 pep supercontig:CcrdV1:scaffold_68:69537:84882:-1 gene:Ccrd_005279 transcript:KVH92721 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MILSALLTSVGINLGLCFLFFTLYSILRKQPGNADVYAPRLVAEGKSDQRNDFNLERLLPSTSWVKRSWNPSEQELLVRAGLDGVVFMRIIIFSLKVFAFAGVLGLCVLLPINYMGSQIVIDFSDITNMSLESFMISNVNDGSKRLWVHFSAVYVFTAFVCYLLYVEYSYISAKRLAFFYSSKPKPDQFTVLVRGTPKSSTDSFSGSVEKFFTEYYPTLYLSHYMVYHTTKIQKLISDAEKVHRKLALLKSTQPTSKRFGRVGFLGIFGPKVDLLEYYEKKLEELEDNVRMQQSLLSGKETSAAFVSFKSRLGAAIALHIQQGENPTEWLTEQAPEPQDIYWPFFSASFISIWIGNVVVVVACIALTLLFFVPVILVQSLTHLDQLETWFPFLKGVLQITFVSQVITGYLPSLILKLFLYFVPPVMTMLSCLQGYVAHSQIEKSASNKMLWFTIWNVFFVTSLSGSVLYQVHMFWEPKEIPNILAVAVPAQATFFITYVVTSGWTSTASELFRLMPLISSFTRRNILTKTGEQFEVPSVPYSSELPNILLFGLLGITYFFLSPLILPFLLVYYCLAYIIYRHQLLDVYSPKFETAGMFWPIVHNSTVFSLVLMHLIAVGIFGLKKIPLAASLTIPLPIITLLFNGYCRKRFLPVFKGYPAESIIKKDRSDQNDPAMSDFLDKLVTAYQDPALKLIQFSGRTDGRNAPLLASET >KVH92710 pep supercontig:CcrdV1:scaffold_68:413498:414611:1 gene:Ccrd_005248 transcript:KVH92710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVKSSANDAVSVNAAVAGDLRDRKTMTSWKVLKEIETDLESNASSSEDMEYYSPKSVVAKKWPVTVKEVNLSGVRFCDQVTRIRAEDSQIGEDIGEFCIGKFGGAGNGRVDVMIFSRRPSPLSGKEVKRCRAGRVTLK >KVH92692 pep supercontig:CcrdV1:scaffold_68:264522:265121:1 gene:Ccrd_005263 transcript:KVH92692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MNMANQSQKSSKRTPISTCEQSPSALIDLIILIAVIGAIGFLIYPYAKFLFSGTLEILESIIFVVKDEVLDAPMIYGCLALSIFSASMAVLAITLCTSQKCGKPGCRGLQKAAEFDIQLETDNNLTNSSSSNGGNLGKIGLKKGLFELPRDYHKELEAELKKMAPINGRAVLIFRARCGCSVGRMEVLGPKKNNRKIKK >KVH92701 pep supercontig:CcrdV1:scaffold_68:529783:533508:-1 gene:Ccrd_005238 transcript:KVH92701 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MSLDFNFQLLSKLQSRCFPVPPVIDASGEHTQLEKSSSANLTDDTAENARRAFWALKGIIRLQALVRGHLVRRQAVATLSCMRAIVEFQALVRGQKARLSENGQMLQKKTPRELVN >KVH92696 pep supercontig:CcrdV1:scaffold_68:210444:216345:-1 gene:Ccrd_005267 transcript:KVH92696 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MAGQRNSHGKRSHSQSDYNDHGRSKRRTNSDDRGQNSIGSDDTVYRYLCPGRKIGSIMGRGGDIVKQLRSESKAKIRIGETVSGCDERVVTIHSTSEETNDFDGPDDRVCPAMDALFKVHDRVVADDLTAEEDSEETPQVTVRLLVPSDQIGCIIGKGGQIVQTIRTDTGAQIRIMKDNHLPACALSSDELVQISGEASIVRKALFQIATRLHDNPSRSQHLLASSTPNAYPSGGSIMGAAAGAPIMGLTPLVGAYGGYKGESGEWPRGFYPGPRDDASPKEFSLRLICPTANIGGVIGKGGAIINQIRQETGAAIKVDSSATEADDCIISISAKEVFEDTFSPAIEAALRLQPRCSERVERDSGLISFTTRLLVPTSRIGCLIGKGGAIITEIRRATKANIRILSKENLPKVAEDDDEMVQISAELDLAKDALLQVTSRLRANLFEREGAMSTFVPVLPYLPVAPDVPDVPKYENRDSKGHGRVHSYSGCRGITLFLGGGIMGIEVLICVLAQLRHLKPEAKPSLPPTYIGEASA >KVH92688 pep supercontig:CcrdV1:scaffold_68:304722:305999:1 gene:Ccrd_005259 transcript:KVH92688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSWNKVTRACAIRNGNNGDLERESILIFKRMRCSSIPPFELXYASLFKACASLLALEEGRQIQVDCLKRGLDSDVYVRNTMINFYGCCXKIIDARKXFDEMSYRSVVSWNTIISSFFXVSWLNESVQHFREMREVGIEPNGTTMVVMLSVCAELGNLTMGKCIHAQIIENGFELNCQLGTAIVNMYAKCGALNSASLVFNEMPLYNVWTCSAMILGLAQHGFARNALSLFKKMKATSIQPNYVTFLGVICACSHGGFVEDGYRLFEEMKHVYGIKPQLIHYGAMVDVLGRASRLKEAYBFILNMPIKPNATVWRTLLSACNVNGGSDFDGVGEKVRERLLELEPRWSGNLVMLANRYADVNKWEDAAELRKSMRVGGLKKMAGESCIEVKGSTVRFLSGYDSKASCVNIYMLLDGLSLNMKINNP >KVH92714 pep supercontig:CcrdV1:scaffold_68:432732:445407:1 gene:Ccrd_005246 transcript:KVH92714 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAEMAEATKAMPAPAEKKKEQSVPFYQLFSFADKFDYVLMILGSIGAIIHGSSMPFFFLLFGQMVNGFGKNQSDLSTMTHEVSKDVGFYDTDARTGDIVGNFIHYLSTFLAGLVVGFVSAWKLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGETKVLDSYSDAIQHTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRSGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLLEIIKQKPTIVQDSTDGKCLTEVNGNIEFKEISFSYPSRPDVFIFRDFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQILLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATTAEVEAATSAANAHSFITLLPNGYHTQRIAIARAMLKNPKILLLDEATSALDSGSESIVQEALDRLMIGRTTVVVAHRLSTIRNVDSIAVIQQGQIVETGTHEELISKPGAYASLIRFQEMVGNRDFSNPSTHRTRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDRKNPAPSGYFFRLLKMNSPEWPYSLMGAVGSILSGFIGPTFAIVMSNMIEVFYFNNPARMERKTKEYVFIYVGAAIMRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFVVAFIVEWRVSLLILGTFPLLVLAHFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFSAELRVPQKQSLRRSQFSGMLFGISQLTLFASEALILWYGAHLVSEGLSTFSKVIKVFIVLVITANSVAETVSLAPEIIRGGEAIGSVFSILDRSTRIDPDDPDADPVETIRGEIELRRVDFSYPSRPDVIVFKDFSLRIRAGQSQALVGASGSGKSSVIALIERFYDPTAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIMENISYGKDGATEAEVIQAATAANVHTFVSGLPEGYNTPVGERGVQLSGGQKQRIAIARAILKNPTILLLDEATSALDAESECVLQEALERLMRGRTTVVIAHRLSTIRGVDNIGVVQDGRIVEQGSHGELISRPEGAYSRLLQLQQHRV >KVH92681 pep supercontig:CcrdV1:scaffold_68:378194:379550:-1 gene:Ccrd_005253 transcript:KVH92681 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEDHHQKGSDDHKMDDMLPGFRFHPTDEELVGFYLKKKIQHRSLSIQLIQQVDIYKYDPWDLPNLAPSGEKEWYFYCPRDRKYKNSTRPNRVTGAGFWKATGTDRPIYSSDGTKCIGLKKSLVFYRGRAAKGIKTDWMMHDSMAQRALSQTCVSSSEFFSPAMNHFSPENISSITHHHLSDITDLKPSPTCSFSDLAIPSSYTSLTQTTYSNQSPFLPSPFTDNHGGFMLSSADPTANVGSMVINLEGGSKNSDQSMGRGQQFQAFDNFAVNHYCDQELGSAGFPISFPATGGTDEWKLDMSWDSSPPPCRSESTTYSTNRYHN >KVH92716 pep supercontig:CcrdV1:scaffold_68:515967:521435:-1 gene:Ccrd_005240 transcript:KVH92716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase MASIISIIFIVNFVFLLGGVNASKHEIGIYEINNGHFSVKFTNWGATIVSLLLPDKNGKVGDVNDSTYFGAIVGRVANRIAGAQFKLNGTRYKLDANEKHNMLHGFPGDLDVTVTYSLTGKYKLSVTMEAKARNKATPVNLAQHTYWNLGGHNSGNILSEKIRIFASCITPTNKDLIPTGKIVPVKGTPYDFRQPCAIKSKIDELPNAYDINYVLDGEKGRKMKVAAIVWDEKSGRSMKLATNAPGVQFYTASNLLTGVKGKGGFVYREHAGLCLETQGFPDSVNHPNFPSQIINPGQIYKHRMLFTFSIKHLNIE >KVH92723 pep supercontig:CcrdV1:scaffold_68:136640:142087:-1 gene:Ccrd_005271 transcript:KVH92723 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX, N-terminal MKFGKEFGIHLEQTLPEWKDKYLCYKPLKKLLKHLPNHTADDVDDHPQPVNSHRNLPHHLDDLQQWFVRILNEELDKFNDFYVDREEEFVIRFQELKQRIERVKDKSCKDGVFTSESEFSEEMMAIRKDFVTIHGEMVLLKNYSSLNFAGIIKILKKYDKRTGGLLRVHFTQLALRQPFFTTEPLTRLVHECEENLELLFPLVAEVVESTSGMYPATANTTTVPLSFAEGTSDVYRRTVDAIRTIKGLKKESSTSNPLSFASIFGTQDTDTGAITAEDSPSDSSTSSQYENDHDESRPTE >KVH92682 pep supercontig:CcrdV1:scaffold_68:403783:406864:1 gene:Ccrd_005250 transcript:KVH92682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELFLELTKALEPSTQNSGKSSALTDTIRILRDLIAQVDSLKKENAVLLEESRYVAVEKNELKEENSAIEAQIKKLQSQIADRVHYPSSYLECNPIALQPELQSSIQAAAPGPVVGPVYVVPLQNDPKLYQEMVTKQHGTNVSKPHARYPSASDSWSFNILSEQQRAD >KVH92720 pep supercontig:CcrdV1:scaffold_68:84702:86057:1 gene:Ccrd_005278 transcript:KVH92720 gene_biotype:protein_coding transcript_biotype:protein_coding description:t-SNARE MSEVFEGYERQYCELSAGLSKKCTSASLLDGEQKKQKVSEIKVGLDEAESLIRKMDLEARSLPPNVKAVLLAKLREYKSDLNNLKSEVKRIASTSLNQAARDELLESGMADAATVSLSCF >KVH92724 pep supercontig:CcrdV1:scaffold_68:128806:134992:1 gene:Ccrd_005272 transcript:KVH92724 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS, C-terminal MKFGKEFASQMVPEWQEAYMNYNYLKTLLKEILIFRQRQTRSSLPAYPLRPPPAKATSLKRRASLFRAFSGLTGRYGNTSPKEDNEDEVILVNAMQKSDEEQGEDCRSYQTIFLRSAEEGGEFELVFFKRLDFEFNKVIQFYRGKVEEVVQQAEELNIQMDALIALRIKVNDPAAAAVVSPMTNNDPASVSPFINQRNTGSSPLEAIHEVEMSNHGNEKEPEKKRLNGGKDYKLASLEVLNHIKINATPETPRSTMRSVFNSTRSDLHFNKKELRDAQEKLKKAFIEFHQKLRLLILLKSEGRDAYMNTIFPLYSLFGFIVLHILMYAGNLYYWTRYRVNYSFIFGFKAGTELGFREVLLLGSGLLVLTLAAILSNLEMDIDPKTKGYKAITELLPLGLLIVVVLITLCPFNIFYRSNRYFLLVCLWHCICAPLYIVTLPDFFLGDQLTSQVQLLRNLEFYVCYYGWGDFKNRDAGTCEDGRSTIYRNISIVIAVVPYWIRLLQCLRRLFEGQDSTQALNGLKYFSTIVAVVARTLDPLDAGVTIKIISATSSVIATIFSTYWDLVKDWGLLCRNSENPWLRDKLILPNRSIYFVAMILNVILRLAWMQSVLDVYDTPFLHRKAVVTIVACLEIIRRGIWNFFRLENEHLNNVGKFRAVKSVPLPFSHEDGDKNL >KVH92686 pep supercontig:CcrdV1:scaffold_68:353482:355185:-1 gene:Ccrd_005255 transcript:KVH92686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQALNDALSIDQKPTFLPQTVKSSTQTPIYPSSTDNIAKLLKGFMKNSPKNTKTSSNSSTRESSEVNALSSIESKTSGIDLSEAFESLFGFDQSFGSPNNSDFSQSNTSPEGSIFQGDSKEELRFMMFENWLLDETAGAVGVVDQGKEDLTNFSFDESPDFFDN >KVH92690 pep supercontig:CcrdV1:scaffold_68:179761:181201:-1 gene:Ccrd_005269 transcript:KVH92690 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDHKFDHEEHIELPPGFRFHPTDEELISHYLYPKVSDHTFSARAIGEVDLNKVEPWELPWRAKLGEQEWFFFCIRDRKYPTGSRTNRATDAGYWKATGKDKEIYKEKSLVGMKKTLVFYKGRAPKGEKTDWVMHEYRLDGKFSANNLPKSSKGEWVISRVFHKCAGGKKIPISGLSKDFGSANLPPLMEISSFEGGSSRTETSHVTCFSESMEEQKPNNQELVGSWSSSKTSLMASRNETANFPNPLVQNLDNFQYQDSIWMQDSSILKILIESNNDSVMRQNPKTELVDDQDYGLNSDFDLWNY >KVH92693 pep supercontig:CcrdV1:scaffold_68:269994:274145:1 gene:Ccrd_005262 transcript:KVH92693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective-in-cullin neddylation protein MDSSIRLDIFEIYRRYCDITLNVYASEGDGYRQVNESMKAKLARDALSKLLELVELRVHKRMSILEEISVLMSRLNLMNNQRHNISEDTWRQVLAFSRCVHENLEGYDPEGAWPVLVDEFVEHMYRITGSDDTHNLCCNCGDSEAQPIKLHDDMEGQEFEPFDAFNNSNTMMNSKRRHTDSANKLVNGYQQQITPTCNKPLGCSKPPCAVEGCLSKGFSELFSGRSCLQYDHENRVSYT >KVH92687 pep supercontig:CcrdV1:scaffold_68:317583:318860:1 gene:Ccrd_005258 transcript:KVH92687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSWNKVMRACAIRKGNNGDLERESILIFKRMRCSSIPPFELFYASLFKACASLLALEEGRQIQVDCLKRGLDSDVYVCNTMINFYGCCXKIIDARKXFDEMSYRSVVSWNTIISSFFXVSWLNESVQHFREMREVGIEPNGTTMVVMLSVCAELGNLTMGKCIHAQIIENGFELNCQLGTAIVNMYAKCGALNSASLVFNEMPLYNVWTWNAMILGLAQHGFARNALSLFKKMKATSIQPNYVTFLGVICACSHGGFVEDGYRLFEEMKHVYGIKPQLIHYGAMVDVLGRASRLKEAYDFILNMPIKPNATVWRTLLSACNVNGGSDFDGVGEKVRERLLELEPRWSGNLVMLANRYADVNKWEDAAELRKSMRVGGLKKMAGESCIEVXGSTVRFLSGYDSKASCVNIYMLLDGLSLNMKINNP >KVH92700 pep supercontig:CcrdV1:scaffold_68:562705:564147:-1 gene:Ccrd_005234 transcript:KVH92700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MVSIFYLWTTILFTKTQKPEIIIKSFVMGFWFLGTVLVFWLCFLRNCNSELISALPGQPSNVSFQQHSGYIVTNKEHGRSLFYYFVQADSVNHSSLPLTLWLNGGPGCSSLGFGAFMENGPFQVGENGELVNNQHSWNLESNMLYVESPIGVGFSYSETDDDYIDWNDTNTGLS >KVH92676 pep supercontig:CcrdV1:scaffold_68:36564:41259:1 gene:Ccrd_005283 transcript:KVH92676 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAETNGGSAAPPPPTSRRVPPMIAVPPASSFENIFTGGPGPGFSPGPMTLVSNFFSDHYPDVDCRSFSQLLAGAMASPASQIPSATSEFEDCSSSKKLGGFKQNRPMDLVIAQSPTGFMFPSMFSPSGLLNSPGFLSPLQSPFGMSHQQALAHVTAQAAMSQSYFNHVQPANPSSEEELQLVNVKSDLEDSQIGSPDDLSVSQSDVSEPRHVISVSSDTNDGYNWRKYGQKQVKASEHPRSYYKCTHVNCPVKKKVGQSLDGHISDIVYKGQHNHEPPVLSKQAREGADVNKRNHSQSETRVMEEPAAARDQGSNQLIRIDENTDVGPVPAPLPLPAPVPVDSTSSHKMVAEPKIVVQTRSEVDLLDDGFKWRKYGQKVVKGNTNPRSYYKCTYTGCNVRKHVERAPSDPKSVVTTYEGKHNHDIPVSRHRSYNSNNGGGVMGKSEKGSAIKPSQRKETKFGNNERPVLLQMKEEKISA >KVH92679 pep supercontig:CcrdV1:scaffold_68:22126:29927:1 gene:Ccrd_005284 transcript:KVH92679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSVDKLLSVFWWYGNAFIFRPPLIQQHNELKFHQNQDLNITGMAAFCPIGAFCSGSNSPNSIFTNPKPCRRSIPYKTPLLANSTSASSTSYDNVQKTSHESMSVDALKRFIRLNLGNWTGSFHQFDSKGKLMHKVDTRLSAGSYGEDELISLIQTLYIKQCSSSYSASETDYEAEWAEYKIKETNMFTAEKYQQIGFFPQEKAFALRYQTAGMLETVLRQGVLGEDDIGEESPNCLYSLDKDLRARAFHIMDPKGIVEMLLVFLEQKGVGVNQTSTSKAKNVSTNVRWTGTITENLVSYDGGFQITLLPGGMYMGCPCNVGKHVSEAKAFHLEFCWLDSPTKRQRLVRTYDIDGLAVSSTYFLETKL >KVI01010 pep supercontig:CcrdV1:scaffold_680:50464:60010:-1 gene:Ccrd_020727 transcript:KVI01010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDSFLVLHKLILLLVIFLTCNYVQSQTPLAKPNKPSAIFVFGDSTVDAGNNNFIKTPMKSNFPPYGRDFINHIPTGRFTNGRLVSDFIASYTGVKDIMPAYLDPTLRIEDLMTGVSFASAGSGFDPVTATLSSAISLEQQMEYFKEYKSKMEMFIGNEKTLDIIKNAVFMLSAGTNDFIVNYFGLGEPITQFMYPNVTSYHMLLFQNVEQLIKKLMNEGARKIAMVGLPPIGCLPEVITLNKDVAINGRKCVEPMSYVATEYNRILESKLKAMQTSETKLYYADIYKPILDLIQFGKTKLGYIEAAVLCNMNSAVCDDASKYVFWDSVHPTEKAYYY >KVI01009 pep supercontig:CcrdV1:scaffold_680:88776:96849:-1 gene:Ccrd_020728 transcript:KVI01009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MKQASDSPSTLLRLHPSRRPHRVGDGAVGKTCLLVSYTSNTFPMEFLSIKTGLNQTGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIYRKWILELRHYAPSVPIVLVGTKLGRLHTSMEKKSNVKAVFDTAIRVALKPRKRMRKKQPKQRQCIFL >KVI01008 pep supercontig:CcrdV1:scaffold_680:130955:138963:1 gene:Ccrd_020729 transcript:KVI01008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGAIRSIIRPVSRTILLSRPITTTSSAFSKPLFSATYNNEPSPLFRSTHRSHLFWRPASMRTIQSLTDTRFPKRRPVDKPRRKRASLKPSGPYAWVKHVPGEPIPGNQPNEGSVKHRNEKKRIRQRRAFILEANRKKRIQRIERKMAAVARDRAWAERLAELQQLEEDKRKAAATTA >KVI01007 pep supercontig:CcrdV1:scaffold_680:179565:192104:1 gene:Ccrd_020730 transcript:KVI01007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MRILYSFKSIVFIKESESFACIDLFIQGVGEISSFSWWKYRLLALRLNPNRNPRGRFDFHGSIEDVLVCFPEVLIIPLSRLMLQLIFEEDSIEDLXFLCMDLKKNRWYAEAGWMASSNRHWPSMFKSKPTCNTHHHHQWQSQDSNNNLLSSTCHRSPYSSGCEERSPEPKPRWNPKPEQIRILESIFNSGMVNPPRDEIRKIRTQLQEYGQVGDANVFYWFQNRKSRSKHKNRHLQKSQNHQSLPVSATTTKGATTSSSSSSEKSSSKSIEFLLNSPTDSVDQQGHGYFGGGGTGYHHQHHSEFLQEPFFFTTVQHPPPPPPASTTTASFTEGFCFSELGNVMNEDHNHGNLDETVGSGSAMLLTDLMMMNHQNGMPKNNNSKCVEEEKMMKLSSYNFTPPSASTSIVHLNPTTTAVPSTVNIQGVEEPAPGKSTVFINDVAFEVAVGPFNVREAFGNDAVLVHSYGQPVVTNEWGVTLHSLQHGAVYYLVRSFSFDHSSAIDL >KVI11102 pep supercontig:CcrdV1:scaffold_6802:6633:14857:1 gene:Ccrd_010491 transcript:KVI11102 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATPase, cytoplasmic domain N ILNLAHNKSDVERRVHIVIDKCAERGLRSLTVAYKAEPEPMVENLEAAEDQCVEVFTVAVEVPYPIIDLVSDEEGP >KVI04439 pep supercontig:CcrdV1:scaffold_6805:10560:13286:-1 gene:Ccrd_017244 transcript:KVI04439 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MKRFVVEGGGMMWFGVSTSILQVSKLINDLSPPDESIYLKINNKIPGLGMRLDRSDLNFLYSTRYLKEISDAYEWLLLDTIEGERRLFIRSDELDAAWSIYSRHC >KVH91741 pep supercontig:CcrdV1:scaffold_681:68468:76438:-1 gene:Ccrd_006229 transcript:KVH91741 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MADGESREAATCEAATAECRLRAPRGREPTTADYKRRWLFRRSSNIPTPSLDLDQEEKTSIQHSTSIKQHFAATLIQTSFRAYLARRASRALKGIVMLQSLIRGQIVRNQTAITFKCIQTLLRVQSRLNIKPSRLQPPLLNHLISQSSTHESCNPYEDYTLEQLDPVLQTRKEAVLSRDIKELQERANWLARWMEAKQSGTPTSRRSSYSPSVGPQSVTPSPIKIEPLLVQSASPRCRKENRNYLRATPSYMAATESAKAKIRPQNSPRPEREQMGSAKKRLSYSVQDMSHDYIARYRGYGHNSRSPSFKSVQVNRRHEEMAH >KVH91736 pep supercontig:CcrdV1:scaffold_681:54134:57068:1 gene:Ccrd_006226 transcript:KVH91736 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4033 MVVLSSHVVQFSTTSLHGRPSMLKSHNHRGGNFVRCGIAEPSGQPAPMGQKTKYNDGVLEKVFMSLFAKKMEKFSVKDKKETKGKKKGLFDYDYDSFVNVSRGVMTGRNRLQQQEVVREFKKLFPPTKWAAEFNAALTVPFFHWLVGPSEVVEVEINGVKQRSGVHIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMIPNFEDMSCEMVYGQPPPSFEEDPVAKQPCFADVCSVANPNSSICPKLQA >KVH91744 pep supercontig:CcrdV1:scaffold_681:157314:159757:-1 gene:Ccrd_006235 transcript:KVH91744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MKSLKVSSFCLGMIGSTLLRHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEDGNKYFRHATGQIYAISKDLATYISVNRLAFLVNFLILIFLSKFSSETDLVFAFSHMLHKYANEDVSLGSWFIGLDVEHIDDRSLCCQTPPDCEWKTQAGNSCAASFDWSCSGICKSVERMKEVHQLCGEGDEAIWHTSF >KVH91742 pep supercontig:CcrdV1:scaffold_681:191159:192205:-1 gene:Ccrd_006239 transcript:KVH91742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAASSNSKPLLVSDLVSHNKIDQLPSNFIRSIAQRPNLQNVSHDSIPLIDLHHLEGPERSTVVDQIGQACRHHGFFQVKNHGVPESAVANMMQVAREFFGLPEEERLETYSDDPTKTTRLSTSFNIRTEKVANWRDFLRLHCYPLENYIHEWPTNPSSFRDQVAEYCRKTRELSLRLIEAISESLGLERDYIXKQLGNHGQHMALNYYPPCPQPDLTYGLPGHTDANLITILLQDDVAGLQVLRNDQWVAVDPVPNTFIVNIGDQMQVLSNDKYKSILHRAVVNCEKERISIPTFYCPSPDAVIGPAPELVTDDQPAVYSQFTYEEYYNKFWNRGLATESCLDMFLKL >KVH91751 pep supercontig:CcrdV1:scaffold_681:77275:78225:1 gene:Ccrd_006230 transcript:KVH91751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCVSSHLLNHDDDFTQIGTSAFSHHIVSLTSTTYGLLTLDPSSSPTTVPPTPPPRFTLGSIFPSPLSEPRSDPPPEVINSWELMAGLDSTTESFRFSPQPSKPSPFRYALLDKENLNPATENSNPKHKNPISIKPMPTKPVFLNGFEEICPPKGENKIVIYTTTLRGVRRTFEACNAVRAVLEGFGVFFCERDISMDRGFRDELWELMKGKDKQELVPPRVFVKGRYVGGADEVLKIVEDGFLGHLLEGLPKLKPGYVCDVCGGVRFLPCLTCNGSCKMLMVLKKEMDGKQLGTTVTVKCSDCNENGLVRCSICS >KVH91740 pep supercontig:CcrdV1:scaffold_681:66895:76531:1 gene:Ccrd_006228 transcript:KVH91740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MGNENGITGSRLYNMSGSGGDVQSPTPLECDVGLGLNTEPAYPYKKTSFMSHHQNRHYHHPQASEYAGVYSNTNRIASGFPFKSTTASESCEITGSSTVGVMAASGKLLFTATQWQELERQTMIFKYMMASIPVPPQLLLPLSTQSNRGGMGLKFSNGSDPEPWRCRRTDGKKWRCSKDVAPDQKYCERHAHKTRPRSRKPVEIQPHNNKEDYHPLLLSSHVNNIKNYKNPSDWFMRNGTIPVSKSESDQIFKPDFKGNFQHKSYLDHNLNTSGTSTAASDAAAGARRQDFIDSWSGIGNGDDCSLSLSMQSGGNGMKFEDDESFQMGVGMLDGDTGGCGDVFRSHHHQWLNQPSWAGSTPGGPLGEVLCLGIASTTNMAPNVPSPHGYSNNTTTSSSTHGWSFVKSDGSTTVVGVWMEQSNRTNLQKRGETHSRAHSHTHSQSTDIVGSRRLSPSRRSQSALGSRSLAGRSLAALTVRHSATGSGPVRPLDEEQKKKKRRRRTEGARMR >KVH91750 pep supercontig:CcrdV1:scaffold_681:137517:138857:-1 gene:Ccrd_006232 transcript:KVH91750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MADSHFSVNLGLFFTGFLFPVLVSSVGINYGQIANNLPSPEHVVPLVKAIGANRVKLYDADPKVLKAFANTGVEFIVGLGNEYLSKMTDPANAQAWVKSNVQAYLPATKITSIAVGNEVLTFNDTSLSGCLLPAMQSVHTALVNLKLDSQVTVTTAHSVAILQSSYPPSTGAFRQDLKGCISPILDFLSKTCSPFLINAYPFFAYKADPKQVSLDFVLFQPNAGIVDPGNNLHYDNMLFAQIDAVYAALASLGYKKLPVQISETGWPSKGDENEVGASPENAKKYNGNLLKLINQKKGTPARPNSDLNIFVFAMFNENMKPGPTSERNYGLFKPDGTPAYGLGFSGIAVSSGNSTSSGSSSPKTDGPSIYLSPPANSSSGYLAISSSTVSFRSH >KVH91748 pep supercontig:CcrdV1:scaffold_681:89276:90697:-1 gene:Ccrd_006231 transcript:KVH91748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKEEMSFSVNVNGQSKVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIHDVDLNKLEPWDIQEKCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSSFRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDDTITTQDYGSVSNLCDSNQEEGWVVCRVFKKKNYHKALESPQRSSSAPSIDSNPQMQSLNRDGGVHDQFLVYIGNRSCKKEMESVANITTTTSDYNPMEQLVDPTNERFLHLPRLDTTTMTTSGPFSSATTFDQDSSFQTHNSMTHLLTEPENSMNTSDTREQHRDSWADLDRLVASQLNGQVHPSKQMYGCYDEPNEDICFALHHHDQEPEPSQLHGSTSMARPNQTAAVGYTNEIELWSFAQSSSQSSSPDPFYHLSV >KVH91747 pep supercontig:CcrdV1:scaffold_681:159807:163710:-1 gene:Ccrd_006236 transcript:KVH91747 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4094 MGLMYGTKQSHKLSSGAGAGVSTRWVTFFCIVSFCFGVIFINRFGSPIRIKRDEVSSPLEKQQELLQPDASVDVGDIFSQVSRTHDVIMTLDKTISSLETQLASARSAKKPVSEELNERQNATPDGLLDHAINVEDRQHEDFLRLDHVEGYHELSSKTQIYFSTAAARWDADFYIKVDDDVHINLG >KVH91738 pep supercontig:CcrdV1:scaffold_681:8179:9456:1 gene:Ccrd_006224 transcript:KVH91738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDETVTYGFSSVPMLVQETPSLLQQRLKYMVESCQEWWDYAIFWQSSKGNDPHFLVSFGDCYLKGPKNFLSQTISNTRHHQSHVTNLDQGHELDTISEFIMASVMRSYVLGEDIVGGTFGSGSSVWLAGDHELGMNGSQRAKEAYLRGIKTLVCIATSWGVVELGSTNLLEENWGLVPLAKSLFSSETNLTINGNPLHQVQLLGTQKDSSGEGNEEGKGKTVMCESQTDWLLLDVGRPSTSTRDNMPKKKSQKTMNTTTDQVNPKYVDMERQRREKLNQRFYALRSVVPYVSKMDKASLLADAVTYIGELKSKIETLTEKADNLHINVDDGAPRTETLLSTNCRIHETEVEVKIIGSEAVIRVQSPDVNHPAARLMDAMKSLEIRVHHASVSNINGMVIQDIVGHIPQAFLTDERALRVSILQEL >KVH91739 pep supercontig:CcrdV1:scaffold_681:31752:34745:-1 gene:Ccrd_006225 transcript:KVH91739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MWVVENWRFDFVCHLGSMGKTTRSVLGLTGKFINDFLSFLVFSVLDVLDLILCYVYKTIDFFVEAEWKPCYCSSPKQAIISSGNILVSENGEAEKIVCLTSAKLQLEEISDTLYTRPSVVLEVSKTTVKRRKVVNSTNSATVQRVQSSPFVVNNTLVELLQEKISAHKSHPVPRWSDCDCDTSFWTETLFPNFSRSIKSKYRLFAIDLLGFGKSPKPHDSLYTMKEHLDMIERSVLEPNKIESFHIVAHSLGCILALALAVKYPNSVKSLTLLAPPFFPTPKGEEAAQYMMRKVAPRRLWPLIAFGASMACWYEHVSRTICLLICKHHRTWEFLAKLITRNSINVFHGRDDELIPVECCDNIKAKVPRANVKVVEKKDHITIVVGRQQTFARELEHIWKTAKTS >KVH91745 pep supercontig:CcrdV1:scaffold_681:144380:147547:-1 gene:Ccrd_006234 transcript:KVH91745 gene_biotype:protein_coding transcript_biotype:protein_coding description:START domain-containing protein TRFSFLSTPFSLSFLLRDPPFTAVSNRSFLKPQSLLDWTEGFPSSSSSSFLFCGFWVFVISTKRLKNSSVSFYLLLMALVGALLKILQGPNLWNVLPDLMVFMLPLWIAVLFGVLVGWVWRPRWANSAINNLTTTYLPPKSYSSIDIVSSIPTFNSLKSQLPSYFFPFSDMGTLEVASSGPSSISFPDSSLSKLDNERKNFVGEDDLKHISKLVEEKDGGLSWIGMMDRSTSNMSYQAWRRDPEIGPPQYRSRTVYEDTTPELMRDFFWDDEFRLKWDDMLLEAETLEECPNNGTMVVKWVRKFPFFCSDREYIIGRRIWESGKTYYCVTKGIQYPSVPRRTKPRRVDLYYSSWSIRAVESRKGDGEMSACEVLLFHHEDMGIPWEIAKLGVRQGMWGAVKKIDRGLRWYQKHMASGAPLSHCAYMAHINSKVSSERLRYLQNTTNDSQEIETCEAASDDEKPSGKNIPKLLVVGGAIALACTIDRGLLTKAVIFGVARRFAKMGRKL >KVH91737 pep supercontig:CcrdV1:scaffold_681:57806:61000:1 gene:Ccrd_006227 transcript:KVH91737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSSFFGILLLAFISVSFAEIRFSEIRSDPRPIIPFDEFGFTHNGRLELNVSKLSFSSPDPDLSKLGFFLCTRDSWLQVLQQIEDAEIACALQSHIIQEVYNLNSLAKSATAFNHFYLQSDADQYTLVFANCLPQLKVSMDVRSAMYNLDGKSKTRDYLSAGITILPRVYFLFSLIYFILAAAWVYFLYKKRLTVFGIHFFMLAVVILKALNLLCEAEDKSYIKRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDESGPYGEDWVTWKQILLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALETITSYKYLWTSVVAAELATLAFYVFTGYNFKPEPHNPYFAVDDDEEEAASEQLKLEDEFEL >KVH91743 pep supercontig:CcrdV1:scaffold_681:189598:190752:1 gene:Ccrd_006238 transcript:KVH91743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MDLKHGRHAIDINVPSAENSYVRSKVCAGASCGFSDNKTSSMDAEERSSSMWKLGGGVVVCFIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSVWASGWEATPRQSYGFFRIEILGTLVSIQLIWLLTGILVYEAIDRLLHGSVEVEGSLMFGIAAVGLVVNIFMIFILGHDHGHGHHHGHGDHDHSEEDVENIHIHGLSVITKQPHHHHHHSGSHHDGHEDQRQPLLKSKRNNINVQGAYLHVLGDSIQSIGVMIGAAVIWYNPKWRIVDPICTLLFSIIVLYTTINMLRDILEVLMESTPREIDATSLERGLCEIDEVVAIHELHIWAITVGKVLLACHVKISREANADMVLNKVVDYIRREYNISHVTIQIERE >KVH91746 pep supercontig:CcrdV1:scaffold_681:177817:178353:-1 gene:Ccrd_006237 transcript:KVH91746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MGFFYLAIKIPQAITITIFANIASRLVSLLLSALSHLGLFKSPPDPDDYTSTAGNYVLILDGSSPSLLPVPVHVVTASIKNKVPITPYSDFAARFGGAENTVCSVCLDSVHADDPIRELRNCRHVFHKECLDRWVDEGQVTCPLCRSMLLPPKKLLSSATAAVETAATATATAIDTVT >KVH91749 pep supercontig:CcrdV1:scaffold_681:142906:143142:1 gene:Ccrd_006233 transcript:KVH91749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIFSSFDAVSAEFMCQSLGFFNNNTKSPPAAATVQDDQKKVKHDTTGRRPSSKGGYSARWAPELDGLHCFESLVFH >KVG57991 pep supercontig:CcrdV1:scaffold_6813:13940:17995:1 gene:Ccrd_026341 transcript:KVG57991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MRKESMKKTADVLIENDVVMAACFPIPRMLFSTFKITLGFLFNYIDRHSVNHHPLKLLSLLLRPFPTSSVLLASSPFSQTRPNPPQITISPVSGMATDPPEGYGDDFLEQILAIPSYNIAGCLPTGNTSDAAASETVSVHHQQQQQSVFPLGLSLDNGRETIGAFTGGQQQQQQRERGGNMNMGGLFPPFENLQSHSLLHTVPQLRRERIAERMRALQELVPSCNKTDKAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVSDVPLQSVEGDASENGYNQPAWENWSNDDTEREVAKLMEEDVGAAMQFLQSKALCIMPISLASLIYPTHQPDTNSLVKPEPSAPS >KVG57988 pep supercontig:CcrdV1:scaffold_6817:9201:14472:-1 gene:Ccrd_026342 transcript:KVG57988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFNEQILADKLSKLNSTQQCIESILLGRNFNIGSLLKALSHWCIFHRSKAELIVTTWEKLFHSSEVAQKVPLLYLANDILQNSKRKGNEFVSEFWKVLPGALKDLIEKGDDHGKNVVTRLVNIWEERKVFGSRAKNIKAVLLGEESPQPLEFTKKRSRSVKIVKRDLRSIRTKLTIGSTAERIVSAFHLVVSEHHGEDEEMNKCKSAVHRVRKMEKEIDLALTNAKDPKRKTLSKVLEEEEYLLKQCIEKLKVVEANRLTLVSQLRDALNDQESELENVRTQMQVAQAQSEEAENMRKQLDDENYVADSKPSTATPPSVAADAKAGSKKSAADIAAEVADRLAASTSSQYIMSSVLSTFAAEEAKNAGLTKTTTVSNSFSAVSKPERPLPVSDHNVFMTSQTLNLSANGPYQTVLIPQPTLIPNSQAQYHSLSNPHSQQYMQQPSGGIVTSYAYANVPPLPAGPPPPPPPSYMMSPMVPLTHQQMQMSHQAALPQQAPTPSFRPIQPSGMVFYAHPHHSQ >KVG57606 pep supercontig:CcrdV1:scaffold_6818:7023:9517:-1 gene:Ccrd_026343 transcript:KVG57606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MNFLWVFLLLLLLLPISISADCTCDDSNNDGDVNKSQALKFKLIAVVSILVAGAVGVCVPLLGKMFDSLRPESNGFLTIKFFAAGVILATGFVHVFPDANESLENPCLGEKSWGDFPLANFIAMVSAVVVMMVETAVMSLFNRWHYNNNLAEHGGGDEEKHQNHVHVHTHASNGHAHAASDGSPEGLLRHRILSQVLEVGILIHSVIIGVSLGVSVSPKTIKPLIIALSFHQLFEGMGLGTCITEAKFNIRTIATMSIFFSLTTPIGIAIGFGISNTYDENGQTALIVQGVLNAASAGILIYMALVDLLAADFMKSKVQTSPKLQMMACIALLLGLGCMSLLAKWA >KVH88599 pep supercontig:CcrdV1:scaffold_682:130921:135235:-1 gene:Ccrd_026345 transcript:KVH88599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MARTKQIAKRSVSKHKIGSAGENKRPHRHKPGTQALREIRRLQKTVNLLIPAAPFIRTVKEISNYFSPEITRWQAEALQALQEAAEDYIIQLFEDSMLCAIHAKRVTV >KVH88600 pep supercontig:CcrdV1:scaffold_682:85588:91286:1 gene:Ccrd_026344 transcript:KVH88600 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MEMEMVKFFHMNGGAGGASYSNNSGLQKMGILKTKGMIEKAVTDLCYSNVNFPKTLTMADLGCSLGPNTLLVGSMLINAVAKASLKMGHKSPEIQINLNDLPTNDFNTIFSSLQEFQESSIIGDKDFLTFLRCRSEEMVVGGHMVLTLSGRKTDDPCDEESYYLWRPFSMALEDMVSEGLIDEAELDSFNLPQYTASLNEIKNLVEKEGSFIIDDMELFDVDWTAWEKTEYGNDAKRGVALAIRAAIEPLVSNHFGEAILDDVFMRYEKFLTNRLPCKEKEALVTISVSLIRKM >KVI09964 pep supercontig:CcrdV1:scaffold_6823:10603:22793:-1 gene:Ccrd_011644 transcript:KVI09964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGPLPKSLLNLRHLSTLDLSHNKLNGTLPSWLFTLPSLETITLSNNMFKGSLPTEFFNHQSLKILSLHGNQFDGMLDVLDQGSIHQTFLQLLNITYLDLSNNKFRGVWELDTLLSRLGTLEYLYLSYSGLSVVPNNTSRYVNPNFKELGLASCKIKVFPESIRAMRKLQYLDLSRNEIDGHIKEIGGNELLYLDLSHNIITGPFPPSIWNMDNLQYLNLSNNRFSGVIKARDINFFPSVIDMGNNSFNGTIPHVCGGELWGLILNGNQFEGKVPSCFSKCPYLEVLDLGNNRLTGAFPDQLGRLPNLKVLVLRSNKFHGPMERSSSMIKHPFPSLSVLDLSQNEFGGQLPGKYFQKFDAMKNVVKDGENTYLSLYDFYSITVVVKGQQLFFEKISDDYTIVDLSGNKFEGEIPNEICTLNSLIVLNLSNNHLNGQIPQAIGNLSEIESLDLSRNQLSGKIPQSLADITSLEVLNLSQNLLVGRIPGGTQLSTFNTSFEGNPGLCGFPLPKECEHASAPQLEVDGEEESVFTWKVVMLGYGCGTLVGLLLGYFMLSTGWDGAIKHMQLKRMNYSKLFLSFFFFFAFLSFTSSFSSFSNTTHKCSPKQTHALLLFKQNLFSINDISYHHECTPWLGSGYHPIMMNWNKSIYCCTWNGVTCSQYTGDVIALDLSCAMLRGIVHPNSTLFNLPHLQSLNLAFNDLTGSQLPHEIGMFSNTLTHLNISDCGLTGQXPSEISLLSKLVSLDLTWNDLKREPHLFYNLLHNSTSLEELLLNDIKVFPKSLRAMRKLQVLDLSRNEIDGHIRELGGNELLYLDLSHNIITXPFPPSIWNMDNLRYLNLSNNRFSGVIKPEDMNFSPLVIDMGNNNFNGTIPHVCGGELTGLILNGNQFEGKVPSCFSKCPKLEVLDLGNNRLTGAFPDQLGXLPNLKVLVLRSNKFHGPIERSSSMIEHPFXSLRVLDLSQNEFGGHLPGKYFQNFDAMKNVVKDGKNAYLNLYYSNIFYSIIVVVKGQQLSFEKMSNDYTIVDLSGNKFEGEIPNEICTLNSLIVLNLXNNHLNGQIPQAIGNLSEIESLDLSQNQLSGKIPQSLADITNLEVLNLSQNLLVGRIPGGTQLSTFNTSFEGNPGLCGFPLPKEYEHASAPQLEVDGEEGSVFTWKVVMLGYGCGTLVGLLLGYFMLSTGRPKWFNAIADEIEHVIQRRQNKRR >KVG57401 pep supercontig:CcrdV1:scaffold_6824:6663:6914:1 gene:Ccrd_026346 transcript:KVG57401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESTITMVGEGIFRNMVAALMTMKEIRKGSSTQSHFSLLALNLPDTELIQSFQFNAPIPII >KVG57374 pep supercontig:CcrdV1:scaffold_6828:13854:16223:-1 gene:Ccrd_026347 transcript:KVG57374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSTNDKKPHVIFIPCPGQSHIKAMLKLAELLHHKGLQITFVNTDFVHKRFLESGGPHCLDASPAGFRFETIPDGISRGSLDDMATSRQKLIHSIENFLSTPFLDLITKLPIPPTCIISDGFMSVFTIDVAQKLGIPIMLYWTLAACGFMGNESYLTNGYLDTIIDWIPGMEGIRIKDFPSMVRTTDPNDQLLTFITESTRRSHQVSHQIFHTFDELETSIVKALSAMYSHVYTIGPLQLLLDRIPENEKHTQVSNFNGYSLTKEEPECFQWLQSKEPNSVIYVNFGSXTVMSLEDLIEFGWGLAESNYPFLWIIRSNLVVGESAALPPEFEEYIKEKKGFLASWCSQEKVLNHPSVGGFLTHCGWGSTIESLSAGVPMICWPFFGDQMIDCRYICKEWEVGLEMGNGVKREEVKRVIQELMGDARMRNKAMEWKVKAHIATGVNGSSSLNVDSLVKEISKLL >KVH98047 pep supercontig:CcrdV1:scaffold_683:27766:28678:1 gene:Ccrd_023731 transcript:KVH98047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTSAAQDDSAYDPKRSDPIPAMSPTKKKTHYSTGPKSGNESFSDTFSGFQGCSCVSISSYFHPEETRNYGGNSTKQESNCTEASGR >KVH98053 pep supercontig:CcrdV1:scaffold_683:97456:98097:-1 gene:Ccrd_023722 transcript:KVH98053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEKNKASGVFDMRSNLQRSISPSGRFCSSTISSSSSAFASSMSGFSSAARSKSPSRISVRSGYLTSSPSVRFSIDHRHKSPGRSVAASSSRNLNRNCDRDNSKKAWSHGSKKTCLCSPTTHPGSFRCSLHKKSSMTNSNDSHDSTVSYRSHRLYARRSAMTNSLVRIGTVEGDLVKRALAALIRPSSHQQRRRSDFQPRPSRLSVMCKADE >KVH98048 pep supercontig:CcrdV1:scaffold_683:24630:29223:-1 gene:Ccrd_023730 transcript:KVH98048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MTSPLLSGLATEIIIPICAVIGIVFSLLQWLLVSRVKVTPDPNGPPSNKNKNGYDDYLIEEEEGMNKHDVVVKCAEIQNAISEGATSFLFTEYQYVGVFMVVFAILIFLFLGSVEGFSTKSQRCTYDRARMCKPALATAGFSTIAFLLGAITSIVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIDHDFTAMCYPLLVSSMGILVCLITTLFATDFFEIKAVHEIQTALKKQLIISTVLMTIGIAIVSWLGLPTSFTIFNFGSQKVVHNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIYVSFRFAAMYGIAMAALGMLSTIATGLAIDAYGPISDNAGGIAEMAALFGAFVSRAGIQTVDVLTPKVFIGLVVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGLAKPDYTTCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGILAAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKI >KVH98051 pep supercontig:CcrdV1:scaffold_683:38066:42893:1 gene:Ccrd_023728 transcript:KVH98051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYGTVFVVQSHRSREEAADRLNTQIGTNPSSGTLKIPKSILPKTESNAEADSTGGVYFVPAFNGLFAPWWRDDVGGVCIGITRLTKKSHIARAVLEIKDVLDSMHKDADEKGEIKNEKGQLLLKMFGGATVIDTLMQIQNTLIVPEGNTIAENSKFSENRVDRAYNILEARRYSTKDRVTG >KVH98062 pep supercontig:CcrdV1:scaffold_683:148266:155054:1 gene:Ccrd_023717 transcript:KVH98062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15 MVAAAICRRLRPSRHRYLLSQSPTSFREFSSSNSDDNHENGTPPSRSQSSSSMSSYFDDVKASLRQTAQPPLPPRRPSLLDSTPRAGDAPTRKVDSHEEIRKHLSEFRRSPVKPPTSSSPTISLQELYKRNVLQQAGPGSSSEDRSNMVPFSLKTISQSLKNQATSSSQSQKPEVPGKRSVIGDAFRHSLKLRPQDLNQQVPESIGKDKKDVLSDEMKTEFVRNYSYGDLGKNLKNLRPEVKGGKKIGFSLGELNERLRKLRELDEEENRNRHGLYMKELRVSLYHLSQDEKKTKPNAAQRLSVLGQIVGTPNFMLSPPKESLVEKYFHPDHMSSSEKQKLQLKEVRDKFKMSESDCGSARVQVAQLTTKIKHLATILHKKDKHSRKGLQAMVQRRKKLLKYLRRTDWDSYSFCLAELGLRDSADYKL >KVH98065 pep supercontig:CcrdV1:scaffold_683:178490:184904:1 gene:Ccrd_023714 transcript:KVH98065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective-in-cullin neddylation protein MPRTASKKGPANSSSSSAVDLFRSGKAATKELERIDQLYYSYANNLSGMIDPEGIESLCSDLKVDHTDVRILMLAWKMQAEKQGYFTLEEWRKGLKALRADTIIKLKKALPELEKEVRRPSNYVEFYSYAFRYCLTEEKQKSIDIESICELLELVLGLQFRPQVDLFVQYLRTQIDYKVINMDQWMGFYRFCQEISFPDFSNYDAELAWPLILDNFVEWVRSKQT >KVH98059 pep supercontig:CcrdV1:scaffold_683:65963:74556:-1 gene:Ccrd_023724 transcript:KVH98059 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVQNGNWDDVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGPLPKAGGFPPLGAHGPFQPTPGPVPTPLAGWMSNPPTVSHPSVSGSAIGLGGPSIPGHFSMQVNLPINVMPVSFPGHGSHSQAFNAPDDLPKTVARTLNQGSSPMSMDFHPIQQTLLLVGTNVGDIGLWEVGSRDKLVLRNFKVWDLSACSMPMQAALVKDPGVSVNRIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAATGARQYTFEGHDAPVYSVCPHYKENIQVTYLLFSCGTSKDGESHIVEWNESEGAVKRTYLGFRKRSLGVVQFDTTKNRFLAAGDDFSIKYWDMDNTQLLMSVDADGGLPASPRIRFNKDGALLAVSSNENGIKILANSDGLRLLRTFENLSYDAASRTPEAAKPAINTISAAAAAAAAATSGGLAERVASNGDTRNMTDVKPRITEESNDKSKIWKLTEISESSQCRSLKLPENMRVTKISRLIYTNSGNAILALASNAIHLLWKWQRNERNSSGKVSGILMTNDVADMNPEESVSSATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEICVWSSDGWEKQKAKYLQLPPGRTTAAQSDTRVQFHHDQIHFLVVHETQLAIYETSKLECVKQWVQRESSAPISHATFSCDSQLVYACFADATVCVFTASHLRLRCRINPSVYLSPSVLSNLNVHPMVIAAHPQEPNQFALGLSDGVVHVFEPLESEGKWGVPPPAENGSTSNVAASSPVGGSGSEQGQR >KVH98066 pep supercontig:CcrdV1:scaffold_683:135400:136041:1 gene:Ccrd_023719 transcript:KVH98066 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MELPSETMSAGLFHDQSSSKSQILENQSLFDQNLETPSPEAVVSPENNRRGVLEGIAAVVGEHVLFGNSMATAGDDNEESKDKPVKSGGDGGGVEKRYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAQEAARAYDAAARRMRGANARTNFEIPSVFPIPATLPTSSPSSESKKRKAGGENKCNAVTSVAQLFSNTGRKTMKITGVTRSSI >KVH98054 pep supercontig:CcrdV1:scaffold_683:92399:93871:1 gene:Ccrd_023723 transcript:KVH98054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGSNYTQIPKDSFVELQLRDQNDHGSQNPKLSEKEASPIFHPRDFEYVKSDDLEYDDDIDLDNLPLVIVPNQASGSGIYGAVFNLATSVIGAGIMALPATMKVLGLIVGVILIFLMGILSEISVELLVRFAVQSKSNSYGEVVQQALGKPARILSEICIIINNAGVLVVYLIIIGDVMSGSLRHIGVFDQWLGNGFWDHRKLVILIVMVLFLAPLCVLDKIDSLSTTSAASVALAVVFVIVAFVVAFIKLVEGKIEAPRLAPDFSSKKAILDLLVVIPIMSNAYVCHFNVQPIYNELEEPSPKKMNKVSRLTTILCVMIYASTAIAGYLLFGNDTESDILTNFDKPLGIKFSTALTYIVRVGYVLHLVLVFPVIHFSLRQTVDALVFNGSAPLSESRKRCLALTFVLLALVYLGSTMIPSIWTAFKFTGATTAVSLGFTFPALIALRLSSQGPGLTSRERFLAWLMLSLAVMVSFVGTIGNVYSMRSKSE >KVH98058 pep supercontig:CcrdV1:scaffold_683:42048:50816:-1 gene:Ccrd_023727 transcript:KVH98058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MECWCVSKIKSWLLILMTILLLMVCQIEGGCIEEERKALLEIKTSLIDSNFLDLNGFLPSWVDDGSSGGECCDWERVTCNPTTGHVTNLTLRNMVGLPKQFYRDCKRTNWPLNVSVFLHFKELTSLNLSMNCLDNNIVKTGLGRLSSLKKLETLDLSYNSITNVTFPSLGFEQVSLLKKLKILNLRGNNFNESLITSLSALPILKSLDLVGNEGLYGTSFPVEELAKLTNLEELDLSGNWFNDTSSIQECTRLSRLKKLKSITLYGNYFSKNIISCLSALPSLKTLDLSYGALSGELAHLTNLEELDLNHNMFNDTPSIQECTRLSRLKKLKSISLRWNYFNKSIISCLSALSSLKTLDLSYGISSGDLLHLSDLEILLLSGNHFNGTLPMEVFTSFHHLEVLDLGWNNFVGSIPSTINALSPSIRTVSFAYNKLNGSILGLCELKNLRELDLSHNMFEGNLHHCFNSLSSLKLLDISSNQFTGTLPPSLIANLTSLEYVDFSDNKFEGNNSLSGRIPGFLGHLSELRILILKENNFSGSIPKQLCQLSNVSLIDLSGNSIFGRIPSCLQNIISPINPVFRELRIFRYGISFWHYQSVLFTEYASELRSEILEMQDEVQFTTKTQSLSYKGHILDLMVGLDLSRNKLVGEIPKELGLLTQIHSLNLSHNQLTGTIPMQFSNLENIESLDLSYNGLSGKVPSELSKLHYLAYFNVSYNNLSGRLPDMKAQFSTFTNESYEGNPLLCGPPLEKECTSTSQVTDPSGKEGLDKWYEINMVSFYGSCGATWVVFLLGFVGVLYINPYWRRRWLDLVEECMYTCYYFIDDSARKLSTLFRR >KVH98057 pep supercontig:CcrdV1:scaffold_683:58468:60967:-1 gene:Ccrd_023726 transcript:KVH98057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MHYHLPLELSHLLTTSSTTRYLVCYLDHSRFKTYESKVHVAYIATSMSTFRLCELKNLQELYLSHNMFERNLPHCFNSLSSLKLLDISSNQFTGTLPPSLITNLTSLEYVDFSDNKFEENNFNGSIPKQLCQLSNVSLIDLSGNSNSISGPIPGCLQNIISPINPVFTEETVLLYESASQYYQSVMFSMIYYYDVQFTIKTHSHSYKGHILDLMVGLDLSCNKLVGEIPKELGLLTQIHSLNLSHNRYEGNPLLCGPPLEKKCTSISQATDPSGKEGLDKWYEIDMVSFYGSCGATRVVFLLGFVGVVYINPYWRRSKEALDALS >KVH98056 pep supercontig:CcrdV1:scaffold_683:103993:120809:1 gene:Ccrd_023721 transcript:KVH98056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MDSSSWSFSTSYSLSRPDLDLSKWINSLRKSMEDDDEETANTEVCIFTVPKTLLDTDPDSYIPEQVALGPFHQWRHNVYDMQKYKLAAARKAQSRRNIKFERIVEVMKENDEARIRACYHKFLDMDGDTLAWMMAVDMAFLLEFLQVYYMREEGGGRSMDIGSILSSVVDVAGKKLSHMAILRDVVKLENQIPLFLIKTTMEHDRTSDKPAAETLQIMLMGLYHELSPFQYQHQSPCVDVDDCDHLLDFLYHMTVPNDKELGIFTDVCDQTTIDFGATGEKDGDAGENESFAKPTHLKQFLDYMWKIIKNANLGFFRLVKKITFSRPIMLVMKLPWKIISRLPILKLFKEPIENMLANLQGETKEKPEDENNASNPPLIEEITIPSVTEMAKAGILFSPVNGTISDIRFNEKTSTLYLPVVHLDVNTEVYMRNLVAYEACVASGPLIMARYTELMNGIIDTKEDAKILMDCKIVYNHLKSEKEVADLWNGMSKCVKMTKVPFMDKVIEEVNKRYDRTWRVKLGKFMTKYVFGSWKFLTLLAAMSLLFLSTVQTFCSVYSCARAFRQLPELEEPQPDLDLSKWIDSLRKTMEDDDEETTNTDVCIFTVPKILLDTDPDSYIPEQVALGPFHQWRHHVYNMQKYKLAAARKTQKRRNVKFEQIVEVLKEDDEARIRACYHKFLDMDGDTLAWMMAVDMVYYMRKEGGGWSMDIGSRLASVVDVSGKKLSHTAILRDVVKLENQIPLFLIKTMMEHDRISDKSAEETLRIMLMALHDELSPFQYQHQPPCVAIDDCDHLLDFLYHMTVPNDKELGTSMDDVHLATINIDATGERDGDAGENESFAKPTHFKQFLGSIWKIIKNAIFGFLRIVKKIISSRLIILVVKLPWMIISRLPVLKHFKEPVENILANLQGETTENPEEETNELNPPLIEQITIPSVTKMAKAGFFFSPVNGKISEIRFNEKTSTLYLPVVHLDVNTEVYLRNLVAYEACVASGPLVMARYTELMNGIIDTKEDAKVLMDCKIVFNHLKSEKEVADLWNGMSKCVKMTKVPFLDKVIEEVNKRYNRTWRVKLGKFMTKYVFGSWKFLTLLAAVFLLFLSTVQTICSVYSCNRVLQHLDLSKWISSLKKTMEDDDEETNDTDVCIFTVPKTLLDTDPDSYIPEQVAFGPFHQLSQQVYDMQKYKLAAARKVQKRRNVKFQVIVEAMMKKDEARIRACYHKFLDMDGHNLAWMMAVDMAFLLEFLQVYYMREEGGGRSMDISSRLASVVDISGKKLSHVAILRDVVKLENQIPLFLIKTMMEDDRTFEKPAAETLRIMLMGLYHELSPFQYQHQSPCVDIDDCDHLLDFLYHMTVPNHKELGIPTDVLHTTIPVTDIAGGSREKKAFANPGHLKQFLDYIWKIIKKAKWPLSKPMTLVTKLPWNMLSKLPIVEHLKEHAGKMVAKLHGEKKEKQEDGLITNSNPPVIEEITIPSVTNMAKAGIFFSPVHGTISEIRFDEKTSTLYLPVVHLDVNTEVYLRNLVAYEACVASGPLIMARYTELMNGIIDTEEDAQILMDCKIVYNHLRSEKEVADLWNGMSKCVKMTKVPFMDEAIEGVNKRYDRTWRVKLGKFMTKYVFGSWKFLTLLAAVFLLLLSMVQAVCSVYSCARVIRQLPELDQPPQ >KVH98064 pep supercontig:CcrdV1:scaffold_683:169545:175551:-1 gene:Ccrd_023715 transcript:KVH98064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MAGDQGISWEELKKENVDLETVPVDEVFETLKCTREGLTTEEGNKRLAEFGPNKLEEKKESKFLKFLGFMWNPLSWVMEVAAIMAIVLANGGGKPPDWQDFVGIGEIEAVVIATGVHTFFGKAAHLVDSTNQIVELCNLKNEEKNRVFSIIDKFAERGLRSLAVCQQSVPEKTKESPGGPWVFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQNKDTAIANVPIEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWRFDFSPFMVLIIAILNDGTIMTISKDKVKPSPLPDSWKLKEIFATGIVLGTYLAVMTVIFFWAAKESNFFTEKFGVKPIKNNEHELMSALYLQVSIISQALIFVTRSRSWSFVERPGFLLCFAFLVAQLTAFTTKKDYGRGEREAQWATAQRTLHGLQAPDANEILKDKNNYRELSELAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >KVH98050 pep supercontig:CcrdV1:scaffold_683:16982:22014:1 gene:Ccrd_023732 transcript:KVH98050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTLDAFDDHGPRFCQSSNRYVKSSAALLSENFRESSRLCSVSVPPPFSSNISVPPSPSLRQSPAPLLLRASPLQSLLPSLRSDMENDEDYVEYVPIAKRRAMEAQKILQRKGQASTFEDETEKAKQVEMKPSLLVKASQLKRDQPEVTPTEQAVQQEKEMMENLSDRKTLMSVRELAKGITYSEPLPTGWKPPLPIRRMSQKACDGIRKQWHIIVDGDEIPPPIKNFKDMRFPDPVLKKLKEKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMMALQEEIMMPIAPGEGPFGLIVCPSRELARQTFEVVEEFLIPLREFGFPEIRPLLCIGGVDMRSQLDIVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPAKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEVKLVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKASKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDVDAITNASGVKGCAYCGGLGHRIRDCPKLEHQKSMQIASSRRDYFGSGGYRGEI >KVH98052 pep supercontig:CcrdV1:scaffold_683:33697:36223:1 gene:Ccrd_023729 transcript:KVH98052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase, FGGY, conserved site-containing protein MANEQVFIGSIDQGTTSTRFIIYDKQAQPIGSHQVEFSQSCPQAGWVEHDPVEILESVKICIAKAIDKATANGHNVDNGLKAIGITNQRETTVVWSKSTGVALYNAIVWMDVRTSSICRKLEKELSGGKTHFLESCGLPISTYFSALKLLWLLENVDAVKDAVKKGDALFGTIDSWLIWNLTGGVDNGVHVTDVSNASRTMLMSLKTLDWDGSTLETLKIPKEILPKIVSNAEVIGHVGKGWLIPGIPISGCLGDQHAAMLGQSCRKGEAKSTYGTGAFILLNTGEEAIQSTHGLLTTLAFKLGKDAPTNYALEGSIAIAGAAVQWLRDSLGIISSASEIEDLASKVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMHKDAGEKGEIKNEKGQFLLRVDGGATVNNTLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGVWTEEEIFSNGERMKKDTTFNPVLNEELRKKKVASWCKAVERTFDLADLSI >KVH98055 pep supercontig:CcrdV1:scaffold_683:125094:126921:-1 gene:Ccrd_023720 transcript:KVH98055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MNSNPNLISGDRRWILQIRQTIDEDIEEDDIDFPVSIFNVPTSLVSTKPDCYIPQQVALGPYHHWRPELYEMERFKISAARRYQKQLQDGGLKFEHIVDQLAVFEPRIRACYHKYLDFDGETLIWMMALDASFLIGFLDVYAIENGFVMRFSSRTLRLGDFSCRRFAYDAILRDIVMLENQIPIFLLEKMLEFQFHCLESAQKSLTSMLVGFCKEVSPLRVITDVRQVLHSTHVLGFLYHMIVDPIMLSHNQQEEEEEETRYNFFKGIINGVQRFLERLKHSEPVKLIEKFSPAIVSNVPVVRIFKHHVFKEDKQVLNVDQRDHKPPLTEEISIPSVTDLSKAGFRFSPSAGGIFTINFDANSSVFFLPVLSLDVNTDVVLRNLIAYEACNASGPLILTRYTELMNGIIDTKEDAKLLRERGIMVNRLKNDEEVANLWNGMSRSVRLTKVPFLDKVIEDVNKNYERTLRVRIEKLMKVYVVESWQFLVYILY >KVH98060 pep supercontig:CcrdV1:scaffold_683:61821:63884:-1 gene:Ccrd_023725 transcript:KVH98060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METERRCYGGGGAPPVAETLNWMVQTVLVAQKSVCWLLFLIGSIPNNVDASTNLLDAEKRFPLSELKNVADSADECKDDSETEDDSDDEDVVVGDDSDNDAEDSSGDDNDDEERDPDSDSDANEDAGSDDDDDDDEDDDDDEDDEDDDDDDDEEEENQPPFKKKK >KVH98067 pep supercontig:CcrdV1:scaffold_683:139003:143531:-1 gene:Ccrd_023718 transcript:KVH98067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDDNWVKSAMDDDHLVVQILLTLRQQSLPSQSNIKSPPLGWSIRQRRSRPQVVLLPSVAKKSPPAARASPTTPLSWSGATSVSGGGNGNGNGNGDGIEESSRLIPNRSDVSRSKVIRPNETTPTKRPRKKKTLAELKEDETTLLKERKQLKRQLASLQATCQQQRMENESLKKMKMDLQCDVEGLKAEAIGSFAVPDLNIPVAGDEE >KVH98061 pep supercontig:CcrdV1:scaffold_683:194230:195505:-1 gene:Ccrd_023713 transcript:KVH98061 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MLCRGNNAIKCRKAGSVPVYLNVYDLTSMNGYAYWLGLGVYHSGVQVHGVEYAFGSHEQATTGIFEGEPRQCEGFTFRKQILIGWTEMSLREVRGFMEELAQDYKGISYNLITRNCNHFCNDVCLRLTGTPIPSWINRLARIGLLCNCIVPASINTTRVGIEDNKVCNEAENKSKLRSRSSRFTSPSSFSAVF >KVH98063 pep supercontig:CcrdV1:scaffold_683:164694:169152:1 gene:Ccrd_023716 transcript:KVH98063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKPSKDKAHKFWDYPPFLAAFLFFCLPLSILNESFSRKMEMNLLFMIPILVLCSFPLFTLPIHAFTTDPPLSSMADPLLPTQKKPPFSQIPTEHEAPSPGVSEIRVIHHEDLNKKILVALIVASSLLAAILLLLSCFWIYRFKSSKRTSNMNKAQQSQDALKGLSLGPILDKFNPLRMAGKKGSVTVIEYEWLVSATNGFHEDNIIGHGAFGSVYKACFNDHFLAAVKRIHGGGPDAQRGFENEINCLSRVQHQNIVNLLGYCIHGETRFLVYEMMHNGSLESQLHGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLIGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPSIVDPVIRDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSFIPLVPVELGGSLRITES >KVH98049 pep supercontig:CcrdV1:scaffold_683:1415:15798:1 gene:Ccrd_023733 transcript:KVH98049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEWCRVSKQKSWLLMILMIHTFMVWQTQSVCIEDERKSLLKLKAYLFDSYGVDPLPTWVDDGGTRECCDWERVMCGTTTGHVTNLSLSNMMGMADNTGRVVKPCERMWSFNVSLFLHFKELTSLNMSYNCLDNIVVNTGLDGLSSLKKLEILDLSDNSIGNDIFPLLGALTSLRNLRLGGNNLEGYFPALGMFXVLPPTLEXLETLDLTNLAYDGTQTNQIQGFERVSLFKKLKFLKLRGNEINESFITSLSALPLLQTLDLSYNFLSGSFPAQELAHLANLEELDLSSNQFIDTTSIQGCSRLSRLKRLKSITLEYSNFDKNIISCLSVLPSLKTLDLSLGLQSSGELPYMVNLEELDLSYNSFNETPDIQDCMRLSRLKKLKSINLRTNSFKKSIISCLSALPSLKTLDLADNLLEELSGLKQLETLDLSFCHLQSLLLLISNALASFHGLEVLDLSWNQFFGSIPSTIRTLSSLRVISFAYNKLNGTLPYHGLCELKNLYELDLSHNMFDGKVPQCFNSLSSLKLLDISSNQFTGLFVSSPIANLTSLEYVDFSNNKFGGSFSFNLLSNHAKLEVVVFICDNGKFEVETEEPRGWIPTFQLKLLVLSNCNVNRAKGSVVPGFLLHQNKLRVIDLSHNSLVGEFPNWLIKNNTMLEGLSLRNNSFGGNISMLPYKNANTRRLDVSGNHMNGTIPXDIQKFLPNLGNNFLSGKIPKFLGELSNLRILILRKNNFSGSIPMQLCQLSNVSLIDLSSNSLSGSIPSCLQNITXPIYPAFEKSPNGALGASFYHYMGYLHRNDVSSTMYDTZMFEMEDEVLFTTKALSHAYKGKILDYLVGLDLSDNKLTGEIPKELGLLTEMHSLNLSHNQLFGPIPMQFSNLKEIESLDLSSXGLSGKLPSQLIQLTXLAVFNVSYNNLSGRLPEMKAQFGTFTKEIYEGNPFLCGPPLEKKCMTTSQVTDPSTKEGAKEGSDKWYDINMTSFYGSFGSTCVVFLLGFFVVLYINPYWHRRWLDLVEECGCIEEERNALLEIKTSLADSYVLDVAPPLLSWVDDGSIGGECCDWERVTCNTTTGDVTKLSLSNIVAEGCDRIWSLNVSLFLHFKELTSLNLSKNCLDDGIVNTGLGRLSSLKKLEIVDLSGNNIGNDIFRSLGALASLRVLHLDDNYLEGCFPALGIFGELGDTGSLLQLFYERVSLYKKLKILNLRELADMTNLEELDLSDNRFNDTPSIPELLHLRDLEVLRLSGNHFNGTLPMEALISLHNLEVLDLSKNYFVGSIPSTIHAFSSLRVVSFAYNNLSGLIPDHGLCELKNLHELDLSHNKFHGSLPQCFRSLSSLKLLDISSNRFTGIHMSSVIATLTSLEYIDFSGNKFEGSFSFSSFSNHTNLEVVRFICENGEFEVETEEPTGWIPVFQLKVLVLSSCNINRPKGSVVPGFLLHQRKLQVIDLSHNSVVGQFPSWLIENNTKLEVLNLRNNSVSGKICMPWYTNANTRWLDVSQNHMNGVIPSDLQKLLPKINYLNLSSNSLDGIIPSSIGDMREIWALDLSNNKFSGEVPKGLLTNLSYLSILKLSKNRLHGEVLSGNSSLGNIESLGLDNNYFTGKIGKGTVQNRYMRSLDISNNFFTGMIPRGIGNMSALSEFVVRDNSLAGTFPCGTTSFSFLDISQNSFSGPISSCLNLQYMIHLHLGSNKFNGSIPDVFRNLTDVLTLDIGDNFLSGKIPNFIGELSNLRVLILRNNNFSGFIPKQLCQLSNLSLIDLSSNSLTSSIPRCLHNIGRPIFPSFTERNIGEVETSFYDYRSVLYGGTHVYSMAEVFEIEDEVRYTTKTLSLSYKGRILDYMVGLDLSCNKITGEIPEELGLLTEIHSLNLSHNRLSGSIPMQLSNLELIESLDLSSNGLSGKLPSQLIRLTSLAIFNVSYNNLSGRLPDVKAQFSTFTKASYEGNPFLCGPPLEKKCTATSQGTHTLTKEGTDDKWYDIDMTFFYGSFCTTWVVFLLGFGVLLYTNPYLRRRWLDLVEEYICMHAITSLMI >KVI01474 pep supercontig:CcrdV1:scaffold_6830:2518:3474:-1 gene:Ccrd_020254 transcript:KVI01474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor, TFIIS/CRSP70, N-terminal, sub-type MEDLKKSHQALMDEWRNNLRSGDVDIFEIIKTAIMVAASDHPMEFRIKRDKIAHTLFSCQLLNSSDHVEEENIGCKEKMKLSSNVDDPNLKNHDRNHTTRHEEALVDEHQETKMDVEVLKIKKVLDNSYGDESELVVYELLSKLQHMGLSFKTLEATGIGRSVSAFQKHGSRDVRQNARRLIKMWRGVADEWIEATEKTSCIVQEEKEESMVKPADKEMVSMKMKKESSSRRVIKIKIIKSNSEKAKMDDYHSEEKSMSIEEKLEASKRKLHERYSEVENAKRQRKIQVVEPHQLKTQGLIVPKTKNDNRRWGTYYNH >KVI01473 pep supercontig:CcrdV1:scaffold_6830:15034:16554:1 gene:Ccrd_020255 transcript:KVI01473 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MATSATTTSAAASTYLCRHHLSVGRNCSRNYVSFARKPTGRYSVRSSADEVPSSSAATTEVEGTTESQIEVPKEPSSLISALNVEKALRGIAITDADHYGILGLKKGCSYDQVKSAYDQKLEELMNQGLEQEELSKKLDLLKESYSILSSVQERRLYDWSLARNENPDRYAWPFEVDITQTPNSPDTPPPQEPEDVEPTRLVGYFFLTWIILSITLSVALNR >KVH96942 pep supercontig:CcrdV1:scaffold_6832:6265:14578:-1 gene:Ccrd_000965 transcript:KVH96942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEKKQLVNTYIKFGPKLLKLETISTLSPPQQNMFILAGKLLLWFSFVFMYLIDTTVLAQPNFIYHDCTESGNFTRNGTYERNLAATLLALPTTNSGFGFYNLSSGQGSDTVNSIALCRGDVEPNVCRNTPIRLFWGNTQRPPSLFLFNTQNASDQDGFNRALRPLMNPLTLDAAAGGPLIKFATGNTTTPDFTRIYGLVQCTPDLTEGQCSSCLEDAINRSVISFRGAIGGQSLQPMCKFRYEINRFYNGSTLDIPPPPSSSPTPPPILQASPPIPPPPDKHDKNRDSCFYCGIQHRHDNCIHLLLQKLLTLSLSTLLAETMDMSIAESLQYNFSIVRAATNDFSEDNKLGRGGFGTVYKGMLGDGQQIAVKRLAWDSGQGDLEFKNEVLLVAKLQHRCLIGFSLQGRERILIYEYLPNISLDHFLFGKFIRANVIGYLSHMSHHTKDYDLIFSKKNIPIFDQLNNNLGVMKWLFSCNENSLTVFIDRTKCALLDWENRYKIIKGIAKGLLYLHEDSRLRIIHRDLKASNVLLDAQMNAKIADFGMARLFKPEETQGDTKRIAWKCWRKGTTSNMIDPTLKTGSGSLRDIIRCIHIGLLCVQENVIDRPTMASVVLMLNSFSLTLSMPSEPAFFMRSSIDPEMPLLQEYTSVDREQWFRKK >KVG57278 pep supercontig:CcrdV1:scaffold_6834:6084:9238:1 gene:Ccrd_026348 transcript:KVG57278 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDFLSKSIFIPLFLLLLAYIYHQSQKYGKKTKTYHPCGGTRFNQLINYRTLHDYNTNLATIYKTYRVFNPFRGEIYTSDPSIVEYILKTNFKNYGKGAHIHNILKDLFGDGIFTVDGDEWREQRKVSSHEFSTKVLRDFSSVVFRKNTIKVGNILSEAANSNKKIDITVELNITSQYANIQDLFMKATTDSIFKVGFGIDXDNITGSSEEGIRFSRAFDDANTLILRRFFDISWKIKKALNIGTEXELQKNIKFKKEDILSRFLQIKNTDPKYIRDIVVAFVLAGKDPIATTLYWFIYMLCKHPHIQDKVAKEIKEATNINMKELEITNVAEFAAYVSEEALDKMQYLHANLTETLRLYPALSMDVKICFSDDVLPDGCNVEKGDMVFYLPYAMGRMKSIWGEDAHEFRPERWLDRDGCFHPESPFKFTAFQAGPRICLGRDFAYRQMKIFSSILLGCFVFKLSDKNKIPKYKTXINXQIDGPLHICVSKRYGADKAESN >KVI03140 pep supercontig:CcrdV1:scaffold_6836:6329:8774:-1 gene:Ccrd_018565 transcript:KVI03140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYHPMDFAKQLAEFVSDVLVNYMDQPPAGFAIQGLLRPAFVEEDSINEHMFDYQSNEEKQIRLLKIKKKI >KVI03139 pep supercontig:CcrdV1:scaffold_6836:9709:12802:1 gene:Ccrd_018566 transcript:KVI03139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYVDFDNLRTLEAEQQAPNCKGVDIEEETRRTWMDKMPLTSVKEQNEGNNRVEAATPCQTVAKARQKKMSRLQDIVLKQLSKMMEVCKAQGFVYGIITENGKPVSGASENLRAWWKEGVRFDENAIAVINNHQAENSNPREDERRGMRTDRVVAGSVGTAGDERRATMRDGEERRESGKTGER >KVH89926 pep supercontig:CcrdV1:scaffold_684:184517:189937:-1 gene:Ccrd_008080 transcript:KVH89926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MEGYPKLKKVMIKEGCEETRLELRLCPPGDDLYSIGGSGTHGTNCPPKKPMFKTSQKRVAAVVVGWPPVRTSRKNIMTSSYNSTKVVSLPEKAPAADSGGGKKTSSDHENTQGDVSSSTTKTQIDVTTGLLDGNGGYTLVYEDNEGDRILVGDVPWNMFISSAKRLRVLKTSELSVCRHWNN >KVH89931 pep supercontig:CcrdV1:scaffold_684:126557:144939:-1 gene:Ccrd_008077 transcript:KVH89931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cof protein MRYRLPPMLLRNLHSSLEVSSMAATAIFFRTICSPSSTLASLPPLLSHSRSAGLSYSTTSSTPLFGGLFGGSVYHGDGDWMHSRRLGGKVLASQRGYRKVRGRPSARKKEKGKELELDVKICIEEQLPDDTEILDIAELLRLNVPMAMKLAFDGLKDSDHKTRDPTVDDVGQFESVELSILLCHDECIRELNKDWRNEDHATDVLSMSQHMPELKLPMLMLGDIIISVETAARQAEERGHTLVDEIRILMVHGLLHLLGFDHELSDKAEAEMEEEEERLLKSLGWKGKGLIQSASTAEDDESPLPKTPDGRKKAGSLRFYKPKFNYIFCDMDGTLLNSESRISATNANALREASSRGVKVVIATGKTRPAAIALLKMVDLAGRDGIASEYSPGVFIQGLLVYGKQGREIHRRNLDPHVCREAFHYSIKHNIPLIAFSESRCLTLFNHPLVDSLHTVYHEPKAEIMPSVEHLLSGGDVQKVLFLHTAEGVAGTLRPYWEEATTGRASVVQAQPDMLEIVPAGTSKGSGVSMLLDHFGATANEVMAIGDGENDIEMIELASLGIALSNGSEKTKAVANVIGASNDEDGVADAIYSLSVLNLYVTMPLHTRPAAIALLEMVDLAGRDGIVSEYSPGVFIQGLLVYGKEGQEIHRINLNQDVCREAFDYSVKHSIPLIAFSESRCLTLFNHPLVDSLHTVYLEPKAEIMPSVEQLLSSGDVQKVVFLDTAEGVAGTLRPYWEEAIAGRACAVQAQADMLEIVPIGTSKGSGVRKLLDHFGATASEVMAIGDGENDVEMMELASLGVAMSNGSEKTKAVANVIGASNDEDGVADAIYRYAF >KVH89933 pep supercontig:CcrdV1:scaffold_684:52135:78348:1 gene:Ccrd_008071 transcript:KVH89933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKETPKKKAIVQYGKEVYANNLDQSSIGEKYELYLVDKGPQLAGLLITTRRHVLSYFCCITLPVYGALRMSVKMFLMWNSQLLIEIEGEKNVQNSFLEASNLIVLKVLRRLFKLMFPMGSSTISSNANLGVHGQGLLNLSGPGDCIEAQRLVLSLFYGVNVGPGSVLRGPLENVSANSVMLKPNCDSQECPHELLNPPDDCNVNASLSFTLQICRVEDILVEGLIQGSVVHFHRARTVTVQSTGTISTSKMGCKGGIGSGKVVSIGIGSGGGYGGSGGYGCRNGTCIEGGVPYGDANLPCLLGSGGGNGIITDSTAGGGILVIGSSEHPLSSLSVDGSAGADGGSYKENIVNKHHHIGDQVQGSGGGSGGTILVFLNVLFVGESGLLSSVGGEGSPNGSGGGGGGRIHFHWSHIPIGEVYQPIATVKGNISTRFVKISVLTLSNQCYVWNLREGWVEMKMVLGKLEQSLENLECPVGTYKNVTGSDKALCFACPVDELPHRAFYISVRGGTVETPCPYKCISDKYHMPYCYTALEELMDTFGGPWLFGLLLLGLLILLALVLSVARMKFIGFDESTGSGRTQGSQIDHSFPFLESLNEVMETNRVEESQGHVHRMYFMGQNTFTEPWRLPHTPPEQVIDIVYEGAFNRFVDEINALAAYQWWEGSIYSILFFLAYPLAWSWQQWRQKLKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLAYVDFFLGGDEKRSDLPPRLHQRFPLSLLFGGDGSYMAPFSLHSDNVVTSLINQSVPPTTWYRFVAGLNAQLRLVCRGRLRVMFHTVVDWIETHANPTLEIYGVRVDLALFQATDGNHYQYGLLVSAVQVDGATGRAELASNDVNVFQEDPIHDSKELKASNQIHGGADDNLTQKKSYGRVLDINSLKTLKEKRDVFFPFTFIVHNTKPVGHQLYSFSLADVFLVLFILPLGILLPFPTGINALFSQGPKRSAGLARIYALWNITSLVNVVVAFICGYAHYCTQPSKTAADVQPWTMEESEWWIFPVALVVCRFIQSRFINWHIANLEIQDRSVLAIIIVVSVLDDERLQLFIFEAAYKMEDFVAM >KVH89932 pep supercontig:CcrdV1:scaffold_684:113847:114817:-1 gene:Ccrd_008076 transcript:KVH89932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYCASIGLGETSLVNMIVKGTSTAHPPQTIGYTVDVKRDKYKFEEMGHRYCSNWDNSAPLANGGPGRLPVPFLVIGNKADVAEKGARGSSGNLVDMACQ >KVH89927 pep supercontig:CcrdV1:scaffold_684:161493:164742:-1 gene:Ccrd_008079 transcript:KVH89927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MASLPPPPSIPIPLHTLFLFVFITVVEFSGVTSQQFLTSERNTLLNLKQRWGNPPSLTNWNASSSPCKWPEVFCNANGSVIMLNIPSKGLTGPIPASICDLRNLKNLLLYDNFLTGEFPRVLYNCLKLIELDISQNVFFGRLPDDIDLLSGLKSLVLGANNFTGDIPPAIGNLSALMSLLLYQNLFNGTIPSEIGNLSNLESLGLAYNSFATPEIPPEFGKLSKLKILWMTQTNLIGKIPESFGNLSSLESLDLSSNNLEGEIPSGLFLLKNLSDLFLYKNNLSGQIPSVIESLNLTRIDLAMNMLNGSIPEDFGKLQQLEVLHLFSNQLSGDIPTSISQIPTLKIFRIFRNNLSGELPSELGIHSNLEAFEVSENKLTGKLPENLCAGGTLFVVVAFSNNLTGEIPGSLESCDKLHTIQLYDNSFTGEFPSRIWTLFNLTSLRISRNQLSGELPTRVAWNLSRLEISDNKFSGQIPAGISSWTKLNVFKASNNLLSGEIPTEFTNLPENYLKKKQKRDLTTWKLTSFHKLEFTEANILSCLTENNLIGTGGSGKVYQIEIGRIGEYVAVKKIWNNKKLDHNLEKEFLSEVQILGSIRHSNIVKLLCCISSNDSKLLVYEYMANQSLDKWLHSKKMKTNRGLVHHMILDWPRRLQIAIGAAQGLCYMHHDCFPAIIHRDVKSSNILLDSEFKARIADFGLAKILTKQKPGQANNTLSAIAGSFGYIPPDVYSFGVVLLELVTGKEPHEGDGDMNLAEWAWWHYGEGNSMIEALDPEVKQANMEEISLVFKLGLICTSTLPSSRPSMKEVLEILRRCKPASEERKVDDEFDVAPLLRRESYLSNYRRSGSKVLNESINIFDGRL >KVH89928 pep supercontig:CcrdV1:scaffold_684:148830:159094:-1 gene:Ccrd_008078 transcript:KVH89928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brix domain-containing protein VSLLLFNVKGWCLLGSPLAPPPSAAFIFWYIMLHIKTPKSLRAKRELEKRAPKLVEYGKKTLILHGTKTSNVLNAVLTEIYHLKKDNAVKYSRRNDNIRPFESGGETSLEFYSLKTDCSLFVYGSHSKKRPDNLVIGRTYDHHVYDLVEVGIENFKSMASFSYDKKIAPLIGSKPFFAFIGEGFENVEELKHLKEVLLDLFRGQVVKNLNLAGLDRVYVCTAVSSNKVLFTHCALRLKKSGTIVPRMELVEVGPSMDLVVRRHRLPDESLKKEAMKIAPELTKKKEKNVSKDAIVGKIGKIYVPDQEVGNASLPYKPKGVKRERREAKAKGESNNHAEKKQKQQDDDC >KVH89934 pep supercontig:CcrdV1:scaffold_684:34038:42662:1 gene:Ccrd_008070 transcript:KVH89934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHIFSIIVMFLFVAVVKDTIQFSWGLGMDDGEGYDGNLGENDDGFISLFHHDYSPPVPPPPPPHPPSATCEDDLGGVGSLDTTCEVVDSLNLTQSMYIAGKGNFFILPNVTVSCSVVPGCELGINVAGDFSLGENAQIFVGSFELEAANASFANGSLVNTTGLAGDPPEQTSGTPQGLDGAGGGYGGRGAACLMDDKKLPDDVWGGDAYSWSTLQMPWSYGSKGGTTSKEVDYGGGGGGRIKLVVKSHIEMDGSLLAEGGDGSVRGGGGSGGSIFIQAYKMIGSGNISACGGDGFGGGGGGRISADVFSRHEEPKIFVHGGNSLGCPSNSGAAGTFYDVVTRSLTIDNFNMTTDTDTLFMEVAYQPLMTNIFIQSFAKVSVPLLWSRVQVQGQISVLDGGILTFGLAHYAVSEFEVLAEELLMSDSIIKASNYLIQL >KVH89935 pep supercontig:CcrdV1:scaffold_684:88519:97801:-1 gene:Ccrd_008073 transcript:KVH89935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase MEERSNSQMKIEKGDYGYVLEDVPHLTDYIPDLKTHHNPMRFNPAYSVVRQYFVDYDDTVPEKVVVHKDSPRGVHFRRAGPRQKVYFASDEVHACIVTCGGLCPGLNTVIREIVCALYHIYGATRVLGIDGGYRGFYSKNTITLTPKFVNDIHKRGGTILGSSRGGHEKSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEVIDRSFGFDTAVEEAQKAINAAHVEAESAENGIGVVKLMGRYSEGGLFEYVEKRLKENGHMVIVVAEGAGQELVAQSHSTVAKDASGNRLLKDVGMWLSEKIKVLIFNLIANWLSHADPTYMIRAVPSNPADNIYCTLLAQSCVHGAMAGYTGFISGLVHGRQTYIPFSRIVGHHNTVVITDRMWARLLSSTNQPSFLRPQEVIEFQKAEEQHYLATHALDGENSSSSSGNNN >KVH89925 pep supercontig:CcrdV1:scaffold_684:16487:22793:1 gene:Ccrd_008069 transcript:KVH89925 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MGLGFRLNLKWGLSIQPPLSSNSSFFPSSTYASFKGKDINYDMARVSLPMESETSYNDPVNGNACSSTSRILDIDYSETGNIKRSGGSSLRHDKLTFLTSLQANNISQYRLLLENLVVLEDTFADSDVVTLERDILVQLEKLGALRLLRTSFSEIQKHPFSTNSINEGIVKPIVPSTRKIQRKSQRERTSKKGNGVPMGFRSEAIKHKNSRRVNFSSRRSSNSKAGRLKITRNEAELSQGVKMVANLERIRMMLEEDTGPMVSFSSWAAAAGIDKKVLLENLQFGWCCRDELLKSTRSLVIYIAKNYNGQGIAFKDLIQYWIKKSLLMLLSRHSREIRIPLTLSKAISQIQKARKALASGDGRWPDDCEVAKFTGLSLAKIGSASNCLRVVGSINQNFSNGIDAKFWECMPDPAAMTPEEILMKQDMMNEIYNRMRDLDARERIVLVLRFGLKGYQRKTLEEIGRSFGVSKEWIRRIERRALTKLKVDDEETLQSLRHYLYI >KVH89924 pep supercontig:CcrdV1:scaffold_684:7442:14012:1 gene:Ccrd_008068 transcript:KVH89924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MFSRMFGKPKQETNAVTTLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAQAMKAMQKAVNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGRQPTRPAPRKNTAEEDELAALQAEMAL >KVH89929 pep supercontig:CcrdV1:scaffold_684:112019:120966:1 gene:Ccrd_008075 transcript:KVH89929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin 93 ENOD93 protein MGIPMDMRDAWVNNRRKSSSFMIASPEEDLKFLRSQMCTQEGVREGMKAASVACVASAVPTLVAVRTIPWAKANLNYTAQALIISAASIAAYFVTADKTILECARKNTRLLYDKTA >KVH89936 pep supercontig:CcrdV1:scaffold_684:81736:85766:1 gene:Ccrd_008072 transcript:KVH89936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MAIAMESQPEQKASTEDATEKKRWTLSDFNIGKPLGRGKFGHVYIARERRSNHVVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFTERRSATYVASLARALIYCHGKHVIHRDIKPENLLVGSQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLYGIPPFEAKEHSDTYRRILQVDLKFPPKPVVSAAAKDLISQMLVKDTTKRLPLHKLLEHPWIVQNADPSGIYRG >KVH89930 pep supercontig:CcrdV1:scaffold_684:103503:111318:1 gene:Ccrd_008074 transcript:KVH89930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sigma-54 interaction domain, ATP-binding site 1 MFWREREREINKEQNGGPPCGQVRVLVVGDSGVGKTSLVNLIVKGTSTARPPQTIGCTVDVKHFTYGTPSSSSDSIKGDKDREFFVELWDVSGHERYTDCRSIFYSQINGVIFVHDLSQRRTKTSLKKWAAEIAATGTFSAPLANGGPGGLPVPFLVIGNKADIAVKEGTRGSSGNLVDMARQWVEKQGLLPSNEELPLIDSFPGSGGLMAAAKEARYDKESVLKFFRMLVRRRYFSDELPMASPWSTSVQRPLQRPGDNLSDDDQLYTSTRTIGEAYKYNVLPPLPAQRNLTPPPTLYPQQPVLTPDNYNIPRFTLSGVQEINNIRSKRNDINV >KVH92000 pep supercontig:CcrdV1:scaffold_6841:3031:5845:1 gene:Ccrd_005971 transcript:KVH92000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MKGNWKAAKDIFEKHEELVRFSINRNCETTLHVAAYEGNTLFVKNLVTLMENKDMELQNSSSNTALCLAAVAGHVEMAEIMVTKNKTLLDIAGSEGKMPLYMAALSGRHDMVKYLYENSERMTGGFWTHESRGCVLLSCVEAELFANKYKRLIDEENQALKLLRIIWAHIVKLPKAKIDDILRGPADPIMEDDKLTQKYSSRILFVAAGMGNTAFIVELIRQYPERVLELDDNNQTIFHVAISHRHFSIYSLLYEIGSIKDSVINLEDGKGNNMLHLVGILEETTMIMSNQLQNISGAVLQMRQELVWFELHLSEVKAILPPSLREKKNTAGLSPHELFTENHKGLVSKGEEWIKKHLLN >KVH99363 pep supercontig:CcrdV1:scaffold_6843:16209:21297:1 gene:Ccrd_022407 transcript:KVH99363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MPPDAWGFALLCHRIYVTSFLFFFSEDTSKRRSFGSRVFKDGEKPHLSPSQDRNASYKFPTLKLVLVIIILGAFFTLLHSPAVYNTERPSHSRSQASLVERWIKEKSPIEDERYISRLKVNWKHISGVIKKLPDTNEYKGVGLLNFNESEIVQWKKMIPSAEHISLHLDFVAKNITWEDLYPEWIDEEEEFKVPVCPTLPNIQAPRTPRIDLIAVKLPCLRTRDWSRDVARLHLQLAAARLAAKSKGYHPVRVLLVTNCFPIPNLFTCKELVVRSDNVWLYEPNLNMLREKVQLPVGSCELAVPLKAKDYRYSGNPKREAYATILHSAHVYVCGAIAVAQSIRMSGSTRDLVILVDSTISDYHRGGLEEAGWKVHTIERIRNPKAERDAYNEWNYSKFRLWQLTNYDKIIFIDADLLILRNIDFLFEMPEITATGNNATLFNSGVMVVEPSNCTFKLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKEMKTRLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNVAKIQEFASDVAHARWWTVHDAMPENLHKYCLLRSKQKAALEWDRREAEKGNYSDGHWKIKINDSRLTTCFEEFCFWESMLWHWGETNWTDNATTAAALAPPITAATGLLPAL >KVH99362 pep supercontig:CcrdV1:scaffold_6843:2528:3169:-1 gene:Ccrd_022406 transcript:KVH99362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNQSKNSILNPKIANVGTQLPLLFLPNDDAPMAKKLYSCSSSYYDQLHSYVADDLTVGYPSCHKLLNRRLSYVAGSGAEKLTAEDGGFVKGVVTYMIMDIWR >KVI03168 pep supercontig:CcrdV1:scaffold_6847:11419:13730:-1 gene:Ccrd_018537 transcript:KVI03168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLTRFSWWYWCNGKEKEPTISSGSSSDSMKLSSSKKKGTNLTSSSSSKKVKKKWQSREERKRLVDKEYDVVLVPSDGVCLSESEESSYDSDWSIGWMEPHAHEFLQSDSDDVSDNSFAVLVPCYRNDCKAFKMEEEPSNQLLSVLSKLPTGFPAAAEGNEYMEQWLASLQNL >KVI11289 pep supercontig:CcrdV1:scaffold_685:107039:109208:1 gene:Ccrd_010302 transcript:KVI11289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKNSFQFCLNWSSSLFNFASITDSSSFSILSRESVTVILLKQLSSFQLHLTIAFAKNSHLCFILTRTHSVAVSFLYAGGLTLLLSLFAGSLFVGFDKVIVWRILHK >KVH93150 pep supercontig:CcrdV1:scaffold_6850:9152:12560:1 gene:Ccrd_004807 transcript:KVH93150 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase Nse2 (Mms21) MASTSAPRSETGSSRMKSAASTLCYDNQTLLADIRKAMGTMKEIGVSLEKQHKSQMVKELEAEFMELLKAYEDCANLSSAVDSVGNMYRPNEQVTDFKKLLDDEMAKTKRHSSSVPQVNQLFRQFREAIWNVHNSGQPMPGEEQEDIVMTSTQSILLNITCPLSGKPVGQLSEPVRSMDCKHIYEKKVIMEYIRSKHGQPKCPMAACPKKIVAERVVCDPLLRVEIEESCAMNKQTVRPNVIEDFTEDLEQEDSD >KVH93149 pep supercontig:CcrdV1:scaffold_6850:2202:2759:1 gene:Ccrd_004806 transcript:KVH93149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MNNFHNQKTISPHRHTTKRLTEDQVRLLESSFDSTKKLEPERKQQLSRQLGIPPRQIAIWYQNKRARWKNQSLEHDYSMLQLQLQATLLETRHLQKEVEHLRAELNKVRGEQLARNYKYQRPPPVDSSFSRCGEDVGSSSRSLENDHVDNLYCYGEQVFQVEEMYAAACMMGADLGLFGSKTNLF >KVH93148 pep supercontig:CcrdV1:scaffold_6850:19526:22240:1 gene:Ccrd_004808 transcript:KVH93148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFKYKDIYQFIQIQYHTPISYLNPPPPPPPPSSMLHSPPHLLSSSSTLLLPRFSLLPNPNFLRTSIKPYSISQQFSTRHIVPLLAQLSGSPRPEDGPVELPFSLPSFISTNDDPSTLQVATSVLLTGAISVFLFRSLRRRAKRAKELQFRSTGAKKTLKEEAMESLKAMSPVEANAPPSPLQALLGGLSAGVIALILYKFTTTIEASLNRQTISDNFSVRQITITIRTIINGICYLATFVFGINSVGLILYSGQLGYNAIMEDSSSDKMQSSDETQVKSNESEMNMNDGGQDSNSRKQ >KVI00702 pep supercontig:CcrdV1:scaffold_686:60504:68056:1 gene:Ccrd_021048 transcript:KVI00702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MDLQFKHVLLIFLLLLVQYGSVTADSENNTSQRLITAPLKETIGSVIDGTNLGEVFKSDTVTDELEGTNSGNGRVSVTTVALFTLAMAAATGLGALPFFFVELDAQWEGICNGMAAGVMLAASFDLIQEGRDHGSGNWVVLGILAGSVFIWLCKKFLEHYGEVSMLDIKGADASKVILVIGIMTLHSFGEGSGVGVSFAGSKGLSQGLLITLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPLVAVPSFICADAFNKLLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSHVASAATLSVASMEALGAMFQNLGLNYNSEGASGFLVSLLFGLGPLLGGNILVAFALAFHLQHALLTGVASGIAFVLGAWRPLQLLFSSKMGFVTLTFLLAMGSGFTHISTSMATKIVTRKKTSANTLSDATGITMSALTLQSILSCLTVALHALAEGLALGVAAPKAYGFGRHMVLPVSLHGITRGAAVASCIFGATDSWYGSLAGSGLIGIVGPISAIGAILAGIDYSGLDHLMVFACGGLIPCFWSMLRRAMRLDKQKSIVGLITGIGFASVCLMSTKLVCLHTPYCNSAPEAVR >KVI00697 pep supercontig:CcrdV1:scaffold_686:21649:23875:-1 gene:Ccrd_021047 transcript:KVI00697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVTTSVFIAFRKVLHLTIHVASAPHILQDVGAIPCHSWNRLYGSYTINEGKMLPDIIPPNQMALGSNSDECLGMGSLILKWMLEKVANAHQENIKQRVAVFSASVSMLKI >KVI00700 pep supercontig:CcrdV1:scaffold_686:128521:137631:-1 gene:Ccrd_021050 transcript:KVI00700 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5, heme-binding site-containing protein MDHLIKPNGQMLSLPTLGATKRLRVGVPDDENSNAQKGIPDVSSISINDENKNSGTIWSDNSRSTADLEKHEKVGSLTFKVIDASSQKKPTERPKQAAVDVGSSVDTSQRPKIVAKKPASRAKVPFQIGYSHMDWLKLTRTHPDLAGLKGQSNKRLISLAEVKQHQTEDSMWTVLKGRVYNITPYMKFHPGGVDMLMKAVGKDCTALFSILLICFSDHQACPLINKYHAWVNAEFMLEKCLVGVLEDSRR >KVI00701 pep supercontig:CcrdV1:scaffold_686:150303:150524:-1 gene:Ccrd_021051 transcript:KVI00701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYTEILDAFRLVCRFHSHCPQTARMYYHPPADHHTHDGDGKAIDDRTGGGNGVGEPRNVAVINTFDLLCVI >KVI00699 pep supercontig:CcrdV1:scaffold_686:163938:164392:1 gene:Ccrd_021052 transcript:KVI00699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF677 MNKFIVVALCCFFYLLGAWQRSGFRKGDRIVVLICFVVAAAIVAPPVAASLAAAIAIPLGSMGKWINCLLKNYENTIKGK >KVI00698 pep supercontig:CcrdV1:scaffold_686:13273:15049:1 gene:Ccrd_021046 transcript:KVI00698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEIVDPYHPTDTSRSHPSSTFNVSSEVIKTGCVTTSILSGMRNRGHVASLGKFGSEHHVKGPFIIQGDKEPHAF >KVG56295 pep supercontig:CcrdV1:scaffold_6863:10278:13996:1 gene:Ccrd_026349 transcript:KVG56295 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGIASSKAERCEALRLCKERKKFIKKAIDSRYDFAAAHLAYIRSLRNIGMALRRFAEAEVLLDTSSATEIDKTPTHSSPSPPHLGGGGGGGAAAASDGSPHQTHVSRLSYMRSVGTNAVTVSLNPSKIDISTRVYVDDVDSMSFSMPPPPPPPPESSSSWDYFDPADDNESFRFVGHDGFHVNFNDMSVRKQFTVKDSNINGGSTTPPESAKKDQHNDDVNVNSVALNIESTEEFNNVSDSAAGALICRTSESVLKEESCLGEGETEDPSEFITHRAKDFLSSIKEIESRFFRASESGKEVSRMLEVNKIRVGYSQTKGTSPSSTLLCVNCCNGKTTLVSHEPPHVTKVITWKRSTSSHSSSSQNPLASRSKDDNDDSGSDFIEEFCMIAGSHSSTLDRLYAWERKLYDEVKASESIRKEYDRKCDRLRHQFAKDLKTHVIDRTRSLVKDLHSRIIVALHTYITISLAYHLKKPTNGTHQDEAKNQIMNGLQHEIECFGLSFADMVNSHTSYVESINGWLQNCIIQPPERVKGRRPFSPRRAVAPPIFVICRDWSAGIQTLPSQQLSDAIKAFLSHLHQQQSAEEVDQKPTISNDDDTKTDGIAPVNLGSMHLSLTKVLDGLTKFSEASLKMYEDIKQKSETAQNVYSNYRPPPRAYSI >KVG56222 pep supercontig:CcrdV1:scaffold_6866:14772:21791:-1 gene:Ccrd_026350 transcript:KVG56222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGGFHVNQHQIRVRKKSERIMKKTYFSNFKNTIDNRVDVDEDSEVGRTNDVHSNEGCSSKGKCLEIQQSTEADVGRSNVVPRPPQGSSKGKVLEILKTQEPTEAKVERTTGVPSIAQGSSKGKVWEILDTQETTGNLFSSYN >KVH94582 pep supercontig:CcrdV1:scaffold_687:57774:61316:1 gene:Ccrd_003351 transcript:KVH94582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGCFFCSGEDSSAKRSPKNNRGNNTQNDQSHPSSDKSKSAPQVKKDNGQEANQPSVANECEDKSKSTNKQVSKKTKARTFTFAQLVAATNNFKAAYFLGEGGFGKVYKGKLADSRRPNRKRLDWNARMKIAAGAARGLEYLHDQMNPPVIYRDLKCSNILLDEEFHPKLSDFGLAKVGPLGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDNTKSAAEQNLVTWARPLFKDRHKFHKMADPVLEGQYPVRGLYQALAIAAMCLQELPNMRPLVADVVTALNYLASQKYDPLTHPVQHPWRGSRRSRSNNENPNPDQGDE >KVH94583 pep supercontig:CcrdV1:scaffold_687:65131:67889:-1 gene:Ccrd_003350 transcript:KVH94583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 MERVEALKHLLESAKYDSASIIQQSICYDKKREWSVLVSWGFAIQIMRGIISPRELESPTRTFINWYKVLDFTAYAFNTRPVAGHPCQQPFVFYINSTQYDKARHQIIGIYTIAWKATVKRMKGEDRNPLMGWFEMSKMAKPDSGMSDSILKGVDIKFHANQKPGFSYGDLKYVKGEGTVLTAGDGTLAGEGDQDEVFAGEDGWKKITVLAPEDQKKMTFWPLVF >KVH94589 pep supercontig:CcrdV1:scaffold_687:185407:186968:1 gene:Ccrd_003344 transcript:KVH94589 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MYGHSHNNDTFSFSPEFLAVQGSAAPLPPLHAAADYFMPPPPLAIPTYEFDTVYATSSGGYDSPSYTGSPSMVIQRSGSSHSIATQFHNNGLFNQLVSPPNEFVDSEGSSSTTMRRVFSAEDLQGVNMVQNHYHRSESPLSSESNSIIESMNKACRYSPDEKKERIERYRSKKTQRNFTKKIKYVCRKTLADSRPRIRGRFARNDEIEKATEHQTTSQGGGEEGIDEEEDDNWMNNFLDSFPPNLIP >KVH94584 pep supercontig:CcrdV1:scaffold_687:41892:47206:1 gene:Ccrd_003352 transcript:KVH94584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MDRHESKGGGPGVVLKGVPAYGGKYIHYSVLGNLFEVSSKYVPPIQPVGRGAYGIVCCAKNSETKEEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHENIVKIKDIIRPPDKEKFNDVYIVYELMDTDLHQIIRSSQLLTDDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLDANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEILLREPLFPGKDYVQQLALITELPHVPKKSFQQKFPNVSPVAMDLAQRMLVFDPSKRITVEEALNHPLLRNLHEINEEPICPSPFVFDFEQASLSEEDVKELIWKESLKFNPDSVTAGYLDSGNLLSFQ >KVH94587 pep supercontig:CcrdV1:scaffold_687:136998:139344:1 gene:Ccrd_003346 transcript:KVH94587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MQNEGDDDINQITHSLYKYPFTPQPHYNQTHKPELKKMTAMETVLSRSLSGGGGGGGGGSRWCPTPEQVMLLEGMYRGGLKTPTATQIQQITARLSLYGKIQGKNVFYWFQNHKARDRQKLRKKLMSIYQHQRLYSPYHHSLPIQGGVIEDTSSCKNRVNKWKVDPHVDQTCNFKY >KVH94590 pep supercontig:CcrdV1:scaffold_687:146468:147850:1 gene:Ccrd_003345 transcript:KVH94590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MNRRTMRMKSVLLHIVTTTFIQMVNSSNMATMSKMKAMYILGDSSVDCGENTLFFPFLLNNLSLHPCDGGPSSILPHLLAKRIGLPYPIPFYSQNGSTEVLLRGINLGSTGATIMSNPTNPVLQSLNQQLRQAFEIFQLLQLELGQETANDFIQSSTLFYLSFGKDDYIDFFRSNSAKGPGSDAEKLSRVLVDQMTNAVQYLYGSSVRKFVFMGILPLGCAPRFLPLTNDSGSGGHRGNRVVCADEINDFVSKYNTYLEQRIVSLNVELNDAELIF >KVH94586 pep supercontig:CcrdV1:scaffold_687:67943:68987:-1 gene:Ccrd_003349 transcript:KVH94586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 MPSTTPSPPSFTLPTALRFSLLAFFFLLLLYSPKLLIPPPTSTTATTTNCRDSTANPTTSKPQEPIHGYDLELKHIAFCIAASANLWESRKEYIKLWWRPGETRGAVWLEQQVEINYEENLPEIHISEDTSRFPYTNHQGHRSAIRISRIVSEALRLGLADVRWFVMGDDDTVFVVENVVRVLSKYDHNQFYYIGSSSESHLQNIFFSYSMAYGGGGFAISYPLAMELEKMQDRCIKRYPGLYGSDDRMQACMAELNVPLTKEPGFHQAIP >KVH94588 pep supercontig:CcrdV1:scaffold_687:116156:117026:1 gene:Ccrd_003347 transcript:KVH94588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMPDFGRVIFMLSVVVVVHVMFSKKMALASSGATIPLHLNAKRNCTYAITVKTTCIKGADTTNHVSIRFGDSNSNDIFVHRLNSKHVKRLDPLEPQVLDDVPTKPFQACTIDQFEHKSHCVDSPVCYLYLKLTGQDDWRPGFAQVRVLEGPHLSSDYFYFRRYLPRNVWHGMDVCDTDVTPFGLKYKKKLFS >KVH94585 pep supercontig:CcrdV1:scaffold_687:71098:99681:-1 gene:Ccrd_003348 transcript:KVH94585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate decarboxylase-like domain-containing protein MANTMNRNRGQDTTLAFTKQPYVEDVGPRRIKSIKFSMFSDADVLKLGEIQVSRSQCYVSQDNKSPVPNGLLDPHMGPPNKLGTCDTCHGQFQNCPGHFGYLTLVLPVYNVGYLSHIVDILKCICKFCSRILLLEEERVDFLKKMRNPKLDHLKKNEIFKRVVKRCTAMASSKKAVTCSRCGIVKKAVGTVGVIHDRNKVQDTTSKESNDAISHMKESKTPAILSSFLNPDKVLKLFKKMLDELFQVESGKLMPEEAEFDTRREFEELVDIDDAGCDIDLSKWVLVFESFEQADPSINKLHGGNGLGPCIDCDLLYLADRPEKLIISNIPVPPLPIRPSVKVDGGTTSNENDITLRLAEIIKANATLNQVLSETSVNNKSLDGWEHLQLMVALYINSDVRVPPQLSMQNAKPMSGLVQRMKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTYPERVSHHNIERLRHAVRNGTSKYPGAKHIRKPDGTMMSLSINARKRLADELKFGDVVDRHLVDGDVVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRASFALMCCYMGDAMDPLDLPTPAPIELWTGKQLFSVLLRPHADMRVYLNLILTEKSYTKPSGKRDKPYETMCPNDGYVYIHNSELISGQLGKATLGNGNKDGLYSVLLRDYNSHAAAACMNRLAKLSARWIGNHGFSIGIDDVQPGDDLNDNTSRIISEGXWIGNHGFSIGIDDVQPGDDLNDNTSRIISEGNRKCDNFILDFNKGKLKLQPGCNAAQTLEAEITGVLNKIRDETGKVCMEKLHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGQRAPNGFIDRSLPHFQRMSKTPAAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSVYYDNTVRDSSACIVQFTYGGDGRDPSQMEGKAGFPLNFDRLLMKAKATCPAGKHRGLSSSEIREVVDERFSMHDMTPEGGCSEDFRKKLKEFIEKYATTLESTRRALQPHNVQIQDEESTILEDVAQSISGITSQQLQVFLNTCISRYHQKKIDAGTNIGAIGAQSIGEPGTQMTLKTFHFAGVASMSILFYLYVLCRSGLLNFVIDVTLGVPRIKEILNAAKKISTPVITAKLKTNNNVSFAKLVKGQMERTLLGQVAKSIKLVLGLRSASIVISLDKETIQALQLSVDAYTVLDARKLEVNPSSDRNKLYFDLHWLRNKLPTVVVKGIVMGMEGINGHETTSNHILELQSTLGIEAARRSIIKEIQYTMESHGMSIDIRHMMLLADVMTYKGEVLGITRFGIQKMKESVLMLASFEKTSDHLFNASVNGRVDKIEGVSECIIMGIPMQTGTGMIGVKQRVPHVEMCKGSEKTPFSRCPASFESKSGSLVRKRIAKVAVGKPIIDAIRLVNLRDFYTISR >KVI05228 pep supercontig:CcrdV1:scaffold_6874:1156:2652:-1 gene:Ccrd_016441 transcript:KVI05228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILKEGLVLALIIFMVVSSYPDTIALANAQSAHNRARQLDTNCDGAQQSQMPWCGGGTNGGSGNGGNRRGGGGGSGSSSCRFGCCGYYKWGTCKCC >KVI05227 pep supercontig:CcrdV1:scaffold_6874:16096:19683:1 gene:Ccrd_016442 transcript:KVI05227 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKVSVTECGVGIVVIVLVVWYGWRFVNWVWLRPKKMEKYLREQGLKGSSYKFFFGDMKEMVKMAKEAKKSGPMINLTNDIVTRIQPFIHKTVSTYGKNCAFTWLGPRPCVHITESTMIKEILANYNKFPKQTGGHPFKKFLVKGIVATEGDRWVKHRKIINPAFHVEKLKVCSSCLTYYNMKVNHMVPKFYMGCAEMIKKWEERLQNEGSCEVDVWPYLQTLTRDVISRTAFGSSFEEGKRIFELQQELFGLVLETIQSIYIPGSNLLPTKRNNRIKEIDREMNALITSIIDKRMIAMKAGENXKDDLLGVLLDSNSKEIKKHGSSNSGLSIKEIIEECKLFYIAGQETTGNLLVWTMVLLGQHTNWQARARDEVSLVFGKEKLNIDGLSRLKILNMIFNEVLRLYPSVATLGRMVNEDTKLGNIILPAGSYVFLHILLMHHDEEIWGDDVKEFNPERFSEGVSKATKGQSSYFPFGGGPRICVGQNFAFLEAKMTLAMILQNFSFNLSPSYSHSPHTXLTLQPEFGAHLMFHKL >KVH89899 pep supercontig:CcrdV1:scaffold_688:141352:144250:-1 gene:Ccrd_008104 transcript:KVH89899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide translocator 1 MVVEGKGEESVSSESKSDETEMTTMSSYWLINFPRDLMAGAFMGGIVHSIVAPIERAKLLLQTQESNIAIIGGKHRRFKGMIDCIVRTVKEEGIISLWRGNGSSVLRYYPSVALNFSLKDLYRSILRNDNFQTSNNFFSGPSANFIAGAAAGCTTLVIIYPLDIAHTRLAADLGRTESRQFQGIRHFLSTVRAKEGIRGIYQGLPASLHGMVVHRGLYFGGFDTIKEKMSENTVSEVSLWNRWIVAQGVTTVAGLLSYPLDTVRRRMMMQSGSDDRMYRSTLDCWKKIYKEGGIGSFYRGAVSNIFRSTGAAAILVLYDEVKKLMEWSGL >KVH89900 pep supercontig:CcrdV1:scaffold_688:95217:102962:1 gene:Ccrd_008107 transcript:KVH89900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MWESIFLTVAATAGNNIGKVLQKKGTVVRAYASNKPWLIGFLMDIFGAILMLRALSLAPVSVIQPVSGCGLAVLSIFSHFYLKEVMNVVDWMGITLAGLGTIGVGAGGEEQKASSISILHLPWVACGVAFLFVLLNGWLRIYRRQRREQELMQSEVVEEIIYGLESGILFGIASVISKMGFVFLEQGFSALLVPICISISICCSASGFIYQTRGLKHGRAVVVSTCAAVASIVTGVLAGMLALGERLPESPTSRLWLLLGWLLIVSGVILLVTSTRLVRFLPRKWRPVERPFASRQASSLRSREATSNPSTIIQTATLHHLVTSPAKAKP >KVH89895 pep supercontig:CcrdV1:scaffold_688:6437:31543:-1 gene:Ccrd_008111 transcript:KVH89895 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MRGHDWINSMLPDELILEIFRDLDSKSSRDACSLVCRRWLTLERLSRDTIRIGASGSPDALVNLLASRFVNVTNVYIDERLSISLPVDFVSSFLPGRRRSASQSALSWLRLHIVSERSESGASELDSYCLSDAGLAAVADGFTKLEKLSLIWCSNATSAGLRFVAEKCQSLKSLDLQGCYVGDQGLGAIGECCKQLEALNLRFCEGLTDAGLVELALGCGKTLKSLGVAACAKISDVSLEAVGSYCRSLETLSLDSEFIHNKGVLAVAKXCPHLRNLNLQCINVTDEALSAVGILCVSLESLALYSFQRFSDKSLCAIGKGCKKLRSLMLSDCYFLSDKGLEAVAAGCSELARLEVNGCHNIGTYGLESIGRSCMRLTELALLYCQKVGDEALSEVGKGCKYLQALHLVDCSVIGDDAICSIATGCKSLKKLHIRRCYEVGSKGIIAVGDNCKNLTDLSIRFCDRVGDEALVTIGNGCPLLRHLNVSGCHQIGDAGITAIARGCPQLTYLDVSVLQNLRDIALAEVGDGCPLLKDIVLSHCRQITDIGLAHLVRRCKLLESCHMVYCPGVTAAGVATVISSCINMKKVLIEKSKVSERTRRRAGSIISYLCVDL >KVH89903 pep supercontig:CcrdV1:scaffold_688:178531:184139:-1 gene:Ccrd_008100 transcript:KVH89903 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein TKTAILKWKYCRNFGKKTAAISSTAPTKTACLKTTITIEGRKELVYQIVQYLSKGRSKKKHHLINSVVPSNDYYVSAAYKLPNGQRRFQSSYVGSFARRLRERDADRASDSSLLRELYHRNDPESVIRLFESQPSLHSNSSALKEYVKALVKVDRLDESELLKTLQRGIAGSGKPAYDEEMIGGFSALKNVGKSTKEGMLGTAAAPIHMVATEGGHFKEQLWRTVRALGMGFLLISGVGALIEDRGITKVWCYFLYADVVVLSGTTIELLFSYAGLGLHDEVQPSMESSTKFSDVKGVDEAKAELEEIVYYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPQSLDKALVRPGRFDRRVVVPNPDVEGTPGFSGADLANLVNVAALKAAMDGAKAVSMADLEFAKDKIMMGSERKSAVISDEVRKLTAYHEGGHALVAIHTDGAHPVHKATIVPRGMALGMVAQLPEKDETSVSRKEMLARLDVCMGGRVAEELIFGEDEVTSGASSDLEQATRIARAMVTKYGMSKEVGVVAHNYDDNGKSMSTETRLLIEKEVREFLERAYNNAKTILTTYSKEHHALANALLEHETLSGKQIKELLAQLNKQPQQQQQQLVAPQKSSQSKPVPPSTPNPAAAAAAAAAAAASAASAAAKAKGIAPVGS >KVH89897 pep supercontig:CcrdV1:scaffold_688:55927:65925:-1 gene:Ccrd_008108 transcript:KVH89897 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MNYYKKQCGSVVVENTIKEIVWSKVAESPALAAKLLRLHYHDCFVRGCDASILLDPAQNKTAEKTAGPNRSVSGYDVIDEIKTTLEASCPGIVSCADILALAARDAVSFQNPTTALEMDPNSALSFDSDYFRSLNKHKGLFVSDAALLTNQESAMVVKSLENPMVFFAKFARSMVRMGAIEVLTDVENTVRDIVWKKVEENPAMAAKLLRLHYHDCFVRGCDGSILLDPVQNTTTEKTAGPNRSVTGYDIIDEIKTTLETECPGIVSCADIVALAARDAVSFQFKTEMWPVFTGREDGKVSLAAEVGANLPSANANFTTLLTQFGNKELNMDDLVILSGAHTIGNSRCVLVARRLYNFTGIGDVDPSLNATYAQTLRKICPNPQNPATTLEMDPDSSLTFDSDYFRSLNQHKGLFVSDAALLTNQQSAQMTEVLQNPDVFFARFARSMVRMGAIEVLTEGQGEVRKSCRVINSQ >KVH89901 pep supercontig:CcrdV1:scaffold_688:120743:122700:1 gene:Ccrd_008106 transcript:KVH89901 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26180 [Source:Projected from Arabidopsis thaliana (AT2G26180) UniProtKB/TrEMBL;Acc:O64852] MGASGKWMKSLILKKSDKLDQDKVGRSGKKWKLWRSSSGEMGLGWKGFKGSHRGATSASDGSDSSSVVDSDAFSAAVATVVRVQPKDFKAVRQEWSAIRIQTAFRGFLARRALRALKGVVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQNMLNERRSQAELLKEAEIQMRQEGAFRRERALAYGLAQKQWKSNQGLDSRTNASLTSLKSQQFDKNSWGWSWLERWMSAKPWENRLMEQGQNDPLETTPPSKVCADNHKLTNSKSSEPEMVKVRRNNVTTRISAKPPQISGQRTRLSSSPSSEFRFEGSSGSSSLCTSTTTPISVDRTEETTNINNGNKPSYMSLTQSTKAKQRNPSPRIYRQSMDDFHFLKNSGVLCNIDSNSSNCSDPSSVNLSVSRPLATRVEKSSRNRSCYA >KVH89904 pep supercontig:CcrdV1:scaffold_688:148005:149661:1 gene:Ccrd_008103 transcript:KVH89904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily TTRKRLIRWPVKETEQLRDKKHHVTYSSKNLKGESLFEVSGITASQVDIEVSFMLPKLEEVEVLDPNWNDPQLLCSAKTATVGGRAGPFGLLVMASENLAEQTAIDHSVIESFGGEGRACITAEFTRDFSSIKKPISMLLTMEVLMLLFQS >KVH89902 pep supercontig:CcrdV1:scaffold_688:161955:165048:-1 gene:Ccrd_008101 transcript:KVH89902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MKGMFLDDSMSNLTSASNEASLSSSSNKNEVGTMYPPPQQMQQSFASVPINSNNQTQTNKKKRNLPGNPDPEAEVVAMSPKSLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSKQEVVRKKVYVCPEPSCVHHEPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREHRCDCGTLFSRRDSFITHRAFCGALAGENARSSSSSLLSPNHLPLHLPINYPLKSEPHLFQRPQVLNSNIITNTLQNHQLPSWFVHHHQENPSPNNNNNNNNLHLPSPSSPPHMSATALLQKAAQMGVTMSKPAASTNTAIVMSQQQQQQHQSIPNGPHQNDHMCASTLLTAHHHDSGLENLSCSDDDHHAMLGLKGGFANVSMAGCLDQIGATTMHAHSQMLHTNSLACTSVGSTALQEAFNGMLNSTKGIHNTGFQEAFFRQASEASLNPTKGGGGGGRGGGGGGNDELTRDFLGLTGFPPPTADHHHHLLNMAGLDPLNTSPYHHHQQQQQQQQQQHNLNQNQNQLPWNG >KVH89894 pep supercontig:CcrdV1:scaffold_688:37650:50079:1 gene:Ccrd_008110 transcript:KVH89894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFFKALRPKTPQELARAIKDSLMALDTKTVVEVKTLEKALEEVEKNFGAMKVMLLGDGEVEPNVDQIIQLTVEICKEDVVALFFHKLSILGWEARKDLVQCWSILLKQKVGSTYCCVQFLENHSDLLDFLVAGYDNKEIALNCGNMLRECIKFPTLAKYMLESPSFELFFKYVELANFDVASDAFSTFKDLLTKHPIAVSEFLTSHYDEFFEQYEKLLTSSNYVTRRQSLKLLSEFLLEPPSSHIMKRYIAEVQHFKVMMTLLKDTSKNIQISAFHIFKIFVANPNKPREIKVILAKNHERLLELLNNLSAGKGGDDDQFEEEKELIIKEIERGDVEYSK >KVH89898 pep supercontig:CcrdV1:scaffold_688:122032:130293:-1 gene:Ccrd_008105 transcript:KVH89898 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAQTCESGFTWADSHWSILALFAGILVYFYGPLWGVRKVPGPPPIPFVGHLPLLAQHGPDLFALLATKYGPIFRFHMGRQPLVIVADAELCREVGIKKFKDIPNRSIPSPILSSPLHRKGLFWIRDSRWSTMRNTILSVVKEAMRFYVVSPLVARETSTRVEIGGYILPKGTWVWLAIGVLAKDPKNFPEPEKFKPERFDPNCDEEKQRHPYANIPFGIGPRACIGQKFSLQEIKLALIHLYQRYVFRHSPNMENPLEFSFGIVLNFKYGVKVQAIKRTFHMGRQPLVIVADAELCREVGIKKFKDIPNRSIPSPIMSSPLHKKGLFWVRDSRWSTMRNTIVSVYQPSHLAKLVPTMQSIVETALQDLPNQDHDINLNELSLKMTTDVIGKAAFGFDFCLSKPKQHQHGDEQIDSFFRQHIYSTTMLKNDLSGSFSVILGLLLPILQEPFRQILKRIPFTMDWKIEQTLSILSSQLDKIVIKKMKDKERGSQDFLSLVLNARESETVSKNIFTPDYISSLAYEHLLAGSATTSFTLSSAVYLVSGHPEVEKKLLQEIDAFGPRDQVPTSHDLQSKFPYLDQVVKEAMRFHVVSPLVARETSTRVEIGGYILPKGTWVWMAVGVLAKDPKNFPEPEKFKPERFDPNCDEEKQRHPYANIPFGLGPRACIGQKFALQEIKLALIHLYQRYVFRHSPNMENPLEFRFTVVLHFKYGVKVQAIKRT >KVH89905 pep supercontig:CcrdV1:scaffold_688:149842:150078:-1 gene:Ccrd_008102 transcript:KVH89905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGKIDPAKVLKKLQKTGKTVEMILAYKFENISNDDEEHKLEAFQQPDVDPLISDTLGETPMYTIFSDENPNACSVM >KVH89896 pep supercontig:CcrdV1:scaffold_688:51325:55338:1 gene:Ccrd_008109 transcript:KVH89896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/ornithine carbamoyltransferase HHSLNLFLSGTTRLSGNKTLLHHHRHRHRHRNFRQFIDHLIHIVIRAFAFRTRRMYQKMAYAGLMPTKLGLEGSYDQIHRLRTTTSCMNVDNLRKDFVRGGKALSIKGPVKIPTKVLSIRSTLSCVEEKTGFKDFLHINDFDKGTILKILDRAKEVKALLKSGERTYLPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPKDIEMGKREETRDVARVLSRYNDVIMARLFAHQDLLDLAKYASIPVINGLTDYNHPCQIMADALTIIEHIGQIEGTKVVYVGDGNNIVHSWLLLASVLPFHFVCACPKGFEPDAKTVERAQQAGVGKIEITNDVKEAVKGADVVYSDVWASMGQKEEAAYRRQVFQEYQVDEKLMKIAGPQAYFMHCLPAERGVEVTNEVIEAPNSIVFPQAENRMHAQNAIMLHSLGL >KVG55469 pep supercontig:CcrdV1:scaffold_6882:3848:12979:-1 gene:Ccrd_026351 transcript:KVG55469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMNWNKSTNCCTWNGVICSQYTGDVIALDLPCAMLRGTIHPNSTLFTLPHLQSLNLAFNNLTDSQLPHEIGMLSNXLTXLNISESGLTGQIPSEISLLPKLVXLDLSWNFDLKLQPHVFYNLLHNSTSLXELLLDETHALLLFKQNLFSINDTSFDYDCQPWLGSSYHPVMMNWNKSTNCCTWNGVICSQYTGDVIALDLPCAMLRDVLDQGSIQQTFQQLPNLTLLDLSFNNFTGVWELDTLLSSLRTLDFLSLSYSGLSVMTNNASRYVNPNFQALELASCKIKVFPESLRAMRKLQSLDLSRNEIDGYIKELGGNELVYLDLSHNIITGPFPLSIWNMENLRYLNLSNNHFSGVIKPGDMNFSPSVIDMGNNNFNGTIPHVCGGELTGLILNGNQFEGKVPSCFSKCSYLQVLDLGNNRLTGAFPDHSTIFYSIIVVVKGQQPLFEKISNDYTIVDLSGNKFEGEIPNEICTLNSLIVLNLSNNHLNGQIPHTIDITSLEVLNLSQNLLVGRIPGGTQLSTFNTSFEGNPGLCGFPLLKECEHASAPQLEVDGEEDSVFTWKVLFLSFLFFFVFLSFASSFSSFSNTTHKCSPKHTHALLLFKQDHFSINDTSHHYICEDWLGSTYHPVMMNWNKSIDCCTWNGVTCSQYTGDVIALDLPCAMLRGTIHPNSTLFTLPHLQSLNLAFNNLTDSQLPHEIGMLSNTLIHLNISYCGLTGQIPSEISLLPKLVSLDLSLNVDLKLEPHVFYNLLHNSTSLEELFLDYVNISSILPTYLNISSSMKSLHLWSTRLQGKLPDNIFNLPYLEELDLGYNTNITGQLPNGYTNTNIPLKSLDLSDTNLSGQIPDSIGHLKSLNTLILIRCSLMGPLAKSLANLRHLTTLYLSYNKLNGTLPSWLFTLPSLKTIFLSNNMFGGSLPTELFNHQSLKILSLGGNQFVGEIDMVDQGSTTQSFWKLINLTYLDLSKNKFRGVWELDTLLSRLGTLEYLFLSYSGLSVVTNNASHYVNPNFQALELASCKIKVFPESLRAMRKLQSLDLSRNEINGHIKELGENELVYLDLSHNIITGPFPPSIWNMDNLQYLNMYNNRFSGVIKPRDMNFSPSVIDMGNNSFSGTIPHVCGGELRGLILNGNQFEGKVPSCFSKCPELEVLDLGNNRLTGAFPDLLGRLPKLKVLILRSNKFRGPIERGSSMIEHPFPSLSVLDLSQNEFGGHLPGKYFQNFDAMKNVLKDGTNTYLNLYXSTXFYSIIVVVKGQQLSFEKISSDYTIVDLSGNKFEGEIPNEICTLNSLIVLNLSNNHLNGQIPQTIGNLSEIESLDLSRNQLSGKIPQSLADITSLEVLNLSQNLL >KVG54850 pep supercontig:CcrdV1:scaffold_6888:16138:18611:-1 gene:Ccrd_026352 transcript:KVG54850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRQESPKKPWKRSRLWCHEESFNVLDKNKGSGKLKGLVLDMEMLEKEDIYESFELETNALSKMDNLMLLQLNFVKFNGSYKNFPKKLRWLCMHGFSLKSLPLDLPMENMVVLDMSYSNLESFDMSDGNPQQPGKRQKLSSSCSKGKQLLRSLKILNLSFCEQLQSLGGFSEFPALERLILSNCSSLIEVCESIEQCDGLDLIDLSYCNNVGKLLKTINKVKKVKILKLDGCNLGETMIEMGDDVEETLNCNNLGMNSQTSSSTIVEAIPRAFKSYLIYLPSSLVCLQLQDCKLSNESFPKDMSSLSMLKELYLDRNFFVSLPNWVRSLSRIEILGIGENDRLESLEHPPPTLKELRFDFDRDGEATFNREMSPVLLNYRVAAHDWENIEGIHKEEDMRDVEEKMVYEFGIFGTWYMGKEMPNWISDRMWKGTSISFTIPSSPCNIRGLNLFFVLTTDRWFFMYFVSIKISNITKMCTWIYDTSEGFKGSREGITYLSHWMFGKNEMEDGDQITISLSADHDGLFRRMECGVSLVYDEDEDKDDGLGYYKSWNHIIGGDLSPFQTTTPGEYYLQRFHFFGNKSFLCDYVDEHRRFTAFRPSK >KVH88593 pep supercontig:CcrdV1:scaffold_689:85882:90688:1 gene:Ccrd_026356 transcript:KVH88593 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex 11 MQILKAHSQRRATLREKTYQSLLRRNALQSKYKEHLHAAIYQKRATAEKNRVELLGTDKEKTHARRLQARKVAKSVSQQQETESLKLRESLEDKLQRAKRRRAAYLMEKVSLHNSVGVNWNEKTQKQADHLSRNLLRCWRKFLKQRTTLDLARSYHDLNINASHGKSIPFENFAILIETSSTLRTTKVLLDRLETRYRVLLAVASGTISPGQEDIDHLLTRVTLPNGATPRSPVRTRYVKKAESARAATKAPVKLSRYQVRVVLSAYMILGHPNAVFSGQGVRETALAKSAKKFVQEFELLIDIILDGPSQRSGEESNPASARSCTFRSQLAAFDTAWCSYLNSFVVWKVKDVESLENDLVRAACQMEISIMQKYKPTTEGDDNALTHDVKVFQKQVTEHQKLLREKVMHLSGEAGIERMQNALSDTRKKYFQSKEHCSPADSPVAHIPSPCVPTSLPMAGPDERSDSVVQSLSNDTDTMLPLEDLGSSVASNSGLVNDSGEMLGMENVFIVNEFLHGRHYSVADSSNISDENQKVRETMEKAFWDGISDSIQQEKYDHIVVLMKEVRDELYEMSPKSWKPKITEVIDLDILSQLLSSHRLDMEYLGTIMEFALVSLQKLSAVAHENQLKESHKKVVLELSELCQAGDGSNSSHAIALMKGLRFVLEQIQVPFYLLVALQDCNIALHYAHFQYAILFPRQVLKQEISKARMKILEPLLKGPTGLEYLGKAFVKLYGPPSDALNRLPMTMQWLSSVVPGKDQEWIEYKRAILELQEGSSSERPVIPSTALRTGGSFSSSRLQASADCKGEKGDLLVRLGLVKLVNNVNGVTQEELPETLKLNFLRLRAVQAQLQKITVIATRY >KVH88589 pep supercontig:CcrdV1:scaffold_689:106970:111481:-1 gene:Ccrd_026358 transcript:KVH88589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADAIGAHEVASSLNFNPPWMCQILPRLLPFHQEGQKRKFIQLINKGVGRTIESQGRELEWKIDLNKLRVLALLKKEQQPKDLKDSLKEMKEEMIKWLQYEEQRDSLWREEPEIDNLAALRKLQPFPNYLININLTCVPKGKRIRSEGTHRIDYVSAGVRENHQIMKTERIRPIYEFSVQLPALSSS >KVH88597 pep supercontig:CcrdV1:scaffold_689:148567:155732:1 gene:Ccrd_026360 transcript:KVH88597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MRPSACSLLAALFASFIFISSPVYASEYDHKYQSDDKVTLWVNKVGPYNNPQETYNFYSLPFCHKAGHAAHKWGGLGEVLGGNELIDSQIDVKFPMNVEKTTVCKLELDEPKTMQFKQAIENNYWFELFMGMNHMICRYGLITITGLIGFVGELHSDRNSDSKHMLFTHMNITIQYNKDQIIHVNLSQENPKPLEVGRTLDMTYSVKWTETNITFARRFDVYLDYHFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRNLVLLSAVVGTGAQLALLILLVILFAIVGMLYVGRGAIVTTFIVCYAFTSFISGYVSGGMYSRNGGKTWIKSMILTASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYYWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTAMFCLGLGILCGAVGHLGSNMFVRRIYRNIKCD >KVH88596 pep supercontig:CcrdV1:scaffold_689:156480:168438:-1 gene:Ccrd_026361 transcript:KVH88596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) SSEVGNGAAGSYVGQAGVFILSWLTLKSPTSRLKIHEEKKSGTLAKRSNTETTSDQSPLHPIPLPAILMRPSVRSSFSCSVVAALFASFVFISSPVFASEYDHKGRQNGLVVGNVSCVGGYYALEEKLTIDMTYAFNSIHNWDGGSYPDGAYNQDLEKVEDKMKKTTGEEAGIVYQSDDRVTLWVNKVGPYNNPQETYNFYSLPFCRKAGHAAHKWGGLGEVLGGNELIDSQIDIKFAKNVEKTIVCELELDEPKAMQFRQAIENNYWFELFMDDLPLWGFVGELHSDRNSNSKNMLFTHMNITIQYNKDQIIHVNLSQENPKPLEVGRTLDMTYSVKWTETNITFARRFDVYLDYHFFEHQLFLKLGLFYLRSAYLKFACFTDHSVRRFPLYILYEINLIKMSFTRLQCFYLIVQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVGEESGWKLVHGDVFRPPRNLVLLSAVVGTGAQLALLILLVILLAIVGMLYVGRGAIVTTFIVCYALTSFVSGYVSGGMYSRNGGKTWIKSMILTASLFPFLCFGIGFVLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYYWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTAMFCLGLGILCGQFNSSL >KVH88591 pep supercontig:CcrdV1:scaffold_689:45435:46530:1 gene:Ccrd_026354 transcript:KVH88591 gene_biotype:protein_coding transcript_biotype:protein_coding description:BYPASS-related protein MMHRSSTTAAAATSVNGFYNFVTQGLDDLVRSFHSHNFMSIHFLQHVLASLQSFHSQLIAVVQKLHLPVGEKWLDEYMDESARLWEVCHVIKSGITNMENYYSAAVTIATTLENHRFLNPQLSRQVLRAINGCQRERVGLEEENRGLIRTRIQPLMMKFDKNVMIESKFNGFNGFRGVLFALRNTNSLLLMILLSGLVYCSPVTSFSSSCHGNNAMNYNENVFGSGFMVSATRLHEKVKENEDGQNGVLLYEFWNSRTAIDDLKTELERMKGTGMEFDVSERAEKLKSCFVGLQCGIENMIVQLDDFFDEIVEGRKKLLDLCTHS >KVH88595 pep supercontig:CcrdV1:scaffold_689:16829:39113:1 gene:Ccrd_026353 transcript:KVH88595 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:Glycoprotein Glucosyltransferase METRIRSGLRILLLIAVCIIVCGYSVSAETQRPKNVQVALRAKWPGTSLLLEAGLDIRYSKLTGYHMHAFMGTNGMRLTLRVPELSFYSGVAESLELLSREWKDLFWEFIDVWLNNESGNTDPYTAKDCLQKICNYGKSLVTGPLASVFELSLTLRSSSPRLVLYQQLAEESLSSFPLSDDLSTSSIDGGVSELNEGKKDKKADSLLVGVNPSSPGGKCCWVDTGGALFFDLPELQLWLRSPHKDGDIFQQPELFEFDHVYFASSIGSPIAILYGALGTDCFKEFHLSLVEAAKEGKVKYVVRPVLPSGCESKSGHCGAIGTKDPLNLGGYGVELALKNMEYKAMDDSEIKKGVTLEDPHIEDLSQEVRGFIFSRILERKPELTSEIMAFRDSLLSSTVSETLNVWELKDLGHQTVQKIVHASDPLQSMQEINQNFPSVVSYLSRMKLNDSIKDEILSNQRMIPPGKSLMALNGALIDIDEIDLFVLLDMVHQELSLADQYTKLKIPSSSVRKLLSTLPPPESNMFRVDFRSEHAHYINNLEVDAKYRQWRSNLNELLMPVFPGQLRYIRKNLFHATYVLDPASICGLETVDMIISLFESALPIRFGVILYSTKLIETLEANGGEFPLSSLENDNHTPIPLRQRESWDAERLSISQQCKQIADGVRRGRCTFAAPCGGGICRNNILEKDQSFREPSQASSLFIFKLGLAKLECSLLMNGLVHDYNEDSLMTAMNDELPRIQEQVYYGQINSRTDVLDKFLSESGIQRYNPQIVGDKAKAKFVSLTASFLGDESLLNNIEYLHSAGTIDDLKPVTHLLAVDVKSRKGVKLLHEGIRYLMAGSANARVGFVFNSDVDPDSNSLTFMKVFEIATSSYSHKKKVLNFLDQLCSFYGNEFRLGSSQDAQSTQALLNKISDLADANGIQSKGFMSALSEFTVAKLTSHLKKVGQFLYGQVGLENGINAVITNGRVVRVPDDVTFLSQDLHLLESVEFKQRIKHIADIIEEFLFLLNASCSKFLSDVIMSVASSLSTRDRSSEGARFEMLSAEYSAVVIGGQNATIHIDAVIDPLSSSGQKISSLLRILWKCSQPSMRLVFNPMSSLVDIPLKNYYRFVTPTMDDFSNTDITVHGPKAFFANMPLSKTLTMNLDVPESWLVEPVIAIHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKDHEPPRGLQMILGTKKNPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSDLYIMQDGLDMRSTKRITIDRLRGKPVHLGVVKKKGKEHEKLLIPSDDDDSSRKQVGFLLFGDQDSWNSNILRWASSLIGGNVQSKKSGSTKVDVIPHMAQEYGFEYELVTYKWPSWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIVRADMGELYDMNLKGRPLAYTPALYVVDLVKFRETAAGDNLRVFYETLSKDPNSLSNLDQASANSHTFQHVPICQTMPSTRYQSFRFHKNGCGVSLGAGAKRIVAEWPDLDLEARHFTSKILGEGVSPVEKVVSPIEKIVSPPAQPQEPEEDLESRAEL >KVH88594 pep supercontig:CcrdV1:scaffold_689:100863:106886:-1 gene:Ccrd_026357 transcript:KVH88594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MIRNPNFQVRKRKWKTVLFLLRKRKRKIEEPYNDDVVVEGNEGGGSRWHLDDDHGSEVDERDENPVGEVAEKENPSLDHVGGPEEVEEEEEEEEEEEEESSKSMLLVVSERKRDGGDTPPDDDCCPICFENFSIACKTNCGHWFCANCILQFWTYRSVLQKCNCPICARPISKLTPEASLLIMHEVEIVEVLKNVQRYNRLFQGGVSGVIQQKVFEVPNAFKRMLCGLMDPDRFRGNYYAMRSFALLMSCIYNVSSFDFIPTGSLGVRRLFDLCAIALVVILCLVGICHRLVLRRRVRRLAGNQF >KVH88590 pep supercontig:CcrdV1:scaffold_689:121882:138748:-1 gene:Ccrd_026359 transcript:KVH88590 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, ATP-dependent, RecQ type MSEWPTPAQDITANWHQGLNIMFLALIYRTGELTCRNNFGGASKHVDKFTRDNWSQHAKAHDNFSNQDKLLKSNFLFSLSTQKPSVEAAMSAAVRSLSCQFQNVTNPPSPHVDKAWQALSNLKLSSRNYIKPGKSRPLANDGGTASFQDVRRATQQCSSNVNNTILRQTGAECSNEINIQHSESRDMTNRFATVATSVAEAGRFGMMRGGGYTSNSIDAGRRNTNCSYSNTTNLGQGIHNYATNASHFNGFQETSVGGIDDDDDDILQNLDVDQIVTQHYQSACTPKSSTLKFQPITPAVCNSAARHDDLSLPPELCVDCSHGCKARLCPEASNHLQIMKDMLISISNDLLDNVDLNSDQIQKLRQDRLMLNKQIQQLEKHLQSRPVDEKRSTSNFGAYTASRAFQYETPPTFASRVDPTRLDQFYMHNETDGPNRWNSSSVSYSSVGNVSVSSAPMEREAYVPKYVEVNYIEGSDDKNWSKRDFPWTKKLEANNKKVFGNHFFRPNQREIINATMSGNDVFVLMPTGGGKSLTYQLPALICPGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEILRELCSEYCNYKLLYVTPEKVARSDALLRQLENLHARELLDRIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPKVPVLALTATATTSVKEDVVQALGLVDCIIFRQSFNRSNLRFSVIPKTKKCMEDINKFIKDNHFDECGIIYCLSRMDCEKVAEKLQEYGHKAAFYHGSMDPDLRASVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQNSSCVLYYSYGDYIRVKHMLSNGAVEQSSFASGQPRAGTTNSGRMLETNTENLLRMVSYCENDVDCRRFLQLVHFGEKFDSSNCRKTCDNCSNTQALVDKDVSEIAKQLVELVKSVQQQFSASHILDVYCLNLQVELVKSVRQQFSASHILDVYKGSMSQIVKRNKHDTLRLHGAGKNVAKGEASRVLRHLVVEEVLVEDVKKSDLYGSVSSILKVNEKKAGNLLAGRQTIILRFPSASKTPKSYRNDATPARGSLTSSKQSPVPVDAPAQPQSEVDLELSAKLFIALRMLRTLLLKEAADGVNAYHIFGGKVSKYGDRVLQTIEATINEHYKIDKNSSSGNSADSVKRRRGTVNTPYEDSRDEDDFIASTARSKKRVVEKSNPSIDSVDFFYDITDEDLDSYDIEANTSNPNANQNNGRVLPSW >KVH88592 pep supercontig:CcrdV1:scaffold_689:74985:82096:1 gene:Ccrd_026355 transcript:KVH88592 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex 11 MEVGVGNSPETGGVAMEFPVFDGAELSSPPTVPPRLRRRLTETKSSGSPSSVEEIEAKLRNADLRRQKFYESLSSKARPKRRSPQYANGHNLGQRLEAKLQAAEQKRINRIEENIGKVQSEIRSLKKDMQNVKHQLNRRGKSGSEEFFEGHSGYFRGKNDSIRRVIPRLVRQRSSRTTSATTTSTTVGSCQCNAVALEFLFLSTLTTSNTRGCEEEDGGLNEEAGAGSIDVE >KVH88598 pep supercontig:CcrdV1:scaffold_689:185755:191552:-1 gene:Ccrd_026362 transcript:KVH88598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MEETLIREGRSLAETPTWAVATTITFMWLKKTRRKALLASLEKIKEGSLISTLDFGTELMLLGLISLLLGQWARVISQICVDSSLFSSKFFLCSKEDFEMGKFTVFSRLSSFSNETDIPPEGLNVHPHHQCGEGREPFVSYEGLEQLHRFLFVLGITHVLYSCVSVGLAMIKIYSWRKWEYQASLLAAENLQGARWHGVKHLTRDKPSCPEKPYWLLWAYAILCIFVNIHGLNVYFWISFVPAILALLVGTKLQHVVSLLALEIVEPRGPSVGGTQVKPRDELFWFGKPEILLRLIQFISFQNAFEMATFIWSVWGFKQRDCFMKNHAMIVIRLTCGGRTIHIFDSDRRVLVQFWCSYSTVPLNMGSRCKKALIAESVRDSLHSWCKRVKERSKTLHSVATRSTCSLGSTIDEGDEAITVASGTLSQSSSTGTLNQMDDNRDSNDHDKGIFGNTDRLRGQELSFRMSEYLSDTMRHVPQNEDIEEDEAKVETLQDLFQKT >KVH91274 pep supercontig:CcrdV1:scaffold_6890:16363:20069:-1 gene:Ccrd_006707 transcript:KVH91274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2346 MTSLGTSKGVLEIAKFAVYVTVPIGLMYFFANNTKNLQKFMGTREYIVYPPEGPRPQSPEELREMAREIARKRGTQS >KVH91275 pep supercontig:CcrdV1:scaffold_6890:2873:7502:-1 gene:Ccrd_006706 transcript:KVH91275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MADLLPLGTILAVAINQVIKTAHAAKDIVIEKESFRILSAHLFDIARVLKELELGKLNESPIAGKALENLDTDVKRANALVEKYKNCGRFYLLIKCRHIVKEVQDITRDIGKSLNTLCLANTEVLSGISDQVIRLQSEMQRAEFVTSQSKLQILDKLDQGLTNQKLDQGFANDIIKDIAKAVGVTVEPSEIRKELDSFKREKEEAQNRKERAEVFFLEQIIKLLSRADAARDYEHIKDQYMQRLRAIECYDSREESIPPYKAFICGITKTVMVDPVSLSNGTAYSRVAIEEWFESGEKTDPVTGESLEDLSFRSNIQLRESIEEWKELNYCMRIRSCKPKLLSEKDSSVKDALFQIQELITEDSINKDWISIGGCESSLSKAALDLILELVIEESGHHMDYTRELSQQCNPVSFLITILKGSEVTLAEKAQEILQKLVDVDQENVIRAAKEEWYGPLVHRIIQGSKSTKMAMVRGIVNLELEENNTKFLGEQGLIPPLLEMVSSDLEAKELSLSMLIKLLGSRENKPLFAAAGAVPLMVDLMCSSPLHIIILAKCSEILDKLGSNGDGIKFLVDMNGVQLDLNSLVENLLAFLRNSRLPYNVLRPVLRALFKICESDGGLVKTAVLTASGVSLVLTLLDHPDSETREAAINLLFLFSNHEPQGVAEFLLKPRRLEAFVGLLENSNKSDVQKAAVGLLANVPKSEVILTRKLVELEGLKAIIEILESGNMEAKENALSALFRFTDPTNFEAQKMVVELGTYDLLVEFLKNGSVTAKARAAALIGDLSMRSSELTKAPTTRRWCSCFGGTRVAICAAHGGLCTVNDTFCLLEAKALPELVKLLQSEVHATTYEVIQTLSTLVQKESPRRGAHVLDESGAVVPILKVLNWGSESLKVEALEVLEKVFMVTEMVDTYGLTARIPLVRLTGGSIHEDGHLQRKAVKVLLLIERHSRRSNSLVTGM >KVI07554 pep supercontig:CcrdV1:scaffold_69:177579:181515:-1 gene:Ccrd_014084 transcript:KVI07554 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MSDNLMDKVNALGERLKIGGSEVGQKITAGMSSMSFKMKEFFQGPNEADNLVEEATAETLDEPDWATNLELCDMINHERISSIDMIRAIKKRIMLKNARIQYLTLVLLETVVKNCEKAFSEVAAERVLDEMVKIIDDPQTVVNNRNKALILIEAWGESTEELRYLPVYEETYKSLKSRGIRFPGRDTESLAPIFTPPRSIPPPESYPFPPQQQIPQEIPIRSMSAEQTKEAFDVARNSLELLSTVLSSSPQQDALQDDLTMALVQQCRQSQLTIQRMVERGGDDEAILFEALSVNDELQKALSKYEEMKKPNEVQREPEPAMIAVATEPDEPPQVAKEESLIRKPAGSRGNNSNNDEMMDDLDEMIFGKKSGGSSEPRKDPSSKDDLISF >KVI07556 pep supercontig:CcrdV1:scaffold_69:276957:282906:-1 gene:Ccrd_014097 transcript:KVI07556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase METGGNSLASGPDGVKRKVYYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQNMHVVKPVPARDKDLCRFHADDYVSFLRGITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHEYCDIAINWAGGLHHAKKCEASGFCYVNDIVLSILELLKRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYQSLFKPIIGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVELEDKMPQHEYFEYFGPDYTLHVAPSNMENKNSRQLLDEIRSKLLDNLSKLQHAPSVQFQERPPDTEFPEADEDQEDDDRRSDDSDPFLGRVKRELHDMESKDMDDGKEGERAREMDASFAEISIKASNSGSAPADIKLEQGNSNKPDQPPAEMNL >KVI07517 pep supercontig:CcrdV1:scaffold_69:188951:193547:-1 gene:Ccrd_014086 transcript:KVI07517 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding partner of acd11 1 [Source:Projected from Arabidopsis thaliana (AT5G16840) TAIR;Acc:AT5G16840] MSVRTVKVSNVSLGASVQDIKEFFSFSGEIEYVDMQSENERAQNAFVTFKDSQGAETAGATIVDQSVTIALMPDYTLPPSAATSSEQQQPPNATAEQSGGAAESAVQKAEDVVSSMLAKGFILGKDAVNKAKAFDEKHQLTSLAAAKAATIDQKIGLTEKISIGTTIVNEKVREMDQKFQVSEKTRTAFATAEQTVSGAGSAIMKNRYVLTGTSWVAGAFSRVTKAAGEVGQKTMEKNVYIQYGTIIEVLSHHHHQYLR >KVI07574 pep supercontig:CcrdV1:scaffold_69:320670:328683:-1 gene:Ccrd_014101 transcript:KVI07574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22B, signal peptide peptidase MKSGERAANIALAGLTLAPLVLKVDPNINVILTACLTVFVGCYRSVKPTPPSETMSNEHAMRFPLVGSAMLLSLFLLFKATLLPVIRRFLPTKWNEDVITWRFPHFRSLDIEFTRSQAVAAIPGTIFCVWYVAQKHWLANNVLGLAFCIQGIEMLSLGSFKTGAILLVRTLLFPTRDAVRPFSMLGLGDIVIPAVIGFLAAHCIWNGEVKPLLEFDESKSAIVDTDVDEKTNKIK >KVI07538 pep supercontig:CcrdV1:scaffold_69:92926:98211:1 gene:Ccrd_014072 transcript:KVI07538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MAVVENARSINVVASDVDQSTKSSYNNRNPVTISHRSNDYHHHQQLQKVTALQPPSTNGSSITGNGYVAGDDDAGDGFKKEMSDLEEMLSKLNPMAEEFVPHQRPQLLPSSAAPAAQFGYAAVNNFLVHANNAVFANGTPARRKGNFSHGKRRMNSRTSMAQRDDVIKRTVYVSDIDQQVTEEQLAALFVNCGQVVDCRVCGDPNSVLHFAFIEFTDEEGARNALSLGGTMLGYYPVRVLPSKTAIAPVNPTFLPQSEDEREMCARTIYCTNIDKKVTQADVKLFFESLCGEVYRLRLLGDYNHSTRIAFVEFVMAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRAPRSAMH >KVI07526 pep supercontig:CcrdV1:scaffold_69:66234:66869:-1 gene:Ccrd_014067 transcript:KVI07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSQINEKSPQHCAKRKLININKYHKRLLYTFTTFILSIFLLILLVWFILHPTKPEFSLKEADVYKLALSGYHLLNSSIQITLLSNNPNQKVGIYYDEIEVYASYKGQQITLDSSVPPFYQDHKDSNLLSTVLVANGLPVDSSFSYEVGRDQMAGRLVLNLKANGQLRWKVGTWVSGRYRFNVNCLAVLSFGPSVPAGPLTSKQGTRCSTTV >KVI07544 pep supercontig:CcrdV1:scaffold_69:577508:590854:1 gene:Ccrd_014128 transcript:KVI07544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit A MGDAMVVDGGKKATKRKRVELVMSIEEKEARIDALREEIDGLIKYYKEFNSKIVMFNVDSLKANYSGNSMIACFLEESSLPLSKLVESIFDSVKDKEGSMTPASVKSSVLLIGQRSFYGVQNPNADVLEDESPSGLWCWETRDLKLLPKSFRGELKIRRTCRKKIHERITAVSESPSAQKVMKASERLGKVLSEAEIRLLVEKMQEKNGIDVAEKEVKKEEKLVVKQLEKNKREVEKEKMRMERELLKEKLQSEKELRRLQDEAEKEEKRRETEIRKQLKKQQEEADKEQRRREKEEAEQKRQHALQKQASILERFLKKSKSGSPVQADQSLVKASGTDISPKQRVHVSESVIQSMDDALTLNDEFDEKELWKVHLDSWHRLVHCSSKKRHWGIRQTPKTVVVTELKLTNRGLPCEENPSVEKFDDGWTEAKNDCKSSVFGSQKFGRSKQLLQFDKSHRPAFYGHWPKKRARCPLVKDPDLDYEIDSDEEWEEEEPGESLSDCDKEDDDETMEENLSKVDDEDESEDGFFVPDGYLSENEGVEVERMDHTNLVEDARSSPSCAQLETEELSVLFRQLKHLNSLTEHALRKNRPLVILNLIHEKPQSLLTQDHSESEQMCLQALSIRVFPVGPPIEILAGDDIQEEVQEDCPSSSKGGVVPLVSVIQSCPHGINKVVSALRSKFPNIPKSQLGSKVKKDILEKYGLSSSPEKTARRTKSIAAFFSKRCLPPAGKTTNPNQVSPQSTEKTSPGVEMQNNTYNSQ >KVI07549 pep supercontig:CcrdV1:scaffold_69:506583:510453:1 gene:Ccrd_014121 transcript:KVI07549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, dual specificity phosphatase, eukaryotic MMGLKIMKYGGKEMVEHDGDQSFIPPINFANVEDRVYRSGFPQPGNFAFLETLQLRSVIYLCTEPYPRENLEFLKSRNIRLFQFGIDGTKEPSVDILRSTITEALKVLIGNGSRMENFTTVSFKSSIYYSISYGYSPHRTGCLVGTLRKVQNWCLSSVLEEYKIHAGVKSRDTDLKFLETYDVSYLRQCLHSVIYQYHGYGSKKRRLLSGEKTFCTNPE >KVI07577 pep supercontig:CcrdV1:scaffold_69:352294:356853:-1 gene:Ccrd_014104 transcript:KVI07577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MDLRRRLPPKPPSATVINHHQKDVTNDDDDHNRSSSSRKNEDEEIVESVVCGSVPSYSLESKLGDCLRAASIRRQALERTTGRSLLGLPLEGFDYESILGQCCEMPVGYVQLPVGIAGPLLLNGCEYMVPMATTEGCLVASTNRGCKAIFASGGATAILLKDGMTRAPVVRFDTAERASQLKFFLEDPINFDTLSVIFNKSSRFARLQYIKCAIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLNFLQNDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAVITEEVVKKVLKTTVPGLVELNMLKNLTGSAIAGSLGGFNAHAANVVSXVFIATGQDPXQNIEXSHXITMMEXVNNGKDLHISVXMPSIEVGTVGGGTQLASQSACLNLLGAKGASFKSPGSNARLLATVVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSTRDMAAIAGXKS >KVI07539 pep supercontig:CcrdV1:scaffold_69:104269:106597:-1 gene:Ccrd_014073 transcript:KVI07539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSHSRSIVSLGKRFVSQIRTSSARDPPLILRRAVHVSVYDKNIDDQVRPTLVPEEAITRAEPEKYWAPHPKTGVFGPADEAAAASEPGATDASSGSVLEEKAFFRPLENLEKPAHP >KVI07580 pep supercontig:CcrdV1:scaffold_69:376845:382967:-1 gene:Ccrd_014107 transcript:KVI07580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich GRKDFSGHSISVQSHQPWRPFHLCSFSPFLLILLPLLQDSRNPMIKMLSSARPINKIWIYDQSLKVQRRDLLLHTVFGSLCLPAMVPFAFAEEVVPEGFQIYSDDVNKFKIMIPQVSVVITGLGADFTKLESFGKVDAFAENLVSGLDRSWQRPPGVSAKLIDSMYYIEYTLRNPGESERHLYSVLGIANNGWYNRLYTLTGQYLDEESEKYRSKIEKAVASFKLV >KVI07524 pep supercontig:CcrdV1:scaffold_69:10541:12359:1 gene:Ccrd_014061 transcript:KVI07524 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEVGPVYTLPVGYRFRPTDEELINHFLRLKINGYHKEVDYIQEVDICKTEPWDLPGLSQIESIDDEWFFFNRKDHKNGHRSARATKCGYWKPTGRDRTIKTSKGFLEIGKKKTLVFHTGRSPKGVRTRWVIHEYVPTLNELDGTAPGQTPFVLCRLFKKHDGKDKNPESAAPPPLPPPPPPPPPPSTTEDGIDIRGVEWVERALDDLWDPSSEHGLVVNKQQEPSTFIRVGNYSVQNKYNGKVILNLDEKLSPTASDVASTMNWDSDEVYNAEIIAREPLLSWKAIEIGGEESLLLRGMRKVLGCLGLWKCFNF >KVI07533 pep supercontig:CcrdV1:scaffold_69:465946:470588:-1 gene:Ccrd_014117 transcript:KVI07533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MLLSSCLRPSFRITPSKPSSWTPIAGIGNNKSSRLSFFPIFRASSISTVSTAKEDAVMAQDGPIHQHPVQVAKRLEKFKTTIFTQMSSLAIQHGAINLGQGFPNFDGPDFVKDAAIQAIKEGKNQYARGYGVPDLNSAIAARFQKDAGLVVDPEKEITVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGAKITCITLRPPDFAVPIDELKSVISKDTRAILMNTPHNPTGKMFTREELNQIASLCIENDVLVFSDEVYDKLTFEMDHISIASLPGMYERTVTLNSLGKTFSLTGWKIGWAIAPPHLIWGVKQAHSYLTFATSTPMQFAAAAALRAPDSYYEELRKDYKAKKDILVEGLKDVGFTVYPSSGTYFVVVDHTRFGLADDISFCEYLIKEVGVVAIPTSVFYLNPNDGKNLVRFTFCKDEETLRSAVARMKERLK >KVI07557 pep supercontig:CcrdV1:scaffold_69:249420:262151:-1 gene:Ccrd_014094 transcript:KVI07557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDEVNTSSTVAADDSLATASASVPWSPRPFVFRPYEPVFGSDANRQNLRVLVKRPLVSRLTKDIVDTYRICNPQFNYSDELNPKRFLTSPSAGVLNDGYDNENSDLILTVNCGLVNLDSHRRYIVKDILGQGTFGQVAKCWVSELNCFVAVKIIKNQPAYYQQALVEVSILTTLNKKFDPEDKHHIVRIYDYFVYQRHLCIAFELLDTNLYELIKLNHFRGLSLSIVQLFSKQILYGLALMKDAAIIHCDLKPENILLCTSMKPAEIKIIDFGSACMEDHTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIKILGEQPPDYVLKEAKNTNKFFKCVGSINHEESSSHVSSYGKSIYQALNEEEYEARELKKPSIGKEYFNHMNLEAIVKKYPYRKNLQDEDLARESQIRLALIDFLRGLVEFDPAKRWSPLQASKHPFVTGEPFTCPYTPAPETPRVPVSHNVKVDHHPAGGHWFAAGLSPNVLGGNRVAMYNNSHFQVMPYAHGGNFGSLGSHGSYNDGIELGSSYGSYGDNSNVLTFYSPVAPSGMNNYAQGSGPALGSSPDARRRIMQIPHGNGYGFSPAGNFAPMSLGTSPSQFTPPYSQVTTVSPGHYGPSSPARGNCHGSPLGKGTASQRNSGNATGHTSFGSGKPFPHAAKSAIHDKPESSSSLPDPGDWDPNYSDELLLQDDSSELSSMTTEFSKSMHLNQAFATTESFVGVARFNQMSTTNMSIQRPNGPIQAFSHAEAGSSISSPDVYVHPMMTPSHLMPHFSQFSPSRLGQQPVQRFTHVRSMGIRGGEWNHVK >KVI07512 pep supercontig:CcrdV1:scaffold_69:152102:156062:1 gene:Ccrd_014080 transcript:KVI07512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CHCC-type CVVFSLSIRSDATKNTKRKCRRSSTLKYPRSSFPYFNHSSSQLISMATIGAKRGYAMASNFLKALKTSSSSTSVRRFSGVVNSEITTTHTAKWMQLINEVPPIKVEGRIVACEGDTNPALGHPIEFICLDLSEPAVCKYCGLRYVQDHHH >KVI07522 pep supercontig:CcrdV1:scaffold_69:25877:27939:-1 gene:Ccrd_014063 transcript:KVI07522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex NAC domain-containing protein MPGPVVEEIESEKKVEDETVVEGVVEDVKEEDDHNDDDADADSDDDDDDDKEDGAQGGSESSKQSRSEKKSRKAMLKLGMKPVLGVSRVTIKRAKNIMFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMGSVMGKSDISAAAAAAQADEEEEEVDETGVEPRDIDLVMTQAGVSRPKAVKALKTHNGDIVSAIMELTT >KVI07541 pep supercontig:CcrdV1:scaffold_69:112172:117886:-1 gene:Ccrd_014075 transcript:KVI07541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAFPSGMVAFAPPPPPPPPPNAGSNTSKVRKIIPSSNRCCSTSSSSVTNNITTIESQETSKKFSYSRASPSVRWPNRKELIENHNSQQTQFPVSPTTPQFHVFEDECLSSEPKDKEIEGKDEPLDTNDETLKGFGWQSRRVAKKMTKLALKRAKDWRQRVQFLTDKILGLKSNEFVADVLDDKLVQMTPTDFCFLVKGVGKSSWQRALEVYEWLNLCQWYSPNARMLATILAVLGKANQESLAVEIFERSEEGVDSSIQVYNAMMGVYARNGRFGKVQETLNRMREKGCEPDLVSFNTLINARFKSTSMEPNMALDLLGEVKRSGLQPDIITYNTLLSACSRDSNLEEAVKIFKDLEANRCQPDLWTYNAMLSVYGRCGLCNEAELLFKDIESKGFVPDAVTYNSLLYAFAREGHVDKVKKLCDEMVKLGFGEDEMTYNTVIHMYGKLGQYDLALQLYRDMKSRGRDPDVVTYTVLVDSLGKANKIAEAANVMSEMVDAGIKPTLRTYSALICGYAKAGKRAEAERTFDRMVRSGIKPDLLAYSVMLDIYLKFDGHKAMMLYNNMVRDGFTPDLSLYELLVQSLQRENKDDYVHKVINDMQKLCELNPQVISSILVKGEFYDYAAKMLKLAILEGFQLDQENLLSILSSYSSSGRHSDALNLLDFLKEHSPGSHHIVTEALIVILCKSNQLDAALAEYRKSRNSSLFNGSCSMYESLIKACEEAELFSEASQVLSDMTFIGARPSKVIYTSLALMYCKMGFPETAHDLMDRAESKGISVDEISVYVDLIEAYGKVNLLEKAESVVGSLRQRFPVVDRKAWNALIQMYASKGQYEKARAAFNTMMRDGPSPTVESINGLMRALIVDERLNELYVVVQELQDMGFKISKSSIFLMLDAFVQTGNVFEVKKIYNGMKAAGYFPTMNLYRVMIGLLCRVKHVRDAEAMVDEMVEVGFKPDLFIWNSLLRLYTNIEDYRKTAQVYQKIKDDGFKPDENTYNTLIVMYCRDRRPEEGLSLMDEMVKEGLDAKLTTYKSLIAAFGKLQMVEQAEELFGKMRRKGYNLDRSFYHIMMKIYRSTGQNSKSEELLKLMKEAGIEPTIATMHLLMISYGSSGNPEEAEKVLNGLKSSGESLSTLTYCSVIESYFKNRDYIVGIQKLTEMRREGIEPDHRIWTCFIRAASLCKTVNEAMMILNAIKDAGFDLPIELLKNSESMVMEIDQVLGELKPVEDNAALNFINAVEDLLWAFELRATASWVFQLAVKKDIYRHDVFRVADKDWGADFRKLSAGAALVGVSEYNDVSLNSTLKAYLWEMGSPFLPCKTRSGLLVAKAHSLRMWLKDSPFCLDLELRNSVTIPETNSMQLIEGCYIRRGLVPAFQDISARLGQVRPKKFARLALMSDEKRDKAIRADIDGRREKLEKVRRFGVTRKKPSQMRRYGQDDYFQLRKRKDFEGSHC >KVI07562 pep supercontig:CcrdV1:scaffold_69:213727:221509:-1 gene:Ccrd_014091 transcript:KVI07562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MEKADEFSDKLYRENTLTNSVDDVTTAVKNRNCENKQHTTSKTSNLEGVSVSYDTSSEKAETTINMRSTLGESEAVEVYTKLLPGEDFRVEHHSLPNCHSNKTSHMNKLLDPSSLDCHGEPNLLSSSVIQNTVPSTSTDSLLAQGCEKVVPLQIASASMDFKDVEGKLPARAGYRMGCDVEKSSHSSDEYENSKVNVVNEKEIVTRCQKKEVETNSDDNLPEDSLKPPKQGLEEEKVSNVPATVNAKETSSQSHHADDSDESDFVEHDVKVCDICGDAGREDLLAICCRCIDGAEHTYCMKEMIDEIPEGDWLCEECKLDEENKNTTRQKNGTETGAEKDQFSGQADTEPASTFIKPDTKGSSVEEPRTGKDHSVMKASSKRRAEESDSSSAVKKQALEMITGSPRTSSPSKLHALTDSSTKDVDRGKIKSSHQFSSDSLFGNEAPEAARSPATRPRLQSLRGSFTKSNSFNIPNARSKTKLVDEIVLQRQKSTKERASHDTVVAREMGKSVSFRSTNLGRLGASGSKVKMLSPKSSRVQDLKGLKTKKERIFERNNSVKLPSVGNLSTSSSAALSPKVDKLLVSRGEATPVSSACNSEVKPLKGDNKMMAGLKSTNRSVTGAEVPVSLGPVNKQLPSSPPGVGTATSSGIISSIEQKSVVLKDDNSSKLAISRESSSLADGIKETTTPSHLGPGLIPGMPAVSGLNHKHVANSAQLHTADRPAPLGLNASATRSSKEVKNRDNKLKAAIEAALLKKPGIYRKNKVSDQSDEPSVSSMNNEVAFVDRVPHSRNAGNLTSAEVLTDRQGQVSRSSNPDHCKHSNGKHFTSELSSYDAVALSSLSKVPAIPDHEYIWQGSFEISRSGKTAEFWDGLQAHLSTSASPRVFEAVNNLPHKILLNGVSRISAWPAQFENNGAKEDNIALYFFAKDVESYEKSYQVLLDDMIRGDLALIGRLRSSFALAREQKHFT >KVI07568 pep supercontig:CcrdV1:scaffold_69:408257:412204:1 gene:Ccrd_014110 transcript:KVI07568 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MMMLGIEEILCELGGGGCGGAGGGEAEQQGGLPPGFRFHPTDEELITFYLASKVFSFSFSGVDIAEVDLNRCEPWELPEVAKMGEREWYFYSLRDRKYPTGLRTNRATSAGYWKATGKDREVYSSGSGRTLLGMKKTLVFYKGRAPHGEKTKWVMHEYRLDGDFSYRHTCKDEWVICRIFHKIGEKKTNGGQNYPHFKHRQSTIESSPSSSTPTTTTITRKPFHEGTTPLMHHHSNPPPPPPPLQNPFLFGDNHHEIHDQELKSLLNLPSFPTIQTTPNPTLVKTLLPNQPITTPVAKQCKTEAHFTIPQLPDANLHRWGTDYIPPPPLFPFQQEDLGEMEYYFNTSGGGGVTRLGAQGTSDHSNEAMSFDRVGLNQLLSSI >KVI07530 pep supercontig:CcrdV1:scaffold_69:394878:399009:1 gene:Ccrd_014108 transcript:KVI07530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTKTGNVKLDVRVDGRVEIEGLVQDSDFFTNHASNKYKPKVQELAPPGNFNVSFNLPGRVDPRLVSPTFRNTGILEVVVMKHTLPHTQPVNPGTPVSTHL >KVI07532 pep supercontig:CcrdV1:scaffold_69:489851:495955:-1 gene:Ccrd_014119 transcript:KVI07532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSGSARRRDGESLLTRAVDSVFGFVRYAEFEILFVLFFVIAFVIFKDLTSRPEYNQILVKKPGGPDWWSS >KVI07523 pep supercontig:CcrdV1:scaffold_69:20145:23585:1 gene:Ccrd_014062 transcript:KVI07523 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEPIPANSLPVGYRFRPTDEELVNHYLRLKINGYDREVSCIQEVDVCKKEPWDLPGLSVIESIDNEWFFFCPKDRKYQNGQRSNRATVSGYWKATGKDRTIKTNKGGSVIGRKKTLVFYTGRAPKGERTHWNPFVLCRLFKKHDGKDEIPESFDCADVASPPSIVKPSPEDVQSEPVTPNFLNVQTHVQPSRTETLKVEDYDRTNDSLVFLDCHDMDPSKDLTPYPELEDDLQVFWDPSPQNLDSKIFSPLHSHMQLEFGSSYLGGNNEIGNYGNQHFEMQDEYGTNAEELLSFIDEPDQFSFDDSGYKGDSFAQPSMPKPIHSGFSTGGPVNMGQQAYHWPEGFENGNGGNSALQSIMTIEKIPPEESCSSSSINNSGTGIKIRSRGTQGIGNGPSFANQGTAQRRIRLQMKPRNLIEKEDEGKQKENYSDAASMNKGVKSRMSGVGLRMRKVIVVVGFCVGIASLWKWLID >KVI07558 pep supercontig:CcrdV1:scaffold_69:266297:269455:1 gene:Ccrd_014095 transcript:KVI07558 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA protein MAKAMLTRPHISTLCAYGTHLFRFQALNRIQTRSIARLIVPVGKFGRQQLVKPSIIHVVGRRSVSTGVTSPSNGSGPIDSPLMQSMETKIKEQLNAEIVTVNDAYGISWNIIDVVSSAFEGQSAVNRQRMVYKAIWEELQNVVHAVDQMRTRTPEEAASGK >KVI07565 pep supercontig:CcrdV1:scaffold_69:429912:436493:1 gene:Ccrd_014113 transcript:KVI07565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPSTNSFIYNSSLCACNPGYWYNATANKCSPSIVMGPGGFVVGTGVDYSITFPETVMSFDSIKKYTQSQGLFLGATLVLIVIWLLFCFLLRFRNLGDGRNFWYKIRWGISRLDICFATRHWLRTIEVHSVRAANAPDLTSFSNDMEFNITTISSMSCSNLRNLGTLLIGNPGFLDFRTAPLSTFANFSCQNTTKGPMVMLKCNNCHLIRDNFYTSWQFLDLPNMPATAVGFEFNLTARDRQHKKHMSFVSGILKNGSTNEAKFVTFRGKDPNILQFNLFPRIYRNKHDLKLIQPLFHEFLPGSSFDEINQLRDSLQSSENGLVNITLHSAFLLIWAAFIALVSASFSTFWYKYASHPLQIRYSLEQVRTEEVACSFVDSASMPEEIFSDQ >KVI07543 pep supercontig:CcrdV1:scaffold_69:127435:140773:-1 gene:Ccrd_014077 transcript:KVI07543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical LFPFTIWVSGVRPFVPFRVSAVHLRRGFKETRLHGFQLGFYFTIFLDPSAMAAEKLRDLSQPIDVGLLDATVAAFYGTGSKEERTAADHILRELQNNPDMWLQVVHILSNTQNLNTKFFALQVLEGVIKYRWNVLPVEQRDGMKNYISDVIVKLSSNEGSFRQERLYVNKLNIILVQILKHEWPAKWRSFIPDLVTAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDFYNMQYVNMYNIFMVQLQTVLPTSANIPDAYAHGSSEEQFHIRVLESTQENINSLLMGLEYLTNISYVDDTEVFKVCLDYWNSLVTELFEANHNLDNHAAAANMMGLQMPMIPGMVDGVGTQLLQRRQLYAGPMSKLRLLMISRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLKKLSKQLNGEDWTWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLTTLPTTIADLEPHQIHTFYESKWAEIIGHARGNVDFLKDQDVIRTVLNILQTNTSAATALGTYFLPQITLIFLDMLNVYKYVAQLNYIFCNSVRNVEEYALICLCVSYCLSKNITLASVKLNALNRMYSELISSGIAEGGPYASRSSYVKLLRSVKKETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEMITKNFEDYPEHRLKFFSLLRAIATHCFQALILLSPEQLKLVMDSVMWAFRHTERNIAETGLNLLLEMLKNFQNSEFCNQYFRSYFVLIVQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGSLTEPLWDASTVPYPYPNNGMFVREYTIKLLGASFPNIPASEVAKFVNGLFESRADLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMADS >KVI07550 pep supercontig:CcrdV1:scaffold_69:543873:557601:-1 gene:Ccrd_014126 transcript:KVI07550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRKRSVEEEWNSMNSTNHQLSRAHFPPKFLFGVATSAYQVEGAANLGGRGPCIWDKFASTEGKIKDGKDGVVAVDQYHRYKEDVDLIVKMGFSAYRFSISWSRIYPDGLGIQVNNDGIMYYNNLINYLLERGIEPCVTLYHWDLPLHLDESMEGWLSDSIDLQGGEIGLVVDCEWAEALTDNIEDITAAARRIDFQLGWLGDLLPVFSDKDKDILRNSVDFVGLNHYTSRFVSHKTNEGDNHYYAIQEKLLNYVAQRYNNPPIHVTENGMDDEDNDTSPLHEMLDDKLRVAYFKGYLASVSMAIKDGVDVRGYFAWSLVDNFEWQQGYTKRFGLVYVDYKNGLARHLKSSAYWFMKFLKGEDGKNGKED >KVI07534 pep supercontig:CcrdV1:scaffold_69:143720:145860:1 gene:Ccrd_014078 transcript:KVI07534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFNVVQKRRRAAIAERKRAVHGDAFTGKLKHKPQNTTISGKRKRKLLKKWRRDQKEAVEKGLITMEDIEMAVADGSGTSSQDANKSPVQFSMKKSLKIRPKRSNKKGKKNKRKSDKPVKEASSSDVMVE >KVI07535 pep supercontig:CcrdV1:scaffold_69:147303:151423:-1 gene:Ccrd_014079 transcript:KVI07535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGGGGTGSSNSNSSSAVSSHQAPKILLAKPALVTAAKYNRGPGGGGGGGGPDDGSSSLRTRIPSVGFLNLLSDSWDFHTDRFLPFLTDNTDFTVIGVIGPAGVGKSTIMNEIYGFDGTSPGMLPPFPIEAVETKVMAKHCTVGIEPRITSERIILLDTQPVYSPSVLAEMIRPDGSSTISVLGGESLPAELAHELMSIQLGVLLTSICHIILVVSEGVHDNNMWHLMATVDLLKHSIPDPSSLSLSHPQSSNLGSDKEIKDKIQEVAEEYMASPVFVHTKLHEQDIAPHYFLQLKKALGEYFSSTSFSKQKHLNGTKENIVPPKRSLDDDSDPTSLKLFFIPSKSDTDSPGTQYESYHSFLWKLRDQVLSMSGPSFSRTVSERDWLKNSSKIWELVKNSSTISDYCRTLQNSGLFRR >KVI07579 pep supercontig:CcrdV1:scaffold_69:371005:376852:1 gene:Ccrd_014106 transcript:KVI07579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MATSSGGGATPLKPPAQPPKSIPELTPTSQNQKLQPLELSPNPITPPNLTSAPPIPTADSKQPTTPATVNSRPREPDFDVIHVPSYSRWFSWNDIHECEVRILPEFFDGKSPSKTPKVYKYYRNAIVKRFRDSIIENPPSKENPMPKITFTEARKSIIGDVGSVRKVFDFLEAWGLVNYFGSPLTKAQLKWEDKESKNVSAASQQNSDNGGANSVGDASVPKKKFCSSCNSLCTIACFSNIKKDTTFCARCYVRAGVNSADFKRVEISEEVKTDWTEKETLHLLEAVMHYGDDWKKVSEHVVGRSEKECVDRFIKLPFGEQFTGPPDSIEPEENVHKAMDRFDIESNPSKKMRLTPFDDASNPILAQAAFLSTLAGVEVAETAASAAVKALTEVNIETSKEILESSAGDARDHDSVTTNGKSNTNLLEGAYLEAKLQLEKEEQDLERTISDIAEVQIVRFEELELQMEREWQQLRQMQNLLFADQLTLLFHKKDGNESMEQKVKTELS >KVI07542 pep supercontig:CcrdV1:scaffold_69:119009:125937:-1 gene:Ccrd_014076 transcript:KVI07542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MFFLRQKGRFSEATTRPPALFYYNSDSGFFFRSTKLTGPFPSTGQKGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNDTNGLVRITTTSVVFNIVPNNEISYPHFLLEYNSLVFKISAILKIYVVDSLDRERISKAKAEFQAIINDPFMTNCVILVFANKQDMKGAMTPMEVCEGLGLYELKNRKWHIQGTCALRGDGLYEGLDWLASTLKDMKAASTSSF >KVI07531 pep supercontig:CcrdV1:scaffold_69:399224:401660:-1 gene:Ccrd_014109 transcript:KVI07531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, histidine active site-containing protein MAVSISTKQASLFFFVFVSVLACSALAHEFSILGYAPDDLACIHKVIHLFESWVVKHEKIYESLDEKLHRFEIFMDNLKHIDETNKKVSNYWLGLNEFADLSHEEFKNKFLGLKGDFPERKEESVEEFSYRDFVDLPKSVDWRKKGAVAPELIDCDTSFNSGCNGGLMDYAFAYIMKSEKVTISGYHDGVFDGHCGTELDHGVAAVGYGTSKGLDYVIVRNSWGPKWGEKGYIRMKRKTAKPQGMCGLYMMASYPTKKK >KVI07525 pep supercontig:CcrdV1:scaffold_69:944:5197:1 gene:Ccrd_014060 transcript:KVI07525 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand 1, calcium-binding site-containing protein MGRNHRGIAKRRALRVMGNYAISSSIGDPTCFSCTTFNILAPIYKRLIHEGRACKESDIRSYWLNRNERILDFLLCERSSIICLQEFWVANEELVEMYDTRLGDAGYINFKLPRTNGRGDGLLIAVHKDYFRVIDHRDFLFNDFGDRVAQLLHVELVTPFPQSRSKNVCRELLIVNTHLLFPHDSSMCLERLRQVYKILQFVDAYQKENNLNPLPIVLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHHYTDADAHKWVSHRNHRGNICGVDFIWLLDPNKYRKVLRSSWSDAVFGLFKYLVSRASLTGEDAFAFLKAVSDGDYITYLGFCEALRQLNLIGHRYGLSEDETQDLWVQADIDGNGVLDYKEFQDQEDIANGTQQTTGLSVKNAVMFPTEAENGLWPEEYSLSDHACLRVEFSAVR >KVI07564 pep supercontig:CcrdV1:scaffold_69:305320:306918:-1 gene:Ccrd_014099 transcript:KVI07564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MGGHVVDDCRGVLKVFSDGSIWRSTEPSFQVPVVDDGSVLWKDVLFDPPNNLHLRLYKPAPGGSEKLPVFYYIHGGGFCIGSRTWPNCQNYCFKLALALQAVIVAPDYRLAPENRLPLAVEDGFTAVKWLQSQAVASEPDPWLVDSADFSRIFISGDSAGGNIAHNVAVRLGAGSKSLEPVRVRGYVLLAPFFGGTVLTRSEAQGPNDAYWRLSIPDGDTMDHPLVNPFGPISVDLEPVEFDPMLVVCGSCDLLKDRSKEYAERLKSWGKKVKYEEFEGQQHGFFTINPNSEPSIKLMQIIKDFITQYS >KVI07540 pep supercontig:CcrdV1:scaffold_69:108026:109680:-1 gene:Ccrd_014074 transcript:KVI07540 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDAGSLSSSATKSQSRCPLQEQFLQRRNSRENLDRFIPNRSAMDFDYAHYMLTEAKKGKENPMTSSPSREAYRKHLADSFNMNRTRILAFKNKPPTPSEAMFNDSSASVQHSKPVKARRYIPQTSERTLDAPDLVDDYYLNLLDWGSSNVLAIALGNTVYLWDASEGNTSELVTVDDEAGPVTSVKWAPDGRHISVGLNNSDIQLWDSTSNRLLRTLRGGHQSRVGALDWNNHILTTGGMDGRIVNNDVRVRSHIVETYSGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSMASANAPTQWLHRLEEHTAAVKALAWCPFQANLLASGGGGGDKCIKFWNTHTGSCLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGSPDVAAKAAPKAAPEPFAHLNRIR >KVI07559 pep supercontig:CcrdV1:scaffold_69:227769:240081:-1 gene:Ccrd_014092 transcript:KVI07559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid G-protein coupled receptor-like domain-containing protein MGWGWAIYEGVVAVGSLSLLGWAGLWFLNRRLYKAYEEKRALVQIIFSVVFAFSCNLFQLVLFEIIPILSKEARWINWKVDLFCLITLLVFMLPYYHCYLMLCNNGVRRRRAATGAVLFLFAFLYAFWRLGIHFPMPSPDKGFFTIPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEEIEIKALERQLMQSIETCIGKKKKIILSQMEMERVQGQEETFKAGSFFKRIVGTVVRSVQDDQKEQDIRGMESEVQALEELSKQLFLEIYELRQAKEAAAYSRTWKGHLQNLLGYACSVYCVYKMIKSLQSVVFKEAGSVDPVTRTISIFLQFFDIGIDAALLSQYISLLFIGMLVVISVRGFLNNLMKFFFAVSHVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLANEYRIIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQAEKHPID >KVI07529 pep supercontig:CcrdV1:scaffold_69:28765:29576:-1 gene:Ccrd_014064 transcript:KVI07529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRELDSLPGFSTPRKNKKTAVECFTDADDDDDSPLRPIFCLKRKSAIKEFEEKEDCFILDFDPEEDSFDLSKISPEKGQHNNPQDSPDISLLAERGQVACRDYPHSRHLCVNNPFENTPHESYCKLCYCYVCDVAAPCKSWSGVGGHCHAIDTEGWNFVRNCVKKGITS >KVI07555 pep supercontig:CcrdV1:scaffold_69:270485:275628:-1 gene:Ccrd_014096 transcript:KVI07555 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MVMSITANRVVGSERWRRLESSPPTLFRLRQFELNLSSFLRFPPSQLSCTFPLRFNNIRNSSDKKTTVSISTTKRGRRTGGNTKKNGGGTVVTGERKKVVTGEPVVDDGYAQIRGKQEDKNGIKEGLFNVRSLYQNGDPLGRKDLGKSVVKWISQGMKAMATDFGDAELQGEFSEIRQRMGPGLTFVIQAQPYLNAIPMPSGLESVCLKACTHYPTLFDHFQRELRDVLQQLQSKSLVENWQKTESWKLLKELARSAQHRAIARKVSLPKTVHGVLGMELEKVKAIQGKIDDFAERMANLLRVERDSELEFTQQELDAVPTTDSSDSNKPIEFLVSHGQAEQELCDTICNLFAVSTFTGLGGMHLVQFKVEGNHRLPPTTLSPGDMVCVRTCDNRGAAGAVSGMQGFVNNLAEDGCSITVALESRHGDPTFSKLFGKSVRIDRIHGLADAVTYERNCEALMMLQKKGLNKNNPSIAIVATLFGDRDDITWLEDHKFTDWSEAELSGTRYVKNYDEAQRRAIALGLNKKRPVLIVQGPPGTGKTGLLKELIVLAVKQGERVLVTAPTNAAVDNMVEKLSDVGINIVRFGNPARISPVVVSKSLVEIVNIQLSNFRSELERKRSDLRKDLRQCLRDDSLAAGIRQLLKQLGKALKKKEKETVKEVLANAQVVLTTNTGAADPIIRRADAFDLVVIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGMSLLERATSLHDGILATRLTTQYRMNDAISSWASKEMYGGSLTSSTTVSSHLLVDSPFVQPTWITQCPLLLLDTRKPYGSLSPGCEEHLDLAGTGSFYNEGEADIVVQHVLSLIYAGVSPTAIAVQSPYVAQVQLLRDSLDEIPLATGVEIATIDSFQGREADAVIISMVRSNTLGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYFGRVKHAEPGGFGGSGLGMNPMLPSIS >KVI07566 pep supercontig:CcrdV1:scaffold_69:419732:425050:-1 gene:Ccrd_014112 transcript:KVI07566 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MNGAALVAIIATIGNLLQGAVVYIKKELDLGSTIEGLVVAMSLIGATLITTCSGPLSDSVGRRPMLILSSVFYFASGLIMLWSPNVYVLLLARLIDGFGVGLAVTLTPIYISETAPSEIRGLLNTLPQFTGSGGMFFAYCMVDVGSSFDSFARLFRFNGIIFTRISSVAREQRKNGGGKTREMALLVEGLTVGGETSIEEYMIGLDNDGTEDQDPMARKDEIKLYGPEGGLSWIAKPVTGQSALGLISRHGSMVNSSIPLMDPLVTLFGSVHEKQPESGSMRSMLFPNFGSMFSAAGPPVKDDQWDEESLQNDGDGYGTDGGDLSDDNLHSPLISRQTTSVVAPHGGSSLMQGNAGETSGGGMGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHREGSGPGGSRRGSIVSILGSCGGNVSIEGEYVQASALVSQPALYSKELMSQCPVGPAMVHPSETVSKTPMLSALGDPGVSRALLVGVAIQMLQQARFLGPLDFSGINGVMYYTPQILEQAGVSVLLSSLGLGSNSASFLISAFTTLLMLPCIAIAMRTLLLTTIPVLIASLVILVLSNIVNLGSIIHAVISTVCVVVYFCCFVMAYGPIPNILCSEIFPTRVRGVCIAICALVFWICDIIVTYTLPVMLSSIGLAGVFSIYAIVCTVSWVFVFLKVPETKGMPLE >KVI07573 pep supercontig:CcrdV1:scaffold_69:308366:315298:-1 gene:Ccrd_014100 transcript:KVI07573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEEVGTQLAPPLYMYNTIGAGRFSNGHPMAKKRSLPFQGTNFIQHQQQQQYLQRLIPSLDDSRNSWNPKNWEWDSSRLVAKPVDMMEISREHEQQQQHHLPAIVSTNSDVSKKNPVGQREEDERLLLKLGGGLVPGEEPITRPSKRVRSGSPGSGGGGGGGGNYPMCQVDNCKEDLSAAKDYHRRHKVCEVHSKSGKALVGKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDVASHLLLAGNDQLIQILNKINSLPMPVDLVSKLPVSASLKTIDQEKPSSEIQNKVNRKTSSSTMDLLGGLSGTSDAPAPNPPENSSQKRNLAIESDKTNSSCRDQTMVLNPPNQPAAEMHSGGERSSTSFQSRGEDSDCQVLDTPLNLQLQLFSSSPEDDSPPKLASSRKYFSSDSSNPMEDRSPSSSPPVVQRLFPTKSSRGRMKPESMSTSGEVTANVKASSVHGGTMSLELFGGPNAGTDNISIQSSPYRAGYTSSSGSDQSPSSLNSDPQDRTGRILFKLFDKDPSHLPGSLRTQLEGNFLQYINSLLQDSGTDFWGTGRFLAHTEKQIASHKDAKESSQESTYEEISTCSFTVCAPSVLGRCFIEIENGFRGTSFPVIIADATLCKELRLLEAEFGEVLNGQSSSMKEVLHFLNELGWLFQRKGADSHYSLTRFKFLLVFSVERDFCALVRTLLGILLKNGSGITDEESYLEMLSGINLLNRAVKRRCKNMVDLLIRYSVLDDKTASRKYIFPPNADGPGGITPLHLAACTSNSDDMVDALTNDPQEIGMLCWNSSIDANGLSPFAYASMRNNNSYNTLVARKLADRIAGQVSVGISNEIELQTSTRNEDHHELSFRVRDREIPKSCSRCATVAAKHPRRIPGSQGLLHRPYIHSMLAIAAVCVCVCLFLRGAPDIGLVAPFKWENLNFGSM >KVI07547 pep supercontig:CcrdV1:scaffold_69:527794:530494:1 gene:Ccrd_014123 transcript:KVI07547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adipose-regulatory protein, Seipin MNSPEHHRDHHPKPWFIKLLSLQSDIISDCLLSLTSPFFSITSFTSASHHSAGEPKEPIDTTISADPSTVVHGSTILLRKAVLGFVGAAYVCLILLMVMVVAVIVGVSLVHMWVEEPVYLQENLYFDYTNDHPFAILSSGFREPDKPRKMVPVGHTCNIRLVFVMPESDYNREIGNFQVIAEALSIDGNVITRASRPCMLRFCSRPIRLMRTFLMSVPLLLGITSEIQTTDVPLLKYKERYVPRTESIKISLVPRAGTPFLPQIYEARIIFSSQLPWLKELVRRWKWTFYVWTSIYVYIMLLVVLVCFFRSIMFPTMQPIHDYQNVDDQEVLPEEPPQGIPARDRPTSDTLKRWRQSRSKRKAMLLGGGLPEATTGSDATSMSVTRDEDASVATEEVGDSESVCQ >KVI07572 pep supercontig:CcrdV1:scaffold_69:475467:494571:1 gene:Ccrd_014118 transcript:KVI07572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDFDSGIPMTAELSSSLSPPSDEDALWQLNLRSREAMESGPYPVREGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIATAKMRGEYPERAGQPECQYYLKTGTCKFGATCKFHHPREKAGMAGRVSLNVLGYPLRPNEAECAYYQRTGECKFGNTCKFHHPHPSNMMFTFGGSPVYPTVQSPTSPGQQSYPGGITNWSLSRPSFVPSPRWQGPSNYAQMILPQGVVSVPGWNAYRGSLGSLSSSESQQQQAAGNNQIYGTSRQSETANEPLQGTYSSYHTGSVHTGYYALPRENVFPERPGQPDCQFYMKTGDCKFGAVCRFHHPRERVIPAPDCVLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFDHPMGVLTYNFSPTSADTPTDRHLLASSSGTGPLNVTAKGPIDGVLE >KVI07545 pep supercontig:CcrdV1:scaffold_69:592437:598554:-1 gene:Ccrd_014129 transcript:KVI07545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGTLYQSPTISTSPKIIQDLKVTIHDSITIFPPQETKTEAGSMFLSNIDQVLNFNVETVHFFVANPQFPPPLVAEKLKSALSKALVPYGFLAGRLRLNPESRRFEFDCNGAGAQFVVGSSEFELGEIGNLVYPNPGFKQLVVKSYDNLDIVDRPLCILQCGGFAIGVATNHATFDGISFKIFLENLASLAADKPLATAPCNDRHLLAARSPPHVQFDHPELLKIPEGIDLPNPTVFDCQDELDFKIFNLTSKDITHLKQKAKDEPIPTRAKVTGFNVVAAHVWRCKALSSGTDYDPDRVSTILYAVDIRSRLNLPHSFAGNAVLSAYASAPCKEIEKSPFSKLVELMTEGASRMTGEYARSVIDWGEVNKGFPAGEFLISSWWRLGFTDVEYPWGKPRYSCPVVYHRKDIILLFPDIVGESDNEVNVLVALPSKEMAKFEALFHKFLA >KVI07561 pep supercontig:CcrdV1:scaffold_69:208255:212186:-1 gene:Ccrd_014090 transcript:KVI07561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGIVAPASISVRTSLKGHDGWSGNSCLYGKTPTLTHQRKSNQQRTQRNDRSGGGSGDFVAGFFLGGALCGTLAYIFAPQIRRSLLNEDEYGFRRAKRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNMPQVPVETDPEEATM >KVI07563 pep supercontig:CcrdV1:scaffold_69:290699:302266:-1 gene:Ccrd_014098 transcript:KVI07563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical EEKGGQEDSVFTQLTEAVAANSEDPSLHFNLVTKSFNGLFLWKGIGEESKEKAAEHFVIAAKLNPQDANAFRYLGHYYSRVDTQRALKCYQRALNLAPQDSESGVSLIFNISPHFVHSFDYESMCDLLDKEGKESLEVAVCREASGKSPRAFWAFRRLGFLQVHQKKWSEAVHNLQQAIRGYPTSADLWEALGLAYQRLSMFTAAIKSYGRAIELEESRVFALVESGYVFLMLGSHRKVIFGHMQLQLIQFIFNTLEHICVQYLETLWVKGAEHFQQALQISPENVAAHYGLGCALLELSKECISSGAFRWGASILEEASKVAKTGTCLAKNVSCMWKLHGDIQLTYAKCFPWMDENPILETDKKALTASILSWKNTCSSAALSARHSYQQALHLAPWQANIYTDIAVTIDVINSFKEEEKHDSIQRELPEKMILGGLLLESSNNEFWVALGCLSHRVELKQHAFIRSLQLDVSLAVAWAYLGKLYRKQGENKLAQHAFDRARSIDPSLALPWAGMALKPDEAYDCCLRAVQILPLPEFQMGLAKLALLSGQLSSSEVFGPIRQALHRAPQYPESHNLLGLVCEARHDYQSATTSYRLARCAMNTSFGDTTKLLDISINLARSLCKPMKIYFTLMQGGKAYEAVEECEELKKTGLDLHAVCMAVPHVCFVFQGHPLLDSSLISDDLAARLLDWEGLHMYALSLWQLGKNDQALSVIRILAARVKSLEPRLASTSISFICRLLYYIHGREAVMTTILKMPKDLFNSSKASFVVSAIHTLDQSNQLEAVVSSSRSSLLTHDEITGMHFLIALSKLVKNGSEHRLGFQSGIDHLKKNLHKNVLGYLLISSNERKDTHLSTRCFTVRSSPSECPKEEGLMSAPEILGASVVACYSTGNFNDKYSFSTCKDQSPHRHGSLKLLQKWCHQEPWNKNARYLLILNYFQKAHEERYHQHICLGLKRLIGAALSDESRHYQKFQLLLCASEISLQSGDHIGCINHAKNASKLSVLDGYLFFAHLLLCRAYAAENNRVLLREEYMRCLDLRTDYHIGLICLKYIECQYELQTDESIIELRFEECSKDIKYSRNAWMAILKFVQGLIAVWDGNFLGAEEFFAHACAICMELARQECNSDYLLLAVGSLKKARYISRAPLPILQLLLAQAEASLGYKAQWEQYLKFEWLSWPPGLAFL >KVI07552 pep supercontig:CcrdV1:scaffold_69:532383:537337:1 gene:Ccrd_014124 transcript:KVI07552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MSGSMFIFVNGVASPTSAPPVSKLLESHPGAYTTFRTHNDGLEFLFWERHLRRLANSARILFYSCPKLLFQSGINSNSVALHQMKPLEWDSFIQSSVNDSMKKAIPCALKERRNETELAFTALVSGNLKKLIQNEKVGEEDIHRVFDMRLHVSLYAPLVFGVRTNGAHLAVVGNGRKTANAKYSNWVRMRKPLEKLRPPSATELLLSNDGDRILEGCLTNFFVLCHKDSLEEDNGCTEKKLVKSKYDHSIELQTAPISDGVLPGVIREVSMSENWNPDSRSCSIMVQASLVGRSIYYKYHVETIRVPSSWNSLGSKTWKDVMWEEKRFEIFILMTILFPIPGGSWEHNRVNP >KVI07578 pep supercontig:CcrdV1:scaffold_69:363995:366772:1 gene:Ccrd_014105 transcript:KVI07578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAYQQSIPATPSSGPSSTSGFQSPFGDTTFTKVFVGGLAWETQSETMRHYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPESATKSCVDPAPVIDGRRANCNLASLGRPRAPPPFGGITSPIPYAGGLQTARGVYNLYSGQQYLQMMYPYNQISQFGHGYTAFQGYGLPTSSSIQTIQAAYPIGSVFFQKIH >KVI07551 pep supercontig:CcrdV1:scaffold_69:560063:571691:-1 gene:Ccrd_014127 transcript:KVI07551 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4339 MSTEVGWYILSEDQQHVGPYAASELREHFSSGYITESTLVWAEGRTEWQPLSSVPGLITDNSEQVPSSTVNDDEFERWQNEVRSAEAEVEVEAGAVNHELADDDDRPSSPPDGQEEFTDDDGTVYKWDRKLRAWVPQDIIAGTEPYDVEKMTFEQEEELFPTVEADSLPVKEDTDTSIKANVDPLVEKVTDNANEAAEANGKRKLPEQPVEKKEVNQPPDSWFELKVNTHVYVTGLPDDVTFDEVVEVFSKCGIIKEDPETKKPRVKIYVDKETGRKKGDALVSYMKEPSVALALQILDGSPLRPGDKITMSVTPAKFEQKGEKFIAKQVDKRKKKKLQKVEHKMLGWGGRDDSKLLIPTTVVLRYMFSPAEMRADENLNVELAADVQEECTKLGAVESVKVCENHPQGVVLVRFKDRQDARKCIELMNGRWFGGRQIHASEDDGSVNHSMVRDLDYDAEQLEKFGAELEAE >KVI07521 pep supercontig:CcrdV1:scaffold_69:70014:72379:1 gene:Ccrd_014068 transcript:KVI07521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30Ae/sigma 54 modulation protein MASFYASPAPTLQITNTHLPQSPPSSSSSASSISFSSNKSTFFNSSSSSFKILVHSQPKIKQSPFLVRMSWDGPLSSVKLILQGKNLELSEPVKTHVEDKVGKAIQKHSHLVREVDVRVSVRGGEIGKGPKVRRTEVFATQIGVTLFTKKHGVIRAEEEAETLYASIDTVSSVIQRKLRKIKEKDSDHGRHMKGFDRLKVRDPEVLVDQDSVAVPQEEEEDTSEGFTDDLVPEIVRTKYFDMPPLTVSEAIEQLENVDHDFYGFRNEETGEINILYKRKSGGYGIIVPKENGETEKLQDADAEMLRQL >KVI07536 pep supercontig:CcrdV1:scaffold_69:78064:78808:-1 gene:Ccrd_014070 transcript:KVI07536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MAVSELACTYASLILHDDGIPITSEKIATLVSAANVSIESYWPSLFAKLCEKKSLEDLIMNVGAGGGGAAVAVSAPSAGGGAAPAAAAPAAEEKKEEAKEESDDDMGFSLFD >KVI07511 pep supercontig:CcrdV1:scaffold_69:152226:163928:-1 gene:Ccrd_014081 transcript:KVI07511 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MAKTSGSGIFNFPPFSSSPSPAPAPSPSPSPSVGGSVDPQSSSPPNDAPATPRVRNDNPRTTSAGFDPEALERGAKALKEIAASPQAKKAFELMKKQEETRQTELASKMAEFKAMQAQAETAENEHHRARNQELVKMQEESSIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEARAHEAKLAEDVNKRMLVERANAEREKWVSAINTTFEHIGGGLRAILTDQNKLVVAVGGVTALAAGIYTTREGARVVWSYVDRILGQPSLIRESSRGKYPWSGFFSRGLSTLSRGGQKGSASQNGNAFGEVILNPSLQKRIQQLAGATANTKSHQAPFRNMLFYGPPGTGKTMAARELARKSVVRRNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVSDRIDEVLEFPLPGEEERFKLLKLYLDKYIAKAGARKPGFFSRFFQKQQQQIEIKGLNDDIIREAAAKTDGFSGREVAKLMASVQAAVYGSENCVLDPILFREVVDYKVAEHQQRRKLAATDGGVWVVVISLFTTPLKRLTDVEEEEVFRAFRKLEAMAYPRLAPIVAIEIN >KVI07528 pep supercontig:CcrdV1:scaffold_69:35008:42977:-1 gene:Ccrd_014065 transcript:KVI07528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein PRNCLWDIKNLSAGSLKSHPLLPAPAIVPIQMAGKVISTGIRYHTLPESYVRPVTDRPNLSLVSNCNDVPVIDFGNADRRLIIQQIGDACRRYGFFQVINHGVPDEIVEKMQQVGREFFLLPVEEKMKLYSEDPSKTMRLSTSFNVQKEQVHNWRDYLRLHCYPLDQYSPEWPSNPSSFKENVGNYCRAIRELGMRILEAISESLGLEKEVIRNILGDQGQHMAINHYPVCPEPELTYGLPGHTDPNALTILLQDTLVSGLQVFKDGKWLAVSPHPNAFVINIGDQLEAVSNGEYKSVWHRAVVNSDKPRMSIASFLCPCNDSIISAPQELTEKDGSKAVFKKFTYAEYYKKFWSRNLDQKEHCLEFFKN >KVI07527 pep supercontig:CcrdV1:scaffold_69:62112:67669:1 gene:Ccrd_014066 transcript:KVI07527 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-like protein MSPQPPPSITKPHPNSLSDFIFSAFSLFVCSSSSFKPTSNFNPPRRLFKFPIMPLTTTKTPAINNHHFPTPQSLSDWLRPRLPSDSFAAWGTRPGTKNIHNLWLELAEGETSLADSTPPVRTLEVVVVRVRDHQNRILIESHQQLSNGDIRDRSRPLSEKMKPGETVESAVVRAVKEELGSIIKDSCSNVNYGDIVKIIPNSYSSKVEERVSVSYPGLPACYVLHTVDAIVDGLPDCEFCSEEEEEYQDLDEKEGAKGAVSCKKHYWKWVDSNTVSS >KVI07575 pep supercontig:CcrdV1:scaffold_69:331905:341059:1 gene:Ccrd_014102 transcript:KVI07575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase domain-containing protein MDSDYGVPRELSDLQKNRSLYKPELPPCLQGTTVRVEFGDATAAADPSGANAIARSFPHTYGQPLAHFLRATTKVAKSQTIDEHPAVKVGLVFCGRQSPGGHNVVWGGSDGLFAQKTLEITDDVLATYKNQGGYDLLGRTKDQIRTTEQVNSAMAACKALKLDGLVIVGGVTSNTDAAQLAETFAEAKCSTKVVGVPVTLNGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMDKNHGVILLPEGLIESIPELLLHPESDDSAQLSQIETEKLLAELGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHSCYHILAAGLNGYMATVTNLKSPSNKWRCGAAPISVAMMTVKHYGRGSGSGSTTLGKPVVHPATVDLRGKVYELLRQNATRFLMDDVYRNPGPLQFDGPGADSKAVSLCVEDLDYMGRIKELNEYLDKVRTIVKPGCSQDVLKAALSAMSSVTDILSVMTSQRLE >KVI07570 pep supercontig:CcrdV1:scaffold_69:438694:445273:-1 gene:Ccrd_014114 transcript:KVI07570 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MAAAAALMIMVPPLKPSQALTLTFPLIYKSSLKPSLTKMALHITASASASASAITNRKLPVLLFDIMDTIVRDPFNHDIPAFFGMSMKELLECKHPTAWIEFEKGLIDEMELERIFFKDGRHFDLEGYSYLEGVEELLCALKDNGYEMHAFTNYPIWYRMIEDKLKLSSYLSWTFCSCIIGKRKPDPDFYSEVLKHLDTEPKNCVFVDDRIKNVDAAKETGINGIQFKNADSLRHDLSLLGVTL >KVI07537 pep supercontig:CcrdV1:scaffold_69:79573:83069:-1 gene:Ccrd_014071 transcript:KVI07537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait GDEASNSNTGIPENGKRPSRFSVAVKFNQFVLIYGAIYLELKMSGCFSRTIYVGNLPLDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFANARDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSGDGRGDYGGGHRSGSSSGRFGISQHSEYRDQFPICAFKNFATLRLFCAVVVRGLPSSASWQDLKDHMRRAGDVCFAEVSRDSEGTFGLVDYTNYEDMKYAIRKLDDTEFRNPWTKTYIRVRKYDRSPSRSPSRSCSRGRSKSLQRSPSESRSASPGKPTRSRSRLRSKSMSESPRKVRSP >KVI07560 pep supercontig:CcrdV1:scaffold_69:241549:247098:-1 gene:Ccrd_014093 transcript:KVI07560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSVAVLGGTPPPIQPLTPTRCSCNNGRVFLHHPHFSNPSILPLKRSPFNTLKLGAPSSSSVNPSFRLKKRSRFRPKNPALDSDIPSPIHQEDNDQEEISKKNLQQWDSLTAKFAGAANVPFLLLQLPQIVLNARNLIAGNNAALFAVPWLGMFTGLLGNLSLLSYFAKKRETEVVVVQTLGVVSTYAVITQLAMAGSMPLPQFAATSIVVACGLLINFLYFFKLLNHIIWNFWEDFITVAGLSALPQVIYLLGFLLVMWSTFVPYVPNSILPGIVSFLMAVIAVVMLHDMNLQARIGKLPEKATKFVGSVSGWTATLLFMWMPVAQMWTNFLNPDNIRGLSSISMLLAMMGNGLLIPRALFVKDLMWFTGSCWASFFYGWGNLICMYLVCAMEGYECVWPRIATYFFKGVIFWSLEHSHSYDVLQIFCGGAWKIR >KVI07518 pep supercontig:CcrdV1:scaffold_69:205809:208282:1 gene:Ccrd_014089 transcript:KVI07518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFDDDDLDVFLDKDFDPLCATDGMSWIWMKAMVDPVSSLATGLNWWISWAFLI >KVI07553 pep supercontig:CcrdV1:scaffold_69:541074:541732:1 gene:Ccrd_014125 transcript:KVI07553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKDKSPGVKILWLWTIGTAAVLVANVATSRVRDMNKLLDAQEESSLPSADSQSTDSIITDQVIDSSLDKRI >KVI07513 pep supercontig:CcrdV1:scaffold_69:171077:176666:-1 gene:Ccrd_014083 transcript:KVI07513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MAGGRQYSSSSSMNPITKITRSPFLLALLSFLCFVLLFCIFSSSSPAKPISIHTSNTNQPHLNPNVEYSFVASLESFLSKRSIHGSRHLPDDTVGDSAAEEDQQVRRLDDLIWRTETARVYNDEKGSFFSPPLRVYVYEMPAKFTYDMLSLFQNTYKETFNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKSVVRVHRKEDADLFYVPFFTTISYFLLEKQQCKMLYREALKWIMDQPAWKRSEGRDHIFPIHHPWSFKSVRKYVKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNLDLCDAKCLSESASKRTTLLYFRGRLKRNAGSAGEAGKIAAQSGMRKSVFCLSPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRRISLFVSSSDAVQPGWLLAYLRSIKPTQIREMQDNLAKYTRHFLYSHPAQPLGPEDLVWRMMAGKVANIKLHIRRSQRVVKESRSICMCDCKPPNITTTSLVPP >KVI07567 pep supercontig:CcrdV1:scaffold_69:413449:418409:-1 gene:Ccrd_014111 transcript:KVI07567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTKEKDAAYRRDSLVRVEEAMSLDPYQTHQWSLNNIYRAKKKSWVANNCLEDVEICVAEGWNAMILMVGVLAIIG >KVI07571 pep supercontig:CcrdV1:scaffold_69:454753:462939:-1 gene:Ccrd_014116 transcript:KVI07571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0016 ISQLNQPHAPPFLYIFTGNEIFSSFPTKCSTVNVSISNSSQISLYLSSSDHLVRQKIMNLRLNYGFLFLFLFVSIAASRVSAQEIGGDKDNEDSTRSIIDLGRRSKVVVQKIKNNVIGDGIDPKVVLSLDSGLGVVDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALFVMTILSTGLGRIVPNLISRKHTNILYAFFGIRLLYIAWRSDSKASQKKEMEEVEEKLESGQGKTAARRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVALGATIGHTICTSVAVIGGSMLASKISQGTVATVGGLLFLGFSLSSYFYPPL >KVI07515 pep supercontig:CcrdV1:scaffold_69:183530:189066:1 gene:Ccrd_014085 transcript:KVI07515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II domain-containing protein MMDVTWLGAILVGAGCLALGFFIGARKSSRKFLSTKVAEATALVDGNQKGRAKKPLEIEKLAEIIEDFKMVLVVRNDLKMGKGKIAAQCRWEMCGQVKVVVKIESEDDMLVLQERAKSMAIPTHIVIDAGRTQIAPNSRTVMAVLGPAEMVDDVTGGLKLL >KVI07514 pep supercontig:CcrdV1:scaffold_69:164915:169821:-1 gene:Ccrd_014082 transcript:KVI07514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MASKSFKGSRSNLSISSDATDSQNNKPPLPPTVTFARRTSSGRYVNYSRDDLDSELGSQDFLNYTVHLPPTPDNQPMDAISQKVEEQYVSSSLFTGGFNAVTRAHLMDKVIDSEISHPQMAGAKGSSCSVPGCDANVMSDERGSDILPCECDFKICRDCYLDAIKTGDGICPGCKELYKTTDLDELVVENGVRPLPLPPLAGMSKPERRLSLMKSTKSVLMRSQTGDFDHNRWLFETSGTYGYGNAIWPKEGVIENGKDNDHVESLEMMNKPWRPLTRKINHPNNEAIWLWGMSVVCELWFAFSWVLDQMPKLNPVNRATDLNVLKEKFELPTLNNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPESYFNLKRDPYKNKVKSDFVKDRRRVKREFDEFKVRINGLPDSIRRRSDAYHAREEIKAMKQQRQKRDDEPLESVKVQKATRNSLARDLVDYGTGTLERRSFGNHSEDDAGMLDFSDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRTKEHHASFCSCCTGRKKVKFSTPEENRALRMGDSDEEDMNLSLAPRKFGNSTLLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIITLTIVMLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGDDEDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSTIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPQGQNQIGGSFQFP >KVI07569 pep supercontig:CcrdV1:scaffold_69:450089:450795:-1 gene:Ccrd_014115 transcript:KVI07569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINGVLCSLFILLAGIQFSDANEMAVVENSTAEEMTMVPWIEHEKGGMMGLVLNDSRRKLGSFQICSLCTCCAGGGGGGGKGYCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFRCHL >KVI07519 pep supercontig:CcrdV1:scaffold_69:200501:204417:-1 gene:Ccrd_014088 transcript:KVI07519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSKSSSSETPPTTKNPISKTSPATPRISKLSRGVAKSETDSPSPLQTTRTSVDRSPRPVASKTPKPAPRAAVTPKGLELQAQLNALQDDLKKAEEKLVCVEKEKEKAINELKEAQRLSEGTNEKLREALVAQKMAEESSEIEKFRAVEMEQAGIEAAQKKEEKWEKELESVKNQHSLDMAALLSATQELEKVKQELAMTCDAKDQALTHADDATKIAEIQVAKVETLSNEVTRLKGLLNSKFETEADQSNKLVTELNLEIDTLKSEAEQSNKLVLELKSEIETLKSEGHENDKLVSELKSEVGFLKSEEEALKLEIKTLNEELKKAKVYKEKLLEREASLEEINIELEAARMSESYARNLMEEWKTKVEELDLQAEEAKRLERSASSSLESVVKELEGSNNLLRNAESELASLKEKVGLLEMSNVRQRGDLEESERSLQKVKEDASEMAKKVEFLKLELETVKEERTQALEHEKLAASSVQTLLEEKNKLMSELETSKDEEEKSKKALESLASALHEVSAEAREAKEKLLSNESEHDNYESQIEDLKQALQATNEKYQNMIDDAKHEIDMLMNTIEQAKHNHQDTESEWKEKELHLMDCVKQSKEENISLEKEVGRLANLLKETEEEAYASNEERAQLKNILKEAESEVTYLKEVLGEAKAESMNLKESLMDKENELQSLDQEILELHKKVEELSKLVEEAAAKERVEENDDITDSEKDYDMLPKVVEFSEHNGDGRDEMPKSEQPPKETPWTENEVLQKDATVKDAATKDSGVMNGEHKESEKEAGKGNEDSVEVEFKMWESCKIEEKDLSPDRDGAHEESFEDEVDSKTEGGEGYDQINGTENIDNGSNSPSKQPQQVKKKKPLLRKFGSLLKKKSTSNNQK >KVI07520 pep supercontig:CcrdV1:scaffold_69:73295:77055:1 gene:Ccrd_014069 transcript:KVI07520 gene_biotype:protein_coding transcript_biotype:protein_coding description:START domain-containing protein MLLVDPFSEILRTPTVGVLVLDMLLLLVLVSIAFFIGITVGWSWKPTWVSNFAIKHQTVGTNNVDLGSSSVDRKMDKQPFKLQPSMENPSCSSSVVKKENNLLTDGDLKHLWHLVEKRDGDATPELLRDFFWDDDLRLKWDDMLLHATTLEEFRDVGASVVHWIRRFPFFCSDREYTIGRRMWDLEGSFYCVTKGVSCPLVPRKQKPRLGSKKGNNQPASEVVFFHHEDMGIPWEIAKFAVSQGMWGTARKVERGFRWYQNERICSKTTSPHIAMAEMSTKIDQNYLARLESDEDHDVAETEMVVQQEKQGGINLPKLLVIGGAVILACSLDRGLLTKGVIFRVARRFGNVGRRFPPTQL >KVI07576 pep supercontig:CcrdV1:scaffold_69:342567:343331:-1 gene:Ccrd_014103 transcript:KVI07576 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MADFDDGDHQKHGRYLHHLLEDASTDGDEGSGGPTRRCRGRPPGSKNKPKPPVILTRESPNALRSHVLEVSAGADIVDSLSVFARRRGRGVSVLSGTGNVADVTLRQPADPSGNAVTLHGRFEILTISGTVLPPPAPPNAGGLSIFLAGGQGQVVGGIPVGPLMASSPVVLMAASFANAVFERLPVEEDVEEDGGSGAQVQPAASQCSEVTSGGGGGSGVSLFNTVAAGNNNGGSDYPYSGDVMGWGSNARTPY >KVI07546 pep supercontig:CcrdV1:scaffold_69:510222:523383:-1 gene:Ccrd_014122 transcript:KVI07546 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MTEKDENGGDCGDDDEWGDLITTPNRLISDDFLVLKAENIGNDEEKEGKLEDQEQESRVCSDGKDNDVDSMEGRVKSCRRVSIAERRAAKRGSDGDSSSISVSVSSSPATAWSQFLTIPSGISPTSLLELDSPIMLPNSQASPTTGTLPYPPLNHEILGMNSAVEDRISDNGSFTYPSHGGSLLWPCIPDLQNQNSAMQPTIPSEFSGTLSKERVTKGRPTELEGFKAVKSTIRNANNAATIKSTEGDHTKRHLLDGYPKETTQATMRNSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSRDGHITEIIYKGAHNHQGHRNVIGSPASFSDSGSYIKIEEGEVWGNNNIGTRQDWTPDGMELSSSTSVVTDNSDIISPTQGKSMGNPELSSSNGNCEFNEEDGTNVGMLSPGDDADEDKSDLKRRKKGNFLLETSLVSRAMREPRVVVQIESDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCPVRKHVERASDDLKSVLTTYEGKHNHEVPVSRNSSHATMDVGSASNTPNSLSLPRISNVPKSEPQVQDLPLRYARKLTNEYIPSNFVGNFDPEPTKFEASSIYQTKYVPFQSPISFGSVLPDYPLSLPMTIPPSGHMVHNGFGFNNNGKRTHEAQSQSFIQNNGRFIKPKVEQDDGFYENVLRVPDRVNDASSASVEKELFAKHHPKKSRKPLNRRYSGFVQNVFSPESNRLFLEPYP >KVI07548 pep supercontig:CcrdV1:scaffold_69:500387:503884:1 gene:Ccrd_014120 transcript:KVI07548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRMERLVVFPFTAGCVSSSSVSICIQHGRRPKEDIISSHMVCRSKEGPKDHNDTSSDYMMKGSSRLPTLSKPNIYIGFHRLTRSIKSLSQSLDVKHVTHIGFDGSTTHNANGCNDNLDVSDLLSLCPDFVAQYEQRATFVPIDASCGMQDKS >KVI07516 pep supercontig:CcrdV1:scaffold_69:195816:198983:1 gene:Ccrd_014087 transcript:KVI07516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MPCYSMMNLSASRHPTISYRPIQPADLEVLVKIHGDLFPIRYEIEFFHNVVHGRDIVSWGAVDRNRPNGESDELIGFVTARIVMAKESEIEDMLRFDKSRSDQTLVYILTLGVVESYRNFGIATSLIREVIKYASNMPNCRAVYLHVISYNNSAIHLYQKMSFLCIRRLHAFYFINGQHYDAYLFIYYVNGGRSPCSPLELVTLLVTYMRRGLKLVSARVWKKGEKNGPKRVKYRDKSCLLPMTQGKRSITIEGADSDHV >KVH93995 pep supercontig:CcrdV1:scaffold_690:92423:100078:1 gene:Ccrd_003952 transcript:KVH93995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKKGNLAPFLKLNLPPSDETSLAKFLTKSGTFMDGDLLVNRDGVRIVSQSQSDTPPLIQASDNQLSLADFDAVKVVGKGNGGVVRLVQHKWTGQFFALKVIQMNVEESARKQIAQELKINQSSQCPNVVVCYQSFYDNGAVSIILEYMDGGSLADFLKTVRFIPEPYLAAICKQVLRGLWYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGPERILAGNHGYKSDIWSLGLVLLECATGQFPYSPPQPGGWVNVYELMETIVGQQPPRPPSDQFSPQFCSFIDACLQKEPKDRSSANELMSHPFINMFDDLAIDLASYFTEAGSPLATL >KVH93985 pep supercontig:CcrdV1:scaffold_690:5550:9436:1 gene:Ccrd_003945 transcript:KVH93985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2838 MSSNEETDDYQSNGHRFQNVKQRFKDRSRKVAQTKEKTKEILSKQAIKIAKQAEEHERFITKVTHFMGVFGFGGFCFILGARPQDIRYVYCLIYITFVPLRWIYYRYKKWHYYLLDFCYYANTIFLIMLLFFPKNEKLFMVCFSFAEGWCSLLFDGGTQPSLKLCIQKERLEELHGPMLEANLSYGHGCLRSH >KVH93987 pep supercontig:CcrdV1:scaffold_690:29370:33945:-1 gene:Ccrd_003947 transcript:KVH93987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine dehydratase, pyridoxal-phosphate-binding site-containing protein MALTPATTFLPKHNQPPPFHHLRSSPTTINCTSSPPTITTTTTNNNNGHSIPSPLPFSAKYVPFNSDTDESYSLDEIIYRSSSGGLLDVQHDITSLKQFDGKYWRSLFDSRVGRNAWPYGSGVWSKKEWVLPEIDNDDIVSCFEGNSNLFWAERFGKQNLDGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPLVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANKISLAQLVQPIANGAFVLSLDTDFDGCMKLIREITSELPIYLANSLNSLRLEGQKTAAIEILQQFNWEVPDWVIIPGGNLGNIYAFYKGFKMCQELGLVDRIPRLVCAQSANANPLYLHYKSGWKNFTPVKATTTFASAIQIGDPVSIDRAVYALSNSDGIVEEATEEELMDAMAVADSTGMFICPHTGVALVALMKLRERGVIGKTDRTVVVSTAHGLKFTQAKIDYHSKAIEGMESRFANSPVEVTAEYGAVMDVLKKFLTKEKDGVDWRRAATWSGDERRRLAAATSGDVERQRAAVTRGGDLRRRRKATTTDGDDIRPWKKPT >KVH93988 pep supercontig:CcrdV1:scaffold_690:39089:41032:-1 gene:Ccrd_003948 transcript:KVH93988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MEQDPDSPSTFWFQPPNTANRRRGSPSSPIIDPVVLIIVIPILALLFLFFFLPPFLSHTSHILKPGSVKSTWDSLNIFLVLFAILCGVLARRNDDVSTSGDVADQTNGFVTGPSGGSGVQSSDQIWGGFSDRKMVSSGLRRSSSSYPDLRQESLWNNGENRNRFFDDFDVDMYSSPVSRYYSSVSRRIDRERDNLYRSTPGSGDIGHGSRRSEADQGEFSDVKEIGVDTFEVTPNAPDNREQRRLVEPATVPPPPPPPPSSALSPNSRKHSFRSVGRNVKIDVSRKIESRELDKTRSNPPPPPPPPPPPPPPPPPPMEVRVHRSHHKHKKLERKVSDATKEIATAISSLYNQRKRKNKRKLRNISGSSGSSPPSLHSVRSPDVQEQQQSFTPPPPPPPPPPPPPPPSMFQNLFKKGGKHKRIHSVPATISPGVPPLPPPPPPSSIFNNLFKSGNKSKRFHSPSTAPPPPPPPPPPMTPPRYTVPAKSKQLSKRQTQAQTQSQSPPPPSPPKSEPHRRHSTSKGKPPLPTKASSYYDRDDFLPSGSQSPLIPMPPPPPPFRMPAMRFELRGDFVSIRSTNSSVCSSPDREDVDLSSTIIDGGDSIGPRFGSGSEPKFFPSPDVNAKADSFISRLKDEWRMEKINSKAH >KVH93991 pep supercontig:CcrdV1:scaffold_690:174888:178428:1 gene:Ccrd_003956 transcript:KVH93991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase-like domain-containing protein MAILLPATSTLFPHNKLNHVLICPIVPNTPAQKNIIQKVIGNSDTRMRQVLTKAKTSLEAEVRAKDSVTAEPVDDENDFGVVNLHHVGILCENLERSLDFYQNLLGLKINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPFTGRPEHGGRDRHTCIAIRDVSKLKAILDKAGIPYTLSRSGRPAIFTRDPDTNALEFTQVDC >KVH93992 pep supercontig:CcrdV1:scaffold_690:162558:163994:-1 gene:Ccrd_003955 transcript:KVH93992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MEISSIPFLNQEEYSYFYSFFQEFDTNNTAIDDIQTNKRRKINETTTGDSSSLKEILDTISFMDEQEIPDFDFQMPNLDFGSEMAEPERGRIRKTPPPKFDATVTEEWSSSQGGGGGGGGGGPQRRLWVKERSKGWWDYYNSDECPDEEFKKAFRMSKSTFNMICDELDAAVTKKDTMLRMAIPVRQRVAVCLYRLATGDPLRTVSSRFGLGISTCHKLVLEVCAAIRNVLMPKFLQWPDDERLEEIQTEFQSISGISNISGSIYTTHISIIAPKVTPAAYFNKKHTERNQKPSYSTTVQGVVDSRGVFTDICIGYPGSMSDDKILEKSAISQRFNIGYLKNIWIVGNSGYPLLDWLLVPYTHQHHTWSQHSFNEKIGDVQKTAKDAFMRLKGRWTCLQKRTEVKLQDLPVVLGACCVLHNICEMNGEAMDPDLSFDLFDDEIVVAENGGGKSGNAVQTRDTIAHNLLHCSNGGSGFR >KVH93990 pep supercontig:CcrdV1:scaffold_690:177941:186623:-1 gene:Ccrd_003957 transcript:KVH93990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNSTSTIDSDGSPSVVAVAVTGGNAGGIGGKGSRRAVCWAVENLFQKADRFVLIHVIPNITSIPNPSGKRIPIVDLDAHVVDMYVEDLKSHIEEVFLPFKRLCKGKKSCYLLLLLEPAANPNLKVMITESSSCGISKLWCGFCSSSRKSKIESTSASTQENASINSSTVKRSSSRRTLGDAISQTRVGNGTSMSSTCSNQSNLQAEVEKLRLELRNALNMYNQACDELVHTENKVRHYSFVEDAKKISSAVEREEKFRKIAAEEKEKHLEAVKEVEIARNLLAKEANEREIAELKALKESSEKQEVVDALFTKDKRYRRYSIDEIEVATDSFSKTKVVGEGAYGKVYKCNLDHTPVAIKVLWSDTSEKRREFLREVLSQLSHPHMVQLLGACPERGCLIYEYMENGSLEDYIFQATTTSYLSWSTRFRIAFEVACALAFLHNSKPEPIVHRDLKPGNILLDRNFVSKIGDVGMAKLITDVVPDNVTEYKDSILAGTMYYIDPEYHRTGTVRPKSDLYAFGIIVLQLLTSLQPKGIIPMVESAISRGTLSDILDDSIADWPLAEAEELAELGLKCCSLRCRDRPDLDTEVLPVLKKLYEFGDTSRKEVMDDPHIAADGFTYEHRAIQIWLERQNVSPMTKRKLQHKTLTPNHTLRSAIQDWRLRSTSSRSQV >KVH93989 pep supercontig:CcrdV1:scaffold_690:64691:66918:-1 gene:Ccrd_003949 transcript:KVH93989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVAYAAASTDDLEFVQQLLQKDPLSVFGEGEYGVIDIFYVAVRSKNNEVFWVVYDFAMSPRFISRDRRVLEGISSGYKQEMKNGAVHALARGGNLRFG >KVH93994 pep supercontig:CcrdV1:scaffold_690:126714:128402:1 gene:Ccrd_003953 transcript:KVH93994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MVSFSRFLVLLFLIASLSSFSSANPIQDTFHQCLSLDTNSISQLPTIFFTPNTTSFTPILNSTAQNLRCIASYAAKPELIFTPLHETHIQTAVICAKKLGIQLRFRSGGHDYEGISYTSVMEPPYVVIDLAKLRGIDVDIEDGSVWVEAGATIGELYYRVAEKSKTYGVPAGLCTSLGVGGHITGGAYGSMMRKYGLGADNALDAKIIDANGRILDRKAMGEDVFWAIRGGGGGSFGVIVSWKLKLVPVPETVTVFNVQRTLEEGATKILYRWQHVADKLDDDLFIRVLISASNVPNTTRRTVSTTYNALFLGGVDRLQQVMKKGFPELGLEPKDCIEMSWLESVLFIAGYPRTVPTAVLLAGKPAFLNYFKAKSDFVTEPISEKGLEGIWQRYFEEESPFMIWNPYGGMMGRIPESSIPFPHRNGTLFKIQYVTSWMDSGKEAMNKHVDWIRKLYNYMAQYVSMFPRQAYVNYRDLDLGMNDKDGGDTSFVKASSWGTKYFKDNFNRLVKIKTEFDPDNFFKHEQSIPVLPLKSRSKITQRFKHGKKGKGKGKGKKVIHHH >KVH93997 pep supercontig:CcrdV1:scaffold_690:77128:83602:1 gene:Ccrd_003950 transcript:KVH93997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2, LYAR-type MVWFQCEDCGDNLKKPKLANHFRVCSANKLSCIDCGQMFGQQSVQGHTQCITETEKYGPKGQAKPSTPGKPNSSSKPKPEVDINVGLSERPPWFCSLCNTSATSKQTLLLHADGKKHRAKARAFHASQQPPKVSEEPTPNTEGKSENIQKNDSEDVKETLTSENKKRKLESSENNGASHTPGGNAAGELGNGEVIQDANTESEKPKKAKRKEEDGQKRIKWKKIITAVLNSNPDGILKMRKLRAQVLKTLTESGSKIDENQLVDTLEHKINSSSKFTVNGKYVQLLKPEVLCKIPQELHIVEGEHPCLIGLAFVYLHAKAEAEAAAAAAAAAATLPVPVQYLKSAIRTVGSLLQ >KVH93993 pep supercontig:CcrdV1:scaffold_690:141505:150549:-1 gene:Ccrd_003954 transcript:KVH93993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGKFDLSSDSPDRPLYTTGQRASYITGSMDRSASFSENMENPILSSLPSMSRSTSTVTQGDVTNFLQCLRFDPKSMAAEYKFNRHGDFKRLASGALGIPDDSPSGAFKGKPTSSSSEDLKRLRADSLSGDPANGLLADRAVMGGGVGKIGTQNHVPTSVFDFEPQKVEERGKNTVPNKRTRTSMMDQRADARPNTPARSTASVDKDKEVLRPSSSNGLQGEGRALPIVSDGWEKAKMKKKRSVIKADAASSPSPVSTKLIDGYREPKQGIHSRHLTDGRSRPGAANGVIGAGKADGISQQASMGGRSSIPKPEQESVAVVREEFISGSPTSSRLHGTARGPRSGTGVGPKFSPVVQRATASNDWDLPHGTSKSPGAVGSTNRKRTLSARSSSPPVTQWADRRPQKISRTARRTNLVPIVSSNDEIPALDPSDVTGSENGPGFARRFQNSPRLAKSKGDHLPSSALSESEESGVAEFRFRDKGKKFDEVEDKSGQNVQKMSTPFLPTRKNKQANGEDHVDGVRRQGRTGRGFGPARVVNQTTVEKRRPPTRKLADRKAYTRQKHTAVNAAADSLVGSVDGHEELLAAESAVINSSHALSSPFWRQMEPFFAFLSDMDISFLKQQGSIQSIVTTTNPVSLDVDSSNTFSNGPKVVEPAKNGTNRNLEVNPELLLPETSNPGGTPLCQRLLAALITEEDDDPTCSGNDDLKFNVYGSTFELGTDAESEVFSQPSLQNLELNGHDAFGVYGKISSPRSYNHRMSMPVSEIAKGLDHSYSGLLSDPEMTSGTTCSEYHYGNMSMNEKLLLEIQSIGLYPELVPDLAHTGDEDIGEDISDLERKHHEQVLRKKSLLDKLLKSATEARELQEKEFQQHSLDKLIGMAYQKYMSCWGPNAPVGKSASSKLAKQTALAFVKRTLERCQEFETTGKSCFTEPLFREMFISGSSHLNDEQLVAVNDVGSGQIYGNSMERVSDEIWSSRVKKKELYLDDVVAGTSAGTPTSTGTASLNGAKGKRSDRDREWKGNGSFKVGRPASSSAKGERKAKTKLKQKTTQLSASVNGPLGKISDQHKPTVSSVPKSVEIKTKENDKYESLEDSEEPLDFSHLQLPEMDALGVGDDFGEQGQDIGSWLNIDEEVLQDDDFMGLEIPMDDLSELNMMV >KVH93996 pep supercontig:CcrdV1:scaffold_690:83197:87953:-1 gene:Ccrd_003951 transcript:KVH93996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNAAMEEGKVLKNGLELVKSVSDKHLDLLRPSSRLFSMFKGHAYDREKGKYALIRDSDEGLYDKPLPCFGCGIGWFSFLIGFAFPLMWYYATFLYFGNYYRRDPRERAGLAASAIAAMGCSIILFIVAMILLF >KVH93986 pep supercontig:CcrdV1:scaffold_690:10330:21802:-1 gene:Ccrd_003946 transcript:KVH93986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MTENEDGLDYLRIPYEKIKFGKKLEVQGYGTVFEGEFDDRRVALKQLNITNLGNVKPTLLAEILTISRFRRHPNLVALLGFCDEKINEIILIYEYALSGNLADKMRKRLTTIQRLEICLGAARGLDYLHTGVDATTPGITHGNIKLAKILLNSDSNSSKFEAKVSSFGLSKILPGQAQKILESTDTADEVTERVTKESDVYSFGVLLLEVLCGVPELVDTDDYQERHVTELVPKRLEQNKLRKIVHFDIRKEIKTESLETYAKIACRCVLKSSEERPSMAQVVEELEKALRLQGGEVSDVQIVGSRTIDGAPDGTVVAPPEDGSSVMADSELDDAGREVSVVGAISESTDAEENAAGDVSMQERSFPVPISNGINVESVPDITHIEDAQKDNINDLEASEEIADDSNSKEKSKANGERKDQSKIIATSSESSNGDTSTTDHSLNQEVQNSGFLESSSRNCDNIPNQNQDGFSNELEHLRIPYEKIIFGKKIDIHGYGSMFEGEFDHQQVALKWLNITNLAHIKSNLLAEILTVARFRQHPNIVALLGFCDEKSNEIILVYEYVSSGNLADKMSKHLTTIQRLEICIGAARGLDYLHTGVNSTPGIVHGDIKLPKILLNSHSTPLKFEAKVSGFGLSKLLPGQAQKTLDPTDKASDVYSFGVLLLEVLCGVPELVDTDDYQERHVTELVPKRLEQNILRTIVHIDIRDEITTESLETYAKIACLCVMKDPEERPTMAQVVEELEKALKLQGREVSDVLILGSSNGNVLPEETIDEDDQKGDDDGETKKSNIEEKFSEVKETIDDRVLSDRLDLARENNGDEDTSVDTSSEDRNGEKDAAKDITTQEQSFPVTILGGEDGERVDKSWTNDPERGVEIIDDLKLKEESEINREHEVDNKSKIATISSQSNDGKNRATEIIMKVQSSGILDSGSANAGKENSTLLNLHDDIEKKPESIIHINVREGDFNDFTTNNKFKSIQESESKAGDDSISTCSESSNGDGTTPSDHLLQKSPANGITTQHIDLIDTHYVQDWV >KVH99358 pep supercontig:CcrdV1:scaffold_6901:19057:19865:1 gene:Ccrd_022411 transcript:KVH99358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MTHSCAIFKVRISVLKXEFTIHARVDKNHEVLEIGCGWGTLAIEIVKQTGCKYTGITLSEEQLKYAKTKVKEAGLQDHIRFLLCYYRQLPHTYKYDRIIFCEMLEAVGHEYMEEFFRCCESVLADDGLFVLQFISIPDYLQLYRPKSDSQ >KVI11817 pep supercontig:CcrdV1:scaffold_6904:2312:17865:-1 gene:Ccrd_009766 transcript:KVI11817 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MSGETKFSVMCSLFNWIQKSKSSTKKRSKFRKFLDTYCKPTDYFAAVRLILPNLDRERGSYGLKESVLATCIIDALGMSRESPDALRLINWRKGGAKTGVNAGNFSLVASEVLQRRQGMISGGLTIKELNDLLDCLASSENRTEKISVLSDLIKKTNAQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCERLRDRHQRHKRQDIEVGKAVRPQLALRVANAASAWKKLHGKEVVIECKFDGDRIQIHKNGEDIHFFSRNFLDHPEYIHGMSNIIIQNVLVDRCILDGEMLVWDTSNERFAEFGSNQEIDVAFDILYDGDTSVIHQSLKERHELLKKVVKPVKGRLEILVPDGGLNVHRTVGEPCWSQVAHNVDDVETFFKRTIENRDEGIVLKDLGSKWEPSDRSGKWLKLKPEYVRAGADLDVLIIGGYYGSGRRGGQVAQFLVGLAERPASNTYPRRFISFCRVGTGLSDEELDAVVNKLKPYFRKYEYPKKGPPSYFKVTNNSKERPDVWIDSPEKLAFFSLSIILSITSDIRTIRSEVFAAPYSLRFPRIDKVRDDKPWHECLDVESFVELVHSSNHTTQWGGNYEKQQENKPKRSKSSRTADKKSSSVVPSHLLQTDVSQIKGETLIFSNMMFYFVNVPPTHSLDSFHKMVAENGGTFSMNLNNSVTHCIAAESRGIKFQGAKNHGDVIHYSWLLDCCSQKKLLLNNINRSEDSKTIDYYTKKYCPLEKWSRFNGCCMYFYKPANCLGLDWEALLELTTRRIKLEVCFGGGKVSSSISNATHLVVMSLPGFNVDFDTIVKSFSASERRLLRNKNLHIVDCQWLEDCSEKDLMLQEEEYSMRPSGFIFTESTSSDEHKLEPETFSSLKKEDKDEKKNTVNIEVPTSDKYGRNRGGKMRGRPINTKTSTRGRIGLNQRQRTRARSGNKAVKIHEHVSADDDDDDEVPVDESHVKDDEKSWHEMQDVIAEDTEVVEKAINVSPNAKVTTEYSGKMADAAANKESDKLEVMVDPVQAMLLDMIPSLEPKTENKTVVDPPVAKRGNPTLDSNPGPSKKKKVSYKDLAMELLKD >KVI11818 pep supercontig:CcrdV1:scaffold_6904:20721:21805:1 gene:Ccrd_009767 transcript:KVI11818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYSKCNAISDAFLVFSSSSSNCELNVFVYNAIIVGFIFNDMPNLALRVYEKMRMSGVMMDEFTFPCVVKAFSSCGDVVGFKIVHGLVLKCGVDHDLFVGSAIVHGYLISWLMADAQKVFDEMPDRDVVLWNAMINGYAQIGEFHNALECLQRLRIAVCNALIDMHGKCKSFLDGLDIFELMPIKDIYSWNSIIGVHQQYGDHEGTLKLFQRMLRDGVFFRPDIVTVTTVLPACSHLAALRHGKEIHGYMITKGSGKDDDDTYINNAVMDMYDKCGSMREAQLVFDHMTIKDPASWNIMIMGYAMHRFGHEALNVFVMQI >KVI04283 pep supercontig:CcrdV1:scaffold_6905:15817:16974:1 gene:Ccrd_017406 transcript:KVI04283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDGVCADEEHKFQSAHQLYLATKKPFRDLEIPPRKLLTRRLAATLSSEQSMDMIYHQLQNDSPREETLFQKFLPYNSGLDDDSDPYASDHFRMYEFKIRKCTRSRSHDWTDCPFAHPGEKARRRCPRRFNYSGTVCADFRRGSCSRGDSCDFAHGVFECWLHPSRYRTEACKDGKNCQRKICFFAHTPGQLRSLSPAETVVSPNKHVNSGHCAYCRCHPGIHHTNSPTSILDMDKLSPPTSPPFSPAQPGAGFSPISRFSDRLARTESCGMTQLGNGSSSYKDSKNDILIPQAIKDLMQSMKSMNMNAIEAPNRNSMWMDSFIGGDDQSLFAFSPSTSSPCSSGLGRAFPRDCNFGMNNLNEDKFVNDQNSTAGPDLDWVNDLLT >KVG53716 pep supercontig:CcrdV1:scaffold_6908:17778:19777:1 gene:Ccrd_026365 transcript:KVG53716 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b6/f complex, subunit 5 MIEVFLFGIVLGLIPITLAGLFVTAYLQYRRFFNARSKNISFRGGLLIEINRFFSDALTFPFFFILVINLGRNKEN >KVG53715 pep supercontig:CcrdV1:scaffold_6908:11341:12138:1 gene:Ccrd_026363 transcript:KVG53715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAASTMSLSSPFTGQVVKIAPSSSEVLGSGRVSMRKAAVKKVAPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVANNAWSYATNFAPGK >KVG53486 pep supercontig:CcrdV1:scaffold_6909:5561:6533:-1 gene:Ccrd_026366 transcript:KVG53486 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEEIVSLIFHGGKLVKELEESLPNIANQPHVLISSCDEISRVFGNAREQLTLAVQDYGTHHEGYYRCTHQKLYNCPAKKHVQRLNNDPYTFEGTYQGEHTCIMSSTAPSMPPPSLLYQKQ >KVH94625 pep supercontig:CcrdV1:scaffold_691:114930:118221:-1 gene:Ccrd_003312 transcript:KVH94625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGQGLSCRIRDDHGLFTAVQFGDLEIVKTVLDRDPSLIQQTTVYDRHSALHIAAANGQIEMVSMLLENPSVNPDSLNRHKQTPLMLAAMHGKITCVEKLIQAGANILMFDSLNGRTCLHYAAYYGHSDCLQTILSSARTSHVAVSWGFSRFVNIRDGKGATPLHLASRQRRPECVHILLDNGGSIDCIRELLAWGADRLNRDASGRIPYAVALKHKNGACAALLNPSSAEPLVWPSPLKFISELNQDAKALLEQALMEVNREREKTILKGTGYSMPSPSHSDVTASDDDISEASDSQLCCICFDQLCAIEVQDCGHQMCAQCTLALCCHNKPNPTTASLTAPICPFCRSNIARLTVVKVKINAADQELDLYSSPKQRKSRRSLNLSEGSSSFRGLSFGKMVGRSSGRVSLDNGRIDKP >KVH94620 pep supercontig:CcrdV1:scaffold_691:164329:165603:-1 gene:Ccrd_003315 transcript:KVH94620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MVFSSVPPYLDHHNWHHQLQQSNHQGSGGVGGGVGENPNLPPPPPPPQPGGGGGGGEGSIRPGSMVDRARLAKLPLPEPGLNCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSSKSRSSKSPSQSGPKSQSASPPRSSSENMTSNQLPHPPSLHLPFMSSLGQYGGVGGNLSSSIGGFQPQSEMGNFQIGSGSSGGNNFNNILSMGGGENWRVPFLPGFEVPNNNTNLFNYQNEGVIEAQSSSMVGGDMRLKSSGIDNSQIDPPVKVEDNRALNLSRQFLGMLENTNQQPWAGNSWAEFPGVSTSTPTTHFL >KVH94626 pep supercontig:CcrdV1:scaffold_691:45554:48574:1 gene:Ccrd_003308 transcript:KVH94626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGKLTVCFTGSDVVHRRKDMAVVISDPLDDLGHSFCYVRPDPSRVSSSKVHCSEETTTFRSISGASVSANTSTPLSTSLIDLYSYNSIDKASAFESSTSFASIPLQPLPRNSINSGPLPLGPFPYSGPIERGFLSGPIERGFQSGPLYSGPLDKGTHDQFQRSYSQGGFAFKRRSRKRSLIRVIQRAISRTFVTRGQNSIVAPINKNVGSLKVPDWIVGSSEKNNELTISSVNLSSEGSFLDDDDCLNQSQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYPAVHKELKGLLWDDELDSTNSNSSGQPLDSIESHVDDRSQQHEHRRCVDQQESYPSATDDFETNLQKKRSKNSRVRSRGASKKWEDNHRRWKCEFDRERLELDRRLKQQLNSNGSNSINHSDVLKALSQGLKKTEEAYLDIADQMLVENPELALMGSCVLVMLMKEEDVYVMNVGDSRAVLAQKPEPDLWRQDLERINEETLYDLEVSDADIASTIPTLTACQLTIDHSTSIDEEVQRIKNEHPDDSCAVMNDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYINCIPNLYHHKLGPRDRFLILSSDGLYQYFTNEEAVSEVELFIQWSPEGDPAQHLIEEVLFRAAKKAGMDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCM >KVH94627 pep supercontig:CcrdV1:scaffold_691:64000:65732:1 gene:Ccrd_003309 transcript:KVH94627 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein SGLKWINLFIGDAILNLKFLTQFQDFDPLPSNFFQDFKIFFHEKMSILGNNENLGSSSSFHEFRRHASFFLKEKIKTARLALTDVTPAQLLVEESTNGNPWAPDARTLKMISKAAFEIDDYWRIVNVLHNRLVRFDAKNWRISYKAVVVLEHLLTHGPESVAEEFRTHKDVMQQMTNFQYIDEKGYPFFEQKSSFLSSIQLLSDLGFSILLFNWGLNVRMKSERILKFLNESSALKEERSRARKLSRGIEGFGSFNHKVSSDHGVLQPSFLDKYKRSNSQFIEDGFLEENQISPMIQHENSESRNPIKKPAQNSGSSDGFGENLTVNTLGSQWSSKENMAPKEDRAHVPKEMDESILIGESNPLLGDWKNESRVTGKVDKEDHPFNDEENEAKMSLI >KVH94621 pep supercontig:CcrdV1:scaffold_691:163319:164306:-1 gene:Ccrd_003314 transcript:KVH94621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATKDILHAYKASLDPYPYKAG >KVH94623 pep supercontig:CcrdV1:scaffold_691:69820:76510:1 gene:Ccrd_003310 transcript:KVH94623 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Kin17, conserved domain-containing protein MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMEVFGQNPHRIVDGYSEEFESMFLEHMKRSHRFSRIAATVVYNEYIADRHHVHMNSTQWATLTEFVKHLGKTGKCKVDETPKGWFITYIDRDSESLFKEKMKKKRIKSDMVDGEKQEREIRKQIERAEQLMPGENGADKILENEEAKLLLRSESGGEKKIKLSIGSSTKNFAKDKGEGSSRFVFDEVDIHDKVEKEKKGKTSGGSALDELIREEEKAKERSNRKDYWLCDGIIVKVMSKVLADKGYYKQKGVVCRVIDKYVGEIEMLDSKHKLRVDQEELETVIPQIGGLVKIVNGAYRGSNARLLSVNTEKFCAKVQIEKGIYDGRVIQAIEICFSVAEVLLLIGLSVESGHLKGWSIPRPTCFIAREGLFSSAGVLGITTVFLSSGLSITALRAQWLLQDQENVRREVMEASILYSSPPRPSGDRIMAVGGETPIVRHDVYYEPGLTEYLRAFDKII >KVH94622 pep supercontig:CcrdV1:scaffold_691:105086:109910:1 gene:Ccrd_003311 transcript:KVH94622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEESGWPLGLQPLNRRSRPHAFSFSAFLSGSPSSDSDFSSDLDTESTGSFFHDRSITLGNLMGVSSIVELSRRSLRRGKMLSETLAFGSNRKSNSKSKSWCFGFCLCQRGKIDVVDVSNNNIVPLGHLLEVERRAAQDHKRGQDSPFIYGADELTLAQAFGESSNSLFVDGRIMPPTVSNLWSGSDTNGKRGGRGFITPCF >KVH94624 pep supercontig:CcrdV1:scaffold_691:144603:150431:1 gene:Ccrd_003313 transcript:KVH94624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAEGGKSGLILKLIDIVNEISAISDYRCTVRKPFFNLARRLKLLSPLFEEIRDIKDSLPDDSYRSLLSLMEALESAKELLRLGSEASKIYLALEREETMRKYQKVTARLEQDLDGISFEKLDISDEVKEQVALVLAQFRRAKDRIDAPDAELSEDLSSLYNKGSDVVADPAVLRRLVEKLQLTGIHDLTHESLTLHEMVTTSDGDPGETIEKMSMLLKRIKDFVQTENPNVDSPPGETSAPTTSSGHETSVKNHKGTVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLAAGHGTCPKTQQNLTSTALIPNYVLRSLIAQWCENNGMDPPQRSVRSSSACTPAERSMINVLLSKLKSVSPDDQRTAAGEIRLLAKRNADNRVAIAEAGAIPLLTHLLTAPDSRTQEHAVTALLNLSICEENKGSIVSSGAVPGIVLVLKKGSMEARENAAATLFSLSVIDENKVTIGSLGAIPPLVLLLSEGTQRGKKDAATALFNLCIYQGNKGRAVRAGVISTLMELLTDPQGGMKDEALAILAILSSHPEGKIGIGKADAVGVLVEFMGSGSPRNKENAAAVLVHLCSGDEKYLVEAQEMGVMGVLVDLAHHGTDRGKRKAGQLLEKITRLTEKQKLLQQEMESNNN >KVH94619 pep supercontig:CcrdV1:scaffold_691:7540:15037:-1 gene:Ccrd_003307 transcript:KVH94619 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MAIPLCISRFAPLIHLYLLLLSLPTSLVSAVNITRLLSPYSDLSDFSDLLSTTAVAADLFHRTSITLLAVPNSFLRSSDLVRRSSSINVADVIRYHVLLQYLSLHDLRRYPPSGKLITTLFQTTGRAADSFGSVNITHDPYTDTTTVQSSSVYPQSTNNATVISPIVTLPYNVSIFTVNSLIIPYGFDLMTSESRPPLGLNITKALIDGHNFNVAASMFSASGVVQEFESEKGGAGITLFVPTDDAFSYIPVTENFQSLPADQKADVLRFHVLLSYYPLGSLESIVNPFQPTLATEDKGAGRFTLNISRVNGSVAISSGIVEASVTQTVFDENPIAIFGISRVLLPKEIFRKKENAESPSISPPPPNSSPELNGPSSSSPGLREEMHSTATVQNVNLGLYLYTGLLEMAFPLCISHFAPFIHLYLLLLLPSPIFIVDSLVIPHEFDLKPPENRPPMGPNITKALMDANNFNIASSMLSASGVLQEIDTEKGGAGITLFVPTDDAFIDVPATANIQSLPADQKTNLLRFHVLLSYYPFGLLKSIVNPFQPTLATEDNGAGMFTLSISPVNGSVAIRSGIVETSVTQTVIDENPIAIFAISRVLLPKEMFGK >KVH91459 pep supercontig:CcrdV1:scaffold_6917:13882:24350:-1 gene:Ccrd_006518 transcript:KVH91459 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3138 [Source:Projected from Arabidopsis thaliana (AT5G18570) UniProtKB/TrEMBL;Acc:A0A178UG16] MASVSSSLSLCFLSPHAQARSNKPPRKQLSLKKPKSISPSPPQPLSFVSGGQATTYTRLPPRDDDLLFLSESATEIKLSDLVIPTHKPKKPTFATEDKIEDDEKGVPAVMRCFDRAKIYVRSGDGGNGVVAFRREKFVPLGGPSGGDGGRGGNVYLEVDGSMNSLLPFRNSIHFRAERGSHGQGSKMNGAKGEDVVVKVPPGTVVRAAGKDGVPGDVLLELLHRGDRALLLPGGRGGRGNASFKSGANKVPRIAEDGEEGPEMWLELELKLVADIGIVGAPNAGKSTFLSVISAAQPNIANYPFTTLLPNLGVVSFDYDASIVVADLPGLLEGAHRGFGLGHEFLRHTERCSALVHIVDGSSQQPEYEYDAVRLELEMFSPEIAEKPFIVAYNKMDLPEAYEKWKSFQENLRSRGIEPFCISAINRDGTRELITAAYELVRQRIEDAKDESWREPAHFSHVAEMVKKQRTAPINDFEISHDTTSNTWHIEGAGLQRFVQMTNWKYMDSDRRFQHVLEACGVNKSLIKQGVKEGDTVIIGEMEMVWHDSPTNSGPNRKLSTGSVKWADWK >KVH98751 pep supercontig:CcrdV1:scaffold_692:7903:11630:-1 gene:Ccrd_023020 transcript:KVH98751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase domain-containing protein MGKGIARPKCSYQYDTSYQEXFVRPPAAMPTVEIVLXACRMVKEKKXMMKRNRELKAIRGIWYKYHFQAGNSNISPFIMYMDIFETLDAWVVQGAVDVNIGAXPSAEXGBEDXGVXDXAVKVVDIVDTFRLQEQPPFDKKQFVTYIKRYTKQLTPKLDAEKQEYFKENIEAATKYLLSKLSDLQFFVXESMHDDSTTVFAYYKDGAADPTFLYFGVGLKEERSRIMLRFADLLEKHADEITALEVWDNGKPYDQAAADEIPLLIXLFRYYAGWADKIHGLTIQADGPHHVQTLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTAEQTPLSALYVSKLFLE >KVH98752 pep supercontig:CcrdV1:scaffold_692:145741:150555:-1 gene:Ccrd_023023 transcript:KVH98752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFKEFEKHPNKNYTANKPFPAYERLKLVFGKDRATGNMAESATDALENMNMENDDDFPTEVNVPPIISPPSTTSHSSIPNEGEGTSKKRKRTNEIFKLMDEIKNEIQEATYQMKRLVSVISDSTTKMDGLNNELKRIGLSVVEIIRMGKYFGDKPSQYHFWKGLADDVKLKFVKSIYDED >KVH98750 pep supercontig:CcrdV1:scaffold_692:79039:83332:-1 gene:Ccrd_023021 transcript:KVH98750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxynucleoside kinase MQKLLRRNPCSGAPILWPSPTILPPALHLIPLGFKQNTTKRSLHSLRFKKTNPFSFPSLMPPAATALHFLSGRNPMLSMCNPTTYSSSSFCDSSSDYKCRICRCSIQSGTSVPGRAWVVFKESRSGSRLAWFRTSYTSSDKGVLNSVENSEDDGGGDKGLSVSEVKSTKLNRRQRGLLGGGSSNPPPLLAGNPDLLRIPGVGPRNLRKLVENGIAGVAELKKIYKDKFFGKSNQKMVDFLQSSVGIIHRNHAESITTFIEESVNEEMKDDGVKSAPKKRLTFCVEGNISVGKTTFLKRIANETLELQDLVEIVPEPIDKWQDIGPDHFNILDAFYSEPQRYAYTFQNYVFVTRVMQEKESSSGIKPLRLMERSVFSDRMMEISIYDSWFDPVVSSLPGLVPDGFIYLRASPDTCHKRMMLRKREEEGGVSLEYLRGLHEKHESWLLPFESENHGILSVSKLPLHMDNSLHPDIRDHVPALVLDCEPNIDFSKDIEAKEQYARQVAKFFEFVKKAQEVPAKGNESQVLLPHNGGLFGKNFPESLKTLDFKRAMSLLSTSG >KVH98753 pep supercontig:CcrdV1:scaffold_692:95330:107311:-1 gene:Ccrd_023022 transcript:KVH98753 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MHGYSRLGRPSTRSGSISPSPSPPSSPRFRQTRSKGGGGGGFRGGGGGGVEVKLQSFVERWVYVVISAVYRRRGVLLFAPLLYISVMLLYMGTMGFDVGIMSKNSGTTSKTAPLGSLYRSPQVFQHLWPFMEAENNRTTDLMMNVWNMKLRQSWRPCILLQNSQAEASTIELIQLVCEKNQICDAVAVAGLLNATLVIPIFHLNSVWRDSSKFGEIFDEEFFIYALRHHVNVVRELPEDVLERFDNNISNIVNLRVKGWSSPTYYLQKVLPKLLELGAVRVAPFSNRLAHAVPSDIQGLRCLSNFGALRFSEPIRTLAAKMVDRMIRNSSSSGGKYISVHLRFEEEEQHEMDIARERSWRGKFRKRGRIIRPGAIRMDGKCPLTPLEVGMMLRGMGFDNNTSVYVAAGKIYKADKYMAPLKQMFPRLETKDTLASPEELAPFVGYSSRLAALDYTICLHSEVFVTTQGGNFPHFIIGHRRYSYEGHSKTIIPDKRKLAQLFDSPRIRWESFQRQLREMLHHSDVKGSEVRKPSGSLYTYPMPDCMCKQAAAKNSTRLRR >KVI03334 pep supercontig:CcrdV1:scaffold_6920:19743:20397:1 gene:Ccrd_018368 transcript:KVI03334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSRAWMVAGTVGLVEALKDQGFARWNYTIGVLFLISITAAASSDSNYHETEKLKEANISKN >KVI03335 pep supercontig:CcrdV1:scaffold_6920:5463:10557:1 gene:Ccrd_018367 transcript:KVI03335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MSGQKQAEDSIISSNFNENEHEGGGGKEEGKEEEDQSVFSMKNALWHGGSSWDAWFSCSSNQVAQVLLTLPYSFSQLGMVSGIVLQIFYGILGSWTAYLISVLYIEYRNRKEKEGVSFKNHVIQVHFSIFLDFLHNKRKSKKKTHKFDLFFSSSKLQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIACARFVFDPKYEVGLAQISYQKLTVFFNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAALIHGQVDGVQHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKYIYLIATLYVFTLTLPSASAVYWAFGDKLLNHSNAFSLLPKTRWRDAAFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRTASARQNAAEKPPRFMPSWACMYVFNMFVVVWVLVVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKPTGPPLPQH >KVG53372 pep supercontig:CcrdV1:scaffold_6923:2244:4419:-1 gene:Ccrd_026367 transcript:KVG53372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLVLMWVLLQMLISFTASETYTLINSTNLAKPGCPSRCGDVIVPYPFGIGIKSHCSIGPGFDVYCNTSLDPPKASFRRASYTSIKLISDSTLRTSNLVATRCYLPNGTFLDGVRMSSNLTNGSYTFSEVNKFTVIGCNDYAWLTSGTKSRNIYTGCMAFCSTLEDVXDQCSGNGCCQSSIPKDINYYRTRVKPMSSDNMSNTRTLNPCTHAFVGEENTFKFHGARDLKDTSLDKKIEANVPIVLEWAIGNMSCIDAKALNGFACQSNSKCVNSTRESRGYRCICNEGYEGNPYLSPGCQDINECKDKXRFPCYGTCVNDGGNYKCKCKQGYSGDAKIQGGCRRNIPILQLSLGNFYFSF >KVH96742 pep supercontig:CcrdV1:scaffold_6926:13449:18750:-1 gene:Ccrd_001167 transcript:KVH96742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATCERRRDRIKVRFDSCFSYVCFVAVQMTSSLLISPGAINKFCTFSDTSTRLESLTPCKVTKCQQQDSISSDDDEYRSSRNIAISLFRRYRNFIDRGGGDNLKEFISAGVNAYALGCTDEGLRKELTNMKESGIEIEEMQTFGGVRWSPTPPVSDEIKLQWKGFCAIIANAYYLRGMAWLPVKTLQLEQMAVVGRSEEPSVVASRMRLVFSTLEVSVYSFKQLLAHNGHECSMAITGVARLGSAQLVTCQGQAWDWGHTHI >KVI08574 pep supercontig:CcrdV1:scaffold_6927:907:12703:1 gene:Ccrd_013054 transcript:KVI08574 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G64860) UniProtKB/Swiss-Prot;Acc:Q9LV91] MAVHSVSSLPLFPSHPKLTNSVTSNSLYSIRFPQKTIITRHIRSQTVTATMSVDAYAVGEDLPADYADWLPKVDPGDKRRAGVLLHPTSFPGPYGIGDLGDQAFRFIDWLHEAGCSLWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLDDLVKDGLLMKEELPEPLDTDRVNYETVADLKDPLIAKAAKRLISSEGDLKCQLEEFRKDPDVASWLQDAAYFAAIDDCYNTYNWYDWPEPLKNRHLAALEDIYQSKKDFIDIFVAQQFLFQRQWKRVCHYARMKGVSIMGDMPIYVGYHSADVWANKKSFLLNRKGFPLLVSGVPPDAFSETGQLWDSPLYDWKAMEEDQFSWWVGPGSSLFNAIFRAVGKINIIAEDLGVITEDVVQLRKSIGAPGMAVLQFGFGSDSANPHLPHNHEQNQVVYTGTHDNDTIRGWWDVLQQEEQCNVLNYLSISADDDISWALIRAALSSVAQTSIIPMQDILGLGSSARMNIPATQSGNWSWRIPNSMSFSSMEPEAKKLRDMLSMYGRL >KVI11532 pep supercontig:CcrdV1:scaffold_693:176914:180056:-1 gene:Ccrd_010057 transcript:KVI11532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYRRNQIPAFGSWNCNDDLPFTQCFESATQPGLISCSYTEDRDLYVAGDLYRNNIVTPAIIVAPRRRGYTKEVKKDAWVANCDCDEKKEAPTPVARSRKAVDEDLYKISPELLRAKPRRRRWGWFSSCMLPACVV >KVI11534 pep supercontig:CcrdV1:scaffold_693:125444:127007:1 gene:Ccrd_010055 transcript:KVI11534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEQRPWTLHLQAKAKNFNFKFKATKYLPTCYNFLHFSIFLKISPLLIRVSSDNKPTTTSRSLKSKIIGVIEKVRSRPTKRKAFAAPKPLRIKRLKWVSCEGTICATTVVIGNLALLIQSISEKDTKGIIIHSLSXMLYILKPLRTSIRTNASKFMLISIIVMVGYPLWLHLHMKXHSSYAERIIVSRYIRKAWKWAASNGFLGVVAQVM >KVI11533 pep supercontig:CcrdV1:scaffold_693:66051:66479:1 gene:Ccrd_010054 transcript:KVI11533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIVNIMWIVLLCGLIASFGVFQVMRYAIQRRRTSEVTTQTLEDPVGLEKSVVVQISTRVLGSEVKISVTECTICLETFEDGENVRVLPHCSHEFHVGCIDKWFESHCSCPNCRNCLLEQPVDSVVAISQPMLNIHGEHMV >KVI11531 pep supercontig:CcrdV1:scaffold_693:146123:147784:1 gene:Ccrd_010056 transcript:KVI11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEATNGAAAAEINGAPAEKAVTFSSLKPQLFVESSKATDAVAFYKAAFGAEEVNRVSHPKRKADQELPILLSAEIKLGNSSILISDLTDDCTAPVKSVGTGLVFCLETEDIEGAVDKAIKAGAVAEGEISEGEGACCGGRVGKVKDPYGIVWLICTPAKKCVNVEA >KVI11535 pep supercontig:CcrdV1:scaffold_693:48669:52015:1 gene:Ccrd_010053 transcript:KVI11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase-like, plant mobile domain-containing protein MQVFTTKFSLPSFLKQVNKLTESQRNSIKRVGFGNLLXIPNHVLRRLQLNELMERWNXERKAFVFPPGEITITLVDVVLILGLRXXGEXVVLEEDAPLTSLEKEFGASIVNRTIGVESLKQRLESLGERDDESFVRTFLLYCFGTLLFPTANGKVDLRYGVMSILLWEGLNYWIVSPHFLVYANGKAVEWCSRTTMEQPLDVDSGSAIRMVPEEEEAILRTGPGTIKHLIDVASEKPIRMVKEHREEESESILRTGSGTTKHPIDVARKRASRIVGVHGEEQHSQEKSKILVISDDEESKVIEDLKKENLELKEIIQELRKENQVTKKRLEDENEELRKLVEKQHSRSMLMERFVSNLERIVLEEDL >KVG53035 pep supercontig:CcrdV1:scaffold_6930:1994:16970:1 gene:Ccrd_026368 transcript:KVG53035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF778 MKLALTPMGDVEDPSYTKDDEHDLWPLDEINPTKARFPCCLVWTPLPVVSWLAPFIGHVGLCMEDGVVVDFSGSNFVNTDDFAYGAVARYVQLDRQQVQLLNSRTSLSS >KVH93954 pep supercontig:CcrdV1:scaffold_6936:9354:11864:1 gene:Ccrd_003988 transcript:KVH93954 gene_biotype:protein_coding transcript_biotype:protein_coding description:APOBEC/CMP deaminase, zinc-binding MNEQISTYIISASEAKSMAESKGLTVPQLLPSLIKSAQHLARPPISDFRVGSVGLASDGRIFFGGNVEFPGLPLHHSIHAEQFLITNLAAHGGGSKLLYIAVSAAPCGHCRQFLQELRGVSDTQIVITDQPQENPNYNPISSILPNPFGPLDLLDRETPLILEKHDNQLTFKGNLDLSNVSTELVKRDEEELKKAALVAARGSHAPYSGCPSGVALMDCEGQVYKGSYMESAAYNPSMMPVQAALVAYMVAGGGGYERIVAAVMVEKEVAMVRQEETASLAIA >KVH93953 pep supercontig:CcrdV1:scaffold_6936:16236:21029:-1 gene:Ccrd_003990 transcript:KVH93953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAAFVRLLSFDSSSLCTSLNSHHQNQLLSKNNSKRVLSVAADSCLSSDFIVNSRFSMNPRKESLQFKIHATITETEQPKWWEKNGGPNMVDIHSTQEFLNALSEAGDKLVIVEFYGTWCASCRALFPKLCKTAQEHPEIVFLKVNFDENKPMCKNLNVKFQKIKDAILMHNTDRCSIGPVKGVGDVNLETASAPKNKQAESST >KVH93955 pep supercontig:CcrdV1:scaffold_6936:12469:14194:-1 gene:Ccrd_003989 transcript:KVH93955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYLWRKYADYLHTKWEKEVLWTMVDPFKRPKSFTPLVTIYEKYWEDHPGEAVPIMRPKFYGGPWKIYKGGVLPPNKIEAFRYATDFLHIQRFFASASPPTDSAKQSS >KVI03116 pep supercontig:CcrdV1:scaffold_6938:3221:3862:1 gene:Ccrd_018590 transcript:KVI03116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSFNANCPSSSRFHRKSEEMEAKHRSIDADTRRGPSVIRADRCCILHVVPLSLEKKKKKMGKRQPFSTF >KVI03115 pep supercontig:CcrdV1:scaffold_6938:6883:7463:1 gene:Ccrd_018591 transcript:KVI03115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 32, N-terminal MALDDHPIGADPNGPMYFNGVFHLFYQYNPAGPLFTNQMHWGHSASYDLINWIPLDLAIAPTESFDINRCWLGSATILPGNKPVMFYTGIDSEKCQVQNLVVPKDLSDPYLREWVPS >KVI03047 pep supercontig:CcrdV1:scaffold_694:120553:123089:1 gene:Ccrd_018660 transcript:KVI03047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MMTWKKSIDCCTWNGVTCSQYTGDVIALSLLTFPVPCYKVPSTLFTLPHLQSLSLAFNYLYDSQLPHEIGMLSNSLSHLNISDCGLTGQIPSEISLLPKLVSLDLSWNFDLKLQPHVFYNLLHNSTSLDELLLDYVNISSILPTYLDISSSMKALHLRYTTLQGKLPDNIFNLPYLEQLDLRNNINITGQLPKIYTNINIPLKWLDLSYTNLSGQIPDSIAYLKSLTYLDLLSINLSGQISDSIDHLNRYVNPNFQAFELASCKIKVFPESLRAMRKLQILDLSGNEIDGHSREIRGIELSYLDLSRNIITRPFPPSIWNMDNLQYLNMSNNRFSGVIKPGDMNFSPSVIDMGNNSFSGTISHAFILNGNQFEGKVPNCFSKCLELEVLDLGNNRLTGAFPDHLGRLPNLKVLVFRSNKFHGPIERSSSMIAHPFPSLRVLDLSQNEFGGHLPGKYFQNFDAMKNVIKDGKNGYLNLYYYNIFYYIVIVVKGQQLSFEKISKDYTIVDLSGNKFEGEIPNERCTLNSLIVLNLSNNHLNGQIPQTIGNLSEIESLDLSRNQLSGKIPQSLADITSLEVLNLSQNLLVGRIPGGTQLSTFGTSFEGNPGLCGFPLPKECKHASAPQLEVDGEDESVFTWKVVMLGYGCGTLVGLLLGYFMLSTGKPKWLNAIVDKIEQMIQRRQNKRR >KVI03046 pep supercontig:CcrdV1:scaffold_694:173618:177166:-1 gene:Ccrd_018661 transcript:KVI03046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF914, eukaryotic MKSKTWRWVLGLIYILAVATIWIAASFVVQSVVDGGVSPFLITYICNSLFVIYIPLVEIGRYLEDNYGSLLFWRSRNDSGSVGIQESEVATLLVENDGGVQTNGLDFGTAEAVTHGDDVALGTKVVLDQHAIEGDGSGELDAKGRWTRKRLAKVSLLICPFWFLAQLSFNLSLKYTTVTSNTILSTASSLFTFLVSLAFLGEKFTWIKLFSVLLCMGGTIIVSLGDSKTETSATAPNPALGDILALVSSAFYAVYITLIRKNLPEEDDDAKTGKVSMAQFLGFLGLFNLLIFSPIALILHLANLEPFDTISRKQFGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDTVMGNAPGFMDYIGAAAVMVGFAGINIPSATCSTTKESALELEENHTNDHNTLGIADTNDQVLKS >KVI03045 pep supercontig:CcrdV1:scaffold_694:98349:100286:-1 gene:Ccrd_018659 transcript:KVI03045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGKGSKSGIAEIPAPPNNILFIQNLPHQTSSMMLQMLFRQHQGFKEVRMVAAKLGIAFIEYGDEIKCRNGRASLAIIYNGLSCV >KVG52555 pep supercontig:CcrdV1:scaffold_6943:3195:5331:1 gene:Ccrd_026369 transcript:KVG52555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MQSFDQETAAVVMARLTSYKMETEETFDATRWLDRNLIRLCSRFGDYRKDDPSSFSLNPSFSLFPQFMFNLRRSSFVQVFNNSPDETAYFRMMLNRESITNATVMIQPSLVSYAFNSLPSPALLDVASISADRILLLDSYFSVVIFHGMTIAQWRNMGYQNHSEHLAFAQLLQAPHDDAELIIRDRFPVPRLVARFLLAKLNPSATYNNKMGSGMDVIFTDDVNLQVFFEHLQRLAVQSS >KVI06735 pep supercontig:CcrdV1:scaffold_6947:19021:20670:-1 gene:Ccrd_014910 transcript:KVI06735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MFLNTYKIDGSKTSWKDLKTYRFVFATAVIGVFYLLIQIPFAVYYACTGKRLIRHSCLPEFDFYADKLVSYLLASAVGAGIAASMELKIIIDDVVALIAFIFEALNSNVDLGINLYEFKSKTDKFLDRGIIATILLAVGFGFMVVISILSSLNRPRSRNFFR >KVI11261 pep supercontig:CcrdV1:scaffold_6948:3481:6681:1 gene:Ccrd_010331 transcript:KVI11261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 LLYVESTISPTVVVEQKAHALSGWNIELLKKRQSLEIKDGGFGLLPIRSTSESSEDINHKNIGETSTPTHLNKEDHVQRILIKIVVVLSARVEAEMEIKEAMSKFPDDENFKQYKKQLYDMFNEGACNTTHDTHFSGLKDHSTAKNDGQPSLDIVVSQPSGLNEKPLPKIWLSLGFIEAVDKVLENTISTSKTELLFSTPNDTKLHRHAIGSLGRTTTIYGDSKLRSKSINHNTQYALFKKGLLSSVKNNWEVVQMRNVDLVFFPLLDKGHYYLVVFNLKNPSVVVIDNIYQEVPDDDQLLQMYDFITDILQRLMIRHLNAVGHPVGRELDEIGQERLRMDWQTQNNFDDCGVFPMRHMETYMGDVRTWKTGLG >KVH96442 pep supercontig:CcrdV1:scaffold_695:81582:87913:-1 gene:Ccrd_001473 transcript:KVH96442 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase/succinyl-CoA ligase MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTVEAACAAHPTADVFINFASYRSAAASSNIALKQPTIRVVAIIAEGVPESDTKELIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQSKLYRPGSVGFVSKSGGMSNELYNTIARIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKAGKISKPVCAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALQDAGAIVPTSYEAFEVSIKETFENPIKEISPPQIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEKGMGIGDVISLLWFKRSLPRYCTQFIEICVMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAVDDAARYFKDAYDRKLSPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTNFPSTKYMEYAVEVETYTLSKANNLVMNVDGAIGTLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KVH96438 pep supercontig:CcrdV1:scaffold_695:609:6032:-1 gene:Ccrd_001476 transcript:KVH96438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MMKYAFSNFKNTIDTSVDVDEEPMNTEATGRLVRKQAVMQVTNPSTLVDEPHKAKQSRRLTRSAQKETVGIGSLRSQVEKVGIVRGRSSDQAETRTSKVCKRGGSSDRAIGLYGGIDPPSFDLGISPAARPTLSKRDLKLSFKLRSPYVARAVTFDITVDERKLQDWIMRGIGGILEPVFVTRYGRTITQQAMQYLATQSVVCREMNYTMREQTLDVHHRYEVFKNNISCWTNNDRELISMRNLGMVFFPIVEDSLYYLIVFNLKRPSIIVIDFKYRDGNIDEIYGHSTVVLEQIRTRWQSHETSVDCGVIMMRNMETYFGVDGGKWESGLYKESTKQKRQLRDLRSKYCSKIILSEENIRKTAIITDVERFIAMETSYNAKRTRRATRIGPGKVKAIRETVEDAGFEVIEFTEQDIAVCRLRIKGMACPSCSESVERALSMVEGVKKAVVGLALEEAKIDYDPNVFNTDHIIEAVEDAGFGADLIGSGNDGNKVHIKIEGITSPEDMTAVKSYLESLAGVNHVEIDMEEYKVAVSYDPDMTGPRSLIFFIQ >KVH96443 pep supercontig:CcrdV1:scaffold_695:153768:163948:-1 gene:Ccrd_001470 transcript:KVH96443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEKQMLFDLQGKYGNKWAKIATYLRGRSIYHVKNVWCNHQTKMARFNKDEDEEEEEDEEEEEQKKKKKKKKKTKKKTKKKTKKKKKKKKKKKKTKKKKKTKKKKTKKKKTKTKKKTKTKTKKKTKKKTKKRKKKRKKKRKTKRKTKRKTKKRKTKKKKTKKTKTTKTTRRGRRRRRRRRRRRRRRRRRRRRGISRGRRRGRRRGRRRGRRRGRRRGRRRRRRKRKKTKRKTKRKTTKKKKKTKKKTKRKTKRKTKKTKTKTKTKTKTKTKTKKKKKTKKKKKTKKKKTKKKKKKKKKKKKKKXEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKEEEEEEKEEEEEEEEEEEEEEDFKQLDSGMTRAPCKNFGSIICNGDFQMETTDNFIITMATTKIAFKPKILCNIMSTRSERVDDLCRHDEFNNAEYETPSLTASTEIDDKESEQNCAPRSIASSSSSCAGQRDYKNKQEQVQVGKQVQTRQQRVSDGNRKSARRLERFSALK >KVH96441 pep supercontig:CcrdV1:scaffold_695:127649:140457:1 gene:Ccrd_001472 transcript:KVH96441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA polyadenylation factor Fip1 MEDVDDEFGDLYADVEVEASSAMNGAPQFPQQQFVGAETDKLNSVLEASSDKEDRASDGIEFDEEDDDDDDDLNIVLNSDDDSMRYGEGFTIGKANTKLGSGELEDEDDDNLGREEFVGGKYVGKAGSGGVGDGISQNLVGGKTAYNSHSTYKYLRSHLAAYASDLKGSGCRAAAYLSGEDNGYCQRMGSRSMGHQSGQRFSLPRARNILDVNIDIFEQKPWKHPGADITDYFNFGLNEDSWKLYCNQVDEYRHRSSGTAASEPAQLMETKAYGGGIEHEIVARDSCGKSETRQRGRAIQVEDSNVERQSSMDVRRQLDRDSDVIQITILDPEEHCSGSAKEGSSHLDGSVPEASNHRDVSGDDGKDHLSFSSASDDESVEGNHLEMEIYACKRSSNLRSSNKLVTRGSENHEIHQISDVYGRRCCKIDISGSGGSDEAIRTSDNTKERAGEDTCTPDPSMLVVDSSEAEKSPANHCKAFESGTCINRPKSYSHERRPSTISFTGLTPSPKHGYHHSEDSKRPDDKIEHGENRYHVRHSNRVHSNRNHGDSKCKLHDENDDSYSRRLADKTKLGDRGYHARCNSPVYNNRIRRDSKPKLHPENDDFCSRRPYDKRKPGEHRYHTRYHSPVYNHRSCGDSKLKAHHENAMSEIGGAYGVRSTVGHNRRNGKLHPLDPYDEGNISYSRELELPSGNYGERFFVYGDRDTLARDLHHEGYERFEAKMDLSAFRSSDEKEYYGERRRYIEDDKMMAGDWCHHERELAVEHVNFFSSQNSKYPSYLKYKRGAQWKRKGDNLHFRNKDEDDECFLEREYPDDIQGEKFRSLTYNDRERDDFERNYVKHVEYTRWEFRGPGRNKRMASSPSSELNHPLLKSDEDRYLRYKKGHSFTSRSSEESHINNDRWHGNMPPRNNLYGGHGRYRVQWTGKFGYDGSLHDSEPFDREDYTIDINDRVDMGRSRHHLQSEMQWEEDEVMLGNDDAKFCDERASFRFDKVSRRESFVSEHKPDQVEESIGHPHVERCKYELREGAINDKFERTSNVTCEGSPIQRFQGSGDTLKFDGTSNVTHGGSHERLFVDGVDSRVVDGLDIVEELIHDSHAEICRYTQTREVISGNKCSKTHGKSREQLSLSCRNSLDSHLVVGEGKSADKAEWNADEQKKHARMDFDSCPSDKVVVQAVKTKGMVKGLDIEEGQILTEEVKIMKKDSGAHTNDVNDQNPKTGFDNSRILEAMAKMEKRRARFKEQITTTKNDSDSTTKPTVVDSIQQRPARKRRWGGS >KVH96444 pep supercontig:CcrdV1:scaffold_695:149956:158322:1 gene:Ccrd_001471 transcript:KVH96444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MEMDQEQNHDAEDDPFLRFVDYAISVLSPTEDEDVVDQESNRPAWSWVACRVIKTCKAYSSGVTPAILLSELSQAWAENQRGGYSKKRRPECIDQLQKKHKRGKLPNTITIDSIYEKNFLSLTSVLEAVIVDAFLLPGTNFYMLTLGDFWSSNTIDIYLHRRFYDLAELKNGILRKGREILLTGCYLRSTLRGSGHLRLLPTEYLLIILDEHRNVILTIVIYLPQDEDDDAMLLGAQFCSDSLSSISVDAVNEGVSYSLYARIESIGSLEIQGKFDSLQRKQITLVDNDGFRIKFLLWGDQVVLANLFSVGSWLALDRPFVSSFIDSTLDSSDEICLEYGSVTQLYMVPFIQHEEQVCVASTQNHYQGSKLLSAVDPTQGPKVSQVTLPCDSRGAIDFSNYPFRLFVTDLRDKMTSISIYGVVIDIRHTNNLESTILLKIEDTTGGIWAKLHFVKSWSMGKISIGHTVYIAGLTCSMTKNKRIEVSWDEKEAGSFFVNLSCLPALLNSSCLHKSSTLSDLSSKTSNTHVCRIWVDQIEHCDVNLRYSHAPCGHNVTKNFGFECNFCGCHCDDEVVRSFHLKVTIADDTAKVFAWCTGHTAIELLQISPDEFYNLPEEEQIMYPSSLEHERFIVALVHSKREGFGPANGQTLGIQDDISNWEITQALKSD >KVH96439 pep supercontig:CcrdV1:scaffold_695:67427:74752:-1 gene:Ccrd_001474 transcript:KVH96439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MELLLVIRWMIWWSSLAIVLESSTCISHNFSAIFIFGDSLADVGNNNYIRTIAKANFKPIGIDFGKPTGRFTNGRTVDDILGQWLGFKDFTPPYLAPTTVGSVVLRGVNYASGASGILKASGANYVSPLTNSIYGVNRTSTRVDIISRIGAPGAHELFATALFEVSTGSNDFINNYLLTDVSESPESPETFIGILISAFRRQLTVELCDYSRLYDMGGRKIVVANIPPIGCCPYVRDHLKYPSSGEGCVAFPNLLARKYNHQLKQTLVELTSSLEGSTFVYADVYRILDDIIHNYTSYGFKFADRGCCSILGRHGGLVPCLPFTRVCPDRSKYIFWDCFHSTEAANMIIAKRQEVGFKDYTPPFLAPTTVGPLVLQGVNYASGGGGILNHTGKIFVGRINLDAQLDNFANTRQDIISGIGFPAAQNLLNRALFSVTIGSNDFINNYLTPIVSTIEQKLITPEAFVGTMIARFRLQLTRLYDMGARKIVIPNVGPIGCIPYQRDTTPSAGDDCVPLPNHLAQLFNSQLKALLQDLTISLQVPCGPTSKVCPDRSKYVFWDPYHPSDATNAIIAKRLMDGGIEDISPLNIRALLES >KVH96440 pep supercontig:CcrdV1:scaffold_695:30257:30700:-1 gene:Ccrd_001475 transcript:KVH96440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MCPTGTSLWPARNISDLRSAFEVLDVDRDGKISREDLKTSYADADDDVIGTMMTVADANQNGYVEYDEFEKVLRSNNNGSGGVMEDVFKAMDGDGDGKVGYGDLRSYLLSAGFEVNDDEIKAMIRLGGVDGDTEGVTFEGFLKILAV >KVI01015 pep supercontig:CcrdV1:scaffold_6950:5039:10084:-1 gene:Ccrd_020721 transcript:KVI01015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MCSTSGYTVPSFFLNFLIHVLYAQFLFSNNAHGKQISAVFVFGDSTVDPGNNNYLPTVARGNFPPYGKDFVNHQPTGRFSNGRLVTDFIASFVGVKENVPPFLDPSLTIEDLMTGVSFASAGAGYDPFTSQLSQLELFRDYKRKMKVAVGKERTDEIIKSAGYIVSSGTNDFAFNYYGPVSVQRTLYPTISSYQNFLWQIIEEFLQDLLNEGAMKIGVVGVPPIGCLPAIITLNSKDPISGRQCIERFNAISRDYNQLLESNLKGLRRTNTRVVYADIYTPIINMVQGNTQIDFEEVHQGCCGSGLIEADFLCNRKSPLCSDVSKYVFWDAFHPTEIAYYVIFKSFEPLIRQIIA >KVG52273 pep supercontig:CcrdV1:scaffold_6959:4072:19781:-1 gene:Ccrd_026370 transcript:KVG52273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--cysteine ligase, GCS2 MELTTTLMGKQSICLEPGGQFEPSGAPLKTLHQTCAEVKVVEEMGIGFIGIGFQPKWERKDIPIMPK >KVH96868 pep supercontig:CcrdV1:scaffold_696:24511:28338:1 gene:Ccrd_001036 transcript:KVH96868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAYERVKGGRLTFKGGTLASRSKSIDKKKKKKHKTRLDADADITEEILTGDAATAAVEEGGGSTAAEGGAAGGDDGIYTIDAAKRMKYDELFPVEAKKFQYDPKAKVKSVEDALDDRVKKKADRYCK >KVH96866 pep supercontig:CcrdV1:scaffold_696:51775:53590:-1 gene:Ccrd_001038 transcript:KVH96866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MDRIEHKYVEVKGLKLHVADIGNDSSTAVVFLHGFPEIWYTWRHQMIGVADAGFRAIAPDFRGYGLSDHGVEPEKTSFADMLSDTIAILDSLNIYKVFVIGKDFGAFVAYAFALLHSERVLGVVTLGVPFIPPGPLVNHESLPEGFYISRWRDPGRAEADFSRFDAKTVVKNVYILFSKSEVPIANENQEIMDMVESNTPLPSWFTEDDLVAYGALYNKSGFRTALKVPYRSLLDERFEFNIEDPKVEAQALLIMGEKDYLYKFPGVEDIINGDEMKKYVPNLEVTYLPDGSHFVQEQFPDQVNQLILNFLANSYN >KVH96867 pep supercontig:CcrdV1:scaffold_696:61491:67967:1 gene:Ccrd_001039 transcript:KVH96867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 MATRLQFENSCEIGVFSKLTNAYCLVAIGGSENFYSTFESELADXIPVKWWFCFLQVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGITVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDTYV >KVH96872 pep supercontig:CcrdV1:scaffold_696:77652:95247:-1 gene:Ccrd_001040 transcript:KVH96872 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 41kDa subunit (p41-arc) MKIEMAAIAVHQFADCVTCHSWSPDHSMIALCPNNNEVHIFKLVEGNWERIHVLQKHDQIIAGIDWSKSSNRIVTVSHDRNSYVWNHEGSVWVPTLVILRLNRAALCVQWSPKGNKFAVGSGAKTVCICYYEQENNWWVSKLIRKRHDSSVTSVAWHPNNVLIATTSTDGKCRVFSTFIKGVDAGYVYKVSEALSFCKLHIFTETSALTSMMMIGSKPKKSGSGSSLDAKFGEQIVQLDLSMCWAFGVKWSPSGNSLAYVGHNSMIYFVDEIGPSPSAQSVVLRDLPLRDVVVIHNILFQVMFVSEKLVIGVGYDCNPMIFAADGTGLWSFVRFLDEKKAASSSARYGSQLTEAFGKFYGSSKQGTSNDKARAGVHDNCINCIVPLKKSGSTKLSSFSTSGLDGKVVVWDLKHQEDLVEYL >KVH96871 pep supercontig:CcrdV1:scaffold_696:163450:164895:1 gene:Ccrd_001041 transcript:KVH96871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase domain-containing protein MEFNTKNKKRSLFALISLVFLFLLSFNGASIFSVKIPSLGSHSPGKPVRDSRNLISVSSSSSSSHAVKGEAPSTISIPHLKLQTHSVEKPVASAGDSKNFVSVPYFRYTVQHPQKQMAPAKEETQNINPNTHLPLLRKSHSLSVESEDSPIRNKKLLKTLATLGSSRGRRGDDFPARVKEHFSKKNDSVSCKVRFFMTWISPLKSFTERAFHSIESIFKTHPNGCLLIVSNSLDSIKGRQILKPFSDNGFRVTAVSPDFSYLFKNTMAESWFSRLIQGHVHPGDIPLGQNISNLLRLCLLYKYGGVYIDTDVIVLKSFAKLKNSIGAQTIDPNSKNWSRLNNAVMVFDKMHPLLYKFIEEFALTFNGNKWGHNGPYMVSRVVSRLQGRPGFNFTVLPPMAFYPVNWDKVRILFRGAKNESDSRYLKGKLEQIRNQSYTVHLWNKQSRVFHIEDGSILRKILSVQCIFCGSSSNEYIANTIG >KVH96869 pep supercontig:CcrdV1:scaffold_696:27797:33845:-1 gene:Ccrd_001037 transcript:KVH96869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MKPGIVVVLALMVLVLCVPPSSASDYRRQKWWKWPSSGKSICSSVVLPLYGNVYPQGYYYAQINVGHPSRPYFVDPDTGSDLTWLQCDAPCVHCTQAPHAYYRPNNDLVPCKDPLCASLHSGDHRCDDPNQCDYEVEYADGGSSLGVLVNDVSLLNLTNGIQIAPRLAIGCGYDQIPGPSYQPLDGVLGLGKGKTGIVSQLHSQGLIKNVVGHCFSSRGGGFLFFGDDIYDSSRVISTPMSRDYSGSSYTYLSSQAYEGLLYLPIREAVEDETLPFCWKGRRPFRSMKDVRKYFKPLALSFSSGWRSKTQFEIPLEGYLLISSKGSVCLGVLNGTEVGLENFNIIGDISMQDKMVIYDNEKQTIGWLPANCDRLPMYDTLNI >KVH96870 pep supercontig:CcrdV1:scaffold_696:187525:190542:-1 gene:Ccrd_001042 transcript:KVH96870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 32, N-terminal MALDDHPIGADPNGPKYFNGVYHLFYQYNPAGPLFTDQMHWGHSASYDLINWIPLDLAIAPTESFDINNCWSGSATILPGNKPVMFYTGIDSEKCQVQNLAVPKDLFDPYLREWVKYTGNPVINLPQGITKKF >KVH98822 pep supercontig:CcrdV1:scaffold_6965:7112:8411:-1 gene:Ccrd_022949 transcript:KVH98822 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MTLKGGTSQACAACKYQRKRCTPECALAPHFRPEHTEIFKNAHKLFGVRKILRILEKIDPSQKTEAMRSIIYQANMRDRFPVHGCLGEIYHLQYQIRQAEKELYAVLSHLHFYKQQSPQQEITIDSSPESQLGMGLQQSAANGLTLFQHQQQFLPVAPFFDQSYNNNNHSGIHFDSMSNTGNDGMWLQQTYCNQNDGKTLVALQSQLLNTQPWTIQEEVTHDYDEIYPFFDTIDDTQSYIDSKDANESSSESSLKETTQSVQHVAGNKLKRAELQLGIVSEDYQATV >KVH98823 pep supercontig:CcrdV1:scaffold_6965:3014:6493:1 gene:Ccrd_022948 transcript:KVH98823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSKIEFFYWQYHSHMLPLWFLQDMASLTKFYHVLFCRDLCMT >KVI04951 pep supercontig:CcrdV1:scaffold_6967:18667:19239:-1 gene:Ccrd_016724 transcript:KVI04951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTISVPDAEELDDQMTVSSPLTRDRIPWLSNLAIPEVLISGVSRLATHKTVRGNVFLNHIPRYRFFELPGLKKPISNV >KVI04950 pep supercontig:CcrdV1:scaffold_6967:2202:6233:1 gene:Ccrd_016722 transcript:KVI04950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MVVRTCSSVDTIALYQNITDGETIVSDSETYELGFFSPGTSKNRYLEIWFYKTSPQKVVWVANRETPLTNTLGIVKLDMHGILSLVDGGGTLIWSSNSSTYGTYIVNPVAQLLDTGNLVIKNGNFVSNGIFIWQSFDYPGDTLISGMKMGKNLITGREMYLTSWRSADDPSQGEYTVSTGFLLMLIATPSGKIETQHLNLRTRRWEQVHFTLPLDNCDKYELCGSYGSCSIETSPICGCLKGFELTNLKEWSLDKWNSGCRRSRDLNCGPGEGFFRYSSMKLPDTHDRSAVFNGNMTLQECEIACKNNCLCTAYADPNITRRGVGCLHWFGDLIDVRVYQQNRQDLFVRLAASELLFKVLIEDHYSSSKEGNIEVPLFSLSTISRATNNFSIDNKLGEGGFGLVYKGVLEEGQEIAVKRLSKSSRQGLDEFKNEVICIAKLQHRNLVKLLGYCVQGDETMLIYEYMPNKSLDWFIFDDSRKSLLDWPQRFHIIHGIARGLLYLHQDSRLRVVHRDLKAGNILLDHDMNPKISDFSLARMFKGHESEANTKKVVGTLGYISLEYAANGLFSVKSDIFSFGILVLETAWRLYKDGKSLDLIDTSVGKSWSCSEVLRSIHIALLCVQQRAEDRPNIRSVVHMLGSEGSLPSPKEPGFFIQGNKSSFALIISSPLSVNGVTLSQIDGG >KVI05311 pep supercontig:CcrdV1:scaffold_697:116819:129417:-1 gene:Ccrd_016353 transcript:KVI05311 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MLKKIEPYLESQMLKFAKEAFEYLINHTHILLLIFLTLAISIHAFQQGLGSHLHSLHHLLLLIIIIKLTFSFINKPRTVYLLDFTCFKPPPMLRVPFATAYEHGRIILASEPESVAFQVKIFERAGLGEETSLPHQLHYLPPNISMIGAREETELVIFSGMDSLFQQTGLSPQDIDIVIVNCGLFSPIPSLSSMVVHKYNMKSNVKSYNLSGMGCSAGLIAIDLAKHLLQVHPESNAVIISTEIITPNSYMGKERSMLLPNCLFRMGGATILLTNKRSLRKHAKYSLLHVVRTHKGSDDKSYNCVRQEEDSEGHVGIFLSMDLMVIAARSLKSNIGTLGSLVLPVSEKLSFLFNLLGRKIFNLQWKPYIPDFRKAFDHFCIHAGGRPVIGEVQKRLWLSDEDVEAARMTLYRFGNTSTSSVWYEMGYIEGKGRMKKGDMVWQNRCNSVVWKCINRDIEIPKIGAWADCIHEYPMSKKFAEEAFEYLICHAHILLLILLTLSISTHASQQGLGSHLNSLHYLLLNLSFIHYIKITFLLLIIIKLTFSFLIKPPTVYLLDFACFKPPPRFRVPFATAYEHGRLILASEPKSIEFQLRIFERAGLGEETSLPHPLHYLPPRPTLIGAREETELVIFSAMDSLFQQTGISPHDIDIVIVNCSLFLPTPSLSAMVIHKYNMRSNVKSYNLSGMGCSAGLIAIDLAKHLLQVHPESNAVVVSTEIITPNSYMGKERSMLLPNCLFRMGGASILLTNKTSLRKHAKYSLLHVVRTHKGGEDKSYGCVMQEEDSEGHVGIALSMDLMVIAAKSLKSNISALGSLVLPVSEQVSFLFNFLARKIFKLQWKPYIPDFRKAFDHFCIHAGGRAVIGEIQKSLKLSDEHVEASRMTLHRFGNTSSSSVWYQMGYIEAKGRMKKGDRVWQIGFGSGFKCNSVVWKCNREIEAPKIGAWADRIHKYPVFVPEVLKL >KVI05316 pep supercontig:CcrdV1:scaffold_697:141424:146803:-1 gene:Ccrd_016356 transcript:KVI05316 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSCNGCRVLRKGCNDNCILRPCLSWIISPQSQANATLFLAKFYGRAGLFNLINASPDHLRPDIFRSLLHEACGRIINPTYGSVGLLWSGNWEQCQAAVDSVLQGSPIMQLPTNNHHDVAVAVGPQNSMMPLEGCDIRHLYKDPNSHNHRAQICKRFNRPNDDAKEPELGNLTADGLGDEGEVWFGGGGVRRGCLVVVGVEGITNRRSFPARFFYPLSFPPSPALAVAASRQALLSFRSDRRSLPAGAAFFPLRSTFSSGRRCFPSTGADAVHQEGREKTKKRAWLLGYGKWIEEEDAINGGSVRLGLGVTTKRLLDDDARTGAFDKELNQYQKLGLTFNDSSII >KVI05318 pep supercontig:CcrdV1:scaffold_697:190196:192924:-1 gene:Ccrd_016359 transcript:KVI05318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCGVQQNSNYSSCEEMRSSVSVSLSFDNGDTVVCPKPRRLNLFNTNMNEPVRPIRWQMCYQQEPYDSKAGPELLDIIFAKGGGYGSSDQPCTQVASSPPFFCGSPPSRVSNPLIQDARFGDDKISPISPHTMIPNPTSGMSTSSPSSSARKGGCLRGNYGNKPAVRIEGFDCLDRDNRRNCSIPALA >KVI05312 pep supercontig:CcrdV1:scaffold_697:107129:107971:1 gene:Ccrd_016352 transcript:KVI05312 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAEHRGGMKLGNSGEDDNKEHVEATVTCPRKIRVILSDPDATDSSSDEGGDSERSSPRRIVHEIVLGVRAGDKDGDEVLKRSDSVDQTQPERKRFRGVRLRKWGKWCSEIRDPFSKKRIWLGTYETAEEALNVYNAKKEEFRFRERQSGQNQPKTRTESKKQSSIRVSKGVNNSLNGEEIVKLGEDPTRKLRKGVRRTKSGRWNRDPFKKSQVWVGTFDTEEEASNGFESKKLEVGLKVTRFDSECGASLKTPKIETLSFTTTSMAVDGKEENKPAFRFG >KVI05317 pep supercontig:CcrdV1:scaffold_697:138037:139822:1 gene:Ccrd_016355 transcript:KVI05317 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MVSSEKKLANAMGGKTARACDNCIRKRARWYCAADDVFLCQFCDALVHSANPLARGHERVRLKLASVKLSSMEILPPTWYQGFTKKSRSPRGKNSNKYGSHRIPNSNETRLSFNSIHLVPEIGSDELINAVNSDDQLPYQVPVSDPFSDVLCFSGDFNEMLVPIQADTITPSGTKHDESEVPFDMNSLNGLVLPSDLELAEFAADVESLLGKSLDEESFNIEALEMVDCRLNVDSERVKVEEDQLQWEAAEIEMMKEPFQLSFDYDSPVTWEEEDDEKVAENRMGMVVQKEDEKCVVGDDGNVNIVDDTVSKNSRKMLKLDYEGIIAAWDDQRSPWATGDRPELDPNDCWPDCMHSDDCGDIGIMMMMGKLTRLDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFAAATASGFPLLST >KVI05319 pep supercontig:CcrdV1:scaffold_697:175936:179294:-1 gene:Ccrd_016358 transcript:KVI05319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 DGNFSFGYWQLFLDVACCRRGTIHRHKVTNSTYCVYRSDVATGYGVGAFLFLLSGESLLMGVTKCMCLGRPLAPGGNRAWTIIYFTSSWSSFFGSRSLSNCRGKEECLPYEIQRV >KVI05310 pep supercontig:CcrdV1:scaffold_697:54551:58166:1 gene:Ccrd_016349 transcript:KVI05310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like domain-containing protein MVSASLPSTFLLTIFLLSISSCIPASSSKSSRIAPTTIGNGYRLVSLQESSDGGLLGHLQVNRKNSIYGPDIPHLHLYIKHETDDRLRVHISDAQQQRWEVPYDLLPRDRPSTQAIGKSRENPITVSEFSGGELIFSCIADPFSFAVKRKSNGQTLFNSTADKSAPYNSLVFKDQYLEISTSLPSDASLYGLGENSQPHGIKLYPNDPYTLWTTDQSAINLNMDLYGSHPVYMDLRNVGGEAYAHGVLLLNSNGMDVFYRGSSLTYKVIGGVMDFYFFSGPSPLNVVDQYTQLIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYNKANIPLDVIWNDDDHMDGHKDFTLNPNAYPRPKLLDFLSRIHARGMKYIVIIDPGIGVNSSYGTYQRGLAKDVFIKYEGKPYLAQVWPGAVNFPDFLNPATVSWWGDEVRRFHELVPVDGLWIDMNEASNFCSGLCTIPKGKCPTGTGPGWICCLDCKNITKTRWDDPPYKINASGTHVPIGYKTIATSAVHYNGVREYDAHSMYGFSHAIATHKALQGLEGKRPFILSRSTFVGSGRYTAHWTGDNQGTWNDIKYSISTMLNFGIFGIPMVGSDICGFYPQPTEELCNRWIELGAFYPFSRDHANYYSPRQELYQWETVAKSARNALGMRYKLLPYLYTLTYEAHTTGAPIARPLFFSFPNIKELYGLSTQFLLGESLMVSPVLDQGQTNVSAMFPPGTWYSLFDPSQAIVAKQLQTVTLDAPLHVINVHLYQNTILPMQRGGMISKDARMTPFTLIVTFPAGATEAKANGKLYVDNDELPEMTLGNGQSTYVDFSATASKNMAKVWSDVEESKFALEKGWVIEKITVLGLSGIGGEFAIEVEGNSGILDVSKVELVETEHKFLDGLKKEGEGAEKKSVMVEVGGLRLPVGKKFTMSWRMGIS >KVI05313 pep supercontig:CcrdV1:scaffold_697:88933:90765:1 gene:Ccrd_016351 transcript:KVI05313 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNHESPCSKKSRSRAMFMDPNKPLRRIRVICYDPDLTDSSDDDEPNKKPYGSKRIVREITIPVGHGGVPVAAGGWTETGTTKETETESSCQDSNNGEKSSGKRKRVLTRNSGQPRPASASKYRGVRQRKWGKWAAEIRDPFKQRRVWLGTYNTAEEASRAYEIKKLEFEAMAEASKGGSNNVKNTTGKTISSAAVSQPPKPAVSEESVGVISHNSPLSVLEPETSSISKKINDSTAASQTSNGETKIEAPSTVMSSVVEEALTLAEIGEGLDMKLELDSMFLDDFGPPLDGFGDLDDFQFCGFEDEEACELPDWDFEELNPEEVAWMNTLRVDEQQQPLNIACL >KVI05314 pep supercontig:CcrdV1:scaffold_697:75033:75248:-1 gene:Ccrd_016350 transcript:KVI05314 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, beta subunit MVLIIELINNIAKAHGGVSVFGKVGERTREENDLYVEMKESGVINEQNIAESKMAQVYGLMNVEHVSSSYL >KVI05315 pep supercontig:CcrdV1:scaffold_697:165032:165313:-1 gene:Ccrd_016357 transcript:KVI05315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSGTRRRSAVVVLLIIFLVLQIWALNSDCCRVTAIRTPSSKDADEMKRSDLYKRFFNGRFARFNSTTSVLKDKSFQENKRRVPSCPDPLHN >KVI04123 pep supercontig:CcrdV1:scaffold_6973:4083:14674:1 gene:Ccrd_017572 transcript:KVI04123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAIPKEEEFGYNRPMGGLTIPCREDIFTDLVSRLGNTTDSALFGKMTIFMPRIIQAKQILRRSLSNGSSNTYMAIPKGYFAVYVGDQEKKRFVVPIALLGQPAFQELLCQAEEKFGYNHPMGGFTIPCSKDMFIDLASRLGAL >KVI04122 pep supercontig:CcrdV1:scaffold_6973:28:16331:-1 gene:Ccrd_017571 transcript:KVI04122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein FKCTIGDKKDIKWQTETKGLDIEDDFYGHNSKLRMRSRMTSNPWPQSKANKADCPLLRKMAILMPRIIQAKQIIRRSLSNGSNNTYMAIPKGYFAVYVGEQEKKRFVVPVSFSNTYMAVPKGYFAVYVGEQEKKRFVVPIIQAKNILRRSSSNGSSNTYMAVPKGYFAIYVGEQEKKRFVV >KVI04540 pep supercontig:CcrdV1:scaffold_6978:12945:16524:-1 gene:Ccrd_017142 transcript:KVI04540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKWRRLSPSQLISTIFSSILPTRVFYLNNQFSRFPKTPASTFKSTVFHPNCSNLTNPRYSASNNQSVVRNFLQLPKEVRDGVGKIKNNWIIVHFKLIRMEDPESEWAIQEYPSWNEEGDMLKWILKKGYGIGKKMVITGIIVSSAPLVLPPLVVFSAMGVAFSVPFGLVFASYACTNKLMRKLLPTPEIELPLMRDEEQSEDIKQGYECLDDYRQIEGDEQGYEEDAGEYLEGDDDDSLEEERKEAMEDETEEIVKGSTGLLEKIRDEGRRNIDNESTTDPVRVKEIDSEKKLVEEASKNEEPGGEIRQEVVLAGRNEENNFNAREVERQPDNLDEEKIWEKISTMRAIVGYKAPSEATYMGELKALYVFTGIEPPASFKGDSDLDEVNANLKFLMSIVGVK >KVH98741 pep supercontig:CcrdV1:scaffold_6980:7494:11578:-1 gene:Ccrd_023032 transcript:KVH98741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MMEGSVGDRSGINESVIIKACFLAVKSHKSAVGYIKETGTDETVIFAFSGSWSVQDFYTSKPFGETKIDVSLFPSIKSIGNNELAMIYHGFFCRFQSVLQNPSFQNEKYTRSGNRPPCKCVTFGSPLVGDRIFTHALNRENWARFFLHFVGRHDIVPRITLAPFSSIRNEFHHILDFLNPNSRNFQKSSSLTSQDASAFFNKVLKNASSVASHDACNLMGSTNSLLEIISSFVELSPYRPSGTYIFCTGNGKMVHISNPNSVLQILFYSCQPDDESQVINTAKRSLCMDYINELNDSLLGMQDVVYLDDKMEIPLSSNGYDAASINTALTDLGLQKLENERMIDSNIPIIEKTQDLIAKYKQTCEDRRIGYYDAFKMQKDSEDFRENVRRLELAGKWDEIVEMLKRYELPDGFESRKDWIELGTKFRKLVEPLDIANYYRHSKDEDTGTYLRDGGRPKRYKFTQRWREHALRLKEGSSSETTFWAKVEQIRRKPFEETKEQIVALEKEVHEWVQKNELEKDVFLEKSTFAEWWKTLPERHRSESCLKGFNQKYLYNIQARLLDSSKNYQ >KVH98742 pep supercontig:CcrdV1:scaffold_6980:2914:6105:1 gene:Ccrd_023031 transcript:KVH98742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEAMESMERRDAIDCVPGYGEKRRDGGDGGDGGRRLKLAVDWKSPAVDRRVKEFSAMGYDSMTNVSIPTAASKAKEIGKKKRVNRTAKLKQSKLDVRREQWLSQVKNKGCKEEINGANGVKMHSPDVHERNDDRPLMKLEINPVGEETEGITNHYSDSDSPSNSPISHTSSVFGSNRSRSPFTSSSSSSSGGCCSGSMAEEDDGDNGCLDDWEAIADALAADDLKNDNHESPEPPQLNSLPPPEPEPVNVTMVGQDGCCARAWRPDDIFRPQSLPNLLKQNSFPMNSNQLYGFGAIEFGFKKVTSPPSTCPICCEDLDLTDTSFLPCPCGYRLCLFCHKRILEDDGRCPGCRKEYDHHGQGNASLKLGRSCSMIPRH >KVH96802 pep supercontig:CcrdV1:scaffold_6983:8796:11358:1 gene:Ccrd_001106 transcript:KVH96802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEGEANSWIRRTKFSHTVCHRLDSARLASFLSDIQPNPIAGVKTRPPKSFLEPTPVLRSPKIQTNPVTNKYRTVTPPPKTSVPDTFKEARSDTKRFSTPHPQRVETEKGVKGKRMIQRNMTAVDLRSPPNGTSPLRHFNSLKIQDKRKMKRDYSWSKLFDHGGGKVISVETVDDVMVDLSKLFLGHRFAHGAHSQLYHGIYNEEAVAVKIIRVPDDDEHEELGVRLENQFIREVNLLSRLHHQNFVAACRQPPVFCIITEYLSEGSLRAYLHKLEDNTGKEKEYLPYGKLIKMALDIARGMEYIHSQGVIHRDLKPENILINENSQLKIADFGIGCEEAYCDFLADDPGTYRWMAPEMIKRKSYGRKVDVYGFGLILWEMIAGTIPYNDMTPIQAAFAVVHKNLRPSIPANCPPAMRALIEQCWSSQPDKRPEFWQVVKVLEEFESLIARDGNLNLLQHPTCLDHKKGLRHWIQKLGPHHQHQHQHQHTSPTPKPRFS >KVI07902 pep supercontig:CcrdV1:scaffold_6986:9617:13368:1 gene:Ccrd_013733 transcript:KVI07902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQTPKTSTKSSSEGPLKISPRSASSDATQRGSGRTARQLKTTGLEPNSSSPNKTSIRTPKTTSPIISARRSPKSPISEKRPGRVAELETQISQLQDALITVKNQLVSSESWKDQAQQDAEESRKQLLAVSSKLEESQKLLTISSSDKPHAGDEEWKTKLEAAKKLHAADLDALAAAMNEIGQLQVQLAGKAESEAAQTNQAESAYAEVHNLKETVAKTLSLVETMKNQLQDCRSSESQAQALARETSLELETAKKIIESLKLVGKDNDAIGLESESENRDEEIYALKSEVGKLKSDVETAETRFNEEQSRRKMEITSAYELVEEIKFNSRVKESELEGELKKSKAVIEELKANLMDKETELQGICEENDDLNSKLKNSFSGRRENELENEIKSLKEDFNSLESKLMVTETELHKKSDENEKLKLEIMKTDCKELLASENESIIKVDHLVEEIEKSNKKVARVVAELEAAQAANCKTEAELRRLKVQSDQWRKAAEAAAAMLSSANQTNQKMVMRTGSMEAERNIGSPYGEEIDGDDDEFLKKKNGNVLKRIGVLWKKPQHKRT >KVG51525 pep supercontig:CcrdV1:scaffold_6987:138:9912:-1 gene:Ccrd_026372 transcript:KVG51525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MIGSRDQDMGDHDLSKREGGAATEAMVLSGKHNYHRWETQMLCLMETHYMRGLVDDAFVHGPRASSEKIRKQYDNLLKGWIFGSVSEGVLDTVVDRSSAKEVWDTLKSLYKLRSAEDVEPTMSSQQGPEEIETESEDSISEDIEADPFSLEAERRHAKARDKLGLMLYKDTSEWSWREIIKILEGDKDVLKDELWDNGNTLLHMVVEKGQNAYDIVEKLLLFIRKKKEEKEILEHKNADGSTALHVAVSVGNKHAMKLLVDQHKDLLTIRDKKDQDPLIKAFNNMQFDTFVYLFKVAVGNDKAKQLVISQGSKKGASLVVNAITAKQYSTAHELIRTFPKFSTESDRVLMAIARTFPNELNYWETLVYPTLTYLDVCGGGGGGGGRGAVPPIKHIEKKIKVSKEAKRVLQLVCYKIHMLTLLGTPHPYYDKPILEAAIKNADNVFTCILIYSPEAIKSRHENGYDIFQLAVIHRSDKIYNRLYLIGEDKNRYRTIKDSSENNMLHLAGRSAPSQVLKRRTGAALQLQRELQWFEELKNFMHPSAITEENSFGETPHQVFTREHENLVKEGEKWMKTTAESCSISAALITTIVFTAAITVPGGSDQQTGIPILPKRLIIGLCTLLLSITSMMVAFGATLYLLFCRENPWMLGPICGLSCLPILFFVTLQFPLIADLYRSTYVPIFHKRMRMRMRRKELGKAKAKEKHRRQAKEKC >KVG51527 pep supercontig:CcrdV1:scaffold_6987:71:1157:1 gene:Ccrd_026371 transcript:KVG51527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWMDDDGNAQGSLSDIRHGIVTAPILYAMEEFPELRSVVDQGLDDPANVDLALEYLGKSHGIQRTRELAAKHASLASAAIDSLPENDDEDVQRSRRELVELTHRVINRTK >KVG51524 pep supercontig:CcrdV1:scaffold_6987:18730:20915:-1 gene:Ccrd_026374 transcript:KVG51524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MVVEKGQNDIVEELLLFMKKKEEEKEILEQKNADGSTALHVAVSVGNKHAMKLLVDQHKDLLTIRDKNDQDPLIKAFNNMQFDTFAYLFKVAVDNDKAKQLVISQGSKKGASLLVNAITAKQYSDSGVYIFLGTWIDKISNVDGFSPTSSALFAFVEGRSNARTIKDSSENNMLHLAGRLAPSQVLKRRTGAALQLQRELQWFEELKNFMHPSAITEENSFGETPHQVFTREHENLVKEGEKWMKTTAESCSISAALITTIVXAAAITVPGGSDQQTGIPMFRKDIAFTVFAISDAISLFTSTSSLMVFLSILTARFAENDFLVSLPKRLIIGLCTLLLSITSMMVAFGATLYLLFCRENPWMLGPICGLSCLPILFFVTLQFPLIXGLYRSTYVPIFHKTLV >KVG51526 pep supercontig:CcrdV1:scaffold_6987:9877:12911:1 gene:Ccrd_026373 transcript:KVG51526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHILVPAPNHCLGWLSALPPSRALLGPGFAPPSLSIPTPFPFSQVLLFSPVSPSSIIVAGGVLCVLAVLAGDFLLSRACITLASLKNTEVSIYLCCITNSNSSRASCYWGDDANEHISRAAMDYYLQKTYYKTASLISNSCKSIALLTGQTAEVSMLAYEYGKNLGLAFQLIDDVLDFTGTSSSLGKGSLSDIRHGIVTAPILYAMEEFPELRSVVDQGLDDPANVDL >KVG51521 pep supercontig:CcrdV1:scaffold_6988:10153:11620:-1 gene:Ccrd_026375 transcript:KVG51521 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREPP plasma membrane polypeptide MGYWKSTVVPKFKKIFEKNSTKKTAAAEACKSFDDAKALVKEPKEASLKKNSAVVTSFLEELSKIEFPGSKPAHEACSKFGPTLVQGPIFFVFEKVSTFIVVEEKETTSSKEKDVVVEEEKKEEAAAAEGEVAKTEAEEVVVAPAEPPKTS >KVG51517 pep supercontig:CcrdV1:scaffold_6989:17013:21388:-1 gene:Ccrd_026378 transcript:KVG51517 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase PLFCSRITAKLFPPKFPGFDLSLLRILEIGQVYSLPLVSLSSXLETRXEVIAIDANHCPGAVMYLFRGEFGSMLYTGDFRWEVTCKVAEVGKRMLLGALKNDKVDMLYIDNTYCNPSYSFPSREVAAQQVXNIINNYPEHDIIIGIDSLGKEDLLLYISKMLKVKIWVWPERLQTMHLLGLHGNFTTKTTLTRVRAVPRYSFTVXTLEGLNTMRPTIGIMPSGLPWALKKDGCKDKSCGVPSTKTEMGVCLDIDMSNNIKKHHRYIYTVPYSDHSCFPEIVEFVKLLCPSHIKGIVSSSSSYIDPCYYLQNIYGTSSLYKKPEIKEGRGRVEGKRESTLACGNCIDENLKRKRIKEYQSCLHKTRVSLLRRFKCGVKLINED >KVG51515 pep supercontig:CcrdV1:scaffold_6989:5720:14629:-1 gene:Ccrd_026376 transcript:KVG51515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein IWSTNVTTTTTNSNSAVVIIRDDGNLVLTDQSNLIETVWQSFDHPVDTWLPGSKLAYDXRTKKSQLLTSWKSRENPDVGFFSLELDPSEKAYISKWNNSNQYWTSGAWNDRIFSLSPEMRLDHIYNFSFFSNENESYFTYSVYNPSIISRXIMTASGQVQQQTWLESQKQWNIFWSQPKTQCQVYAICGPFGICRETEMPFCNCLTGFKPGSERDWNQSXFSGGCVRKTDLQCGRNVGSLDFLMITVTALPXKSSVAVGSSGECRTNCLNNCSCEAYSFVEPIVFFTESSSQGSSQEPSGSSSEAWLFFCFFFIPFLSSGADTLAVNQSLSGDETLVSLAGNFELGFFRPGESPNYYVGIWYKKVSSNPPTVIWVANRQTPVSDRFKSRFKILDGNLVLLDESNTQIWSTDLMMSTYSSSVSVVLLDNGKLVLRNGSSPPIWQTFEHPTHALLPGCKLGYNKLTNTKQVLTSWRSKEDPAEGFFSLEIDQNASQYVLKWDKSVEYWTSGTWNGRFFSSIPEMRSNFNYFTYSLYDNSTITTLMMDVSGQIQQQTTGSEFCSCLTSFTPKSDSDWYQSNFSGGCVRKTNLLCGGDVQDLDFLNIKVKTVPANNSMAVGSAEECRTNCLNNCSCNAYTFVNNQCSVWDGDXLNLSEDDTSDKTIYVKVACKDLQHRKTHLQIATKNFSDKLGGGGFGSVFKGVLRDSSVVAVKKLDSISQGEKQFRSEVSTIGTTKHVHLVSLRGFCAEGNNKLLVYDYMPNGSLDSHLFHDKPVLNWKTRYEIALGIARGLVYLHEKCRDLIIHCDIKPENILLDADFCPKITDFGLAKLVGRDFSRVLTTLRGTRGYLAPEWLSGVAVTAKADVFSYGMLLFELVNGKRNAERSEDSRGTFFPCLAASVVMVGGDILSLLDSKLNREASIEEVTKICKVTFWCIQDEEDNRPSMSVVEQILEGVLDVNMPPVPRSIRLSVDNTEPIVFFAEVTS >KVG51516 pep supercontig:CcrdV1:scaffold_6989:5748:18988:1 gene:Ccrd_026377 transcript:KVG51516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLSTESRMDRGTGGMFTSKTPSSICSTTLIEGRLSSSSWMHQNVTLQILVTSSMLASLFNLLSSRLRMSPPTITTLAAKQGKNLKTSALAVTATPDNHSGARYPLVPLKVVKTLLKSRPTSFARPKSVIFGQKSASRRMFSGFMSHFFTATTDESRRTPLKTEPKPPPPSLSEKHMQDKRLVVTQGLDRIRMLYDSKNKNAIYWVSLVGLLDTYIALQSGPPNTFILYAFVGYVQKTPISVFVEGTPQDLSLHPSFFSAHGRPDGMIPMVGRMALYHMWSMSFRSNCGLFGIKLVLSNKSSFPNESMPMMISCSGNYGTLELRFKICKNKPAEQQLPEKETNMMGC >KVH97653 pep supercontig:CcrdV1:scaffold_699:113853:119758:1 gene:Ccrd_000239 transcript:KVH97653 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain-containing protein MEGKVEDHQDLGGSHNHNHNHNHNPCVVEIAMEIHKVETPPKRSSFQKLMNRLKETFFPDDPLRQFKGQPTQQKWILAFQYIFPILQWGPHYNLNLLKSDVVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYAVFGSSRDLAVGPVSIASLLMGSMLRQEVSPGHDPLLFLQLAFTSTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKALLGITHFTKEMGLVPWSWQTILMGFCFLVFLLVTRHISIKKPKLFWISAGAPLLSVIVSTLFVFAFKAQHHGISVIGKLEQGLNPPSWNMLHFHGTHLGLVLKTGLITGIISLTEGIAVGRTFAALKNYQVDGNKEMIAIGVMNVVDLYSYSNRHPKIVGAFSRSAVNHNAGAKTAVSNIIMAVTVMVTLLFLMPLFQYTPNLVLGAIIVTAVIGLIDIPAAYQIWKVDKFDFVVMLCAFFGVIFISVQEGLALAVSILTSLPVGISIFKMVLQMTRPKTVVLGNIPGTDIFRNRHQYKEAVSVPGFLILSIEAPINFANSNYLNDRILRWILDYEEEEETKRHSGLRFVLLDLSAVSGIDTNGVTFFQELRRLVLVNPVGEVLEKLQKADGTNDLLQSHALFLTVGEAVSSLFSSMKSVSSSHG >KVH97656 pep supercontig:CcrdV1:scaffold_699:152870:154611:1 gene:Ccrd_000243 transcript:KVH97656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLHKSPTSLDLSISVFGFASASGDDKREKGNESNVVRRKFDINKVPSEEQWITTTIGGNEEESATTIGISKATNGGGGGPPMKKIRLSVDQSRLLEESFQQNYRLNPKMKQELAGKLMLKPRQVERCFESLAEQNKKLHKELEELTTTRVGSSTMFSPVVASPSHHPS >KVH97646 pep supercontig:CcrdV1:scaffold_699:58478:59493:-1 gene:Ccrd_000236 transcript:KVH97646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MALSGKLIGHVEISKKGDVFHDLFRHNPHNIVAISPDKVHDCELHAGERGVVGSVICWQYTHEGKKKSSKQIIEAVNEANHMIVFKVIGGDLVEELYKSFTIILHVEEKGDGKVATWTFEFEKPDTSVPYPTSLMDYLCNLIKDLDAHATTN >KVH97658 pep supercontig:CcrdV1:scaffold_699:141210:143980:1 gene:Ccrd_000241 transcript:KVH97658 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase MDDLPSGYRPNVGVCLINSDNLVFVASRLNVPGAWQMPQGGIEEGEEPTSAAVRELREETGVVSAEIIAEVPKWLTYDFPPAVKAKVNRLWGGGEWHGQAQKWFLMRLTKDESEINLASGEVDPEFSEWKWASPEEVVEQVSLIDSICLFSASGGLQKADIRGGYKNVHASVERRWKSSSEMQVDQMVMHEEHVCQVSFGIPNYYRYYY >KVH97652 pep supercontig:CcrdV1:scaffold_699:4925:5560:-1 gene:Ccrd_000230 transcript:KVH97652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MVLIGKLIMYVGINSHGDVFHEMYTTWLRFPQITFRVVRLMVNQELLGPSSSSTIPELSGKSVLEEVDEKNHKVVYNVIEGDLVEELYKSFKIIFHVEPKDDGQLAIWTLEFEKMNASIPYPTVEILFGISFNHFPK >KVH97659 pep supercontig:CcrdV1:scaffold_699:193970:195628:-1 gene:Ccrd_000246 transcript:KVH97659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSYNLVFFRLIWGNKTVLSDQKKRWMYNIGLYDPQDEEDEGFADFLQEMSSLMENDKKEEKEYSFGEIQTMFWEMAQSFNHSMDEYKEPSWCQDIFTSDDEPRSSKKRRAVLDPLAQFSEPDFGRQETKCI >KVH97657 pep supercontig:CcrdV1:scaffold_699:120974:132598:-1 gene:Ccrd_000240 transcript:KVH97657 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTH-like domain-containing protein MDDDVVHRVLQEGGRDYYQQAPSTSSSSPSILQSLPLHASFDRGYYLLVKSIQELRSKKEGIVAVGIGGPSGSGKSSLAEKVASVIGCSVISMENYRIGADEGNDLNSLDFDLLVQNLQDLLKGKDISTPVFDFQQKKRIGTKEIKSIFGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVQYDIGDPCSLDNLIDSIFPLFRKHIEPDLHHAQIRINNSFGASFRDSIYKLKCKTEVCLLNLPGLNLTSNFLGSLGPFLLQHQLTQSSSPNSFLIMPCHHQIFIEMYLRPPSASEVAGVNDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASKSIIYGNLSISLETIDTLDETYLVLRGRDRKPLRIRPELVNRDDDISQPWTRSPTKSKVEPVLAPWRFISDGSVVDGSSIGMYLLPKNPSSFRDTLQLAPMPDSFDLDRGLLLAVQAIQSEQVKDFKYDDFRSLDLALLTKNISDIRRGRKAKVPVFDLESGARSGYKELEVSEDCGVVIIEGVYALHPDIRKSLDLWVAVVGGVHSHLISRVHRDKSRAGCFMSQNEIMTTVFPMFQLHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKLLDPAKICSSAQNFIDIYLRLSGIPSSGQLTESDCMRVRICEGRFALLIREPIREGDFVIQPKVDFDISISTVSGLLNLGYQAVAYIEASAYIYQDGKLLIEVDHLQDVPGPYIQIKGASKEVVVAAGSTLELDGSYTTKSYLEIVLEKLPASDRSSSGINGAMDVPDVRVCWIFALSEEAPIIASFLAALESDTDSS >KVH97648 pep supercontig:CcrdV1:scaffold_699:42905:44156:-1 gene:Ccrd_000234 transcript:KVH97648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MALIGKLIMHQDISSRGDLFHDLIRHRPNDIATISPDKLHGCDILEGERGAVGSIICWDYTQAADRWMICKFWLRTWDHEGSKLCI >KVH97649 pep supercontig:CcrdV1:scaffold_699:33105:34079:-1 gene:Ccrd_000233 transcript:KVH97649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MALTGKLIMYVDITSHGDIFHEMFRHRVHDLASISPDHFHGCEIDGQPGAVGSIIFFNYTRDGKKLTAKSMLEEVDEKNHKVVFNVIEGDLVEELYKSLKVIFHVEPKGDGQLAIWTLEFEKMNTNMPYPTSYMDFLLNVTTDLDSHCTSH >KVH97661 pep supercontig:CcrdV1:scaffold_699:188861:189412:-1 gene:Ccrd_000245 transcript:KVH97661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFCLLHASPPPPPTVIIPLQPPRELSGSGRRRSSNMSKKALQIVFVVILAVVAPPMMEGINVKLDNTATDTTMVPGGPSENQLKCGGCPCNNPCYTTSPPPPPPSPPPPPKMPSPTPGLNCPPPPTTGGGYGLAPPSYIYITGPPGNVYPVNPYFSAGHRNFWVAPPLQVIVFGLVSVLSF >KVH97654 pep supercontig:CcrdV1:scaffold_699:81973:88358:-1 gene:Ccrd_000238 transcript:KVH97654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKIRLPTSGHNTPSPPASPLRSPRLRHPRSGKPSPRFGFGVSNRSFAQRLAWIVLSLLLRRQGIFLFAPLLYISGMLFYMGTVSFDVVPDAIKPRRAPGSVYRSPELYAKLRHEMDADNSTTDAMSTIWKHAKGGDWRPCINKFSGGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHFHSIWRDPSKFSEIYDEDFFVKTLENDVRVVDTVPGYLMERFDRNLTNVFNFRIKAWSPVQFYRDTVLPRLLEERFIRISPFANRLSFDAPPDVQRLRCLANYEALRFSSPLLTMGETLVSRMKERSANNGGKYISVHLRFEEDMVAFSCCVYDGGSKEREDMIAARERGWKGKFTKPGRVLRPGANRVNGKCPLTPLEVGLMLRGMGFDKSTSIFLASGRIYDSERHMAPLLEMFPLLQTKEMLASAEELAPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLFNGHSKTIRPDKRKLAVYFDNPKIGWKTFKRHMLSMRAHSDSKGIELKRPNDSIYSFPCPNCMCRPNKTEDSRTASTP >KVH97660 pep supercontig:CcrdV1:scaffold_699:168713:172800:1 gene:Ccrd_000244 transcript:KVH97660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MISGHDFYTVMAAMVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISLNNPYEMNFRFIAADTLQKIIMLVVLGLWAHFSKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGDDGKLHVTVRKSNVSRRSLGLGSLAGMTPRPSNLTGAEIYSLSSSRNQTPRGSNFNHSDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEESTAPGPLNSPRFGSYPAAAQPSSYPAPNPEITSTVTKTAKALQPPHTPPQPNGSQNKGKNDDKELHMFIWSSSASPVSEGGGLHVFGGNDFGATTEQSGRLDHDDAKEIRMVVSSDHHQYAETKGAGEFRRDDFSFGGGRDGDDERDKEGSAGLNKLGSSSTAEVGPKEMPVLDGNTGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWHVAMPKIIEKSISILSDAGLFMALQPKIIACGNSVATFSMAVRFLTGPAVMAAASIIVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >KVH97655 pep supercontig:CcrdV1:scaffold_699:147798:149204:-1 gene:Ccrd_000242 transcript:KVH97655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MESVVLTLFKFILFFSALHHHLPPQSTAISSRTITFPKEALPTKSGYLPINSTTGSAIFYVFYEAKNPKNASLSKTPLLIWLQGGPGCSSMTGNFYELGPWLVTSSTSQRQKVEHLTLEPNPGSWNRMFGLLFLDNPIGTGFSIASTPEEIPRDQNAVAKHLSIAIKKFIASDSAFESRPIYITGESYAGKYVPSIGYYIIKRNANLSVSKRMNLLGLAIGNGLTDPVTQVTTHAQTAYFLGLINQKQKTQLEKLQLEAVQLTKEHQWNRATKTRSKLLNLLQNMTGMATLYDFRRLTPYQTNIVTAFLSDTEIKKILGANESIVYQECSGVVGSALHHDLMKSVRYMMEYLMEKSNVKVLLYSGQCDLRVGVVGTESWVKKMKWAGMKQYLESKREIWVVNGQIAGYVQKWRNLSTVVVLGAGHLVPADQSVNSQAMIEDWILEKGLFVNKKIIETYWTNNSLVSQL >KVH97651 pep supercontig:CcrdV1:scaffold_699:22627:23741:-1 gene:Ccrd_000231 transcript:KVH97651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MAVTGKLIMHQDISSRGDLFHDLLRHRPNDIATISPDKLHGCDILEGERGAVGSVICWDYTQDGEKKSTKEIIEEVDETNHMIVFKVIEGDLLGDLYKSFKIIFHVEPKGDGQLAIWTLEFEKLNTSVPYPTSFMDYLLHLTIDMDAHNVQQPIDE >KVH97650 pep supercontig:CcrdV1:scaffold_699:30937:32509:-1 gene:Ccrd_000232 transcript:KVH97650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTGKLIMYVDIASHGDIFHEMFRHRPHDLTSISPDNLHGCEIDGEPGAVGSIVFFNYTRDGKKVSAKARLEEVDEKNHKVVYNIIEGDLVEELYKSFKIIFHVEPKGDGQLAIWTLEFEKMNETMPYPTSFMDYALNITRDLDAHHTNR >KVH97645 pep supercontig:CcrdV1:scaffold_699:77602:81577:-1 gene:Ccrd_000237 transcript:KVH97645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGTMTSDQLQFFNSQGYLVIESFSSSEEVEALRKRMDQLLDGFDCSSSASIFSTKNQQRTSDDYFYESADKISFFFEEYNLMLLFVALHDHDPIFKNVSCSDKMSGLLLSLGYKRPVIIQSMYIFKQPGIGGEVVPHQDNSFLYTDPPSCTGLWLALEDATIVNGCLWAIPGSHKDGLVRRFIRDDKGVHFDNPSPSYDQKDFVSIEVKAGSLVLIHGDLIHQRIRRNVDPEPLYGDLN >KVH97647 pep supercontig:CcrdV1:scaffold_699:45780:47879:-1 gene:Ccrd_000235 transcript:KVH97647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MGVNGKLVNQTRIKSDGDVFHEIFRYKPHKISDICPGSIQGVNLHDGEWGTIGSIISWNYFHDGKAKVAKEVIEAIDEEKKSVCFKVVEGDLMEAYKSFFITVHVDTKGEDNLVTWSFSYEKLNEKVKDPNTLMEFCLNVTKDIETHHLNN >KVI04539 pep supercontig:CcrdV1:scaffold_6991:4490:10187:-1 gene:Ccrd_017143 transcript:KVI04539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MASVFLYHVVGDLTVGKPELVEFTENETVEAAIKAIGESTECGIAIWKRKSVQKGLIENAETRHQRFVGILNPLDIVAFLARDECLNDQEKALKTTVSDVVVPDATLLKEVDPGTRLIDALEIMKHGVRRLLVPKSIGWRGMSKRFSILYNGKWLKNIDSNPNITIPNNINRSSTSVAASTTIIRDKYCCLSREDVIRFLIGCLGALAPLPLSSISSLGAINPNYCSIEASEPAIEAARQIPREPSAFAIVEPTPDGQHKILGEISASKLWKCDYLAAAWALANLSAGQFVTGVEDNVSSRSLPEFLVAGNGANLANGGSGGGSRLMRQRTFSSRGVGFFNNPSSQTFGVNRSMYRGRSVPLTCKVTSSLAAVMAQMLSHRATHVWVTEAENNDVLVGLVGYADILAVVTQTRSPAACIPEAQSTER >KVI00150 pep supercontig:CcrdV1:scaffold_7:273896:292297:-1 gene:Ccrd_021638 transcript:KVI00150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase MVMEVNEKHDGDLVRLKCSVKEYDWGRIGLDSLVARLFLRNCNDKIEESKHYAEFWMGTHASGPSFIAEEKGVGNVSLKSWISKNPEVLGDTVVDKWGIDLPFMFKVLSVAQALSIQAHPDKELAGLLHTLKSNVYKDANHKPEMVLALTEFEALCGFISFEELETVLESVPEIMEVVGGAYADEVLPVNEEAKEAQFLRSIFIKLMSAGSKVVSVAVSKLINRLDKENKVPKYMSLALLMLCLDHISSREDGKDDEGLDVFLQLQEESMRRSTAGGVTGLKERIDEAAPRFLVQVFVSRFRVLSLRLLVGPPSSPSLWQPIGNMVVIGYPTSVLGDIAPDDNSFFSSNQTRQLTSKEELALKLEKQYPDDIGVIASFLFNHVRLNPGEALYVASNEIHAYVGGECVECMATSDNVVRAGLTPKNRDVKILCSMLTYKQGPPEILKGVPVNAYTKRYNPPFEEFEVDRCMLDQGASVVFPAVPGPSIFLVLSGQGSMYTSVSSPSPSSPSSSLFSSPSSSTTSSSLSSPSSSLSSPFSSLSLSSPSLSPYEDTVHGGDVLFVPANTEVHVSTESELHLYRAGVNHMLFSKTSM >KVI00178 pep supercontig:CcrdV1:scaffold_7:535177:544680:1 gene:Ccrd_021613 transcript:KVI00178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDGSKQPNKVPVIPPRDLLVQKFTESRAPELESLYSTIANRMNNNFKSRKNKRRRTTGYDDRSSKTRFRKKQKIGVSNQESDENAEKCVKKAPPRHIRRRKELKMNPESGFCPSGDGTKRLRTHVWHAKRGRGSRGLLKWLKNGTVVHDASYYCAVQLEGSQGSLLSILSTVMTPFSSPDAGNVLSGSAYASSMLHYAGAHNLGPIAPVFYMWRPNQQSTDADSESDGTIRQLWIWIHAAALTEGYNALKSACERQGKIDEDVAVVSCTSLEGQLGTLEVMGSKASQLLRRILHPVSRKCTFMVADGGTPSRNTSILDNEDHISSSGIISLTVCDPRALTIEGLPEVSSELISSCTDLWDASKGLCCAVEESVLCMEKHRQRLSSFCLTDKSSDGIHASTKIRSSRFCPVLLLRRNNMKDSIERFTLFL >KVI00152 pep supercontig:CcrdV1:scaffold_7:764829:765071:-1 gene:Ccrd_021596 transcript:KVI00152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERLVAATYSRIPANFPTSFSSTVRDISGHGTADSNGSRIPSAIITGTHPNATASTSRGLHGAHPSGHKQKTDRTISDW >KVI00097 pep supercontig:CcrdV1:scaffold_7:96012:99671:1 gene:Ccrd_021650 transcript:KVI00097 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated interaction domain-containing protein MDGGKNPGKFFGTRNNKIYMDLKLIIREHALQFLPAKSLFKFRGVCRDWKLMISTPFFAHNQSLSFSSVSGLFLQTSGGQPTFISLDPEACGVPDPSLKFLPVPVDIVASSNGLLCCQAQTGDKAYYICNPATMQWKKLPKPTMEHGHEPAVVLIFKPSLLNFVAEYKLICGFRSVDMDDATEFEIYSSKDESWKVSGEMNYAAKKLIPKSGVSINDVAYWQTCYGGILAFDLTKDRSQLIHGCHSTNGTLGEMNGKLCTAFTFGHTLTVSVISNIYSNTMQMSSQARLWDDKVRVPLSNEVLDGNLYDQFPVLYAGSEAVFLFSGQCIYRVDLKTKEVKFVVEASRFDRRAIPYVNSLVAL >KVI00160 pep supercontig:CcrdV1:scaffold_7:447747:448055:-1 gene:Ccrd_021621 transcript:KVI00160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MEISPSYVGPRCFTVVVMVVMLLFTGNLQLTEAQTRCDPVELSWCLQAIVSNIPPSRTCCRKLKGQEACLCREKTDPTFGAYLGLPGAKRVAAACGVTFPNC >KVI00144 pep supercontig:CcrdV1:scaffold_7:348980:351136:1 gene:Ccrd_021633 transcript:KVI00144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSYMQMFVEETSFSNRMVLGAVLPMSVWEPLPHFLQSWLRNFTAGTIIYFVTSSLWCFYIYYYKFNVYVHKDAIPSRKAICMQIYVSMKGMPWFSALPTISEYLVENGWSRCFPRISDVGWVSYTRNVGLYWLLVEFGVYWVHRMCHDIRPLYKYLHATHHVYNKQNTVSPFAGMALHPLDGILHSLPHVVVLYIVPTHFTTHIALMFLDALWTINIHDNVNGKLWPLMGAGYHIIHHTTYRHNYGRYTIWMDRIFGTLCDPKKEDEGKRS >KVI00153 pep supercontig:CcrdV1:scaffold_7:767956:769861:-1 gene:Ccrd_021594 transcript:KVI00153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MVMPLLILAFFMLIGSSSTNAQSNPPSTGFYPSSKINTIPFNQAYRSLWGSQHQRFDQNSLVLWLDSSSGSGFKSLKSYKSGYFGTAVKLHPGYTAGVITSFYLSNAEDHPGNHDEVDIEFLGTTPGKPYTLQTNVYIRGSGDGKIIGREEKIHLWFDPTKDFHNYAILWSTIEIIRYPRKSDNTFPLRPMYVYGSIWDASSWATENGRYKADYRYQPFYGRYNNFKLSGCDANSPSWCRPPSSSPARTGGLSRQQLAAMLWVRRNFKVYDYCRDPMRDHTQTPEC >KVI00129 pep supercontig:CcrdV1:scaffold_7:1079656:1081353:1 gene:Ccrd_021570 transcript:KVI00129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase MQKEMDNKSLWCSSIFIALFIISCAPFAICQEVEDEHEFSYDINSHNGPDHWSEIHPEWSMCNQGDLQSPIDLTHERVQTTSKLGKLDRDYKPANATLLNRGHDMMRAQFLQLKWIGGAGHVHINGIEYHLNQLHWHTPTEHTVNGRRFNLELHLVHESIDGKVAVIGIMYKIGRPDSLLSMLEPYLKALASTKGVETSVGIINPRDIKIGSRKYYRYIGSLTTPPCTQNVIWTMVKKVRTVSREQVHMIREAVHDDAEVNARPVQALNNRWLKLYRPDDRQNN >KVI00173 pep supercontig:CcrdV1:scaffold_7:61933:63447:-1 gene:Ccrd_021653 transcript:KVI00173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPFISSQNNLYSVDNVGSNRYEPKSVLDVRRSPPSPITGNSSEFNSIDYNYNSAVLLSSGEQLPVENFEHEMINQFEEWDSDSLIRELGLYDDSTKSAYPLDLPELPPFFHSDFESLNPNTNQNPFDFMSHDDDDDGNEFDFRDELIRLAECFETQSFQLAQMILSRLNQRLRSPNGKALQRAAFYFKEAIQCLLTGPTRRFQTCTSYEIVQVIKAYKMFSNVSPIPMFSSFTANQAILDAVDGAMIVHVIDFDIGLGGQWASFLKAIAEKAEARRITSPVVRITAVVPEEYESESRLIRENLHQFSCDLKLRFEIDFISIRAFEILSFKAIKFMSGEKTAVLLSPTIFRRIGPGFINDLRQVLPHMVVYVDGELIGCGTSFFRQTVIDGLELYSTILASLEAANVGGGAGGGDWIRNIEMFVLLPKIIAAVEDAGRHATPWREALGRAGMRPVGLSQFADFQAECLLRRVQVRGYHVVKQQAEMVLCWHGRPLVATSAWTF >KVI00134 pep supercontig:CcrdV1:scaffold_7:900493:908019:1 gene:Ccrd_021582 transcript:KVI00134 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSNQPESSSSEPKGPPAAKKDFSTAILERKKSPNRLVVDEAVNDDNSVVAMHPNTMEKLQLFRGDTILIKGKKRKDTVCIALADETCDEPKIRMNKVIRTNLRVRLGDVVSVHQCPDVKYGKRIHVLPLDDTIEGVTGDLFDAYLKPYFLEAYRPVRKGDHFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPIKRDDEDRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVIRIHTKNMKLSDDVDLERIAKETHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKAAMRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERKRSENPDCMDEDEDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPEAASGGAAAASDPFAASAGGADDDDLYS >KVI00147 pep supercontig:CcrdV1:scaffold_7:658941:661039:1 gene:Ccrd_021605 transcript:KVI00147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTRNMIVATGLLAFASAGLAFPFYMASRSSKGPVIDSSKPLPPQATFRGPYINTGSRDVGPDFQSYSKK >KVI00156 pep supercontig:CcrdV1:scaffold_7:811397:822345:-1 gene:Ccrd_021591 transcript:KVI00156 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome f MEERIHFQTVSRLESKCKTPLGGYFSFLGIILGRKERSGLLKLIPAVYLVKEAEAVSNPTSPPRKDHSIVFSYSALQYTHISDGRQVVDIIPLRPELLVSEGESIKFDQQLTSNPNVGGFGQGDAEIRRRRTTPIELKQRMRLHRFSRGRSAEDPLQIRVFVFFGFLYYLVPVATIEILLAV >KVI00124 pep supercontig:CcrdV1:scaffold_7:1024362:1031102:-1 gene:Ccrd_021575 transcript:KVI00124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGSFLLLLTFNSNGDSELHLNPILFYSLFQLFYSQSFHFVRDNRGIKEMTEVAKLLYIVVEEDEETKGNEESSFRYTRPVLQSTLQLMGCKARHAFKISQRVFEIMRTKCLGDTLADIGVIQWGKDTLKFHPLKENNRDNNNRSDKMDGSNHVVSNRDGSTRSKPFESYKKRTTVVIKRRMFIDVVCDALSEYKYVGPNQRADFVLACRIRERKESVTVLLCGTSGCGKSTLSALLTLIPKINLQASRLGITTVISTDSIRHMMRSFVDEKQNPLLWSSTYHAGEHLDPLAVSEAKAKRKAKKEVSVSDTSTTEAPKEAEGSSSTKVDLIGSKQMAIEGFKAQSEMVIDSLDRLITAWEERKESCVANSLGSKRMFQLIQRQGSSRHLMALLNNDGSVAKAWPVHSVDADGKPILDCPAENGPGIPMYGPLQIGKAEPVNLQFGKFGISAWPNDLGGTSHAGSVDDSRGELTDNGSRYYSSCCSSPKVSEGHAKELKEELSVSGSDGEVDDARELDSDEDLSDNAKEHMHEEVPFRSFTRLNASDIQENGYFTDIEFESKTNLSDTITSTNVDRYKQNLDRFLRTKREAAMDPVVINSGIPKEKRLPSSRSLRVRGRSYSISTSAKEELLTPPISRTSSPPVSSGSRT >KVI00132 pep supercontig:CcrdV1:scaffold_7:916834:918785:1 gene:Ccrd_021580 transcript:KVI00132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MASSATIPENQESTISIPTTTATPQRTFALPVDSENKATKMKIFSVAQPHMRAFHLSWLSFCTCVISTFAAAPLVPIIRDNLNLTRSDISNAGIASVSGSILSRLVMGVLCDLVGPRYGCSVINLLAAPVVFSVSFVADAGGYVAVRFMIGFSLATFVSCQYWTSVMFNGKIIGVVNGVSAGWGDLGGGVTQLLMPVLFHVISQMLGSTPFTAWRIAFFIPGWFHLIVGVLVLVYGQDLPDGNFAQIQKEGQAPKDKFSKVFRNAAANYRTWIFFLIYGYSMGVQLCLNNVISEYFFDRFGLKLHTAGVVAASFGVANFFTRPFGGYVSDLSAGKFGMRGRLWTLWITQTLGGVFCIWLGLANSLPIAILSMMLMAAGSQAACGATYGIIPFVSRRSLGILSGLTGAGGNVGGGLTQLLFFSGARFSTAWGLTWMGVMAVVLTIPVAFIHFPQWGSMFLPASKNEKYDEEYYYSLEYSEEEREKGLHIGSMKFAENSRAERGRRLVVAVTETPPNTTPDHHV >KVI00112 pep supercontig:CcrdV1:scaffold_7:216049:226283:1 gene:Ccrd_021641 transcript:KVI00112 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MEGNRRPSFKKRPNPHFKKGGNKRGKFSEPSSESSHASETVYRILCQSKKIGSVIGKGGGIVKALREETQAKITVADSVPGSEERVIMVYSPSTKKAVKNNTSDDHDNEDMGAEKNVTELHCAAQDALLKVHDKIVEEDIVGGTEDGDGNEIVVIARLLVPNNTVGCLLGKKGDVIQRLRSETGASIRVLPADQLPACAMSTDELVQISAKPSVVRQALYEVSTLLHQNPRKDKPPSSFIMPVGGQGYRPGPPMENMPPPNLMRPERRSNARGAPPIPWMGGYGNEPSRFGRDSFDGDRAAAHGEEIPAEFSMKILCSAAKIGGVIGKGGCNVRQLQQETGTNIHVDDPSVESDERALWNPRSRTIDAILFLQDKTSDHNEKGVITTRLLIPSSKVGCILGQGGQVINEMRRRTKADIRVYSKEEKPKCAGEDEELVQVSGSYGVAKDALAEIASRFRARALRDAKPGPDTAPGPGFGPRGNLPGEGPSPSGAIRRGRSGGYEPFKGGGWEYEPNYPAPPRDYDPRGFPAPPKDHEQRTFPAPPRDYEPHGYPAPPREYEPHGYPVPPRASGYPGAVDGKMPSGGQVSGMGPGVHNTSEVPGTRQRVQDPYAAAVVEAVSEMQQHLSAAAAAAVASQGVEGYQGYIGQNRPPAQAAYHDNYGTQQGPFSDINSSTHTSYHNTNTTTGTSTNPPYAPQPQPQQGHYPEGSYQYGQ >KVI00123 pep supercontig:CcrdV1:scaffold_7:572277:578291:1 gene:Ccrd_021611 transcript:KVI00123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MSARGRGSGDPTGAGGGRGRGRGRGRGRGGGQQPPVSSQNIGGRGNAGQSGPRVAVQQTPMVRDFENLAIGSPATTSEPVVATATAAGPVRQQPMQQLPVQTSPEAMVASQQQAAPAAPSQAVPLVPSSSKMIKAPARNGFGTVGRKCVIRANHFLVDIGQKDPHQYDVTIAPEVTSKSKCREIMKFLIDSYRASHLGNLLLAYDGKKSAFAAGALPFESKEFVVKIAENNGREREFKVTIKFAARKDVHHLRQFLSGRQPDCPQETIQALDVVLRESASQGREIVGRSLFSCEFGHGSLGDGIEFWKGFYQSLRPSQMGLSLNVDMSARAFYQPMLVSEFVSEFLNKDITRPLSDQERIKVKKALRGVRVEVRRQDYMRRYKVQGLSVQPTNQLTFPVDTTGETVSVVRYFYEKYGLRLNYPHLPAIQAGTDAKPTYLPMEICRLAGGQRYTLKLNENQVTKLLRNTCQRPREREENIMRIIQSNGYNKDKLVSEDFGMRVREQLTSIDARVLPPPNLRYYGSGPGSEVEPAVGQWNMINLDSLRTPFFYLMQKMINGGTVNYWAIVNFSRQRQEAVERFWKGIVTMCQNKGIVFNPQPLLPMVSAPAHSIEKALVDIQSGCSAKLAAEVAPGKQLQLLFVILPEAKGTYARIKRVCETELGIVSQCCKPQNVVKLSQQYFENVALKINVKVGGRNTVLSAALNNRLPYITERPTIIFGADVTHPSPGEDSSPSIAAVVASMDWPHVTKYKALVSAQPHRQEIIQDLYTTCSDSKRGVVHAGLIRELLISFKKATGHKPHRIIFYRDGVSEGQFNEVLLSEMDQIRRACVSLEEHYLPPVTFIVVQKRHHTRFFPARHGDRQSTDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENKFTADGLQLLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYMEGEFSDSGSHGQRATRERVAEVRPVPVIHENVKSVMFYC >KVI00127 pep supercontig:CcrdV1:scaffold_7:984012:987304:1 gene:Ccrd_021576 transcript:KVI00127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGVIRWCCRRRHLPSTLRPAVLGKPHTCLVHPFLLLFLRPLRNIIVLFAAFDNVLTRRKKHASPLWEVNKTHRNCTNYNHDTHNDALAKNINWVSPDPKFPPAPVIPEMMPSDRREMKGMMPNVAPHAACAPMEKRIIKVMAKGSEFTRPSKRQQTPPAVCRSHRFQSRPRIPHRLAMYECVYLAEFIFGNITLLLKSTEVTIGEILAKNQHQYPHDYVKPPSKGGDESGKERDPGEVEGSHVRSLKTLALCSESTGRSNLAVVSVGTMGDATEKANTGSRPVTPCMEVPGDPSISGIVETRHYRLEIRIYVHGSLATVPEQSSGQ >KVI00146 pep supercontig:CcrdV1:scaffold_7:375909:376940:-1 gene:Ccrd_021631 transcript:KVI00146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MMLLLCAILYRKKRLWKTRKAANHQIEMFIRSYGTLSPKRYKYSEIKKMTKSFQVKLGQGGYGSVYKGQLPEGDLVAVKLLGEATGDGEDFINEVASITRTSHVNIVTLVGFCIEGKKRILMYEFMPNGSLDKFRGDGCHLDWNTLFLIAKGIARGLEYLHQGCNTRIVHFDXKPHNILLDEEFVPKISDFGLAKLCKRKESIVSVTGARGTIGYMAPEVFFKSLGGASHKSDVYSYGMMVLEMTGARKNNNDHATSTSEAYFPDRIYKQIVATDNLGVNGVTSKEEEELARKMVTXSLWCIQSDPSDRPCISKVVEMLEGSSESLQVPPRRFWSSSPTRLSY >KVI00145 pep supercontig:CcrdV1:scaffold_7:377346:381453:-1 gene:Ccrd_021630 transcript:KVI00145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGSSESLQVPPRRFWSSSPTRLAQGGQFNLVPYPFGFSSGCQVQLNCTSNGTVFINEFPIQEITPDGLLVNLPTMCGRAVDTISHLYGEHYAPTSTNNILMENCKEKKMSNCAIPMRIVRTQLQITNCSGVRDGYDGNVSCYSGDSTRMFFDYENVTRMGCRFLFSGVVLSEEIGDNLVSVDIQVFKLGWWLKGTCDCSGDADCTKIVSPSDGSDGHRCTCKSGFVGDGYKAGSSCRKVEGMSSIRRSF >KVI00158 pep supercontig:CcrdV1:scaffold_7:394384:396319:-1 gene:Ccrd_021629 transcript:KVI00158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSGSFCNSRTCNPQGSSKNGSLIIILPVIGAILVAIGIVFAIFVCRKKKRRAIKEASPGQTETKAILTIDSSKTSTTDTQSNFTSSIPSYPSSKSSKDFAKSSYFGAHVFSYEELEVATDNFNGSRELGDGGFGTSIGFESNGSVRRMVTLVAELAFRCVQQEKDMRPTMKEVVETLRGIQNDDMNAQKPEVLDIVVDDGGVLMSPEFGVTNKLVDGTVPNSSDG >KVI00133 pep supercontig:CcrdV1:scaffold_7:908415:911889:1 gene:Ccrd_021581 transcript:KVI00133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, cysteine-rich domain-containing protein MELSLQTIIPVASLTTRREFKLKEYNAFPRSPYIPFLTKPKETLQIPYGLPETAASVAVAATAAPTRTCDACGGSGICPECKGEGFVLKRMSDGSAEKARLNAKNMATRY >KVI00131 pep supercontig:CcrdV1:scaffold_7:662907:666525:1 gene:Ccrd_021604 transcript:KVI00131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase MASLLFPSPPTTTTTNGRLLPCSLSLHHTKPIIVHSHPSPPPPSSRRRTLLPPLRVAAPPSPTTTNDSSIDLEIEESETTSSSKFSWRDHWYPVSLIEDLDPNLPTPFTLLNRDLVIWFDKINNQWVAFDDKCPHRLAPLSEGRIDENGHLQCSYHGWSFDGCGSCSRIPQASSEGPEARAVQSPRACATRFATLVSQGLLFVWPDENGLELAAATKPPMLPDDFENPQFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKMDSNGHWGFSGENEGNPKISAKFIAPCYYINKIEIDTKLPIVGDQKWVIWICSFNVPMAPGKTRSIVCSARNFFQFTMPGSSWWQVVPRWHEHWTSNKVYDGDMIVLQGQEKVFLSKSMEGSTDVNQQYTKLTFTPTQADRFMLDRYEQHTLKCSSCKKAHKTFETLQKVLIGAAVVFCAAAGVPPEIQIRVAFAGLAVLSGGLAYYCHELQKNFVFVDYVHAEIE >KVI00137 pep supercontig:CcrdV1:scaffold_7:825386:835108:-1 gene:Ccrd_021589 transcript:KVI00137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MASSLITTSLSLLSPSLRFATSKPYYYASPIPSILTKSPKQVFVNRRRLISSSMASDSKESSTMESKESPSKNPGLRSTPPSEETKGYFMQQTMYRIKDPEASLKFYSDILGMSLLKRLDFPEMKFSLYFLGYEDTSSAPKDPTERTAWTFGQKATIELTHNWGSEKDPKIMYHNGNSEPRGFGHIGITVDDVYKACERFENLGVRFVKKPNDGNFTSFDFTLSIRHCASLPINDQDTFANLIPQFLNSNLFVMKNVMKPGNGKRFTVISLVFIAFLFTFASINQIRFDHLSNFRRCAISPSLSNDSSLSMKSSDDEIRILLGILTLPDQYQRRHFLRLVYGTQSVVGARIDVKFVFCNLTKEDQKVLVALEIMQYDDIIILNCQENMNKGKTYTYFSSLPDMLIDNSEAANGGGRRQQYPPYHYVMKGDDDAYFRLNELAESLIPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLVSWDIVEWLRDSDIPKKHLEGPEDKVFGDWIREGRRGKNRHNAKWSMYNYPEPPTRCTHELWPDTVAVHLLKTQEKWIRTL >KVI00139 pep supercontig:CcrdV1:scaffold_7:316958:317581:-1 gene:Ccrd_021636 transcript:KVI00139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAKPSATTISDHPSSFLSQNPNFFQLTTDVFIMERGPRYKAYADLRESKLRLKSMANPPPLPPKDHRSANNSTPPDFQPKLPAHRRKGSSVLTQSVPDFSSALRKENRRPALPPVAEKSATPPAKSSSRLYEINARLMGSKSSVSGEKKGGGMLTARKSYANMDELKKFSLAAANAINGENGGGRITRNRGGGGRTTVLGCRQYY >KVI00172 pep supercontig:CcrdV1:scaffold_7:75013:82159:-1 gene:Ccrd_021652 transcript:KVI00172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MTDYEERYEGNGEDVETFGGGSSPQARANSHGGGGGGTDDFSDSKSQRGSRDYEKESSKSRSKDRDRGRDKDRDRERDRDKDKDREKSRDRDRERERDKDRDRHRDRYRERSERRERGRDRDDDDYHRSRDYDRRRDYDRDREDRHGRHRSRSHSRAKSEHRSRSRSRSRSKSSKRVSGFDMAPPASAILAGAAAVTAVWNGRTKMHGVILPHCAENGCEPTSVAFMYKEESLQWYDGSCQVPGTTPTIPGMFQNMFPLASGQFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGALRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGQTQPKPEQESVLLHAQQQIALQYEFDHRLTSSYLQRLMLQPGAIGTTPTKVLCLTQVVTEDELKVDDDYEDILEDMRLECEKFGSLVNVVIPRPNPNGEPAPGVGKVFLEYLDTEGSTKARAGLNGRKFGGNQVVASFYPENKFHQGEYDG >KVI00103 pep supercontig:CcrdV1:scaffold_7:652926:654578:1 gene:Ccrd_021606 transcript:KVI00103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLSETEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRTLNYQQNQENQAQAHQTLLAK >KVI00167 pep supercontig:CcrdV1:scaffold_7:411796:414191:-1 gene:Ccrd_021626 transcript:KVI00167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSLFSAAVLLLLFLPHSGNLTVSLTEPNFSPFPGCEGTFSCGNIHNLDYPFTGGDRPDHCGPPEFRLTCSKDDYPELVADSVAYRVLEANLTGKSLVLARSDLWNNPCPNRIFNSTLDSRIFYAGGEENVDLTIFFGCSLSLMATQQPYRFYCDVDGVDLTDSYFFIGKVPIDPILKMIHCFKGVRIPLLRTVGDDLNRSILTLAEALTRGFQVIYSDPYNEQCSECSRLNGQCGFNVATGQFVCICNSRICSPPGVRLLPTIGAILVGIGIGFGIFVRRQRRKRRTITQTESKAILTTISSKGLTSNTRSNFTSSIPSYPSSKTTKDFGKSSYFGAQVFSYEELEVATDNFDDSRELGDAS >KVI00110 pep supercontig:CcrdV1:scaffold_7:191899:201111:1 gene:Ccrd_021643 transcript:KVI00110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, ALG6/ALG8 MTKERRCRKLPSSNPATRHRQPPVKPEIQCERGSGDRRQLLPSPVWISYLLSTSEALLASLFICALLLLPEVKVAFTTHAFLTGSSKKIHYCIRVGDKEMEKSRVTKAVKGDVNDNSAWGWLIHKGISAPFICISLFAILVRVAVSLHPYSGAGDPPKYGDFEAQRHWMEITTNLPVNEWYHNSTTNDLSYWGLDYPPLTAYQSYIHGLVLRFFHPESVSLYTSRGHESYIGWYNCISLGLTLGAVSAILHDKDLVASFLFSLALNHKQMSAYFVPAFFSHLLGKCLKRQNPLFEVSKLGMVVVGTFALVWWPYLHSRVAILEVLSRLAPFERGIYEDYVANFWCTTSVLIKWKRLFTTQSLKLLSLTMTVSSCLPSMFLQIRAPIHEKSILLPLLPATILAVEEPFLFQWLTQFALLSMFPLLTRDKLVLPYIALYGIFISVYYAPNGKPDAPNTRSSSRLTSFLIAFVLLLSLALHIIYLTINPPKKYPFLFEAIIMLLCFSQFVLVAVYSNRKQWILSNQQSPIGIQKKQL >KVI00164 pep supercontig:CcrdV1:scaffold_7:417942:418757:-1 gene:Ccrd_021625 transcript:KVI00164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNSRYLIVKLLIFITIEECSSALDGFNGTCDDSFSCGTISGIGYPFRRHQDPTHCGYPGFELNCDERNLPTIDIMNLKYQILGIDLTAQILRVVREDMVNSICPQELVNTTINHELFDYTSSYMNISFLFGCPFSSNIVGFGSILCSTDEISSPVLVLPGIQGPGDCKTSVVTPVPVGFLDPTRLGQVLREGFEVRWKVGRTCSGCMRSGGRCLYNNDTGLTMCGCPGPTFLADNCSTTNKTEVGSSPSSGMQLFSTSFLLVGVGSWRG >KVI00121 pep supercontig:CcrdV1:scaffold_7:471274:474204:-1 gene:Ccrd_021617 transcript:KVI00121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDPPPTTAATTAVEPPHSHSHTHCLPPLPTPKIRLMCSYGGHIVPRPQTKSLCYAGGETRIVAVDRRTTASTISALTHHLSRTLYGNRPFTLKYQLPNEDLDSLISITTDEDLQNMLEEHDRIATSPTPSRIRLFLFPTRPESVGSLLLDPKSESWFSDALKSTMIINRGLSDGSGMGNGLMGLDCLEGNGESLMNSGDSGKCGGTGGDSGSVPESMVLETCSSFGSINSSVSASNLPPIGVNNEDGGSNLVERKVKVVAPESIIYNPSSTIQMQKTVQVPGYPMPHIPDQRQHPVQYIHTGSPHYIQYPTGPLPISSYYPMYMPYQQQPNQPYPIYVMPVGPTDQSYNISAPSDVNGSVTGSLRRPPLPTHIANSHVAYKEVTLSQPIPERAAPSNRVPSVQPVGPTATTTPTYENDYDNDLAYAQIYKSQPSAPAFPPPKYETVAKAGVVLSDPSTPLQIGTPQQ >KVI00106 pep supercontig:CcrdV1:scaffold_7:601871:604888:-1 gene:Ccrd_021609 transcript:KVI00106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MYAPKLKTMTPVVFQWLLLFVTTFFTTTILGAPHGLSPIDPFLRDSNVGFANVKPLKDVETFFYNQTLDHFNYNPQSYATFPQRYYINSKWWGGANKNAPIFAYLGAEGPLDRDIPIIGFLTDNAPRFKALLVYIEHRFYGESNPVGSMAESVKNKTIRGYFNSAQALADYAEYPHIALGALASSAPILYFDKLTPQDGYYSIVTKDFKKSWNEIDRVASMPNGLSILSKKFYTCSPLKNSDELKGYLDSMYAYAAQYNAPPTYPTTRICEGIDGASNATDILDRISDGVIAYQPFSPCHNTTRGVSQTSIGWGWQTCSEMVIPIGITSNVSMFPSQPYNLQEVIDNCKSVYGVTPRPHWATTYYGGYDIKLVLSKFGSNIIFSNGLRDPYSSGGVLENISDEVLAVYTTNGSHCLDILASSKTDPDWLIKQRNDEVKIIRRWLTKYYHDLRLLKQ >KVI00170 pep supercontig:CcrdV1:scaffold_7:933000:944700:-1 gene:Ccrd_021579 transcript:KVI00170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MPEIEGSPGTSMHGVTGREPVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTTFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMMSAPTVFCMSFVADANQETTMASTATIPENHEAISIPANVTPRLTFALPVDSENKATEMKIFSLAQPHMRAFHLSWLSFCTCVISTFAAAPLVPIIRDNLNLTRADISNAGIASVSGSILSRLVMGVLCDLVGPRYGCSVINILAAPVVFSVSFVADAGGYVAVRFMIGFSLATFVSCQYWTSVMFNGKIIGVVNGVSAGWGDLGGGVTQLLMPVLFHVLSQMLGTTPFTAWRIAFFIPGWFHFIVGVLVLVYGQDLPDGNFAQIRKDGQAAKDKFSKVFRNAITNYRTWVFFLIYGYSMGVQLCLNNVTKKLIFPLIFVTNKSLNLRDQVVDDFIFLICYRFGLKLHTAGVVAASFGVANFFTRPFGGYVSDLSGRKFGMRGRLWTLWITQTLGGVFCIWLGLANSLPIAILSMMLMAAGSQAACGATYGIIPFVSRRSLGILSGLTGAGGNVGGGLTQLLFFSGSRFSTAWGLTWMGVTAVVLTIPVAFIHFPQWGSMFFPASSNEKYNEEYYYSSEYSEEEREMGMHIGSMKFAENSRAERGKRLVVAVAETPPYTTPNYHV >KVI00143 pep supercontig:CcrdV1:scaffold_7:352397:362895:-1 gene:Ccrd_021632 transcript:KVI00143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKPRLHPTPTLFVSAVILAIVVRFPPICCQATSRYDACGESVECGNDQLGYPFWGSGRPAYCGHSGFQLTCQSGVFLLNYESVDYRVLRMVTSTQVITIARNDLYTDSCPRYLHNTTYNSTLFNDDNFGQENVSLYYGCNSNLTTVPLPSARYIFDCVVNNTQTESYFYRTSLIENNLVNFSQCNNHITVPVNQTWATQLGLPTATMNQLRSALTSGFNLRWTVYKDECDRCMRSEGRCGSNSTSMESFACYCASGEFSQTCNNSNEEGIARGLEYLHQGCNTRIVHFDIKPHNILLNEEFVPKISDFGLAKLCKRKESILSVMGARGTAGYMAPEVFFKSLGGASHKSDVYSYGMMVLEITGARRNSNASASEEYFPDWIYKQVEAGDNLGINRVTSEEEEELARKMVTVSLWCIQSDPSDRPSISKVVEMLEGTTTIRFSAILCRELQLFDVCGPPLHCGDLMLGYPFWGLGRPAYCGHPGFQLVTCQFDQYELNDYFILTYESVDYRVLATDTDRKIITIVRDDLSRSTDICPQYLHNTSYNSTLFDDSYVSNQNVSLYYGCKPSNLLDNRFTCHVNGTNNYGYFNRTSDIDYDRLEPECKSHIIVLMKSNDAEILLTNNATQHDLTRLLRAGFDLHWKANNDECDQCSWSGGWCGSNQTRPELFSCYCYTGNFSLTCNNTYLNEVAYIGAVQKLNMKWKLATGLCSIAGIMFLSFVILCWRKRSFPFELHASTPNGSLDKFLRDDDSRLDWNTLFLIAKGIARGLEYLHRGCNTRIVHFDIKPHNILLDADFVPKISDFGLAKLCKWKESIVSVMGARGTAGYMAPEVFLKSLGGASHKSDVYSYGMMVLEMTGARENNKACPTSRSEAYFPEWIHKKVEAGDTLGVYGDDEDELARKMVIVSLWCIQSGPSDRPSISKVVDMLEGNLESLQVPPSRFWPSPTRPAQNTSSLATQSSTDLRLSIVQSAGEEFSS >KVI00119 pep supercontig:CcrdV1:scaffold_7:505730:510641:-1 gene:Ccrd_021615 transcript:KVI00119 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MAPARKALGFYNEANDWFCNAGLPSDITIVIDNVNFHLHKVMFLHISCKPFPLSSRCGKIEKLIEETQNTDQGSCTITLENIPGGANAYLVAAKFCYGVRVELTPRNIVIVYCVAGYLEMFDEYGDDNLFGRAENYFHKTVLKNWKDCMVALQSCETVMTEADNLQIISKCLKAISMMACTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSSESDWWFEDISYLGVPLFERLIKTMEARGIRPEKLTGAIMYYSRKYLHGLGRWQGGQSTKARSIASFSMKPAIVDQRVLLESVVKLLPEKKGKSFCRFLLALLRVALILGVNGKCQNSLERKIGMQLELATLDGLLIPTYSDSDTLYNSDCVERIINHFLTSEQTVTTFSPPSIASEVSQSSGPLRKVSKLVDSYMAEVASDVNLKPEKIRSLAEALPESSRSLNDGLVVLQVLFFEQMQLRTALAGCVNVLDNENPSTGPMNIVLSGSTAMAGQIVQRDGWVTIVRENQVMRVSMERLRSRVGELEEEFNRMRQEMKRVSRTHNSLESPWFLSRTFGNCKLLPRSSNVQEDVVESTGPATPRGSTDQPRASHHSKHR >KVI00126 pep supercontig:CcrdV1:scaffold_7:984006:985869:-1 gene:Ccrd_021577 transcript:KVI00126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MPEIEGSPGTSMHGVTGREPVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTTFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMMSAPTVFCMSFVADASGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFIPGWLNVIMGILVLILGQDLPDGNLGALQKKGDVAKDKFGKILWYAATNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLKLHLAGIIAATFGMANLLARPFGGFTSDYMARRWGMRGRLWNLWLLQTAGGVCCLLLGLVNSLPLAITFMILFSIGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLIFFASASFSTAKGLSYMGIMIVICTIPVSFVHFPQWGSMFFPASQDVVKGSEEHYYVSEWTEEEKQKGMHQASVRFAENSRSERGGKVASAATPPNNTPNHV >KVI00113 pep supercontig:CcrdV1:scaffold_7:233453:234374:-1 gene:Ccrd_021640 transcript:KVI00113 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQNAVEAVLQGSPITQIASDTAETNNGPPLKAYDIRHIMKDENSGGSNELHRVRTRCRFKRSAAKGKASRVWIGSSEEESGVIEHNNDLSSHESALSHQSEAAHAVEGESREIVEESLASVETPPSKKHVETEAENIELELTLGYEPVDRSKGKEVTVSAAFDNSGTGVGISSVDLRLDYPA >KVI00135 pep supercontig:CcrdV1:scaffold_7:886808:891725:-1 gene:Ccrd_021583 transcript:KVI00135 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGALRQPISFEDSPDWEDTDVEVRVEEGGGDSINTATAPVSPSLSKLNSGSLPSPPLPEGAIVARKIAGATIVWKDLTVTIKGKRKYSDRVIKSSNGYALPGTMTVIMGPGKSGKSTLLRSLAGRLDDSAKIYGEVFVNGAKSNLQYGSYGFVERKDTLIGSLTVREFLYYSALLQLPGFFYQKKSVVEDAILAMSLGDYANKLIGGHCYMKGLPSGERRRVSIARELVMRPQKLASTGCTLIFTIYQSSTEVFGLYDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKTWQDDHGDFSSVNMDTAVAIRTLEETYRSSADAAAVETMILRLTEREGPSLKSKGKASSATRIAVLTWRSLLIMSREWKYYWLRLILCMLLALCVGTAFSGLGHSLSSVGVSCKSFKFLLTQLSALFLNYKILKLTRVAAIFVFPFLFLISISSSLVFYFLVGLRNDFSFMMYFVLNFFMCLLVNEGLVLVVATILQDMFWSISTLVAMMLSAGYFRIRSALPGPVWMYPGLLENEYDGTSFAVGQVRTISGYQALHNVYDVSADDDSKWRNLVILCVMAVGYR >KVI00176 pep supercontig:CcrdV1:scaffold_7:21570:22169:1 gene:Ccrd_021656 transcript:KVI00176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kunitz inhibitor ST1-like protein MKTPFFIFIVFSTLSLSIGQNPDPVLDLTGSTVRSALRYYVIPAIRGNGGGVKLAPTTINQTCPLDVVQENNELLNGLPLTFLPTNTNKDGTIFESTDLNIKFSDATTCGRPAVWRVEVSGGQRVVSSRGMVGNPGQETISNWFKIEKYEDGYKVTFCPSVCNTCRPACADIGSTIAKNGRRSLVLNNVPLKVVFKKAD >KVI00161 pep supercontig:CcrdV1:scaffold_7:451737:452021:-1 gene:Ccrd_021620 transcript:KVI00161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MNKSFSFIILCVMMIVLLSSTQKANAATCNPQDLLPCAGFLSSGAPPSKACCGKLKAQQPCFCGYVKNPSLKQYINSPNAKKVASACGVSIPKC >KVI00130 pep supercontig:CcrdV1:scaffold_7:1048687:1052952:-1 gene:Ccrd_021573 transcript:KVI00130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MASANAIYTASILSTHKKGSVINGSRRINQLQTVQKMRQTNRRFAVRAAAKDIEFDQKSRAAMQAGIDKLADVVGLTLGPRGRNVVLDEFGAPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVLGLIEELEKKARPVKGRDDIKAIASISAGNDDIIGSMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLLVEFENARVLITDQKIASIKEIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGAEYQASDLGLLVENASVEQLGIARKITITKDSTTIIADAASKDEIQSRIAQIKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTLVPAIKEKIVDADERLAQNAGVEGEVVVEKIKESEWEMGYNAMTDKYENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKAKPKTSVASAPQGMTV >KVI00162 pep supercontig:CcrdV1:scaffold_7:432218:437988:-1 gene:Ccrd_021623 transcript:KVI00162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MEKSPSYVGPRCFTVAVMVVMLLFTGHLQIIEAQQTRCNAVEVSWCLQAIVANMRPSRNCCQRLKGQENCLCRETHDPTFGGYLRLPGARRVANEFTVERETWVEVVRRRETGVEVRNRGVEEWSSTGEKETVTVVGDGGCRGNEDGEGGRFSSMCYSEKMIGVNSGSMETVGVTCVCCDCDQLGGCTVV >KVI00171 pep supercontig:CcrdV1:scaffold_7:961807:963670:-1 gene:Ccrd_021578 transcript:KVI00171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MPEIEGSPGTSMHGVTGREPVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTTFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMMSAPTVFCMSFVADASGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFIPGWLNVIMGILVLTLGQDLPDGNLGALQKKGDVAKDKFGKILWYAATNYRTWIFVLLYGYSMGVELTIDNVIAEYFYDRFDLKLHLAGIIAATFGMANLLARPFGGFTSDYMAKRWGMRGRLWNLWLLQTAGGVCCLLLGLVNSLPLAITFMILFSIGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLIFFASASFSTAKGLSYMGIMIVICTIPVSFVHFPQWGSMFFPASEDVVKGSEEHYYVSEWTEEEKQKGMHQASVRFAENSRSERGGKVASAATPPNNTPNHV >KVI00105 pep supercontig:CcrdV1:scaffold_7:691361:692543:1 gene:Ccrd_021601 transcript:KVI00105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MPTRPHFVERIAVGRYQDEVQHPGAIKAFVAEFISTLIFVFAGQGSGMAFTKLTDGAANTPAGLVAAALAHAFGLFVAVSIAANISGGHVNPAVTFGAFVGGNITLLRGIFYIIAQLLGSVVACLLLLFSTGGLVSVWNAFVFEIVMTFGLVYTVYATAVDPKKGDIGTIAPIAIGFIVGANILAGGAFTGASMNPAVAFGPAVVSWDWTNHWVYWAGPIIGGGFAGLIYELIFIGRSHEPLAGSEF >KVI00175 pep supercontig:CcrdV1:scaffold_7:39182:40794:1 gene:Ccrd_021655 transcript:KVI00175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVKAKFERICEKTQIKKRYMFLTEEFLKENPNLCEFKAPSLNVRQDLLVTAIPKLGKEAAIKAIDEWGLPTSNITHLIFCTTSGIDMPGADHQLVKLLGLSPMVKRLMMYQQGCSGGAMVLRLAKDLAENNKGSRVLVVCSESSAIMFRGPNPNHLDSLVGQSLFGDGAAAIIVGSDPEFSIEHPLFEIVSTTQTTLENTEMALKLHLREEGLTFHLQKDVPNMISENIEDVLRHAVSPLGISDWNXLFLVVHPGGRVILDLLELKLKLKKEKLRASRHVLSEYGNMTSACVXFILDEIRKKSMEEGKSTTGEGLDWGVLFGFGPGLTVETVVLRSLSSATPVALKN >KVI00163 pep supercontig:CcrdV1:scaffold_7:440331:441284:-1 gene:Ccrd_021622 transcript:KVI00163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MDMKQTRFTIGDSGVVEGCRDQRYIIVVMIKDNRGALVMEENISRCSDGGKVRWRGRDVDKIYDQMVPFCHDLGFAVSRGREAPMRILGAQQTRCNAVEVSWCLQAIVANMRPSRNCCQRLKGQENCLCRETHDPTFGGYLRLPGARRVANECGVNFPTCT >KVI00109 pep supercontig:CcrdV1:scaffold_7:107532:118038:-1 gene:Ccrd_021648 transcript:KVI00109 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MIHGLYLEIVGTRLATTRVDELKILSEEELVDIAFQASLKGGNLTGDRSLSSEDCSNGTRNDSRNLDVDLSCSIKSRRDEDYEPSNYFPKRSKTTSDDGKMNGDISNMRKRATQNDTTHEVNAWKKKLTDTKHVLSFSFLFNTLTLLQMLTWPNENYMAEVEKLARIKEKQEEDKSAARLHSFSGACGPVTCVTPSSEKKERMSSFNSTSYSTKVKPSSNRENIPLHSSEILLCIEVYHSRKAWVKTQEILVLGQQLLTELRDKIYCLTDEIMKLSNKNDPSGYFLIEDIFYNDLREADAIDYSKPILDWLKVSEKTALEKWECIISGELQQKQKELLGSGNGPKLPRLKALPMQATRFCDLSFRLGAGYLYCHQGDCKHVMVIRDMRLIHPQDVQNRAAYPLIMFQSKVRFQKCSSCKIYKAVKVTVDDKWAPENPCYFCGICYYMLHYSNNQLIYNNFKVFDYIHD >KVI00168 pep supercontig:CcrdV1:scaffold_7:14007:14993:1 gene:Ccrd_021657 transcript:KVI00168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone/stilbene synthase, C-terminal MFLTEEFLKENPNLCEFKAPSLNVRQDLLVTAIPKLGKEAAIKAIDEWGLPTSNITHLIFCTTSGIDMPGADHQLVKLLGLSPMVKRLMMYQQGCSGGAMVLRLAKDLAENNKGSRVLVVCSESSAIMFRGPNPNHLDSLVGQSLFGDGAAAIIVGSDPEFPIEHPLFEIVSTTQXTLENTEMAXKLHLREEGLTFHLQKDVPXMISENIEDVLRHAVSPMGISDWNSLFLVVHPGGRAILDLLELKLKLKKEKLRASRHVLSEYGNMTSACVXFILDEIRKKSMEEGKSTTGEGLDWGVLFGFGPGLTVETVVLRSLSSATPVALKN >KVI00169 pep supercontig:CcrdV1:scaffold_7:1062997:1063283:1 gene:Ccrd_021572 transcript:KVI00169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILWGIWNPINEISWNNNTRSTTQIVRGALELHGDWVKELQFVAQKPPLDFLKCNTDAATFENNSSIGLPLSFKTLTESL >KVI00180 pep supercontig:CcrdV1:scaffold_7:454125:466113:-1 gene:Ccrd_021619 transcript:KVI00180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDVEKSSLCNCVVNFLLEENYLLSAFELLHELLDDGRDDQAIRLKEFFADPVQFPPDQISRFNSLRVADPQSLLEEKEALAEKLAIRDYENRLAQEDILKLKTELQKKCEARTDEPSDANAKDGADVQQSRETTFSDLGPLKAKERQDLNCAVKEYLLLAGYRLTAMTFYEENSFASVPDALRHYYYQYLSSTTEAAEEKFSMLKKNESLLKENDKLKHEKQSLLRSRDLADAQAVALKKSLESLQRDIKEKENLVQDLKQSLEQRKKELNGCKAEISSLKMHMERARPTEDTASGGVEEGQAPSLESYKEEIESLQKEIERLNAIKSTDAEPVGSINSVEDITDRKDEVIKLCENDMVPLLSGSPSGNLESVGSENVTIQNIDSIADKHEEFSKSDDNYVTDVAENSPKENVVPVVTDNGSLPESTSPVVEHFSEKGPGTIQILSDALPKIVPYVLINHREELLPLMMCAIERHPDSHTRDSLTHTLFNLIKRPDEKQRRIIMDACVTLAKNVGEMRTETELLPQCWEQISHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLVEDSAPVVREAAAHNLALLLPLFPNMDKYFKVEEVMFQLVSDPSGVVVETTIKELVPALLKWGKKLDHLLQVLLSHILTSIERCPPLSGVEGSVESHFRILGERERWTVDVLMRVLLELLPIVHQISIETCPYPSVSDSEGSSFSMPLLEKYAEGNVDWPIFEWLRDDCFSSLIQIVSLLPTKEDGLRNRISSFLLAVSGRFGDDYLTHVMLPIFLVAVGDSAGLRPKTTVAERIATLCVLPILLAGVLGAPSKQELLTEYLRNLLVQSAAQEGQSAMHSADIYNAVRFLCMFEEHQNMVFRILWEMVVSSDMDLKINAAKLLKVIVPFIDAKVASTHVLPALVTLGSETNLHVLYASIDAFGTVAQNFKNDVIVDKIRVQMDAFLEDGSHEAMIAVIRALVIFHLSTTPLPTSNMVHRRERSNAFCEAIRALDATDISAASVRDLLMPTIQNLLKDTDALDPAHKEALEIIVKERSGGAFDTFSKVMGSQFGLPSSMTSFFSEGGLLGKKDSIEIPPPPPPDLGIRPKLTITPPSPAEDTRFRRIMRGGFTDMLRGKNKSSEELSPTL >KVI00166 pep supercontig:CcrdV1:scaffold_7:402898:411212:-1 gene:Ccrd_021627 transcript:KVI00166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINKIQNHMLNELVDKSIGFETDDFVRRTATLVAELAFRCLQQEGDMRPTMKEVVEILRGIENDEWNIQKPEVLDIVVDDGGLFKDQNTEPPSPDYGVTNRLVDDSITNSSDASSSRSAGFYGTCNGSFSCGNLSGFRYPFRRHQDPAYCGYPGFELNCDGKNPPTIEIMKIMYRVLGVDPTAQILTVVREDMVNSICPQDLVNTTIDHELFDYTSSYMNISFLFGCPFSFDLVGFGSIFCSVEEVSPVFLMPGIQAPGICKTSVIIPVPVGFTNPSGLSQVLQKGFEVRWKVGPKPCNDCTQSGGQCIYDSATSLTSCACIETPILVESCSTVNKTGAEPMMHETRVVAMSSFVEQWKLEYLFWGVNSLNYCGKLGYKVDCVNQSIPVIEIKSLKHRVFEVNYHTQTITVARLDYWREICPSKLVNTTIDFEFFNYTFGIET >KVI00140 pep supercontig:CcrdV1:scaffold_7:312751:313434:1 gene:Ccrd_021637 transcript:KVI00140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLYSDNSGGTTTSPRLSFSYDLSQSDTVPVEQILRSFSSSSVDFNFCVQENSDLHQASMADELFSDGKILPTQIKSTTDRDKRAVPTPPRAENQKPLSMPVPPPEDEELKQQNSKSFWGFKRSSSCGSGYARSLCPITLLSRSHSTGSSTSSKRSSSSKEGLNQKQHRNFQKPSVSQKPPLRKSGYGYGINATTGTNNGIRVNPVLNLGFGSFFSTNNKNKNKK >KVI00157 pep supercontig:CcrdV1:scaffold_7:811506:822606:1 gene:Ccrd_021590 transcript:KVI00157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic porin/Tom40 MGNGPAPFSDIGKRAKDLLTKDYNYDHKFVLSVPGSTPMGLTATGLKKGQIFLGDISAQYKSGRTIVDVKVDTYSNVSTKVTVHEVMPCMKAVLSFDVPDHKSGKLDIQYLHPRVAIDSSIGLNPSPILNLAAAVGGKEILLGGEVGFDTASASFTKYTAGISFNKPDLSAALILMDKGQTLKASYVHSVDASNNTQVVAEMTHGLSTLENSFTIGSAHVLDRYNTVRTRFSDNGKVAMVCQREWRPKSLITFSAEYDTKKTEVAPKWGLALALKP >KVI00149 pep supercontig:CcrdV1:scaffold_7:698114:699479:-1 gene:Ccrd_021600 transcript:KVI00149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MFQGDFMPETEFDNFISTIRTETADPIEKFCFDYECNHFTNCCTDLQLPPTSYGLNQSVIAGAGACTEFGGYDAMDPNVNLIWNQEGDQDLKGSDVYGDDHSSETATTGNPDTPGRSGGGTKTDRSRTLISERKRRSGMKEKLYALRSLVPNITKMDKASIVGDAARYIQELQTQARNLKTDIATIEAAKDQKSSSQNSKKIQKSRSFPLLKKISKMDMFQVEEKGYYVRLVCNTGQGVAVSLHKALESITSFQVQSSNLATVGDSFVLTFTLNVTVCEFDINLPNLKLWLAGAFLNQGFEFTTFPSA >KVI00181 pep supercontig:CcrdV1:scaffold_7:729782:733124:1 gene:Ccrd_021599 transcript:KVI00181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-type fold MESQVSVPHVQVANEDEPSVEVDGGNFGCTHYRRRCKIKAPCCDEVFDCRHCHNEIKNSLEIDPIHRHDVPRHDVKKVVFVSYVLYVTLNKMFNKIVSAVGYVWGITSVKHANSTTMMFRRISTIVINVAYVEQVARRISFIATNVVNNKMKNLYIDVATRKRSKIHTCVWKGQCTMIVLFVLRYSCPICSKSICDLSDMWRKLDNEVEATPMPETYKNKMVWILCNDCEKISRVKFHIVGQKCTQCKSYNTRQIRGGPSSSVSCTSSVSDEDVN >KVI00115 pep supercontig:CcrdV1:scaffold_7:133488:138004:1 gene:Ccrd_021646 transcript:KVI00115 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase biogenesis protein Cmc1-like protein MGFVEEARENHVKKKVEEALRSKMKAKALKECDYYTAKYAECASGRTFSVVWKCRKQAQELNTCLHQYTNDSQLEEMKKEYTLQQDGKGPLGV >KVI00159 pep supercontig:CcrdV1:scaffold_7:398036:398857:-1 gene:Ccrd_021628 transcript:KVI00159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRLHPTPTLFVSAVILAIVVRFPAIRCQGTSRYDACGESIECGNDRLEYPFWGSGRPAYCGHSGFQLTCQSSVFILNYESVDYRVLRMXTSTQVITIARNDLYTDSCPRYLYNTTYNSTLFNDDNFDQENVSLYYGCNSTLTTVTLPFAGYIFECNVNDTQTDSYFYRTSLVNSNLINSFQLVSCNNHITVPVNQTSATQLGLPTATTSQLRSALTSGFNLRWTVYKDECDRCMRSEGRCGSNSTSGESFACYCASGEFSRTCNNSNEEGK >KVI00111 pep supercontig:CcrdV1:scaffold_7:203311:208066:1 gene:Ccrd_021642 transcript:KVI00111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37, mitochondrial CFKLENLISTAPLHIVSSIIVLSSSSLPQPLHILLHIASSTSAFSTGLHRLLCNRTFAVGGKSKKGKGGGAADAPKQSSLSKEVKSTTVVGANILKEGTDPKILADSEYPEWLFHLLDKKPALSELRRKNIETLPYEDLKRFVKLDNRSRIKENNSTRAKN >KVI00179 pep supercontig:CcrdV1:scaffold_7:467740:470589:1 gene:Ccrd_021618 transcript:KVI00179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant AIDTNNTHQSSTILLKQTKNPSTIFRNSHHCKLQFQLILSIETFQALPTSKRERVVDSTKSRAESELSDARKTVRDLALKIEEANSRAKIMQQITTKQHDSCSQQKVSSMNKEDRQYAQVMKEIEHIKHELGKLRIDMTHILKKKKNAENAFIATNSKNSTLSSAAERIKKEIEELDEEHVLVELARIEAVKECAAIEAQRKEEANRYKTELDEIKKKVEEIIQQNEMTKELQLTLYNVNSLQHELVKVKETDKTPIQLLAITEELESAKAELANIKREGFDFMASMDVIRKEIRSIREETARLEKEKEKRDLTVQTLNSKILKGKAKLESITATTEKANAIGSNLSLTVEHLRAESETAKKENELINEEIKNLKLEIPKTECEIELSEERLEAAMEELKTVKSSEFKALENLKNLIDSTVRARESATLNSSTITITNFEYGYLTGKAGGAEEIADKKVAAAQAWVEALKANEKEILMKIQMAKREIRELDIEVEEERGEAYGTDLSVSRRRSVDSESNRWAQNGAKVLASPRRSVYKIGNMTPGKRGRSQKLLSPATRQAIKSASFTKKREKVTRNLAKFVGDENAEMDE >KVI00102 pep supercontig:CcrdV1:scaffold_7:629264:635553:-1 gene:Ccrd_021607 transcript:KVI00102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGLHKASLQDSYIGENHSPASRRCGNKERSTTHTSSIRKMWRDLESEGRVGENGRKQMSGMQTGNESRCSSSLGGESMESEDTSRDANEIENECPQNQNQNQNQNQVVLHNRQEDNNRLSLQQSPFDVPEKERVRKIFHEWGSKSFGGHTSYNSHINNCSRSQWLGENECKRVRVVRDQIELSTQQGDGCRTGLEEPATEIGSHIRRVQDGSVDCGVGARRPIRRIYGRQALLDLLTKFERERETELESLLENRFVSNFAHRHRIQSSLKGRFLRNQRFVEGEKQTSVAANELGLLRQTQAVSDIRYILFLSFLGFCLHIYLRKGFLSRLNNHDHAPDGAPSDSDTSSDNDMIEQADEIVQEIPDEIGEEFETTNLASGWEACNPQEFATSVGERHENILQYEESEELPLTETRSQDHDGSVETNQNIYHSELSRGTLGTECIAHGPLPEVDEVMLGQSNVEELEIHEAPVCLHPLQTSTDSFNWQEVSTQAEEWKESSTEDDDEGGWHHLTRIDSDVNLNDNEDGWYQETVGSDFQELHEEWYDNTLEDSTESWFVGNSYQEAAPVGGSYAFYLSDDDNGSRFELGELTSRRRVSNLLQSDFGARLNQLLQSYVDRQDQAFDSENEWSLGREQQNQEQQSLDENPGGIEAHLSASVIPQTESNNDLQGAADRQFPDINQHFGTHEPCLLYSYSHAFPKGRTLLMVLLLQGRDIINGLRIDMDMLQQRMNDMQRMLEACMGMQLELQRSMQQEVYSALNRSSNSGGTREDGIQNFDGESSHGKRGVCFVCCNDGFDSPPNK >KVI00101 pep supercontig:CcrdV1:scaffold_7:876853:882017:-1 gene:Ccrd_021584 transcript:KVI00101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MATAMASSLLNGERAVVLLFVSSIEYSTPISLIYESMSFCLLAVFALFVEISVESYGSDFPFKTRPGASSGILLGAVTLPGLAVSKLIQLSRALSEHEVGVEEVEFTRLQYWATSTGCLCVLVFLCFICCHESNKTKSVSFHSHWHTKFNISCIALFAAMCYLSFAAKSSSGWYLALTLLWIVCHGLAAVKFIQHVLHTFPACASFGEALLVTAGFVVYFGDMFAFTLAKISKHLISNGLNSIAYGVERSEISTIIQGMLLGLLLSPMLFKSILRKWEFYMSSTNSEVRGHRERGQSIIFYGSLTFILLVIVPLWMQVFKFVVSEPHKRLSLCVYWVAVISVSVLRFYKISKNSKIERILLRKYYHLVAVLMFVPALVFQPLFLNLAFGAALGVFLLLEIIRVWRIWPLGPLVHQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWMSSGFNDRPLAPFAGILSLGIGDTMASMVGYKYGVLRWSKTGSTAAGITSVLAACSALLPLLAATGHMFTQHWLSLLSAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >KVI00122 pep supercontig:CcrdV1:scaffold_7:579783:583636:-1 gene:Ccrd_021610 transcript:KVI00122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MRTSFLAGNPVNLTISATVAVAIVLLQAFVLCGFPTTLTLERAFPTNHLLELNQLRYRDSLRHHRILQQQSSVASVVDFPVQGTYDPYRVGLYFTRVQLGSPPKEYYVQIDTGSDVLWVSCNSCNGCPRSSGLQIPIQFYDPSSSSTASLISCSDQRCSLGTQSSDSGCSGSNNQCSYTFQYGDGSGTSGYYVSDLIHLDTIVGDSTSSNASASIVFGCSTSQTGDLTKSDRAVDGIFGFGQQGLSVIAQLSSQGIAPDAFSHCLVGNGGGGGILVLGQIVEPNMVYTPLIPSQPHYNLNLLSISVNGQTLSIDPAMFATSGSRGGTIIDSGTTLAYLAEEAYDPFVNANLELLHTRIFAFLQITQSVSQSVQPLISKGNQCYLITASTPEIFPTVSLNFAGGASMILRPQDYLLQQNSVGGAAVWCIGFQKIRGQGITILGDLVLKDRIVVYDLGGQRIGWANYDCTSSVNVSTTSSGGRSEYVNAGQIGGSRSLRNTRYELISIVVVALVLQLSVIACFS >KVI00165 pep supercontig:CcrdV1:scaffold_7:422975:425264:-1 gene:Ccrd_021624 transcript:KVI00165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTDFATILPRVLIVSRRTLRKNKFVDFVGEYHLDLVVGYGAVPVIVPRVTGVHMLLNSFEPIHGVLLCEGEDIDPSLYEAETTFLSSEELDEIRRLHASDTAIDKEKDTIELSLAKLCLERNIPFLGICRGSQILNVACGGSLYRDIGKELTKFCPQENKVVHIDYDNYDGHRHVVKIVENTPLHHWFKYSLEEEKMEIMVNSYHHQGVKSLAHRFKPMAFAPDGLIEGFYDPDSYNPEDGKFIMGLQFHPERMRSLYSNEFDYLGCPAAYKEFVKAVVAYQKKLNGTNKVPNSLKLDKELEERRKVIVHSFSVARNIYAAGDSFHQSKESDLKPGLEFLEERLKVNEEREKLARVVMGKMTVEQLSDLALFYHMMENICSEVLEKKLQNAVPTDLTHSDAF >KVI00177 pep supercontig:CcrdV1:scaffold_7:555111:559907:1 gene:Ccrd_021612 transcript:KVI00177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHDHVLALIFQIDEDVAAVNSDGGTPSRNTSILDNEDYIPSSGIISLIVGDPRALTIEGLPEVSSELISNCTDLWDANYVCVSLSLTRQLFVNRCSSNXKVELQVPQSSMATYFVKQDSGKWEFQVPEDPAAMESNRWPIGFVTTGFIRGSMKPSAGALCDAVLLAHLRHEQFSSVPLNRRKKEIYVLTRNLRSSAYRLALATIVLEHQHEDIDHI >KVI00154 pep supercontig:CcrdV1:scaffold_7:785161:788322:1 gene:Ccrd_021593 transcript:KVI00154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MEKVRNMFMPKPNPQQLLRDWQRRLRQECRNIERQIRDIQREEKNVQKAIREAAKRNDMGSARALAKEVVRSRKTVNRLYENKAQLNSISMHLGESVAIARTVGYLSKSAEVMKIVNNLMKAPEMAVAMQEFNKEMIKAGIIEEIVDEAIDSALDSDDIEEEIEEEVDKVLTAIAGETAAQLPEATRKERLKQAAADAEEEGVNDEELEELRAGLAKVRS >KVI00128 pep supercontig:CcrdV1:scaffold_7:1070302:1074697:-1 gene:Ccrd_021571 transcript:KVI00128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTFADHLQSDEASSSMQSNKRGSTDYKKVRKEMSCDR >KVI00117 pep supercontig:CcrdV1:scaffold_7:161569:191897:1 gene:Ccrd_021644 transcript:KVI00117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAKNYVRENVPLSRFGVLVAQLESIVASASHKLPDPLLCFDLLSDLISSIHDEPKESVLLWQRKCEDALFSLLVLGARRPVRHLASAAMGKIIYKGDSISIYSRVSSLQGFLSEGKKNAPLRIAGLPIYFKFNPDIVSWEFLFVAMNTGAAQCLGELYRLFGRKITSGLLETTSIVTKLMKFSEDFVRQEALQMLRNALEGSGGNGSSPAYAEAFRIIMRLGVGDKSFIVRKAAARCLKAFANIGGPGLGVGELDNSSSHCVKALEDPVSLVRDAFAEALGALLALGMNPQAQVQPKGKGQFNAKKLEGGLQKHLILPFTKASGPRSKDLRIGLTLSWVFFLQALRLRYMQPDTELQNFLVQIMDMLRADSSVDAQSLACVFYILRVGVIDQMTEPTQRVFLVNLGKQIQSPDASPSMQIAALRTLSYALKTLGEVPVEFKEVLDDTAVAALSNSSPLVRAESALTLRALAEIDPTCVGGLVNYGITTLKALRENVSFEKGDNLKIELDSLSGQATVLAALASVSPKLPLGYPARGSLTLAYKGCLERDKLLELKETLRRSLYGFMLDKLPRTMLDVARKMLTEASRNPVVATVEKEAGWLLLSSLLSSMPKEEMEDQVFDILSLWADLFSRGQEYQVDSTEDLSSRISVWAAAVDALNAFIKCFVSHDAVNKGILLQPVLLYLNRALSYISSLATKAVDAKPSMDIFISRTLMAYQSLSDPRAYKSDHPEIIQICTSPFRDASKYEESSYLRILLDSRDAWLGPWIPGRDWFEDELRAFQGGKDGVLPCVWENELPSFPQPETISKMLVNQMLLCFGVMFATQDSSGMLTLLSTLEQCLKVGKKQALHATRVTNICVGLLSGLKALLTLRSQPLEMEILNAAQGIFQSILAEGGICESQRRASSEGLGLLARLGNDMFTARLTRSLLNDVTGQIDPHYAGSIALALGCIHRSAGGMALSSLVPSTVNSISSLAKSSIASLQVWSLHGLLLTIEAAGLSYVSQVQATLGLAMDILLSEENGWVMLQQGVGRLINAIVAVLGPELHPGSIFFSRCKSVIAEISSQQETATLLESVRFTQQLVLFAPQAVTVHSHVKVLLSTLPSRQPTLRHLAVSTLRHLIEKDPDSIIDEQIEENLFHMLDEETDTDGEPDWLYMIIEKTLAWAIMETLAAAYQITSVFLNLIFCYLLMCPSVITLYFLMPSSRVSVSSRVATWVTYRAAAVDLRIKSYLNFFLLQPKIQTHAIRTVLFVLATSSGNTRTNKESDDDPISGPDGDTSYGEDDENMVSSSQGSPGVNSARDKHLRYRTRVFAAECLSHVPDAVGQNTAHFDLSLARSQPAKQLVSGDWLVLQVQELISLAYQISTIQFENMRPIGVGLLSTILDKFGKVPDPELPGHLLLEQNQAQLVSAVRTALDTSSGPILLEAGLQLASKILTSGMISGDQLAVKRIFALISQPLEDFKDLYYPSFAEWVSCKIKIRLLTAHASLKCYTYAFLRRQHSIPEEFLALLPLFSKNSNTLGKYWLSLLKDYSYVCFRTRPHINWKPFLEGIQSPLVSKKLKQCLEESWPFILQAVSLDAVPVDGDGDVNESPRTAENTSKSAFFSGYDMVELKQQDYQFLWSFSLLVLFQGQYATPDKTIIPLDYVTSNFGSDSAVADRQSIAMKFYEIILPVFGFLSAEKFFATGFLTIDLCRELLQVFSYYIFMEDILDSHAISVLSQLHTCTGVKVSSMVLLLQIVHNCPKEFLETEDFAYQAAELCLSFIFKFLQSADVTPSSHSNWEDKISESLTAATNLLMRLEPKKQLQLALAFLIIGYKCIGEASNEVCLSKPVDHVQSQVIMLKKHVNEKSTVDEDALCYLRTISGACLNVNVNLTNDCIKSIHLLEDKMTNSSKLPQKKLAFSLEQIITFAKLTYEIELISENEESKPIFYGMLCHCRNSFHEVLNDRYIQVQSIGLQTLTGAIRDSNAESNTFLVFFIGELLNDIFGIIKLALKKPVKREAVTVAGDCLKILMLLHTTSKAAESQRSLMSLLLEAIVMDVKELRTASIRLVSQLAQSQTSAVYFKDALLSMPFTRRQKLQDIIRASVTQGQISIPTKSSMPPLVIKMPSQTEETRPQISPPSAPTNDDDRSNDEQEEEEDEDEDEEDDWDNFQSFPAASSTNEPKSTEDLSSEDKENTISIIKSKSENEEGEEETTFTEAGKLQMYDFQDNVGENEGEERKEIPAESIENHQGDDSDRSTGDDKLEHGDQEETDGGMLSQRSKEQTDTDLESLKLSSASQSGNEEKCLTHSNASEKDGREQDENLSGDPRLDKAEDRTSI >KVI00114 pep supercontig:CcrdV1:scaffold_7:120081:125747:-1 gene:Ccrd_021647 transcript:KVI00114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTLLRCSYSRYCWRMGCFRTSERKQSRGRIKRIVVCIVDQDSLAHRTLSAATTFEINRGWGRVLLMLGYNSSRSSKASNELDGDVHTLTQLNQKMNNHTKSLPIQSNKELKKDGED >KVI00138 pep supercontig:CcrdV1:scaffold_7:269065:270464:1 gene:Ccrd_021639 transcript:KVI00138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-1,5-bisphosphate carboxylase small subunit, N-terminal MASISSSAVATVNRNASAQASLVAPFTGLKSNVAFPVTKKANDFSSLPSNGGRVQCMKVWPPLGLKKYETLSYLPPLSEASLAKEVDYLLRNKWVPCLEFELEHGFVYREHHSSPGYYDGRYWTMWKLPMFGCTDSAQVLKELEEVKKEYPNAFVRIIGFDNVRQVQCVSFIAAKPPGY >KVI00142 pep supercontig:CcrdV1:scaffold_7:319663:323950:-1 gene:Ccrd_021635 transcript:KVI00142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase MEEPRGLSRNSSKRFQASEFVCCISYTRLNSTDSGEPEIEASSRRQKLVCFIVDLSMLYTVSISKPCIAYPKSTSQSQNPSNLKRIGLRVSATLREPNGVKVEYTPWLIVGLGNPGNKYHGTRHNVGFEMVDRISQEERIVMNTIQSKALIGIGSIGEVPVLLAKPQGYMNFSGESVGPLAAYYQVPLRHVLLLYDEMSLPNGVLRLQPKGGHGYHNGVKSVIEHLDGCREFPRFCIGIGNPPGTMDMKAFLLQKFSDVERKEIDAALEQGVDAVRSLVLNGFDDRITRFNLGQKYKYHKV >KVI00182 pep supercontig:CcrdV1:scaffold_7:735143:744310:1 gene:Ccrd_021598 transcript:KVI00182 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase, ATP-dependent MSSSRPSAFDALMSNARAAAGKKKTQSSSPSPKKRKTLDSKSPINSTSISDAKPPEVSPKPEKTLDVKQIKEESNLSNGSKQNEPNPNLASSEEEKASKKPRVINVDESIAQLKKAAANFDPQKAAFWGEGERVPFLFLVRVFDAISKESGRIVITGIVCNMLRTVIKTTPDDLLPVVYLLANRIAPAHEGLELGIGDASIIKALAEACGAKEAHIKKQYKELGDLGLVAKASRSSQPLMRKPQPLTVTKVFDTFRESGKDSQDKKKNHIKGLLVAATDCEPQYMIRLLQTKLRIGLAEQTLLTALGHAIFYTEKHPSPPVHTDSPLEEAAKIVKQVYSVIPVYDKIVPALLSDGVWNLPKTCCFSPGVPVGPMLAKPTKGVSEILDKFQDMEFTCEYKYDGERAQIHYMEDGTVQIYSRNAERNTEKFPDVVVAASRVKKPSVTSFVLDCELVAYDHKKQKILPFQILSTRARKNVVMSEIKVDVCIYAFDLLYLNGQQLLQEQLSTRRERLYESFREEPGFFQLATAITSNDLEEIQTFLDAAVNASCEGLIIKTLNRDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRVGVYGAFLLACYDSNNDEYQTICKIGTGFSEAMLEERSTSLRSKVIPKPKSYYRYADTINPDVWFEPTEGISLRFPRLLRVREDKGPEEASSSDMEG >KVI00136 pep supercontig:CcrdV1:scaffold_7:857251:858592:-1 gene:Ccrd_021588 transcript:KVI00136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNQNNNNENEDENQNENENGDEGCGGGKKQKATATGVTVVVFKMDLHCEGCAGRVVKAIRALDGVESVRIGDSELSKITVIGNLDPVKLRQKVEEKTNKKVELISPTAKKNNDGDNTIQSGGGGDNKKQQRQPPSLEKPAKTAVKKDEKKPKEIPVTTAVLKVPLHCQGCVRRIHKLVTKTKGYMEMSIDKNKDLVMVKGAIDMKLLVEALQHKLRRAVEIVPQKKDGGAGDDGGEKKGKGGGGEKKGKDNDGEGKGGEKKGKGGGGGGEGNDGGGKTTEVLKMENHGAPTVFPYPQYAYGSGYADYVHAPQLFSDENPHACSLM >KVI00098 pep supercontig:CcrdV1:scaffold_7:88310:93153:1 gene:Ccrd_021651 transcript:KVI00098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MKCPYCAAAQGRCATTSAGRFITECISCGRVVEERQTQSHHLFHIRAQDSPLCLVTSDLPTLPHSITDQSSTTAVPVSEEEEEDPFEPTGFITAFSTWSLEPYPVFAQSSLSFAGHLAELERVLETTSSSSASGPSVVVDNLRAYLQIIDVASLLGLDCDISDHAFQLFRDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANLPQKEIGKYIKILGEALQLSQPLNSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLASQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAIPPEKAFPTATIASGRSSSTSRINDGIEAEIKPVESTSDHPWKFPSQSSQEMDVDCGVIISEELEKKRSSGGGNTRFWPPVVSSFATPGSSQANARSMQKPEVVSSIGDLNRHGNDNRS >KVI00155 pep supercontig:CcrdV1:scaffold_7:795043:800082:1 gene:Ccrd_021592 transcript:KVI00155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKLITLRWLNYLRPNIKHGEFSEDEDRIICSLYANIGSSYFNPNSSSFNCNYNPNFLNVSNTINSHLPATATTLIHDHINVTDLFSPLPPLSNPNLTGVISNNNYYSGFQDDHCQSMINNRPVAEYYYYYPDQVKERMLMFGGGDQVSTDCSSSEGGGGGCMSQIKDHHRMIKQEEQFGVQGFEDQMHSLMTDEHKGYFKNHVSPLHNNLEEVKQLNNSSSSIISRYMQMDDDENKTTHIISDGCYVMIDGGTTHE >KVI00120 pep supercontig:CcrdV1:scaffold_7:493890:503740:1 gene:Ccrd_021616 transcript:KVI00120 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein MEPEVVKTERRGRKRKRKDGQGADKTAKKTAVETRSKVFVGRYVKKEFDGNGVFLGKIVSYDTGLYRVDYEDGDCEDLESGEVKAFIIGDKDMDDDFHRRKKALDEYILKKYAKDEVVRLDGAANKVEAVRSDGGRVGNNIELANAFKVETVRSDGGGVGNGVELANADKVESSIRGGAFELSGAQPEVDSESSSDVSDYEQSGDPVEVERPPVPPPPQLPPSSGNFGVSEEHVSYLLSVYSFLRSFSVCLFLSPFGLDEFVGALNCSTPNALLDAIHVALLRALKSHVEILSSEGSELASKCLRSMDWSLLDSLTWPVFILQYLMTMRYAEGPEWKAFYVSVLEKDYYTLSAGRKLTILQLLCDDALCSAELRAEIDMREGIEVGPDPDGVLVFADESIPRRVQPSSSNREAVKDIAESHMKTLPSTANSFAAKATGLYTGIDVDEDGNVDECRLCGMDGTLLCCDGCPSAYHTRCIGVNKMCIPEGAWYCPECAANRTGPNVTRVTTLRGAEFFGIDPYEQVFLGSCDHLLVMKASKSSELHIRYYSPVDIQKVLHTLTCSAEYRTLYLGICNSIMRYWEIPEHISSLADSFGTDKFLPNKMVDGEYSIPSTLLAKESSNVQDVIDTGNGNGVAEYNSKDVIAAWREENCKEPGFGKATLDEQVVEGSTQLGNYTNSVGANTETLWSTCSASLQPFSSELNQPCSSGKLSMKNSATCISENGNCNTRSDMNSVCDVSNMSSHSNGTNLLSGGRGHGISADGCLYMGSSFKPQVYVNVYIHGDFAASAAASLAILSSEEKFPSQPQPSSSHRKFMSANYSLQTKAFSLAAKRFYWPNYEKKLVEVPRERCGWCLSCKASVSSRKGCLLNAAASNAIKAAAKILASLRQSKTMEGSLASIATYVMYMEESLRGLTVGPFLNASYRNQWHKQVEEAATLGEIKASLLEFERNIRDIAFSADWIRLVDDMGFENQVAQNAKNAAASTQRRGPGRRGRKPAIILEVTDADGKDLSTNFAWWRGGMLSKHIFQKGILPQRMIKNAARHGGCGKINGVQYVDGVEVPKRSRQFLWRAAVRYLDLHVRWNELVRPEQPLQDGKGPETEASAFRNACIVDKKVLGNKISYGVVFENQKHLPSRVLKNILEEEKTGDGKDKYWFMETRIPLYLIKEYEKEVVEVVLTTADKHASTLSNLHKKQLKASGKNIFSFLSQKNNNMGKCLCTLCQLDVPFRYAARCSACQGYCHAQCAVRANIHMGEHVEFIITCKRCYSEKVVTPQNEIVGDSPTSPLLMQGPESQHPATVIKGGKQNGYGRPLEYLNQSSEKNSTTSNRKTNRSGKQNGKRRLSESVDQSSEKKNGLRPPLESSEKPNGIRPPLESSENKLTTNDSNVGKKKSKTKLSWGLIWKTKDPEETGIDFRLKNLLLKGNPNGNLLAPVCHLCTKPYNSDLMYIRCTNCDRWYHAEAVELKESKIMELLGFKCCRCRRIRIPLCPYMDPETRRKLEAKKKPQFKKKKPKNSEPVSNCETNSEQVNELEPDANSAFDTEEAINVEEDDPLYSSPTIEPVNDRHFEVSSPGPGPGSVPKKLQVRRQIKSEKESDGCSANFEPPTGNNPNPGVESSSPVVEWDVSTNGFEDDMMFDYEDLNYEDMEFEPQTYFSFNELLASDSNDPPADTTGDSVAAEEDCNHPEQYQMGITYDEQEPMFSVDSACNVCSLTEPCPDLYCETCGLWIHQHCSPWDDEPPSLETDGAWKCGHCREWR >KVI00108 pep supercontig:CcrdV1:scaffold_7:102988:106816:-1 gene:Ccrd_021649 transcript:KVI00108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDTTRHSFIMPLKSFNSNHSCLISATVCNSLMGNKPAKERDEVLVKVVPPLDRAYVRWLAKDLERIHGYVPRKPRAIKPPDHYIEYMQMNRWLDLDLNDPDLGHLFK >KVI00148 pep supercontig:CcrdV1:scaffold_7:674804:683171:1 gene:Ccrd_021602 transcript:KVI00148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg8/Smg9 MAAPNRSPMRVLIRPPSSSSPITPPSATTVPPPPPPTIHQPPPPSSLPPLPPPPSTTFRQNGVVVVGFVGRRKGDVSQLINRIIDANVFGSGNADVALGFEKTGEIVSDEVKNWFEQRRISYYHEEAKGVLYLQFCSTKCTVMEGFSEVPSGFDSVFEKQEFGDLQGMLFMFSEGSCFDIQMLKKFRVLQGAKYAMFPFIKSQTLQPTTSRSHTSPSSRTSPSGGPSKNRSPGKNGPAMSRNASSITLMSGLGSHTSFFPGQCTPVILFVFLDDIFDPGSNPDEPAESTSSYQSSSLNSLGRPTLPVKGSGSIVVLSRPVTKSEGSFKKKLQSSLEAQIRFSIKKCRVLSGSETGPPGSRSGAVSSLAPLFSLDASKAVLLLDRLSNQAGESLEFATSIVENVLNGISTSDSLLLENHSQSSNKEDILSVKEFIYRQCDILRGRGNMVSNANSVSAAGVGMVAVAAAAAAASVASGKPCATPELPNLDVWLSSSQTILYGLLSAKPGFLPEPEPEQSKRRSKRNNVSPTVEGSPSKVSDPLELAATYLDSSRGLNTKFSISWCQRALPVAKDVYLNDLPACYPTSQHEAHLGKALSFFKSMVKGPAVHHYLKKLEDECTSIWISGRQLCDAVSLTGKSCIHQRHDLKTEDSLSSNDIKPHSSGFVFLHACACGRSRKLRSDPFDFETANVTFSCYPECDKLLPTLHLPQVNSDGPIQSSSWSLIRIGGSRYYQPSKGLLQSGFSSTEKFLLKWKFFLEKHKEPTSILHGYSMNESSNDSRVEGVLDAKVEKEGLAPGELHNGVEMHGKTNNSDDNKSNSVKVLPSFTMKKPFSEVVAGSAATSSGFPPLQSKKKASENVTKEKHAGETSMVKVHDTNGNQGSKKVENISSVHETVDGNGNANGNPFLKLGSTGNIVTMNSRENTNLRALNQVLVYIGFEHECPCGHRFILTPDHLKGLGSIYAVDEESHYHSSVESSDRKGVDLSKMGKHGGHGKVHRHSNRMVNAAVSKVRHPGKLKEVAANGKQGLDAMLHVSRSRKEQNGLMKSDYMKDIEGSLQSTTLDDNGTGVFPLLDRNLPLYLNCPHCQIHKSKSDPPNVQFAGTISQLQRIFLVTPPFPTVLAACPVIQFEMSCLPPSVPEREQKLQFSLGCPVVLPPESFLSLRLPFVYGVQLEDGSLHPLKPFENQPEMTAWITKSTALQFLSKGSSNLEV >KVI00104 pep supercontig:CcrdV1:scaffold_7:667254:667844:-1 gene:Ccrd_021603 transcript:KVI00104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAPKAEKKPAEKKPTEEKKSAVAEKAPAEKKPKAGKKLPKEAGAGAADKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KVI00174 pep supercontig:CcrdV1:scaffold_7:49414:59724:1 gene:Ccrd_021654 transcript:KVI00174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGAGEQGSPAKHSKPTSTQETPPPSYPDWSSSMQAYYGSGAAPPFFASTVASSTPHPYMWGGQHPMMPPYGTPVPYPALYPPAGVYGHPSMPMTASTAQPNAEMEAKAPNGKDRVPNKKSKGTSGNANANAIGVRTGESGKAASTNARNSNSGSNVQTSVPGNPVVSMPTTNLNMGMDLWNPSAGTGSMKMQPNHTGLSQTVVPPPMVGRENMMADQWVQDERELKRQKRKQSNRESARRSRLRKQASTLYAECEELQARVEDELTRYFGPEVVSKLDAHLQSQTDEGKS >KVI00116 pep supercontig:CcrdV1:scaffold_7:140893:151856:-1 gene:Ccrd_021645 transcript:KVI00116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MSVRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGAGDIKLTKDGNTLLREMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQAERCIDEGMHPRVVVDGFEIAKRATLEFLEIFKTPVLIQMGEDADKEMLKMVARTTLRTKLYESLADQLTDIVVNAVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCHILTCNVSLEYEKSEINAGFFYSNAEQREAMVAAERRSVDERVRKIIDLKNKVCAGTDDNFVVINQKGIDPPSLDLLARAGIVALRRAKRRNMERLVLACGGEAVNSVDDLTPDCLGWAGLVYEHVLGEEKYTFVENVKHPNS >KVI00141 pep supercontig:CcrdV1:scaffold_7:328653:330103:1 gene:Ccrd_021634 transcript:KVI00141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMQMYVSMKAMPWYCALPTISEYMIENGWTRCFLRISDVGWVSYAWNVALYLAFVEFGMALNPLDGILQALPHVVVLFVVPTHFATHIGLVFLEAIWTTNIHDCIHGKRWPIMGAGYHTIHHTTCRHNYGHYTVWIDQMFGTLCNPEKEDDGKKS >KVI00151 pep supercontig:CcrdV1:scaffold_7:757102:758844:-1 gene:Ccrd_021597 transcript:KVI00151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MSKSTTAILRRDGGGQESQTYRLAIQVIQGRWFSLFASFLIMAGAGATYLFGIYSKDIKTTLAYDQTTLNLIGFFKDLGANIGVISGLIAEVTPTWCLLLIGAAVNFTGYFMMWLAVTHRIPEPRVWQMCMYMCIAANAQNFENTGVLVTTVRNFPENRGVVLGLLKGFTGLSGAIMAQIYLAVYGDDSKALILLIAWLPAAVSVVFVYTIREINGVKQSNENEIRVFYHFLIVSIVLALFIMAMTIAQKLVAFSPVAYAGSSSVICFLLFAPLFISIKQELEFLKKTNQESSRVSEVEIENLDHDDHEPSLNSNFKSERKLSFFENVFLKKPERGDDFSILQALLSTDMAILYVATFCGLGTSFTAVDNLGQIGESLGYPRKSISTIVSLLSIWNYFGRIFAGFVSEHLLIKFKFPRPLMMTLVLLLSCIGHLLIAFPFTGSVYIASIIIGFSFGAQLPLLFAIVSELFGLKHFSTLFNCAQLGSPVGSYVLNVRVTGPMYDREALKVLESSGLGRSAVKELVCIGKQCYRQSFMVLAGVCCFGALATLVLVARTREFYNGDIYSKFKEPKGVAKTQHL >KVI00099 pep supercontig:CcrdV1:scaffold_7:868617:869006:-1 gene:Ccrd_021585 transcript:KVI00099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAKLGSSSSNGKKNNGIKKLMQKGLFLTKKRSESFSGQETVPKDVKEGHFAVIASDDYNERKFIVPIAYLRCPSFLRLLERAAEEYGFDHEGALMIPCPPSELQWILEEQMGSQEGGDWNSCKTMVESC >KVI00118 pep supercontig:CcrdV1:scaffold_7:516940:520529:-1 gene:Ccrd_021614 transcript:KVI00118 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MATSSARVDLDGNTIKPFTICMIGAGGFIGSHLCEKLMSETPHTVLAVDVYNDKIKHLLEPDSLPWAGRIQFHRLNIKNDSRLEGLIKCSDLTVNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSYLPKDSPLRQDPAYYILKEDTSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPGRANGHIFNVGNPNNEVTVRQLAEMMTKVNFLYSLVYAKVSGEDSIESPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWDPKTSLWDLLESTLTYQHRTYAEAVKQSIAKAVAN >KVI00107 pep supercontig:CcrdV1:scaffold_7:618184:626308:-1 gene:Ccrd_021608 transcript:KVI00107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MAQLHHFPFLKSFLLVLTTLTCVSGKHFRTTKLGVRNRFTPKTADIQGTHNSEEYEVYNYTQTLDHFNFKTESYTTFQQRYVVNRRYWGGPTNNSPIFLYTGEETDIMGDVKYIGFVRVLASRFNALLVYIEHRYYGTSMPFGSKEEAYKNGNTLGFFSSEQALADYAQIIVDVKKDMSAQNCPVIAVGASYGGMLASWFRLKYPHIAYGALASSSPILYFLGLTPENGYDAIVSKDFKVSRNQKISSESFVTPLTVINATKPTFYAQSTSTSCYNTIRESWFEIDRVALQPHGLSKLSRMPLNTSQDLKGNLESRYDAMAQYDNPADNYLQSFCNAIDGAGEKTYIFDKILAGFAPIYGTSCYQVYDLKFNKHSGWDWQSCTEMVMPMGRGENDTMFQADPFDLDKYSKECEQVFGVTPRPYWAPIEFGGYDIIAWMLALQWLRTLIGWLHNEKPRSRL >KVI00100 pep supercontig:CcrdV1:scaffold_7:865402:865653:1 gene:Ccrd_021586 transcript:KVI00100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSHDFRLIMAFKEHLRSKAGLSDWCDHRGNFITVSLMIVNSDAGFWPSGCSKQSGLLVS >KVI00125 pep supercontig:CcrdV1:scaffold_7:1045375:1046251:1 gene:Ccrd_021574 transcript:KVI00125 gene_biotype:protein_coding transcript_biotype:protein_coding description:MaoC-like domain-containing protein MMAIKRMFNYIPTLRYSSDSYLKSGDRLKQTRIFSSQDLVEFSKLSFDANPLHLDPEFARNAGFKDRVVPGMLPGAVYASQTLHFRSPVYVEEEITGEVEATSIRESKKKYIAKFTTRCFNNTGVLVLDGEAMAVLPTLCPTKA >KVI09381 pep supercontig:CcrdV1:scaffold_70:480135:491689:1 gene:Ccrd_012241 transcript:KVI09381 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MVQPESKLDVVIIAPPTIEHQEEPVKLSSSKNQEKPSKPSIMVNINASYFRVCISLGGQALLWKTLSEKTDIPPNFHNLFTMLPSTAFVLLWCVALCVLVSLSILYAMRCIFYFNMVKAEFHHHVGVNYLFAPWISWLLLLQSAPSFIFRNKYSYEYVWWLLIIPVVGLDVKVYGQWFTTEKRFLSMVANPTSQLSVIGNFVGAHAAIKMGWRESGTCLFTLGLTHYMVVFITLYQRLSGSNHLPSRLRPVFFLFVATPSMAALAWKSINGSFDVQCKMLFFLSLFLFASLMIIDNAITGNDKERGRRGDIAVVRVGENGWTWGDNSVANGKEEEGQARLPLARSLTPRYMWEEAKRWGSKTKSEVRKRRKIARLFNYTFKDPTMVHPEIGLEVVIDSSITKHHKQPTTTIGRKQSAIKTPSKRSQQGVIATINAGYFRICMSLGGQALLWKTLSEKTDISPTFGNLFTKIPSTAFFLIWSLAVCIFVSLSILYILRCIFHFKMVKSEFHHHVGVNYLFAPWISWLLLLRSAPSFIFPHKYSYEYVWWPLIVPVIALDLKIYGQWFTTEKRFLSMVANPTSQMSVIGNLVGAHAAIKMGWKESGICLFTLGMTHYLVVFITLYQRLSGNNHLPSRLRPVFFLFVATPSMAALAWKSINGNFDMLCKMLFFLSLFLFTSLASAFQEIFKKLQCGMVGFLLSPILSGIGLHSICSTGEGGDSERIGAYFIDDFGSRFCLSVGLLDVKDRLTSSKPGSKFL >KVI09394 pep supercontig:CcrdV1:scaffold_70:296252:298529:-1 gene:Ccrd_012227 transcript:KVI09394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENFTIMADQSNSNLSSSTDDGDGDRQSRLKQAIDGGFISDDEGWSSESSDTSRRSLAASFRDFAESMMRREVADLEMMKVREAARIEAENRRLERENELMEMILKTQLEITSFLCSRTGDRKRRRSEIDDGDSPESILR >KVI09407 pep supercontig:CcrdV1:scaffold_70:12003:16635:-1 gene:Ccrd_012206 transcript:KVI09407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase, conserved site-containing protein MEPQAPLGTPFRHGTKPVVDPILNPLPPSTSVLVFQDDAQIGEIKINTRCIIGNQRYYVVQFVNHANAVIDCMFKESVAQFAQEHIAPHAPEIDRTNYFPKEVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIALEEISRASGSVGLSFGAHSNLCINQLVRNGNAAQKEKYLPKLIRGEHVGALAMSEPNAGSDVVGMKCKADRVDGGYVLNGNKMWCTNGPIAQTLVVYAKTNVAARSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFDNCFVPEENVLGEEGKGMNIIFS >KVI09374 pep supercontig:CcrdV1:scaffold_70:426109:430459:-1 gene:Ccrd_012238 transcript:KVI09374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF408 MSKTSSSSSPIAVKDVIHKLQLCLLEGIKSETHLLVAGSLLSKSDYHDVVTERSIAKMCGYPLCPNSLPSPSSSMPPKKGRYHISLKEHKVYDLVETHMYCSTKCVVDSRAYAESLQHERSLDLDTAKLDKVVRLFDGLTLKAEEVLGENGDFGMGNLSIKEKEEGSVGGGIVSMEEWIGPSNAIEGYVPQHDRSAKNRTGSKLKDSKHKREEKSIFDEMNFMSTIITQDNGYSISKEPSGQTKKTAGAMSKDSRKKMNHKGTDDGLTASADSSCNAQNVPETNLNKLSYVPSSACQNVVDVNVTESVKARICGKEAQPSSSGLKSSLKSSGALRTNHSVTWADEKTDGAHNRTLCEFNGIENEKETSKGFGMIDKSIDDDDDDDNVLRFASAEACAVALSQAADAVASGESDVCDAVSEAGLLILPPPLDDNEITSEEIEGAATDPEPARLKWPIRTGIVESDFFDSGDSWFDSPPEEFVVDVSTSPTWFFIFIAILNVQEGFSDAHFHNFQLSPFATMFMSLFAWISSSTLAYLYGRDDSFHEEYASINGREYPRKIVLTDGRSSEIKQTLAGCLSRALPGLVHDLRLRTPVSTIEYGMGCLLDTMSFLDPLPSLRMKQWQVFDDAQISMEEYEVLKDLMLPLGRVSQFATQSGG >KVI09379 pep supercontig:CcrdV1:scaffold_70:524491:533261:1 gene:Ccrd_012244 transcript:KVI09379 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenase acyltransferase, catalytic domain-containing protein MLNTSIDVGKIGVMMWAIFRRKVASVGSNALINNGSVQTLGRARPVLAGSTPQGFVETISTHKALNLGMGSKPLRQFHEGAPGHSLPVRNVVPSLKPNFTTQMWSRSFSSDSGILFFFIQYFLAVPMLNYFLINLTLCFPSDYEGDLVDAVVPFMGESITDGTLATFLKKPGDRVEIDEPIAQIETDKVTIDVASPEAGFIQEEGDTVEPGTKVAIISKSGGEGATTHVAPSESPTTAAPAPKPAPAAVEKPLPKPDAQPPKDAPKASSPPPSKTSASEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSEYKEAFLEKHGVKLGLMSGFVKGLVVPVIRNAETMNFAEIEKTINTLAKKATNGSISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNKPMVVGGEIVSRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRSEGFMVLVFLQAKDAVFDEREHFGF >KVI09390 pep supercontig:CcrdV1:scaffold_70:77370:89458:-1 gene:Ccrd_012212 transcript:KVI09390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MPGSASSTHRPEGLIERLRSAAADGGEAKLKVLRELKNQIIGNRTKKLSYIKLGAVPFVVSILSSASSSADCLLVQCAATIGSFACGVDAGVKAVLDTGAFPHLINLLSHPNEKVVDAGARALKMIYQSKVAPKYDFFEEKNMEFLISLLDKNNENVTGLGASIITHSCETNDEQKILGDAGVLKKLIDLLGGTTSQRDASLESFATIIKENPEVISKFVGPENGRTWGTLIELTKDRYPRTRLLACVCLILIKNAAPSYLQSVGIRTKLILVLLELIDDPGQVGDEAVLTLSSFIADDEGLQKLAFEASTIDKLCDHLQKELLQAKRLQGIFMTLANLCSNLECCRSIILQSPKALNIVTAALTHATADVRVAACICLKNISRSVKYLSAGHFMTEAVIMPLIQLLYDTSTSVQVAALCTISNLVVDFTMHKSLFVQSGGVKQLVQLSKSMDSTVRLNAVWALRNLMFLVDSRCKEGIFMELRALTLTSLVCDPSASVQEQALALVCNLVNGPVDSIEYVFTEDGLLLNAVGRQLWSASKHEVLIQGMYVLCNVASGQEFHKEAVMQQLLPQPQASNEDTQSIMVRMLQSDVAQLRTAAVWTIVNLTIPTGAGALARVVKLRNAGIVSQLKNMVNDPCLDVKLRVRTALGQSMTFGDFST >KVI09408 pep supercontig:CcrdV1:scaffold_70:597944:600857:-1 gene:Ccrd_012252 transcript:KVI09408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MLPPTAALMSAIYEENKDEDGFLYMSYSGENTFGFQEMQ >KVI09400 pep supercontig:CcrdV1:scaffold_70:224484:225495:-1 gene:Ccrd_012221 transcript:KVI09400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEISAVVVAAAERLGIKDIVCCGSTWGIGPSTMSIGGDDDGGGDLFDLVDQHIDIPELVRIPPELNSNRDCVFPSPRMNLAAALAAERHSRSTTVEADGVENQNENENNNRSISATPRRSSTPARESPGRMSLMRLLEETDGHDEKEGTGMDLVCCVCMGRKKGAAFIPCGHTFCRVCSRELWLNRGTCPLCNRSITEILDIY >KVI09402 pep supercontig:CcrdV1:scaffold_70:340696:349178:1 gene:Ccrd_012229 transcript:KVI09402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8A, DUF1034 C-terminal MMVSSRFQLVFLFLFCTVCVGEERDIYLVLVEGDPPVAFFQDSLVSLQSRKKHLEASHDQLLQSTLEEGAYNKLYTFSHIVNGFSVHTTPTQVLFLMSFLVISHRVIPNLRYPFLSFHIQANKLKNAPGVRLVEKDRGAKMMTTYTPKFLGLPEGVWTTEGGGSNVGEGIVIGFVDSGINPFHPSFAYDPRDRYPSNRTRFLRVCDEGPHFPKSSCNGKIVSASHVASTAAGNHGVPVVVNGFYYGRASGMAPRARIAVYKAIYPSGGTATDKLAMVQATKDGVDILTLSIGPDEPPQNRLTVLSMFDIFMLFARKAGVVVAQAVGNHGPGPYTTVSYGPWAVGVAACDTDRAYPSSLLVLAKDAILAHGDFPRTPDFIDECQHPEALDPVIVQGSVVICTFSAGFLNGSSNLKTIINTARILGFMGFVFVANPTYGDFIAEPYPNDKGVVMAYHGRAAIREGRIATYNEKSPVVSRFSSRGPNFIDVKRNPTDLLKPDILAPGHQIWAAWSPMSVQDPILKAALIKQRNPSWSPSMIASALATTATTHDNHGEPLMAQGSELYRLIRSTPFDHGAGLIIKTAIGEPCTNSFHAPSDLNIPSLTISALAGSQLVRRSFKNVAGKVETYVCAVVPPNGVAVELHPPWFTIGPDGTQDVEVKLNVTQVQDTFSYGEIVLTGSLKHIVRIPLSILPVSLS >KVI09412 pep supercontig:CcrdV1:scaffold_70:509413:516512:1 gene:Ccrd_012243 transcript:KVI09412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMAAINQASSFLCSSSSSSSSSSSHREVARASLQFPKIQTNGLTTLIPKIQSNMGLVEEMDQFSRITVPKNPSKNPEVMEKLYVVLEAVSDRVEMHKNIGEQRNNWNSLLLTSINTITLSAATMAAISAAITTVPGAPLEALNLSSTFMYLAATGMLVIMNKIQPSQLAEEQRNAARLFKQLESEIKTKVAIGNPTLSDVNEAMKKVLAIDRAYPLPLLGVMLEKFPAKVEPAVWWPEKRRTSANEGQHDRNGWSLELEEEMREIIRVLEVKDKADYLRLGEKALKLNKGLAMAGPVLTGLGAIGSAFLGSSPWAMVLGTMAGAMASVVNTVQHGGQVGMVFEMYRSNAGFFKLMMESIESNLNERDVESRENGEVFEMKVALQLGRSLSELREVAASSSSRDGRAIEEDNTRAGLNFPKIRISNPSIIAPKIVSNIGLVEEMDKFSRFIPKNPSKSPKVCRDREVMEKLYVILEAVLDRVEMHKNVGEQRNDWNSLLLTSINTITLSAATMAGIAAAVATTPGAPMEVLKLSSSFMYLAATGLLVIMNKIQPSQLVEEQRNAARLFKQLESEIRTKIAIGNPTLGDVNEAMKKVLAIDRAYPLPLLGVMLEKFPAKVEPAVWWPEKRRTSANKHQNDKNGWSVELEEEMRDIIRVLEVKDKDDYLRLGKKALKLNKALAIAGPLLTGLGAIGSAFLGSSPHDSWAVVLGIMAGAMASVANTVEHGGQVGMVFEMYRSNAGFFKMMEESIESNLKERNEESRENGEVFEMKVALQLGRSLSELRDVAASSSKKGKDIEEFGSKLF >KVI09411 pep supercontig:CcrdV1:scaffold_70:542020:547524:-1 gene:Ccrd_012246 transcript:KVI09411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVQYKLERMVNELDDLERRGLFSRREIAEIVKQRRKFEYRLKRPSPLKQDYLAYIDYEKSVDALRVLRKKAVMRELKKKSEDVKGVVKKPKMKHSVSDFSGISRIVEIYRSATNRFKGDIQLWFQYLEFCRQRRNGHMKKNLNVAAARALMQSGLRSCPSSEALWVEYLRMELTYLNKLSARRVALGEDVGTLVPDHHDPEDKQWREENKELFMPIDGETGDYKDLDEQNKELTQKIDFFREQGLNILQTVYKCAVEALPSSFSLRTQFLEILEATNLANSENMQKEILSDMKNEFSKETGFWDWLAKYEAAGRKSTQDVKKEIMPDQLFNAIQVYDEALKIVPSSSMFDLYIKFLRDTIDRNRHTQNSKLSDSSDNYIDPVSHVLMVYEKAQKMGCITEDLGCQHVSFLLELGRLDDARNLAEKLCSGELSEAVRLWFLRLSIEIKRIGTPSKADLSFLALPRPGLAFYKNCIEMELNLASSAGAKTHLVEARKLYEAALSTYDQDASLWQDYHSMESKMGTSETAAAVHWRARKTLKGNTVL >KVI09396 pep supercontig:CcrdV1:scaffold_70:281449:282372:1 gene:Ccrd_012225 transcript:KVI09396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTILSTNINGRASSASNSPEFEFWMIRNPSSQQTTLHSADELFSGGVLLPLQHLNTQNSDDPPDKDITTESISTSNPDPDSINGSDLRTKDSVSASKRWKDIFKKSPESKEKDKKKKKERNGGGSSGGGGATSSAELNINLWPFSRSRSAGNGGSRPRTVVGNRKVSSAPCSRSNSTGESKYRKWPSSPNRGGVHLGRSSPVWQVKRFGQSKSLHDHLVRTTAEKSSRPAKNSTTGTGAGVNAKVLNLNVPTCIGYRQRMSCRSDVTKENNAGRNADVTPTSAGAEGGASSLFNLRSLFTKKVF >KVI09372 pep supercontig:CcrdV1:scaffold_70:385335:392005:1 gene:Ccrd_012232 transcript:KVI09372 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MATIGDIGVAAAFNIISALIFLIAFAILRLQPVNDRVYFAKWYIKGLRTSPTASGTFVRKFVNLDYRSYIKFLNWVPDALTMPEAELIDHAGLDSAVYLRIYLLGYATSLLLPESSKEYETIANMRFYFLQSEKHRPDQFTVLVKNVPPDAHESVSEMVQHFFLVNHHDNYLTHQVVCNANKLAKLVKTKQKKQNWLDYYQIKYTKDPSKRPMMKTGFHGLWGAKVDAIEHHETEIEKLSNQIAEERKNVMNNPKAIMPAAFVSFKTRWGAAVCAQTQQARNPTLWLTEWAPEPRDVYWKNLAIPHVSLIIRKVTMGVAKPIKSFIQGFLPGIALKIFLILLPKILMAMSKFEGFLSKSRLERRSASRYYLFNFVNVFLGSIIAGAAFEQLNTFLNQSANRIPETIGIAIPMKATFFITYIMVDGWSGTAGEILRLKPLVIYHLKNIFLVKTERDREKAMHPGTIGFNTGEPQIQFYFLIGLVYAVVTPLLIPFILVFFGLAFVVFRHQIINVYNPKYESRAAFWPDVHGRVITALIISQVLLMGLLSTKHAAASTPVLLALPVLTIGFHMYCKGRFEPAFTRYPLQEAINKDTLDRVREPNLNLNLKGYLENAYIHPVFKDDDFDDEDALHLDDRATMVPTKRQSRQNTPTASKTSNASEPSHPEIIEEKHKP >KVI09393 pep supercontig:CcrdV1:scaffold_70:61765:69089:-1 gene:Ccrd_012211 transcript:KVI09393 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor, conserved site-containing protein MSSTAMRGNSSDSSSPEQSVDRESESDEVLSEEEDDVSKETQNAPFPSDSYGQEQQNVQQGVPNMLPSNEQTLGQVPLELVGHSIACAPNPYCDPYYSGMMAAYGQPLVRYGITNIYNLMSGHPGYLVSQLDAWLYKDALELIILSNSSVVLSNKGMSVEGISIIIGEKEKAFRGLAGTTKHKYPTSRPQPVHPQFLDMHQARMPLPLEMTQEPVYVNAKQYHAILRRRQSRAKAELEKKLIKDRKPYLHESRHQHAMRRVRGSGGRFAKKTEVDSLKRADEDKNTTASGSAISSQSVNSTGIKRMRLESAESLDFHKETRGDRLVNSLRYNNEDNYQASGYHLDRGDGGSLGQQWISISSNQASQRAVAMK >KVI09378 pep supercontig:CcrdV1:scaffold_70:535167:538238:-1 gene:Ccrd_012245 transcript:KVI09378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system T protein MRGGLWQLGQSITRRLGQSDKKTIARRCYATEADLKKTVLYDFHVANGGKMVPFAGWSMPIQYKDSIMESTLNCRENGSLFDVSHMCGLSLKGKDSVAFLEKLVVADVVGLAPGTGSLTVFTNEKGGAIDDSVITKVTADHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDGPLAGSTLQYLTKEDLSKMYFGEFRIIDINGAKCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQIADGPSIRRIGLFSAGPPARSHSEIQNENGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKPGTKVKIAIRGKTYEGSITKMPFVATKYYKPT >KVI09366 pep supercontig:CcrdV1:scaffold_70:397817:408610:1 gene:Ccrd_012234 transcript:KVI09366 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MDFDDDVKLQHLHYLINSLLPFLKQIREEQMEEIAMEALTEATTAPHQSLIFIEVVQNAHTSSVLVAVVKYERMALLIKEKLISGSDYIHGGDPLPATVHENSSTSQSGSATKWVAENDGRLCCPPKEIGGCGNSLLELKRILPEGWISNLEARAECVLNNLRIDQPNLMSFSLETSGDMYLRAANREGSDDNYLYCPASKDVSKKEEFIRFRHHWAKGEPVIVRQVMEQTTGLSWEPMVMWRALCEHVDPNVTSKMSDLKDWPPSDKFEDLLPRHCDEFISALPFREYTDPRMGFLNLAVKLPPDVLKPDLGPKTYIAYGMDQELGRGDSVTKLHCDMSDAQLAIEKLKRRHRTQDEREKNGNLVRCGNGFNGGKDESISSNENIVSERGVSSYDLPPQEDTKETGGALWDIFRREDVPMLEEYLSKHSKEFRHTYGCPVSQWVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVHECIRLTDEFRKLPSGHKAREDKLEIKKMVLNAMDKALADFEELMHAR >KVI09405 pep supercontig:CcrdV1:scaffold_70:47222:48746:1 gene:Ccrd_012208 transcript:KVI09405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRYEEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEALLEALPGDNVGFNFQELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK >KVI09385 pep supercontig:CcrdV1:scaffold_70:194119:196530:1 gene:Ccrd_012219 transcript:KVI09385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MGKSGGRKKKGGGANQNQNQNQNQNPSQSQSQTQNHVPTVNTQPSSMVSNGGGIELDSSLFLKRAHELKEEGNKRFQVRDFVGALEQYEKALKLTPKTHPDRAVFHGNRAACLMQINPIDHDKVISECSMALQVQPMYVRAILRRARAYEAVGKYDMALLDVQRLLGVEPNHRDALEIARRLRTPINGARQESQQDLQSRPSPAALGASAVRGAPIGGLGPCLPARPVPKKPGPSGTVGAANRMLDKTYPVPSTENEVKPTQMPKLVLKPEGGCSNKSDGNPEKSNKKEHMGSSVLPTSDVLIQWRPLKLVYANDIRLAQMPVSCTFKVLREVVSKRFPSSKSVLIKFKDNDGDLVTVTCTSELRLAESIADSLVLNKDDVEKFDSYGMLRLHVVEVSPEHEPPLLEEEEPVEEEESTKSLEPEVFEADDTVNELAGETVTDDKKREKEDDKKTEKEDDKKTEKGHTSEKDDPELKEVEMDDWLFEFAQLFRSHVGIDADAHIDLHELGMEMCSEALEETVTSEDAQSLFDKASLKFQEVAALAFFNWGNVHMCAARKRIPIDDSDAKDIVATQLQAAYEWVKEKYELARKKYEEALSIKPDFYEGLLALGQQQFEMAKLHWSFVLAKKEDLSKWDPTDTIKLFDSAEEKMKSATEMWEKLEEQRAKELKDPNTSKKEDLAKRRKKQAGGNEGDDTKTGGEVSAVEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGLNGWEKHLETSVEQFKLAGASEVDISTVLKNHCSNAEAAEGGDKKVKTPSSDTTEIADDNEKANRS >KVI09399 pep supercontig:CcrdV1:scaffold_70:228234:229758:-1 gene:Ccrd_012222 transcript:KVI09399 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MQRKKGFAKEQLRVQLRSRSLIISGERKLQQNTWSRFRVEFPVSVNCDLNKISAKFEGNILFVRQGKVITLAAKPEEERRPVVAAPVPTTPKPVYESNMAEKTTAEKKPISPTQPDMENKGKDQEVSKMGGAEKSEKGKGKAIESKKAEGVRGNASEKKPSDSDNKNKKVVYGELDKTTKTALENYKHAVGVFATKLKTSRNAVNTIVILLVALVVGVYVSGSIKSWTKS >KVI09403 pep supercontig:CcrdV1:scaffold_70:332393:336200:1 gene:Ccrd_012228 transcript:KVI09403 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELK-like protein MEGGGGGGGGTSCLMSFGDNSSSNSNNNNIGSLCLPPPPVAYSNNNLIYSDHHHHHHSNCGTSASALMLQDNNNININDGGGGLGFMTSSSCSSIRAKIMSHPHYPRLLSAYLNCQKIGAPPEVVGRLEEACRASVVAAMSSCSASAGCEAGTSDGGGGGGGGGMNMMIIGQDPALDQFMEAYCEMLIKYEQELSKPFKEAMLFLSRVESQFKAISISTSASGGGEGGTEKNGSSEEEVDVNNNLIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWTRHYKWPYPSSTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPPYFMENILGNPYPMDVSLL >KVI09389 pep supercontig:CcrdV1:scaffold_70:133387:149241:-1 gene:Ccrd_012215 transcript:KVI09389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGSIGGAIVPSMKSGLPEPSTEIPAPAPLPPPPPLPLPPVSHQPQPPQVPASDWAGVEVREEGSEEVETDKDVLCPICMQIIKDAFLTACGHSFCYMCIVTHLQNKSDCPSCASYLTTKQLFPNFLLNKLLIKASAQIAKHASPTEQLRQALQQGCDVSVKELNILLVLLAEKKRKMEQEEAETNLQVMHDFLHCLRKQKLEELKEIQNDLQYIKEDINAVERDRVELNRARARYSIKLRMLSDDPTVRSSWPSLMDKRNNSNMFIGNSVQGQFWLGAGGSQSRAADVHAPVSSQTVQRKDACNGSDSQNTQPGATVASKRRVHAQVSALLFSDGIWIFFDGAFNDLQECYLQKRRYWARQAQKQEEKDCNPIPREGYHPGLEDFQSVLSSFTRYSRLRVVAELRHGDLFHSANIVSSIEFDRDDELFATAGVSRRIKVFEFATCPIVEMPTRSKLSCLSWNKYTKNHLASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSRTEPTMLVSGSDDCKVKIWCTKQEASVLNIDMKANICSVKYNPGSSFHVAVGSADHHIHYYDLRNISQPLHIFSGHRKAVSYVKFISNSELASASTDSTLRLWDVKENTPLRTFRGHTNEKNFVGLTVNNEYIACGSETNEVFVYHKDISRPAASHRFRSETNEGEEEGGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAA >KVI09387 pep supercontig:CcrdV1:scaffold_70:178924:183404:1 gene:Ccrd_012217 transcript:KVI09387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3537 MADSKETLLITTINHKINTKSNYWIIDALESNSFSWIFPDQSDLRKAGLSWSIFVLLAICVPILSHFAFQCSACDQHHRRPFDWIVQSSLSVFSAISFVSLSYFSRKYGLRRFLFLDKMCDVSDKVRQGYSDQLHKAMKFFCAFVIPCFLADSIYKIWWFISGAHQIPYISNIYLSHTLACILLLGSWLYRTSLFFLVCVLFKLTCSMQIFRLQDFAKVFEKQGDVGLILMEHLSIRRTLRIISHRFRGFVLSTLILVTASQFASLLVMTRTGSLVNISTAGELMLCSLTLVSGLFICLRNAAKITHKAQSVTSLAAKWHTCATVDSFDDMDPTDETQSPSANITSERSNNHFDAHQLTYFENNRAGITVFGFMLDRTYLHTIFAIEMSLTLWLLNQTIGFS >KVI09398 pep supercontig:CcrdV1:scaffold_70:254642:259985:1 gene:Ccrd_012223 transcript:KVI09398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MVDHMTSRNHRTDADLFKALSDGDDAKVCEICRELPDGPLHRLTIHNDSILHIASYYKHNKLVLQLLNLIPENQPEKLMLKNEAGKTLLHATATSNRTVEAAAEMLRRAPLLLSMTDRLGETALFRSARYGKTQTFKFLEAEKQKHKSESAKKLATLLIEKDTSWEATEPRSDQNRIKPHKYGGGDTTAQQIKMQSETHHADIIITSDTPDSPLLLATKSGCTEIVKKILEVYPQAIEHIDEDGRHILHVAIKYRRMDIYKAVIDMKNPLTRLRAKIDKRGNSILHMVGLKVTDQNAEEDIRSPALVLRDDLILFESVKKICTTLATLQVNNDGVTAEQLFIKNNVQLRIDAKEWMKSTVENCSIVAVLISTVAFAAAYTVPGGPNQQTGYPLLKNKPFFIVFALADALSLTFSLTSVIIFLSILTSSFRLTDFRDTLHNKLLLGLTVLILSVSMMMIAFAATLVLTISSRQDWTNVILYTISFFPVSVFVCSHVNLYKLLIKAFEERVRRIMASILPNRAVESPQAIQQPTPASQNPTTSFTESTT >KVI09370 pep supercontig:CcrdV1:scaffold_70:349361:358038:-1 gene:Ccrd_012230 transcript:KVI09370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKQQQKMHIMMRKKMMGFKIASNRSRLAFGILLAFFIVMNFWMISRIQDSGPVKGLKLRFMKSNSSKISVPEELRKIGKGKKPQKTAYARLLYKAAHALAEYKSTEPKDLWLEPYHRETMVTLWLLQMAVCNIVAVARLLNATLVIPSFIQFGDIYQEDYFIDYLKPDIRIVKELPKELQSLDLEAIGSVVTDADIGKEAKPSFYLKHILPLLMKNRVVHFVGFGNRLASDPIPYHLQRLRCRCNFHALRFTPKIQATAALLVKRMRQNARHAGSLDEYLVGPFAKRKGKIKKEFRYLGLHLRFEIDMVAHSLCDFGGGEEEKKELEAYREVHFPGLVELKNTTKLTALTSLFPNMVTKENLLSSSEIKPFLNFSSQLAALDFIACTAADVFAMTDSGSQLSSLVSGYRVYYGGGKMPTIRPNKRRLADIFMKNNTIEWKVFETRIRKAVRQNKRIFARPVGRSVYRYPRCSECMCNTQEATLPFRPR >KVI09373 pep supercontig:CcrdV1:scaffold_70:396721:397786:1 gene:Ccrd_012233 transcript:KVI09373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIPDENEVRKYATNEINKRQKVTTCVLGFGRKRKVQELIDSRKRDDQESSMKRTRIPIKKHSHCLQTKDFHGRNIMQNRAIVSKNCFSDDLMKGRDVNTKIDAGSTRKDRNLIKKCGAHRIKGLYGRSKCSISKKTSLNYENDVGDFDEDYREEEDEDDYGFTPYPSRRSNASKYNRKKDQDPIIPDEKLTSEVEDQDDGEIEVNYKDAFLRSVDELNGIERNGKEPYKCHQCKRTDRKIVVPCTKCKEKLYCTRYPQLSEEEIAELCPFCRGNCNCNVCLYSNIKVCDVFYRRENVEEIHVLTN >KVI09383 pep supercontig:CcrdV1:scaffold_70:493954:496077:-1 gene:Ccrd_012242 transcript:KVI09383 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MIQILFTLIFAEMALIVIFVFKTPLRKLVIMGLDRVKRGQAPLVVKAVGSTIFVVMMSSVYSVIRIHNRGNRDDGDGELTPTDQILAARHLLEASLMELRIRRKNMDAIKKQNRIIENGKNGSQDEVKALEGELTTLKEKLSRLESELDEKTKDASNAEANAIALKKQSEGFLLEYDRLLEDNQNLRAQLQSLDRRLSHSGGKKVT >KVI09397 pep supercontig:CcrdV1:scaffold_70:254775:263207:-1 gene:Ccrd_012224 transcript:KVI09397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQHEITRQTQTKILDTAPFMVLLLIAAHVFALVYGIYKLATSKLPQRRIETIKKRINRNEDVRMDRNMITDVKENVRERASARAKTIKNVTPSLFTCKVAKVVHIFFTLWIEQPEKDNKKELDSAAEEPVYYAYNNWRYEECCHCV >KVI09384 pep supercontig:CcrdV1:scaffold_70:187265:190359:1 gene:Ccrd_012218 transcript:KVI09384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, dual specificity phosphatase, eukaryotic MVFHAIEVDGESSGENRDDMTVVDLKERSSDHLHIPPFNFAVVDDGIFRSGFPDTTNLSFLKTLGLRSIIYLCPEPYPEANLEFLNANAIQLHQFGIEKSKVGADLMCHRTGCLVGCLRKLQNWCLDAIYEEYKQFAGNKSRLSDQIFLQMFDISKPP >KVI09369 pep supercontig:CcrdV1:scaffold_70:423479:424498:1 gene:Ccrd_012237 transcript:KVI09369 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDNSTQDSPLRSETSVTYESPYTLYAMAISSAAATHRSSHRRIALGSFIEEYTNRVDIVTFDEETLSVKSNPSLSFDHPYPPTKLMFHPNPKSSADILASSGDFLRLWGLRENSIEPISVLNNSKASEFCAPLTSFDWNEVEPKRIGTSSIDTTCTIWDVERGVVETQLIAHDKEVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKIVILDIRSPTMPVAELERHKGSVNAIAWAPASSRHICSAGDDSQALIWELPPVAGPMGIDPISMYSAGSEINQLQWSAAQPDWIAIAFSNKIQLLKV >KVI09404 pep supercontig:CcrdV1:scaffold_70:53254:54444:1 gene:Ccrd_012209 transcript:KVI09404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSMASKSIRYLKRIRFDLKVPQNPKRSSEFSSRYMLVSLFSSKSYRKRVNKRNLAAFKPTLDEFKFHRAVSQLLPRFKPEELDNLINVQEDPLVCLELFNWASKQPRFTHDVSTYHVTIKKLGAAKMYDEMDHVVNEVLSIPSFGSEVLYNTMIYFFTEARKLSRAVNVYKHMRSIGKLDCRPSIRTYNLLFAALYHQMGVVYDCRPNSFTFDYLIHGLCSQGRTCNARKICDEMKAKGFVPSSKSYNSLVSSLALEGALDQAVSYLRELTENERSADYITYWTLLDESCRQQRHGDAISLLTEWEEKRFVDRDTCRKLQYELEMNYGNLYDQIGPPRYVL >KVI09391 pep supercontig:CcrdV1:scaffold_70:93314:94825:1 gene:Ccrd_012213 transcript:KVI09391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MNDSMKMVGSNYLLEFAANNNVEGFKRLITPIASAINEVGLWYGRQRNSKRMLMEHRTPLMIAATYGSLDVVKLILSLSEADVNSSCGPDKTTSLHCAASSGSVNAFDVIKILLKSGADPELVDADGRRPVDVVFVPPNLSGMKDSLEKLLQNGAYGIEQEDFGLASLKSNLDCVCMSYEKKEYPIDPFFPDLKSDAYSTDEFRMFAFKIRPCSRAYSHDWTECPFIHPGESARRRDPRKIHYSCVPCPDFKKGQCRLGDVCEFAHGVFECWLHPAQYRTRLCKDENFCARRVCFFAHTPEELRPLYVSSGSAMPSPRGSPAAASTMSPPPFSPPPTMQLHDGNLQASRLRLSFNARDIQAEEVKLLLDLEMNQRHQSLVKETAAKGSEMEPPPETEKKKDMGAWFANMQLDEMVVWYDSNRDSGPRRE >KVI09380 pep supercontig:CcrdV1:scaffold_70:556704:561640:-1 gene:Ccrd_012247 transcript:KVI09380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MVEKRNLVFICVLFLVINTINAEDPYRFFTWKVTYGDIYPLGVKQQGILINGQFPGPQINCVTNDNLIISVYNYLNEPFLLSWNGLQHRRNSWQDGTYGTSCPIPPGKNFTYMLQAKDQIGSFFYFPSLGLHKAAGGFGGIKIYSRPRIPVPFPFPAGDHTVLAGDWYKRGHQRLRHILDSGRNIPSPDGLLINGRGWNGYSFGVDQGALWCCALMIVPCALMGCTFAYTGKTYRFRISNVGLTTSINFRIQGHTMKLVEVEGSHTVQNSYNSLDLHLGQSASVLVTANQPVRDYYVVVSSRFTRRVLMTTGVLHYRNSRIGVSGPPPGGPTTQIAWSLMQARSMRWNLTASGPRPNPQGSYHYGMIKPSRTIMLSNSAPWINGKQRYAVNGVSFVPGDTPLKLADYFKIGGVYNLGGIPDKSYSNGNAYLSTSVMHADFRSFAEIVFQNWEDTVQSWHIDGYSFFVVGMDGGQWTPASRSRYNLRDTVARSTTQVYPRAWTAIYIALDNVGMWNIRSGNWARQYLGQQFYLRVYSPAHSWRDELPIPKNALLCGRARGRHTRPL >KVI09388 pep supercontig:CcrdV1:scaffold_70:103182:107786:1 gene:Ccrd_012214 transcript:KVI09388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolase-like domain protein MLRTTMAALFHFHPTTMTTATATATIHSNGVLFRSLHKPLRFIIRKNYSRVVRPILSINIPRSLSSTAVPEISNNPIFYLDRDYLSCSMPNSNRPLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENFWSECPWEEDLKYAKAVCNQVDVPLEVVHLTDEYWDKVVSYLIDEYKCGRTPNPDVLCNTRIKFGAFMDAISNMDFDFVASGHYAKVVHADSDKTNGISFLQLSKDMVKDQTYFLSYLSQAQLKRLVLPLGCIPKNDVRKLARKFDLPNQDRKDSQGICFLGKLKFSEFVGRHIGEREGIILEAETGDFLGAHRGFWFYTIGQRQGLGLAGGPWYVVEKDVKNNVVFVSRNYYSVDKRRRSFHVGSFRWISGSPPQNLNQLRCKVRHGPDFYDCTLHMEVAENRSESIGTVQLPKDDQGLAAGQFAAFYEEQVCVGSGVILESWDDKGFPVCDKAREIAKMEDKSKLGKPVKIMPKPEDVRKIPDRRCKLLD >KVI09382 pep supercontig:CcrdV1:scaffold_70:452815:455847:1 gene:Ccrd_012240 transcript:KVI09382 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY KVVVKNENFHANDLDVNTGLNLVTQSDRFDGISSNGDENRTTNKLANLQNELQQMNIENQRLKEMYLQVSNNYNALQMHLVTLMQQQEQEMRNASIQNQDQNVDRPSHQGPVTVPRQFMELRQSTNEMTSRDSSSEEKTQSGSALNAVELSKNVDRDGKSTIGREDTPDSDVWMSNKVPKLVVPENADQANEATMRKVRVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRWAEDQTILITTYEGTHNHPLPPAAVAMASTTSAAATMLLSGSISSADGFMNPNLLAHAIRPNSSGIATISASAPFPTITLDLTHPPNTFQGPNSSIQFDGHHQPPSQFPPSALAMPLQAFHHGGGGATLYNQSRFSGLQLSNNKVISNQPPPHQIATPQLHQSQLSNSISAATAAITADPNFTAALAAAITSIMGGGGNNNGANTFPSNNNNETNNTYSSKHDQQLQ >KVI09409 pep supercontig:CcrdV1:scaffold_70:584482:587005:-1 gene:Ccrd_012250 transcript:KVI09409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVTASSSSTSIAFSSNSRKEQGVQFSSSNFQPSDRFNSSPAALSLSLKRSIAVKPLNAEPKRNDSIVPSAATIFAPDVEKAVGIEDIEKLAKNLEKASPLEIMDKALEKYGNDIAIAFSGAEDVALIEYAHLTGRPFRVFSLDTGRLNPETYKFFDTVEKHYGIHIEYMFPDAVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGMDGGSGSLVKWNPVANVAGNDIWNFLRTMDVPVNSLHAQGYVSIGCEPCTRSVLPGQHEREGRWWWEDAKAKECGLHKGNLKEESVNGNGAANGNSSSANSDIFESQNIVNLTRPGIENLVKMEDRKEPWMVVLYAPWCPFCQAMEASYNELADKLAGSGVKVGKFQADGDQKAYAKQELQLGSFPTILFFPKHASRPIKYQSEKRDVESLMGFINALR >KVI09395 pep supercontig:CcrdV1:scaffold_70:283658:306558:1 gene:Ccrd_012226 transcript:KVI09395 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MASMRRLARTIQMCRTIAYRRTLTPSNPPPPHLPYCTFKHNIHPYHDKSCGSTNVPGLNFLPAMLAGFFGFGLVDIAYADAPEAEAKTPSPPESPSTYVDMEVIAKNERARLEELLKSKGMHYGSCPRFTVAVKGQKVTIKFQIPPTCEIALLISNVVAHLGVKVEERATGSDMALRAWDSGVAWQLTLSRPVVQKEAVKNKGSSDANSNDGDLCILLFRSLISSDKPEMEFIKQGSFTAEELDALASVLKLAGAGQSRIADRRGDTTRTPSMDKSVTSLEGMGVKIYGLKEPKIEYQKSEISWENIAGYNQQKRDIEDTILLALQSPEVYDEIARGTRCKFETNRPRAVLFEGPPGTGKTSCARVIANEAGVPLLYVPLEVIMSKYFGESERLLGKVFTLANEIPSGAIIFLDEVDSFATARDGETHEATRRILSVILRQIDGFEQDKKCVVIAATNRKQDLDPALLRMSGRDIRDVCQQAERRWASKVIRGQAQKDEDGGSLPPLQEYIDSALERHKVLVAAKHSGESPSSISLRLLFRSPVREHKNEVISNCVFNIISINSFSLSNLRFSASILAASLTFIISRSATSLLIILSAKSRKLAAKLLLDVSELSELHPSSSEMNPPYHWTDGNLQQTMTSRDKKPAKPSTSRPGGIRTLSDLNRPTANDSDSDSDSPQEYYTGGEKSGMLVQDPNKRNDVDEIFNQARQLGGVEEPMENLRPSSSRSFTGTGRLLTGEPVPAAPEPPQNVVHNIAFWRNGFTSIRKSECPRELEPADRRSSVNVNLIRRDENHPVSLSLFIRCLGRTLGRNPDEVAPEPNTSNPAPTFGGLVLDPTLPSTSIQLRLADGTRMIAHFNHHHTVADIRAFINASRPDGSRAYQLQTVGFPPKQLTDPNQTIDQAGLANSVVIQKI >KVI09375 pep supercontig:CcrdV1:scaffold_70:434854:443142:1 gene:Ccrd_012239 transcript:KVI09375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MHNLKKILITLIILMALAFNLSATPIEEDDQDVIPTSGSLRGASRFLAQHSTGLMRCNKNPRLCRAKGSPGPDCCKKTCVNVMTDKQNCGMCGKKCRRQEICCKGKCVNPMMDKPLNLSATPMEDDEQDVIPTSVSLRGSSRFLAQHSTGLVKCNKNPRLCRAKGSPGPDCCKKTCVNVMTDKQNCGMCGKKCKRQEICCKGKCVNPMMDKPLNLSATPMZDDEQDVIPTSVSLRGSSRFLAQHSIGLVKCNKNPRLCRAKGSPGPDCCKKTCVNVMTDKQNCGMCGKKCRRQEICCKGKCVNPTMDKPINLSATPIEDDDEDDVSAFGSLRGASRFLAQHSTGLVKCDKNPRLCRAKTSPGPDCCKKKCVNVKTDKQNCGLCGKKCKHQEICCNGKCVNTMMDKRHCGGCNNRCKRGNSCRYGMCSYA >KVI09367 pep supercontig:CcrdV1:scaffold_70:413075:419197:1 gene:Ccrd_012235 transcript:KVI09367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MTKRVPPGSSSSLSTPQNLWLIWKLVILLSITFLVLTLVRIQLYYDTSPQFSSSSSARVYRRSQLPIWKDDEGFEGNPRIAFLFLVRRDLPLDFLWQSFFENADAANYSIYVHSSEAGLVFDEMSTRSSFFYNRQLSNSIKVGWGESSMIEAERLLLRAALENPANRRFLLLSDSCVPLYNFTYSYHYLMGSSKSFVDSFLDMKEGRYNPKMSPVIPMRKWRKGSQWFALVRSHAKAVAYDDVVFPVFKKLCKRRPPLDASKGKQNLKLQKQHNCIPDEHYVQTLLAMSDLEGELERRTVTYTSWMVSSTNMETRSWHPVTYNYASSNPEQIKRIKDINHVLYETEHRTEWCRSNAVLVPCFLFARKFSRDGAMRLLSQGVSGNFDASALLRTTP >KVI09376 pep supercontig:CcrdV1:scaffold_70:578100:583091:-1 gene:Ccrd_012249 transcript:KVI09376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAVLELFSLFFIFFAAFPEINAQQAKDEPYVGVNIGTDVSNLLPPTKLVSFLQQQKVTHVRIYDSDPDILKALSKTKIRVIISVPNNQILGIGSSNTTAANWINRNVAAFYPDTLITAVAVGDEVLTTVPSLSSMLMAAIEALYSALVAANLHTQIKISTPHASNIILDPFPPSQAYFNQTLSPVLAQLLRFLSRTNSPLMMNLYPYYVFMQNKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDSAYSSMKNLNVSDVLVLVTETGWPSKGDSKETYATIDNADTYNSNLIRHIFDRSGTPYHPEVTSSVYIYELFNEDLRSPPVSEANWGLFHANSTPVYLLHVSGSGEFLANDTTNQTFCVAMEGVDGKTLQTALDWACGPGRANCSEIQPGETCYSPNNVKNHASYAFDSYYEKEGRAAGHGVCIFPGSKIISNRTNTVVNSTNATSGVDASRLIRTTRFDSGFRGLVLGVVFCLFV >KVI09406 pep supercontig:CcrdV1:scaffold_70:25629:29891:-1 gene:Ccrd_012207 transcript:KVI09406 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome B561-related protein MQAAMALVLIGSLFALLKAISLWKTRAATAASTSTGSNEKLNLTKRQLELLGIKPKFEQAESKSSKEPPKPKANTSPSNILVPLHQPFMGSDHSTRINSDKSTAGSGNKMHSFSTSSKPPASPSVYLVPSQSPNLKTPPGLDQYVSTPWSSKRASSTREISTEKQLETFLADFDEKFSMSAGKVVTPPPTISGLGISSPSTNTSGTTRSTPLRPVRMSPGSQKFSTPPKKGEGDLPPPMSMEESIDAFERLGVYPQIEQWRDHLRQWFSSVLLNPLLAKIDTSHIKVMEAAAKLGISVTISKVGLDSTVGATATVSSNERNNDWQPAYTLDEEGLLLQLRTTLVQTLDASMCKSLCPSPLGGFQQMPAQNPSIPVIQEFIDVITEHQKLLALVKGEWAKGLLPQSSIRGDYTVRRIRELAVGTCVKNFEYITNRDKLNKKWNEVPTDSHLLAYLFCAFLEHPKWMLHVDPTSHAGAQSSKNPLFLGVLPPKERFPEKYLAVITGVPSVLHPGACLLAVGKQSPPIFALEEQHFGTLSCFYAIRSRLIMEALFEECILALQRWEFFQFSMMKT >KVI09386 pep supercontig:CcrdV1:scaffold_70:165352:172094:-1 gene:Ccrd_012216 transcript:KVI09386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase MAASFLPSSTFSSITASFSSSTNSRSGFGFYTSSSSFESLSRTSIGSSRRLHSRRLCNVQATILRENEKKVSVEETFQPKTLSGEENGRPSEAPNDQPSSSVGWVIKLEQSINIFLTDSVIKVLDTLYHDRDYPRFFVLETIARVPYFAFMSVLHMYESFGWWRRSEYLKVHFAESWNEMHHLLIMEVMFELGGNAWWFDRFLAQHIAIFYYFMTAFMYTLSPRMAYELKKLPPSKVAVKYYTEGDLYLFENLYDVFMNIRDDEAEHCKTMKACQTHGNLRSPHTDLENVSSEDDSGCILPDAECEGIVDCIKKSVTNPTLNHRP >KVI09371 pep supercontig:CcrdV1:scaffold_70:361062:371667:-1 gene:Ccrd_012231 transcript:KVI09371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGRNRCDQFLLLKLVAAIFVSLSFVHGTTDPSDVQALQVLFTSLNNDAQLTNWKNTTSDPCGESWRGVRCDGSAVVSIQLPGLGIDGALGYMLSGLASLKTLNLSRNALSQTIGDIFSNLTSLATLDLSNNNFTGDLPNSLSSLSSISTIYGGNTFDNGPAPPPPPFTPPPPGKSRNNRSHSPPSRTPTGSHEPSSDTSSGKKLSIGVIVGVSLGSAFLILVIVLVLLFCLRKGKGKGKENAARTSTGNLPIGGEKVNAEMQEQRIKPAASIVDLKPPPTENSTFERGKNGSTKRVKSPITTSSYTVATLQTATNSFSQDNIIGEDNAALSLQEEDNFVEAVSNMSRLRHPNIVPLAGYCAEHGQRLLVYDYIANGSLQDLLHFADDRSKTLTWNARVRVALGTARALEYVTIHKFGFAIIVTVFLALMETVFVGRYLHEVCLPSVVSTQVVGSFGYSAPEFALSGIYTVKSDVYSFGVPEPEFRPPMSEVVQALVRLMQRASVVKRRSSDDSGFIYKTPDHEAYEMSY >KVI09377 pep supercontig:CcrdV1:scaffold_70:571409:574748:-1 gene:Ccrd_012248 transcript:KVI09377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSISIFSTKTSKTLSFPFLIKNPKRTLISSSISPKSMASSWTCSRCTFINTNPLNPKCQICSSSQSPPPFSSPLSPSSSNEEKWSCKACTFLNPYKLSSCEICGTRNSSFSSNLRLEDEELEMGSSSIVGNVFLPLLQRGSNGKRKIGDEPVEVDDDDDDCVDLGVSRGFKSVDRKRVDSVTIPDRFIDLGGSRDVKSVDKSSVASEDIELRIRMRAIGDIIQLHSPNVICLQEVTPDIYAILQRAEWWKSYKCSLSFDKAITRPYSAMGRELCIAEVSVPGDTPLVVATSHLESPCPGPPKWDQMYSKERVKQANEAVDFLEKHPNAIFCGDMNWDDKLDGEFPLPDGWIDAWKELKSKETGWTYDTKSNLMLSANRKLQKRLDRFLVGLRDFKIEQIKMVGTEPIPGVTYLKQKKGGKELELPVLPSDHFGLLLTISAR >KVI09410 pep supercontig:CcrdV1:scaffold_70:591477:596768:1 gene:Ccrd_012251 transcript:KVI09410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVRSCWSSQRKISVVSYMPSIVLVTFTAALRTYPRKNTRMRFLDSAPKNHTSLWSLRIITEWISTTLEPDLVILQLLPEMFTSLLKPSRLKAEPSQGNQVQLKVDRV >KVI09401 pep supercontig:CcrdV1:scaffold_70:198913:201397:-1 gene:Ccrd_012220 transcript:KVI09401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFPVVNMEKLNGEERSATMKLINDACENWGFFEMTKGHYKKCMEERFKEMVASKGLEANLGLEKGYLKKAFYGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGVILLFQDDKVSGLQLLKDGNWIDVITNGKYKSVMHRVIAQTDGTRMSIASFYNPGSDAMIYPAPELVNKDEEENSTYPKFVFEDYMNLYTRVKFQAKEPRFEAMKTIDTVKVGPIATV >KVI09368 pep supercontig:CcrdV1:scaffold_70:422064:422555:1 gene:Ccrd_012236 transcript:KVI09368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MGLLMVLFALSLSIIPFSASVSDNEVIHDLELSHTNPRTNLETQLLALNPFYQCDIYPRVCRAKNGVAPDCCKKKCVNVDTDRLNCGYCGKKCTSNEGCCKQKCVNMLTDRLNCGFCGMKCKYNESCCRGKCKNTYVDKRNCGVCQNKCKDGETCDYGMCNYA >KVI09392 pep supercontig:CcrdV1:scaffold_70:55151:59493:-1 gene:Ccrd_012210 transcript:KVI09392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein MKADHRLLLIVTLLISSLLLSTLVHVSRAEVITLTETTFSDKVKEKDTAWFVKFCVPWCKHCKSLGSMWEDLGKTMELEDAIEVGEVDCGANRPLCSNVKIGSYPTFKLFYNGEEVARYRDYYLQGRNCRASGRYVSALRFEMVIMGNDVQKSRDELCARDVESMRTFVLEETEKAATNEQLEDDKDL >KVI03295 pep supercontig:CcrdV1:scaffold_700:16023:29601:-1 gene:Ccrd_018404 transcript:KVI03295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSPSSYSYVIVTYISCLWVLLGTASGQLSANFYATSCANFSSIISNAVSSAVSNEARMGASLLRLHFHDCFMHCRRIIIQLTTFFIFAKGCDASVLLDDTANFTGEKTAGPNNNSLRGFDVIDTIKSQLESSCPGVVSCADILTTAARDSVVALGGPSWNLVFGRRDSTTASLSAANSNIPAPTLNLSGLISSFSNQGFNANEMVALSGSHTIGQARCTVFRARLYNENNINSSFATSLRANCPSSGGDNNLSPLDVVSPTSFDNAYFSNLISQSGVLHSDQELFNNGSTDAQVRTYSSNSATFSTDFANAMIKMSNLNPLTGSSGEAMEGMQGSIPPPTTLLPTTTAFPSSTTTAFPSPFT >KVI03296 pep supercontig:CcrdV1:scaffold_700:115221:117101:1 gene:Ccrd_018407 transcript:KVI03296 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MASSPSFLPLICCLFLFSASMASAQLTANFYSQTCPTAMSIIRGAVSTAISRERRMGASLLRLHFHDCFVNGCDASVLLDDSATITGEKTARPNVNSIRGFEVVDTIKSQLERACPGVVSCADLLTVAARDATVALGGQTWNVVLGRRDSTTASLAAANSDLPSPFLDLPQLISEFGNKGFSPQEMVALTGAHEIGQARCGVFKERIYNETNIDPSFALAMQRNCPRTGGDGNLAPLDSTTPTVFDNAFFRNLVNRRGLLHSDQQIYSGGSTNAQVDRYRLNSAAFFTDFGNAMVKMGNLSPLTGTNGQIRTNCRRPN >KVI03298 pep supercontig:CcrdV1:scaffold_700:127878:130698:1 gene:Ccrd_018409 transcript:KVI03298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSCSSFTVICCLLLFTAMASAQLTANFYSQTCPTAMSIIRGGVSTAIARERRMGASLLRLHFHDCFVNGCDASVLLDDSATITGEKTAGPNVNSIRGFEVVDTIKSQLESSCPGVVSRDSTTASLSAANTDLPSPFLNLPQLISEFANKGFSAQEMVALTGAHEIGQARCGVFKERIYNETNIDPSFALAMQRNCPRTGGDANLAPLDSTTPTVFDNAFFRNLVNRRGLLHSDQQIYSGGSTNAQVDRYRLNSAAFFRDFANAMVKMGNLSPLTGTNGQIRTNCRRPN >KVI03294 pep supercontig:CcrdV1:scaffold_700:49034:51534:-1 gene:Ccrd_018405 transcript:KVI03294 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MASSSFHPLICLTFLFIASMASAQLTANFYNRTCPTAMSIIRGGVSTAIARERRMGASLLRLHFHDCFVNGCDASVLLDATATSPGEKSSRSNANSIRGFEVVDTIKSQLESSCPGVVSCADLLTVAARDATVAGINLKLNHHFAILCVDLLGGQTWNVVLGRRDSATASLDAANSDLPSPFLDLPQLISVFGNKGFSPQEMVALTGAHEIGQASCGVFRERIYNETNIDPSFALSMQTNCPRTGGDANLAPLDSTTPTVFDNAFFRNLVNQRGLLHSDQQIYSGGSTNAQVDRYRLNSAAFFTDFANAMVTMGNLSPLTGTNGQIRTNCRRAN >KVI03293 pep supercontig:CcrdV1:scaffold_700:186330:187633:-1 gene:Ccrd_018410 transcript:KVI03293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSPEFSHKSLKIKQDDKFFSRLLSKETNPSFRVYYGNVSGAVPFTWEIQPGTPKHNFSDNSVPPLTPPPSYYTSNHHDHDHKPTKRRYYPRSNLLYNLLLNINLIKKGHMASSSPSSLSSSSWSSSLSSSATSSKGYRSRRRRFTSCGSSFDDGHMYGGGSPDSVMCFGMNNNKSSSNNNGGSYTVVIMKKAFLSIVGRRSG >KVI03299 pep supercontig:CcrdV1:scaffold_700:116142:122033:-1 gene:Ccrd_018408 transcript:KVI03299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MGHEWELSFHLGLRPWIIVAYSAPFTIATAVFLIYPIVGPNLAISTSERAKVSHLHHRIAEVRKESSRVEPISVDLSVCGTSTVDLLIGMKKTPSVNQVSEESVVENGWSGAIKRSQIAITTATVASRAATVRRSAQETTPGHALSN >KVI03297 pep supercontig:CcrdV1:scaffold_700:61185:68289:-1 gene:Ccrd_018406 transcript:KVI03297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEHLKSSVHLLPRICSTVKSWSLSDPQDLVCFNQYDEILRAFLFFVEKISSLDLRVTAGEATTGDGEAGSASDGEAEMR >KVG50990 pep supercontig:CcrdV1:scaffold_7007:14175:19268:-1 gene:Ccrd_026379 transcript:KVG50990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein MVVKKMKQFQVLHLVVLVLLTTSFTEALSYAKKGCKDTCGEVXIPYPFGIXADCSINEWYNVDCTHSTPYLSAFNNMEVLWVNLEIQMVVINVPMIADRRNPVLNSSNILNTNHGDSPFLLCKVHNKLVVEGCGNAVITHDGTILNGCSSTCRNDTVGDINKCFGTGCCQTTIPHYLESFTLNLTCLESQGGYEVCRSALLMDDNLVIGKNFSLRSIPGNGASVPIGFLWTLTDRDYDDLKGCSVFDTSLSLDVSKETRVKSRKCDCGVGRYGNPYLEGGCEEALSYAKRGCNDTCGEVSIPYPFGIGADCSINEWYNVDCTHSTPYLSAFNNMEVLWVNLQLQMVVINVPMIADRHNPVLNSSTILNTNHGDSPFLFCKLHNKLVVEGCGNAVIMQDGSIVSGCSSTCRNDTVSDINKCFGTGCCQTRIPHYLESFTLNLTCLESQGGYEVCRSALLMDDSLVIGKNFSLGSIPGNGASVPIGFLWTLTDRDYHELNGCSVHKSVRLTVGKESRVKSHKCKCGVGRYGNPYLEGGCEGMQ >KVG50989 pep supercontig:CcrdV1:scaffold_7008:369:6613:-1 gene:Ccrd_026380 transcript:KVG50989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MTIKLVVSCNEFGNVSSLLATKIEGEDDDLSIMRNHEEETAVGLRRRGCSYQKGDFLPEESFKTWDNYTTALMETPHRLMDRLLTRSGDQAELDARARSQTQMKKTLTWWDLIWFGMGAVVGTGIFVLTGLGAREDAGPAVVLSYVISGASALMSVFCYTEFAVEIPVAGDFVAFIAAGNILLEYVIGGAAVARSWTSYFATLCNYEPNDFRITAHGLAKDYNHLDPIAVGVIAIICIIAVVSTKGSSRINYVASVVHIIIILFIIICGLINADTENYKPFAPKEARGVFKASAMLFFAYVGFDAVATMAEETKNPAKDIPIGLVGSMVITTTLYCMYLTHIARTHMMPPWFAIVDAKTGTPVNATIVMLMATAVVAFFTKLDILSNLLSISTLFIFMLVAIALLVRRYYVTGVTTAANRNKLIACLLTILLSSCATAAYWGISEHGWIGYYITVPVWAAGTTSLWIFVPQAREPKMWGVPLVPWLPSSSIAINIFLLGSIDKDSFIRFAAWTGLLLMYYFLFGLHAAYDTAREVEKQWNKVEEGKEMNKGFENKNGLIATSDAS >KVI00585 pep supercontig:CcrdV1:scaffold_701:128731:134418:-1 gene:Ccrd_021170 transcript:KVI00585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MGEVIPPFYHCILSQGKDQEEAKEKAIENLKLVEEHLKGKQFFGGETYGLQDFAFGWLAYYPGIIRKAVNLEMLDEETFPNLCAWKERFSDFPVIKENWPDEDAVILMTWSSPFALRVVWALKLKGVEYETIYEDLANKSSSLLEYNPVHKKVPVLLHNGIPICESLVILEYIDETWNEGGEDQEKAKEKVLENLKFVEEHLKGKKFFDGEVFGFLDLVFGWLVAPSVFHAYTSQEMEKEEAKGLALQNLDIIEKQLIGKKFFSGATFGFLDLAFGWIANYLGIFEETGGIKLLDKERFPLILEWKENFSNIPEIKENWPDREKLVTKFRLMREYYISVAAAKRTIS >KVI00578 pep supercontig:CcrdV1:scaffold_701:82893:91340:1 gene:Ccrd_021166 transcript:KVI00578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant nuclear matrix 1 MAAKQMGEIQRKLTVLEYPRANAPSQSLLFAGMERYSLLEWLFFKLLGDKSPFSQQKLHGDAMDRDEETSRIQYLAEIAKFLGITTTVDTEAIQGRGSYEERTEMLRLIVDLVEASIYADNPEWSVDEQVAKDIQLIDSIAEKQAQIFSEECKLFPADIDSIAEKQAQNFSEECKLFPAAVQIQSIYPLPDISDLEKQLASQSSRLLSLQEMVDDLASKHSYNPDEDYAEVDMKLRTHLESFLETAKSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAAVAVGSSETISGQPSSVSRIISECESALTFLNRDLGILSASIARDEAKKSTPNIDQSNM >KVI00588 pep supercontig:CcrdV1:scaffold_701:166556:166861:1 gene:Ccrd_021174 transcript:KVI00588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIDVLGRKGMLAEACELVKHLPLEFPAYTWGTMLSCHGVHENFELGEMGARKLSGTQPIYAAMVVLFSNIYVERGMWEDAANVRTLLECHGVKKDLVCSWI >KVI00580 pep supercontig:CcrdV1:scaffold_701:37409:49685:1 gene:Ccrd_021164 transcript:KVI00580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAAHHGGGYPPQGGYPPQGAYPPQGYPPPGGYPPAAYPPAGGGYPPQGYPPQGTQHGYPPAGYPGQSAPHSSGGHGHGPGMGTMLAGGAAAAAAAYGAHQLAGHGGHGGHGSHGGHNLAHGAQNMMGGMGHFSGGKHGKHGGGKFKQGKHGKGGKHGKFGKHKGKMSGFKKWNKMGGGEDGQNDKDKGLFSHLAGYAAGAGHNPPQHGPYPPYGSHGYPYPPPGGYPPSGYPPPGGYPPQAYPPPGGYPPAGYPPHSGYPPAGYPPAGYPGPSAPYHSGHGRPGMGTMLAGGAAAAAAVYGAHHLSHGHGGYGHFGGHHGKFKHGKFKHGKFGNRWKHGMFGGRHKGFFGGKHKMWK >KVI00584 pep supercontig:CcrdV1:scaffold_701:136017:137966:1 gene:Ccrd_021171 transcript:KVI00584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIIPVKRVKKTYFPFTRIRALYSDANSLFQYNSKITRCFRNGDIKAALDVFDEISVKNTVTWNCMISGYVKNGMISDARQMFDVMPSRNVVSWTAMLNGYAKCGRLDEARSLFDVMPDKNVVCWNAMLSGYVQNRQIEDAKRLFDQIPEKNPVSWATMIEGCFCKGLVGEAKKLFSSCLFEDVLINNAMLTGYVEIGDLESLWKLFTGMTELDVASWTSTIRCFTRAGKMEKAQELFDEMPTKDIIAWTVLIRGYLQNNKIKEARKLFDEMPRRDTVSWNSMIGGYVKIGRLEEAFELFKKMPKHNIVSWNTILQGYAQDHDMLKAWIFFDKIPNKDRTTWNIMICGYQNDKSLDLYTQMLQNGIKPDQVTFTGMISVCGSLAVNCWGKAMHLCVIKYAYDNDPIVLSSLISMYSKCGFMNDANLVFDTTSRKDTVSWNAMIVANAYHGSAMKSLKLFFSMTKFGFKPDHLTFSGLLTGFAHSGMVHESWKFFNSMEKDWNVKPTAEHYAIMIDVLGRTGMLAEAYELVKQLPVELPVYTWETLLSCCRVHENFELGEVVARKLSGTRPLDVGMGVLQSNIYAARGMWEDAASVRALLACGKVKKDLACSWIELKGRVFWFVYNDRSCIQLEELHRALKSLSAAMDIYG >KVI00576 pep supercontig:CcrdV1:scaffold_701:115062:120483:1 gene:Ccrd_021168 transcript:KVI00576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MKTAMLSSNACFLVESIISVSCLLGLLAVVRSSSISFTTDLIHRDSPSSPFYDPSNTLFRRVVSAMNRSFDRAKFFNSMRSSGVTNIFPNPQIADYLMKISIGTPPLEIFGVADTGSDIIWTQCEPCSYCYDQKPPIYSPNSSSSYDSITCDSTTCMLVPMRSCSSSDNTCLYLVVYGDLSYSSGELATETITLESSSSSSSSSDHGGSSFAFTKVVFGCGHRNAGMFTYDQSGIIGLGGGPFSLISQMGSSINRKFSYCLVQMFSHAERSSKMYFGDAGTVVGDGVVSTPIFSGYPETFYYLNFQGMTVGDQRVEFYTTKPKSVEGNIIIDSGTSLTLVPGEFYQRLQSAVRRSMADIHPIGGDLQHNLGLCYNPLEVMDLPVMVAHFNGADLKLDPMNTFVQMSNDSICLAFAPVDGVVIFGNLAQMNFLVDSNQSSSYVLNV >KVI00582 pep supercontig:CcrdV1:scaffold_701:27927:30557:1 gene:Ccrd_021162 transcript:KVI00582 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB domain-containing protein MASAARVFNGCRSLCAAAKSATPKTTATAATTTTAAKKKSTVKKSAEKPAKKLKQPSTERIVKPVGILKPSPISPALGDFLGGVSESPRTEAVKKIWEYIKLHELQATNLDLLDQYSGTLPSSNPLFPLFCSEMWKFWLQNPTNKKEIICDEKLKTIFDGRDRVGFLEIAKLLAPHFVKTS >KVI00583 pep supercontig:CcrdV1:scaffold_701:31341:35819:-1 gene:Ccrd_021163 transcript:KVI00583 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI inositol-deacylase PGAP1-like protein MALAPSFKPLPLPSPPVKHTLQLSTSRRFSCTCSAVAQPDSSSTSLSSSSVIHRPAVILPGLGNNTGDYEKLALTLQGYGVSSVVANVSRIDWLRNAAGLVDPNYWRGTLQPRPVLDWYLIRVDEAVNEALKQSQGGSLSIIGHSAGGWLARVYMEEFKKANVSLLLTLGSPLQYVLLSKFASRLICRCFKQSFLFYVNFRPPPKGLPGVVDQTRGLLYYVEKNCRKAVYTPELRYVCIAGRYIQGSRFFDDSDSATSIPVALEQSVPNVAVESDTSTLTSTGATFRTRFVCGQADVWGDGVVPEVSAHLEGALNISLEGVYHSPVGSDDESRPWYGSPAVVEQWVHHLLN >KVI00577 pep supercontig:CcrdV1:scaffold_701:120488:134550:1 gene:Ccrd_021169 transcript:KVI00577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRPLNLKLHPFAQQIQEFLYVSISKALSLTSDIKELRKIHSILVTSGLDRTVFFSGKLISKYAQLKDQHSCSSVFVSVSPTNNVYLWNTIIRAMTHNGLFLEALDHYLKMRVLNIKPDTFTFPSVINSCACSGQSEIAKIVHQHVLVLGFGSDLYISNALIDMYARLYSLDIARRVFDGMSKRDVVSWNSLVSGYSAHEEWEEALEAFYQSRTAGVTPDSFMVTSILPACSGLGVIMDGQMVHGLSVKLGIDIDTRVCNSLLSVYFKSNNLKESDRVFNEMAVRDTITWNTIITGYSQACLYQESIKLFMEMLNEHKPDLLTVTSVLGACSHIEDLKSGKFVHNYMAVNGYECHTTASNILIDMYVKCGNLPAAREIFNKMKSRDLTSWNSLINGIIKAAQYEEALATFGAMKMDTKPDFVTYVTILPVCVLLRSIKLAKELHCETIKSGFNASVIVKNALIDVYAKSGKMDDALNQFEDMKDRDTVSWNTIIAACSHSEEYDLGFRMISRMRIEGIPPNEVTILSTLPLCSSSGAKRQGKEIHGCVFKSGFMSNIPIANALIEMYSKCGILRNSILIFERMKSRDVVTWTALIYAYGMYGEGKKATTAFENMKAAGVIPDQIAFLAVIFACSHSGLVEKGRFYFNQMKKDYKMNPKIEHYACFVDLLSRSGQLAEAEEFILSMPLKPDASIWGTLLSACRANGDIKIAERASKHIIELNSNNAGYHVLVSNVYASLGKWDQVRMVRKSIKAKGLKKDAGYSWVDMGKKIYAFRSGDKFFEQYEEVNQLLEVLAGLIAKEGYVPNLRSVLHDVDEDEKRDILCGHSERLAIAFGLLKTKPGTPLQVMAFYLIQSDLLTWEADKGGWIGWESKVLNPSYYCSKEFLEKEDSNIY >KVI00587 pep supercontig:CcrdV1:scaffold_701:138332:156412:-1 gene:Ccrd_021172 transcript:KVI00587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAELILGAIMDVLFQKLASGDLLKLARSEGIHSQLDKWNNTLLQIQALLVDAANKHITDRAXDFWLRNLQDLAYQIDDILDDLATEAIRRKLNKDSHAXSSTNTGKLRKLIPGCCTNFTPHTIVYGHKMSSKLDXITTKLHTLFDQKHNLGLDVNVKRPNRRNRRLEETSLVDVSKVMGREGDKEALLHRLLWNEACNQNVSIVSVVGLGGIGKTTLAKLVYNEKRVKDHFELRAWVCVSEEFDVFNISKAIFQAVAGTNQEFANLDLLHVGLKERLSNKRFLVVLDDVWNEDYKEWELLQSPFVVGAPGSKVIVTTRKTKVASVMNSFQPYDLKVLSEEEAMSLFAQYAIDEHNFDKHPKLKLHGEGIVKKCGRLPLALITLGRMLRTKTEDDEWEEVLNSEIWNLDDGRDILPALRLSYYDLPSHLKQLFXYCSLFPKDYVFDKNQLVLLWMAEGFLNQSNGRKSKESLGREYFEELKSRSFFQTSTTVQXRYIMHDLINDLATSVAGEFFFRLDDKMDTYDVNESFEKCRHVSFIGREYLTYRKFKELQRARGLRTFLPVSLNSWSYYNISNKVLAXLLPXLQFLKVLSLSEHSIXEIPHSIGSLKHIRYLNFSYTNIERLPEQVSDLYNLQSLLVCGCQKLSNLAISFVKLINLRHLDINDTPMLNKMPLGIGGLTGLQTLPKIIIEGGNGFKISELKGLSDLXGRLSIEGLDKVMSPIQANDAKLQEKKGLDDLVMEWSDDFDDSRNHTSEYEVLEGLRPHYKLRKLLIWFYGGMKFPSWVGNPSFDRLTELRLRDCRRCACLPALGXLQSLKELCVEGMDGVKTLGLELFGRADSLHGIVFPSLETLRFDDMQGWERWSTRRGDNDGIARSFPRLGEVSIXNCPKLVEISIDLIPSLKDLYIEGCSKDVFNSMVGVSSSIRGLRIENIEGLAQLNGERLGAVEHLSISWCHELRYLCESEFLVCLRXLEIINCKKLVSLPELPSSLRILQVXSCESLESISDKGFGIIPLEHLWIRNCENLKSFPREHLESLTSLEELFISDCPSMDYSFPCGLWPPNLRRLKIGCLNKPMSKWGILNYPTSLVHLTLHGVNSGVVSFVANAKDVTSTSFLLPPSLMSLAIXNFMELELVSEALRRLPCLKDVDIYSCPKLEDLQETNTTDPSSLRIMLSSLNFSFWITKCQDASQAIEALIGGLIMDVLFQKLASGDLMKLARSEGIHSQLDKWNNTLLQIQXLLVDAANKHITDXAVDFWLRNLQDLAYQIDDILDDLATEAIRRKLNKDXHAXSSTNTGKLRKLIPGCCTNFTPHTIXYGHKMSSKLDXITXKLHTLFDQKHXLGLDVNVKRPNRRNRRLEETSHVDVSKVMGREGDKEALLHRLLGNEACNQNVSIVSVVGLGGIGKTTLAKLVYNEKKVKDHFELRAWVCVSEEFDVFNISKAIFQAVAGTNQDFANLDLLHVALKETLSNKRFLVVLDDVWNEDYREWELLQSPFVVGAPGSLSEEEAMSLFAQYAIDEQNFDKHPTLKLRGEGIVKKCGRLPLALITLGRMLRTKTEDDEWEEVLNSEIWNLDDGREILPALRLSYYDLPSHLKQLFAYCSLFPKDYVFDKNKLVLLWMAEGFLNQSNGRKSKEXLGREYFEELKSRSFFQTSTIEQYIMHDLIIDLATSVAGEFFFRLDDKMDSYDVNESFEKFRHVSFIGQEYGTYRKFKELQRARGLRTFLPVSLYSWSRLHLSNNVLAEVLPQLKFLKKLSNLPISFVKLINLRHLDISDTPMLNKMPLGIGGLTGLQTLPKVIIEGGNGFKISELKGLSDLEGRLSIKGLDKVISPIQANDAKLQEKKGLDDLVMEWSDDFDNSGKHTSEYEVLEGLRPHYKLRKLVILFYGGMKFPSWVGNPLFDLLTELRLHGCRRCTCLPALGYLQSLKELSVEGMDGVKTLGLELFGPTDSFQVIVFPSLETLRFKDMQGWERWSTRRGGNDGIARSFPRLRIVSIRDCPKLVEISIDLIPSLGDLWIERCSKDVFESMVGVSSSIRVLKIKNIEGLAQLTGELLGAVKDLSIGECDELRYLCESESEACKFLVSLRILEISNCKNLKSFPHEHLESLTSLERLLISHCPSMDYSFPCGLWPPNLRGLTIGCLNKPMSKWGIQNYPTSLVDLTLFGENSGVVSFVANAKDVTSTSFLLPPSLMTLDIIDFMELELVSEALQRLPCLKFLDIWSCPKLEDLRETNTTGPSSLRIAVLDFEGLGRVLHTTREATEQSEIIEEDQIENQVQVAEDILIFEHAG >KVI00579 pep supercontig:CcrdV1:scaffold_701:102795:104114:1 gene:Ccrd_021167 transcript:KVI00579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MEVFSLALGITLAILYTVGCSPVTTIGTASGATFVVDLIHHDSIQSPFYDYGMTFSQRLSRALQRSFNNAKRFESNSLTYQTQIIPDQAEFLMNISFGNPSHQVLAIADTGSDLPWIQCKPCIQCYKHTGSIFNPKLSSTYKALGCKSETCKTISLFDNNCSSTKNCQFTLSYGDGSYSVGDVATETIKLGGQPLQDIVFGCSFRNGGVFRETWGGIIGLGGGDFSLVSQISTLVTPEFSYCLIPFPTDDHLSKLSSKLIFGDISSGSQAVSTPLVPKWPRTFYYVTLEGITVGDRRLKYSDSTNPLKRMHKGNMIVDSGTMLTMLPTKLYEKVETAIKENLTDVRTVKDPQKQLSLCYRAKRVKHAPEITMHFEGADVPLLRYNVFVMVSKRIMCLAMAPSSDNAIFGNLAQSNFLVGYDLGKRSLSFRRTDCTGLKV >KVI00586 pep supercontig:CcrdV1:scaffold_701:166190:193650:-1 gene:Ccrd_021173 transcript:KVI00586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MCPKLWVGKGLDDLVMEWSDDFDDSRNHTSEYEVLEGLRPPYKLRQLEIWFYGGLKFPSWVGNPSFDRLTELRLRDCRRCACLPALGHLQSLKELCVEGMDGVKTLGLELFGPADSLHGIVFPSLETLRFKXMQXWERWSTRRGDNDGIARSFPHLGKVFISNCPKLVEISIDLIPSLGYLHIERCSKDVFESMVGASSSIRVLRIENIEGLAQLNGELLGAVEHLSWKYLCESEFLVSLRELEISNCKKLVSLHELPSSLRIFRVDGCENLESISDKGFGIIPLKHLQIRNCKNLKSFPHEHLESLTSLEELWIYNCPSMDYSFPCGLWPPNLRHLLIGCLNKPMSKWGIQNYPTSLVHLRLYGENSGVVSFVADAKDVTSTSFLLPPSLMTLTIRNFMELESVSEALQRLPCLKDFHIFSCPKLEDLQETNTTDPSSLRIKEALMRGPAKGNSRRSSLASSLGFMDVLFQKLASGDLMKLARSEGIHSQLDKWNNTLXQIQALLVDAANKHITDSAVDFWLRNLQDLAYQIDDILDDLATEAIRRKLNKDSHASSSTNTGKLRKLIPGCCTNFTPHTIVYGHKMSSKLDEITTNLHTLFYQKHNLGLDVNVKRPNRRNRRLEETSLVDVSKVMGREGDKEALLHRLLWNEACNQNVSFVSVVGLGGIGKTTLAKLVYNEKRVKDHFELRAWVCVSEEFDVFNISKAIFQAVAGTNQDFANLDLLHVALKERLSNKRFLVVLDDVWNEDYREWELLQSPFVVGTPGSKVIVTTRKTKVASVMNSFQPYDLKVLSEEEAMSLFAQYAIDEHNFDKHPTFKLHGEGIVKKCGRLPLALITLGRMLRTKTEEDEWEEVLNSEIWSLDDGIDILPALRLSYYDLPSHLKQLFAYCSLFPKDYVFDKNKLVLLWMAEGFLNQSNGRKSKESLGREYFEELKSSFVKLINLXHLDXXDTPMLNKMPLGIGGLTGLQTLXKXIIEGGNGFKISELKGLSDLEGRLSIEGLDKVMSPIQANDAKLQEKKGLDDLVMEWSDDFDDSRNHTSEYEVLEGLRPHYKLRKLLIWFYGGMKFPSWFGNPSFDRLTELRLRGCRRCTCLPALGHLQSLNKLYVEGMDGVKNLGLELFGPTDSSHGIVFPSLEILSFSDMKGWERWSTRRGDNDGTARSFPRLGIVFISDCPKLVEISIDLIPSLGDLHIRGCSKDVFESMVGVSSSIRVLRIWNIEGLAQINGELLGAVEDLSISGCDELRYLCESESEACKFLVSLRNLNISNCKKLVSLHELPSSLRVLSVYDCENLESISDKGFGIIPLKYLQISGCKNLKLFPHEHLESLTSLEELLIYKCPSMDYSFPCGLWPPNLRRLVIGGLNKPMSKWGIQNYPTSLVNLFLLGGDSGVVSFVANAKDVTYTSFLLPPSLMTLEVHDFMDSSFPSDAPPNGSCQTATVPVRCATKQQLFAASFPSTNDPTTAAVSVFNQQLPTASSSHSKNPFDNGADGWCPLLLNRKMRHSCGDQQREIVGVKVVLKLDFFNEKVKQKAMKNVSSLPGVDSIAMDTKDKKPTVTGDVDPVLKLASGDLMKLARSEGIYSQLEKWNNTLLHIQTLLVDAANKHITDRAVDFWLRNLQDLVYQIDDILDDLATEAIRHKLNKDSHASSSTNTAKLRKLIPVGLGGIGKTTLAKLVYNEKRVKDHFELRAWVCVSEEFDVFNISKAIFQAVARTNQEFANLDLLHVALKERLSNKRFLVVLDDVWNEDYREWELLQSPFVVGAPGSKVIVTTRKTKVASVMNSFQPYDPKVLFEEEAMSLFAQYAIDEQNFDKHPTLKLHGEGIVKKCGRLPLALITLGRMLRTKTEDDEWEEVLKSEIWNLDDGREILPALRLSYYDLPSHLKQLFAYCSLFPKDYVFDKNQLVLLELFGPTDSLHGIVFPSLEILSFSHMKGWERWSTRRGDNDGIARSFPRLGNVSKDVFKSMVGVSSSIRVLRIQNIEGLAQLNGEVLELLGAVEDLSITGCDELRYLCESESEVCKFLVSLRKLEISYCKKLVSLHELPSSLEALSVYHCDNLESISDKSFGIIPLEYLRISSCKNLKSFPPEHLESLTSLDRMEISDCPRSCSTILHHALASSSKVRERLLRFRSLSSMSDGAFHQTVAAPH >KVI00581 pep supercontig:CcrdV1:scaffold_701:66706:70047:1 gene:Ccrd_021165 transcript:KVI00581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MQINTLHLYLYASIFFHLGSSLGSPSIAKPDPYTSSSAIKTDSEALFQFKNMIEDDPDGVLSDWNLNMTPCKWHGVTCTQGRVTQLGLTESDLVGSITFSPFSSLDMLTLLNLSENPDLFINSTSIFQLPFGLEQLILSNSGITGSIPDNFLVKFKNLAVINLSKNNLTGSLPETLVSNPDSVQVLDLSSNSIVGRIPIAISNYTNLKNLNLSYNLITGNIPIALGNLKRLQIFGFSHNQLTGWIPEELGNTCESLLELGLSFNNITGTIPGSFSSCSWLRVLDLSNNNLTGPLPDSMFQKMASLERLVLSNNKISGPFPSTISFCQKLKVVDFSSNMLSGTIPSGICPGAASLEVLRVPDNLISGEIPENLSQCWKLKTIDLSLNYLNGTIPAGIGNLENLEQLIAWYNGLEGNIPVELGKCKNLKDLILNNNQLSGRIPVELLNCSNLEWISFTSNSLSGEIPPEFGRLSRLAVLQLANNSLSGKIPTELGNCSSLVWLDLNSNKLTDEIPPRIGRHIGAQPLIGILSGNTIVFVRNIGNSCKGIGGLLEFAGIRPERLLQVPTLRTCDFTRMYSGPILSLFTQYQTLEYLDLSYNDLSGKIPDEFGEMIALQVLVLSHNRLSGEIPDTFGHLKNMGVFDASHNRLQGPIPESFTNLSFLVQIDLSNNELTGQIPTRGQLSTLPESQYANNTGLCGVPLPECRTMNNQAEDPGGDGRRYNGGGGQSSAKTWANNIIMGILILVAIVCLLIVWAVAMRVKRKVVEEQKMLQRLQAAHSATTWKIEKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSSESLIGCGGFGEVFRAKLKDGSSVAIKKLVRLSCQGDREFMAEMETLGKINHKNLVPLLGYCKIGEERLLVYEFMEFGSLDEMIHRRSINGASDRKVLGWDERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHEMEARVSDFGMARLINALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVFLELLSGKRPTDKEDFGDTNLVGWTKMKVREGKSRDVIDPELLSRAEEGEREDVKEIVRFLEVTLQCVDDFPSRRPSMLQVVTLLRDLNVPTNPVARET >KVG50966 pep supercontig:CcrdV1:scaffold_7013:7567:7797:1 gene:Ccrd_026381 transcript:KVG50966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDNKMVLQQIKVFPEDDIDAAAEALNDMPIKRLYMVVEMAAQGDSSGSTEAIYSDKETIQISHFYECLKDIIRY >KVI01591 pep supercontig:CcrdV1:scaffold_7014:18188:18406:-1 gene:Ccrd_020134 transcript:KVI01591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSSPTKRRREEELISDFGWRVKERWRNKRVVVNFHKAKGVLDQLENKKVVEVEKKDDDEKEEGEDCTSH >KVH96817 pep supercontig:CcrdV1:scaffold_7015:19973:20886:-1 gene:Ccrd_001091 transcript:KVH96817 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase 2-like protein MDFLIDSIYFESFVISKFAVLQPLIPLVGHRXVLALSGYLLSNMSFVFPALFLYRLSIIILKDSEATLRASILFCFNPASIFYSSIYSESLXALLSIGGLYFLMSGANDFAVLWLAFSGCARSNGVFNAGYIGFQTMHRAYDAVFLQKRAFVSLKSPLDFYISCTNLSSPGLKFIISGFIISKYEDDVVSIANLSCWFRSDG >KVH96818 pep supercontig:CcrdV1:scaffold_7015:21484:21946:-1 gene:Ccrd_001092 transcript:KVH96818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant QWDYKVLWSFGLACLDIHALRLKKDLHNHIFLSLLVVAACSSAGVMVLFVKDTDICRSHNLLSCNTFQISIALAFVAWFLLAISSYVMFWLLACTI >KVH96816 pep supercontig:CcrdV1:scaffold_7015:5195:6691:-1 gene:Ccrd_001090 transcript:KVH96816 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4408 MASSSSSNSWILSLKVLLVSVGVVSTAMAMKLAVPLMLNFAVYDLPVIWYVVVSWLKPPYLYVVINGIIITIAASSRFQHNRHQENQSQQQVDPPNALSPSDLTSGLPPVTIHPSFGCVEHPTVAYDSEPSVMVEPPVVYESKRGVMDVETVAVSGSEVVRGVEDEFVVSRSSWNPPQNIINSPPPPKKVQSEFILTVKEKQLVTSRFAHHRKPTKTSPEGARALRVLKPKKHETMESTWKMITDGRHMPLTRHLRKSDTFGNVHRDNQSDDSPAAAKTKVVKKSETFKDRINYENENQYPHPSSRNSSPASGGKLRKEGSMSHDELNRRVEAFIKKFNDDMRLQRQESLNQYMEMVNRGPH >KVH96926 pep supercontig:CcrdV1:scaffold_7017:14291:16743:1 gene:Ccrd_000981 transcript:KVH96926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor SUI1 MSNTGVLVEHSSFMSELDIQIPTAFDPFAEANAENSGAGSKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGKAGIVKKEHIKIHGF >KVI00946 pep supercontig:CcrdV1:scaffold_702:8418:16644:-1 gene:Ccrd_020789 transcript:KVI00946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MTDGCSLHVNSGGDNLRVNENNVEVLYEGDASFDGSAGSFHRSTNNWGLSSTGDFLDDYIQTNRYVESLQGTNLTSLYTTARLCPLSLTYISYCLENGDYIVNLHFAEIQYTNDSTYLNLGRRIFDIYIQGQCVKRDFNIEDEVGIRRPVVLPFNASVINNTLEIRFYWAGKGTTRLPKRGRYGPLISAISINPYSKKCAIGGKTKKTNKIAYAGFALVGLCLLFIISAVLWWKRCSEDRKRNDKDFEGLEFKTTSFSFKQLKTATCNFNATSKIGEGGFGPVFKGTLRDGTIIAVKQLSSRSRQGNREFLNEIGMISCLQHPNLVKLHGCCMEGDQLLLVYEYLENNSLANVLFDSNQSRLLLDWPTRFKICVGIARGLAFLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLARLHEDENTHVSTRVAGTIGYMAPEYALWGHLSDKADVYSFGVVALEIVSGKNNNRFIPNNDSVCLLDWACRLQNNKHYEEIFDERLESKINREEAEIMVKVALMCTNGSPSMRPSMSEVVSMLEGRTCISDIEPEVSHYFEDLRFKSMGGGHPTQSSLETQYSATIQSESCLSPSDHSEIVSGEPRSY >KVI00948 pep supercontig:CcrdV1:scaffold_702:31249:35493:-1 gene:Ccrd_020790 transcript:KVI00948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPLEKMMSKVGYVLFLALNLIILVRVSDSVVPEEEVEALQEIMELMGTTNWRLNGKSCQLEVISEVQKPHPEADARVECDINCNNGNSSNCHVVSITHKYYSLGGVLPPDLVKLPHLHTIDFAFNYLGGTIPSELGSIPLQSISLLGNRFSGEIPGELGNITTLTYLNLEANNFSGTVPSELGRLINLQALILSSNRLTGTLPASFAELRNLKDFRISDNNFSGSIPNFIQNWRQISKLEMIGTGLQGPIPSNISLLQDLTDLRICEVSGSTQGFPSLDSATNLQTVVLRSCNISGEIPAYIWQK >KVI00949 pep supercontig:CcrdV1:scaffold_702:72824:85212:-1 gene:Ccrd_020791 transcript:KVI00949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MLVKKALFAYFMALSFMLLLNFAESTVPQEEVRALEEILSSMGATNWRFNGESCQLEAISELPKLNQEALASVKCTDACNNGNSSNCHVISIEHKFYSLGGVLPPALVKLPYLQTIDFAYNYLNGTIPAEWASTQLQSISLLGNRLTGEIPRELGNITTLKILNLEANQFSGSVPSDLGRLIRLESLILSSNRLTGTLPMSLAELRNLTDLEMVGTGLQGPIPSTISLLENLHNLRISDLNGPTQGFPPLDNAIGIETVILRNCNISGEIPSYIWQKRELLMLDASFNRLVGEISGDIIGRRLRYVFLTGNMLSGNIPDTLLIEGAAIDLSYNNLTWQGPDRPTCQPIMKLDLNLFRSSSTGNTLQDIMPCGKDIVYECSLHINSGGSDLTVREGNVEVLYEGDASFDGDGASYHRTSSNWGLSSSGDFLDDSIQTGLYVESLQGSTNLPPLYTTARRSPLSLTYISYCLENGNYLVNLHFAEIQFTNDSTYINLGRRLFDIYIQGQRVKRDFNIENEAGGIQKPVVVPFNASVVNNVLEIRFYWAGKGTTRIPRRGFYGPLVSAISVNPCNYRQGSNSQLLQVGKVSIMIMQLSLADFRTCSIDGNKKNKAVYVGSGIASLCLVLLILAGLWWKGCLKCRKRKDRDLEGLDLNTISFSLKQLKTATSNFDAANKIGEVKQLSSHSRQGNREFLNEIAVISCLQHPNLVKLYGCCIEGDQPMLVYEYMENNSLANALFGSNNSRLVLDWPTRGYMAPEYALWGYLSDKADVYSFGVVALEIVSGQNNNAYIPNNDCFCLLEWACRLQTSKTYDELFDEKLGSEVNKEEAETMVKVALLCTNGSQSMRPTMSEVASMLEGRTIVPEIVLETSGYTTDLRFKAMRDFQEQQSRTRSSNNTNQTSTYPLSTSSLNTARSEIQHHEKQLPGEGGSQSSNQNR >KVI00947 pep supercontig:CcrdV1:scaffold_702:120647:143622:-1 gene:Ccrd_020792 transcript:KVI00947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAESKTDVSLKDQGNEFFKAGNYLKAAAIYTQAIKKDPSNPTLYSNRAAAFLNLVKLQKALSDAETTITLNPSWEKGYFRKGCVLEAMERYDDALDAFRIASQHNPQSTEVARKIKRLTQLSRDKKRSIEVDNMRSNVDLAKHLDGFKSELIQKHVSEESWKDTFSFVVETMETAVKSWHQTSNVDARVYFLLDKEKTDTEKYAPVVNIDKAFESPHTHGDCVTFLRQYAVESFSRAACLVAPKSIISYPQVWKGQGPRKWKHGQSDGFFVQFESPLLRKEWFIPNSSEKGQILCR >KVG50699 pep supercontig:CcrdV1:scaffold_7024:12874:19149:-1 gene:Ccrd_026383 transcript:KVG50699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKFGKGDRWKKVLDPIFIYLNTVQNRKDPRTFSDDAKNQMFVEIKKCHMIFMLQRTSNLLVNGVPLQFIIGGRSIPLKRAYVGLAPPGDVGSWQRESKIINIIPRVNINLGDLLYEPQRDGPTLWEIGVPDRIAAEFFIPDPNPKYLNRFLLNYRPNRLVMKRVELGMSPCRGKEPTNKVERGEHGIDTGGSGGFGARDDISSFLPVASDSTDFEASLHDARDYEEPQKDICRPGLGWKDPRHEGTFFFDLHRSVRLRLCLPTSMLCGLPAAACATGAVPVLPMCACAPLPPAEC >KVG50700 pep supercontig:CcrdV1:scaffold_7024:7456:8997:-1 gene:Ccrd_026382 transcript:KVG50700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDDQQQGIIGRDNAITRHGIHGLYWLFNNEIPGTVLYSNRLNHIFLKQANNESHFQGVMYDYIRLEARH >KVG50686 pep supercontig:CcrdV1:scaffold_7025:10245:11606:-1 gene:Ccrd_026384 transcript:KVG50686 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTGHRKILIVAYPAQGLLNPSLRFAKRLLNMGVDVTYSTSLSAIRCIDEKTTPHGLTFSPFSDGHDSGQQPDTTLQQFVSDFATNGASAVAEILSFATAAGQPFDHLVYTTVAPWAALVADAHGIQSTVLWCQSATAMDIYYYYFDGYQGLISSNNNNPIFPINFPGLPPLIIADLPSFFLPSNANENHFVISLLKDHVDALKLSPTILVNSFNELEPESIRAIEKLVFLPIGPLVPSEFLDGKESLNNSSGEDFIKIPAEDDYIQWLNTKPKSSVVYVSFGTLATLSMDQIEEVASGQLECRRPFLWVIRDSLSAERLSKIDLLKKQGMIVNWCSQAKVLCDEAIGCFLTHGGWNSTVEAVVGGVPMVVFPQWADQAKNGKMIEDVWRTGVRVRKREGDGMGEGREIERCLKMVMGDEKMKRNAEKWRNLAREALNNGGSSTINLQAFLDDL >KVI00908 pep supercontig:CcrdV1:scaffold_703:3275:4669:1 gene:Ccrd_020830 transcript:KVI00908 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAADHQLIATFPSDQVVVVMVPFVAQGHLNQLLHLSHLISAYHLPLHFVCTTNHLRHRTSNLRSAGDIHFHEFPTPPFTSPLPDPSIPFPTHLQPSIDSTLHLRRPVAALLRSLSHTVKRIVVVHDILMSYVVQDAEFIPNAETYVFHPPSAFYRCCSHWEKTGEPFPIDPESLNRLPSQDGILSPEFLKFVMLQRSHLNFHVGELYDSSRVIEGQFIEHLEREEISGKTKIWAPGPFNLVQLASDATVLENRHKCLQWLDNQPDNSVIYVSFGTTTTFSNDQIRELAIGLERSKQRFIWVVRAADTGDVSGLTDRIIELPNGFEGRVKERGFIERGWAPQLDILRHFATGGFMSHCGWNSSMESISMGVPMTTWPMHSDQPRNAFLITNILRIGVAMKDWERRKELVTAVVVEEAVRTLMCSKEGEEMRRRAAELGREVKRATAKGGISRNEMDSFISYISRE >KVI00904 pep supercontig:CcrdV1:scaffold_703:150900:152681:-1 gene:Ccrd_020834 transcript:KVI00904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNLGATNLLNPSFFDMNLTTLMSQSEATEIAKFQLLQNILQVLSTNNTTTSIPQNIDQFYAVQLSEYLKLNPQHLQNLQELAMNEAQTTSSLPSFSAHDFVSPSYSNLELDHQEGSKGVGEQHGMTVGKEEYGRFESVPPLVPASPENVNGGGKGRSDGGDTHIELGNTSLLTKQNEHLSSRTSPSWS >KVI00906 pep supercontig:CcrdV1:scaffold_703:102997:103477:1 gene:Ccrd_020832 transcript:KVI00906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELYDIFLLNAGFEKPSAIQQRGIVPF >KVI00907 pep supercontig:CcrdV1:scaffold_703:68721:72261:1 gene:Ccrd_020831 transcript:KVI00907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein UreF MEDKAAVTNGTQPTSAEAVPLLQWSKWQLLDSILPTGGFAHSFGLEAAMQARIVSHPEDLRMFVIHVLENTASLLLPFVYSATNSPNLKTWHQLDXILDATLTNEVSRKASVSQGSALMRVAASXFLEIPSLKTMRNVSLSSGDVCFHHAPIFGLVCGLIGLDSETSQRAFMFVTMXDIISAATRLNLIGPLAAAVLQHQVGFVAEEILRKWKNREMDEACQTSPLLDTVQGCHSYLFSRLFCS >KVI00905 pep supercontig:CcrdV1:scaffold_703:103922:104641:1 gene:Ccrd_020833 transcript:KVI00905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLWLKTLCVNSNWITLCIKESKWKLEELFRSDQIGILDIILQLVNGRKQLLTLMEVEPEKYQSHFSEYIKAIVDPENIEELYKKVHQPFVLIQPQRSWTNSLQRSTRGNLIF >KVI03227 pep supercontig:CcrdV1:scaffold_7031:3439:4563:-1 gene:Ccrd_018476 transcript:KVI03227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MAGILMKMACVVVACMVVLAPHAEAITCGQVASSLLPCLPYLRSGGALGGCCNGVRALNNAARTTGDRKIACGCLKNAYSSFPGINQSNAAGLPAKCGVNIPYKISPKTDCSKIQ >KVI03228 pep supercontig:CcrdV1:scaffold_7031:9315:10918:-1 gene:Ccrd_018477 transcript:KVI03228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WLFSSWFIYESCLKTPAYAPSIFPLTTPPDSRAMHRWMKKYSEGLDNYICITKEEKKESYGFLAMRAKGILKVRVGRNVDGIDLDLYPQSLKLLRQFQSDQISHLEQLQ >KVG50523 pep supercontig:CcrdV1:scaffold_7034:280:20709:1 gene:Ccrd_026385 transcript:KVG50523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSSSCYFCLLFLVSFFHFCLSTQNFNHVLCKDVERQALLQFKHGLMDAANNLAPWVDEERECCNWAGIVCDNYTGHVQQIHLRGLDYTCHAKIFAHTNKRKLGRDLSPSILQLKQLRHLDLSCNDFEGIQVPSFLGSLRNLRYLNLSNAGFAGIIPPQLGNLSELQVLGLKSFHDDGQLSMLNMQWLSSLRLLRHLDMSGVDLSKAIDWFQVMNTLPSLAELYLSDCQLSHVHPHVSSLNITSLTLLDLSRNYLVNISMPRWIFSTTSLVSLDLSWCSFQGPTHNSIDGFHNLTSLKFLQLSRNNLMNSSLVLGSNLLSLDISYCDVSSSDLAGVHNLTSLLSLDLSDNQLTKPIPISFGNLCKLREIDLSFNHFDNMSLTYLLESFFECKSPCLESLALRATRLSGHLPBQLRQXIHLVNLNLXSNSFVGXIXNSIGGLXSLEMLDLSKNQLNGSFPDSLGQLSKLNYLDLSSNLLTGVVTEAHFAKLTGLKLLKGRGNNIILRPQLANWIPPFQLQHLDLNSWGLGPQFPSWLLSQSDLSHLDISNTNISAPMPPSFWISFPNLMYLDMSQNHFQGTLPSFPATPDIYDILDLSSNEFTGXLPHLSDAFSAYLLDLSNNSFTGSLHHFLCPYDEIRVDALNLGNNHLSGVIPECWVKWQRLSFLNLENNNLSGGVPKTLGFSYNLGQLNMRGNNLSGRLPTSLMNLXXLEYLLLGRNELVGSIPTWLGRELSNLRSLNLRSNNFDGNIPQELCHLTKIHILDLSHNNLSGNIPRCFNNFSVLSGKESDSNHLNLIFSSDFNELLISELLVIKGREDMYSTILRLIFVMDLSSNNFVGHIPSELMALRKLNSLNLSRNQLVGRIPEKIGDLKSLESFDLSLNKLSGELPASLSSLSFLSNFNVSYNSFTGRIPSSTQLQSLNEFSFIGNNLCGDPLTKQCAVEVGAINQVEEEDDEDGADMGLIISIVLGLVTGFWMIVAPLMXIRSWRIIPISSTEAINTYREVTTEKQRLLLHNHCLVPIQGPIGAAVYLLLMNPHNFRSRIKNRNHTCVQELRKILLATELPPARICAFWSAPSSSVPESRLCTMLGLSPTSPHIHLVLNKSDGVKLIFEVYGRTMPWNNLSGVIPSDITRLVELRYLNISSNRLTGRIPEKIGDMKLLESLDLSMNQLDGMVPLSMSSLSYLNLLNLSYNDLTGRIPSSTQLQSLPEWSFVGNKLCGAPLTVMCERRGGRAGDTGEXGGSGGPDWGLIISIVVGFVVGFWVVVAPLMGSKAWRVSFFEFVYGIWYKFCEFMPNYSSSNNRWXGIVCDNFTGLVQEIHLRGPDPELEEASTQMLGGKINPSLLSLKQLRYLDLSCNDFGTTEIPSFIGSLQNLRYLNLSMSQFYGRVPQQLGNLSRLAVLDLRNGPWLSNVQVNNLHWLSSLPLLQHLDMSGYDLSGASDWLQVINTLPSLVELHLSSCNLSQISNHLTTVKFTSLTILDLSYNIFDTLMPGWIFSLTKLVSLDLTRCLFHGPVPGNVGGFHNMIDLKVVHVSENDFMNSSSVLRGLLSVTGLVSLDISTSNLSTSILGGLQNMTSLVSIDLSQNQITETLPNSFGTLCNLRYVDFRANYFTGSVSGXLDNLCECNSPKMEYFALSANLLSGRLPDRLGNLQNLATLDLAFNYISGVIPXSIGRLSNLKQLVLNVNSMYGLLPDSMGNLTSLDWLEISFNNFNGTLPESLGQLGKLTYMSVHHNSLTGVLTEDHFANLTALKSLWAGANMLTLELSVRNWVPPFQLERLRIGSWKLGPRFPSWLRSQXNLMNLDIADAGISDIVPSWFWISFPDISFLNMSHNNIRGMLIGDLILAPEAVVDLSDNQFEGSLPGKFNEADILLLDVSNNNLSGSLEQFLCPSLESERQLQVLDLANNNLSGVIPDCWTNWQALSVVNFENNNLSGELPQSVGSLSSLQSFNIRNNKLSGKLPASLLNLKSLQIIELAENDFTGSIPLLIDGEETKLKLVSLRSNKLEGEIPDELCRLTSIQILDLAHNNLSGTLPTCFYNFSIMSGRQKSSAIVLYDLPFQVQVLGSASLVTKGREFDYSTILYLVTTLDLSGNKFSGPIPMDFMGLLGLRWLNLSGNHLTGRIPEAIGEMTLLESLDLSLNQLDGRIPSSMSRLTTLNWLNLSSNKLTGEIPTSTQLQSFNESSFMANALCGPPLAEICNKKRVSPGDSDGVNDDEDESDGVKWGFIISIVVGFIIGFWGVVGPLIASKAWRSAYFYFLYEVWHIHMKPLILLSSSILWFLTVLALCSSCYSLGHNENSTDHVLCIKSERTALLQFKTDLIDGANRLSSWTASNNDCCKWDGVVCNNITGHVQEIRLGGPDDSLHGHCHGPYDTDAEFEAASKQMLGGNINPSLLSLDQLNHLDLSCNDFGGIPIPSFIASLRSLEYLNLSMSQFDGQIPXHLGNLTMLRTLDLRYGLWQSSFPVKNLHWLSSLXMLQHLDLSGYDLSNERDWLHVINGLPSLLELRLASCSLPQISXHLNTINFTSLSILDLSYNTFSDSFLPRWIFNLTSLVSLDLTNCFFHNLDPGIGDGFQKLRSLRVLHVSGNDVMNHSSLLKGVSSLTNLVSLDISTCSLTRSILLDLQNMSSLVSLDLSNNKINESLPTSLVNLCNLRFVALQSNHLYGSVSELLQNFCECKTSKLESLGFWGNYLVGYLPDKVGHLQNLVTFBLGFNFLSGQIPDSXGNLSNLNMLXLBANSISGPIPNSIGRLSSLQWLDLSNNLFTGSLPDSLGNLQKLKFFSVYNNLLNGSVTIRQMNLTSLTTLRAENNKLTLHPSVENWVPPFQLEVLRIGSWNLGPRFPSWIQFQRNLTELDIANANISDTMPDWFWTTFSAIEFLNISHNRIQGKLTQDLGFLATNAVVDLSDNGFEGPLPGSFNRPDIDFLDLSTNHLSGSLEKFLCPRIQEPRQLKLLNLANNNLSGAIPDCWVNWDSLFXLNFENNRLSGGIPESVGEVSXLRSLNMRKNNLAGKLPVSVMSSKSLLIIDLAENKLTGITLSPKQRKATNLKLLNLRLNKLDGKFPYEVCRLTSIQILDLADNNLSGNVPTCFSNFSVMSGKQSXSPIIIYDEFVQNQVLGSASLVTKGRESSYSTILYLVTTLDLSGNRFSGHIPNKLMDLVGLRYLNLSGNQLSGRIPKNIGDMRLLESLDLSSNQLQGGIPWSMSNLTFLNWFNVSYNSLSGRIPTSTQIQSFNESSFIGNRLCGPPLQDLCELITNADGLKGEEKNDESNEVDWVLIVCLVVGFFFGFWVVVGPLAVNKVWRVAYFGYLYKVWHKLCVRL >KVI00727 pep supercontig:CcrdV1:scaffold_704:68606:78375:1 gene:Ccrd_021017 transcript:KVI00727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase 22kDa subunit MHSFGYRANALLTFAITILAVMCAIASFSDNFNSPSPTSQVQVLNINWFRNKPDGDDEVSMTMNISADLQSLFTWNTKQVFVFLAAEYKTRKNSLNQVSLWDGIIPAKEHAKFYIHTTNKYRFVDQGSNLRERDFNMTLHWHVMPKTGKMFADKIVMTGFRLPQAYR >KVI00729 pep supercontig:CcrdV1:scaffold_704:113798:118513:-1 gene:Ccrd_021019 transcript:KVI00729 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex, mu/sigma subunit MESCPSVKNILLLDSEGGRIAVKYYSDDWATNNAKEAFEKSIFTKTQKTNARTEAEIAMLENNIVVYKFVQDLHFFVTGGDDENELILSTVLQGFFDAVGLLLRGNVDKKEALENLDLILLCLDEIIDGGIILETDASVIAGKVASHSVDSGAPLSEQTISQALATAREHLTRSLLSG >KVI00731 pep supercontig:CcrdV1:scaffold_704:137056:141295:-1 gene:Ccrd_021020 transcript:KVI00731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acireductone dioxygenase ARD family MGSACKDDREEVIQAWYMDDSNEDQRLPHHREPKEFVSLDKLAELGVLSWRLDADNYETDEALKKIRESRGYTYVDICEVCPEKLPNYEEKIKNFFEEHLHTDEEIRYSIAGSGYFDVRDHNDAWIRVWVKKGGMIVLPAGIYHRFTLDTDNYIKAMRLFVGDPIWTPFNRPHDDLPARKEYLETFVQKEDAGTAVDAAA >KVI00728 pep supercontig:CcrdV1:scaffold_704:102691:103065:1 gene:Ccrd_021018 transcript:KVI00728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MADDFQESEVFFESNAAGIRIEDNYTNNQQFRFRDSKRKKKQSEKKNNKKSVPVDIPENFSMKSWYQCYEEDGEIVPPHVVVGRRRVAGEMACSVCSGNGRTLKGRDLSQVRNSILKMTGFLET >KVI00730 pep supercontig:CcrdV1:scaffold_704:163034:174838:1 gene:Ccrd_021021 transcript:KVI00730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase MFPTRLLHLAYVPLKSSPKSFFRSHGRSSTRVAELLPGVVEISLHKGILNRFRTFCSSKVVLAISDLSLQKCVPCNKKDLSPMTEGNANALKPKVPEWELLNDGGVMKLRRKWKVKNFLQGLEFFKTVGDLAEAEDMVAFRPSFVMMTRLMGPSPIVMIFLTNMMSWLRPSSRSSSCRMEQCDNRDMDACLWLSSSKLCYLGEHGDGKT >KVG50302 pep supercontig:CcrdV1:scaffold_7043:10624:18685:-1 gene:Ccrd_026386 transcript:KVG50302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MATESPIRIIEASGKWLAKPSTNMGVEDLGLVLKGQRFQERKSNVAPNRSGSAPPSMEGSFAAFENLIFRQNFAADASLASGNNASDTCESEEQLRADPSYFAYYWTHVNLNPRLPPPLISGENRNLFRNVRSSGSNRKLTSFDDSFSSSFHLDHSNLATHKEESDDDRSSKQSSPFKLESNEEADNHDDSNCTTANVSSSSSIDGRRANMSIKPPVNKNGHSDEDVSISGVADSDISGLRNRIVALNMSNISKLESERTQRERQQEHVYRQPRNAFHVQNYHPQNGMNSFLQNPTNFSSEVQPILQSSGFTPPPYATDAAYMPPGNHPVYPNMMPTGYFPQQYTIGGYAFNPSPFSPYAAGYLSNTPVPSFSGQSQTSGLNLQHFNNFYGHLGLPIQPLFSEEPKPQSLGAVGHINLNSRRVHNPSPYYFGSPTNMDFLQFPTSPFASPVMPGSPIGGAGYTGRRNEGVYGGWKIHTGNQVIDDPKTYSFLEELKTGKGCSIDQHGSRFIQQKLEVCSNEEKESVFKEVLPHASRLMIDVFGNYVIQKRRELGNQLEGQILPLSLQMYGCRVIQKALDAIELEQKTKLVGELDGHVLQCVRDQNGNHVIQKCIESIPTEKIKFVISSFRGQVAALSKHPYGCRVIQRVLEHSTDELHSQFIVDEILDSVYDLAQDQYGNYVTQYVLEGGKPEERSQIVHKLAGHIVQLSQHKFASNVMVKDQFANYVVQKVLQTCSGHQREVLLGRIKIHLNSLKKYTYGKHINLKRQGYNLHLIDDHDLQDYPWKCFVVGILILKNRRDIFQTGKL >KVI04644 pep supercontig:CcrdV1:scaffold_7046:3343:4100:1 gene:Ccrd_017037 transcript:KVI04644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMLEALTRMHLRQHVTHEDLDMAIRVLLDSFISTQKFGVQKALQKSFKKYMTFKKNFNAIVLHLLNQLVKEALNFEEIVFGSNKDVTHIDVKVLDYGITDLKAFFSSVEFGRGNFELDEEQSVIRRHLVR >KVG50062 pep supercontig:CcrdV1:scaffold_7047:6522:16568:1 gene:Ccrd_026387 transcript:KVG50062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTSLLIATEDSHLLFYRLCNSSFQALDSIKDRISEMFTDTFYCKRSSTHLINTRTSRDNERFHYGFQDLIAASGSEYHQKTEDWSPPDKNSEGGGDPEVVFNVLDAILKCSLDRLKLMRENMSWAHIGHYNYTLKASYTQHIDTIRNLSLDGKLGVALCLWNKLIHKGVVPDVVTHNYLVHGFCKVGTLEKAEWLVREMCCWGPSPNAATYNTLMKGYCAFNDIDKALDLLSTMTDGKVKPNIVTFNILVHALCKKGLLEEARVLLLKLTDENSQRNLVASTIIMDNCFKNGDTTLALTLWREICETNEVVDVVAYNVIIHGSCLNWDVIVAYKYVNEMFKIGLLPDNFTFNTLINALCKVRRIDEACYLYTVMSRMGVAPDWISYNILIQGLCVVGDVSTAHELLTFMLEKSMVPAPHIWNIIIDGYGKHGYKQIALHIRDMMMTYGVLPNVFTYNALIHMLIEGGEIDEAHSLMKEMLLAGPLPDVVTYNLLVGAESKSGHLYSAYQVYDDMLKRGYDPDIVTYTELIKGYCMRGKIEEAHRIFGFDMLQDSNLAIDHVPFQILIKKHFKMKDFDSAYGILENVKVAYDLPIVTDLLNLPR >KVH96263 pep supercontig:CcrdV1:scaffold_7049:7087:7632:1 gene:Ccrd_001652 transcript:KVH96263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLESFLYTLSFYGRVTSEGCCLSLNLNHRNRNYNIGYLCHFTKNIIYCNKQKNKMRRRTVQKRVNFT >KVI04605 pep supercontig:CcrdV1:scaffold_705:110941:111231:-1 gene:Ccrd_017079 transcript:KVI04605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLLTKVDKDEGRRRWQRRRRATATVSNGDEGRRRWQRRRRATATVSNRDEGRRQWQRRRRATATVATETKGDXGGNGDGGDDDEKETAMRRRQR >KVI04602 pep supercontig:CcrdV1:scaffold_705:156450:158912:-1 gene:Ccrd_017081 transcript:KVI04602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSVRPAPYALQNPRDGKFAGNQAPLRSPMSGHITPYESTAPASNDKFTRYEHIIPAPIRDP >KVI04604 pep supercontig:CcrdV1:scaffold_705:101731:107816:1 gene:Ccrd_017077 transcript:KVI04604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MMVALTSVTPHSSSSCLQSKLYPNPPFLSHSNSVNENSTCCIAIKPSTRRRLTIRSAATKPAKTPAEEDWKTKRELLLEKRTTDPLIKYKITQNPPKLDNKGKIKEYILQVRSVDVKEALRLQQENKFVILDVRPEAEFKEVTYFIFSPRSLSSKAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFMQGVESKLDKSSKIIVACSSGGTMKPTQNLPEGQQSRSLIAAYLLVLNGYSNVFHLEGGLYQWFKQDLPLEKYEARYACLLKVVMNSSKREMTKSFRKSVQDGSGSSLELSRPSRSLKSSSRPGCASLRHSSIKAYMAIGNILQETFSGAKSTSRFLVRMIRAPWNFEVRLIIKHPRASSQWKVPL >KVI04599 pep supercontig:CcrdV1:scaffold_705:63083:64463:1 gene:Ccrd_017075 transcript:KVI04599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MDAAQWSEGLGMVKSMEEKKTIRAQKPQALNCPRCNSAHTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRRNKRSSSSSSSSVPPPAAAAASISKNQHQMMMGPPIPSLIAQNPSKTIIQNHGGQGQGHGQDLNLGYTNVSLQFGNLPYNPNTTTTSSSSQFSAMDFLKSGFADPRQVMMNSLPNTMIMNSPAGLNFSLDGFENGGGYHHHHHQHHHLQGGGGVTNHQGTMSTITTTAGTSENARILFPFEDLKPISTTNSELLEATRGQGESSSGYWGGGLGGGSW >KVI04603 pep supercontig:CcrdV1:scaffold_705:156674:159808:1 gene:Ccrd_017082 transcript:KVI04603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MENSKEIMEQTNEDEDEDEDEDEDEDENENENENENENVNVVKRLPPWTAHITLRGLVASLVIGVVYSVIVMKLNLTTGLVPNLNVSAALLAFVFIKTWTNLVHKAGFVTTPFTKQENTIIQTCAVACYSIAVGGGFGSYLLGLNKKTYEEAGVDIDGNSPGSHKEPEIGWMVGFLFATSFVGLFALVPLRKIMIIDYKLRYPSGTATAVLINGFHTPKGDKMAKKQVMGFMKFFSASFMWACFQWFYSGSGTCGFTFFPTFGLKAFKNSWFKETLFYFDFSMTYIGAGMICSYLVNLSLLAGAVLSYGVMWPLIGDRKGAWFPANLPESSMKSLNGYKVFISIALILGDGLYNFLKITIFTARTIYLTSRKKPKTNPDDTNQPLDDSEHNEVFLRESIPFSLAASGYLILSILSTIAIPLMFRELKWYFVLVAYIIAPSLGFCNAYGAGLTDMNMAYNYGKVALFVLSAMSGKHNGVVAGLVGCGLIKSIASISSDLMHDFKTGHLTLTSPRSMIVSQAIGTAIGCLVAPLTFFLFYKAFDIGNPNGEYKAPYAVVYRNMAILGVEGFSALPNHCLQLCYLFFAFALMANFLRDICPKKLAKLLPLPMAMAVPFLVGGYIAIDMCVGSLIVFIWHKLNKRKANLMVPAVASGLICGDGLWILPSSILALARIRPPICMNFVSTKSS >KVI04606 pep supercontig:CcrdV1:scaffold_705:104686:108108:-1 gene:Ccrd_017078 transcript:KVI04606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent protein hydrolase FITNFNYFLVIHSIKHASIKYTNARAGAGGQNSEGVQYSRDNFPSNAKSKLRILTMAASLLRFRTSLVPFSLMTNFLEISRLPFSTASASPSKRVGVHNGTFHCDEALGCFMISLTSKFHGARIIRTRNLEVLDTLDAVLDVGGVYDPSSNRFDHHQKGFDEILCNGFSTKLSSAGLVYKHYGVEIIAKEIQMDEGHPDVHSLFLAVYKNFVEAVDAIDNGINQYETDQPPRYVNNTTLSSRISRLNMNWYDTDQSSEKEDQAFQHAMALAGSEFINCIHFHAKSWLPARSVVMECLAARKDIDPSGEIMLLTKSCPWKFHIFDLEVELKIDPLLKYVIYQVLNTPFCSTEGFLHKWRVQAVAVSPDKFESRKPLPSHWRGLTDDHLSEVAGISGCVFVHTSGFIGGNKTYEGVLAMARASLLA >KVI04601 pep supercontig:CcrdV1:scaffold_705:122965:124497:-1 gene:Ccrd_017080 transcript:KVI04601 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MFLLILFAFLALVVGFWLVPRVLSRTSLGHFLLNRWRFFEESFHAHQFYKIPKFNQHMQENQVYHQVLTYLNSLPSAADSDFVNLFSGYNKSNQIILVIDAHQVFPDTFLGSRVYWKFDKDCFVLKMRRKEKRRILSSYLQHIHKVADEIEQKSNEVMLYINSENEPERNGRWISTPFTHPATIDTAVIDSDLKNKVKSDLESFLKSKQYYHRLGRVWKRSYLLYGPSGTGKSSFIAGMAKFLCYDIYDVDMLKITGDSDLKRLLLQTTSKSMIVVEDLDRYLAEKSTAVSLSGVLNFMDGIISCCGEERLMVFTVSNKDQVDPTVLRPGRIDVHIHFPLCDFSSFKNLANSHLGIKEHKLFPQVEEIFQTGASLSAAEIGEIMISNRGSPTRALKTVINALQTNNDAKTTSSKTGLIHKLTHNGSVTGSSGAPRNLSHSGSIDGLVDLPSKLTHSGSSRTIEESGDSGIFCMENMHTGKELKKLYGLLRNRSRRKESVDLDGGDAKLST >KVI04600 pep supercontig:CcrdV1:scaffold_705:89852:97404:1 gene:Ccrd_017076 transcript:KVI04600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MNDSYWKYAAAEGRQQQQQQPPLQQLIGKRPRPDYDGPGGRDLPNYFAREDERGTQLVVKDSDTIGASYDRYLRGTQFPSYGGIEPARPMSTGLGGRLHEDPRMMGMGLGGLDSTIAATKGRDAGMVGGRPEISLPPDASNTLFVEGLPSNCSRREVARILFQLSTLLNFYFSSGDPLVLCFVDFESPAEAATAKDALQGYKFDEHDRDSVSLRLQFARYPGARSGGGARSGGGNRGRR >KVG50054 pep supercontig:CcrdV1:scaffold_7050:8001:8536:1 gene:Ccrd_026388 transcript:KVG50054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NAYFSKDVIRNNEVPNRRLTGSDQGNGLCVSFGYDDTAAMNITMNASVIPITVKSIYDVLGLPMGGVDLNSVDPSSAIDDIITAWRKQFSKDRMRLKDVMTVVQKSGDSGAMFKISFLVIVINTLAECSRVGVCNLHFLSRIRSLNMIPHINWCKYIFDCIAT >KVH96843 pep supercontig:CcrdV1:scaffold_706:168792:170427:-1 gene:Ccrd_001065 transcript:KVH96843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pistil-specific extensin-like protein PTHLCFQDVKWEESYHLFQLPTLYKYHHRFPTPTPTPHTTHTKMGFRVLLAFSVLSSCLMVNVFGDGVDDNVSWTQAPHHAPSYQPVAAPAPHHHHHHHHHHKLASPPMSHTPTKAPVHPPVQPPTKPPVQQPSSSPAPVHPPVPARKLVAVQGVVYCKACKYKGVDTLLGATPLQGAEVLLTCNNTKYPLRVKGTSDKNGYFFIKPPKTLTTYGSHRCKARLLTSPMATCNEPTDLHGGVKGSMLVPNKKPPLSNPDAHPLPYDVFTVGPFAFEASSKTPCPHESMED >KVH96844 pep supercontig:CcrdV1:scaffold_706:58850:59563:-1 gene:Ccrd_001064 transcript:KVH96844 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ-like protein MENYSPRPLDTKNPSQNSRSSNNNSTNSTTSTTTNHHHHQHHHHPSPPATPIARSDPNNPYPTTFVQADTTSFKKVVQMLTGSSETAKQAAAARSDAHVRNPIPPMKTAPNKKPSKLYERRNSMKNFKISPLVPGFVNGSGFAGSPRRPGTPEILSPGILNFPSLVLSPVTPLIPDLFNRSPITGDSPNLDVEAEDKAIAKKGFYLHPSPASTPRRESEPRLLPLFPVTSPRVSGSS >KVH96800 pep supercontig:CcrdV1:scaffold_7060:14247:15761:1 gene:Ccrd_001108 transcript:KVH96800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MACFHEVLMITLFYGLILPTWVVGKQQIPCYFIFGDSLVDNGNNNHLVTFAKAIYLPHGIDFSSGPTGRFCNGRTFADFTAEHLGFTSYIPPFASPNGSNILLGLNYASAAAGIRSETGRHLGDRITFDQQLENHEMTVSSIGEILGGADSASTYLKKCFYQIGFGSNDYLNNYFIPSVYETSNIFTVEEFTKVLIQQYSSQILALYNYGARKVALSGLGPIGCTPYELSRHSSNDSCVEYINTAVRLFNHNLKLLVDELNNDTSLQDAKFIYLNFYDMSMEAIQQPSSVGLKVVNSACCGTGLNNGALTCLPFEVPCSNRDEYFFWDAYHSTEAANKIASRRAYLTVNPSDVHPFDIYHLIQL >KVH96801 pep supercontig:CcrdV1:scaffold_7060:1115:2958:1 gene:Ccrd_001107 transcript:KVH96801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MASEQKGWLVMHVMATILALKMVSFGVNGDPQVPCYFIFGDSLVDNGNNNGIASLARANYLPYGIDFPDGPTGRFSNGKTVVDAVAELLGFNDYIPPYANARGREILNGVNYASAAAGIRDETGQQLGARISFGGQVNNYKNTVSQVVDILGDEDSAANYLRQCIYSVGLGSNDYLNNYFMPNYYQTSSQYTPEQYATVLIQQYSQLIRELYNYGARKVVLNGVGQIGCSPNALAQNSEDGSTCVEKINSANQLFNNQLLSLVDNLNRDLPDAKFIYINNYDIFQDLINSPQSYGFTVTNAGCCGVGKNNGQITCLPGQTPCQNRDEHLFWDAFHPGEAANVIVGRRSYRAQSSSDAHPMDISQLAQL >KVI01642 pep supercontig:CcrdV1:scaffold_7065:4891:6035:-1 gene:Ccrd_020081 transcript:KVI01642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MILSQASFMPVLVLAARHCLEGMEATLFAALMSVSNGGSVVGALFGAGLTQLLGITRDRYDNLALLLIICNLSSLLPLPLLRHLPNDNPIANGKLKEDVDTELKSS >KVG49566 pep supercontig:CcrdV1:scaffold_7066:69:1204:-1 gene:Ccrd_026389 transcript:KVG49566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MADTEDIQPLVCDNGTGMVKARFAGDDAPRAVFPSIVGRXRHTSVMVGKGQKDAYVGDEAKSKRGILTLKYPIEHGIASNWDDMEKI >KVH88588 pep supercontig:CcrdV1:scaffold_707:114310:141454:1 gene:Ccrd_026390 transcript:KVH88588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTACNHHHLLSSSFILHFPSKFSKHPTFTFLTAPTTKMCNPIFGAMDPAKKSSMDVPKKTTRRKSSYGSSRKSVLRKTFKQEQVTFTAPISDDPVVAIIGGGMSGLLCAMQLEKRGIRSTVFDTGIHGLGGRMGTRTIDPSMMFDHAAQFFTVSNPLFSELVDEWSRKGYVRQSLGVIGELEAGGDFFPFPSSPPRYIAVNGMRTLADSLLSQSSLVNVVRPCWISSLEAYNGMWHLSENGKHRGQFDAIVIAHNGKCANRLLSSSGLPLVARQMKRLELTSIWALLAAFEDPLPLPAKAVAVPFEGAFVKGIDSISWMGNNTQKLLNSQNEGPHCWTVFSTASFGKRHKVPQENIPTATAEKVKELMLAGVENALGLLTGSLKRPIYTRVQLWGAALPTNTPGIPCIFDPLGRAGICGDWLLGSSLEAAALSGISLADHTADYLKSGGSRPDEFAVGLHNDFQPLQGHDIGQFPGLESNKDICEAQVQLGA >KVG49213 pep supercontig:CcrdV1:scaffold_7072:3:1026:-1 gene:Ccrd_026391 transcript:KVG49213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MFFEVQKEMNKALWFCGVVDVVEVGDKKIYSITHKNKNYEIKATYKVVHDVGDDSFDCSCNHFVRNGILCRHAFKVMLNSEVQCIPEKYILPRWRRELVLVELLPAHVRYGEMDVEKKALINQATSMFDLIIGRVRNDKGALTEFVERLERLGDEISVDIPILTGTEQKRNDIQELLCVSEPESVDVLPPKGIRNKGCG >KVI03214 pep supercontig:CcrdV1:scaffold_7074:12483:13400:-1 gene:Ccrd_018490 transcript:KVI03214 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding domain, ovate family-like protein MANYRLRISNMIPNSWFYKLKDNKSSSKMTKKESITSSSSSSSLSSAGTLTKTHNDDQVQRKSYYFTRDLNVPNRKKEDSPESPRKSSKKKRPITQKKPLHRNSVPGELNSVVKCHCRVTPESVWTESNPGSNSSDTEFQSPESLNCPCKTISNYDDVYRKLDLPPIITKPAKPEEFGSGKWVIEEGGGSLSVKVKRLPGGKAKGNIYSPRIGNRVRVQAINGGRKSIGNRRGLSASMAVVKTSVNPHKDFKESMVEMIMENNIKSSKDLEDLLACYLSLNSDEYHELIIKVFKQIWFENTNIKW >KVI06519 pep supercontig:CcrdV1:scaffold_7079:1159:10968:-1 gene:Ccrd_015132 transcript:KVI06519 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MANSASLIYHHLLFSFLLILLQAAPCPAAGGSWSVLLPSIGISAMHMQLLPNDRVVMYDRTDFGISNISLPDGKCRPNSTDCSAHSVEYDIASNSIRPLMVLTNVWCSSGTLMPDGRLIQTGGWDDGYRVVRIYKSCDSCDWQEIPNGLNQQRWYATNHILPDGKQIIIGGRQAFNYEFYPKMSTTENSPSLPFLVQTNDPNVENNLYPFVFLNPDGNLFVFANNRAILFDYSKNQVLKTYPTIPGGQPRNYPSTGSAVLLPLMIKNGTVNTVEVLVCGGAPKGAFVNANNGKFDGALDTCGRIKISDPNPQWVMETMPMARVMGDMLLLPNGHVLIINGVSAGVAGWELGRNPVLSPVVYQPDNQVGSRFEVQNPSTKPRVYHSTAVLLRDGRVLIGGSNPHDKYEFTNVLYPTELSLEAFSPSYLDSSSSGLRPRIIFPRKTTRIRYGKRVVIVFTISGIVDPSSVSVTMVAPSFNTHSFSMNQRLLVLDGGVATKIRGKSRYQVVVTAPSSGNLAPAGNYLLFVVHKEIPSAAQENKLMAPSASVFSRHLLFSFLLVLFQAAPCPVAAAGGSWSVLLPSIGISAMHMQLLPNDRVVMYDRTDFGISNISLPDGKCRPNSTDCSAHSVEYDIASNSIRPLMVLTNVWCSSGTLMPDGRLVQTGGWDDGYRVVRIYKSCDSCDWQEIPKGLNQQRWYATNHILPDGRQIIIGGRQAFNYEFYPKMSTTENSPSLPFLVQTNEPNVENNLYPFVFLNPDGNLFVFANNRAILLDYSKNQVLKTYPTMPDGQPRNYPSTGSAVLLPLRIKNGTVNAVEVLVCGGAPKGAFVNANNGIFDGALDTCGRIKISDPNPQWVMETMPMARVMSDMLLLPNAQILIINGVSAGVAGWELGRNPVLSPVIYQADNQAGSRFEVQNPSTIPRVYHSTAVLLRDGRVLIGGSNAHDKYEFTNVLYPTELSLEAFSPSYLDSSSSGLRPRIILPVTNTKIRYGNRLVIVFTVSGLVDPNSVSVTMVAPSFNTHSFSMNQRLMVLDGGVANKILGNSRYQVVVTTPPSGNIAPAGNYLLFVVHKEIPSPGVWVQMQ >KVH91452 pep supercontig:CcrdV1:scaffold_708:60705:60983:1 gene:Ccrd_006526 transcript:KVH91452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTEKCDVYSFRVLALETIGGKHPGDLLSSLNLSSSHGTSLADILDARLTYPTNDRIQKELLRVYNVTLACIVTYPKSCTTMRIVSIELSR >KVH91450 pep supercontig:CcrdV1:scaffold_708:32710:47338:1 gene:Ccrd_006525 transcript:KVH91450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin, conserved site-containing protein MNERKTIDLEQGWDFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVRRWSNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLACFRDLVYQEVNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMEYYENDFEASMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVSHYLHFSSEPKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVDDLSRMYRLFSKIPRGLDPVSSMFKQHVTAEGTTLVKQAEDAASNKKAEKRDVVGLQEQVFVRKVIELHDKYLAYVNDCFMNHTLFHKALKEAFEIFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQSHFEEYLNNNPNISPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNINGKFEPKTMELIVTTYQASALLLFNSSDRLSYQEIMTQLNLSDDDVVRLLHSLSCAKYKILIKEPNTKTISPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDKENPNLFRYLA >KVH91449 pep supercontig:CcrdV1:scaffold_708:175262:179488:-1 gene:Ccrd_006528 transcript:KVH91449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MFEFTRVSTKEDREGDIEEGVLYPGISHGENQLRWGFIRKVYGILAAQMVLTTAVSFLTVLYAPINELLRGNSGLLLFFVFLPFILLWPLHVYQQKHPLNFVFLGLFTASLSLTVGVSCANTDGKIVLEALILTSAVMFFPVGPTSNAIIGGLGAVLFSGYIIYDTENLIKRFTYDEYIWASVTLYLDILNLFLAILRMLRQGDN >KVH91451 pep supercontig:CcrdV1:scaffold_708:83079:91825:-1 gene:Ccrd_006527 transcript:KVH91451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRRASGRIRTSQPPSSPSQLNKPLDRTQPLPPLDDKLPHGRNVDPSSEGQPRNNADNVIEERDSQYDAMLSKMVGRIQTKPGGKLEMGEAFVVDKYNRPMPKLRNTTPETGRYEQIPAPPGTLNIAQLRQIILLYHGKSNDHKGPMDVNQIAEKFRVDVAQVQRTVQFLSLPPESANKQKNDPR >KVG48972 pep supercontig:CcrdV1:scaffold_7080:4758:6607:1 gene:Ccrd_026392 transcript:KVG48972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein VFRFACRQLGTKEIDRKCKTSILVEPSSVVISVPRFNPSKINKSVVPSSSQALGHQEQLEKPPKQFPTYLDTHNLSPRARILCEILARVAPAEVETAISATGMSPEPEVVEEVIRLSYGCPAAVVTFFRWVGLKQKHSPLSWNLMVDLLGKNKMFEPMWDAIRSMKQEGVLSLTTFVSVFGSYCEAGRFNEAIMTFEVMEKYGVQPDVVAVNSLLSAICREDFQMAKAVEFFQKTKTVIPPDGDSYAILLEGWEKEGNVGKAKTMFGEMVIRVGWSSENMAAYDAFLNTLIQGSEADEALKFLQVMKGKNCLPGLKFFSNALQILIRQNDSVHALSLWDMMVKSGLIPSLIMYNAMIGLLCNNDDIVNAFQLLDSMPFNGIFPDPLTYNIIFQYLITKKKVREAGKFFFEMIKNEQPPTPINSAAAISMFFDRDDPEMALEIWLYMKKEGVSPLDDSANALLNGLASMGRLTELRRHADQMLHTRIKIYESTMEKLKIAFRKEGRNGSDIFDDLDRKWRSS >KVI07867 pep supercontig:CcrdV1:scaffold_709:134917:138684:-1 gene:Ccrd_013765 transcript:KVI07867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MALQIHQLCRPVPSPSSSSSSSIGSLPSCFTKQSVVGGDIHRFSTMMMVNKKNGNRKGLSSSVKVRSSLETAGPTVVVGQVTEVCKDTFWPLVNAAGDKTVVLDMYTQWCGPCKVIAPKFQELSEKYLDVVFLKLDCNQDNKVLAKELGLKVVPTFKILKDSKIVKEVTGAKFNNLVDAIDEVRCS >KVI07870 pep supercontig:CcrdV1:scaffold_709:121403:128153:-1 gene:Ccrd_013766 transcript:KVI07870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MDVNRRGIVCVIGFVVVVLQLGVLASANMVLHVQHNLYFTKIQIGSPPKDYHVQVDTGSDLLWVNCIECESCPKTSDLGVPLQLYDPNDSSSAKKVTCDDDFCRTTMDSSNTECKVGMSCLYTVTYGDGSGTAGYFINDNLQLLKASGDGETKYMSGNITFGCGAKQSGELGSSEQALDGILGLGQTGTSLLSQFASAKKVKRMFSHCLSGSKGGGIFAIGEVVEPKVKTTPMLEDNLHYNVEMKSIDVNGETLDIPKNILDLGKKEGAIVDSGTTLAYFPAKIYNPLMQKISLTFEVKTKTRLTPENLLLADRLVTYDIENKAIGWVDHDCSSTIKVKDEESGNEFEVHAGDISSARCTSTSRMILGLLLFLAGTLINWTN >KVI07868 pep supercontig:CcrdV1:scaffold_709:145380:150322:-1 gene:Ccrd_013764 transcript:KVI07868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILLILLFVSTFACLSIFVHLGRQVKSLQKPNQPISYHWITMALQWMILTYVVAAEAAIALLLTMPSPKALKSSLVSLISLILQPSMFIVPFAGFQLLDIYWKNEHRLMCSGETCTTAERDRYERSIYKAQRNVYLSCLQVLQGDSEYGGSRKEVQGRVEYFHRIALQVPYLYVVLVFQAVEFIVPYIVNLCIIV >KVI07873 pep supercontig:CcrdV1:scaffold_709:51089:53196:-1 gene:Ccrd_013768 transcript:KVI07873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MPRERDPLVVGRVIGDVLDNFTRSIDLSVSYNDREVNNGCELRPSQVVSQPRVDIGGDDLRAFHTLVRLVPISSFVMVDPDAPSPSDPNLREYLHWLVTDIPATTGARFASNSISYKLSVGQEVVCYESPRPSMGIHRMVFVLFRQLGRQTVYAPGWRQNFNTKDFAEAYNLGSPVAAVYFNCQRESGFGGRRR >KVI07872 pep supercontig:CcrdV1:scaffold_709:169262:179378:1 gene:Ccrd_013762 transcript:KVI07872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRITVALIVSSWVIPMSILVNHIVPEPYMDEIFHVPQAQQYCAGKFKSWDPMITTPPGLYFLSLAYVASLFPGLLFIQPALSFINACSIAVLRSTNGLLAVICSILVHDIVISLKPSLDDRKATLYTVVLALYPLHWFFTFLYYTDVASLAVVLAMYLMCLKKNYLCSALISPYVSCTRGCLVVYEEKGRYYKFCQGHNACLSSRFLLSFSYLRELGVVAVVVRQTNIIWMLFVACIGVLDLIQAKQKHEENLLSVPIDDHFASSRGDSISSNLKRRRSGSAISTVSHSVRGTSLPCLPDNSSGWFSEIWSIILASWHLKWELFVSFSPFLAVLAAFAAFVVWNGSIVLGAKEAHTVSPHFAQLLYYGLVSSCFMAPVHFGTSQAAILARSFWKNRPLSFLLWFLAAVVSLLWVHYFSIAHPYLLADNRHYPFYLWRKIINAHWSTKYLLVPLYVFSWTSIFTLLAKVQKKVWILAYFLASAAVLIPAPLIEFRYYTIPFFFLLLHCHVTNGRVWFLMGFLYTSINIYSMIMFLFRPFHWDHEPGVQRFIW >KVI07869 pep supercontig:CcrdV1:scaffold_709:101992:112024:-1 gene:Ccrd_013767 transcript:KVI07869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin MSKHGVGRSAPQQLKFAALLLVLLSSFCNVCFSEIIFEERFEDGWQSRWVKSDWKKSEGKAGSFKHTAGSWSGDPDDKGIQTSTDARHFAISAKIPEFSNKNRTLVLQYSIKIEQDIECGGGYIKLMSGYVNQKKFGGDTPYSVMFGPDLCGTQTKKLHVILSYQGQNYPIKKDLQCETDKLTHFYTFILRPDASYSILIDGRERDSGSMYTDWDILPPRKIKDVKAKKPADWEEREYIDDPDQVKPEGYDSIPREIPDPKAKQPDTWDEDEDGMWRPPKIPNPAYKGPWKPKVKAGSVYDNILICDDPEYAKDVIQEVFANREIEKEALEEAEKAKKAREEEEAQRAREEGERRKRERGYDRRHRDKERYKDRYRKRNRHDYLDDYHDEL >KVI07871 pep supercontig:CcrdV1:scaffold_709:153263:158115:-1 gene:Ccrd_013763 transcript:KVI07871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-C type MQQTFTLEQTKLIALKLIALKREEGGGRREEGKGKTGMPLGKYYCDYCDKQFQDTPFHRKRHIQGLHHQKAKALWYASIPRDPNQISLESSAIGVCNRFIRTLAACRVHAHMVILVNIFILIETCKTGMCRGLQLQTAWKPKCQVSRGANPLRDPHYQARGMVVDRMGVMLENLPPSLRPPPENGYPPLPFVDWG >KVI01731 pep supercontig:CcrdV1:scaffold_7097:6324:6689:1 gene:Ccrd_019990 transcript:KVI01731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLSVLVLFFFVTLLTFSPSGLAQGQTPSLPASEQCLLSMEEIFGCYSEIYRAFMSGKVGISFGPSCCLAINDITSNCWTQMFPNAPHFPPLLQNFCDRYESGLFDAPAPSDEPVDGF >KVG47790 pep supercontig:CcrdV1:scaffold_7098:2744:4114:-1 gene:Ccrd_026393 transcript:KVG47790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MINNTYDQEGDINHQKLANISPISRPYSGSNNPRIVRVSREFGGKDRHSKVLTVKGLRDRRIRLSVPTAIQLYHLQDQLGLSQPSKVIDWLLDATKDDIDKLPPLQMTPEGFNRFHIPPKFVPQDFNSTQLAFSPFFNALNYDHNLIDGINQQRTNKGKEAMQAENKYCNYSYDHLQPSSNLSISRFSHQPADGNYASILPYSSLSSTSDPQFFSCFSGATTPSFFPPYLMPNHFQFLSSSNSVPLNLIDSTQEKVVPFGLNMNSKVSPQSNDDG >KVI02833 pep supercontig:CcrdV1:scaffold_71:1394:13244:-1 gene:Ccrd_018843 transcript:KVI02833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae MSRFCGGFNRFCDFQALLIYQARFRRFCGGFQKGMDFTMNKLRSLVSKWQSLIEAHVDIRRKMRVIMVSQAQSCDLKVHGDYSEDVGVKMERPADELIAEETEVIGA >KVI02853 pep supercontig:CcrdV1:scaffold_71:101883:104947:-1 gene:Ccrd_018850 transcript:KVI02853 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAGSAGVAISESWVLQWIKIFSDCSTKRCVICEPKGETVVLGPKKALLFKCESPQRCKARLERHQRTADRAAKALAEKNMRDLVAQKEQAERNRLAEGLDAEVKRWCSGKQGNLRALLSTLQYILGSESGWQPVPLTEVITTAAVKRAYRKATLCVHPDKLQQRGATIQQKYICEKSLNTFDSTSLWDWYNMFALHEPDKNLGFAVDERSATLNGSYNILYNIVHTILAFVAEAWNKFNSEER >KVI02860 pep supercontig:CcrdV1:scaffold_71:253084:254235:-1 gene:Ccrd_018860 transcript:KVI02860 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MAEPTEELRPKPPSFRSPPLSQPSPSPPPPSPSPLPSTDDPSSNSDRSRIPQTNPSKIPIRPQKIRKLSSFASVDSATTLPEEIKXQSTIAEADASKAIILSTTTTTVVTKNRRRNPSQSAKDLPKVIKPLSAPGEIAVALQHLRSHDPLIANLIDNHPPPTFDTHQSPFLALSKSILYQQLAYKAGTSIYTRFVALCGGEDAVVPETVLSLTSQQLKQIGVSGRKASYLYDLANKYKNGILSDESIVKMDDRSLFTMLSMVKGIGSWSVHMFMIFSLHRPDVLPVSDLGVRKGVQLLYSLDELPRPSHMEQLCVKWRPFRSVGAWYMWRFVEGKGAQAAGASAVALESNSFPGQQQMGQSEQAQQQHQLQLLEPITNIGNLG >KVI02866 pep supercontig:CcrdV1:scaffold_71:463066:467874:-1 gene:Ccrd_018872 transcript:KVI02866 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSAFELFILLSLLISTLFHAFNCIKRRTQATDSSPLNLPPGNMGWPFIGETIGYLRPYSATTIGAFMEQHISKFGKIYKSNLFGEPTIVSVDPGLNRYILQNEGKLFECSYPRSIGGILGKWSMLVQVGDMHRDMRLISLNFLTNARLKTQLFREVEKNTLWVLDSWQESSSFCAQEEAKKFTFNLMAKHIMSLDPGKPETEQLKKEYVTFMKGVVSAPLNFPGTAYRKALKSRAIILKFIETRMDERIRKSDGDDVERLEDDLLGWVLKNSTLSKEQILDLVLSLLFAGHETSSVSIALAIYFLEACPTAVQQLREEHEEIVNAKKQSGEKDLTWDDYKKMEFTQCNACGKSSSNATMPSPNNFMPFGGGPRLCTGSELAKLEMAIFIHHLVLKYEWKLVESDQAFAYPYLDFPKGLPIRIRRLKQSCYNLKKSSIDNVQNNH >KVI02835 pep supercontig:CcrdV1:scaffold_71:13864:15590:1 gene:Ccrd_018844 transcript:KVI02835 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MASRNFPAMPTPSSLLSAYASMSTSIMLFRTMFDQFFPRQLRRYVVDAVRFYWKPKSSKLTLIFDEKDGMTSNHMFDAAEAYLCTRINPDSDRLRITKNVKEKHINIKFAESEELVDSFEGISLTWKYISQQPQQRSGSGGGYDFGQNGGKSSSGGSFTPERKYIELKFDKKHKEIIISAYLPSVLKKFQELENQKKVVKLHNLQSYGGGPGGFKESVNLDHPSTFDTLAMDLKMKKAIIDDLNLFLTRRDFYKKVGKAWKRGYLLYGPPGTGKSSLIAAIANYLKFDIYDLQLMNVGSDSSLKKLMLRTSNRSILVIEDIDCSIQLPDRKGTAPAKISDGRSIRDSQFSLSGLLNFIDGLWSCCGDERIIIFTTNHKERLDPALLRPGRMDVHIHMSYLTIDGFKTLAANYLDIHDYHRSFREINELISCTKVTPAEVAEELMKSNNVELVLEGLVKFLKRKRTRDEETKEGIDNGDDGVHEDKRAKTLSLI >KVI02865 pep supercontig:CcrdV1:scaffold_71:443232:453790:-1 gene:Ccrd_018871 transcript:KVI02865 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD binding domain-containing protein RHHAQAQPSSTRESASAYSDHTYRYIDIYIYGDMWRSVSRGLRIPSRRSISGHSISQRFFSSQSNTGRSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMGSDGSCQGVIALNMEDGTLHRFRSSSTILATGGYGRTYFSATSAHTCTGDGNAMVARAGLPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPMKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVITIKGDDPDAVIPGLMAAGEAACASVHGANRLGANSLLDIVQKPLEKDAGEKTLAWLDKIRNSNGSLPTSKIRLNMQRIMQNNAAVFRTQETLEEGCNLIDKAWESFHDVELKDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFSKRDDEKYWENEKVRLDYRPVHMNTLDDEVETFPPKARVY >KVI02847 pep supercontig:CcrdV1:scaffold_71:191024:194200:1 gene:Ccrd_018856 transcript:KVI02847 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSFKSIVRELREMRDGIGNISRRGIEGRHWRNRTRSHIAPDVAPSELINQGQWANLPPELLLDIIRRVEESETSWPARTVVVYCASVCKSWRDITKEIVKTPEECGRLTFPISLKQPGPRDSPIQCYIRRDRATSTHRLYFGLTPSEDESDKLLLAAKKIRRATSTEFAISLVADDFSRASSTYIGKLRQVSNFLGTKFTIYDSQSPSDASVQANHNRSSQRFHKKQVSPRIPACSYSIATISYELNVLRTRGPRRMNCVMHSIPISSIQEGGSAPTPKSFPHSFDDKHSLSSPSFKGKDPSNVRSTSCLSGPICVDPLMLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVASVDPSHNVSAAEQDKVILQFGKIGKDIFTMDYRYPLSAYQAFAICLSSFDTKPACE >KVI02837 pep supercontig:CcrdV1:scaffold_71:566581:570373:1 gene:Ccrd_018877 transcript:KVI02837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPRMACKFPRDLFTATSRPSGKTPLCTYPNPPCPNRFDCENPSVAADSSLYEKWLLLNARGMFGGGTAEAERLPVVLDHGEVAHLPPAPTGVPIIPDLCNLLVGGGGDWWRAALFDEGTNARVEERGGGERGGGEEGGGERGGGEEEGGGGERGGGEEEGGGGESGGGEEEEGGGGERGGGEEEDGGGGERGGPRGGEEPKGGGRDDFGVGADRKALLIVSEREVWYLIEDGKDSTKRRDVSSASNSLGGDRRVMKPLMNDPETPTLPE >KVI02831 pep supercontig:CcrdV1:scaffold_71:97049:99662:1 gene:Ccrd_018849 transcript:KVI02831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase/chloroperoxidase, N-terminal MSPATVAIFINPPPLFNLFHSIHRKPLFSSPAFRTLKQSAIVYRKSISVKKPMAAESIETGIGGDERISPAVSAFEQEVLIDNGGVSFHQTAGGLHTILNSLSRWIVAATFGGVLLLRHDAFAFWAALGSVLNAILSITLKQVLKQERPVPDVSSGHGMPSSHAQSIFFATVLVIFSVVEWHGFNGVTAFFSVLVIALGSYFSWLRVLLCYHTTSQVVVGAIVGSIFSVLWFWAWEAMVHKAYNSNLWVRVLVTVGATCFCLGFISHVFQHWIKSEH >KVI02850 pep supercontig:CcrdV1:scaffold_71:158054:160905:-1 gene:Ccrd_018855 transcript:KVI02850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin VEVKNVSRLCHAKPIVTVNGRFPGPTVYAREGDRVLINVTNHAQYNISIHWHGLKQYANGWADGPAYITQCPIKTGSNYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPKQATPFPFPRPDGEHIIVFGKRYLLRIVNAALNDELFFAIAGHNMTVVEIDAVYTKPFTTNALLIAPGQTTNVIVSANRTPGRYFMAVRPFQDVPIPVDNKTATAILQYKNIPTTVVPLLPRLPSPNDTEFALSYNEKLRSLNTPNFPANVPVKVDRSLFFTVGLGKSACATCVNGTRLSASLNNITFVMPETGLLQAHYFNKKGVFTVDFPDKPPTFFNYTGAPLTANLFTSKGTRLSKITFNSTVELVIQDTNLLSVESHPFHLHGFNFFVVGTGVGNFNPATDPAKYNLVDPPERNTVGVPTGGWAAIRFRADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGKDQAVRPPPKDLPAC >KVI02846 pep supercontig:CcrdV1:scaffold_71:236840:237790:-1 gene:Ccrd_018859 transcript:KVI02846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein METRERNSLNYNNPPQSNQESSSRSSTAPLISSPTSNTRRNETPDHPHLHLHQGNHYRGFKLQKEQLEPQRPRRNPNPGPDPARLVSQPPAQPLPPAPEPSTIARAAATVAVRYRECLKNHAVNMGTHVLDGCGEFMPSGEDGTPEALKCAACECHRSFHRREVEGESQSGYYTQQMASQIPSTHNQPPRAATVQLPPPQSPQQRHHRHHQPVQPIMMAFGGASGTPAESSSEDLNIFRTNGGAQLMAQMSKKRFRTKFTEDQKDKMHDFAERIGWKIQKQDEQEVLRFCNEVGLKKQVFKVWMHNNKQATKKKQL >KVI02838 pep supercontig:CcrdV1:scaffold_71:524010:535224:1 gene:Ccrd_018873 transcript:KVI02838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MKYDDIHLNQINKSRLAYLLRHVPFHGQSHPSAGGNDESSYATHNPSKSRILRMDLHMIDWTEIVQHGTMPAKELTTASLKRTSPDNHLTPTIASEFVCLASARKRRQGHKIDHLFQNPINQGSYAVLLNHIKTTVMDAISQVLNKVFAGIFLDERGYWQGLIESIVWAHNKLKVAPATQPRGRLALTKTPLFAPSVEHKAAIYRAY >KVI02858 pep supercontig:CcrdV1:scaffold_71:295187:297613:1 gene:Ccrd_018864 transcript:KVI02858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MNACFQVSKPIKHQMMTKTFILLLFCSLIRPSSSINFLSKGSSLSVDDDSDLITSSDNTFTCGFYGFQLNAYWFAIWFTNSNNRTVVWTANPNRPVSGRGSKVTFHSNGVLVLTDVDGMVLWETNMTSTDADRAVLLNTGNLVLKNQKGQTLWQSFDYPTDTLLPTQTLTKSKRLISASRKGSFQSGYFNLNYDSNNVLTLSYDGPEISSVYWPSRDPNYEVWKYGRSSFNSSRIAVLDDMGVFISSDRLQFNASDMGFGIKRRITIDYDGNLRIYSLNDSTGLWLVTWQAIAQPCNIHGICGRNGICIHGEKTGCSYPPRYEWSNHTDLSQGCKPTFNKKCVNSISFGFVELSHTDYYGFDLNFTRNISLEDCRDICLGDCRCDAYKYKLNGEGFCYAKSALFNGYSNLNVEGAIYLKVPKAMETPISTSILTSSMPTCTDASVVMIGSPSMYESSDTKVKWIYPYSIAFAVGVVEVLLILLGLWVFYGNNVFVANLEDGYRLISSHFRGFSYHELVKATKNFKVEIGRGGSGAVYKGILEDQRVVAVKRLEDVTGGGEFWAEVSTIGHINHMNLVRMWGFCSEKRHRLVVYEYVQNLSLDKRLFSSSFLQWEQRFKVAIGIAKGLAYLHHECLEWVIHCDVKPENILLDAAFEPKIADFGLAKLSERGGQNDEFTRIRGTKGYMAPEWAHNLPITAKVDVYSYGIVVLEMAKGIRLPNVIVQGAEEEESALMGFVRLTKRKILKGKELWIDEIIDPRLEGLFSKKQAIKLVEIGIACVEEDRNKRPTMDSVVQVLIDCESELCYKE >KVI02836 pep supercontig:CcrdV1:scaffold_71:55721:59724:-1 gene:Ccrd_018847 transcript:KVI02836 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MDVKEVFHMNGGLGENSYAQNSSLQKKASDMVKHITLESLEETYVSMKPKSIGIADLGCSCGQNTLSTIRELVEVVDETTRKFLNIPPPEYRIYLNDLPTNDFNAIFKILPDFYTELNNERHRRGHKFDSSVFIAGYPGTFYGRLFPNKCLHFIYSSFSLHWLSRVPPGLYDKQGKSINNGSLYISKSSPLEVSKAYFEQFQEDFSLFLRSRSKELIVGGRMVLILLGRRDRSHGDVTQEKVDQYDTHFYAPSKNELEEEVKKETSFEIDRFEMFEIERNSKACMSHGTVVARAVRAIQESMISHHFGEEILDNLFETYGRMIDEEMVVEDIRPVSFIIVLRKL >KVI02855 pep supercontig:CcrdV1:scaffold_71:353239:359647:1 gene:Ccrd_018867 transcript:KVI02855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex, sigma subunit MNGDSPISGPWSTIMPESGSSMAILRWILDVFTFHRGYQIFVFLLVIVSSTETLILRSEVRLRRVNRVESASVNNDKGYAGDQQPRQVSPSQILQLYVLCLQPENVSNFIEGGLLLGPDSRLVYKLFATLYFVFVFDSSENELAMFDLIQVYVEILEKCFSNVCELDIVLNYGKMHTILDEMIVGGQVVETNSDIVMKAVEEISKLETTTAGAKLISKSASLLWG >KVI02842 pep supercontig:CcrdV1:scaffold_71:599973:601181:-1 gene:Ccrd_018882 transcript:KVI02842 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MGFAPNFAILLTGRCVAGIGVGFALVISPVYSVEISAASTRGFLASLPEIGISLGILFGYISSYIFAQLPLNIGWRVMFAXAAIPSLGLAVGILGMPESLRWLVMQGRLKDARNVLSKVSNTKIQGESRLQDIKLAAGIDPGCNDDYVMLTLGIHFFEHATGIEAVVLYSPRIFKKAGVVNKNKLLLATIGVGITKTVFISVSTFLIDKTGRRKLLLTSVGGMIVALLGLGFALTIVHQSPDQRVVWALWLSIATCYLYVMFFSLGLAPVTWVYSSEIFPLKLRAQGASIGVAVNRVTNATVSMTFLSLINAITIGGGFFMFAGISVLAWIFFFFFLPETKGKSLEEMEQVFTRSKKSRTADIELQIKNEDNRTP >KVI02848 pep supercontig:CcrdV1:scaffold_71:211083:213032:1 gene:Ccrd_018857 transcript:KVI02848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSSNSLPSSSSTSSWTAKQNKLFEKALAVYDRDTPDRWQKISRAVDGKSAEEVKRHYEVLIEDLRHIESGNVPVPNYGHYILSLVGS >KVI02849 pep supercontig:CcrdV1:scaffold_71:151718:156069:-1 gene:Ccrd_018854 transcript:KVI02849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MGAISMTWSLPSLTSSKLPRVNRYPGMAFATVNKDTASLGVKVTEGLGNLPKVVLTSSHGSEAELYLYGGCVTSWKVGSKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQHGFARNSNWSIVDSENVDGSPVITLELKDSPYSRSMWDYSFQALYKITLDKKSLSTELKITNTDKTAFSFTTALHTYFSVSVAAVSGASVRGLKGCKTLNKDPDPKNPVEGKEERYLVRLYQNLLLGVVFTVFDFSSQLQFARSRDVVTYPGFVDCVYLGAANELYLDNGLGDIISIKNTNWPDAVLWNPHLTMEACYKDFVCVENAQIGNVELEPEKSWVATQQLSVG >KVI02856 pep supercontig:CcrdV1:scaffold_71:337289:347204:1 gene:Ccrd_018866 transcript:KVI02856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citron-like protein MVHSAYDSLQLFKDCPTKIDAVESYGSNLLVACADGSLRIYGPESSTSSADRSPPSNRHSQTLELKREPYVLERTVNGFSRKPMLAMEVLGSRELLLSLSESISFHKLPNLETLAVITKAKGANAYSWDDRRGFLCFARQKRVCIFRHEGGRGFVEVKEFGVPDTVKSMSWCGENICLGIRRDYTILNATNGALSEVFPSGRIAPPLVVSLPSGELLLGKIRSLRVPYPLIQTVVLRDVRRIHQGNSAVIVALNNSIYGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDSSLRASKEQSIHIRYAHYLFENGNYEEAMEHFVASQVEITYVLSLYPSIVIPKSSVAAEPDKFLDVTGEAYLSRASSGLSDDMDSSSPSHLLDYDESSALESKKMSHNTLMGLVKFLQKKRSSIIGKAAAERTEEVVSDAVGHTFVSYETGRSKRSSKGRVNIPLDSGAREMAAILDTALLQALLLTGQAAAASDLLKGLNYCDVKICEEILRNGNHYVGLLELYKCNSLHREALKLLHQLVEESKSDEPKLELTQKFMPEMIIEYLKPLCEIDPMLVLEFSMLVLESCPTQTIELFLSGNISADLVNSYLKQHAPGMQATYLELMLSVNENGISGNLQNEMVQIYLSEVLDWYAGLIAQKKWDEKTYTSTRKKLLSALEGISGYSPEVLLKRLPTDALFEERAILLGKMNQHELALSIYVHKDLVYVLHLFIDFCENILVFQLHVPDLALSYCDRVYEVGLHQQPAKAPGNIYLTLLQIYLNPHRTTKDIEKRITNLVSSPPGSNSKVGWTSVKAKARGLGKKIADIEGAEDTRISPSGTDSGKSDGDADDPINEGTSNIMLDEVLDVLSQRWDRVNGAQALKLLPKETKLQNLVPFLGPLLRKTSEAHRNFSVIKRLRESQNLQITADSMCSLCNKKIGTSVFAVYPNGNTIVHFVCFRDSQNMKATGKGSSLRRR >KVI02841 pep supercontig:CcrdV1:scaffold_71:593928:597556:1 gene:Ccrd_018880 transcript:KVI02841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1195 MKDDDPLPMSTPPLMITNKKESLDSSIFSRGRYKFWALAAIILLAFWSMLTGTVTLRFSAGNLNRLGDYDIAGGSPIHEDLDVLVRTEMEEREKVVKHMWDVYTNGRRIKLPRFWQEAFVAAYEDLSSDAPEVREAAISEIAKMSINSIDLDLPPQLTNIRELSSKQSQQNKARSKLNIS >KVI02834 pep supercontig:CcrdV1:scaffold_71:22196:28429:1 gene:Ccrd_018845 transcript:KVI02834 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKKMMILKMEELFFFVKNNHDIILAVLLSGLITRLLTTKLRKSSDAIPGRLGLPLVGETFSFLSATNSDKGCYDFVRAKTILTNDFQLFNKGYVKSMADAVGKNSLLCVPVESHKRIRRLLSAPFSMDSLSKFVQKFDKLVSERFEKLAENGNSFVVLDFTMKSMLERDLFAADEKLDDSEIMDNMLTLIIAGQTTTAAAIMWSEEQLSILRNKPEGAFLCKEDLNKMSYGSKVVKETLRMSNEIQKPYNYIPFGSGPRTCLGINMAKVTIWTVDDQDLSLEKKSHIPRLKSGVPITLTSLKDYQI >KVI02845 pep supercontig:CcrdV1:scaffold_71:221901:224380:1 gene:Ccrd_018858 transcript:KVI02845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTVALLVLLLATTAIVCRSSEEQGDAKDSSSWTDWAMAKVTGYVSEKAIEAEDAAAEAAKKLSDDKGSGR >KVI02843 pep supercontig:CcrdV1:scaffold_71:50377:53330:1 gene:Ccrd_018846 transcript:KVI02843 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MADAVGKKSLLCVPVESHKRIRRLLSGPFSTDSLSKFVHKFDKLVSERFKKRNSNEAHEDFLQSMLERDSFPADEKLDDSEIMDNILTLILGGQSTTAAAMMWSCQRDIKNVKCVAMEIQKPYSYIPFGSGPR >KVI02839 pep supercontig:CcrdV1:scaffold_71:580218:583143:-1 gene:Ccrd_018879 transcript:KVI02839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDEIWERAVETALDGQTDVASVRTLTLDGAVKCVHGRLPHPSLFQKFPHLQHLSIANIGVSSLEQFPRLQNLQKLILSDNRIAGGLEFLVQAGLESLRDLDLSNNRIQEIDDLRPLAELRLVSLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDVDENERPESDDEDDDDDEEEDEEEEEDDPGSGEIDGEEKGPNRLANGHNIGQDGVVDVDEDDESDADEEEAEIVRGVNGNGSNGLAGQANGFHAVDVEDDDEDGDDDNDSDEIDEEEGEDEEDVVEVHEIEDSDDEEDGVEDDDEDDDDEEVDNDEGDFAEPGSTGWITSTEGEIDGHDQGEEDGDEDDDGETGEEEIGVEEGDFEDDEEAEDEEEEDVESSASEIIKARLKIGGKKMEGFNGLLVVLELVLELEGGVMFDENGVMQGEREKKSGNGF >KVI02859 pep supercontig:CcrdV1:scaffold_71:257714:262311:-1 gene:Ccrd_018861 transcript:KVI02859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLGINMAGTLGAISDALSLAAIFRPYKPYNRLYFHQFRPSLFNCSSKSSFLPLVSAMAENLNGGPEIQEPKRKIRKLEDRSGNELPIFKVKKLSEKAVLPSRGSSLAAGYDLSRGVQTGHCFWRLGFRFWVLGFRFWGFVLGDASKSREIRREATIREGDXGFRFQEGDAGFGLGFLFLVMRGSREX >KVI02861 pep supercontig:CcrdV1:scaffold_71:527772:535714:-1 gene:Ccrd_018874 transcript:KVI02861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSKLVEQLRDSQIRSQHKWSPLVIFSPKPNLSTWAMMELDATVSSLSRSDAWSIKWNLEFSLVELLFIGFQITFTKVTVSKTSEGEGDANSAENRRVDVAVAILWATLFIVLVTSSYMTLYFRHFWLSFAIICLGILIPIRLRISRQTIARKKDRRLLLPLSM >KVI02854 pep supercontig:CcrdV1:scaffold_71:105003:109851:-1 gene:Ccrd_018851 transcript:KVI02854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYRDNGGGGGGGFGARNKTVYDDVFGGPPKFGATTLPPRLEDYTEIFQGFHASRGSSIPVLDLPPPSEECDDVWFDVQSSKLDYSEVFGGFNGLDFAVSYEELTPVQSESPSDESDPYASLETNQHVPNADASQLFSVKLHNEPNMEAEKDMENDSQFLDLPGSTVLDNQALPSNMENEKSFSLANNDRCASKDFGGVAEGKRLKKSLSQPLDSVCGTERYGCDVSNLVGDQKAYPVGTRPVLSVSGVSLKTQPSHLPPPSRPPPALTSKKGDTGSSNLKLKTSKSYAFERMTGDQSPPYFDVEIDASSSAAADAAAMKDAVEQAQAKLRSAKELMDRKKEGLQGRSRLRMENNVGDKKGRVNEDYERANSFGGKRMNGSIERDSTRTRLDVREESQNIKIIKDVKGSVDGERNKTEGGKPIERKTEKEHKMHLVLDETKGTVASREVTQPFENVVRRQVKDAGILEPDARSRGFKQNNKVVLESFHQTEDGKNQQEREPLVENDKTKETLDSSHREEEHLKEPTIAQKTCQPREMEKKFFVSQQHGEIKALSDADDSELYENLIEIQLKDNDMETGIKLVGANEKVVIENLLKNDNERKALGMGSDPYDWEDLDVGFHDTTEKEHERGLKETEVQDEKEAQQLVPPEIAIIQSKEEDHEEGTERIVKDPFCWEDDEKMPVNASEQWSEESDKISETVSEQEQYKKEQTPDHIEEILQEDFMQNEDKIKAEEAIEQDEKVKEKEKACAKDDNVQTLNHAAANENTDTSHGIDCNSVGNRILLNDNEREESKAGKEAVSENDGIEKEQEGIKWDDDNKRLSSNEENESTTGENSEASDVVYQADDNEILEATEDVQQDIPSERNEVTPETLFTVKNGKPRSNGHNEKVEGTVDQIHEVGEDSFSSSGLNQSDMQGVKVEVRVAGVPSSISSIESAGKIYGVNVVGQTPMGNDKRTFESKNSINFTHNHEGKRTNVHPDGRTNTTTYPQVAKEWVEAETKPLSDQVDSLRNTNNAASKVAGRSMERKDEIRNKAVSEDREKEEKLQRERELENERLRKIEEERERQIEREKDRMAVDRATLEARERAFAETRERAERAAVDRATAEFRQRALAEARERLEKACAEARERSLAEKAMEGRLRVEKATAEARERAERSVGDKFSASKSSTMRQNSLSSVSIYYF >KVI02828 pep supercontig:CcrdV1:scaffold_71:268418:274500:1 gene:Ccrd_018862 transcript:KVI02828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyl transferase MATLRFHHPLPTFTPISSPFPKTQIQRTCLFNLHTAGAFKHLVTAAHPDGFVPGSISKTASFAQLIESLIDRKNLTEAEAEESLDFLLHDANDSLISAFLVLLRAKGETYEEVVGLARAMIKHCKKVEGLGDAVDIVGTGGDGANTQGNRSSSSACGSADVLEELGVAIELEPEGVKRCVDKVGIGFMMSPIYHPAMQIVRPVRKQLKVKTVFNILGPMLNPAGVSFAVVGVYKEDLVHKMAKALQRFGMKRALVVHSEGLDEMSPLGPGLVLDVTQNSIENFSFDPRDFGMPRCTVDDLRGGDPKYNAEMLRRVLSGEKGSIADAFALNAAAALLVSGCVDSLGEGVGVARETLESGKAITTLDSWIEISNNSIVMA >KVI02827 pep supercontig:CcrdV1:scaffold_71:277933:280129:-1 gene:Ccrd_018863 transcript:KVI02827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MVNFVALQQPLIIALMKMAGVQTHTVEIEPGTLMNFWVPNETLQKPNKKSNTTTTTTIDPKPDKPVVVLVHGFAAEGIATWQFQIGALTKKYSVYVPDLLFFGGSISDNPDRSPRFQAECLVKGLRKLGVRRCVVVGFSYGGMVAFKMAELYPEMVRAMVVSGSILAMTDSISDSTMKELGFSSSSELLLPDSVKGLKALLSVATHKKLWFPDRLHKDYLEVSLVSNSTP >KVI02863 pep supercontig:CcrdV1:scaffold_71:564012:568574:-1 gene:Ccrd_018876 transcript:KVI02863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPQTAPTPKSSRPPPFGSSPPRGPPRSPPPPSSSSPPPRSPPPPSSSSPPPLSPPPPSSSPPPRSPPPPSSSPPPRSPPPSSPPPRSPPPRSLPPQKDYGAGSSPPSPFSSNKGSTPRSQTPPSTSTRAFVPSSNNAARHQSPPPPTSKLHKSHSHKTPHRSTGGSSTNTTTEAIIIGLVLAGFVFLAFVTICVTCGRRKRKKQKAEAYYMKSAPGAAGGGDYYKNQWAGPPHEHLIKFKTPGMMGTPVGAGGRWATSPWSKTTGNLSASAVPPPNMPLAFSKSHFSYNELSAATDGFSQSNLLGQGGFGYVHKGVLPDGREVAVKSLKSGSNQGEREFQAEVEIISRVHHRHLVSLVGYCIADAQRMLVYEYLAPEYASSGKLTEKSDVFSFGVMLLELLTGRKPIDPTSNQMEDSLVEWVGSDLFAIFGFLASMMISLNECNEFCGPLLAKALEDQNYNELVDPRLEGNYEPNEVARMACCAAASIRHSSKQRPKMSQIVRALEGSGSLDDILKPQRSGSMSNLNQSQDTPTSIIYDTKAYNDDMRKFKEMVNSSQEFTSN >KVI02852 pep supercontig:CcrdV1:scaffold_71:150007:150909:1 gene:Ccrd_018853 transcript:KVI02852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin, N-terminal MNDLMTKSFLSYVELKKQTRIDIKSEETDIEKGNQEQKIAPSDETNLSNFFQEVDVIKSNMEEITNLLFDLQTLNEETKSAHSAKVLRGLRDRMESDMVSVLRKANVVRVCLESLDNSNESNRSCYKEGSAVDRTRVAVSNGLRVKLKEMMNDFQDLRNKIVSDHKEYLKKRYYNETGEYPDEATIGTMVSGSGKVFEGKKDLVLENKERHEAVMDIKKSLNKLHQVFLDMAVLVEAQGQNLDDIELNVARAGSFVSGGTDSLFYAKQMKDKHSKNWVCFVLSVIIIICLVCFIAMLSSF >KVI02857 pep supercontig:CcrdV1:scaffold_71:307399:308329:-1 gene:Ccrd_018865 transcript:KVI02857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MHRSCSCDHHYQPNSFSMLFTMPPYHQHICPYASSSSSSSPSSSSASVDCTLSLATPASTRLTADNDYDLPESRHSSRFCWDFLQPDNSHSPAPPSHKTNRSGATNSSAADSLLSRRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRAAATATTVVGSGGDATEGYNQNSWMQSTQKMPSCYSPAAVGSEFRFRDEVDDRDSTFLSWRLNVTDRAGLVHDFTRY >KVI02832 pep supercontig:CcrdV1:scaffold_71:71205:73184:1 gene:Ccrd_018848 transcript:KVI02832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MATKLKNHLNSSQFKLNNGGIYHRAAAGVFSIIHGRQDGASLRGRREPVRREVLLLNGSSLPLSRMFHACDDNSLNRTDCQVPYILPHSSWISPVQEEVLVGSNPRSIHVNSQSKSITFVNPRSNDVHSALSLSFRQRNMLHSISKLKNPDLLEKISHSRIRRFCEAANSDGESEGEDVEGDESVAVKSVADEKEVRRVCDVIEELFALDRNMEAVLDECGVNLTHDLVVDVLERFRHARRPAFRFFSWAGQQPGFSHDSRTCNSMMSILGKTKQFETMISLLDEMGEKGLLTLETFQICIKAFAAAQQRKKAVGIFELMKKHNFKVGVDSINCLLDNLGRAKLGKEAQLLFDKLKGRFTPNIQTYTILLNGWCKVGNLLEAGKVWNEMIDKDMYPDIVAHNTMLEGLLKVHKRSDAVKLFEVMKAKGPFPNARSYTIKISDLCKQKRMKEAVECYEDMLNCGCLPDAAVYTCLIKGFGNQKQMDKVYGLLKEMKEKGCPPDGRMYNTLIKLMANRQMPDDAVRIYKKMIQNDIEPTIHTYNMMMKSFFRAENYDMGVAVWEEMNGKGVCPDDNSYIVLIGGLIRHGRGMEACKYLEEMIQKGMKPPQLDYNKFAADLCGTGKPNVLEELARKMRLEGKLEVSDIFSRYSKTIKKTGEL >KVI02829 pep supercontig:CcrdV1:scaffold_71:393952:396608:-1 gene:Ccrd_018869 transcript:KVI02829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTIRSVSVQAKPVAGSWIFTVSENFAGKVSPTIGNVRSHQASASPGSGPAITNQTKPTNMEKIQHSQEPTTKGRDVMSHTFGDAYSTRSDEQGFGGAFTGNESLSSTEQDKIVNANAPDEEVPQSLLKSLLRHNKRLLQENRDLTVEISVLRSKLANKKTKMFCEREPTVKGLLENCRIRFL >KVI02830 pep supercontig:CcrdV1:scaffold_71:366739:379492:-1 gene:Ccrd_018868 transcript:KVI02830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMWRRWRGQDQHESEPLDHEKMIKDLRAAMGPLSGHALKFCTDACLRRYLVARNWNLEKAKKMLEETLEWRSNYKPEEIRWHEVANEGETGKVSRANFVDRCGRPVLIMRPGKQNAILNLPEGQEQMSWLIDFSGYSMNASNIQLKTTRDILNVLQNHYPERLAIVVLYNPPKIFQAFYKVVSYFIDPKTHQKIKFVYPNDKASTEIMKSYFDTENLPSEFGGNPGLTLKYDHEEFSKLMAEDDIKSGKFWDSETLHDTNGHCVEPYVGPETAPNPLATAS >KVI02840 pep supercontig:CcrdV1:scaffold_71:597943:599476:-1 gene:Ccrd_018881 transcript:KVI02840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF620 MRVLCPNIDREDALETVLDVPIPEEMFTSMGNTVALRWQNMAMWMKAQTLEKWSSPIIANRYNELSFLLYMMGSPLLPLQIRKANSSTRSIHNSSIEASTAKYIVQQYMAAIGGQPALNTVQSLCAIGQVKISASDFHQGDEVVNSRSRDEAGGFVLWQKDPNLWCLELLVSGCKVISGSNGKISWRQSSNLQRPMIKGPPRPLRRFLQGLDPKSTGDLFLQAVCIGEKIINDEECFILKLDTSQSDLEEQGNPKYEIIHHTIWGYFSQRSGLLVKFEDSRLLTVNSDNGDGIFWETSTESVIEDYRYVEGVNIAHSGKTTVTVFRYGEQSSNHKRELEEKWRIEEVHFNVWGLGNDFFNPPSEFMKREKAT >KVI02851 pep supercontig:CcrdV1:scaffold_71:137060:142086:-1 gene:Ccrd_018852 transcript:KVI02851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MGTLGRAIYVVGSWIRVAGQAVDRVGFSLQGSHLFQEQVSRHQTLMNLFDKDPVVDKDAFVAPGASVIGDVQVGRGSSIWYGCVLRGHGAVIHGCTIEDEAFVGMGATLLDGSHVEKNAMVAAGALVRQNTRVPFGELTEEEIAFISQSAVNYSNLAAVHAAENAKGFEEIELEKRLRKKFARKDEEYDSMIGVVRETPVELTLPDNILPDKAQKTAS >KVI02864 pep supercontig:CcrdV1:scaffold_71:415765:418442:-1 gene:Ccrd_018870 transcript:KVI02864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREENHQIQGGAADVTGGEETAGFQFPNLDHHHHHQSGFRFADFVAASGSVGEFDTDEWMESLIDSTTESSTWQPSSDFTLYAADPFSDCPSRLACPTDLKNGNSTWAPPPAVLTSDHLIPPEEKELKPPKLEKAPPPSSKQDVASTSSPERILTKPLLKTLTDCARIADTDPGKAIKPLIRLRDSVSADHGDPTERVAFYFAEALHSRVTQKPRTTADMASPEEFTLTYKALNDACPYSKFAHLTANQAILEATEKAERIHIVDFGIVQGVQWAALLQALATRPAGKPTHIRISGIPALILGDSPASELLATGNRLREFAKVLDLNFEFEPILTPIEELNVSSFWVDPDEFLAVNFMLQLYNLLDENSGAVEKALKLAKSLNPSIVTLGEYEASLNRVGFLQRFNNALNYYSAVFDSLEPNMTRDSPDRLQVERSLFGRRIAAAVGPEEEGNKRERMEDKEQWRMTMENSGFETVNFSNYAVSQAKILLWSYNYSDMYNLIDSDPGFLSLAWNDVPLLTVSSWR >KVI02844 pep supercontig:CcrdV1:scaffold_71:576796:577302:-1 gene:Ccrd_018878 transcript:KVI02844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHSPSSTSIPLTPSISRCFPYNQPSCSSHRRLNVKSMAKQTGEEVSTNGIAEKLAIAGGLIATPVIGWSLYTLKTSGCGLPPGPAGSIGALEGVSYLAVVGIVGWSLYTKSKTGSGLPNGPFGLLGAVEGLSYLSLVAILVVFGLQFYQQGSLPGPVPVPGDQCFG >KVI02862 pep supercontig:CcrdV1:scaffold_71:559153:559592:1 gene:Ccrd_018875 transcript:KVI02862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLGTSLLSLGEFIIKKMPLMSYIYTASKQISAAISPGQGSHAFKEVAIIKHPSVGEYAFGFITSTVILQKATGTEELCCVYVPTNHLYLGDMVLVNSKDIMKPNI >KVG47623 pep supercontig:CcrdV1:scaffold_7102:1:14988:1 gene:Ccrd_026394 transcript:KVG47623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MELAILDFNMVQAIHLQDLKYSSRWWRNTCWDKKLSFCRDRLVENFLWTVGVNYLPKFSLGRKTLTKVNAMITTIDDVYDVYGTLDELQKFTDVTTRWDINAIEELPDYMKICFLGFYNTINEITYDNLTNTRLLILPYLKKAWADLCKSFLVEAKWYQSGHTPTLQEYLDNACISVSGPTILMHCNFLTSMTSTQEILQCMERIDNIVRYSSLIFRLANDLGTFSDEMARGDNPEAINCYMNETGATEAEARSYMKLLISKTWKKLNKEVTGVAGSQFLQEFVDCATNLARMAQFMYGEGDGFGRPELVTKSYILSLLFNPIEERNGKRDNPKAIQSYLHESGATEDEAKANKLYKYVNLQDMEETNKEVASKSMAVTEDKSLNRRSANYAPSLWSFDHVQSLSSKYTEEDYEARVSSLKKAVKTMIQKVTGNPLNSLALVDNLQRLGISYHFEEEINQVLELIYDDHFKTQEQWNXMDMNLRALGFRLLRQHGYXVPQGTNLINIQRFFTTLSTRLNMLKGILMWWNAEPDESILDDVRDFTTEYLKQIRDTIDGSSSLWSLVSHALEFPLYWRVPRVEAKWFIEECKKTSGMIDLTLMELAILDFNMVQAIHLQDLKYSSRWWRNTCWDKKLSFCRDRLVENFLWTVGVNYLPKFSLGRKTLTKVNAIIATIDDVYDVYGTLDELQKFTDVITRWDINAIEELPDYMKICFLGFYNTINEVTYDNLTNKGLLILPYLKKAWADLCKSYMVEAKWYQSGHTPTLQEYLDNAYISISGPAILMHCYFLTSMTSTQEILQCLERTDNIVRYSSLILRLAGDLGTSSDEMARGDNPKSIECYMHETGATEDEARSYMKSLISKTWKKLNKERTGAGSASSCHFLMEFECAANLARMAQLTYSEGDGFGRPELVTKSHVLSFLFNPIQGLH >KVG47624 pep supercontig:CcrdV1:scaffold_7102:17487:18337:-1 gene:Ccrd_026395 transcript:KVG47624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLQVLLQGIPPNATIEDIERFLAGCDYDSSNIRLFFRQGASGSIRMALVNFLTPTAAMSAMITKNRGFCYNNQISMHVLQ >KVG47370 pep supercontig:CcrdV1:scaffold_7106:4045:13287:-1 gene:Ccrd_026397 transcript:KVG47370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MATQAASPAAILPSAQVVGNAFVEQYYHILHQSPELVHKFYQDSSILSRPDTNGLMSSVTTMQAIDEKIQSLDYKNYKAEIKTADAQDSYQAGVIVLVTGCLTGKDNIKRKFAQTFFLAPQEKGYFVQNDVFRYVEESMPIETTSASVEDIVDSSLVEHAVPNAEATHVSDNPTYDSTTNLAADDINNGPEVCDPEDIEGSGSESGLEEEVVDEPVPVQTIPNETIEGHSIYVRNLPMNATVPQLEEEFKRFGPIKSNGIQVRSNKQQVFCFGFVEFESLDSMQSAIKVVVEEKRTTTRVGSGGRGRYTSGRGGGGFRGRGGYFGGRSFGRNEFRYQGEFPGRSKGPAGRNSEVYQRVDQNGGGRYAHQPNKDTESTH >KVG47369 pep supercontig:CcrdV1:scaffold_7106:2510:3484:1 gene:Ccrd_026396 transcript:KVG47369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1118 MALLVPSMATTHNPLAFATKTLGYNKMRGGSSKRSTTTSSIKLLTNVEKLRLLTKAEKAGLLSAAEKFGLSLSSIEKLGLLSKAEELGVLSAATDPSTPSALLTLSLALLALGPFLVFLVPEDYPWEIGLQVVVALLSVVGGSAAFAASNFVSNLQKSN >KVG47361 pep supercontig:CcrdV1:scaffold_7107:16958:20653:-1 gene:Ccrd_026399 transcript:KVG47361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSHKLKTLLLLGNNFNGTLPMEALASFSHLVVLDLGGNNFFGSIPSTIQALSSLRAVSFAYNNLNGSLPDQGLCELKNLHELDLSGNMFDGNLPQCFNRLSSLKLLDISSNQFRGTLLPSLIANLTSLEYVDFSNNRFEGSFSLSLFSNHTKLEVXRFVSNNNKFEVETEEPIGWIPMFQLKVLVLSSCNIKRPKGSVVPRFLLHQRMLHVIDLSHNSLVGNFPNRLIENNTMLEVLNLRNNSLTGIMCMPRYRNVNTRWLDVSENHINGTIPADIQKFLPNIEYLNLSSNSLDGVISSSIGDMRQIWGLDLSDNKFSGEVPKALFSNITFLRILKLSKNRLQGEVLSRNLSLGRIERLGFDNNHFTGKIGNWTFQNLCMESLDISSNHFIGTIPRWISDMMSYNSELIVSNNGFEGPFPCGTTSFSFLDISHNSFSGPIPSCLDFQAMTHLHLGSNRFIGPIPNAFQNLTSVLTLDIGYNSLSGRIPEFLGNLSYLRILILRKNNFSGSIPKPLCQLSNVSLIDLSSNSFSGSIPSCLQKIMTSVYPAFELKMQSSYGMSPSYAYQWVLYKNIYVGDYGSIMLEKQDEVQFTTKALFLSYKGSILDYMVGLDLSDNKLTGEIPKELGLLTEIHSLNLSHNQLTGTIPMQFSNLENIESLDLSSNGLSGEVPSQLTQLTSLAVFNVSYNNLSGRLPEMKSQFSTFTEASYKGNPLLCGPPLKKTCTTTSQVNDPSTKEGNDKWYDIDMTSFYGSSGATWVVVLLGFVGVLYINPYWRRRWLDLVEECMYTCYYFLYDSVRKLNILF >KVG47360 pep supercontig:CcrdV1:scaffold_7107:8742:10114:-1 gene:Ccrd_026398 transcript:KVG47360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLKVLMLSSCNIDKPKRSVVPRFLLHQYKLQELDLSRNSLGEVSSGLFTNLSYIRVLKLSKNRLHGQLCQLSNVSLIDLSSNSLFGSIPRCLQNIRTLVYPAFKLSGQDI >KVI04763 pep supercontig:CcrdV1:scaffold_7109:15769:17619:-1 gene:Ccrd_016916 transcript:KVI04763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MIKEQSSMPPSQIEDQHNPLIFNASILQHETSIPQQFIWPDHEKPNAQKPKELPVPLVDLSGFLSGRPNAAKEASRLVGDACMKHGFFLVTNHGVDADLIEDAHRYMELFFGLPLSEKQRAQRRVGESCGYASSFTGRFSSRLPWKETLSFQFSGEENSSNIVKDYFENTMGKEFARLGKVYQEYCNVMSRLSLGIMELLGLSLGVGQAHFKEFFDENDSIMRLNYYPRCQTPNLTLGTGPHCDPTSLTILYQDSVGGLEVFVDNEWRSVAPKLNAFVINIGDTFTALSNGRYKSCLHRAVVNNRIHRKSLAFFLCPKKDKVVSPPDELVDEKNPRIYPDFTWSTFLEFTQKHYRADMNTLQAFSKWIQQKNS >KVI04582 pep supercontig:CcrdV1:scaffold_711:95517:96572:-1 gene:Ccrd_017099 transcript:KVI04582 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDWERRRRVKILWYMAPIGNTLGNGYHRVAGEDGLGKEETKREAQGGRPSRTTASXYWKATGSPAYVYSSDNKVIGVKKTMVFYEGKSQTVKRTEWKMNEYRAIKKDIDNTNTSPVPKVYILESRNVILLLRHELSLCRVYVVSGCTRAFDRRPLGLEPTPMITYKASQGAPSSQNTVQTYKTASPSDCSSPESSNRMVDTVGNIGLVPEWEWQHLTG >KVI04583 pep supercontig:CcrdV1:scaffold_711:89841:92396:1 gene:Ccrd_017098 transcript:KVI04583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic rRNA processing MDRWCGSSVNHGEVLTCSLTSLGLDSESILKKKLLLLTMAIKKRTPKLLEETLPEDEIEDLDMEASESDPETDSESESEGDEDVRLTEPSKTSIYNKDGILDKLGDISWPEDVEWIHKLSLDINQEQEVDVNDDLNRELAFYTQALEGTRQAFVKFQTMGLPFLRPSDYYAEMVKTDSHMEKIKGRLLVEKRRIEEAEERRKARDNKKKAKEVQAQKQKERVKQKKDEIESVKKWRKQRQQSGFAGGEKEGGDMGLPFADGKESQRSSTKNKRPGVSPWDRSGGKAKAGAGKDRKGGAGKRKSREFKDSKYGFGGKKGMKKQNTAETTNDFKGFNKSDSFQNKKRKK >KVI04585 pep supercontig:CcrdV1:scaffold_711:7701:14856:1 gene:Ccrd_017096 transcript:KVI04585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MCAYLSSFTHVPATCDDIPGYQQYGLKVELNPDAAQPVELIVPVQKEVNLPCAKVNPDAVQVVEPIVPVQKEVNSTYAKVKPEAAKPVELIVPVQKELDSTYAKDAVIPDAGKHVEIIVPVQEELDSTYEEVQEEVEATYATDDVHEDLLGDNQRLNDDICLSITPRSKRAKIDMDTGSDVAMKDGRSEHLFVIDETKVRKKDELKKDKERVHESDSSDGSKCGLENLRTRTSPRTLYQTIVGLNDDQKKVVREIGLGSLLEMTINGVPSKLGFYVVDILDVKKMELKITNGVIPITVESIHKLLGLQMGGIDLLEMDEVDASKNMATTWRKQFEKKKMRPKDIMKLIQSSGDLGFKFKINFLVLFVNLMIECNRMGCCNFGFLSRIESEDVIPQIDWFVVEHKAHALSGWNIELLKKRQSSEIKDGGFGLLPIRSTSESSEDINHKNIGETSTPTHPNKEDHVQWILIKIAVVLSARVEAEMEIKEAMSKFPDDEEFKQYKKQLDDMFKEGACNTTHDTHSSGLKDHSTAKNDGQPSLDIVTKRPYATITPPKFDLGISPIKQSEPLSMVLHEEAECIERCPSVERCNVSEDAKKYQVERATRRELKLGDQLRSSFVIRVVDLNVTPEERKIHEWAVAGLGGKYELLFSTPNDTKLHRHAIESLGRTTTIYVSVIDACAILLNYEEWYRNRDSLRRYFFNTEVMGDSKLRSKSVNHNTQYVLFKKGLLSSAKNNWEVVQMRNVDLVFFPLLDKGHYYLVVFNLKNPSVVIIDNRYQEVSDDDQLLQILMIKHLNAVGHPTGRELDEIGQERLRKDWQTQNNFDDCGVFAMRHMETYMGDVRTWKTGPAQEGKTQEIQIASLRMKYLAKLLVSNYNKKKEYVVKEVEKFQSMDEAIRKRKHVDDTKTERLRI >KVI04584 pep supercontig:CcrdV1:scaffold_711:110807:116833:-1 gene:Ccrd_017100 transcript:KVI04584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MKELVFKKRSELEDICRKTRLLPESDNSMDIAIEAIESGALNPASLLEQIELQIGKVKEEAFSRKEILEKVEKWMAACEEECWLEEYNRDDNRYNAGKGAHLTLKRAEKARALVTKLPGIVDALAAKTIAWENERGTEFTYDGIRLLSMLEEYKILRQEKEEERIRQRDQKKLQGQLIAEQEALFGSKPSPMKQQSGKKGPRMSCGGASNRRLSLGGAMHAPKADPHSIRATPNTRQTKKNERQLNKREDGFAALSAGRRGLDIAGLAASRKHSLSEVEPSQPIFRKPFSPISSTESSKSLLEDFNRKHEMLQKTFQTSSNSTPFITPSKITLSATEDENRTPKTNMIPLVPSTPSTVSVPMQTVATPAPLDCLNQIKETVPEEIIEYSFEERRAGFVLPIAHLKTIIAI >KVG47225 pep supercontig:CcrdV1:scaffold_7110:13725:14856:1 gene:Ccrd_026400 transcript:KVG47225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MMLYALTAPPSRDDRNGFGFLVHCNQSPPLLTVCLSRTPPPRYLLRPSNYNSRPKSFTVKSQINPSESSRPTKVHELCVYEINERDRGSPAYLRLGEKPVNSLGDLVPFTNKISVQGSYLTTKDTYLSVTGGTGIFAGAYGQVKLQQLVFPFKLFYTFYLQGLAADLPAELLVTAVAPSPVVEASAAAKATEKGATCPNFTY >KVG47221 pep supercontig:CcrdV1:scaffold_7111:1839:6594:1 gene:Ccrd_026401 transcript:KVG47221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MLCQSDDCRGVVIRSRRKRAKRNIYTVSGVVTKDVISENVSGIGQNRRKSIALDETKDGGKDETNKGKERLEESDSSDGNQCRLENLRTRTSPRTLYQTIVGLNDAQKNVVKQMGLGALLEMTINGVSSKLGFYVVDNLGMKKMELKVVNGGIPIIIESIHRLLGLRMGGVDILEMDEVEDSKNMTANWRKQFDKKKMRPKDIMKIIQSSGDAGFNFKLNFLVLFMNLMVECNRMGCCNFGFLSRIESEDVIPEIDWCKYIYGNIKTSKSRWRRDSRMCFYAGPLTYLALLYVEATISPKVVVEHKGHAISTWNLDWLKKRQSTEIKDGGFGLLPLRSKAESSEDVHHSYASNQENIGETSTPTHLSKEDHVQRILIKIAVVLSARVEAEIEIKEAMSKFPDDEEFKQYKNQLDDMFKERACNMTHDTHSIGLKDHSIAKNDGQPSMDIVVSQPSGFNEKPLPKIWLSPGFIEAVDKVVENTISTSKTKRLYAAITPPKLDLGISPIKQSEPLSMVLHEEAECIERCPSVERCNVSEDAKKYQVERAIRRELKLGDHLRSPFVIRAVDLNVTPEERKIHEWAVAGLGGNELLFSTPNDTTLHRHAIESLGRTTTIYVSVIDAWATLLNYEERYRNRDSLRRYFFNTEVMVFFPLLDKGHYYLVVFNLKNPSVVVIDNRFQEVSDDDQLLQMYDFITNILQRLMIRHLNVVGHPAGKELDEIGQERLRMDWQTQNNFNDCGVFAMRHMETYMGPVRTWKTGLAQEGKTQEIQIASLRMKYVAKLLVSNYNKKKEYVVKEVEKFQSMDEAIRKKLRKHVDDTKTERLRI >KVI06620 pep supercontig:CcrdV1:scaffold_712:100844:105648:1 gene:Ccrd_015029 transcript:KVI06620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MVENGHQQPPAPAPPPPTVQQPLGAARGPAYPPAEQLLQLNYCIHSNPSWAQTAILAFQHYIVMLGSIVMVASILVPRMGGDPGDKARVIQALLFMSGLNTLLQALLGTRLPTVMGPSFAYILAVLSIINDFRDEDFSSEHERFLHTMRAIQGSLIISSFINMLLGFSRAWGEFTKIFSPVIIVPYVCVVGLGLFGRGFPQLATCVEIGLPMLVFLVVFQQYMKRLHPAAHPILERFALLFCIGLVWAFAAILTVAGAYNNARDATKRSCRTDRSYLMSSAPWIKIPYPFQWGTPIFRASHVFGMMGAALVTTVESTGTFIAASRFAGATPPPAHVLSRSIGLQGIGQLLDGIFGSIVGTNASVENVGLLALTHVGSRRVVQISTAFMFFFSIFGKFGAFFASIPLPIFAAIYCVLYGLVAAVGVTFIQFTNNNSMRNIYVMGLSLFLGISIAQYFFNDILNTIFSSGPMVAMIVGTILDNTLDAHHAHDERGVPWWAPFQHKKGDSRNEEFYSFPLRFNEYIPTRFL >KVI06623 pep supercontig:CcrdV1:scaffold_712:36943:39316:1 gene:Ccrd_015027 transcript:KVI06623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIIARINLLLLFLSQAIASPRFNLSHFIYPKISDDFRPQPSLFLKDVLGAISDSEHWKLEDVRVSKLEIEKIKYGNLQRYEIEFLLPNKKDFMFNLWDEVSLWKRFKDREVGDFEVLANRVSSKAVLDPILIEGPFELLVSGDDQMSLVLPWNTSHAGLKRILVGEDITVEVKNAHAVSLFQTSNLGQQAEQNLIAHGEQRNLWFFPCLTCMPLLPVKISGSASIVAFRTQNPGAYIASDLLSQDTIELLPEKCYSRHTHEKQQCPIESLRSRIRLLEKLMKSLLGDKINPDAGRAKLKAKIEASTVFRYQLELERNIRTNDTRWITMEEWRTRPTVEHVWFEVLARIERKRLKPLVVKKIKPFVGVDSSAWSNLMANMSFTKLSSVLVPPEALTLDVNW >KVI06619 pep supercontig:CcrdV1:scaffold_712:40792:47403:-1 gene:Ccrd_015028 transcript:KVI06619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MVGEDGLGKEKTGENSVVYGGTQIGNTLGNGYHRLAGEDRLGKEETGENSVVHGGTPIGNTLGNGYHRVAGEDGLGKEKTDENSVGVSGDVKIHPRNRTRQGGTRAAMFVYGMVGLENMAFLSMAVSLVTYFYGYMNFSLTKSATTLTNFMGTAFLGSLFGGFISDTYLSRFKTCILFASFEVVGYALLAVQAHFQQLRPIPCNPSLVNQCQSAESGQEAILFTGLYLVAFGTSGVKAALPSLGADQFDERDPKEAESLASFFNWYLFSVTIGAIFGVTFVVWISSNLGWAWGFSVCSISVLVAALFLLMGKSMYRNYVPEGSPILRILQVFVVAIRNRNIPIPEMSEELHELHDKEAGVTTDILLRTNQFRCLDRAAIISTALDLTDLRPSGSWKLCTVTQVEETKILIRMLPIILSTVFMNTCLAQLQTFTIQQSTTMDRNLLGFQVPGPSIPVIPLVFMFLLIPVYDRILVPLMRRFTGIPTGIRHLQRIGVGLVLSAISMAVAGVVETHRKSVAIDNNMVDSPGPLPFTVFWLGFHYAIFGVADMFTLVGLLEFFYEESSSGMKSLGTAISWCSLAFGYYLSSIVVEVVNKVSGGWLASNNLNRDKLNYFYWLLAGLSMVNLGVYLKCASWYKYKKVGMKQIDAGSNDDGTSEGKIQISNE >KVI06625 pep supercontig:CcrdV1:scaffold_712:175617:180637:1 gene:Ccrd_015030 transcript:KVI06625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFFSKRRFHLSSPANLMPSFSSSSDYNNNSSKKANSSSSPCSSSSSPCESSMNGGFSSPQSQKRLTRQRKLRHVTVENFCMPEEDDGDRSKSLPGSPNSESRSSHRLLQHWSLSAVPQPLPLPNDEFRFHAEEYSRGDGRCRSHQCGMNPKACGDLISSKPSTYHRRRGYPQDVNGEKVGCGIRLDVPPRSAPATTFTSPALSPKRFSTVDIFDSAFAVPQELRVSPPSRRSPVHSPPSQSPYLKVHLLNNKSPPERTESNNTNVHPLPLPPGVSRPVSSRRSLDKSDGQSTKGQWQKGKLLGRGTYGSVYEATNRETGSLCALKEVDVIPDDTKSSECIRQLEQEIKVLRNLEHPNIVQYLGSEVVEDKFCIYLEHVHPGSISKYVRERCGAVTESVVRNFTRHILSGLAYLHSKKTVHRDIKGANLLVDSSGVVKLADFGLAKHKFHHAGVIGPNSYPLVLLQLSPHVIDLSLKGTPHWMAPEVLQAAMRKDTNEHTYTMDIWSLGCTVIEMVTGKPPWSELSSVQAMFNVLHRSPPIPETLSSEGKDFLHRCLQRNPENRSTAALLLEHPFVRNSFDHNLALCNREVSGLRSNEISYNPRDSPAHHKDIPGTWVRHGRLQFHRETPKHLQPKTTRDHSPATRHSPRSTLEALPCVSSSEFNFSPTRWGNSRIPNRMPAEGGRTYSLPTTPNRETYLL >KVI06624 pep supercontig:CcrdV1:scaffold_712:5583:6263:1 gene:Ccrd_015023 transcript:KVI06624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEAFINRCSSSSSDHSSSSDSSHKTLKPNKLHATIKGPWSSEEDKILTRFVQRYGPRNWSLISKYIKGRSGKSCRLRWCNQLSPNVEHRPFSPAEDQTILAAHAQYGNRWATIARLLVGRTDNAVKNHWNSTLKRRHQSAVSEREVLGDDGGDFKMISLSTNFPTSGSLAADEYDPMTTLSLAPPGMRESRRESFPAGFWDVMKDVIAKEVREYVTTSFPDTSGFQ >KVI06621 pep supercontig:CcrdV1:scaffold_712:21179:21762:1 gene:Ccrd_015025 transcript:KVI06621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTSRDFGSSYSWTIVSLDLAKETYGEVLQPVYDEGDKDLALGSLVDGLCVLCNYRGNRADVWVIKVYGVKDSWTRLASIPYVTDPGRDQFSVPLCISNDGKVLLQFGSKLVLYDIKNGSSSEIQNFDECLKAYTIVESMVSPDAPIRHWI >KVI06622 pep supercontig:CcrdV1:scaffold_712:22369:25007:1 gene:Ccrd_015026 transcript:KVI06622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein MGGGVPPKQPLFCLKWPWDVHSNANPTDSCSSGPPWLFKSFQTVASLALTVVDSISKPQIRTPLQFSSKTTRTKDLGVEEQGELEQKALACALANGKDATVIEFYSPKCSLCNSMVDFVGHVENNNADWLNIVMADAENDKWLPELLHYDIKYVPCFVLLDKHGRALAKTGVPSSRLHVVAGVSHLLKIKRSSKK >KVG46607 pep supercontig:CcrdV1:scaffold_7120:2114:2569:1 gene:Ccrd_026402 transcript:KVG46607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAIFMPRIIQAKQILRRSLSNGSSNIYMAIPKGYFAVYVGEQEKKRFVDPIALLDQPAFQELLCQAEEEFGYNHLMGGLTIPCNEDMFIDLASRLGAL >KVG46608 pep supercontig:CcrdV1:scaffold_7120:7383:18213:-1 gene:Ccrd_026403 transcript:KVG46608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MISYLSYSPRSLRSLSGRCSKAFGDGVILVLSLGCLGYLIGLDKEENLYGQNDEQSERSRMTPKPGPIASNSKANKDCPLLRKMAILMPQIIQAKNILRRSSSNGSSNTYMAVPKGYFAIYVGEQEKKRFVVPVSLLSQPEFQELLYESEKEFGYNHPMGGLTIPCSENMFTDLASPKQILRRSLSNGSSNTYMAVPKGYFAVYVGEQEKKRFVVPVSLLSQPAFQELLYQKEEEFGYNHPMGGLTIPCNEDIFTDLASPHRFSTTMDL >KVG46541 pep supercontig:CcrdV1:scaffold_7121:21883:23461:1 gene:Ccrd_026404 transcript:KVG46541 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSSSNSPCAXCKLLRXKCTQECXFAPYFPPDQPQKFANVHKVFGASNVTKILNELNTTQREDAVNSLAYEAEARLRDPVYGCVGLISILQHRLKQVQSDLHNAKLELANYIGPSAMLPILNPGFIPQIPNMPSSSVTGGGFCPMTAQAAMSPSLALGSSYVNNMYQIQQQQPQQEQNHHLHHHLQPHQXLLPQESQQPPPAQRAASEEDRNFYSIPPAHLILPPSMSMTHIDF >KVG46407 pep supercontig:CcrdV1:scaffold_7127:13340:17493:1 gene:Ccrd_026405 transcript:KVG46407 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLFFLISCFSFILLSKFSFFKTIPSWNLQMGSVSFQSFFKHLLKTSMISLSFPPLDPMKNGVITSKAEKKDGDCLSDLPDLPLECILEKLSPAGLTSMSGVCRSFRAMCTQDHLWEPHLKGKWGKLLMGDSVYKEWQAYIDTKKKKKKQTLVDCSNGKGYFGVLTTGFWWKQNVKESRSSICVPVDSIMAWYLSLENGSFSFPAQVYNRENGNVGFLLSCYDAKVSYDSNTDSFKARYSAHGRPTTEENIGWERLRSPAVDASPYDLHVGGMELLATWNHVMETSFIANAIVVVNTVILEFKQYSPGSRWREVEIDRKDHREVGNETDGFYAGIRKLYNNDEIVIWKGLWPTQPLA >KVH88587 pep supercontig:CcrdV1:scaffold_713:92975:104433:1 gene:Ccrd_026406 transcript:KVH88587 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MWSFFSFDVFIYTSLLFLGAAFLLIRFRTRTLPTTIKKPPPSPPRLPIIGNLHQMSELVHHSFFSLARRYGDSLMLLYIGSVPCLVVSSTEAAREIMKTHDIAFASRPNTRMFRAISYDLKEITVAPYGEYWRQAKSILTLQLLSNKKVQTFAGLREKVINECVHKITHCFLSNKPADLSDLFSSLTNDITCMATFGRTYNEGEIGRKFKKVLQEFSEVLGSFYFEDSIPQLAVLDRVRGLSAKVDRVAVDFDEFLQGVVDETLSKRSRNPNPVGEDGVETFIEALLNVQKEDIIGITIDADVIKALLLDAYVAGTDTSSSVLEWAMTELLLHPDSLKKVQNEVREVLNGKKDITDDDLEMMTYLKAVIKETTRLHPPLPILPPRVARHDVKVMGYDIAEGTRVYVNIYAIMRDPKVWDRPDTFLPERFLDSPIDFVKHNFELLTFGAGRRGCPGRVFAMAINEKVLATVLHKFDWSLPNGVKREDVDMKETFGSQMLHPTIQVLFQSGSRELT >KVG45952 pep supercontig:CcrdV1:scaffold_7131:2918:3894:1 gene:Ccrd_026407 transcript:KVG45952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASPALSTSSKPFLITTFCIQYNLIKAPTSESGSITNQLCLSLTLNYEEEQVKREDSTKEQPSQMQI >KVH96740 pep supercontig:CcrdV1:scaffold_7135:751:1812:1 gene:Ccrd_001168 transcript:KVH96740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKDEMNNLIKVSFYAYISLLYCFFVGKIIPKGVPRLLTILPVVIFFLSIPLFLTSVHSIGAISFYISWLANFKLLLFAFEKGPLSSPSIPLFRFFAMACFPIDVSQTSKGFSKPKNFSTKSVISYGTKGLLLAFLLRVYHNHHEVMNPMVAWCFFGFSVYLMLELLVAISSAIIGLFLRVELDPQFDKPYLATSLQDFWGRRWNVMVNRILHPTVYDPMLKLSVRVVGRLWAPVPAILTTFAVSGLMHELIFFYFTRAWPTGEVMLFFCLHGVCLVMEVVIKKALRVKRNLPRQLTAPLVVSFVLATSYWLFLPELLRCNMVERAFEEYGMVSELAMDVVRTPPVFLKFKE >KVH96741 pep supercontig:CcrdV1:scaffold_7135:5584:6762:-1 gene:Ccrd_001169 transcript:KVH96741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MATNENLPPNVIKLLVRELKNLDETPPEGIKVGVNDDDFSTIYADIDGPAGTPYENAGVLRSCDVDCGDDDQRQLGNSSIVVWASVL >KVI08037 pep supercontig:CcrdV1:scaffold_7139:4738:16577:-1 gene:Ccrd_013597 transcript:KVI08037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAMCLVGTFITDRLIHNVLASFINEMVGNALANYVGSFLMYDEKNKKMTNKPYMRVRTLKKEKKTEIVITSSTPNRRFSSVGDEQWLKDEDDSGTTTKTVVGNEPTHQATSKEYGANMITNVITASKDQSRPKLMEIGLEIIEERKTRRVTCRENIPEAISDDDAMVIVPDAANQEELHPSTYVFQMLVMKMMMRRILNLNFQMQGLVEIATLVDCDPDIADHGRISCTIG >KVI03145 pep supercontig:CcrdV1:scaffold_714:190187:193843:1 gene:Ccrd_018561 transcript:KVI03145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRLSRFPDDTRPIQILDTLMPLYLNTQILREAKGEWSSIYEDGVVCIACIFMMERYEILKDIGSGNFGVAKLVKDKSSGDLFAVKYIDRGQKMKALRPASKSAISDILSHRFCIRSPNQQSGHLRISPQKSCRKKSTTIADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTLARITILEIKKHPWFVKNMTIDVMEDEESGAEVEKADTPSQSTEEVIAIIQEARKSPMGSKEEGEFVGMDLEDEIDSDDEFEDDVDTSGDFVCAL >KVI03144 pep supercontig:CcrdV1:scaffold_714:124750:125919:-1 gene:Ccrd_018560 transcript:KVI03144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEVNWMSVWMSDGGSSEVESFRGGMVTMMKAPNDATQLEMGQFSQALNYRLMGHGKEHGKTTDCANEKKNEEAAMIETNGPEVDVLEGTAWVGLGVCVMAVGNW >KVG45726 pep supercontig:CcrdV1:scaffold_7142:1541:2743:1 gene:Ccrd_026408 transcript:KVG45726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sad1/UNC-like, C-terminal MPYSKTERLRSATLDLDEFKNKAFTSSTKNQASNGKIRTIIHRLEPNGAEYNYASASKGTNILGHNKEAKGSSNILSSDKNKYLRKRCSFDKKFVVLELSKETLIDTEIANFEHHSWNPKGFELFGSMSHFGSDFCCTLSVLRVYGVDVVERMLEDLVIARKNKFLYEEDDSVRKPDEFRVEPETEPELEPWLEEFNTNRAGDVEIGERDVIVKEIGNDLRDLHKCNDIVTKHVDDMVSRKSMCLFNSIISFGTMPFSG >KVG45582 pep supercontig:CcrdV1:scaffold_7146:16122:30795:-1 gene:Ccrd_026410 transcript:KVG45582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MTHLARFLKRVWFVKRFIRDLPISTYERFSLCSKTHKKMVVKVYGSIRAACPQRVLACLLEFGVDFELINVDLDSNEHKQPEFLQKQKALVDQWLEVEAHHFNDMVYTIVLQKLVIPKMGGKPDLALVQNCEKKLEKVFDIYEQQLSKNRYLAGDCFTLADLSHLPGIRYLINEAELGHMVKEKKNDFNKEFNEVNEQLVNEKKQGQMLDEYIKKLWRFDGMARLSSTSHKMIQMKRIQNERERVVTLSKRRTTYSRLPISYPCYVVYWLQSFTFPSAENLSFGSLNVQSIVNKIFNSNQVDRLLDDFITRSVNSNHESKLQEFNKEFNEVNEQLANEKKQGQMLDEYIKKG >KVH97055 pep supercontig:CcrdV1:scaffold_715:49389:49858:1 gene:Ccrd_000846 transcript:KVH97055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 MAKGKDVRIPVILECTACVQNGRSFRRRLPPIQSGDRIDYKNMSLISRFISEQGKILSR >KVH97059 pep supercontig:CcrdV1:scaffold_715:98372:101392:-1 gene:Ccrd_000850 transcript:KVH97059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF688 MEEKQLDLNAPLLSVRRLSTASSNLDGLSCNTTRKSRPTRQQSLPVARSQWEPEQEDTKPGAIPFIWEQIPGKAKDRKKPTLQQPKIAQNSHRFPSRTTPVDEQSSEDVHADAIETLSMSFSWNHSEENSDMESLRSFSTDIQTRDYLMNRFLPAAKSMIVETPQYVAKKQEAPVPEPPKYVKKVYSGELRPLLLEKYPVNSVSPYNHENDKESDVVEEVKKKSSKKGFGFFLPRICTKRSSCLSNPMPGPKTKIHQSQSPVANVAPSPGPSRSKEVHETQRKPSPMARSGDSLPSSPHRGLSRSGRISPYRNESPRSPFHDGARFLGVPKDVQNQIVQPKTCPSLNVKSNFSPRLMHDSPPAQEKTLYIDWIGKPTNLTPDDTKIEPNVDLEVPGVPPLPKSPSESWLWRKMTLRSPFPKKLQPAPIEKNPKIGPKWETIVKTSNVHHDHVRYSEPREELPEALDAADSIGRGRLPSRRDSGWGP >KVH97056 pep supercontig:CcrdV1:scaffold_715:62098:62548:1 gene:Ccrd_000847 transcript:KVH97056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MKSVQLEHENVTKLVLVTLRDGVEEGRGLSNARKGSNNFERIERGVVCGENLIKGDLFVNFSTITPKKPNSALHKVARVRLTSGFEITAYIPGTGHNSQEHSIVLVRGGRVKDLPDVRYHIVRGTLDAVGVKDXQQGRSSAL >KVH97057 pep supercontig:CcrdV1:scaffold_715:177103:177612:-1 gene:Ccrd_000852 transcript:KVH97057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MKNTIRCCISCILPCGALDVIRIVHSNGHVEEISGSIRAAEIMKLYPKHVLKKPSSPSYTDEGTVSCPKIIIMPPDAELKRGQIYFLMPVPPSSMPEKARSKASATTRKKKNVANKNDHHNSLLTNLLVSDRYLTEILSEKVKDRRRGRVRVWRPHLESISEATSDAIN >KVH97054 pep supercontig:CcrdV1:scaffold_715:74566:77413:1 gene:Ccrd_000849 transcript:KVH97054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTLGVKIHHLFQRVQLMYHQLIPLQMDRVSIVGDAIEYIKELETNVQDELKRLEDNDSKSHEDEVEVCKPKRGNAILSPDQRLEKRGITTMSWLRFQSRFVPADLGSLELN >KVH97058 pep supercontig:CcrdV1:scaffold_715:129317:133994:-1 gene:Ccrd_000851 transcript:KVH97058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MGNSKTLHTLLFSIILVSSQIATLSFPIEISILHDQETEASSGEEVLELFQKWKEIHGKTYEHAQEEESRLVNFRRSLKYVLEKNSKRKSEKEHMVGLNKFADLSNEEFKETYLSKVKGSNSNKLKMKGDGIKKNTTAGSCWAFSVVGAIESAHALDTGELIRLSEQELVDCDNYDYGCNGGNMDTAFRWVIKNGGIDTEADYPYTSGNGYDGKCIVSKENNIVVSIDSYTDVEPNENALLCAVAKQPVTVGIVGSAYDFQLYTGGIYDGECSSSPYDIDHAVLVVGYGSQDGEDYWIVKNSWGTYWGMDGWILMKRNTNIKNGVCGINLEPSYPHSSAPPPPSPPSPPSPPPPPPSPSSKCGDSYYCAAGQTCCCIFEFYNYCLIYGCCGYSNAVCCKGSSYCCPNDYPICDIYDGYCFKKSGDSVGVAAKKREMAKRRMPWEKIEETLVEEDLALRWK >KVI03110 pep supercontig:CcrdV1:scaffold_7150:9112:17065:-1 gene:Ccrd_018596 transcript:KVI03110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEDTNGGAGTSNGMVSDVPATVEEVNDKVDELTLADSSETGVQEAAKKKKKKNKSKRKKETKEQTDPPTIPVSELFSQGNFPEGEIQQYKDDNLWRSTSEEKRDLERLEKPMYDSVRQAAEVHRQVRKYIRSILKPGMLMVDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHINGHIVDCAFTVAFNPMFDPLLEASREATNTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHVPLRLPRAKQLLATIDKNFSTLAFCRRYLDRLDETKYLMALKNLCDAGVVQPYPPLCDTKGSYVSQFEHTILLRPTCKEVISRGSDY >KVG44806 pep supercontig:CcrdV1:scaffold_7152:3884:14584:-1 gene:Ccrd_026411 transcript:KVG44806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MAPHINDYILVLCPDTMGSKEKSVYLKVFIDKKKKKVMFAETEEDLVEILFSFFMLPLGTIARLSSSHSDLQVGSLTCLYESILNLDDKHFTNSYCKDILVNPVNASASVFQKLKVNLDDRNPFGATVGDSEGIAVLVKNKSSFIVTDDLNILPVLPNTSIILLNSLGLGDIDLLDERTMRLGIAEFLNLLKWSLVTNNSLTNLVYGGTKLCSCSCLCSLCIANSTLHNSTLILNDSCQRHTIKLLVQKSKLIVLCAEVENFFVELLFSFLTIPLSTVKRLTMDNSSPLAINNLFGSITRLGDGEYLKSEDVKTMLLSPKVAPNYQRVTELLPIYDPRVCSGHFLKKHATFIVSDDLKVTVSPSTSIISVLNTIGIPDVEVVEVSIGKQEALAILKASLTSTSVLTDCLIAFTRKQKAEPSPMGSNARSVCLKVFVDKKKKKVMFAEADQDFVEILFSFLTLPLGKIARLSINHEDEKNVKVGSLTSLYESVVNLDIERFSNEECKVALVNPRNSSADLCQKLKVNLNEMKPTIDDSNDDDHGDAVLIKEKGSFLITDDLNIIPVLLDRGIELFNTLGVDYIDLLDERTVVFGLEEFSNLLKWSLLTNNPLTNLVLGGSKTFPCSSCITNSTPSSSHLPSSESVQPQTMKLLVQKSKKKVLCAQVEKFFIELLFSFLTIPLGAVKRLTMDNSSPMAIDNLYNSISSLGDXNYLKSEDVKTMLLSPTIAANYLRVTDFLPIYAANTRLGSFTKEQPTFIVSDDLEVSLPPSISTISKFNTLGIPVGDIEVLEVSIGEQE >KVG44805 pep supercontig:CcrdV1:scaffold_7152:17519:19987:-1 gene:Ccrd_026412 transcript:KVG44805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MFAEAEEDFVEILFSFFTLPLGTIARLSHTLADSQSTKVGSLTSLYESVVNLNDQHFSNKHSKDALVIPXNSSVSVLRKLKINLDDTKPVSNTTVVGSHDDAVFVKKKTSFIITDDLNVVPSSNLLKWSLLTKSPLTNLVLPRSKSCSCSSSCLCCCSYPSSIANSTLSNSTSISKNSGQSQTIKLLVQKSKKKVLCAQVENFFVELLFSFLTIPLGAVKRLTKDNSSPMGIDNLYDSISSFGDRKYLKSEDVTTMLLCPKLAANYLRVTDFLPIYDVNTSKGHFLKEQTTFIVYDDLEITVSPSISTISKFNTLGISVGDIEVIEASVGEQEALLILKASITSTSALTDCLDALMKKPKAST >KVH90249 pep supercontig:CcrdV1:scaffold_7153:3078:17298:-1 gene:Ccrd_007752 transcript:KVH90249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGLRRRNKQLLLIVARRLSVLRSTPTESESLEKILCYIRFGPRTASSADFDVTSYGAKGDGNTDDSEAFVQAWADLRKDESPDPTLVVPSGMTFLISPVAFKGPCNFPTIHIKYWIHFSSVKGLMIDGPGQFDGQGSIWWGNDEVKFLNQATALHFHACDGLRLKGTTHINSPKLHISINGCQDVDVGDLRISAPKDSPNTDGIDINESSHVNIHDSNIQTGDDCVAINGGVYDLNVTGVFCGPGHGIRSLLIIQNFLHSMDVFSFAASEASVKRVATTQSNKYELKIVTSQEHRTGYYGTGYARGITFREIHLVNVENPIIIDQHYCSNSETAPWAPAVQVSDVKYENIYGSSATAQAIIFSCSGKYKCTGIQTNGVGITRDDAYAYCRNAEGNFMLRFHACNGLRLRGTKHINSPNSHIHINGCQDVQIGHLRISAPANSPNTDGIDISSSSHVIIHDSTIQTGSLGGGGTFAAVEQIHIQNCNITGAQYGLRIKTVPYGKGYARGIVYQNIHLKNVGNPIIIDQHYCLSSENHYCPIPPTAGAVHVSNVTYTNIYGSSATKQAITFDCSEMVKCTGIRTNRVHITGEEVVTYCANAKGNFIKTTPHITCN >KVH90248 pep supercontig:CcrdV1:scaffold_7153:17323:19024:-1 gene:Ccrd_007753 transcript:KVH90248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MQAFVNAWTDLCKENSDPTLIIPSGKTFLTSCVSFIGPCSSSRVYIKLLGNITAPKTIEGWKGCDTSGQLLLFGSVQGLTAYGPGQFDGYGSIWWPRSARVKFLDHLNNCNGLRLSGTTHINSPKSHIHINGCQDVQIGNLRILAPEDSANTDGIDISQSSHVLIYHSIIGTGCFRDMIDKHFDVLVFCSGNKTGDDCVAIGDGTYDIHVNGISCGPGHGIRYNPLRLFFFKNIFPIDHLSLTQLMWFGSVGSLGRDGSFAAVEQVYVKNCSIYGTQNGLRIKTVPFGKGYARGIIYEDIRLHNVGNPIIIDQHYCLNTENHYCPAPVSAICILIVYQEHILCILVTKTWILISQRQMQYK >KVH93575 pep supercontig:CcrdV1:scaffold_7155:559:2291:1 gene:Ccrd_004373 transcript:KVH93575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREMMEGNEGFDLEGDEGFDLEGDAGFDLEEDEGFDLEGDAGFDLEEDAGFDLEKLYEGFDLEGDEEMGHHHHHHRKTPKSYTLPSSPTHSSSSSDFEFTISLSPPKSSATNLCPADDLFYKGQLLPLHLSPRISMVRTLLLSSSSTSSSATTTTTARHSSDSHSSFSTDACDSSRPSSVTDDDLHLQTKLFPSCITNQHKKPNKYFSLSRFSSVFRKETKPTTTARVDPDVITGSSVKRMSVTAKEVIRKYLKKAKPLYEKLSQRQGQAIHVPKTSPPPQITRNNNNKENDVISHSFSGNLRYPRRRSCVSSCPSSMRSSPTHSGILCRKSAVKDVGGIYSSSSSSMEELQSAIQGAIAHCKNSMTQNC >KVH94822 pep supercontig:CcrdV1:scaffold_716:59307:60773:-1 gene:Ccrd_003110 transcript:KVH94822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MMLSELLLQPSYIHSDLDPYHPNLEFSSPFHSYFDSDLDFSSSLSTTPENSSSISSVNLPILSDYPFSGYNHMEGLDDVCRWLCDDDDDGDDDDQEMEQIPEQMPSGNHHFWSPDFSQKSSEAPESSTVLETDDSMGTGIQNLLMAYADAMGIGQKELADVIVKCIREKINPNGSPIERIAHNLFQPVEDQENAYLKLESARNFNPAFRAFYEIFPYGRFAHFTANSAILDSVPININSVHIVDFDMREGTQWPPVIEAMARTKKSLTITSIKLEDHDSSFEGRKIHLCNFARTFGLDLKVQEMGMEEMVKELDGREFLAFNCMVGLPHMGRTRKTSQIMGFLKLAKGILSKNEGVITIGNGEGGERMRNSLDYTSFFDNYLVHYNALYESMEWGFPSYLNEARMAMETLFVAPYVSIEKWEERREETVFEKGFGFKGERMSKESWNEAMEMVKEGESPYGIRVEGDNENEMVLEWRGVPLVRVSAWR >KVH94825 pep supercontig:CcrdV1:scaffold_716:175665:175898:1 gene:Ccrd_003103 transcript:KVH94825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MINEPTAAAIAYGLDNKSDIISKMNVLVFDLGGGPFDVSLLTIVEEGTFEVKVVAGDTDHLGGGDFNNCMENRCSRI >KVH94830 pep supercontig:CcrdV1:scaffold_716:81454:85606:-1 gene:Ccrd_003108 transcript:KVH94830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol (GILT) reductase family protein [Source:Projected from Arabidopsis thaliana (AT5G01580) UniProtKB/TrEMBL;Acc:Q9M017] MASCHKMLVVLIFLLAMEKPFGVEDKVKVSLYFESLCPYCANFIVNQLGKALFQWNLISIVDLKMVPWGNTQFAPNHAWICQHGPDECMINMVEACAINLLPQTELRFKLIECIENLDLQGRHSEWRSCIQNNPKPIMDCYQSRMGVDLELKFADDTNHLNPPHRFVPWVLVDNKPLEEDYQNFVAYICKAYKGQNKPEACQQHHVETNFFKEANSSYHVCYTGENKHSLPFSYNV >KVH94827 pep supercontig:CcrdV1:scaffold_716:140345:143044:1 gene:Ccrd_003105 transcript:KVH94827 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVISILMQRHVLVDNKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGSKGIPYINTYDGRTIRYPDPLIKANDTIKLDLDSNKIVDFIKFDVGNVVMVTGGRNTGRVGILKNREKHKGSFETVHIQDATGHEFATRLGNVFTVGKGTKPWVSLPKGKGIKLTIIEEARKRRAGQDPVA >KVH94826 pep supercontig:CcrdV1:scaffold_716:158481:159095:1 gene:Ccrd_003104 transcript:KVH94826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MLSNPQKSPSFLLDRTNITTAGGCGSHISRACATTTPVPDSIARYHTHPVGPNQCCCAVVQQINAPISTVWAVVRRFDNPQAYKHFVKSCHVVVGNGDVGTLREIHVISGLPAASSTERLEILDDERHVISFSVVGGDHRLANYRSVTTLHCTPAGNGTVVVESYVVDTPPGNTKEETCVFVDTIVKCNLQSLAQIAENKLRLN >KVH94819 pep supercontig:CcrdV1:scaffold_716:32742:34756:1 gene:Ccrd_003113 transcript:KVH94819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MSTENSWVWFFVDCRYHVVVTCQMAAASRACLELFITRVNNPQNPIHLRHFYITILIKHYSTKLSVEDIDAPDPNDPIVPWTLWVVINIPPTLKGLPEGFSGREEGKGDDYANIKEGNNDFKVPGWRGPKMPSPGHRFEFKLYALDDEIDLGNKVTKDKLLDTIDGHVLGEAVLTAIY >KVH94831 pep supercontig:CcrdV1:scaffold_716:75266:78758:1 gene:Ccrd_003109 transcript:KVH94831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MMQSELLLQTSWPTQSYFHSNIDHYGPNLGFYESEHHEFHSPINSTPENSLISLDSYVPILSDGCVEYPLLGYDQMQNPSLVDDDSQNIKALDDVCRWLCDDDQGIEEIQSERSIEGDDLWSPDLSMKSGDMELESETGLPNLLKAYADAMAMEQRELAKVIVRCISEKVNPIGPALDRIAFNLFQCAENQAEEYLKQESMRNFKTAFRAFYDIFPYGRFAHFTANSAILEAVPSHVESVHIVDFDMGEGTQWPLVIEAISQQRKLLTITSVKLEEHDSGFEETKWQLSNYARSVGLNLKVEKVELTQLVKVMERPNLSREFLAFNCMIGLPHMGRTRRKTQALDFLKIAKGLLAKTEGIITFGNGEDGERTENCSSYTSFFDGNLAHYIALYESLEWGFPSYLTEARIAMETLFVAPSISSLFWFQKWEEGREEVVSVKDLGLKGRSMSRESSNEARELVKDEESPYGIRIEGDNGNEMVLEWRGTPLVKVSTWVGVDGEEKDCRRREGAMECAGSLAGAGASMQVR >KVH94828 pep supercontig:CcrdV1:scaffold_716:126295:127558:1 gene:Ccrd_003106 transcript:KVH94828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGMIDLPNLVFEEKIGNKRGRDDDGEVETNIGRLEKRPSGILGVNGSGDGGGDGGEGGGDGGGIIETFISNVFHKNGSGIEAKEDEVDSQVKIDVFDFEVEDTSVDLGIDGGKGGGGEEGESGGGGGIINTFISNMFNHNGSGGGDHGISDLSNDVVEKTERLGGNGGDGGGGWTVDSFISNVIHDNGDRRREEEPQSGDGHQLKMKPDDYSERVFFLNNN >KVH94824 pep supercontig:CcrdV1:scaffold_716:186824:191670:1 gene:Ccrd_003102 transcript:KVH94824 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MVARNQHYVVPVTWGSSINFSIVQFFVALLFAVFFVGAVYMAGEYVTFNMIPVSEGDTFSGNDSSSQPECSLFSGKWVFDNESYPLYKEKDCSFMSDQLACNKFGREDLSYQQWRWQPHHCDIPRFNATRLLERLRNKRMVFVGDSLNRGQWVSMVCLLDTSIHDPNLKSMHNNGSNLITFKALGYNASIEFYWAPLLVESNSDDPVNHKLPERIVRSQSIEKHARVWTDADILVFNSYLWWRRPHIKVLWGSFEDTNGIYKEVEMIRSYEMALKTWSDWLEIHVDRAKTQLFFISMSPTHERAGEWGASNDQNCYSETDLITKEGYIGSGTDPKMMKVVENSIDELKTRGMQIEMINITQLSEYRKEGHPSIYRKQWEALNEEQLLKPNSYSDCIHWCLPGVPDVWNELLYAYIFRQ >KVH94820 pep supercontig:CcrdV1:scaffold_716:41711:44623:-1 gene:Ccrd_003112 transcript:KVH94820 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC-rich sequence DNA-binding factor domain-containing protein MEEDQEMERFGMENDYEDGQWIGDEFCYGKRKEKRHQSKDDVLYGIFASGDTDSDSEGGSGKKRRKDFSRKQDLTKPLNFVSSGVVMPSEEIDQNPKEENKKDDQDGGNRPGLGSGAAASGLGLGFHSKDVSTAKEEDGENNIDFLPTTFGKMIKEGALQRREKEMEKSRLNKKSSQIGLRRRDAKDDGNVGVFEKHTKGIGMKLLEKMGYKGGGLGRNAQGIVAPIEAKLRPKNMGMGFNDYKEAANVPALMEPSEEKKALPQPAGIQTKEKLWSKQSRSKKKKKDYVTAEQLLVKKQEQGLDVVQKVFDMRGPQVRVLTNLENLNAEEKSRENDIPMPELQHNINLIVDLAELDIQKIDRDLRNERETVVTLQKEKEKLKDDAFRQKKQLDNMEEIVSMLERLGNESQLGTLTLDSLANSFRDLYKRFPDEYILCSLSSIACSLAMPLFIRVFQGWDPLQNPAHGLNVMLLWKDLLQGEEIFDSTYTQLFMEVVFPAIRISGTNTWQARDPEPLLRFLDSWEQLLPHSALQTILENIVMPKLAVAVDSWDPRRETIPIHSWVHPWLPLLGQKLESLYHTIRNRLESVLHAWHPSDMSAYYILSPWKTVFDPASWEQIMVRHIIPKLLAVMHEFQVNPADQKLDQFYWVRTWATAIPIHHMLHIMDVFFNKWQEVLYQWLCSKPNFQEVTNWYLGWKDLIPAELLSNEHIRYRLNMGLDMMNQAAEGLEVVQPGLRENISYLKALEHRQFEAQKAAAAAQAAKGQQRSFGDDAGGGDMSLKEVIEVHAQHNNLLFKPKVGRMQDGHQVYGFGNITELRLIVEHLVAVLCSTCLE >KVH94821 pep supercontig:CcrdV1:scaffold_716:50280:56501:1 gene:Ccrd_003111 transcript:KVH94821 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG domain-containing protein MAEENGGGATATTTGNNEVEDAGAGTIKIKVTYGSNNFDVFIPPQSTFADLKKVIAKATGLEPEVQNLLFRGKGKDDHESLHMAGVKDNAKVIVMENSPSKDEDLAKVEEVKENVEEISRGVDAVASLEAVVGSGTQVSDKDFLFLTEMLMRQLLKLDGIDAEGEGRIQRKSEVRRVQGLVEKLDNLKARNSNPSTDVPETASGEASMESSTKVTEKWEVFE >KVH94823 pep supercontig:CcrdV1:scaffold_716:22538:25936:1 gene:Ccrd_003114 transcript:KVH94823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MRTHLCFQIFLISFYLILFGVSGRCQTGQQSILIQLKNKLQFDSSASTNLVSWNPNVTTDCCIWGGVKCNSRGQVVVLDLSNETISGGIDDSSDLFDLENLENLNLAGNKFNFIQIPSRFGSLAGLKYLNLSNSDFSGQIPEELSQLTSLEVLDLSSLFSYGIRSLKLEKPNLTTLVQNLTLLKGLYLDNVNISAQKSDWCQSLSSSLPNLEVLSLSNCQLSGPLDDSLAYLKSLSIIRLGLNNLSAPVPDFFADFRNLTVMHLGACHLNGKFPEKVLQLXXLQTLDLSVNTDLNGSLPHFPTNGSLXILVLXNTNFSGGIPDSIGNLKNLSRIELSXSNFSGXIPKSMENLTELSYLDLSSNSFIGQIPSFQLXKNLTHIDLSRNSLSGXIPSAHFQDLQNLVLVDLRFNAFNGSIPSSLFSLQLVQKIQLSNNBFDGELANFSNASASLLDTLDLSSNKLEGKIPRSFFELRQLSILLLSSNKLXGKIETKDFQSLTNLTTLDLSFNNLSVITNSXISGLSHLPKLFSLKLAACNLQKFPHLQNQSRLINLDLSRNKIDGEIPSWIWXVGNGSLSYMNLSHNHFTSLQKPYHFSNLSVLDLHFNNLSGEIPTPPTTATFIDYSDNRFDSDLPESIGLSLGMAYFFSVSNNLLTGVIPNTICNASYLKVLDLSNNRLTGRIPLCFTEFGGNLGVLNLGNNGLSGRIKGNFPSSCGLNTLDLHGNYLEGKIPPSLVNCTMLEVLNLGTNRINDTYPCFLGNHTNLRVLVLRSNRFHGSVRCGEGPHNKWQKLQILDIALNNFSGEVPEDCFWQWSAMMSGEQSRKEHLSFMVLQLNDFYYQDTVTVTVKGLELELVKILTLFTSIDISSNHFSGNIPSAIGRLKELYLLNVSHNDFKGSIPSSIGNLSQLESLDMSSNQLTGEIPSQLATLSFLSLLNLSNNQLKGRIPTGSQFQTFSESSYKGNKGLCGFPLNKCNSSVRPTYAPNSKESKNGIDWQFIVTGVGFGAGAAIVMGPLVLSKQGRYFWDKYTNKLVKMICLALGIHYVPCVLFNDDEDDEKETVDSNEDLDESEYESEGDPSKGRYCVFCTKLDFSRTEAIHDARCTCFNRTQVFSTSSSTSSSEAESPFSKL >KVH94829 pep supercontig:CcrdV1:scaffold_716:100457:106150:1 gene:Ccrd_003107 transcript:KVH94829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 56, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01590) UniProtKB/Swiss-Prot;Acc:Q7Y1W1] MASINFNPFGENWFKKPPNPLQPINFHSFAESINPFKHQTQSDSFSSPFAAISISNPFKKIPDPDPEPQRPKPGTYKKMLEQFYWESENRPDYRHTPEVEKILNEDPLFEKKENPTQEEIEENERWMKEFRENPVVQFMARAEEIADELNEMELKANSAPYRWEDKKYWQSIPHVIGPDGRPMPRKAIRSVKESDDKFWDFAKQFFFGLWGFRQRPYPPSRPIDVAQAVGYKRLEKRYYDFIMRSGGFFYKDRIGRTRGPCELIQLKTAWGAGIIDKYTFIWGEDLDEWVPIGALYGMERAIATWEVRLGAAATAFIHKLQKGIPPWVPLKGFENKSRKQLQDEAYESKRRDMAVLEANDGVWPGITYGGNWYRERLGTFTTGPPYIEEYSQDVELLAKVRREAAERAKKKAERGKQKIEAAKRAKRPQRPDDDDDDF >KVI11201 pep supercontig:CcrdV1:scaffold_7160:13930:15782:1 gene:Ccrd_010391 transcript:KVI11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1191 MGLQFRSLSMLVLLVWLYWWPRVESQVPGPEIRSAKALDVILQDYAYRAFVRPRTGIPYDGAPPSNLSGIQISAMRLRSGSLYMRGVQMYKEFTIPVGVREEPYVERLVLVYQNLGNWSTAYYRLPGFMYLAPILGLLAYDASNLSAKNLRELHVRASEEPISIEFRQVKPVPDGSIARCVWFDLNGRTNFTNVTSGNKCATFEQGHFSIVVESTAPSPSPASPIPQVPTPSHGGGGNNSKVWTIVGSVIGGVALLVLLALLMLLAWRFNKRKKMHRMEKAAEAGEALHMTTVGNTKAPAATTTRTQPTLETEYVP >KVI08130 pep supercontig:CcrdV1:scaffold_7161:7387:12782:-1 gene:Ccrd_013501 transcript:KVI08130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MATIKAVKARQIFDSRGNPTVEVDIILSDGTLARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALVGKLGANAILAVSLALCKAGAIVLKIPLYKHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGSDKTYDLNFKEENNDGKEKISGDQLKDLYKSFVSEYPIVSIEDPFDQDDWEHYGKMTAECGELVQIVGDDLLVTNPTRVKKAINEKTCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSEAVYAGENFRKPVEPY >KVI01694 pep supercontig:CcrdV1:scaffold_7166:796:1773:-1 gene:Ccrd_020027 transcript:KVI01694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MESGKTSKGAGGRKGAGERKKSVTKSIKAGLQFPVGRIARFLKKGRYAQRTGSGAPIYLAAVLEYLAAEVLELAGNAARDNKKTRINPRHVQLAVRNDEELGKLLAGVTIASGGVLPNINPVLLPKKSSSETEKTTKSPKKA >KVG44059 pep supercontig:CcrdV1:scaffold_7168:965:3371:1 gene:Ccrd_026413 transcript:KVG44059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMNGHFVIGIKPSGLVPDGVWPNFCCSWCWWWCWWWFVLRKNEAVTMKCSLSRVGIWRSDLYLGWAIQMALNMRCNWIAAMTDLGKNIFDYNRSEPPFHQRIMILDLVDFSNE >KVH93518 pep supercontig:CcrdV1:scaffold_717:128346:135746:1 gene:Ccrd_004437 transcript:KVH93518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASSSLTSPVTEEEITLTVKWSGKEYTVRVCGDDSVAELKRRICQLTNVLPKRQKLLYPKIGSKLADDSTLLSTLPLKSSLKMTMIGTVEDDIIVDQVDAPEIVDDFEIGQDEVVDIKDKEVNKQKLKRRIELRNPCREGKKLLVLDIDYTLFDHRSTAENPLELMRPYLHEFLSAVYAEYDIIIWSATSMKWVELKMGQLGVLTNPSYKITALLDHLAMITVQSDSRGIFDCKPLGLIWAHFPEFYSSKNTIMFDDLRRNFVMNPQNGLTIKPFRKAHANRDSDRELVKLTQYLLAIADLDDISILDHKKWEFYDEANVKRRRHT >KVH93505 pep supercontig:CcrdV1:scaffold_717:4984:25539:1 gene:Ccrd_004429 transcript:KVH93505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRREPLQRSFGSYEGSFELILKLLALSNDNLNIIRSIRICKMSSRKVINKIRIYDSQDVVPCHHILYEKEPQEQCMQECQLWILVSSVAEMTAND >KVH93511 pep supercontig:CcrdV1:scaffold_717:136864:143678:-1 gene:Ccrd_004438 transcript:KVH93511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MENSKGPSTMRNMYSGKHYSLPPKSPFPSVAPFYADYAPTAVSGPKGVPKYKDGNSHHQRTSSESLLIEEQPSWLDELLDEPETPVRRGHRRSSSDSFTYMEAANANIERAAQVEYRLRNMNSAPSWGSQDFDLYKDARNASFYAEHSPLIKKNQAWDSPQNALAHSSGTASLRDSLVLQNSTTSGASQEVKKIASVTTEKQDTVESCTQDSHTSFERNDASNTKASASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELKFINQRSLILSMENKALKQRLDNLAQEQLIKYLEHEVLEREIGRLRTLYKQQQQPPTEQPILSHHRHTNSRDSVESQFANLSLKNKESGAVRDAVSGPLHI >KVH93510 pep supercontig:CcrdV1:scaffold_717:150097:155855:-1 gene:Ccrd_004439 transcript:KVH93510 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MFDFKEGMRRSRHNSALQVQDDWPIRKALTLSDVDITHPFLTLPRQAVETYILVHLTQLERDHLLNREQVAINAQDDDTGDVYVMKLKWRGSYYNLIGKWGRIVRSKGLDVGKEIKIRWFNGCLHFSVPQQQVLAVPPLQIIPAPVVHHDQWPIRKVLTLSDVDTNHPFLPLARRSVEDHILVHWTPQQRELLRNEEQVNLNARDVDTGDIYVMKLRWRGNYYNLIGKWGKIIRSKGLGVGKEIKIRWANGCLHFSVPYEQTVVPATIPVIHQQEEWPIKKALTLSDVDTNHPFLTLPGKSIEDHILLYWASQAREQLRNELQINVNARDYDTGDLFLMKLKCRGSYYNLIGKWGQIIRGKGLQVGQEIRVRWDNGYKFERIHLRFLMLVEMVKERPE >KVH93514 pep supercontig:CcrdV1:scaffold_717:56202:61223:-1 gene:Ccrd_004433 transcript:KVH93514 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MMSEDADQLLVVYDDHSDQRSLSLDETSSTEESPDETKLSLETTNDAVPYIGQRFATHDAAYEFYSEFAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTLNENKPQRNRKSSRCGCQAYMRISKTTELGAPEWRVTGFSNHHNHELLEPNQVRFLPAYRTISDIDKNRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDAFLGFMNGKVPQTILTDQTLCVREAIAMEMPAAKHAYCIWLIVAKFPSWFNAVLGERYNEWKGEFYRLYNLEAIEDFEMGWRDMVNSFGLHTNRHINTLFASRTLWALPYLRSHFFAGMTTTGHSKAINAFIQRFLSAQTRLAHFIEQVAVAVDFKDQAGEQQTMQQNLQNICLKTGAPMESHAASILTPFAFSKLQEQLVMAAHYASFQLEDGFLVRHHTKLEGGRKVYWVPREGIISCSCHHFEFSGILCRHALRVLSTGNCFQIPERYMPLRWRRINTSAKLLQNTLSDHAERVQLLQGMVSNLVTESAKSKDRLDIAVEHVSILLSRIREHPVPSARYARTDLFT >KVH93516 pep supercontig:CcrdV1:scaffold_717:87475:95658:-1 gene:Ccrd_004435 transcript:KVH93516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANGSFTVKNLREMLDRRLLDTHEGVGTTHWCSLVPKKVNVFIWRLCRGGILVKEMLYERTLDSVLNATVRLKLLIIAFWSARSVCRIYSNVRWNQLAKYLLCTLASISTPSKSKVPCNVRQDVEQQNKKQDALPIKMKGRKGNKNSVHLPHQRKGVMLAMNFLMSYQIPILLKRWKLIDQLEQPTGYQLYFVVLDPREVLEWQQELLDLMTLDLFY >KVH93515 pep supercontig:CcrdV1:scaffold_717:50808:52275:1 gene:Ccrd_004432 transcript:KVH93515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C VHQNVNLLTYLRRNFVDFQLNFRINHLLFQSDLNTTICKQMGYLDSVISSANPNLPRSGVSPVTGVGVCQDSRFCYGYASSSGKRASMEDFYETRIDRVDGEMVGLFGVFDGHGGARAAEYVKHNLFSNLIKHPKFISDTKSAIVDAFSHTDSEFLKSENNQIKDAGSTASTAILVGNRLLVANVGDSRAVICRGGNAFAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQFVVADPEIQEETIDDSLEFLILASDGLWDVVTNEEAVAMVKPMQSPEEAAKSLMQEASQRGSADNITIVVVRFLDNQTGSSPSDRQNAQHQKT >KVH93517 pep supercontig:CcrdV1:scaffold_717:74312:100383:1 gene:Ccrd_004434 transcript:KVH93517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MEAIEELVQLSDSMRQATAVLNDEDIDENSSSSSKRRSTFLNVVALGNTTRSFSWSMVQVSNHGLQLAQSAGKSSVLNSLIGHPALPTGEGGATRAPICIDLKRDGNLSSKSIILQIDSKSQPVSASALRHSLQDKLSKMSSKSRDEIYLKLKTSTAPPFKLVDLPGVDKGNLDDSLREYAQHNDAILLVVIPASQAPEISSARALRIAKEYDRESTRTIGVISKIDQVSSDPKVLAATQALLLGQGPQSTIDIPWVALIGQSVSIASAKSGSDNSLENSWQAESESLKSILTGAPQSKLGRLALLATLADQIRRRMKIRLPSLLSGLQGKSQSVQDELVRLGESMVSSSEGTRALALELCREFEDKFLQHIITGEGSGWKVVASFEGNFPNRIKQIPLDRHFDINNVKRIVVEADGYQPYLISPEKGLRSLIKGVLELAKEPSLLCADEVHRVLVDIVSSSANATHSLGRYPLFKREVVALATAALEGFKNEARIMVAALVDMERVFVPPQHFIRLVQRRYKVATYTLVWVSLQLIVLGHNEPIMASVHDLEYLSYCDFQVASELTWFLEILGRNIEGDFMMSRLNLLMDRQRREEEIKTKSSKKTVDAEQSLLNRASSPQTGRKESGWTLKLMKDTKQGKDVQEGPALKTAGPEGEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEVWQHI >KVH93519 pep supercontig:CcrdV1:scaffold_717:119383:122242:1 gene:Ccrd_004436 transcript:KVH93519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MLDVVAENLWRNEECNVEELEEEPPLNSSKKPKAEERAPSLVFKITSKVAYKTVLKAHSAVVLKAETAADKAEWLNKLKVIIRAKGGQVIMKADGPPIRQSLSDGSLDTMTRKPADPEEELRWMAQEVRGYCEAVLNSLAANVPKAIVLCQVEKAKEDMLNKLYISVSSQSTLRIEELLQEDGNVKRKRDKVQRQSSLLSKLTKQLSVHDNGAAAALSMSNGNPAESPRSNGSSSGDDWRSAFDSAANGSSGLDSRYGFSGHNRRYSDPSQNGDAGSGSGSNSSSRHTPNRMPPAPPGSGYRF >KVH93509 pep supercontig:CcrdV1:scaffold_717:177066:187009:-1 gene:Ccrd_004443 transcript:KVH93509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MWSALRSSSLTAVLLVASFLLFSSPVFASEYDHKYQPDDPINLWVNKVGPYNNPQETYNYYSLPFCHRLGNPAHKWGGLGEVLGGNELIDSQIDIKFQKNVEKTTICELELDEAKVKQFKEAIENNYWFEFFMGFVGELHSDRNSDSKHMLFTHKNITIQYNKDQIIHVNLSQENPKPLEVGRRVDMTYSVKWTETNITFARRFDVYLDYHFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPARNLVLLSAVVGTGAQLALLILLVILFAIVATLYIGRGAIVTTFIVCYALTSFVSGYVSGGMYSRNGGKHWIKSMILTASLFPFLCFGIGFFLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSIMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAIYVYLYSIYYYYVKTKMSGFFQTSFYFGYTAMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >KVH93513 pep supercontig:CcrdV1:scaffold_717:24128:41153:-1 gene:Ccrd_004430 transcript:KVH93513 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MQVKVTTRMAIKEALDDGYVWRKYGDKKILHSKYPRGYYRCSFRYVHNCHATKHIERSIKDPCFFEVSYFGNHTCPRILSTNCSSTATELAARTVRTRDSSPATESASTINDSSFQNPTSLQSLDFKKKKGFYKRNRQVKVTKRIAIEGPLDDATVCRKGCYKRRKMQVKVTTRMAIKEALDDGYVWRKYGDKKILHSKYPREYYRCKFQHCLARKYVHRCTEDPSFFEVTNLGKHTCPRILSTNCSSTATELAARTVRTRDSSPATESASTINDRSFQNPTSLQSLEKYELVKDLGSGNFGVARLMRNKVTKELVAMKCIERGHKIDENVAREIINHRSLRHPNIIRFREVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVHYCHFMQICHRDLKLENTFLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKTGDVWSCGVTLYVMLVGACPFEDQEDRKFFRKTIQRIMGVQYKIPDYVHISQDCRHLLSRIFVANASKRITLKEIKSHPWFLKNLPRELTEAAQAEYYRKENPTFSPQSVEDIMKIVEEARSPPPVLQSHGGYRWGDEDDDKEEEGEAGEEEDGEEDEYDKRVKEAHESGEIGPI >KVH93507 pep supercontig:CcrdV1:scaffold_717:161501:166068:-1 gene:Ccrd_004441 transcript:KVH93507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANALRLQRSLALTVSIFNHPRHFSAVVSSVAAPPSSSPSTIQQNPSQFDVTRSKSSSVSFQFISSKAFSSRARYNNDDMDGDQISPDAILFEGCDYNHWLITMDFPKDPKPSPEEMVETYVQTAAKVLGSLKFLVSIIYTIKMIFACSSSLSPVWRSPSPVALPPSPVALLPPLFASSPVTGLSLRLRRLRHSTRCNSVEEAKKKIYACSTTTYNGFQVEASEEVSEQFKGLPGVVFVLPDSYIDPVNKEYGGDKYINGTIIPRPPPVQYGRQSGRYNDRNRDYNRPPPRGRMDQNSPMQQNYGNPNPMNNAPGGQGNYQGDRRGPMPTYQGNYNAGEGRNLNPPRQTDFPTRDQGNYAHPEQRDFTPRVGNYSQGGGGNYRQGTGGQYGEHRGGQYGQPGGGNYGQGAGGQYGQGGGGNYGQGAGGQYGQGAGAQYGQGGGGNYGQGPAGPYGQGGAGNFGQATGGQYGERSGGSYGQAGGQYNQGTEGGYGQGIGSGSNRTSSQYGENPTFSQMDQRNNIPRQQGNHEVVEEAEFTKER >KVH93506 pep supercontig:CcrdV1:scaffold_717:158165:159692:1 gene:Ccrd_004440 transcript:KVH93506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVQSFGVIRQINRHVRNHFHVPNPLRINKLTGVVHLYAKSYHSLTEPQLDDHLSFEWCERAFPKLIKLTQLLNGFDLINGRLVNVHNKCVVTDEFLMQSMHTFKSLGTAFLWSPSMQQTLCTAKSPSICVNKPIYRKPIAVNSLKKVCDILEVSAQQRKLVRLAICPQITQHQIWMAALGEILNQLKYEMGVKDYGCTTKGDNMGQQIVVNCLGFLDDAVAYDPDSTSWMRLAPKRDADSPPPAKWADFLEMFNDLTKILKNDQEFLFYVAKLEIMKEGLLQIKDVLVDKNIGYKEARHQEHLVQKKLTKSLGHSSQCLFTLLLYYLYGDIRDIELDMCCWFSADVGGNKFYLCVGKILTSDGEKMLRHAVKQLDRVLGVIKFVHEMAEMEEILELQGHLWCIDSENRSLTYRGHKFFIHGISL >KVH93508 pep supercontig:CcrdV1:scaffold_717:175951:176418:-1 gene:Ccrd_004442 transcript:KVH93508 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin, iron-sulfur binding site-containing protein MAAATASLTGTMASTSFLRRQQPSTAIRSLSTSNKTAALFGLKSSTGSRSRGGVTMMASYKVTLITPEGTQEFECPDDVYILDHAMEEVGVDLPYSCRAGSCSSCAGKVTAGNVDQSDGSFLDDDQLEAGWVLTCVAYPTCDVTIETHKEEELTG >KVH93512 pep supercontig:CcrdV1:scaffold_717:44087:46090:-1 gene:Ccrd_004431 transcript:KVH93512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLIGKNSDADEVHFNNSITSRLTPEVPPCPKLAKLFLQEKSSLTMLPPSFFEHMSVLKVLDLSHTSIQSLPPSISKLCVLEEFILRECSLLXEVPPEIGAVKNLKVFDLEGTEIMYLPKEIGKLETLERLRVSLSAYADDYKDRNDIEHIIPRKTISKLTKLKELSISVDPEAKWWEVEVLEAIMHDLLVLPDLNTLKLCLPTTKLVQQFLRLERYQVPILSGLWNFRFMIGQCEQLPFSVQLDMEENFLKLEKCVKYMNGEGCMDENAELIRQARALYLRRHWTIEKLSVFDIRRLKYCLLMECNEMQTLVHQXDVYEDXNKATNXGEDVILESLQFLALHXMKKLQRISMGPIGKKSLSCLRILALHTCPELTSIFVGCLLDNFENLTELIVEDCPKVKSLVTLEATAWSKGPFLPKLKRVSLLDLPELVSISSGVCIAPQLDTLLVFNCMSLDYLSIMELSRHTKVIKGETEWWDALKYGKLTWNSVFVQIKRDGGLMDQLAEDTNSLQHFLELPMVPTHPGSSLQVDQNTPRDNYSDLTEIWEQVEQLQIDQNVPFFDETEEMKILNSDDDTQSQYAGTALRLWDSPCPA >KVH96799 pep supercontig:CcrdV1:scaffold_7177:10837:16493:-1 gene:Ccrd_001110 transcript:KVH96799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MQNGANLHQKFLFGRQLASDAMSLRLGQFLLLFLHLLQAMAMVEAFSAFNYVNWENMVGYGLKESPPSEGNTNCNGKVGECIDESEEMMMDSEASQHTLSTGQKFDGYRAYQKDHVPCNQPGKSYYSCMKESNKFSQRRFNRNGTSTLFKQEHFLGEATNTIIVYKCKKEKILRAKASSSEQIS >KVH96798 pep supercontig:CcrdV1:scaffold_7177:10226:17230:1 gene:Ccrd_001109 transcript:KVH96798 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding domain, Est1-type MIGNPPATLRDLRDKQTSFLEVVNAEKLLWATIQYKGISHAEVKDLYRKVRSGYEKIILNDYQAVDLQEVEYSLWKLHYKHIDEYRKKIKKVSTSAESTNLNSQVEGFKLFLSEVAEFYKDLIAKFRRTCGLSEEMFLFKKSGGSVSVEPAILQKCHYACHRFLVCLGDLSRYMELCRKPDVQKWAVAATYYLEATTVWPQSGNPQNQLALLATYIGDDFLALYHCIRSLAVKEPFPDALDNIMLLFEKNKSSQLQSLSTDAHIDFSKPLKRLSSQIKSHSSMDSTNINKLGANDHVLPAKTDVWPLFVRMISFFVVKPSFEDLPHTFASTMKELEALLALNDAELNSSLEPYEQFDSSRRGPYRSLQAVAILIFVIQNLIKTPELMELKGKKDEQLSTCTSWAWTCTFSFLGHLIQRCLTSNDQVNCCSLLPSVLVFVEWYVGMLDFAETYGKDEKVSNAKSYFFGALVDLLSGFDVKEHHSLNSANQTALWEDYELMGFGPVSQSNDLFDFSTHTENRRKFEAGNSVRITRILHASMKIADRLKGSSQSPIIYNQSARKFCSGKDPEKLPKKNERDILEAMEEEEVILFKPLTRYNSEPIQTSNDEPVDDQTETSDEGLRRSASLFAAQNGSHDSSKKSPPYSAGPPSLSAWVLNRESVSLERERGSGNPNKKELAPISEIAVATNDEPYVAPIPSAPLLPEDPVWLTGSSSKEGAPPVSSSTNFHSPQEPLDLSSGVAGFVDAYRPPVFGLSSAEWLYRYTHNLSLEPSNGNHHWPILPTNPSGNLGKIHGYDGGSRFDVIDRWGNPLLTNRMVYFENPNLVYGGGGGGGGGSGVRAEQPPLLQHLKEREWQLQTESPFSGNPYMGN >KVH92423 pep supercontig:CcrdV1:scaffold_718:113085:123906:1 gene:Ccrd_005537 transcript:KVH92423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MTEEGLLSTAEARQRWEVVLVEEVKKTCYIALPMMVVTVSQNLLRVVPMSMVGQLGELELAGTSVATSLTNVTGFSLLFGMAGALETLCGQAYGAGQYQKVGTYTYGAIICLLFVCLPISILWIYIDKLLIVLGQDPLISAEARKFSVWLIPALFPYAILQLLMRYLQSQSILLPMLWSSVIILVVHVPICWFLVFWLGFGGAGAALAIGISYTLNAILLGVYVYRSECCENTRVIYSGDVLPSIKEFFRFAVPSAIMVCLEWWSYEIVVLLSGLLPNPHTRVANELGARNPKAAKTAILVVSVLGAVEVILASTTLFCARSVLGYAFGNEKDLVDYVSDITLLLSYINLGSYYIVGIPMALVLGFVVHLNGQGLWSGLTIGSVVQCVLLTLVTCVTNWEKQATKARERLFEAGVIMEEGLLLTAEVRRRWEVVLAEEVKKTCYIALPMVVVTVSQNLLRVASMSMVGHLGELELAGTAIAVSLTNVTGFSVLFGMAGALETLCGQAYGAGQYKKVGTYTYAAIISLLFVCLPISILWIYIDKLLIVLGQDPLISAEARKFSVWLIPALFPYAILQLLMRYLQSQSILLPMLWSSVIILVVHVPICWFLVFWLGFGGAGAALAIGISYTLNAILLGVYVYRSECCENTRVIYSGDVLPSIKEFFRFAVPSAIMVCLEWWSYEIVVLLSGLLPNPHTRVANELGAGNPKAAKTAILAVSMLGAVEVIIASTTLFCSRSVLGYAFGNEKELVEYVSNITILLCFSIFADTIAAIISGVARGSGWQHIGAYINLGSYYIVGIPMALVLGFVVHLNGKGLWSGLMIGAVVQCVLLTLVTCSTNWDKQ >KVH92433 pep supercontig:CcrdV1:scaffold_718:26947:32469:-1 gene:Ccrd_005528 transcript:KVH92433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase domain-containing protein MKSFFPIFASPSYICWSAPFSLYLQILLLSLSRVTFSLYIFKICPSLHHLPSMAGIRLPPEDTDASQLRPPITADLISDDDRSVAADSWSIKSDYGSTLDDDQRHADASEALAAPYRAASDYSSDKEEPDAETITSMLGFQSYWNAQYADELTNFREHGHAGEVWFGADVMEMVASWTKGLCVDISQKQVHNHHDNDNSELNRQGDTDLAAWSVLDVGTGNGLLLQELSKQGFSDLTGTDYSEGAIDLARSLADRDGFANIKLLVDDVLETKLDKKFNLVTDKGTLDAIGLHPDGPVITSCNNTREELVAEVENFNQRKAGEGAEESKTFCYLDHIRSYPTFMFGGSVGSRVATVAFLRS >KVH92428 pep supercontig:CcrdV1:scaffold_718:71313:72339:1 gene:Ccrd_005532 transcript:KVH92428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVSKTVAPAFLFSCFLDSDLGFILPGLEGIEAHYKQRKKYAYLFTHNKDIRISSHYGNGSLGDPPRRGESHCGNMV >KVH92427 pep supercontig:CcrdV1:scaffold_718:75550:87912:1 gene:Ccrd_005533 transcript:KVH92427 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase, ATP-dependent, central MASSSSSQAKTLTLDSATLFLQSPQIVSPPLSFSLLSAGSSLPPMPTDFPLSKVIPKTNFIVDGFRYSGDYSVSYFLSHFHSDHYCGLNANWSRGIIFCSSVTARLVVQVLKVSESFVVPLPLSESVLVDGCEISLVDANHCPGAVQFLFKIPTGDGSCERYVHTGDFRYCSSMTSETGLKEFIGADAIFLDTTYCNPKYVFPSQGESIDYVVSMIDKYRVENEGGLKSVLFLVATYVIGKEKILLEISRRCNRKIHVDDRKFGILRTLGFGKDAVFTNKECETDVHVIGWNVLGETWPYFRPNFAKMQEIKDAKGYSKVVGFVPTGWTYEVKRNKFAVRTKDSFEIHLVPYSEHSNYQELREYVKFLRPKRVIPTVGVDVENFDGKHVNKMLKHFAGLVDEMAIKQDFLMGFHRGSKELNEKVEKDSHNLNENVQGPEKDMAVAEQEARNDTSALHDQKEDRGSRDLVMITDKETDEIIQELRDCLPAWVTRDQMMDLISKSGKNIVEAVSNFYEHETEYHEQVITSTSSAPASLESSIEILKEVIAHASSTCASNKTFSKDFTSPSSPVKFLLKSEESPSKRKISSNIKQSSKSSISPNKKRKINDSKSSKKPRITSQLGSGGPKQCTITKFFNKLSPMASQDRSAGTLPERSHNDDKLLPTTAVRHYKDEVDQFIQIINGSESLRSYATTILEETKGDINKALDVYYNNSKDKHSETNKRAVQSSCFVEASTGICSYDQDTKVADRDKRDKSWSKQPTESLGTQPPAGNVASNLVSLPPEKYSPTDHACWKDDQPAPYLHLARTFNLVEDEKGKIKATSMLCNMFRSLLILSPEDVLPAVYLCTNKIAPDHENTELNIGGSIVTAALEEACGTNRSKIRDLYNSLGDLGDVAQLCRQTQKLLAPPAPLSIGGVYSVLREIRLASHSTFDYILLKAGSGSTMRRRNLIVNLMRSCREMEIKFIVRTLVRNLRIGAMMRTVLPALAQAIVMNSGHERTMENLKERLQDLLIPSVMDNGIKFSPETLSMVPGIPIKPMLAKITNGIPQALKLFQGKALTCEFKYDGQRAQIHKLADGSIRVFSRNGDETTFRFPDLISIINELCNPDTVTFIIDAEVVAVDRKNGPKLLSFQELSSRDRGGKNSFIAVDKIKARIYSITRIMVKSLDVDAGYLPSKRSDSWLKVKRDYVEGLNDSLDLVPIGAWYSPFLMACFDPDTDEYQSVCRVMSGFSDGFYVEMKDFFVDDKILPKNEKPSYYQTGEEPDMWFTPELVWEIRGADFTVSPVHHAAIGLVHPSRGISVRFPRFIRSRSDKKPSGCSTAMDIAEMFHLQTRKMDVRGGN >KVH92424 pep supercontig:CcrdV1:scaffold_718:103318:104101:1 gene:Ccrd_005536 transcript:KVH92424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFWDINKRNMCEDGKANTQILFCGPHFAASHNYTKEYLQNCLSIQVDDLPFANIPDLIGNYDICVVKSMRLNSDVIARATRMKLIMQYGVGLEGIDVAAATNHGIKVARIPSGETGNVASCAEMAIYIMLGLLHKQVDYINGET >KVH92426 pep supercontig:CcrdV1:scaffold_718:88165:92279:-1 gene:Ccrd_005534 transcript:KVH92426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFYKQEHGDKDAASGSSSSSDSELDAEASVDTEEEEDYDNNMVVESRKKDQPCSSSSGYESEDSSGNEVNLDASGLPTNDDVSEGENYKQDVIDTRVSADNHSDKNTTVTTDVMDCVLKSKSVFKCKLCPRIVCLTEETLKAHLKSKRHARSEKLLKEGRLKMMLNSDGEIEGEEDDGETHQERHAATLALSNKNASQKSKKNKGRQRQRKRLRKKTSDDSVAKKPKKSAKKPKSDI >KVH92436 pep supercontig:CcrdV1:scaffold_718:18489:19537:1 gene:Ccrd_005527 transcript:KVH92436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVSPLAYAAVLPPRQKVHLRLEIRAQSVRDEGRSSNLVDSSMKVLKDRIEVMRTKEILERNCRPYGWDGASSYIQKPKKQPEFPQTIALICGTSSLPILVGTALLCVISAIAHLNP >KVH92429 pep supercontig:CcrdV1:scaffold_718:63414:66034:-1 gene:Ccrd_005531 transcript:KVH92429 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MASRRLLSSLFRRLKSPSITKCHNPTNPRPRFRPSPAGFLLNRASTAGYATAAATAPASPKSSQAGGKITDEHSGKGAVGQVCQVIGAVVDVRFEDGLPPIMTALEVMDNPFRLVLEVAQHLGENMIPVGRATLGRIINVIGEAIDDKGDLKTSHYLPIHREAPSFVEQETEQQILDTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQAESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFVDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEEHYKTARGVQEVLQNYKNLQDIIAILGMDELSEDDKLTVSRARKIQRFLSQPFHVAEVFTGAPGKYVELKESIASFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAAKLAKENAS >KVH92434 pep supercontig:CcrdV1:scaffold_718:34138:38032:-1 gene:Ccrd_005529 transcript:KVH92434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQSTGKYGTDDFDMQTIGNFLSCACRGDRVGLNLMLRDGTSPNVQDYDNRTALHLAASEGHASIVELLLHYKADVNLEDRWHRTPLTDARLYGHRDICRILEVNGGKHSVMNSPMVISFLFLNLFIMKKMQRNLWLFRNMFLPTLRRVLSANDNTHLRELRHPNIVQFLGSIQHDGQMILITEHLSRMVEGKYIPKMRHLKSVDFEMKFNSKCPARIQQLIKRCTSDILSRPDFSGIIDTLEEVSLSLGKPSCVC >KVH92431 pep supercontig:CcrdV1:scaffold_718:169945:175639:-1 gene:Ccrd_005540 transcript:KVH92431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase/chloroperoxidase, N-terminal MGTWQWNLKSLFGFRNSPEISQDASSRKLDTSAVTNNRFYHLYYPLMDDKKAEIRIRDEQLVAHSVRSHGIAVARNHMNDWLILILLAVIMMVLSRIHPFYRFVGKDMMTDLKYPLKENTVPLWVVPIYAVLFPMGVFMFFYFYRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDFYDRFGDVICHGDSNVVSEGHKSFPSGHTSWSFSGLGFLSLYLSGKVRVFDRKGHVAKLCMVFLPLLVASLIGISRVDDYWHHWQDVFAGAIIGWGPYAFFRAMEESRSNAQESRPPLTQANVASSDAHLALDDLESAMT >KVH92435 pep supercontig:CcrdV1:scaffold_718:1009:11036:1 gene:Ccrd_005526 transcript:KVH92435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MRDHFVLLVDRLLTESTLEAAIETEHRLHNCTNEEMVADVSSHRMDLSFESSAKKLVECRICHDEDEDSNMETPCSCCGSLKYAHRRCVQRWCNEKGNTICEICLQPFNPGYTSPPPLFHCDGIPMNFRGNWEISQRDLYNLRFSAMVSAGHDEPDFDEYITPSSRSLMCCRVVAVIFITLLVLRHTLPIIIDGTGGYAVTMFTVAQLSALYKLQVGVCEKLKRVFYVRAGYSLLRVYRVVGRVLSYIQGGDSFLLETSWLLSVLEPIMALQNIGAGNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDVAKALARPASYTTKYFGCELGAQSKFDEKTGVSLVNGAHDTAKLAGLLEIFIKKYVQCYGCGNPETEILITKTQMVQLKCAACGFVSDVDMRDKLTSFILKNPPEQKKNSKDKKAMRRAEKERLKEGEAADKVQKKLTKDVKKGSSSSKDGHVKTTSSKKKGNASDEDHVSPTVSQAGDKDSEEEDDVQWQTDTSAEAARQRIQEQLSTVTADMVMLATDEPVKKVAKPEENSNGKMSGGKKLVDTAKESLKKGVGPKELMALLSGSRQENVSALYEALLDGVEKGFAKHVLKKKNYLAAVVVEGEDSQMLLLRAVEEFCGKANPNAVKEVPLVLKALYDVDILEEEYVVKWYEEGCSGGNKSSLIWKNAKPFVEWLQSAESETEEEED >KVH92425 pep supercontig:CcrdV1:scaffold_718:101615:105478:-1 gene:Ccrd_005535 transcript:KVH92425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MNSGLGLFSDIGKKAKDLLTRDYLSDQKFSLSMTSVTGVLEGENHVEMVLVMTVELGFGGQKFMLDIMNKVCMLREKSQNLI >KVH92421 pep supercontig:CcrdV1:scaffold_718:168398:168847:-1 gene:Ccrd_005539 transcript:KVH92421 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MKYNPRVTSSRRKCRKAHFSAPSSVRRVLMSAPLSTELRSKYNVRSVPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHVERITREKVNGQTVNVGINPSKVVVTKLKLDKDRKSLLDRKAKGRAADKSKGKFTVDDVAAGASLQEID >KVH92422 pep supercontig:CcrdV1:scaffold_718:127487:130162:-1 gene:Ccrd_005538 transcript:KVH92422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MNTSTEERCSELAKGLNGIRILGSTKGMADGEEKLPRDAKIVKTLLKSMGVENYEPRVVHQFLELWYRYVVDVLTDAQVYSEHAGKSSIDSDDVKLAIQNKIPLPKSIAGPGMALPPEPDTLINPNYQLVILKKRTSEAVEETEEEEEASESKSQDQRTDVPQTTPQRVSFPLGPKRAR >KVH92432 pep supercontig:CcrdV1:scaffold_718:177262:187827:-1 gene:Ccrd_005541 transcript:KVH92432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MGLQAQPYGIQGMLKEGHKHLSGLDEAVVKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTSDTATIVNELEIQHPAAKILVLAGKAQQEEIGDGANLTVSFAGELLQGAEDLIRMGLHPSEIIIGYTKAINKVVEILEGLVEEGSDTMDVRNKDEVILRMKAAVASKQYGQESILCPLIADACIQVCPKNPVNFNVDNVRVAKLLGGGLHNCTTVRGMVLKGDTVGSIKRIEKAKVVVIAGGVDTTATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGEMALHFCERYKLMVLKIASKFELRRFCRTTGAVALLKLGTVNPDDLGHVDSISVEEIGGARVTVVRNEEGGNSVTTVLLRGSTDSILDDLERAVDDGVNTYKALCRDSRIVPGAAATEIELARKLKEFSFTETGNAFELCRLDQYAIAKFAESFEMIPKTLAENAGLNAMEIISSLYADHASGNVKVGIDLEEGACKDVFHALKYAADAVCTVLRVDQIIMSKPAGGPGRREQAAMDED >KVH92430 pep supercontig:CcrdV1:scaffold_718:40376:40697:1 gene:Ccrd_005530 transcript:KVH92430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSPRCLVGHVPFLVHSIVGKLLLAKLYPSIQIRTLSFMLVVEREEMKWQNC >KVG43318 pep supercontig:CcrdV1:scaffold_7185:1233:2579:1 gene:Ccrd_026414 transcript:KVG43318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MDQIKHNYIQVDGLKLHVAEIGSQSAPPVLFFHGFPEISYTWRHQMIAVANAGYRAIAPDYRGYGLSDIPAEPEKTPHHVTVLNVSSSDMV >KVG43266 pep supercontig:CcrdV1:scaffold_7186:19105:20444:1 gene:Ccrd_026415 transcript:KVG43266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MASIDTTAHPPAATDPPTKYSVPPPEYKGSSFGPVVDMALRVILFATALVAVIVVVTSKQTKLIPVAPGIVIPKDAKFNHSPAFIYFVAALSVACLYSIITCALSVLALKKAGGSSTKLQLHFIIFDALLLGIVAAATGAAGGVAYIGLKGNSHVRWNKICHTYDSYCFHFATSILLSLISSITLLLLVWLSGYVLSKKIARR >KVG43184 pep supercontig:CcrdV1:scaffold_7188:20907:21780:-1 gene:Ccrd_026418 transcript:KVG43184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MSIRPKDESEIAKNTSIAYLDKQKSTLTTGTKENQKSEPQEKKEKEIDLDIPCSRSGTVIEWVWSRKRAYEGGVDLERENPLVDAIVLLDGTPTPRDFRCDRVWVWVDSHGTVLRTPIIR >KVG43186 pep supercontig:CcrdV1:scaffold_7188:8486:16680:1 gene:Ccrd_026416 transcript:KVG43186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEIKIETVVKVNEDVPSSSSNPNPMQNSHQDRTPSLRQSPPSYSVDVRRCPELPHFNLRPPPSFRSTACHHRRPRRRPAHPQDQKGDIFRSTLFDVLGNGIFNVDGEDWKFQRQLSSHEFNTKSLRHFVEHXVDAELHDRLLPILTAAAANDTVLDFQDILQRFAFDDICRIAFGYDPAYLTPSLPQAKFALAFEDGVRISSERFRLVNHAGSTQSVEDSEKSLAFDSAGNDPWKEEDTALMPCLSKPELGQCYTLSETNLNIFIDVTDAVVVARYLRATLVIPDIRGSQPSDRGWCGVGGAVVRARETTVCEGEGDGRERWSREGGDEWSRWCGDERVTTVCEGEGDGRERWSREGGDEWSRWSRWCGDERETTVCEGEGDGRERLSREGGDEWSRWSRWCGDERETTVCEGEGDGRERWSREGGDEWSRWCGDARETISELGVIIFRILGLLPFLFIFFKPKPHAKFPPRSYPFVGHYLSVYANRHRLTQWTSDVVRSSPTLTCVLRRPFGQRRVITADPAVVQSSRPKRRYLPINPLRCARKWNL >KVG43185 pep supercontig:CcrdV1:scaffold_7188:18699:19087:-1 gene:Ccrd_026417 transcript:KVG43185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MTDCEGKRSWPEVVGRRGEDAVVTIERENPRVDAFVILDGTPVTGDFRCDRVRVRVNSRGIVVRTPEIG >KVH90765 pep supercontig:CcrdV1:scaffold_719:57764:59791:-1 gene:Ccrd_007227 transcript:KVH90765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MCRTKMAVNADEPSSTRRRSSRTTTMATPTRTSSKSQTGRYPSSTNLTSTSYSYGDGTGTGTGSSYRLDSSIATAEASVSTRTSLSSLRNSLPEDTHIYDVSEIRSATNNFLAKRFSSSSSSPSWRCSLRGKEVVVFQRKFRRPIKDSELREKLSVIYRSHHMSIIKLLGASISGDYIYLAYDFMPGGNLADCLRNKRNPDFTVLSTWMSRMQIATDLASGLDYIHNNAGLKINLVHKYVKSSSVIVTEPSFNAKICHFGTAELCGETVIEPKFVKEEKIGHEISEVVSPESSPPKNLTRSDSRAMRFEGTKGYMGPEFRGLATQKSDVYAFGVVILELLSGEEPVRYKYDKEKGNHIKILVVDTARHAVEGGGKDESEIEGRLRQWVDRRLKDSFPVAVAEKLTRIALDCVDNDPNKRPNMSRVAGKISKLYLDSRKWADTIQIPSDFTSSFAPR >KVH90766 pep supercontig:CcrdV1:scaffold_719:78357:82713:1 gene:Ccrd_007226 transcript:KVH90766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MNNLRTESHVAQKSRRHKLRFQHNSDDPNHQHLSQDPHFELHRPARYGSISYDPSVFPSEMLNSIASNPHLLLPPSHAFLSHDQDSATGSSPASFPHSVSCKVIADNPQNGGNWKSVVSSSQSQLQVNSDSWNVVSYSNVASMSMDQSNSNSPMVVQGGASSKPTYGYNQDLQNIHLGDQKNYGEVPAFGSTPYYHNTLQEVVTSATVGTHVHQSKNFLEISQSIPCWMNTSDQLGFIANRNNDHREGTMMGATNENNCITQGLSLSLSSNSKYLKPAQELLNDNCDVGGHELVQSCDNTHKILDEEMSRVSGESGTSGASSSTIYGSNEHITGRSSSLPESYRPEFHQKKAKLLYMQEEVCRRYRQYHQQMQMVISSFETVAGLSSATPYVSLALKAVSRHFHCVKCAISEQLTQMKKTFEDLCSPTVGASSSKNFDANSTDTLSQLKSMDHNYQRQGKSGGFFGNQQPVWRPQRGLPERAVSVLKAWLFDHFLHPYPSDADKHMLATQTGLTRNQVSNWFINARVRIWKPMVEEIHTLETKGLADSNTNNPTPTDGQDTSRMDMSSLSNKQQPECSRTSGTLTMMNGQNEPNEQLWDHEKRSRPEYQIPPATMDRSFTSIIPYPRTTFEAGVGPVSLTLGLRQNAEHVQQLQQHEHQLRQRFGGQLIHDFVG >KVH90768 pep supercontig:CcrdV1:scaffold_719:162910:166958:-1 gene:Ccrd_007218 transcript:KVH90768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKAVVNFNGDSFESPNYFSTLRQAEHAAAEVALCSLSSQSLAARILDETGVYKNLLQEISQRVGAPLPRYTTYRSGLGHLPVFTGMVELAGITFTGEHAKNKKQAEKNAAMAAWSSLKQLAKQDASSSSEPENSDEQEQIRIARALLNYRLKQKIGTANYSDLPIPFQKKFTTPAPRPPSPQRPPLTTSKILPLFYRKAVPPMTTQNRPISPAPHEPSSSSSPHQNHPIRPPHFPAASPSPYISLPQVHRYRLPHQGLAPPVTMRTAVPVFSAPPMPPSPNRQVMQPRPVKIAPPICIRQAVPVFSAPPVKKDEPSNKNECEAVKCLDNLKL >KVH90757 pep supercontig:CcrdV1:scaffold_719:22194:23987:-1 gene:Ccrd_007231 transcript:KVH90757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MPEIEGSPGTSMHGVTGREPVLAFSVASPMVPTDSTAKFDLPVDSEHKAKVFKLFSLAKPHMRTFHLSWLSFFTTFVSTFAAAPLVPIIRDNLNLTKSDIGNAGVASVSGSIFSRLVMGAVCDMLGPRYGCAFLIMLSAPTVFCMSFVEDASGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPVLFEIIKKAGATPFTAWRIAFFIPGWLNVIMGILVLTLGQDLPDGNLGALQKKGNVAKDKFGKLHLAGIVAAVFGMANIVARPFGGFTSDFMAKKWGMRGRLWNLWLLQTAGGIFCLCLGLVNSLPLAITFMILFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLIFFANSQFSTAKGL >KVH90771 pep supercontig:CcrdV1:scaffold_719:184041:184544:-1 gene:Ccrd_007217 transcript:KVH90771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MAASKSYYARANYRYLSSERDGPVGTDSMFELDESDVWNVSSSPELRKTVPSSRISKKSSSAVVKRGEIGGTASSLPVNVPDWSKILKEDYRGNRRRDNDDVDDFDQNDYGEDGNGNRIPPHEFLAKQLARTRIASFSVHEGIGRTLKGRDLSRVRNAIWEKTGFQD >KVH90763 pep supercontig:CcrdV1:scaffold_719:87440:88834:1 gene:Ccrd_007225 transcript:KVH90763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYQYQKNESLKDLAGSLNACSRLIEHGEICDEGSNTLYTGLSPKWILKDCVKKMKYASGFNEAMKLRLKSYQEDKAVQIKRN >KVH90760 pep supercontig:CcrdV1:scaffold_719:128160:138585:-1 gene:Ccrd_007220 transcript:KVH90760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLTSLSASSPVESILDKENFTLEELLDEEDIIQECKALNSRLINFLRDRVRVEQLLRYVIEEPQEDADSKRTFKFPFMACEIFTCEIDVIFKTLVEEEELMDLLFSFLEPTRPHSALLAGYFSKVVICLMLRKTVPLMNYVQAHQYVFQQLVDLIGITSIMEVLVRLVGADDHIYPNSTDVMQWLANSNLLEMIVDKLSPSNPSEVHANAAETLCAITRNAPSPLASKLSSSSFVTRIFGHALEDSHSKSGLVHSLSVCISLLDPKRSLPSLLFHSFRGQHVYESPVQVNQETVGAMLPKLGDLLILLNVSLDEKILPTTYGQLKPPLGKHRLKIVEFIAVLLKTGNEIAEKELISSGTIQRVLDLFFEYPYNNALHHHVESIILSCLESKNNTIIDHLFLECGLTTKILQTDRSPILSGEVDQPTLPAAGRNAPRVGNLGHVTRIANKIIQLGNCDSRIQTHIQESSEWNDWQTTVLQERNLVENVYRWACGRPTALQERNRDSDEDDIHSRDYDVAALANNLSQAFRYNIYDNDDGDEGHGTLDRDDEEGYFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQNDNINDAPTSASEILDEINLNGGASHGGNSSSDDEVVVGEDDELVACKNSNNGTSSSNPNPNPFSEEADGADLKNNDSGFFRLETTDNEESLVDERPIPEWVGWEKPSSVGGPGVNPFEDYGNAPAPGPSEETVAVAGTSMSSPVSSGENGTLCSSNPSDGPPANAGGGVSLFEEDVEFVGVEVEGTEKAMDEALKEGIVGEAGALKRKVVIIPNKDKNIAEEEKEKEGGEEGRGEGMKEFNDANYWKVDQEVKVLE >KVH90761 pep supercontig:CcrdV1:scaffold_719:106377:115548:1 gene:Ccrd_007223 transcript:KVH90761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMYRSGFFKKSNDNGRIVISAIMGMIFGYFIGVSFPYFSFTKISSHSSIHSSFDVTMHEESKAHERSFPDNLGSSNTPELPKIYVPTNPHGAESLPPAIVVSETDFYLRRLWGEPSEDLKKKPRYLVTLTVGWDQRNNIDAAVKKFSDDFQIMLFHYDGRTTEWDQYEWSKQAIHISVRKQSKWYPEIPPWYAKRFLHPDIVAAYDFIFVWDEDLGVEHFNGDKYMQLVKKHRLEISQPGLEPNEGLTWEMTKRRGDREVHKFTDEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWYMIQNDLVHGWGLDFALRRCVEGEYEKGKAPWEGVKERCKSEWALFQDRLVNADKAYLKQNGTKL >KVH90758 pep supercontig:CcrdV1:scaffold_719:44517:55582:1 gene:Ccrd_007228 transcript:KVH90758 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 28 [Source:Projected from Arabidopsis thaliana (AT5G60740) UniProtKB/Swiss-Prot;Acc:Q9FF46] MKINLIFTIFLTIILGIQSPNGTSHLATAQQYPDADTLKKNPAKLDEVTKSIYTRFNDISLLFHDDAINDLSFCMKNITAEWNEAFDFSHDTKFLSNCIQKTNGNIARRLCTAADIKFYVTVVSETEKQGFKTSSYVKGNTNCNLTSWVPGCEPGWACAVGKDVEVNLKNKDEMPFRTFDCQPCCEGFFCPTGLTCMIPCPAGSYCPLARLNPNTNICDPYRYQPPPGVTNHSCGGADVWAAVVNSREVFCPAGFFCPSSIEKYSCEPGLNYYNVDELAECYQLAKCDKEADHQNITAYGLMICGALTLLLLFFYNCTDQVISTRERRKAKSREAAALNAKELAQERWKSAKEGAKKKAVGIQSSFSQTFSRKKLSISDMPKDPGQSSLVPGAFSSKDESEDQNNLTLMMRDIEEDPESHDGFNMEIGDKSTKKGLRPKKLHTRSQIFKYAYGEIEKEKALQQQNSNLRFSGVIPMATDTNIRSRPRIEVSFQNLTLTLKGKNKHLLRCVTGKISPGRVSAVMGPSGAGKTTFLSALTGKARGCTVNGSILINGKNQSMNSYKKIIGFVPQDDIVHGDLTVEENLWFSAKCRYVVSSCIKQTGGPFSLHLLHHGIHFDHDLMLSNTKMKFLGAYANMRALGAALAKHVVQSDNTLILATFTCNAKHVGAPCSISCVVLFDHIIMLPAKLSRAEKVLVVERVIESLGLHPVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREAHEAVNVYSLFRMFDDLILLAKGGLVAYLGPVKRVEEYFSGLGIHVPERVNPPDHYIDILEGIVKPNTSSAVNYKELPVKWMCHNGYPVPPDMMGSDYKAGSSAGLDSAEGSSSGSTARGADDQSFAGELWQEFKLFIHMKSGNFLNCFSRKDLSNRITPGVCRQYRYFLGRVAKQRIREARLQAVDFLLLLIAGISLGTLAKVSDENFGAVGYTYTIIAFSLLGKIAAMRSFTLDKLQFWRERSSGMSCFAYFFSKDTIDHFNTVIKPAWSVLLPVVLTLIASQNEDKGSVVTLLKKVCYPSWALEAFLLANAERYRAVWLLTRCAAIAKRGYDLRDWKLCLIRLLVSGLVFRILALFLLVAFQKK >KVH90762 pep supercontig:CcrdV1:scaffold_719:117054:120203:1 gene:Ccrd_007222 transcript:KVH90762 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MASNRSKERSISMVLVPTAGYQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTYCTLHVAHRLNFFENKRIDMKTVILFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKFSLFVLLVGVGIASVTDLQLNFVGTVLSLLAIATTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQYLTNQNVFAYKYSPIVLVFIIMSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTERNIIGILVAIAGMGLYHKNLYL >KVH90767 pep supercontig:CcrdV1:scaffold_719:36255:37539:-1 gene:Ccrd_007229 transcript:KVH90767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MALNDGDRDELETVPDPATWTLSEENTFINIMAKEVKRGNRHSTTFSRSSWSFIEREFYEKTNRRYNHAQFRNKYNQLRIHYLWFTKLLKEPGFTWDPVLGTAIAADDVWESYLKTNKKARRFRKKGCPMYNELVIIFCDTATEYKDAYPLTRYPSHSGEKVDLEYESTNETPQAFPVGSSSAGKDCQSVGKRRRSPSPIPTLHLHKNKEAKIDESLKETIRMTVSNKQGSDPISDRTQNLEGCPEPSSFSITNCVKCLESIQDVDTTTYIKAIKMFKDVDWREMFMAMSAQRRSDWLASLE >KVH90770 pep supercontig:CcrdV1:scaffold_719:143660:148385:-1 gene:Ccrd_007219 transcript:KVH90770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MAMVVQHQQHKEISSNNSITKHQLDNGKYVRYTTEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNKKLSAMNKLLMEENDRLQKQVSQLVNENGYMRQQLHTGSAGTDASCESVVTTPQHSMRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIVEILKDRPSWFRDCRSLEVYTMFPAGNGGTIELVYTQVTINRVGFAAFAFFQQINDRIFAPTTLAPARDFWTLRYTTSLENGSLVVCERSLTGSGAGPNAAAANQFVRGEMLPSGYLIRPCDGGGSIIHIVDHLNLEPWSVPEVLRPLYESSKVVAQKMTIAVSRIYFLNSVILMALRYIRQIAQESSGEVVYGLGRQPAVLRTLSQRLSRGFNDAINGFSDDGWSLMNCDGAEDVIIAVNSTKNLNNSMNPSNSLSFLGGILCAKASMLFQNVPPAVLVRFLREHRSEWADFNVDAYSAASVKANPYAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVVRLEGHALGQEDPFMSRDIHLLQLCSGIDENAVGACSELVFAPIDEMFPDDAPLVPSGFRIIPLDPKSNDVKNALATPHRTLDLTSSLDVSPATNHGSTDMTMCQNTRSVLTIAFQFPFENNLAESVATMARQYVRSVINSVQRVAMAISPSGLSPSMGPKSSPGSPEALTLAQWICQSYTYHLGTDLLSSGSIVGDSLLKDLWQHQDAILCCSLKSLPVFLFANQAGLDMLETTLVALQDITLDKMFDDSGRKALVPEFAKIMQQGFTHLPGGICLSTMGRHISYEQAIAWKVLAADESTVHCLAFSFVNWSFV >KVH90764 pep supercontig:CcrdV1:scaffold_719:97006:99937:1 gene:Ccrd_007224 transcript:KVH90764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MSYANSRSVRFQDDIEPPKFQSVNGDNMFKVKYNIDGKQLPESRKPEKRIEKNPRSSLKSKVLSRVFSEDYERVKKKILDPRGLTIRKWNKIFLMACFVSLFVDPLFFYLPSVKDNVCIDIGFTLEVSLTIVRSVADVFYMIQIYMKFVTAYVAPSSRVFGRGELVIDSSKIAKRYIKGDLWIDLLAALPLPQVLIWIIIPSLSGSTMANTKNVLRFIIIFQYLPRLYLIFPLMSKIVQSTGACWYLLSIERQEDCWRIVCLAEDPSCEDQFFDCKRISEPSRRSWFQTSNVTNQCVPTSDFYPFGIYGEALDAEVTSALFFNKYFYCLWFGLKNLSSLGQDLMTSTYVGEIMFAIVTAVVGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMHHRQLPPELRQAVRRYDQYKWVATRGVDEESLLKGLPLDLRRDIKRHLCYDLVRRVSLFDQMDERMLDAICERLKPALCTQGTCLVREGDPVNEMLFIVRGNLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVILPSSTRTVKANSEVEAFALIAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRYKRRKSVRELKARESFTSVDYESGASSPVHGRNNMASPDPGFGAYVKRVGASRRPDGSKAGGGGSLQKPAEPDFSVDEEDH >KVH90759 pep supercontig:CcrdV1:scaffold_719:120946:125995:1 gene:Ccrd_007221 transcript:KVH90759 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MRIPPGLSQFYCLEATMNVEAQRKEDIMGALDKAPSSLSIRGEKEEESDDYYSYSDSVEDTRSENSWSDLSPQKHEAKLLVGHVSGSSSSQVLACCYVSEKIAWFNSSILVSRIGCGLQSVHTAVQKRGVAPLEDIEWQDSFLSNNDSSFPNDPTGDLPNSWGAAESSSFQIRGETYLQDRKKITGKGTLMQLVAANMLRSTKKQDDFAGRPGSICQKFAAANCPDFFFIVNMQIPGPTTNFHIAFYYATTTPIKDVPLLQSFVEGDDAYRNARFKLIPHVSKGPWIVKQSVGNRPCLLGQVLKIHYARGKNYLELDVDVGSSMIAKKVANTVLSTFSHLIVEAAFLIQF >KVH90769 pep supercontig:CcrdV1:scaffold_719:35261:35749:1 gene:Ccrd_007230 transcript:KVH90769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MDPAPDKIFNHRFDQAPPPPPPSSSVDNHHQLVPSNSPLSIENPTNKQSKRKKDRHAKVNGRGRRVRVPALCAARIFQLTRELGHRTDGQTIEWLLHHVDPSLFPSSFAGGGGAAQTVVPENPQGVSELDLFPNMSFTSLLMQVEEDELKTKNEINEDSWKI >KVI11391 pep supercontig:CcrdV1:scaffold_7191:1309:2405:1 gene:Ccrd_010200 transcript:KVI11391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase MGFKLVRGAYMSSERKLANSLCVESPVHNRINDTHHCFNKCASFMLDEVSTGGGGLIVATHNLESGTTVSYAANWIPKRE >KVI11390 pep supercontig:CcrdV1:scaffold_7191:1120:1305:1 gene:Ccrd_010199 transcript:KVI11390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVIEAEDTSIQLGIDYFIYSTTIMYNKCQKPMISGTTQVYMKDASQRLLETKKAADEIG >KVG42980 pep supercontig:CcrdV1:scaffold_7194:9744:14949:1 gene:Ccrd_026419 transcript:KVG42980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MEEVSNKQVVLKDYVNGFPKESDMLINTSATISLNLPQGSNALLVKNLYLSCDPYMRNRMTKTEDSYVPSFTPGSPMEGFGVAKVLDSGHANFKKGDLFWGITGWEEYSIITAPESVFKIEHTDVPLSYYTGILDEMVNACNFSPSKREPFISIQQSSMPGMTAYFGFYEICAPKKGECVFVSAASGAVGQLVGQFAKLLGCYNVGGKMLEAVLLNMRLHGRISVCGMISQYNLEQGDGVRNLFCLVSKRLSMKGFIVADHYHLYPKYMEMVIPLIKQGKICYIEDIVEGLESTPVTLVGLFSGRNVGKQVVVVARE >KVG42841 pep supercontig:CcrdV1:scaffold_7195:14798:18739:-1 gene:Ccrd_026420 transcript:KVG42841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDTWKASSTNLEDIYCKYINACQHTGVDIGEAKNVGKDVGIVHEKTTKSKKKKSSVEGNKDATKNQSRATMSHCKILEDHVVAESETKAKKKKSKHDIASCGETEEASSAPKKPVDDTINVEADETKKKPKDKKRKRRKKSSKKRKRLLSDENEDQPAKMESNQTLEESKGQKNEASKEDKVDESGARGTSDKQINEFGNEKPEINGGDKSGSQESVKKQRIGSAEPKMINAFQRVKIDQMEFAHEKLQDNSYWRRYDDGANVGYGAKAQEVLGQVRGRDFRHEKTKKKRGSYRGGQIDLHSHSVKFNYSDEK >KVG42703 pep supercontig:CcrdV1:scaffold_7198:18942:19866:1 gene:Ccrd_026421 transcript:KVG42703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MENSSNPQVRSISLPCRLSTPSSSQFEINLKKLKTLLPFYSSESIQTGFVCLVDLYLSVDRLIGSSLIQQVNLDYRNKALVEDALTGSTGVLDYYSKLMGFLTPMKENVRTLQSVIRRKGSCEADHLSDYVLYRNKSKKNIAKSLGSLEQMEKDSKLFLEYGEDHHLSIVVGVLREIVTATISMFRALLLCLFGKTKPVAYYGFSFIAKLMSTTRPDCHENQEIVNDNDQIDVALHSLQKTVKNNENKAVEVQIVMESLVNLDLQIEIYEDGLDSLFRRLIQTRVSLLNILVN >KVH98132 pep supercontig:CcrdV1:scaffold_72:29090:35024:1 gene:Ccrd_023635 transcript:KVH98132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M18 MSKGKRKRKGNIPMGMGLKQTVTLTQLQTYNSRTRNRRGRSSDQSMAKGECSVAGDLINFLNASPTAFHAVDEAKRRLKVAGYEQIFERGDWNLQAGKKYFFTRNYSTIVAFAIGKKYVAGNGFHIVGAHTDSPCLKLKPVSKVSKGGYLEVGVQTYGGGLWHTWFDRDLTVAGRMIIRDGKGDSASYSHRLVKIEEPIMRIPTLAIHLDRGVNDGFKVNAQTHLAPVLATSVKAELNKVVAENGPDGKSILTDGGKSNESSQKHHSLLLQLLAAQIGCKPDDICDFELQACDTQPSIIAGAMKEFVFSGRLDNLCMSFCSLKALIDATVSEKSLEDESGVRMVALFDHEEVGSSSAQGAGSPVMFDALSRITSFFSSDSQLLEKAIQKSFLVSADMAHALHPNYMLHGGLVIKHNANQRYATNAVTAFIFREIAAKHNIPVQDFVVRNDMPCGSTIGPILASGTGIRTVDIGAPQLSMHSIREMCAVDDVKHSYDHLKAYFEEFTRLDAKIAVDI >KVH98109 pep supercontig:CcrdV1:scaffold_72:551273:560397:-1 gene:Ccrd_023680 transcript:KVH98109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MVHTHFVWSQGGNQVFLCGDFTGWIKYQQMVTVEGSSTTFMTICDLAPGLHKFKFLVDGVWRVNDRQPIAEDEYGVSNVILVEQPVIMPQTLLVEDGLPVMEIDGSVPNEPQLVLTDDDIGTTHRRLFKHLSSYKAFELIPDSGKGLAVAPLWDAANLQISGMLTASDFIMILMERNRTMATNNIHQLSTISAWKEGKLQLQRRPLIQIYANILNTEPHICLFYNNQLVVFPLALQLVDTDANGRYGICTRSDSLFRVISLFSDQGLRRVLVVEAGTRYVLGLITLRDIFNLIFRDFS >KVH98147 pep supercontig:CcrdV1:scaffold_72:105912:116599:-1 gene:Ccrd_023643 transcript:KVH98147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MPTMDNLFTVFLLLSSKAFLWRVCHASSHSSLIRNDLGGRSIDEQPLAKIAIYKAVILLHESASIQADPLLLGLEGEDTSWVNVELKYPEPSENDWVGVFSPAKFKYCSFIRYLHSFASFMSACKNYMFANHSNSNYVKTGNATLRFQIINQRADFSFALFTGGVESPKLVAISDPISFVNPKAPLYPRLAQGKAWDEMTVTWTSGYNIDEAVPFVEWGWKGHSPKLSPAGTLTFTRGSMIGHMLLNGSIVWSKMYSFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYASYQPGSLITTDQLIGDLDNYDIVFHIGDLPYANGFISQWDHGNHERSWPNSGSFYDTTDSGGEYSTDYGMFHFCIADSEHDWREGSEQYAWIEMCLASVDRQKQPWLIFSAHRVLGYSSNSWYAMEGSFEEPMGREHLQKLWQKYKVDIAFYGHNKCVKQERYSYSGTVNGTIHVVVGGGGSHLSDFTEINTVWSLHKDHDWGFVKLTAFNHSSLLFEYKKSRDGVVYDNFTISRDYKDVLACVHDGCEPTTLAT >KVH98105 pep supercontig:CcrdV1:scaffold_72:500859:506613:-1 gene:Ccrd_023675 transcript:KVH98105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Development/cell death domain-containing protein MAKSSGKKIRNEVQDIGRSSAKKYFRKLKKNKSMDAVYSVENRALTPVVDAQKMEEFSGFIFMCNGKTKPECYVNRVFGLPSGKREVVEKIKPGMKLFLFDFDVKLLYGVYEASSNGAMNLEPAAFGERFPAQVRFRIYKDCLPLHLNSFRTAIKDNYHGSKFAPELNGQQVRDLLSLFRPIVAPSPASVLPYGALQLQMPPTAINVQLNSSLTPHLQNSYRPHLAGLMHSLPAQQVIGSQPVPQNMLNPHLHHLHPAPGNPYQCPETRQAYFLNDLPQTMQEPYPRYTTTPRVYPHGEPVGLEVGYDGSAVRTQREPLPNHVGYHNFQSMLTAAHDHSHVNAPPCYSVPDSSHVHTPPCYSGPTYRPPQPPPSGGPQMVEGSTSFSSYYSRLLHPSNVDGSQKIDEFRTY >KVH98141 pep supercontig:CcrdV1:scaffold_72:127515:135322:-1 gene:Ccrd_023645 transcript:KVH98141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MSIVVEKSDMEESKNEIGDQEEVLEDEEDISWSSDSEIGEALDYLDSKDDTGAVDGALILNARRPNAHGGIRSRPNASPLQPLSNRNQKFTNHIRASGKEGGMLACQTPSPLQSVKACAIDPRTRMVLFKMLNRGIFHDINGCISTGKEANVYHATRSDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKTAGIRCPTPILLRLHVLVMEFIDDNYNAGTISKGHMYVIDVSQSVDLDHPHALDFLREDCVHLFDFIVDPAINDDSVDAYLEEAQQKILARGDEISVEDEIADAVFVQSFIPKTLDNVKNAEEDVIRLTSGQDTGDMYYQTITGLKHALSIEQQQHHEANLQQDSPADPKEPSDLQDHESETETDEENSEDSEDDTSSSDDGKPTPLEKKAARKENKKKVKEEKREARKTKVPKALKKKKKKLAKAKKYR >KVH98114 pep supercontig:CcrdV1:scaffold_72:207679:210289:-1 gene:Ccrd_023651 transcript:KVH98114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGLTTHLLPPLPLAFSKNTTTIKPFPIQSSSLSASILPNSIPKKPSLFSNHLLSLAIAIATLTSPLPSSFAIPSLNNSPPPNLPSATTPFSQSKNLITGLENGKIRPCPSNNPGCVSSNPKSSSFAFPWRIPEKSIDNALQQLQEAILETQKNAKIEIVENTPDGFGRDILEFLVKGDVVSYRCMAAKVTYIYPFTTALGDSKGQEERIRKVVDQLGWDAPSFNAMD >KVH98111 pep supercontig:CcrdV1:scaffold_72:215254:220643:-1 gene:Ccrd_023652 transcript:KVH98111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MTTSHAGSSSRRSMALTTSSSQKKRLSQNGTTPDRRSSLTASRSMGLTGERTVKRLRLSKALTVPDTTSIYEACRRMAARRVDALLLTDSRSLLCGILTDKDIATRVIAREIDFENTPVSKVMTRNPVFVLSDTLAVEALQKMKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGSSVSGSNTFVESLRERMFMPSLSTIISENPKSKDILMRVIAQDLRPELILVEKVMTPNPECATLDTPIVNALHNMHDGKYLHLPVVDRGIDNEAASSMMQKFWDSAMAITPADDEDDLRRLLTYEDEDHDKVILATDSDLAAAVEHARLSGWKGIKLHLDYSGIPKRRKGSSTAGSLEFAQRDAWTTAYSTVAAGAALVAGLGMVAFLRRAG >KVH98128 pep supercontig:CcrdV1:scaffold_72:371558:373961:1 gene:Ccrd_023666 transcript:KVH98128 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein IKVSPYVPYVLSLKTPFSILVTTLSSLPCKCYRMSIEDNKRAENPKNGVKEKLSFVRDGSIKLPPGFRFQPTDQEIVFQYLVRKVFSCPLPASIIPEIVNICKFNPWDFPGEWEQERYFFSKKEAKYGHGNRVNRRSSDGYWKATGFDKHITRCCGNNSSSRKKDTITGMKKTLVFYKTNPSTTRTHWIMHEYRLVHSPPSPTTTSNDKKSWIQMGNWVLCHVLLNKRSRKPIEDDNGKKSDSTERQDLTRNDTNYKPASSSYSSSSSCGSSVVTLEVSSTKNQFDHEETNNVV >KVH98103 pep supercontig:CcrdV1:scaffold_72:450473:461244:1 gene:Ccrd_023673 transcript:KVH98103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MLSEDNISVWMADQRENQINTTTTINGNNGGDEIGVNSLTSFKSMLEIEENNDNNWYLTQHNHHENNLPFSPQNLILRSVEGSSSCSPNSVSLFQNLDPNHAQFFMPPKPTISSFLNTVSDNPLDSSFDIEAGFLAINTGNEDLPEFGELGSHNQMGISNLSSDVHFSTTHLPQPAGNGYGSSSFLKPLENLGSTGAQPTLFQKRARRNSSDTNNGGGDLGVLDEGIINENKRKRSNGSWEDVENMSFDGSGLNYDSDDFTENTDYKFEENGVKIGGGSTSNGNSTITGGGGDDRKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINELNHELESTPSSSSLVPAAATAAATGFYPLTPTATTIPSCIKEEAFPSALLSPTGQPIRIEVRQREGKAVNIHMFCSRRPGLLLSIMRTLDTLGLDIQQAVISCFNGFALDVFRAEIQAWGTIAIVTLDVNSPPHRMQPYCQQG >KVH98138 pep supercontig:CcrdV1:scaffold_72:57880:58421:1 gene:Ccrd_023639 transcript:KVH98138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FEHLLKPTRTTRTEERRPEKRFEGDNDFSKTAEDHRTTSNSQKNPNPQIKTPNPIQHLVYGQQLLNPCRPP >KVH98113 pep supercontig:CcrdV1:scaffold_72:199871:204275:-1 gene:Ccrd_023650 transcript:KVH98113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MRFHEQMKSLFYNRWLVFVASMWVQSCSGIGYMFGSISPVIKRNMGYNQRQIAMLGVAKDLGDAIGFVAGSLCEIAPIWVVLFIGVAQNFLGYGLVWLSTKHTLPEMPLWVLCVCIFVGTNGETYFNTGALVSGVQNFPKSRGPVVGILKGFAGLSGAILTQVYTIFNFPDQASVIFIVAVGPTIVISSVMFVVRPVGGHRQVRQSDGSSFLFLYGVCLILAAYLLGVLILQDVVDLNQTTVTWLTVGLLILILLPIGIPLFLVFFSSSESQNSAAETLLNNDQKQKMIVYEQDGNEVIMSEVEDEKPSEVDSLPAHERQKRISHLQAKLVQAAADGAVRVNRKKGPRRGEDFTLMQALVKADFLLMFFSLVLASGSGLTIIDNLGQMCQSLGYENPHVFVSMISIWNFLGRVVAMAGVQVVMAGSLLYYAVGAPGAIYVVSVVMGLCYGAHWAIVPSAASELFGLKSFGALYNFLALASPTGSLIFSGVIASGIYDYEAKKQSSVKHHVFHDNEELTCYGTICYSITCGILSALCIIAVFLSMIVVHRTKRVYAQLYGNSRA >KVH98119 pep supercontig:CcrdV1:scaffold_72:282178:286752:1 gene:Ccrd_023658 transcript:KVH98119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MDLLHKLLNILFSIVSIILFMLVLPILLLFRLWRFCVRSVFREKLAGKVVLITGASSGIGEHLAYEYAKHGASLVLIARREELLATVARKAMELGSPDAVVIKADVSKLLDCKRFVDEAIKHFGKVDCLINNAGTGIVGLFEEQICITDHASIMDINFWGSVNATHFALPYLKKSKGRIVVIGSCGGWFATPRVSVYNANLKWLPKESVEGCAKAIVNSAIRGDEYLTEPGWMHAVFLWAILLPDLMYLLRRFIVVTSPKTSLQKWKSQNTASFQPLEVKHD >KVH98102 pep supercontig:CcrdV1:scaffold_72:424626:434239:1 gene:Ccrd_023672 transcript:KVH98102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRTESPVYTRQWSGGSSSTGSSSPAMSPAHPQSRLGQPSGYSTIKRTQNVAAKAAALRLAQVMASQTADDDEEDDDPGFRFAAPTSFGKNNANNNNNGSVGALSGVSFGRPNRSPSPALGRNFMEHTPPTRSTSAGRPSASVRTGQMVPPTRPSLRNPGSIPPPIEPPAGNRLRERFTKDIGRVDKDMGDQHEASALRDELDMLQEEHDMVIDKLRRVDEKREEAEARARELEKQVASLGEGVSLEAKLLSRKEAAIRQREVALKAAQQTKDGRDVEVSNLRAELENLKDETLGAMEQLREAESEAKALRSMTQRMVLTHEEMVRLIFSWYFLRLHSTYFCNFIPFLVCKFFQEEVVLKRCWLSRYWGLAVQHGVCADIAGSKHEHWSSFAPLPFEVVISAGQKAREESWHGDEDSDRRKLVRDINDLTGEGNIESMLSVEMGLREMASLKVEDAVVLALAQHRRPNLVRQSISDPKSPRDNKFMEGFELSLEEAEDVSFKEQLWEASRKEIDQSSSLPIENSKDSADEDASL >KVH98130 pep supercontig:CcrdV1:scaffold_72:318728:325340:1 gene:Ccrd_023664 transcript:KVH98130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MKRNREDMEIVWQTPANPPERRDYIFRNGIRYVKPYYFEFISHAKNRWAGKTIVDLFAEEFKGRNRDYYDSAVKSGRIQVDGKTVPVSYRVQSSQKISHFVHRHEPPVMALDVKILHEGEDVLTVYKPASVPVHPCGQYRKNTVVGILQAEHDLAPVFRIQLLIYQITILHNFHFFSAVHRLDRLVSGLLILARSASQADLFRQQIESGMVQKQYIAKVVGEFPEEQVVNLRVNFNAREGRSTTEIRVHLQSTGHPIANDTLYLTEFVDGGSRRTFIAHKGTVKSSHSLDSKSNDDCISESQELIEDFGIDPMCTNCPNLAPIGLA >KVH98129 pep supercontig:CcrdV1:scaffold_72:332317:334625:-1 gene:Ccrd_023665 transcript:KVH98129 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MVSSHYPHLTLAFGLLGNIVSFMVFLSPLPTFYKIYKKKSTEGFQSIPYVVGLFSAMLWIYYALLKGNAMLLITINSVGAKQGVIVGWICLVFALCVFVAPLGVLRQVIKTKSVEYMPILLSLALTLSAVMWFFYGLLLGDFNIAIPNTLGFTFGIIQMILYFVYKNKKPVINEKITEYKERMSMDEPRGVVPDIKDQNTIDMVKLNALMTSENVPQGVVRNHTIEVAA >KVH98133 pep supercontig:CcrdV1:scaffold_72:36637:39902:-1 gene:Ccrd_023636 transcript:KVH98133 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOP5, N-terminal MALYLLYESASGYGLFLAHGIDEIGQNTEAVRNSVVDLNRFGKVVKLAAFNPFESALDALNQCNAVSEGQMTDELRNFLELSLPKVKEGKKPKFSLGVADPKIGSHIYEETKIPCQSNEFVLELVRGVRLHFDRFIENLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVRSVHSAFLHISLIYSFHFTSALALITKLCFLSLRYTCEAIDVLCREWYSWHFPELVKIVNDNYLYAKLAKYIEDKSELSEDKLAGLVDILGDEDKAKEVIEAAKASMGQDLSPIDLINVKMFAQRVMDLAEYRKKLYDYLVVKMSDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRIDCFSEKSTTSFGEKLREQVEERLDFYDKGVAPRKNIEMMKAAMEDASNQDTEMDVEKTSEPTPKKSKKKKSKGDEDGTTTEDKENNVANGESKSGKKKKKRSLEERLEPNENDDKENGTNGDETEKKKKKKKSKDVEMEDVSEEGKKKKKKKKKSGKD >KVH98143 pep supercontig:CcrdV1:scaffold_72:169342:174308:-1 gene:Ccrd_023647 transcript:KVH98143 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding MNSRDLTLISTATIAGALVSAIAIRFLVNPRKKLSAASTSSSDAVLRRKSSSDDPYDPSKRNGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQDGIILGIGYNGFPRGCSDDKLPWSKKSKNGNPLETKYPYVCHAEVNCILNRNHASAAGQKLYVTMFPCNECAKVIIQSGVSEVVYFVEKSLENNVTYVASHKLLSMAGIKVRRHEPKMNQILLRFCET >KVH98120 pep supercontig:CcrdV1:scaffold_72:296402:297956:1 gene:Ccrd_023659 transcript:KVH98120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MEGNGNESHGVELVVTQIGDSTLVQPYTETEKGFYFLSNLDQNIAVIVRTIYCFKSEEKGNETAVEVIRDALSRVLVYYYPAAGRLAISPEGKLIVDCSGEGVVFVEAEANCAIEDIGDNTKPDPVTLGKLGLIIFSRFLLEIARGSPLQLPPFLDRTILTARNPPIIDFPHYEFAEIEDVSDSGNLYKEEIAYRSFCFSPDDLHHLKIEATAAGEIPTCTTFEALSAFVWRARTKALKMKPDQKTKLLFAVDGRSRFEPPLPEGYSGNGIVLTNSICLAGDQVSNPLSFTVKLVHNAVKLVTDGYMRSAIDYFEVTRARPSLAATLLITTWSKLQFHAHDFGWGEPVLSGPVALPEKEVILFLSHGKQRKSIN >KVH98140 pep supercontig:CcrdV1:scaffold_72:180684:181166:1 gene:Ccrd_023648 transcript:KVH98140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDQMELQLLPTPHPRGSRSRDPTWSSGSIRFQSDNTRYQMGPSLDLQLSNSSRPVGSSLDDCILGDLSHKGSTKALKWQEADQIRITSTEKAYVERVREMTQREMDLAQSELSCARHMWERAQEDVARAENMKAKATHWIDSTCMEITCQACRQTFRP >KVH98108 pep supercontig:CcrdV1:scaffold_72:571955:572963:1 gene:Ccrd_023681 transcript:KVH98108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQMLVFFFILLCAQCILSTLALESIEDKGKVGLNGDSYSGKDGLKRDDLVLSKASKGKGSYGGQNDRPPTTKXSKAISMLAKPPAFMSNISICAIVLSVVFYF >KVH98123 pep supercontig:CcrdV1:scaffold_72:313166:317575:1 gene:Ccrd_023663 transcript:KVH98123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MAGIGLSWPSSNFTDRPKLTSAISRSSSSRCCLSSVKMTVSVDQKKKTFTLEKSEQAFNAAKPIIIDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTGKTKLIKFEGCYHGHADAFLVKAGSGVATLGLPDSPGVPKAATSNTLTAPYNDIAAIEELFKTHKGEIAAVILEPVVGNSGFITPTPEFLNSIRSITKENDTLLIFDEVMTGFRLAYGGAQEYYGITPDLTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLQRSGTYEYLDKITSQLIEGILDAGKKGGHAICGGYISGMFGFFFTEGPVYNFEDAKKSDTAKFAKFYRGMLEEGVYLAPSQFEAGFTGLAHTPEDIQRTIDAAEKVFRQL >KVH98110 pep supercontig:CcrdV1:scaffold_72:586500:590583:1 gene:Ccrd_023682 transcript:KVH98110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin/calnexin MEESKHIRMRCSLLFASLLIIDCFVSEIYASSDSTIFYESFNESFVGSWIVSENEHYSGVWQHSKSEGHDDHGLLVSEKARKYAIVKELDKPVELKDGTTVLQFEVRLQNGLECGGAYLKYLRPQNAGWTAKRFDNESPYSIMFGPDKCGATNKVHFILKHKNPKTGEYVEHHLKSPPSVPSDRLTHVYTAILKPNNELRILVDGKEKNKANFMSSDDFEPSLIPAKMIPDPDDEKPENWDERSKIPDPDATKPDDWDENAPMEILDEEATMPEGWLENEPEEIDDPEALKPEDWDDEEDGEWEVPKIENPKCESAPGCGEWRRPMKRNPDYKGKWHAPLIDNPNYMGIWKPREIPNPNYFELDKPDFEPIAAVGIEIWTMQDGILFDNILIANDEKSAKSIRDSTWKPKFSELVEKAEEQPKITVSVVVSIVVIIFSILLRMLFGGNKAVQTK >KVH98139 pep supercontig:CcrdV1:scaffold_72:188788:197628:1 gene:Ccrd_023649 transcript:KVH98139 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MAMEAPSETNNANPSTVSTKKNSKTKGKNNDFEFCKVCKLNHNQGRRHNYFPNHVKSLSSFLSRFQTKISDVRFFLKNPSLLRPELASRNRFWCVFCDSDVTEQGSSFACENGIAHLASADHLKNLKSFMWKHGGAMDRVDHFQVSEADLAKYEKKCISMKNEGASEQSRRALIGPSNDIHNELKFDYVNNFDRNPISFHNSSFPNGVLPLQNHTNEKYQVSQSDLSGGAASSTSSYDNKSLLASNAKRPNNLRGQLRNGPGKTCQVYADKREANGEVSSAGLLKLTQISSTVHGMDAGNVHSGAPPPWFDTTNRIHLDPAPKPGKEMDPVTKTVKSKLNPKRVGAAWAEKRKIEMEMERRGVLPANRFDANWLPNFGRVWQSGSRKESRKEFEVEATKPPKDEIESDSSLQLQPYISKRMRREANG >KVH98145 pep supercontig:CcrdV1:scaffold_72:91787:93238:-1 gene:Ccrd_023641 transcript:KVH98145 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MAVSSSSTTTSATATTSDYGHKSYPFIGSLISFHQNSHRLIHWFTHLLSVSPSQTIVLNRLGRKNQMIVTANPANVEHILKTNFENYPKGKPFTDLLGDFLGMGIFNVDGELWSTQRKLASHEFSTKSLREFMDSVVEEVITNRLIPLLENSIENDHVLDMQDVLRRLAFDTICKISLGWDPCCLDDSRPVPPLAIAFDVAASSSAMRGISPANWVWKMKRLLNVGSERRLKAAVGVVHREVNKIIGDRRRQMDGNDSQRDLLSRFISAGHGDELVRDMVISFLMAGRDTTSAAMTWLLWLLTWHPTVEKEVLDELTAITTDHNYSNNLNFEDLKKTDYLKACLCESMRLYPPVVWDSKHAGKDDVLPDGTPVYKGNRVMYFPYGMGRMEALWGKDCLEFRPDRWFSEPGVLKMESPYKFPVFQSGPRVCLGKEMAFVQMKYVVASILRRFELVPVCLDEPVFVPLLTAHMNGGFKVRVRRRN >KVH98122 pep supercontig:CcrdV1:scaffold_72:387684:396088:1 gene:Ccrd_023668 transcript:KVH98122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CFTPIRSFSSHLAGDPSPADHFYFNNTGSIPPAKMITVPYLTALTTYFSYGLLFAFGQLRDFFRRFIDWWKAGNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERISYDNNKTLKRTTKTSRCLNLGSYNYLGFAAADEYCTPRVIECLKKYSASTCSTRVEGGTTALHCELEDVVANFVRKPAALVTGMGYVTNSAILPVLIAKGGLIISDSLNHNSIVNGARAPSHLEKVLRELIADGQPRTHRPWKKIIVVVEGIYSMEGELCELPEIVSICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHIYATSISPPSAEQILSAIKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQKVISNVGDLVGIKYFPAESKMPQVEEARAKVE >KVH98137 pep supercontig:CcrdV1:scaffold_72:54056:57209:-1 gene:Ccrd_023638 transcript:KVH98137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22/L17 MKDLPPMKIDGECPIELIGNPRPSIWIPCGLAHNSSTFCTGEPVAAMVKYSKEPDNPTKSCKARGSDLRCHFKNTRETAHAIRKLPLIKAKRYLEDVLVHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDALHISHIQVNQARKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEAESQLAARKSN >KVH98098 pep supercontig:CcrdV1:scaffold_72:529069:531750:-1 gene:Ccrd_023678 transcript:KVH98098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase WSAKTIAVVTGANRGIGFEIARQLALHGLTVILTARDIAVGEEAARVLREGGLKVVFHQLDIVDHESIDSFCAWVKEKYGGIDVLINNAGISYNTGSDNSVEFAEKVIKTNYVGTKNMTKAAIPLMRPSAEGARVVLVSSRLGRLNGRRNRIGDVALRQQLQDVESLSEDLIDTTMNKFLEQVKDGSWISGGWPQNNTDYSLSKLAVNAYARLTARILSEKPEGERIYINCCCPGWVKTAMTGWAGLTSPEEGADTPAWLALLPNQSISGKFFAER >KVH98135 pep supercontig:CcrdV1:scaffold_72:840:6200:1 gene:Ccrd_023632 transcript:KVH98135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAFKSTTRRAPIGTSAPSTDDSPTSSSSKVHRRSRSLSRFSRPIREEIEPGVLPTPRRKFVNTVRGSGFPEISLDDLAIEFFSGKENSSEVEDESGSSRNSGPVPVKSEISPVTTSSQRRSRSVSRHHNGANSGVSEGVSATAFSQRRGRSVARRNDGTTPNAGGTTRVVSDADLRRRRSVSVARYQLSDSESDIDHSWSSTNQLKSRNINNGNSRVASFQRPTASSHRRLSRSMSQTPQLRSHDGYSSQSSALTDDDSRDARYGKKEIEKTIRAVYAQKKIDHPTRDDTNHGLYEAMRKELRSAVNEIMIELEQTMERKPSALSVHDRLHSNKSDGLQTVSTTRKNYTTKLKQPEKRKQDLSAEKMLEGQQGKDGYKIVREVLPEPKSTANAQRVSHPRKQYFEDFISNVEDTDFSSFDGERSDTSSTLTGTTKQRDGGQNGSPAGCNSLPVEMEGIKLPWLKWDGNDGGPPTSRKTMPPPTTPKTKLWDPSQDLELIQDEGSSSHGSWNPEDDSTNSSKRGMDMEKYREIERTEKVLFDSWRERSIIRSGGLFLCGTTSSSIVFHF >KVH98124 pep supercontig:CcrdV1:scaffold_72:308517:312190:1 gene:Ccrd_023662 transcript:KVH98124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVSLHQNQNQGGNEPSRHPSPAVNYNGNVGENRDKSQRISGVGASSSESTSPFSGNIRDYDLQTTVTMRDANGSSQKGFSAQRTLPIERTLSRDSSLEARRTEAEDNCSPSGKAMQVVTHPPLRSHNVNRNIAVTPTSNYGNVTVPSNYGNPSFSQLVEENRELRRREEEILGDLVQDLECVRTRCRTLEAQGAGKYNRHIYRGERASRCGGASFKKEVEGSRSYKQELGGKGDTVAVGEYEKYGTQMGAVSSCSWRI >KVH98131 pep supercontig:CcrdV1:scaffold_72:22188:26846:-1 gene:Ccrd_023634 transcript:KVH98131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0041 MKLLGGFYGLVDMQKPPEMISGNMTGAMCVYSALFMRFAWMVQPRNYLLLACHASNESVQLYQFSRWAKSQGYFQQKEDKAPST >KVH98116 pep supercontig:CcrdV1:scaffold_72:269225:273398:1 gene:Ccrd_023657 transcript:KVH98116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MVEEVQKAAEVPKSAADDKKQESEESSLPPPIVLRVFMHCEGCASKLRRCLKGFDGVDDVKTDCKTHTVVVKGEKADPLKVLERIQKKSHRQVELLSPVPKSPAEQSEKKEEKEASKPEEKKEEAPPQVITVVLKVHMHCEACAQGIRKRILKMNEADLKQSEVTVKGTFEPPQLAEYVNKKMGKQAMIVKQEPEPKKPEANNKGKDGKVEKNEGGADEKKATGEGAAKPAEAAGGADDKKVVELRKNEFNFYQSNLPRYAVESAYGYPAAPQIFSDENPNACFVM >KVH98127 pep supercontig:CcrdV1:scaffold_72:378412:380079:-1 gene:Ccrd_023667 transcript:KVH98127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MNPQENEDDDAMEAQTLQVIESLIHSISHTQSFKGKWSLMETKLSDLKTQLSDVSDFPPNSISADLRHALFRTLSDALSLSLTCHSPNLPAGKLKTQNDVDSISAKLDNHIRDWEVITRSGVLHDDVVPSPVSRESVRVESRNLITRLQIGSGESRNSALDSLLRLIGEDDKNVIIAVAQGVVPVLVRLLDSGSSPEIIEKTVTAIARVSTINSSTKVLMAEGLLLLHYLIRVLDSGTGIAIEKACITLQALTLSKENATAIGSRGGISSLLEISQSGTPSSQAAAAAVLRNLAIFSDTRDNFMEEHAISVLLTLASSGTAMAQEYSISCLSNLVKEDDDMKLLIARKGGIGSLKNFWDSAIVGRSLEVAVEFLSNLASDQRLVEFIILNDFLNRLIIVLNCGVLGVRIHAAEAIFKIGYNTKTRKELGENGFIPPLIRMLDGKAIEEIEASSKALSTILIHPENRRIYRKEQKGIMSAVRLLDSSITNLDKKYAVSILMSLTHSMKCRKEMVKSGVLLHLQKLVEMDVEGAKKLQEVINGRKLWGVFKRSPRTP >KVH98125 pep supercontig:CcrdV1:scaffold_72:306738:307883:1 gene:Ccrd_023661 transcript:KVH98125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALVTMGFVKDAKAHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTNVLSRLEARLVQMQKTYWSTYCHKIGYAPRRRPKKGEDR >KVH98107 pep supercontig:CcrdV1:scaffold_72:511525:527594:-1 gene:Ccrd_023677 transcript:KVH98107 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MASLRRTLLFGISRFLNSTAAPARVNAGGASSNGIIQYQYLYKSRPCVSSFSRLYSSNDGIESLDLDLSNEESKRQLMNRSKQRGFLELDLVLGSWVEKHIGSLDEKGIRALADVLNLENPDLWKWLTGQEQPPEAINTNPVFVEVRSKVMKNLDNCASPQTRAAPGQPWVRGWDDFKKGRDSPMECDDATMRRFLRARDLDIDEASYMFLRYLRWRKTFLPNGSISISEIPNDIAQNKMFMQGTDKSGRPITVVFGGRHYRNKTGGLEEFKRFVVCMHLTLCMCTCKHAKHLISPFIDEETKKKVKSTLLKEIDESQLPEIYGGKLKLVPIQDNRELETLIIQVSSYRKKVKQMEGKEEEQKKILQMRYLKWRRTFVPNGCISASEIXNEIAQNKLFMQGRDKSGRLITVVFGGRHYHNKIGGLEEFKRQEKFVAIADIQGWGYSNSDMRGYLASLSILQI >KVH98118 pep supercontig:CcrdV1:scaffold_72:240615:248015:-1 gene:Ccrd_023655 transcript:KVH98118 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MASPSPSPSPSRPCGVAATIDFIGEDLLQNIVARLPAFSFASAACVSRSWNLVCDRVLCRPKLSSACSFNFSLHVAVEEVFNKALSEPIRPHFAIASIGPSFDLQQAHQLITAKLGSKVPVITNVPSGIIGRDAISDEFKEIQWEITEEDDDPGVPLLQPESANRAIMLIVGFLPGMKVEAIPLLKQIAFKEHNHHGRTQFFSSCLGTGETQFKAVLSTGLSPVGPTYKAASVREKHRDSLTWITARREGLRENIDGETIMNQVYDELGDRIQFPTFYIGVTKRRKCSIGQDKVGWMTSLAFHEVLGDNQEYLFVGDVGIKTGDSFRFYHSDSSIALSSNATVSERLRCLKQGSTTGGDTREVFGGLVFTCCGRGESFFGQPNVDSSPFLDVFPGVTFAGTFCGGEIGRGDVNPYIKESQEQKSVWCCLHVYSAVYLVMSYTSSKGS >KVH98134 pep supercontig:CcrdV1:scaffold_72:47606:52404:1 gene:Ccrd_023637 transcript:KVH98134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B, beta/delta subunit, guanine nucleotide exchange MAPTFYVGSEAGLKKLDEYLLSRSYITGYQASKDDLAVHAAFTKPPSPQYVNVTRCGVSGQGSGVVVEGSASFPEDATATPPVADSKASGKSSVLLDVKPWDDETDMQKLEEAVRTKLAAVGYGIKKLQIMMTIVDDLVSVDTLIEERLTVEPINEYVQSCDIRIAQFAGMEWRIVIGLVIYCCYVMVLSRS >KVH98106 pep supercontig:CcrdV1:scaffold_72:509242:510840:1 gene:Ccrd_023676 transcript:KVH98106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVMVLDTGLLVLSYCGLKRSCFYGTTFSDHKLLVHSLEEYKFSRNSTAVIGTHAIIIKLGYEASPSLTSLLVRAYMSFNHSFARQLLHDVPYWNFNVVSFNLIIASFIKMGDIDFAKRMFRKMPKRDLVSWNTMIGGFVRNARFQEAFGFFRKMLSSNVEPDKFTFSSIITACARVGALDLAKWIHGLLTERRVELNFILSSALIHMYSKCGKIGTAKAIFRSVKHDDVSVWNSMINGLAMHGLAMDAVATFSEMEADNILPDSVTFVGLLTACSHCGLTQHGREYFDLMSRKYLIKPQLEHYGSMVDLFGRAGLLEEAYEVIKGMPIDPDVVIWKAFLSACRTHRNPELGEVALSKLSHLDSGDYVLLSNTYCSVSKWDAAAKVRHMMKKKGVKKSRGRSWIEFGGAIHQFKAGDRSHSETESIYMVLEALMGRIREEGFVSVTDLVLMDISEEEKEENLTYHSEKLALAYGILKSSPGSEIQVSKNLRTCLDCHSWMKLVSKVLKRVIIVRDRIRFHRFEDGLCSCGDYW >KVH98121 pep supercontig:CcrdV1:scaffold_72:399399:400319:1 gene:Ccrd_023669 transcript:KVH98121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein MAEVQPPVEGLVNGGGAGGAVVPMVSVPVEPVAGPTKRQRRPSVRLGEIGDQHTYDNHRRSKQQQQQWKYASKESKTSKTRQLMNLSGGGAMEYHETLDGGGDDKDGGNKSNHNLGNGNNNNNNNNPLDCVAIGSWKVRDSAKSKRGFSMLTKRVRSNWTSKMDDGDEKFEDEVDYDDDGYRDFDREGSASQLKEQSLNLSMDYERDLHRSRDGTRVRVSDGVELDGPSDTDARNWNNNNPERNGVRVWLNQLGLGRYFPIFEVHEVDDEVLPLLTLEDLKDMGINAVGSRRKMFCSIQKLGKGFS >KVH98112 pep supercontig:CcrdV1:scaffold_72:224018:224452:1 gene:Ccrd_023653 transcript:KVH98112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3339 MHVHHFLARGSHTTRGIARENLPEFAQIKPTSPCLLEFTIVNLSLSPTNQQPSQTLQFQNPKAFLSSSSSRSPAKMADWGPVLVAVVLFVLLTPGLLFQLPGHNKVVEFGSMRTSGTAVIVHAVIFFGILTIFLITIGVHVYAG >KVH98104 pep supercontig:CcrdV1:scaffold_72:461120:465712:-1 gene:Ccrd_023674 transcript:KVH98104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor MTSSEVSSKGNSSMRGDRDTFSLSGFSDKNDAVGDSRTVPAVSGAVDADVALYKELWRACAGPLVTVPRENELVFYFPQGHIEQVEASTHQVPEQQMPVYDLPSKILCRVVNVQLKAEQETDEVFAQITLMPEPDVSLPLLPMNPQDVNSVKKEPAPPAQSRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLVRHVKATSNTGAGSEGFAWKRMALQAHISGTSPAEFIVPYDQYMESIKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEESDPKRWPESKWRCLKARDGRSSAFGEYSVHLNRRGSEQQHGKWMMPPPLPSYLHMPSHSTEVMPKSPLSHQNEVRKPQDGNCKIFGVPLAGNKVASDEAGNSHQGLQSQSYPTLESDQRFEQSKSPKVI >KVH98136 pep supercontig:CcrdV1:scaffold_72:8222:15535:-1 gene:Ccrd_023633 transcript:KVH98136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MAPTFPVEFAGQRVSKNLSQTNFTRMMGKTRKVSKGYSYGFVPDYRHAVETMADSEGFGSSGRLDTEMTASEDSCAPRRKCINLNGDSYQQLGVPIQVLSLSKLSGFERKELGFRLKRELEQVRTFQKNIAMVSSNGLSLSPSSDVHSCSAGQRRPIPEFSRSMSVPASHCKKKAPPGRNGGARSKKSAAGRVDSVKKALPPASGNAMLMKQCETLLNRLMSHNFGWVFNTPVDVVELNIPDYYTVIKHPMDLGTVKTKLTSGRYMDQWAFAADVRLTFSNAMTYNPRGNDVHLMAETLSKFFEVRWKAIEKKLLVATEAVVPMRQNVVETETATPMPPFKKKKTTYLGNEIKQEPVKRTMSDTEKHKLSSELESSLSDLPESIIDFLKDNSSNGNQTTEDEIEIDIDTLSNDTLFKLRKLLDDYLVDKQKNMAKAETCEIELHNESGFSNSSMQACKANDANEEDVDIGGNDLPISSFPPIEIEKDTAVRNSKCSSSSSSSSDSGSSSSGLKPTRMHGHDSWYRFGCMALQIVVGAWFSEDSELDNVEIRNKVACNVLKLNQFLMRCAEIVQFFSIFLQIYSDSDSSSGSESDGANASAIMNNNKVSSLCHSSQLNSVNGMGLVEQKSHSIPVSGEVDGRQEGESAASERQGCEKQDRERLRLEKEELEKRRKEEKARLQAEAKAAEEARRNAELEAAIWIKIVISNTYSFSCQMEKMVDIDDNSGFLEDLEMLSVAAAEPLENFIDEASADCGEGRFKLNGKGNPLEQLGLYMKDDEDEEEEEVNPRPAQGGDDPEEGEID >KVH98115 pep supercontig:CcrdV1:scaffold_72:254560:260102:-1 gene:Ccrd_023656 transcript:KVH98115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MAVPASVVTPPLVDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIRVPEGFDYELYNRNDINRILEINALAQHIHNLLTPSTPFFFNTLYDPYREGADFVRGYPFSLREGATTAISHGLWLNIPDYDAPTQLVKPRTLFPMCGMNLGFHRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEDIIPFFQSVVLPKECTTPQKCYIELSKLVKQKLGPIDPYFEKLGDAMVTWIDAWDELNPSADAAPAVKIESAPKAK >KVH98126 pep supercontig:CcrdV1:scaffold_72:301014:306699:1 gene:Ccrd_023660 transcript:KVH98126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVEVKREIEVLNGNNNDYTRTKDVSGVPVIDLDSSDDDSCSSGNRINDGKRSRVLSGGDVVRSNKRKKGADGVVLPAGFLDPLPRKDAALQHAKGNNVSNDRSCKQFWKAGDFEGCSGGDWNTSSERVLYLTNFSYTGGMDHLRVHPRFLHSNATSHKWVLGVKPLSWVMFLVFMLMDWCVFTHAAFAELLDNALDEVCNGATYVNIDMLTNKKDENRMLLIEDNGGGMDPDKMRQCISLGYSLKSKVTDAIGQYGNGFKTSTMRLGADVIVFSRCSGKDGKRSTQSIGLLSYTFLRSTGKEDIVVPMLDYERGVQTWKKMKRLSPVDWDTNVEAMVQWSPFSSEADLLRQVTFFFSSLHFDHMKDHGTRIIIYNLWEDDQGQLELDFDADKHDIQIRGVNRDENSIKMAQQYPNSRHFLTYRHSLRSYASILYLRVPYGFRMILRGKDVQHHNIVNDMMMTNEVTYRPQPGVDGVPKDSNVMLIEMEACFGKIRYLHSF >KVH98142 pep supercontig:CcrdV1:scaffold_72:118503:127505:-1 gene:Ccrd_023644 transcript:KVH98142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGICRSGMDEDWKSQGSESKLQAQCKMANSMDVQPETLEENRDDDHQNPSYDIEATHDFETLARSWLSSLPADKSLNPSDVETWLQSNNSSLPDHIKLMPPSDVYQMFLSFLNDGTPSNEEKDPNHARFQRTDQWMPIYSWLESLKTDEVIKSKEIIDWLTENPDVRDDLSARHSRYHLMHYIKKCHMKILKRKEKKKGLHTTIKTSSPRAQKTEEKKSLVVLPSSSVIKLQKDSPIYMVKRNEAFRKYEILIEMERQLTTIFEKPEAAANVVAGP >KVH98146 pep supercontig:CcrdV1:scaffold_72:58647:61348:1 gene:Ccrd_023640 transcript:KVH98146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKRSGQKPSSRPPSSSGAAAGSPLKTMELTPAARRRKRGPPEKQIPDKVAALLPESALYTQLLEFEGRVDAALARKKVDIQESIKNPPRVQKMLRIHVFNTFANQSQSGTQKEQAEQPSWSLKILGRLLENGTDSDRPSLTNPKFSSFFKKVTIYLDQSLYPDNHVILWESSRSLALNEGFEVKRNGDKEFTAIIRLEMNYMPEKFKLSPALSEVLGIEVETRPRIIAAIWQYVKTKKLQIPTDTSFFTCDPPLRKLFGEDKVKFALVSQKISQHLSPPQPIHLEHRIKLSGDNPVGNTCYDVLVDVPFSLDKDMSNFLESLEKHREIDACDEAICSAIKKIHEHRRRRAFFLGFSQSPSEFINAFISSQSKDLKTAAGDASRIAEKEHRSDFYNQPWVEDAVIRYLNRKPTAGSDAPGST >KVH98117 pep supercontig:CcrdV1:scaffold_72:225059:232037:-1 gene:Ccrd_023654 transcript:KVH98117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MRNAVSGHRNNENQLRRISRNYYGEEIDSLTTNELESLERQLHCSLKQIRTIRTQSLLDKLYEQQKMEHQLYESNKTLRLRLDEESQAEALQWDAHANGMVYGHPHQMSRDAFYHPIGCETTLQIGYQTEQMSAVTSTSMNHQMQGWLA >KVH98148 pep supercontig:CcrdV1:scaffold_72:99634:104389:-1 gene:Ccrd_023642 transcript:KVH98148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase, Det1/DDB1-complexing MDGSATPSKNPDGASKFLIDLPSKGLFSSSVISSNLGGMQVYVTDHDTSPPENQVIKTDQVNILIRSLLLKQQQKGGGPTSKGEELIGRLRAATAAASGGLGTSSAVQ >KVH98099 pep supercontig:CcrdV1:scaffold_72:541696:548142:1 gene:Ccrd_023679 transcript:KVH98099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLENEHSSSSDHRRPVGCCNPVKKSGPVSMDHVLSALGETKEERESRIRGLFNFFDTSNAGYLDSAQIEVGLSALQIPADYKYAKELIRVCDANRDGRVDYLEFRRYMDDKELELYRIFQAIDVEHNGCILPEELYDALVKAGIELNDDELASFVERVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWERVSLVDIGEQAVIPAGISKHVHASKYLIAGGVAGAASRTATAPLDRLKVLLQVQTSNASIGPAIKSIWKEGGILSFFRGNGLNVVKVAPESAIKFYTYEMFKDFIGGGDKDDIGTSGRLLAGGMAGAVAQTAIYPMDLVKTRLQTHVCDGGKVPSLGKLSKDIWVHEGPRAFYKGIIPSLLGIIPYAGIDLAVYETLKEMSKTYIFLDSVSGALGATCVYPLQVVRTRMQANRPGRAGAYNGMSDVFMKTYKNEGARGFYKGLFPNLLKVVPAASITYMVYEAMKKTLDLE >KVH98100 pep supercontig:CcrdV1:scaffold_72:412559:414439:-1 gene:Ccrd_023670 transcript:KVH98100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MFGCECNPTTKSVFLFINLLFQVPNSKLDSISTMAAFSHHHHLFLHQTTAFHPHSTTHNFSGHQPTTAFHPHSTTHNFSVHQPTTTAAAAACLLDQDHHHRTKNLQRKGYSTSMEVDGGSHDHLAMKRKAINGISQVRRKKINERMKLLQSIVPGCDQVIASSYVDRIWFILSVFL >KVH98101 pep supercontig:CcrdV1:scaffold_72:417962:422590:-1 gene:Ccrd_023671 transcript:KVH98101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MEFMDSSRQPLIPRFLYSSMKFNDFEHLRPNQRDTSSSLRSTPSISSSSSTPKRGFVVPAPSEPRKIAMFSPAYYGACTAGGILSCGLTHTAVTPLDLVKCNMQIDPAKYTGISSGFKVLLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKTYSDMAGPEFATKYKTLIFLAGSASAEFIADIALCPFEAVKVRVQTKPGYAKGLSDGFPKFVKADGYAGLFRGIAPLWGRQIPYTMMKFASFETIVEMLYKYAIPTPKDQCSKRLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNSSKGATAGDAIKKLGMLGLFTRGLPLRIFMIGTLTGAQWGIYDAFKVSVGLPTTGGAAPPAK >KVH98144 pep supercontig:CcrdV1:scaffold_72:148166:149534:-1 gene:Ccrd_023646 transcript:KVH98144 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MTKKLCEGEAVHHGRRRRSDLFESLPDEIVISILCKLSSTSSSPSDFVTVLLTCKRLNKLGVHPLVLSNACSETLAVRAKNWSDEAHRFLKLCVNAGNKEAYYTLGMIRFYCLQNRGSGASLMAKAAIKSHAPALYSLALIQFNGSGGLKNDKDLRAGVALCARAAFLGHADALRELGHCLQDGYGVQKNVEEGRRLLVQANARELSCILRAAVNTSSSPVPLEFHSQHHRRPNLTDSDGYLWPSDDVRLSENDRCNSPGLELHPANRFLVEWFGLRRSGAPGLRLCSYGGCGRPETRRNEFRRCSGCGTVNYCSRGCQAHDWRVHHKVECAPMEEWMGHAIDDVDEEEVGDDDRTVEIEEVEGDDDGMHV >KVH89286 pep supercontig:CcrdV1:scaffold_7201:7008:9152:-1 gene:Ccrd_008727 transcript:KVH89286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASTFFTAVDISYYATIPNSLDLKHHHHIPFTFISSRRPNKSTFFYCNSSKKPPIPDISQDPQKQNPSLADQLKTLSTTTLSDTPQTTTQLLTKPKSIWVNPTKPRPSVLNLQRHQRKTYSYNPQIRDLKLFSKRLNECEDSEDAFLKVLEEIPHPPTRENALLVLNSLKPWGKTMIFFNWLKTQDSFPMETIFYNVTMKSLRFGRQFQLIEDLANEMITDGVELDNITYSTIITCAKRSNLFDKAVEWFERMYKTGLMPDEVTYSAVLDVYAKLGKVEEVMSLYERGRASGWTPDAIAFAVLAKMFGEAGDYDGIRYVLQEMKSLGVKPNLVVFNTLLEAMGKAGKPGLARSLFEEMVAAGISPDAKTLTSLVKIYGKARWARDALDLWQRMRSNGWPMDFILYNTLLSMCADLGLQEEAEGLFEDMKGSQHCKPDSWSYTAMLNIYGSGGNVEKAMSLFDQMSVENVAINVMGCTCLIQCLGRAKRMDDLVKVFETSIERGIRPDDRLCGCLLSVVSYCENGDDLDKVISCLQKSNPRLVSFVKLLEESKIGFEKIKEEFKEILNNTEVEARRPFCNCLIDICRKRNLDERAHELLYMGTIYGLYPGLHTKTPEEWRLNVRSLSVGAAHTAFEEWVGTLTAMVSRQEPLPELLSASTGAGTHKFSQGLANAFESHVNRLSVPFRQSEEKAGVFVATREDIVSWVQSKVPNLS >KVH89287 pep supercontig:CcrdV1:scaffold_7201:15101:18309:-1 gene:Ccrd_008726 transcript:KVH89287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MRKKRLSISFEEGQKESARSIGKTVKESRSRSRDEKLSKFIVFLLYLVQFHQFQVVGRALPTESDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRHHCIQVIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFV >KVI11376 pep supercontig:CcrdV1:scaffold_7202:16010:20285:1 gene:Ccrd_010214 transcript:KVI11376 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MVLELNIVANTTWGASSLVEKNLVMCIENAIRCGGLAPTKASCIKNMLSCLFEKRGELCLEYLRDLSIDEIKMELSRFKGIGPNTVACVLMFNXQQDDFPVDTHIAKAIGWVPIEADTKRTYLHLNTRIPNFEKICFVAPIPGQHFMVPAPPQFIQGGXVVAPIPGQHFMVPAPPQFIQGDGSGQNV >KVG42624 pep supercontig:CcrdV1:scaffold_7203:4774:7671:-1 gene:Ccrd_026422 transcript:KVG42624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIFC3 MKQEQTQLSCDAREYANLIPDMDNMISAIQGQVAQCEDLKQKYNEELVKRRKLYNQIQEAKGKFSHSCRPLDKHEVSAGHAMVVDLSASKDGDLGIVTVDVFADASPVVMSVLDGYNCARSVQQAKQQSLEVKAKCKDQMQKGQQEKLDDLAGQLELKSQLCKQLEKQTSPLSDEVMEVENKLKERTQMFELKLSASKEKIKKLENRLERQDDHTSSLMPNQK >KVG42499 pep supercontig:CcrdV1:scaffold_7204:2734:4634:-1 gene:Ccrd_026423 transcript:KVG42499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQNRFLAPFLKDLTIKPTTSASFLLSEDLDVGHDTTIKINCEHFRSLVVFFVGNQRLSDCASYGLITTRLEEISLARFSQRLDSKVWFQLRVGYHFVVAPITILDVELALLGAPIFFIDAS >KVG42498 pep supercontig:CcrdV1:scaffold_7204:9552:17934:1 gene:Ccrd_026424 transcript:KVG42498 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MSQEVCQNLEWRLYSSPRPWDLLGQFGLDLASRKRLWYGPSYLKAWELDTDIVQKPRHFALDMDGITLHFGCQLYGAPRISQKVEDNIFNYYREIPDDQWVRATDFSPSFSIGQSSHLCLELSHGVEIPNLGRYFPYYEESNRPFNLVTGHSFSQNLDLVPIVGPARGSNLPYKIIFKVCSLVQHGCIPGPVLDANFFELLDPARRDIASIDYVLDKLLYQKDCIYDPVRWITQEYRRNNRLRTPTISLDAGLVYVRRVQITPSKVYFCGPEVNVSNRVLRNFATYIDDFLRVSFLDEELEKLYSTDLAPRATNRSEESRTGIYKRILSILRDGIVIGRKKFEFLAFSSSQLRDNSAWMFASSNXLTAADIREWMGKFNSIKNVAKYAARLGQSFGSSKESLXVAPYEVEKIPDIEVVRGGTKYVFSDGIGKISXEFASXVSXKCGYDFIPSAFQIRYGGYKGVVAIDPTSSKKLSLRTSMCKFDSDNTKLDVLAISKYQPCYMNRQIITLLSTLGVRDHVFEKKQKEAVDLLDAILREPMKAEEALELMSPGENTNILKEMLACGYKPNAEPFLSMMLQVFRATKLLELRTKTRXFVPRGRXMMGCLDETRTLEYGEVFVQFSGSRRRVLGDDFSGGSSNSCRIVTGKVVVAKNPCLHPGDVRVLTAVDVPRLRHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDPDLIPPRQIEPMDYTPAPSMELDHDVTIEEVEEYFTNYIVNDSLGIIANAHTVFADREPTKAMAEPCVELAKLFSIAVDFPKTGVPAVIPANLRVKEYPDFMEKPNKTTYESQNVIGKLFRGVKDISPQDSPVSPFTRVVAFQTYDAEMEVHGFEEYVDEAFDFKTEYDYKLGNLMDYYGIKTEAELLSGSIMKMSRSFDRRNDAEVVGLAVKSLRKEARKWFRSGRGESDAEHDDVYAKASAWYHVTYHPDYWGRYNEDMTRDHFLSFPWRLHNVNATSFDGY >KVG42497 pep supercontig:CcrdV1:scaffold_7205:8515:17940:1 gene:Ccrd_026425 transcript:KVG42497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthase MCGILAVFGCVDCSQAKRSRIIELSRRLRHRGPDWSGLHSEQDCYLAHQRLAIVDPTSGDQPLYNEDKTIIVTVNGEIYNHKALREKLKSHKFNTGSDCEVIAHLYEEYGEDFVHMLDGMFSFVLLDTRDKSYIAARDAIGITPLYMGWGLDGSVWFASEMKALSDDCEQFMSFLPGHIYSSKTGGLRRWYNPQWYSERVPSTPYDALVLRHAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVASRHLVDSDAYCQWGSQLHTFCVGLKGSPDLVAAREEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHLFDCLRANKSTSAWGLEARVPFLDKEFIDVAMSIDPKWKMIQPDAGRIEKWILRNAFDDVEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANKQVTDSMLTNATFVYPENTPTTKEAYYYRTIFEKFFPKNAARLTVPGGPSVACSTAKAVEWDASWSKNLDPSGRAALGVHAAAYGNAGETKSDGPMSGA >KVI11144 pep supercontig:CcrdV1:scaffold_721:61042:63226:-1 gene:Ccrd_010447 transcript:KVI11144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYKVAVSYDPDLTGPRSLIFFIQEAGASSTHYQASLYILERRHKTKKDHEIKTYRSQFLWRCLFSLPLLLFSVVLPMIPPYGNWLSYKLYNMLTIGMFLRWILCTPVQFIIGKRFYVGSYHALRQKSANMDVLVALGTNAAYFYSVYTIIKASLSDGFEGQDFFETSTMLISFILLGKYLESIAKGKTSDALAKLTDLAPDTACLLTMGDDKNVISETEISTQLIQRNDILKIFTGSKFPVDGIVIDGHGYVNESMITGEAIPVAKNPGHSYWSETALSQIVQIVEVAQLARAPVQKLVDRISRFFVHAVVAVAVVTWLAWFIPGAAGLYPKSWILEAMDEFELALQFGISVLVVACPYALGLATPTAVMVSTGKGATEGVLIKGGNALEKAHKILEI >KVI11147 pep supercontig:CcrdV1:scaffold_721:44432:51476:1 gene:Ccrd_010446 transcript:KVI11147 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MTTISPSPSNSLDSVTLIMDHQTSSSSSSSSQQQSFHHPTPPFDLTSTIPSNPTAADDLPNLLHLSFNQDSACFAAGTDRGFRIYNCDPFREIFRRDFDNGGGIGVVQMLFRCNILALVGGGPEPQYPLNKVMIWDDHQSRCIGELSFGSEVKSVRLRRDRIVVILLEKIYVYNFADLKLLQQIDTFTNSKGLCEVSHLSGSMVLVCPGLRKGQIRVEHYASKQTKFIMAHDSRIVCLALTHDGRLLATASSKGTLIRIFNTLDGSLLQEVTPSVNFALAFSGRISHNFDNIPGDHRRFNVRRGADRADIYSLGFSTTAERLAVSSDRGTVHVFNLKIDSGPLGMERSQSVSELNNATSPVVSHLSFMKGVLPKYFSSEWSVARVHLNEGLQYVVGFGHQRNTVVILGMDGSFYRCEFDPAGGGEMSVVECHNFLKPEAEAEEKEKESLL >KVI11146 pep supercontig:CcrdV1:scaffold_721:143533:146231:-1 gene:Ccrd_010448 transcript:KVI11146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLTSDNEPNRKSGRQNFDEKNTMVMSEYGMTWSSSHIIIADVGVFTAPLSGRQVFADTSGKGKSSAKPNKVKDHAKKDKYLFKRRDESEEVKVLKKEKGKLSFSPHLKDSMANAAGDYVLQKRDLGTHEQVGTAIVSDELASRDASMEDTPIVLEFGDPNLQSKVESPSFSAGEIAPGFGGDLPTVKISGDDEKKIVPQKTSSDLVDDKSSSDRKVFNQDDTPSSGPHKIVALQRTDNEPKKVKKVSKRPVGELGSGKSVLPEKKKKRKKEVLMADGTQVLGKDGVPLVDKVSAKRPFQSAPASKPPIEDPNGTDNKCLSSQEMNHEVELSQVLGDLHSLACDPFHAINQGWAVKARQIFLRFRSGVFQKSLNIAEDEGNDKHSSKSCAENGLGATPAKPQARPDDPTKGGRKRGPSDRQEEIAAKKKKKVGDIRNLTKEKKVIKKTDEPASRGDVKPAVVMTSRKSELKNTEQRAPEPTMLVMKFPSGGSLPSINELKARFARYGPMDHSGTRIFWNTFTCRVVYRHKAHAQAAYKFVLGSSNLFGNADIKCSLKEVGVVGSGDYEPPVKVPKEEGSMETMAGLQLKSCLKKSGGGEEAGGGSKGMPRVKFMLGGEESVKNMAENKNGSSSSFSSSHATMDFNSKNFQKAVHLPLPISSTTAVATSTAQFTRPPPSSNSMHYGGIGLQPPPPPPRSLNYAGEIKQTQPPPAPPGNFVHNLQRPIIVPPPPAPAPLPKMDITQQMLSLLTKCNDVVTNVRNMLGYVPYRPL >KVI11143 pep supercontig:CcrdV1:scaffold_721:14048:21676:1 gene:Ccrd_010445 transcript:KVI11143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLLRRISLLKDNGFTRLVALAAGGSGVAYMLDSKDSDRSIRFSIPVPLRYTLSSAWGTADIVPDYPFPSLGPSGCGNPPISFCSASSVSATDVNKEAPFKEASEPPKPCCGCLGRDTIANAAARVGPAVVNLSVPTGFRGMSVGNSIGSGTIIDDDGTILTCAHVVVDFQGFSSSSKGKVEVTLQDGRSFEGTVVNADLHADIAIVKIKSKTPLPTAKLGSSSSLRPGDWVVALGCPLSLQNTITAGILMLFSTDFLSVFSCVDRKSSDLGLGGMRREYLQTDCAINGGNSGGPLVNIDGEVIGVNIMKVMAADGLSFSVPIDSVSKILEHFKRNGRVVRPWLGLKMLDLNDMIVAQLKEKDVTFPDVSRGVLVPMVSPGSPAERAGFRPGDVVIEIMGDKVGKPMKVVVKRSKNDSFTLTVIPEEANPHM >KVI11145 pep supercontig:CcrdV1:scaffold_721:149512:152160:-1 gene:Ccrd_010449 transcript:KVI11145 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MMNREFELGGDSTNPRVESESFVDGSSSGNIVDSVPVPLIVPSDNSTVVQGFESVGGSVAEGSMDSVSAERNVSDVNNRTDGVSNKFGVLESHDKVFSDRNHGSVKHVKSEQQKGQHRKELKSKESVSPVYDSMISMFDDFAANGNVSTVVKPSMEVSPGHGFQVGDMVWGKVKSHPWWPGHVYSEEFATPSVRRSKREGLLLVAFFGDSSYGWFDPSELVPFDSDFAEKSRQTNSKTFVKAVEEAMDEVSRRSALGLSCMCRSKQNFRETGVQGYFAVDVADYEPGAVYSIDAIEKARKSFQPSLALDFIRQLALEPTDNDHAGIDFIKNRANVISYRRAVYEEFDETYAQAFGYQPVRSSPRSVQELPPGRTPTKGMKETTIAPDRCSHFPNLPVYILFPIGTPPSIFKLIGAPPSIF >KVG42316 pep supercontig:CcrdV1:scaffold_7211:14123:15175:-1 gene:Ccrd_026426 transcript:KVG42316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MAFWINNGATFVKVDRIYSKRDNSSALLFLGTVFDVFHFQIDGYNISLDDGGGKDPEGIWKCMSLGYSTKKTNSTIGQCNYREVFSFNIFREEIEKKDDDHDHLQVSKRQQRWTKQITIRGVVISIILRSIYTVIAMKLNLTTGMTPNLNVSAALLGFMYMKTWTKILQKYGISTVPFTKH >KVG42317 pep supercontig:CcrdV1:scaffold_7211:16486:16749:1 gene:Ccrd_026427 transcript:KVG42317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPAFAKRSVINHHEYLPGASIITSDAIGIEDDQVSTMEGRLIEFDYLVIATGDMGTGYVTKVEKLRQYEVGKFGCSAHSAFWHGA >KVH99648 pep supercontig:CcrdV1:scaffold_722:80203:83008:1 gene:Ccrd_022112 transcript:KVH99648 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF221 MQECEAALVFFRNRYDALVVSHTIQSADPMLWVTELAPEPKDVFWQNLCVPFNLLWIRKISVFIASIIFSVLFLLPTTFVQGLMKLEYLHLVLTVFLIIVPPTMMLFSTLEGAVSRSTRKRSACVKVLIFMFWNVYFSNILSGSWIERMGKLTPVGLLGNWLFKCVLRKEEEFCRPVTFSYHTEVSRVLLFGLLGFTFCILAPLILPFLLVYFFFAFLIMNVYFVKYQTDGSYWPLAHNATIFSLILTQVVAAVLFGMKKSSSASTSTIPLIILTALFNVFCKNRFLPVFKNRAAQVLIEMDRDDERSGKLEAILEKLTTSYNQFELCGVEPPGKEEQPKKGSNESLKDLAIVKTGSPSAAVVVKAGSPSAEVVVNGGSPTAEVVVKSDSPSAELPPPPPPPPPPELEPEPNIVSI >KVH99651 pep supercontig:CcrdV1:scaffold_722:77630:80122:1 gene:Ccrd_022111 transcript:KVH99651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPALLTSAGMNIGISVGMFSLYSILRKQPLNVKVYFGQRVSQGRTRNETLCFERFVPSASWILKAWEATEEDIFASGGMERVIDSNAMDLLTIGNVREGSSWLWAHCFTLFPARPSNFTVLVRGVPWCAVESYNESVGKFFGNYYASSYIAHQIVYRSGAVQTILLLQLSHAANQMLTGVGFVVKDRTHSKRLPVNQKLRQKIIELKMN >KVH99652 pep supercontig:CcrdV1:scaffold_722:141219:170322:1 gene:Ccrd_022116 transcript:KVH99652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFSFAPHRPWRSDSLLEKGTGNTIKVFSGSIRSIEVRAMSNNPQNSGAQALHALHCTSTKDPLVTLLPFWPLFPAQQGQPFMQLASGSQQFRPLGQGMPPGQSQLPQFSQPLQQMSAWPVRPIHTTPSLASPMPFMQPNMTSTSCSPQFQSSATTNNHVSGLGGPGVHLSSSFTVRAPFTVHPFFSCTCRLYMFSTSAFGHAQNNASPQFQPVSQMRTPVAPFGGQHWLPFGTQGAPTVTPVAQTGMQHVTVAFTNQAMSVPNVIQEASSDWQEYTSGDGRRYFYNKRTKNTSWEKPSELMTPLEMSWQLQENNDCSRVDASTVWKEFTTAEGKKYYYNKETKQSKWKIPDELELAREQAEKEASEGSQSGMVPQADAPATFTSSTLEQPFASTSSVEDVSLTISGVASSPVAVKPNTSVGNDPPNLGIDSSSIASEGMETPSPVSQAVNGAPYVLVNTSSSPKTNLENSYSHAVANALDGALVQDIKEAKKGISAAGKVNAAQWEVVDVEPVLYASKQEAKIAFKSLLESANLEADCSWEQAMRVIINDKRYGVLKTLGERKRAFNEFLMEKKKLDVEESRLRQKKAKDEFMKMLEECKELTSSMRWSKAIALVEDDKRYKAVERPADREDLFQNYLVDLKKKGREKAQEEQHQKRLEYRRFLESCGLINVNTQWRKVKDRLEDDERCSRLEKIDRLEIFQEYIRDLGKEEEEQRKLKKEQIKTVERKNRDEFRKMMEEHVVSGILTASTQWRDYFQKVKESVAYQAVASNTCGATAKDLFEDVTEELEKKYRDDKTRVKNAMKAKKVNVAPAWTFKDFKAAMQDAIISPPLSDINLQLVFEDLLERAKEKEKKEGKRRRRLTKDFTELLYGIKEINASSTWEECLQLFEESPAYRSVGDESFARVTFEEYVVSLLEKAENNKYKQEEEKAKKEKREKNKDESNSVDVDVSESYGHKDRERKHHGDDDGCGKNGGHKELEDGEVGEDGEIC >KVH99649 pep supercontig:CcrdV1:scaffold_722:86202:90904:-1 gene:Ccrd_022113 transcript:KVH99649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MADYLMLASLFASLVGFIFFYICFSIRIGRPLAAGGTNHKSASVTTKSTSINGECRSGDETDVIIVGAGVAGAALAYTLAKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLEDCVEDIDAQRVFGYALFKDGKNTRLSYPLEKFHADVAGRSFHNGRFIQKMREKASLLPNVRLEQGTVSSLLEEGGTIRGAIYKTKNGKEMKAYAPLTIVCDGCFSNLRRSLCNPQVDVPSCFVGLVLENCKLPYENHGHVVLADPSPILFYRISSTEIRSLVDVPGQKIPVELQDAFIAAIDKGNIRTMPNRSMPAAPQPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLHDSYTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDKARQEMREACFDYLSLGGVFSNGPVALLSGLNPRPLSLVIHFFAVAIYGVGRLLLPFPSPKRMWIGARLISGASGIIFPIIKAEGVRQMFFPATVPAYYRGPPPHPPQ >KVH99653 pep supercontig:CcrdV1:scaffold_722:175521:187070:-1 gene:Ccrd_022117 transcript:KVH99653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MEKICVAVRVRPPVKSEDDSTTNGSHWKVEENRISLHRSLAGTPLPPALSYTFGTLSFVLLLLYFIVNWDDLMYLCLYVDHVFDQDCSNSSVYDLLTKDIIHAVVDGFNGTAFAYGQTSSGKTFTMNGSENDPGIIHRAIKDIFAKTTMSTDREFLIRVSYMEIYNEEINDLFAVENQKLQIHESLEHGVFVAGLREEIVNSAEQVLKLLDMGEVNRHFGETNMNVRSSRSHTIFRMVIESKGKDASSNDDSTLDDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSDGARQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHIEETKGTLQFASRAKRITNCVQVNEILTDAALLKRQKIEIEELRKKLEGSHAGVLEQEILKLRNDMLKYELEREKLAMELEEERKSNRQQDQCSREQSNRTGSANTSFTLSDSDRVSAQVIIACSVHGAAAIASSWLHPLLTEIQPHQYHPPVIAGRLPSPLSDVAGSESLRQYLKEETSDTCSTQQGDAFSTPTFKPIPNAFVAKRSYHSRTPQFSPLPDEFGDVADEDMWFKMNNGFVADLDSIGTTPAREVSSIPAIDEIPDSSIDNYREVQNLRRQLQQAIDEKNGFEKQHKEQLALNNQLMEEISKLKNEARLVQEIPQELSESLANCKAVSEDIFSTFQSFVADEKSPTAKLLSSTSEIVTFLMSTFETHVSMAMDGFRSTSHKKSPNSIEEDDMGSLQHCNKYKECTLGQRIASWKAEVRGEIELMKERYESLEQELDTNNHILEACKERIHGLERERQLLSEERDDLLTDSSTRVALLTQQNEKILQDMRSEIRKRKDLEEEIKQFSIAFASRQRSLVLFHNDFKSKLDNLKAQSPLMLPKSCG >KVH99655 pep supercontig:CcrdV1:scaffold_722:126092:137008:-1 gene:Ccrd_022115 transcript:KVH99655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKKKLNLVNGVLFTGGSSKAGLYFDVVEEIVNQVLKKNDAGDLLQQVLKKKLFLGWLLLYVDAFLLMSKAMRNTKMKKTGEIERDREEIEKKNDDHDHLQVSKRLQPWTKHITIRGVIASIIIGSIYAVIAMKLNLTTGMTPNLNVSAALLGFVYIKTSFKDSS >KVH99646 pep supercontig:CcrdV1:scaffold_722:12618:17308:1 gene:Ccrd_022109 transcript:KVH99646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding, CenC-like protein MSNIIQNHDFSNGLHSWYTNCCDGVVVSPEVKTSKEQAPKPCSRYAAIANRKECWQGLEQDITSRVSPGLTYTLCARVGVSGPHLQGRADVIATLKLEYQGTFVLSDKPDRVVFYLEGPAPGVNLLIESVVVSCASSDATIGRCISAEHDNIILNPYFDDGLNNWSGRGCKIAIHDSMGNGKILPVSGKLFASATQRTQNWNGIQQDISGRVQRKLAYNVTTTVRIFGNNVTSADVRATLWVQTPDSREQYISIAKSVFYFNLIYRLSVNATDKEWVELQGKFLLNGSPSKTVIYLEGPPPGVDILVDSFVVKHAEKIPPTPPPDIEDADYGVNIVTNSNLRDGTNGWFPLGNCVLRVATGSPHVLPPAARDTLGPHEPLSGHSIHATNRTQTWMGPAQIITDKIKLHLTYQVSAWVRLTHGATGPQNVNVALGVDSQWVNGGQVEINDDRWHEISGSFRIEKQPGKVMVYIQGPAPGIGFMVAGFQIFAIRKRDVTLKFSSSDSSSMHGTMVKIKQIQNSFPIGSCISRTNLDNEDFVAFFLQNFNWAVFGNELKWYWTEPQRGNFNYRDADELLKFCDDNSILVRGHCIFWEVEDTVQNWIKSLSKNDLAMAVENRLNGLLSRYKGKMKHYDVNNEMLHGSFYQDRLGKDARANMFKSANRLDPSATLFVNDYHVEDGCDTRSSPEKYIEQILDLGEQGAPVGGIGIQGHIECPVGGIVCAALNKLGIVGLPIWFTELDVSSTNEHVRADDLEVMMREAFAHPAVEGIMLWGFWELFMSRENSHLVNAEGDVNEAGIRFLELKKEWLSHAHGHVNEKSEFAFRGFEGTYEVEIVTLCEKIVKTFVVEKGDVEVVVSIQF >KVH99650 pep supercontig:CcrdV1:scaffold_722:58233:69016:1 gene:Ccrd_022110 transcript:KVH99650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MEDYMGQMRENSSSNNTGTTSATFLYGSGGGSVLGPDATANTSLYGRGGSSIGNESDPGGYHHLQYHHHHHQHHPVVKIETGGTQKFHNYPSVMSFHNEDEALKAKIISHPHYSNLLQAYMDCQKVGAPPEIVGRLTAVRQEYEARQRANLLNSGCRDNYKDPELDQFMEAYYDMLVKYKEELTRPIQEAMEFMRRIESQLSTLTISTSSTNASPGRIFISPDDSKCEVTGSSDEEQENSGGETELPEIDPRAEDRELKNHLLRKYSALAEATGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAAAATALYMEGHYMGEGPYRLGP >KVH99654 pep supercontig:CcrdV1:scaffold_722:104074:111141:-1 gene:Ccrd_022114 transcript:KVH99654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MASSEDNNGREMGFQQRGEISSGSMFPNKSSGGNLFGSGWDPIENYSGLLQHYQSGSFGNGGFSEMVNPFMNPNPECSVQRVSESASSPDRSDKRRAPHSSTFNPIKNVNGQDEKKQKTHSRGKQIGKQAKDNSDSGKEDYIHVRAKRGQATNSHSLAERVPISLFFRLEKLRFFFLSFLNDHITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDIDHILSKDKKKKKKKKKKKKKMTH >KVH99647 pep supercontig:CcrdV1:scaffold_722:6347:7099:1 gene:Ccrd_022108 transcript:KVH99647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMSAGLFKIFKDHRKLHKSQGLKQTMESPSNINGDKNFANQVCVCARVYSSSISPASLLIDEFKFAYILSVLGICSFFEVI >KVG41868 pep supercontig:CcrdV1:scaffold_7224:18281:19519:1 gene:Ccrd_026428 transcript:KVG41868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSLNMGVEIEVPSHFLCPISMQLMRDPVTISTGITYDRVSIERWLFTCLSRICPMTKQPLYDTDLTPNHTLRRLIQSWCIMNSHHGFDRIPTPKKPVDKSQILKLLQDAKKHPQNQIKCLRRMRSIAQSSDRNKTCMEDSGAVEFLTTVVLNEDGSDACNEALIVLHHLKFTDLQMRKLVKDNELGFVDALLRVMRCGSIQSRSHAIMLIRSLLEVADPVHMAAIKPDLFQETVRVLKDGISPQTTKASLELVVDILRWGRNRIKAAESGMVSVLVELLIDTCDRRGCELMLVALEQICRCAEGRAKLVEHAAGLATVSKKILRVSHMASDKAVRIVCLVCRFSASCRVVQEMVEVGVVSKLCLMIQVDCSERTKERVKQILGLHSRVWKGASCIPAHLLSSYPSLQDIKDH >KVG41867 pep supercontig:CcrdV1:scaffold_7227:17549:19453:-1 gene:Ccrd_026429 transcript:KVG41867 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDITSFLLHNNLSVPLFVLSTIFFLLLVVRLAKPTPSKNLPPGPPRLPIIGNLHQVGDRPHVSTAKFANQYGPLISLRLGKQLLVVASSPDAAMEILKTQDRFLSSRVVPTAFQQTSLIPHSLIWSDCNHTWKNLRTLCRTEMFSAKALESQSGLRDEKLGRLLDFLHGKQGQVINVEDVVFTTLFNTLSSIIFARDFLDLKDERGSHDGLKESLHKIIEXGGIIKDLGSFFPIFERFDLQGIRKGTMKQYKKTFAYWEDIVEERRALINSSTWSSEQARSFLDRLLENGFSNNQINQLVTELFVAGTNTTHTSXVWALTEFVRHKEXMSKIVDEIKREINSNKITDSQLSNLPYLQASIKEAMRLHPPVPLLLPHMAAETCEVMNYTIPKNSKIFVNLWAMGRDPKVWDDPLSFKPERFMDSKLDLKGQDFELLPFGSGRRMCPGMPSGIKSVQLVLASLIHEFDLILPNDVDPMKLNMNDKFGIALKMEEPLKLIFKQKREPZYA >KVH88579 pep supercontig:CcrdV1:scaffold_723:43241:43717:1 gene:Ccrd_026433 transcript:KVH88579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVDREIGDMISALTRRLAHLQKKPMDGANHNLHHQEEMEEEDDHRAGVGIITMAGTNEGATMRGEMMSMDQDDNYKSAGVKQDQSSPFTTYLNNNVQSVNNSIMVGGSYSANDPGIHLDVDDNYQGEPTRYGNKNKKKNKNNKETTSGSSTSDHSS >KVH88580 pep supercontig:CcrdV1:scaffold_723:15912:19435:-1 gene:Ccrd_026432 transcript:KVH88580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVVVGTTLMAIHHRTLKPTPLPLSISQFNLQYPSLRFNLPRPASIMSATAATPNAALIHQHSTLECWSEFARNISGEWDGFGADFTLDGKPIELPENVVPDAYRDWEVKVFDWQTQCPTLAQPDNSNAIYKLIKLLPTVGCEADAATRFSIDERSIGGTDNMVSAFAYQSTGCYTAVWSTGSSRVFELEHCLIDPRDKESRVRIIQIIGVDENKRLVLKNIKVFVEQWYGPFRNGDQLGGCAIRDSAFASTQALQSSQVSGVWQCSSSKASFQDSSNSFLQQLIKVDGVEKLVRDEEHVVLLPKNLWSSVKETEDGENIWCEVGWVLEAGHGITSKCIFSRNAELKVYAFLRDRNFIGSFISRIRSIAPSMGV >KVH88584 pep supercontig:CcrdV1:scaffold_723:52156:61228:-1 gene:Ccrd_026436 transcript:KVH88584 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF89 MESSGEMVAFPLLITPIDTNYRACTIPYRFPSDNPKKPTPIEVSWINLFANSIPSFKKRAESDDTVADASAKAEKFAQRYSEILEDFKKDPESHGGPPDCILLCRIRELILREVGFRDIFKKVKDEENAKAITLFKDVVHLNDAIEEESKRVENLVRGIFAGNIFDLGSAQVVIFVDNSGADVVLGILPFARELLRCGTKVVLAANDLPSINDVTYHELLEIISKLKDENGLLMGVDTQNLLIANSGNDLPVIDLSSVSQELAYLASDADLVVMEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKFNEVSSC >KVH88576 pep supercontig:CcrdV1:scaffold_723:143314:145456:1 gene:Ccrd_026442 transcript:KVH88576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MVVEEGSPRSPEAKLGLEVEDLWDVQEPQLSPTEKLNACFESIPVSQFPQASSSQVIEIKSDASLGEAVRLLSQNKILSAPVVDVDAPEDSSWMDRYLGIVEFAGIVVWILHQSEKNSGIDAADVFARALQETTGPAVAAAASGMSSPRYRSSQPGSPKTAGNFFELLTSSDFYKNTKVKDISGSFRWAPFLALQPSNSFLTMLLLLSKYRMKSIPVVDLGERKIDNIITQSAVFHMLEEYEDEPVLQAFRMMQEKGVGGVPVVASGRNKMIAVKNYLKENEKALPVMSGMITCRKEDTLKDVIMKLDSMKGHRIYVVDEQGNLEGLITLRDIISRLVHEPRGYFGDFFDGVLPLPQNSRV >KVH88586 pep supercontig:CcrdV1:scaffold_723:62507:74970:-1 gene:Ccrd_026438 transcript:KVH88586 gene_biotype:protein_coding transcript_biotype:protein_coding description:ArgE/DapE/ACY1/CPG2/YscS, conserved site-containing protein MKMTMSCLRLSCFISVVVVALVLVVPSAVAKGSILSRFQQYLQIDTSHPTPNYHQAADFILSQARSLSLESQTLEFVNNKPLILLKWTGKNPHLPSILLNSHTDVVPVEPHKWSHPPFDAAIDPQDGNIYARGSQDMKCVGLQYLEAIRKLKDSNFEPLRTIYISFVPDEEIGGHDGAEVFAKSKIFDEMNVGIVLDEGLASPEDNYRLFYAERCPMWLVIKATGAPGHGAKLYDNTAMENLLKSIESVRRFRASQFDLVKAGLKAEGEVVSVNMVFLKAGTPSPTGFVMNLQPSEAQAGFDIRVPPIADQASLERRIAEEWAPASRNMTFEHGQFKQKVSVYDENGKPILTGHDSSNPWWSLLEAAIHKANGKIGKPEIFPASTDARYFRLRGIPAIGFSPMANTPILLHDHNEFLNKEEYLKGIDVYVSILKAFSSFVEAEKDAAAFRDEL >KVH88581 pep supercontig:CcrdV1:scaffold_723:46213:54624:1 gene:Ccrd_026435 transcript:KVH88581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter YINVDAKNIFYSDSDSDSDSDSFENNPSLSLHLNSVHRPSTSTAISCCSFAFTPPSRSNSHTRNSFIFPWSTEESKIRIRMGILPIKMLRAYVAKIFWMGFPLIFAVPPPFDKTKWKRKSAVTLALTFLTSSQAILIVWSKRAGRYEYSVTTANFLVEALKCALSLAALARIWRTEGVTDDNRLSTTVDEVSVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPFIGWLMAIIMALLSGFAGVYTEVKGVYCFLAIIKKRPSRNINVQNFWLYVFGMAFNVVAILIQDFDAVVNKGFFHGYSLITVLMIINHALSGIAVSMVMKYADNIVKFYLQVYSTSVAMLVTAVVSVFLFNFHLSLAFFLGSTVVSVSIYLHSIGKLQR >KVH88578 pep supercontig:CcrdV1:scaffold_723:7631:9350:-1 gene:Ccrd_026430 transcript:KVH88578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKKKRKREDLAKV >KVH88575 pep supercontig:CcrdV1:scaffold_723:130818:138826:1 gene:Ccrd_026441 transcript:KVH88575 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MENEVIEFDMGLGGGGDDAVAIIDDEEMTDDSPSAANGIMGSSSFFDPSTYVLQRDLDLEPCEGMEFESEEAAKGFYNSYARRVGFSTRVSSSRRSRKDGAIIQRSFVCAKEGFRNLNEKRTKDREIKRPRTVTRVGCKASMSVKIQDSGKWVVSTFVKEHNHELVPPDQIFMRFQEELVGTLTLMASKVEDDGEVTTYQVAKFGEDNKSYRVNFNVLEMRATCSCCMFEFSGLLCRHVLAVFRVTNVLTLPSCYILKRWTINAKSSVILEERVNDAFSSYLESHTVRYNTLRHEALKFVEEGAESVDTYNVAMSALEEAARRVAITAKNEGRVLMINGRTREDARSNGTLTKRNISNHHESLDQNLSEDEMDRKIQELNKEVECAKRKCEVYRSNLVSVLKDIEDHKQQLSLKVQIIRYSMKDCL >KVH88582 pep supercontig:CcrdV1:scaffold_723:44424:44855:-1 gene:Ccrd_026434 transcript:KVH88582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMFRKAASLPVSHSNIDDQSSMAAGMRRRLSSMSLRIQPSAISGTTTAATAWAMRRSKSVSSMGESASTSIRSWWDWGWGWILSRKPLFAQDLEFNQDETSFLSSHDKGSWKHVIFKLRSEIRKLVRSDQNGLPQSVRYNS >KVH88583 pep supercontig:CcrdV1:scaffold_723:61449:63362:1 gene:Ccrd_026437 transcript:KVH88583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKSILFRNLKSIPPTVAVALPSLLMHHHHSLQSNTLLNEFTSSCYRRDLPAAMRALTSMQNHRIWADSVTYSELIKCCLACGAIQEAKLVHKHIFSDGYQPKTFLINTLMNMYVKFNLLNEARELFDQMPDRNVVSWTTMIAAFSNARLDHEAMEFLTLMLRNGVHPNMFTYSSVLQACNGLPSLRQIHCGITKTGLDSDVFVRSALIDNYSKWGELPNALTVFNEMETSDLIVWNSVIGAFAQNTDGDEALNLFKRMKRCGFMADQATLTSVLRACTGLALLELGRQIHVHLLKYNRDLILSNALLDMYCKCGSLEDARFEFSRIVDKDVISWSTMIIGLAQNGFSHEALEMFQKMESSGTKPNYITVVGVLFACSHAGLVDKGRSYFESMEKNFGIKPGREHYGCMVDLLGRAGKLDEAIELIKRMEPEPDAVTWRTLLGACRIHRNMDLAAYAAKRVLDLDPDDAGTYILLSNIYANSHRWEDAAQVRKTMRGNNVRKEPGCSWIEVNKQIHAFILRDNSHPEIDKIVRQLNKYVERLKEVGYVPDSNFVLQDVEGEQMEDPLLYHSEKLAIVYGLMALTKGKNIRIRKNLRICGDCHLFAKLLAKMENRHVVIRDQIRYHHFEGGVCSCGDYW >KVH88577 pep supercontig:CcrdV1:scaffold_723:9616:18977:1 gene:Ccrd_026431 transcript:KVH88577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNTCRGSFGIKNTQGFNKPEDQSISAQNPSNNSLDYSPTTLISQQLIAQEFSKDPNPKPLPVPKKEVIMSRHGNSNQAYYVLGHKTDNIRDVYTLGQKLGQGQFGTTYLCTEILTGVDYACKSISKRKLISKEDLEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCNGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNRDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFESDPWPLISNSAKDLIKKMLCSRPSDRLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRKFGSTLKDTEIRDLMDAADVDNSGTIDYGEFVAATIHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACADHNMTDFLVEDIIREVDQDNDGRIDYGEFVAMMTKGNAGVGRRTMRNSLNMSMRDAPGAL >KVH88585 pep supercontig:CcrdV1:scaffold_723:88477:101607:1 gene:Ccrd_026439 transcript:KVH88585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class I, anticodon-binding MMKMATLAGIGIGTGTRLVVPQSKFILRHSSSQTCNTFTSISLVPKRTFSISASFSEDSNTLKDQQVRVRFAPSPTGNLHVGGARTALFNYLYARSKGGKFILRIEDTDLERSTKESEEAVLRDLSWLGLEWDEGPEVGGGYGPYRQSERNDLYKQYAEKLLQSGQVYRCFCSNEELEQMKEMAKEKQLPPVYSGKWAQATDEEVQEELAKGTPCTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALRFPMPTFAHVSLILAPDRSKLSKRHGATSVGQYKDMGYLPQAIVNYLALLGWSDGTNAEFFTLEQLVEKFSINRVNNSGAIFDSTKLRWMNGQHLKALPTEELIKTVGEQWKSAGILNESEGLFIEDAFKLLEGGIDVITDAEKLLSDLLSYPLHATLLSSEGRDVIEAGLPVVADSLLAAYDSGELVAALKEGIPGWQKWVKAFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGESIVLIHRAGTSGIVAPEIGFVTLEERLKSLRQVDWEAFIKVESATVA >KVH88574 pep supercontig:CcrdV1:scaffold_723:109908:129549:1 gene:Ccrd_026440 transcript:KVH88574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSSGSQTLGGQSRCGWLLGPSLDKIIKNAAWRKHSHIVTACKSALDKLETLADDPSSSTPLYGVSSLSDAESLILPLILAIDSSSSKVVEPALDCSYRLFSLRLIRCEIDPSEQPSSLIFRLIDSICKCGSLGEEVVELSILKTLLSAVRSSTVFIRADCLNQIVKTCYNIYLGGVNGTNQICAKSVLAQMMAIIFARVEGDSLLVSFKTVSVTELLEFNDRNLNEGSSIQFVQNMINEVVFTNVVEVSQNANPLAELQDAKSATANEKADSSDAESAEKADLSSYSKIRDDGVLVFKNLCKLSMKFSSQEQPDDQILLRGKMLSLELLKVIMENGGPLWRTNERQFLCLSLLKNSALSVMSVFQLLCSIFQSLLSKYRSVLKSEIGIFFPMLILRVLENVLQPSFIQKMTILNLLDKISEDSQIMIDVFVNYDCDVDSPNIFERTVNGLLKTALGPPPGSTTSLSPVHDLTFRLESVKCLVIIIKSMGVWMDQQLRIGEFGVRNTSDNDSVVECNTSLGGDEGSLPDFELHQEAISDHSTATLEQRRAYKLELQKGISLFNRKPSKGIEFLISNKKIDGTPEAVALFLKNTSGLNETVIGDYLGERDDFSLKVMHAYVDSFNFDGRDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMSKADFIRNNRGIDDGKDLPEEYLGALYDQIVKNEIKMKADTSVPQSKQTNSVNRLLGLDGILNLVWKQTEEKPLGANGALIRHIQDQFKAKAGKSESTYYAVADAAILRFMVEVCWGPMLAAFSVTLDQSDDKAATNHCLQGFRHAVHVTAVMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKVVTAFSRNATIAIAIEDGNYLQDAWEHILTTLSRFEHLQLLGEGAPSDASFLSGSNIETEDKSVRSSFTSLKKKGTLQNPIVMAVVRGSSYDSTSLGVNTSGLVTPEQINSFISNLNLLEQIGNFELNHIFAHSQRLNSEAIVAFVKALCKVSMSELQSPTDPRVFSLTKIVEVAPFVIVMQKSNSVEIRELIVRCLSQMILSRVDNVKSGWKSVFMALTAAAADERKNIVLLAFETMEKIVREYFPYITETETVTFTDCVRCLITFTNSRFNSDVSLNAIAFLRFCAVKLAEGGLICSRENADDDSSIQVKEATADGHTLMDKDENASYWIPLLSGLSSLTSDPRLAIRKSALEVLFNILKDHGHLFSHSFWITVINSVIFPVFKFVRDKKENNDQSSPASIFSHPEPSTWDSETSSVATRCLIDLFVNFFPVMRAQLTEVVSLLAGLFRNPSQGSASTGVSALMHLVGDLGCMLTEDEWSCIFLSLKETSASMLPGFLKLIRIMDRIDVPNVAQSYSYSYDDGETLSNNGTTENYEDDNLQTAGYIVSRMKTHISMQLLIMQVTTDLYNMHQDLLKASSVKIVLEIFSQTMSHAHQLSSEMGLHLKLQRACSILEISDPPVVHFENESYQNILNLLHHLLTSNPSLSDEMGIEARLFLICEEIIEIYLKCSRLEEGKPEKAVVHWILPLNSGVKEELGARTSLLVSALRVLSEVDKDCFRKYASRLFPLLVELVRCEHSSREVQPVLSNLFQTCVGPIIIKV >KVI03060 pep supercontig:CcrdV1:scaffold_7235:8828:9215:1 gene:Ccrd_018646 transcript:KVI03060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNIVKKIMGEHVIMTDVQNPNFIDQNYCSGSINCSGSVFMHENEQHVVPRRTQSIFYKNDGEI >KVG41493 pep supercontig:CcrdV1:scaffold_7236:1326:7335:-1 gene:Ccrd_026443 transcript:KVG41493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSSLRRSAPSIHRQIRSISVPPSSLIATSVNSTGPNSHDKNPSLLRWVSAVVAGAGIAASVYWYSSSVSSTYTVKSAMSFADRLMATADRIKKSYEGQSLSSSNFFIRDTLRRRIFFNYEKRLRMLSPPEKVFEYFASIKNARGETLMTPGDLMRAIVPVFPPSESGLVRDGSLRGIYFLLRYSAYQSPAFLWLLKCLTLTMMENGGLVHYFFGEDGHKGLRHDKFVQFLRDLHDEMIWLEFSHYDYKSRGTISAKDFVLSMVASGDIRHMNRLLDRVDELDNEPHLKEIRITFEEFKNFARLRKKLQPFSLALFSYGKVNGFLTRKDFQRAASQVCDVELSNNVIELIFHLFDANQDGNLCSDEFLRVMHRRERETAQVTQSGIMNTF >KVI08627 pep supercontig:CcrdV1:scaffold_7239:7663:9821:-1 gene:Ccrd_012999 transcript:KVI08627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF573 MDFTPPSNPIQQPSPISSIPSSAKLPIKRKTPASNLILAPPKLESTTHFADDDDARHPPFKFHRIWTEPDEIRFLQGLLDCSSQGLSFPRDLGMYYARFSHGMSQPYTKSQLSEKLRRLRKKFRVISSRLSKGLDKALLSPQDRALYDLSKQLWEPDLDRPSSPSALSDMNAEMERNPQVVRNEVRVKSGNEARVKSGGDTSSGVVHFATKTLADVLDQSLKEIRMMIDLQGHSKLEKDMSFEKRWRDQHIAEFDAFAKRLKLIVEHSSVAVKKHMAACFMGFDAAT >KVI08628 pep supercontig:CcrdV1:scaffold_7239:11709:16050:-1 gene:Ccrd_013000 transcript:KVI08628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MYSYSYFLLFSGPFTQRVLLTLEEKLLPYDLKLVDIGNKPEWFLSINPEGKVPVVKLDDKWIADSDVITQSVEEKFPNPSLVTPPDKASVYDYALSAPFVQFNGSKIFSTYIGFLKSKDPADGTEQALLNELSAFNDYIKENGPFINGKDISAADLSLGPKLYHLEIALGHYKKWSVPDSLPHLQAYMKSVFSLESFTKTMPLTEDVIEGWRPKVMG >KVI04472 pep supercontig:CcrdV1:scaffold_724:160817:162210:1 gene:Ccrd_017211 transcript:KVI04472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRIVKDEDLLNNTTFKKQFFKLVWNIHISPYEFESRWMIRIEAFSLQDHSWLKDMFVLRSQWIPAYLKELLMCCLMKTTSRLESVNLFFNSFSNIGNNLFQFMLGFEFALEKQLREQHFLDYHMRTTLPKWLNYNKLERHACEAYTHSVFFEVQTELHRVAWTCSINSVNADEEVGTYLIEHLNKRDEKIEEYKVVCNLKELTLFVVAIILVDMIIYADMFLKYY >KVI04473 pep supercontig:CcrdV1:scaffold_724:38120:39291:1 gene:Ccrd_017210 transcript:KVI04473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MLLERMMINDLLCATWDKDMKVPFIIVRGTITSIVSSLGWFYKGCKACYKQLTTIDGGYFCRNCKA >KVH89319 pep supercontig:CcrdV1:scaffold_7241:10258:11310:1 gene:Ccrd_008694 transcript:KVH89319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEDTRRLNPQAPPFYPSTFHHLPPYQPPLTDQTKLSPSPKSFLRYPLTPVPTGPRIRGGRCNSGRRAARAAAAVGRRRDDGNDRGRRQLLWRRRGVYREIMPLNPDENSTSVMIRNIPNNYTRELLVEFLENHCKHENENEKNTIRSSFDFLYLPVDFKYYYIYIIDNGSMCRHRLNAGYAFVNFTSSDAAWRFHKSIKGKHWDLFESKKIADVTRAKIQGKEALVKNFERMQLRSPSWDYLPVWFDPPRDGCSPSSSSSSMMKMHTIGSVE >KVG41204 pep supercontig:CcrdV1:scaffold_7244:3609:10079:1 gene:Ccrd_026444 transcript:KVG41204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma tubulin MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEEYATQGGAGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQAGDYRDLYNHENIFISDDGGGAGNNWASGYDQGKHFEEDLMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDHYSKKLLQTYSVFPNQNETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHIQNPTVSETNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVDRQTKNIMVSCNARSKQANLAKYISILNIIQGEVDPTKVALSRKSPYVRTAHRVSGLMLASYTGIRHLFSKCLSQYSLLRKRQAFLDKYKSFPMFADLSEFDESKDLLDSLVDEYKACESPDYIKWGMEDPDHNLTDQGFDIGTVDPTYQYV >KVG40999 pep supercontig:CcrdV1:scaffold_7249:5495:13960:1 gene:Ccrd_026445 transcript:KVG40999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MGLDEGRPKFFPVEALSAGRYLYNISSLVDSSPHHSRHWYRPGSVALEETFSCISKFTGTVLQWCASGSNSNISHKVSDCSHGSFPKRCEFSQTSYVFSTKLDLPRIFCNYRKNALQIPEFFNKFSRFAIRQLLGRAKELQFIPALSLAGNLVPPLDNIYRNFLAVSHENGNAVVERSLNHSPCEVEQRRCGDLHYENFNCPAHAVEPRTGIEFPTILDNIFEGSNTSLNMESEQPQSVFQVLVGTGSKTMKIVKIKSLKLYAFDVHPYDVCNKLASKYASLPENEVDKQHKFFSDLLREDISMTIRLVVSCNGIKISTVRDAFEKSLRARLIKMNPDTDYDCLRSFGSLFSEDIPIQALKAIRSVQFIVGICAGLSLTCTSEMVPSLSRQKRRLDKMSQI >KVH92765 pep supercontig:CcrdV1:scaffold_725:137764:143293:-1 gene:Ccrd_005202 transcript:KVH92765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MMSETALGDLDLVPKSERTNESSSKDDLAKPYVEHTDVKVGGNQRKTIISLDEPTMNGNEVELVGADMVAAEVEYIESEKLDDLEDMLLADLESKDWVLLCETLNNVRRFSIYHKEALQDILSAVCKTTIMTCADIFKAFGDDIINSLDPLLVQLLLKSSQDKRFVCEVAEKALITLTIWVSPILLLPKLQPYFKNRNPRIRAKASMCFSRSVPRLGAEGIKEYGIDKLIQIASSQLSDQLPESREAARTLLLELQTAYEKFPVLAPEQDVSSEQPQVLSWEQFCESNLPKLSAQAVLRVTSIPREGLISGKR >KVH92767 pep supercontig:CcrdV1:scaffold_725:166061:170250:1 gene:Ccrd_005204 transcript:KVH92767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type VASQFGRFLEYNVNGKFTKEVEKELEEQLTSLEIPKVPWETGICKVCGLNKDDEKVLLCDTEGCNAEYHTYCLSPPLSEIPEGEWYCPTCTPRKQLVDNTSQGPRHVLQFVNKKWEEADQFLDIATALDETEYWDLEADKKTFLLKFLCDQLLDTALIREDIDHSRAKLAKVMRKLKASRSIEEIPHKEGAEIQKRQDGSAPHVDHCDTDRENDGGYVSDDHLREQVSRLSLRKEFLGIDSDGRLYWGFPETSPNHGIVVHENSSETSPSDHPASHSQSESFGSWHAFQSDDEISNLVDHLRRNDPEKTELRNSILWWQQSMLQSGQPTNRNLVNSADSKRSVSPSLLPTKATALLESKYGLHSEPDAGVSLKKPRRKNIAKWHRCDCLEPVLPSRYHCVKCHETFFTNIEFEHHKRSICGQSSSSETSKLVVSKISETSHKESIDSLKSRPSLVSGFSHDGSGTVAALKPGVDGSEILVSESDPFSEVGGNFVIPEGSLKAIVGKAVYMLRQLKINLLDMEAALPDEAKRTSRAGHEWRSAWCTFVKSANTIYEMVQAIMVFETMIKTEYIKNTWWWYWSSASIAASTSTMSALALRIYTLDASIDYQKTTTSSVPKQSRKRKEASEKAKKSEDKNKKSRPVEEQKDGMVAS >KVH92761 pep supercontig:CcrdV1:scaffold_725:99539:108249:1 gene:Ccrd_005199 transcript:KVH92761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxia induced protein, domain-containing protein MGANPELESLFPEKKRSRNPFVPIGLVALMIMAIAGVLTAGLISFKKGNSELGQKLMRARVVAQGGTVALMVGTAAYYGENLQKDLVLMMGFLEERLLSEHSNIKISWMKSQIYKKDGVLGFQQPISSSLPSSSSSSSSLPSSSYSSFSPPFGVGFHKTSTPSSSSSGTKISPAVLFIIVILAVLFFISGLLHLLVRFLTKHHSSSNSSQSNRYPDGSTSDTLQRQLQQLFHLHDSGLDQTFIDALPVFMYKEVVGANEPFDCAVCLCEFTENDKLRLLPTCSHAFHINCIDTWLLSNSTCPLCRNTLFDPGFSMDNPIFDFDDPREPDENGLSGPKTIEPEKTPIGNGVFSVRLGKFRKLTESEGETGGETSSSNLDARRCYSMGSYEYVVGDANLRVPLSDLRDGQDAKFVNGIEDNNLDEDTEGKKIDISAKTDSYSVSKIWLWSKKRKYASSSENQMHNPSSVDMELPRFGRMQGT >KVH92762 pep supercontig:CcrdV1:scaffold_725:125995:135977:1 gene:Ccrd_005201 transcript:KVH92762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MMNRVLTVPASRLRQHLSRPASKTVVSPDQVNSETLWRYQILDPNGEVVTRWNHFFLVMCLVSLFIDPLYFYLPYVGGDTCMTTDNAAAIAINTWRSLADVFFVLHIIMKFRTAFIAPSSKVFGRGELVMDKRQIARRYLRSDFVIDVAASLPLPQAMRNLQLVIFESCTVCGSEFNLGTSSVLQIVNELIIPATKRNNKDHANNTLSLIVLIQYIPRLFVIFPLNQRIIKSTGFVAKTAWAGAAYNLLLYMLASHVSIFHMLYIVLGASWYLMSIGRQHSCWQQGCKEEMASKVCISFLDCNSVDQPERKKWLQSTSLRTLCDASNETSPFKFGMFADAFTSEVASSKFFENSYGQNLETSIYIGETLFCSTICIGGLILFAQLIGNMQTYLQSMTVRLEEWRIKKRDTEEWMRHRQLPPDLQDRVRRLVSSLSTQGTYIVREDDPVSEMLFIIRGQLESSTTDGGRSGFFNSITLRPGDFCGEELLTWALLPNPTNYPSSTRSVRTLTEVEGFALQAEDLKFVANQFKYLHSKKLQHAFRYYSHQWRTWGACFLQAAWRKYKRRKLAKELALQENAYYLQESDGDVGATILASRFAASTRKGIGHRKVASVDSTTSLKMPQLFKPDEPNF >KVH92754 pep supercontig:CcrdV1:scaffold_725:43387:45244:-1 gene:Ccrd_005194 transcript:KVH92754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAIKPLHLFLLASFLLLSTSISSHEVEVATVPTPEVKPPQPSLPSPPVAKPPTVAKPPTVAPPVVKPPTVAPPVVKPPTVAPPVAKPPTVAPPPTPPRNTKECYPPCLVRCKNHSRQNVCLRACVTCCDRCKCVPPGQSGNKEVCGTCYTNMKTHGGRPKCP >KVH92758 pep supercontig:CcrdV1:scaffold_725:1786:3255:1 gene:Ccrd_005190 transcript:KVH92758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGTGGKHRWRFSLLRSPSNLKTPPPPPPPPPEFICPISGDLMADPVIVSSGQTFERNCVLACISLSFKPSTLPTTVDFTTVIPNLALKSAIINWCRTNSFSPIPQPLDIRSALNIVQALMNDSPKFPPRLDRLSSCLSSTSDESVVATAVDSCSSTPLPLLTTRPFCYSSSSSSEIENPVEEDEEIICKLRSGLMADQEDAAVTLRKITRTKQDARIYLCTPRLMAALRHLIVSRYSAVQVNSTAALVNLSLENGNKVKIVRSGIVPPLIDVLRGGFPEAQDHAAGALFSLALDDQNKTAIGVLGALPPLLQALRAGSDRSRHDSALALYHLSLVQSNRAKLVKLGSVPLFLNMLKSGNMTGRVMLVLCNLAGSVEGRAAMLDGGAVECLLGMVSRAEFDSDSTRECCLGALYGLSQGGLRFKGLAKEAGAEEVLLREEETAPERCKEKAKKILEVMRQKYEEETVDWEALLNSDELTRSRFELVYGKG >KVH92763 pep supercontig:CcrdV1:scaffold_725:115686:121745:-1 gene:Ccrd_005200 transcript:KVH92763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVASSCSILVIDRGNQAIREIQLHEDDCSSYQYDSEHHLGIVVLGAAVFFGFMLALLQRRVSAMSMKSVRPPLIPPEDEHEKEEEGLFGSLGKALVKGGTFFGGLFFSSKKNPLPPHHQMNYQQPPQFPNSWPMQETFMIPHQDAPPSLETRKSYPFVTKNPEITERPRPSKQTRYLYSGQRQMQQLHENRQEYHQKHHSSDPRTYYEEKSETTNEVVFGAVQEQDGKHGAVVIKPFDYSNPTNYSNQNVRSRYNYTGYAYGTYS >KVH92759 pep supercontig:CcrdV1:scaffold_725:22517:23724:-1 gene:Ccrd_005192 transcript:KVH92759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 EKEDDGFKDTKRPICITFGCPLVGNQDLRKAISERPQWKSRFLNVVAQTDPVARFYSSNSLYKPFGTSLFCTESGGHMVFEDQESVPAALDAMASSITENTEIDYGNHLKSIRRKVLYRGVSKLGEFGLDSLRAGITLQFSEVGHVLDDNCNDLIGRMEEEQRLAKRKSNLRYDRTKSKKLNKAKTSMVCMELYMKNERSKGGYYDRFKTPVKSKSEIESYQEIVKNKRILDQYWKETVAENNLMPQNKGAKLRNCLLYGGNTYRKIVEPLDIAEHYKLNGEYYKNGEKHYLEIRSQHYQLLEKWLNEDKKGLNPSERSNKAASLNDDSCFWAHVEEASVLLSELKNGGSTDVRGQKLEQFETYVMTQGKLICF >KVH92753 pep supercontig:CcrdV1:scaffold_725:50277:61311:-1 gene:Ccrd_005195 transcript:KVH92753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H1, N-terminal MENGTDAFYVVRKGDIVGVYRSLNDCQSMLCGPDVAVFKGHQLSNTAEKYLASHGLSRAIYSVGVSNVQGELFGQLLPCPFQQPPSIKDTVGSKTPAEKRMKKDAGSTSLSEGTQRKFPETESFIEALPVSAHCCSCILEFDGAAKGNPGPAGAGAVLRAVDGTLVYRLREGLGIATNNVAEYRAVILGLRYALEKGYRHIRVQGDSKLVCMQVHVLLTRFSCFLVNGLWKTKTQNMTDLCKVAKELKDRFLSFQICHIERVVRFKTKLIEDSSNPFPKC >KVH92755 pep supercontig:CcrdV1:scaffold_725:68351:74390:-1 gene:Ccrd_005197 transcript:KVH92755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSVVVTIDKSSNISLMEVDDGSEKSLFLEKQKTSSTKQFSWRLLLKAQRFLMFFPWLLMGFSKTFVSVKKRIAVSDSGRDEVKYRERIMYRFIRAFLAISIVGLVVEIIVYFQNWDLKFIPKEAMGFLHLAFMGWLSFRADYLAPFITKLSEFCVLLFMIQSIDRFILGIGCFWIKLKKIKPEIDDQTYDIEDPSSFPMVLVQIPMCNEREVYQQSIGSACQLDWPKDRILIQVLDDSSDELLQMLIRNEVNSWKERGVNIIYRHRFLRTGYKAGNLHSAMCCDYVNNYEFVAILDADFQPNPDFLIQTVPHFKGKPDLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGHFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAIRAHLNGWKFIYLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAILSSKISKWKKANLIFLFFLLRKLILPFYSFTLFCVILPLTMFIPEAELPIWVICYVPVTMSILNILPSPKSFPFLMPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLAFAERESKSFNEEKIQRRLSESGLEMLGKLKEQETPVVEIEKKKNRIYRKELALAFLLLTAATRSLLSAHGIHFYFLLFQGLSFLAVGLDLIGEQVN >KVH92766 pep supercontig:CcrdV1:scaffold_725:172005:177104:-1 gene:Ccrd_005205 transcript:KVH92766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MESGRLFFGCNSSDMLFLGNGDNYFAGTRIGFNMEEASKKRPFLSSIDDMLEEEYYDEQSTEKKRRLTPEQVHMLEKSFEEENKLEPERKTELAKKLGLQPRQVAVWFQNRRARWKTKTLEKDYDRLRSSYDTLTTDFDSMVKENEKLKAESKEVAAMTAGNEDVPAPAVANVKVEDHFSTGSGGSAVVDDVDGPQLVDSSGDSYFHPHDQYAAAEEDDGSDDGNHFPNYPFQTADHEVEEDDSLGLWVWPY >KVH92757 pep supercontig:CcrdV1:scaffold_725:4362:20895:1 gene:Ccrd_005191 transcript:KVH92757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MECYQNVVCEALNEPKTLKTFCSEVEVGGFVGSIDVVPEAFRAVSETSSSSSSYGFHTTSSGIQILAFNCSIDYRNRFLSGGFDLVSSETFKVVDFIGTKVNPSFFISEVAVELFESLPLELKQLKDEPLIVTGLSLGGYIAVLFALWLQHAVDVKEASGSKDTKRPICITFGCPLVGDEALQRSVSERPQWKSGFLNVVAKTDPVASFISSKIQAERPYKPFGTFLFCTESGGHTAFEDQESVLAVLHAMASSRHGNMEVPDYENHLTAIRRKALYRGVSEFGQFNLNPLRAGITWQFKEVGMLDEIPNNLIERMEDKQTRAIKSKKHTYEPTKKLNDMKISLTFMEWYMKTWKPKRGYYDTYKNPETIKEKDSNNGIELHRLRLNQYWQKFVTEKDLLPQKEGAKLRKRWLYSGNNYRRIVEPLDIAEHYKSEGKYYKNGNKHYLADRPNHYKLLEDWSNEDKKGSDNPIGGKKKAASLTEDSCFWAHVEEALISLRELKNEGSGSHADVRNQELENFETKVMGEIKSYSLSPDVFLEGSSFMKWWNEYKQHKGGSYVSEFARYMNERSYKLYNPCTKPYLDQRQNPTQNHHPLDLSFNTIASQSSPVIPQESFSSHLAKAVFCSEVELGGFLGSLDLIEDAYHANFDTSSSTSYKLHHNFSGFQVLSFHSSSHHTTRFLNGGFDLVSSKDHPVIDFVSTKLNPLFSINEAAVRLFEGLFLELKELENQDTKRPICITFGCPLVGNQDLRKAISERPQWKSRFLNVVAQTDPVARFYSSNNLYKPFGTSLFCTESGGHMVFEDQELVPAVLDAMASSITGNTEIDYGNHLKSIRRKVLYRGVSELGEFKLDSLRAGITLQFSEVGHVLDDNLNDLVGRIAEEQKIAKRKEQLNFFYDRINSKKRNEEMRGILCMELYMKKGRSKGGSYDSFKNPGESKLEIELYQEIVKNKRILNQYWKEKVDENNRMPKKEGTKLHRRLLYRGNTYRRIVEPLDIAEHYKLNGEYYKNGEKHYLENRSQHYQLLEEWLNEHNYKKGLNQSERTNKASTINDDSCFWAYVEEASILLSKLKNGGSADVRSQELEYFENYVMSEIKTYSLSPDVFIKGSSLMKWWNEYKQHKGSLYASEFA >KVH92760 pep supercontig:CcrdV1:scaffold_725:90805:98386:1 gene:Ccrd_005198 transcript:KVH92760 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MEKPSRKRGRKSKNAADAEANPNLTTTATSADDVVASLNTAADTTKTDRRGSKRHRKTGKGKSDDVGIGASPDRRASRHSDHNGDCDIVTAVSDGAPRWETVGKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGISPLEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGECVGIAFQSLKHEDAENIGYVIPTPVIMHFIRDYEKNGEYTGFPILGVEWQKMENPDLRMSIGMGNEHKGVRIKRIEPTAPESNVLCPSDVILSFDGVNVANDGTVPFRHGERIGFSYLVSQKYTGDKALVKILRSSKIYEFNIKLATHKRLVPAHIGGKPPSYYIVAGFVFTAVSVPYLRSEYGKDYDFDAPVKLLDKHLHAMAQSVDEQLVVVSQVLAFNNKPVKNLKSLVDMVESCNEEFLKFDLEYEQIVVLRTKMAKDATRDILLTHCIPSAMSDDLK >KVH92756 pep supercontig:CcrdV1:scaffold_725:63812:66626:-1 gene:Ccrd_005196 transcript:KVH92756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAVLSCSTTHSSIRSALHSSVVTITSASCNCLNINFIQMNSHSILSKKTCRIYAVGGNSHPKVCAIDPHRTSSTTHLGNWEDPDGSDSETDEEEEETEENNLDFESDWEEERDTSDAPVEELLTPEERAILQQNETPNLDKISTEKWNPLHTLALAGQIKFMDSLLGNGFDIDAVDKDGQTALHKAVLGKKEAVISHLLRKGASPHVRDLVDVNVADNVNINSFSRQFFFINLVFPSVKYSSLQEGWTPLHVAMQSRSRDIAKVLLVNGADKTRRTE >KVH92764 pep supercontig:CcrdV1:scaffold_725:160028:162744:1 gene:Ccrd_005203 transcript:KVH92764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITEETAINKQPFLLGSLISSRLSSHAVGNLVQVHEFLCRFQENLGVKDQLSFEELEKELLCPWMDSLDSPEEVDFSSTGATYGLGESSILETIEMNCTRCISDKLTTIHQALLPELVSELLDKIASVVNITSEAEISKSKWGHKKDSANRNMVKRIKLDMVPINQLTWPEVVRRYILSYLLMGGRLNFLDTAIRNNTKLMRCLQGDGGICCCSLTGVAGVDVDAQLLGRAVDKVFNKLDGESYTKTMGLTGNKADNSDENGLATGGSVPEWAKVLDPVRKLPTNVGARIRNLIIDALEKNPPDWARKLLKASIAKDVYKGNASGPTKRAVIEVLKRLSDEAARPLPPVREEKRTPELVSKLILKKCRIILRRVAACDMNRVFTDLVGRNFSYDDDDVRFVFGSTSTRPLDLRTIDMRLLHGTYGGSHEAFLEDVRECQLEKSSQWRALYTL >KVI02916 pep supercontig:CcrdV1:scaffold_7250:5247:17554:1 gene:Ccrd_018793 transcript:KVI02916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQNEMNYPSSSYYDYHQSDIQNPIPNPPFDHFPTAANASAPPDPSIYHPTDYSNTYPSTYYPNDHQNRAVQNFDSSNPSYDPKSVPSWYYNHPYDQNQSQRAVEFGGAIVEESVYAYTGSHSGRQVESSKVPAVKFDDYGRPIGFADGGKNEQMGSKILKSDDFDENAYAYSGGNNGGKDRSSRVPALRFDDYGRPINSATNGGNEQRGLIASLDADKIVKGTPKVEVNEDVRSGVQKFRVMLLSEGGGAQGDMDVLCQIGLDGIQILDPATSRILKVYSLETVTRWEVLGSNIFAFWTKSSVDIEARRVRLKSNSYTTTNILDMVAAASFQLKEMDGVTISEQPAEKKKGFPDWKNLMKPGNEEKDHWVPDEASTKCTACSTYFGAFVRRHHCRNCGDIFCDKCTQGRIALTAEENAQQVRVCDQCMAEVTQRLSHVNEVAGRPSGFNRHEDLAKKLQEEMEKKRKTAAELKSNVADEQMKEVECPTCTVHLQVKVPGSGSKTIECSVCQHPFVFSPHYHILPPHCTTTTTISSLVYEYDGAATPSPDQATLIGDGDGDRPTATRDSLRLSLSLVFSRGLAVGYWATDSSSE >KVG40367 pep supercontig:CcrdV1:scaffold_7256:14755:17667:-1 gene:Ccrd_026447 transcript:KVG40367 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase MAKYVRPLLIGLILCVSHSRTFSIINGYAAPLEIYKHFEHHYDAGSGAVVCVGSEWHRFPSSFFIPDYVSEVRWIDRGLLPFPFNSTLGGTSAAPPYFNNKNKASPDQFVVAALPYLDRELSPPLHRSFFIPYVWEEKNIFGIYKLLKRHKGQQ >KVG40366 pep supercontig:CcrdV1:scaffold_7256:10160:13948:1 gene:Ccrd_026446 transcript:KVG40366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGSYLVHTTTKIAALAKSGRVACARKLFDEMSQRDTVVWNTMLTSYTHLGLYQEALLLFHQMTGNSSIKPDHYSFTATLGACAGSCKLRYGQNIHALILAGGYSYSLPVNNALIDMYGKCLSPCSASDVFEEIELRNNVSWCSLLFAYVHSNQFRVAQSVFDDMPNRVNIAWNTMIXGHARXGNIGTCVDLFKKMLAESCDQDQWTFSALMNASAESQEYQIGCMVHAFVFKKGWSSAVEANNSILSFYAQLGDTHRAFIAFQNAPKKNVISWTSMMTGYLRNGNAEKAVCYFVDMIRSSLQPDDFSLGTVLHAXSVMATLGHGKMIHNLAIHHGFHACAYVGNVLVNMYAKCGDIXGSNQAFNDIIEKDLVSWNTMLIAYGLHGWGDKALEIYKEMVASGLKPDNITFTSLLMTCSHLGLINRGRALFKSMSQIYGISPETEHVACMVDMLARGGYLEEAREMTNMYTRTHGATAKSSEALFGACYSHRDVDMGAKLGGVLKVLDPQHEMSYVVLSNLYCASEKWKEAELVRKAMADHGVKKMPGCSWIEVKNKVMAFVAGPSLQIFFNFVFELLPPITRASHFFLFKAALHLSISIGQEAPSSSDASFHVDIFLFARESEVSYFADAAVALMVVYNDNSVTTSKHTTIVGGGTEDDVNPTGVAENHIRXAETYVMVVGGTEVVNPIGVGGFIICRALSKGNHEPH >KVG40364 pep supercontig:CcrdV1:scaffold_7258:796:5224:-1 gene:Ccrd_026448 transcript:KVG40364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase, THEP1 type EHLSFLSHFFYLSSTKHEGINTGCYNFINIDTPCNIRGRLLNTYSVKVGEIDSLAMAAPAECFLVSGSPLKLNLVFTPQGVGKTTLIARVLETLRISNPNLKIQGFFTRNALSLYQFNHTIRGNLEYAGEIRQGGERVGFEVVTLDGRTAPLASIHSSSAESIRWPTVGRYKVDVGSFESLALPELQIKEDTDLFVIDEVGKMELFSSLFFPTVLRVLESNKPFLATIPIPKAGLDIPAVVRLKNHPGATVFTLTTSNRDAMKDRIYTELTDKLV >KVG40365 pep supercontig:CcrdV1:scaffold_7258:8852:11969:-1 gene:Ccrd_026449 transcript:KVG40365 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor MSSNLMTYLYVEFKNIDASYVGDTNGNLMKVPDSKSGVFKDKTLKYSEKNQLNSFFKLVQGHLEAVKSVGVGVGDGKIISDEDLENPFVAIILYAIVMVDYDQDGGESCKDILRTTDGIDRLALYHSSVGRSIFFSLPVLVSFGYNDLPTALLVLFQKLYPDDEFFPETGNTDSPDKLEDDDSESESITMGPAAVAGNVVYGLTVDGGCMADVRHEMRTA >KVI04611 pep supercontig:CcrdV1:scaffold_726:75901:107406:1 gene:Ccrd_017070 transcript:KVI04611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor, GTP-binding domain-containing protein MIEKYKLDTSTHSLGDIEVWSVVREEGKAVECLALVQELKAKLQKVEEERAVEREENALTVFVHTNTLHSGSLFSFQQPRQASRISTGVRKICNCNVDHLSWYHLPPVQMDNLEEDIRALQLDSSAEEGNLMPNENGGKPEESVVPDNVVVPDNVEEAEDSNMMPNTNGGKPEESVVTDDMEEGKEQVASASASASASEHIEDEVGGNMKKRHLNVVFIGHVGMLIVSKFIFKMFEFRTFTFVSVIWKITYLLLFMWSEDAGKSTIGGQILYLSDQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETENTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLFVVVNKMDEPTVNWAKERYDEIEAKMVPFLKSSGYNVKKDIQFLPISGLLGANLKTRVDKSLCPWWDGQCLFEALDAVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGNSLLLMPNKVQVKVLAIYCDEDKVTSAGPGENLRIRISGIEEEDILSGFVLSSVEKPISAVHEFVAQLHILELLDNAIFTAGYKAVLHIHAVVEECEILELMQQIDPKTRKPMKKKVLFVKNGAVVICRVQVSNMICIEKFSDYQQLGRFTLRTEGKTVAIGKANREQSLDSD >KVI04610 pep supercontig:CcrdV1:scaffold_726:121965:150749:-1 gene:Ccrd_017071 transcript:KVI04610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MKNLLKKLHIMPNQTSDMDSEGSTSSSSKGNQKLIDVSPSIESLPQSQSHEHHKPLSGISGWLNSVTNRQSPSPSPPSSSNVKIPDKNEEFDSMSSDALDAAMEAVRAGDSGSGMSRDPEVEEEYQIQLALELSAREDPEAVQIEAVKQISLGTCPPENTPAEVLAYRYWYSNGQGGAEQGIWNSDKEKVVKYSALSYDDKILDGFYDLYDISTQALSSKMPSLVDLQGTPLSDNITLEAVLVNKATDVKLLRLEQSALEIAVKSTSESLSPSGHNMVQRLAALVSNHMGGPVGDPDKMLLAWRNFSYKLKATLGSIVLPLGSLKVGMACHRALLFKVLADSVGIPCRLVKGKQYTGSSDVAMNFINIGDGREYIVDLMADPGTLIPSDTAGGFHVDREESSFSSSPWSRDDDSSRLTSRGGLTSSSDECSVFGMQEKTAWFSNLDSTGDRSDDGTIQHTRSSGLTQQTKPEQVPKKCLPLVPYKIQRENKVGEIPLRASHHSHARSPSWTEGVSSPAARKMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEVYDEQEDMPLSQDKEENSKWDRKRTTEGQGERVSDRSTGNDSTKSEVSLEDVADCEIAWEDITLGSYGEVYRGDWHGTAMNFMASPAYWRTKGEGIPFSGSIFHICPRCSLAATALPCCFTFDFTLLLAFDLSLLLCLHRTVFPSRILAGWIMIYIYLHSNSNFQEVAVKKFLDQEITVESLEEFKSEVGIMKRVRHPNVVLFMGAVTRAPHLSIVTEFLPRGSLYRLIHRPNNQLDIRRRLRIALDAARGMNYLHNCTPIIVHRDLKSPNLLVDKNWVVKAEWMAPEVLRNEPSDENFGVILWELCTLQQPWGGMNPMQVVGAVGFQHRRLEIPDDVDPAIADVIRRCWQTDPRLRPSFSDIMSTLKPLQKPITIRTQHLSSSSQPPPPLPPSQPPLQQPPLIKTSQELQPPLPVASAQGGKARSTSADSTDWIASSLTRRFGLGAGLAWAGFLAFGVVSEQIKTRLEVNQQEANTRDVEMEEEVVLPNGIRYNHPIQHVALLVGGGATPRKGDLVVIDIKGNVEDGVFVDTFGKDKKPLALVVGSRPYSKGMCEGIEMVLKSMKNGGKRRVIVPPALGFGDEGVDFGTGIKIPPSATLEYILEVEKVSIAPS >KVG40361 pep supercontig:CcrdV1:scaffold_7261:4577:9243:1 gene:Ccrd_026450 transcript:KVG40361 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein LFPPSHTTQERKAYTYNKNLYSLYKHDTLSSSIFQLLFKYLFSSSPNSQFWCQTTTYTIQIQKKMVVGMMKWRPWPPLSSKKFKAKIIIRHLQGLPATSDGGSQDLSGLAVEVKWKGSNKSNNPLRFKRSVRRNVTKEGFLKDDGIVEWNEEFDTVCNFLGLRDGGFHQWEVAFTVFDGSNQGPKKRYSAVATGSLNLVEFSSSAERNETDISIPLSATSSITECGPILCVSLSLLELRIPHESTEQAHGSVIPLPLSPHYGEISITEKDERSGLKAGLRKVRIFRAISVNQAKKACREEEGSDGKSSVRSYDADYPFDTDSLEADEVDSEEVKDADSTVRKSFSYGTLAYANRAGGLSYFNSSSSEDEDWIYYRNYKEKSDYSSPSIIDPSETQSTKRSIFPWRKRKLSFRSPKTRGEPLLKKDSGEEGGDDIDFDRRMLSSSDESTYGPKSEADMNANRSSISEFGEDNFAVGTWEKKEVISRDGQMKLQTQVFFASIDQRSERAAGESACTALVAIIADWFQNNWNEMPIKSQLDSLIREGSLEWRNLCENEVYRERFPDKHFDLETVLQAKIRNLAVVPEKSFIGFFQPEGLEEGDLHFLDGAMSFDSIWDEISKISCSHSDNMNPLVYVVSWNDHFFVLKVERDAYYIIDTLGERLYEGCNQAYVLKFDKDTTIERLPTEDTQKLNEKTEGEKVNASESKETELAVVDSEKEKAEAMVCSGKESCKEYIKRFLAAIPIRELQADLKKGLMAASTPLVHHRLQIEFHQTEYFQPPSNETMAITV >KVG40359 pep supercontig:CcrdV1:scaffold_7261:11918:18043:-1 gene:Ccrd_026452 transcript:KVG40359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGDSDSYTTQDTGKPAADITYVLGLSFAILVLLITLSYASYLCSRHRRSQSPPPDDDGHQLMAVSRGVDDAVLMTFPAFVYSEVMTPQKGADGNGSGCSICLADYKAADVIRLLPECGHLFHRKCIDTWLKAHPTCPVCRNSPLLSRLAGMDSVQPPYTTTTTTGKSQGISDYLFVVGFFVLILLLLTLTFTSYICKRSRSPPPTISFGATIHNNVDHRLITISPGLDDDVLLTFPTFLYSQATMAHKGVTPADANASGCSVCLADYKLEDVVRLLPECGHLFHVNCIDTWLKVHPTCPVCRNSPVAKKVPPLLQQLELTGQ >KVG40360 pep supercontig:CcrdV1:scaffold_7261:9493:10575:-1 gene:Ccrd_026451 transcript:KVG40360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVKGKFLKKLKTIKTIGYLKPDRILHANAADGFIDNFFVKSGNKLETHLVSEEEKETQVEKQSSVSVHEHEIIDVSELMKDLEDDDESDSDFGVGDKENVRPMSDPVADQKLVHGNPKSFASTPLSEIDISSFQPPDLDSGTLFDPKLLAAFEQAVLEVKAQETERRNRVLQNIGADLDPEPEPEPPLKSLKLEEINNPLSDFEKICPPGGSNSVILYTTGLRSIRKTFEDCSSIRFLLESFRVLYHERDLSMHLDFRDELWRILGGKVVPPRLFIKGRYIGGAEEVLRLHEQGKFRPLLAGIPLNMSEGVCEGCGGVRFVVCRSCSGSRKVDSGDEGLPEKCSECNENGLLVCPICC >KVH98736 pep supercontig:CcrdV1:scaffold_7262:6331:16324:-1 gene:Ccrd_023038 transcript:KVH98736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VERIYFNEHLKQLSGVPILFIPDNGGSYKQGNREGKTQGAAKERSSQGQLSGGTTLMARCVLLLLAFCRDSRKSRTVEKMSDGSAIAPVP >KVH98735 pep supercontig:CcrdV1:scaffold_7262:988:6635:1 gene:Ccrd_023037 transcript:KVH98735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavoprotein MAYAEPIHGIREPMQVSTAPRKPRILLAASGSVAAIKFGNLCSCFSDWADVKAVATQAALHFIDRTSLPKDVILYTDEHEWSSWSKIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTSIIRAWDYEKPIFVAPAMNTFMWTNPFTERHLMSIDELGITLIPPVSKRLACGDYGTGAMAEPSLIFSTVRLFLESRQNASSSRTH >KVI11164 pep supercontig:CcrdV1:scaffold_7269:7798:12872:-1 gene:Ccrd_010427 transcript:KVI11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPKDERQNTSVKVGATASLRQLGVDASERIMQAESLPMEMFCCLWYFLLSYSLSHSIEISVEIRNQVEKESTTTSTEAMKREVTDLVLPLKDLEKSDDKDDNHNDIVSLIILF >KVI11165 pep supercontig:CcrdV1:scaffold_7269:15383:19687:1 gene:Ccrd_010428 transcript:KVI11165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MASAFLSRTFFANRVVSSFALNQKPQKLASLLFKKPLHLWASSSRMAYMSTSSIRSDMASTAVGSTATFLTQSMSTTEPVVSVDWLHANLREPDIKVAHIPGALFFDVDGISDRTTNLPHMLPSDEAFAAAVSALGIENKDGVVVYDDGGLPRWRASGFDVESSASSDAILKASAASEAIEKVYHGQAVGPVTFLTKYQPHLVWTLEQ >KVI04731 pep supercontig:CcrdV1:scaffold_727:153623:154918:-1 gene:Ccrd_016952 transcript:KVI04731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromosome transmission fidelity protein 8 MGLVLVQENYTFTVGYHELSGSKIPLKKPLLVLKKTIKSDMEIDQNDDDLSSSRVELEVIGIIRQRILFKTRPKALISKPQPTVKEKVSAPIVPLSKSRLDVT >KVI04726 pep supercontig:CcrdV1:scaffold_727:36739:42029:1 gene:Ccrd_016948 transcript:KVI04726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MSFGGFYGGGGGGGDSRMVVADGSFNNMPLHRAPISQPHLITSTIPQPIYNSQSLSLALKPKMEGLGEIGLIGENFEGNLGRVRGDGSESRSGGSDNLEGASGDEQDVPTGPSSSSRRRQKYHRHTPYQIQELEASFKDNPHPDEKERLALGKKLNLENKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAIRAPVCNNCGGQAILGDISIDEHHLRIENARLRDELSRISILANKFLGRPLSSFSGSMPHGMANSNLELAVGRNGYGGLNPMDVGLPMGLDYNNGISNALPLMSPSRMGMAAGGGGGGGGGIDIPYEKNVFLEIALGAMDELMKLGQANSPLWIGNMESGGEVLDLDEYVRTFPLCLGMKPHGYVSEASRASGMVMINSLALVEALLDANRWKDMFLGIIGSSSTIEVISGGTGDSRNGVVQLMQAEIQLVSPLVPARQVRFLRFCRQQAEGVWAVVDLSVDAGREGFISRRLPSGCIVHDMPNGYSKVMWIEHTEYDESVVHHQYRPLLRSGLGFGAQKWISTLQRHCECVATIMSSDAAIDDSPVLSTGGRRSLTSLAQRMTANFCAGVCATGGHKWEVIGNAAEAAKIMIRKSLNNPGDPSGAVLSATMSVWMPMPHQSLFALMLNEELRSQWDVLSHGSAMQNMIRFSKGQDLGNPNTISLLRANTTGANANQNTVLVLQESTTDVTGSLIVYAAVDVQSITVVMNGGDSSCVALLPSGFAIVPDCVAESGAPVTKAGSEGGSLLTVGFQILVNDLPSSKLTMESINTVINLISRTIQGIKEVVHSNQQGRHAADQ >KVI04728 pep supercontig:CcrdV1:scaffold_727:149833:151225:-1 gene:Ccrd_016951 transcript:KVI04728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MAPPPVERSGGESTAADTTARSLPTPFLTKTYQLVDDKTIDDVISWNEDGSSFIVWNPTEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRRGEKHLLCDIQRRKIASATPAPAPAPTVAATPVVTVAALSPAQRRTVSPSNSGEEQVMSSNSSRGATTYLSRETTACGGIDAELIGENERLRRENVELNRELSQMKNLCNSIYVMMSNYASNNPSEGNSSEHSQQQTAAEAETTTVKPLDLLPLKRLSEGTHQGSTEAEEDISPRLFGVPIGVKRAREGSDCEAAEQYHELQLQQPGSEVKSEPLDANVDNKESTWMMKDREQALS >KVI04730 pep supercontig:CcrdV1:scaffold_727:154757:191992:1 gene:Ccrd_016953 transcript:KVI04730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINLGRRAAKKTDLEILQAILESGLNFHNTLQFDDCPFRAILLTAAALQFDFHIHGMVAVSRYSNVTPIVLDWTNHHFGLIQDVKKQIADVVIDNQYNTVVSGSIQLSSISPSASDDPPTWLLVHISISTITMIKSKNQTQQTINFKSNSEMRGELSSKPKLQDDDRDQPRGGXFGLEDPYAPYHPSRINYMDEMEDDSCEMV >KVI04727 pep supercontig:CcrdV1:scaffold_727:88693:98512:1 gene:Ccrd_016949 transcript:KVI04727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGNSFGCSASGERLVSAARDGDFVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNDIVALLLDNGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCIRLVVADFVPSVPFEAIDAQVHGDGGNGPKNKYDQSALVKFVNKAADGGITALHMAALNGYADCVQLLLDLHANMSAVTFHYGTSMDLIGAGSTPLHYASCGGNLKCCQILLARGASRLTLNCNGWLPLDVARMWGRHWLEPLLAPNSDLAIPMFPPSNYLSLPLMSVLNIARECGLQSSASLSDDTDICAVCLERACTVAAEGCRHELCVRCALYLCSTSNNPCELLGPPGSIPCPLCRHGIISFSRLPGSPAKEIKLHLSLGFCTPCMLHPREPAESTPTEISKNRVASVSPELFCPVTCSPFPSVAIPLCTCNDGTCPSSETRDDEGEDGSAPHRNSSGSGSGSGEDGKLGSLRVEKTSCSSMFWGRRSCSREQQCNSEINA >KVI04729 pep supercontig:CcrdV1:scaffold_727:122069:123504:-1 gene:Ccrd_016950 transcript:KVI04729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MPKMMDRIVTDIPGTTDASFGREIVSYETPKPVIGIHRYVFLLLKQKSRKSVTPPASRDHFNTRTFCQEHGLGLPVAAVYFNAQRENAARRR >KVG39866 pep supercontig:CcrdV1:scaffold_7272:17735:19639:-1 gene:Ccrd_026453 transcript:KVG39866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase MEKLKMETPTYIILQNMGTADAVRQYLWLFEEHNVLEFLILAGNHLYRMDYERFIQAHRETDAGIIVAALPMDEKRATAFGVMKIDEEGRTIEFAEKPKGEKLKAMKVDTTILGLDEQRAKEMPYIASMGIYEDKFPGANDFGSEVIPGATSIGLRVCFISFLFKLASILDLTSLLV >KVI01097 pep supercontig:CcrdV1:scaffold_728:143733:152502:1 gene:Ccrd_020626 transcript:KVI01097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEIVSSSIASCPKEHRKIYQQWFDYIDSDGDGRITGIEATEFLAMSKLAKSELKQVWTIADSKRQGFLGFKEFITAMQVRLLFMNTHYLHLQLYKNLMVFYFMAIVDVERLELPAMEGLGSFLAKRNASRNDGSLESNGMPALNSLFSSKSSKKVSIFTHAIEHVVEDYFLFFSFQTALSEVTSIVDGLKKLYNDKLKPLEVTYQYNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHMLKSNYPDERSIPGNTIAVRADMPFTGLATFGGAFLSKFECSQMNHPLLEHISFVDSPGVLSGEKQRTQRSYDFTGVIKWFAEKCDLILLLFDPHKLDISDEFKRVISTLHGQDDKIRVVLNKADQLMRVYGALMWSLGKVLNTPEVARVYIGSFGDKPVNLEALDSMGKELFEKEQDDLLLDLIDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMLGKTKAQQKLVDNLQDEFAKIQRDHHLPPGDFPDVEHFREVLGTYNIDKFEKVKSKMIQVVDDMLAYDIPELLKNFRNPYD >KVI01102 pep supercontig:CcrdV1:scaffold_728:106365:110144:1 gene:Ccrd_020629 transcript:KVI01102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MAIQQKSSNQPWILEASTFVALLLIAVHVFGIYKLATAKQPQGKTEQLKKISSRSDAAAAISAAHQTSIGLSVSSSSEEAESGKSDRFPTVSSLAHAMVQERLDQIIRERQERRYEERRRRVREESSNRLFVMVAMEKLSYNLREDFRESMMEMITANRISEPKDLRRLLNCYVSMNSEEFHGVILEVFHEVRR >KVI01098 pep supercontig:CcrdV1:scaffold_728:134232:139577:1 gene:Ccrd_020627 transcript:KVI01098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3537 MEEERETLVIINNQPNPKSKSNYXIIDALESKSFSWIFIDQSNPWKTAISGLIFFIFTIAVPILAHIVYQCSTCDHSHRRPFDLIVQLSLSICSTASFVSLSSFARKYGLRRFLFLDKLSDVSVKVRDGYSEHLHVYKVELLFLEKSLPMNLGSTEDSAYKIWWFSSGGNQIPYIYNIYLSHTLACILLLSSWLYRTSVFFLVCVLFKLTCSLQILRLEDFAKVFEKQADVGSILLEHLNLCSVTLVSGLFICLRSAAKITHKAQSVTSLAAKWHTCATVDSFDNVGLSEETPTANTSNSVNYHFNAHVDSDMDEGEEDDLDNTKMFPIYRDTISYQKRQALVTYFENNRAGITLFGFMLDRTSLHTIFAIEMSLTLWLLNKTIGFS >KVI01095 pep supercontig:CcrdV1:scaffold_728:183731:188140:-1 gene:Ccrd_020622 transcript:KVI01095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWVQVTPSNTAAAAAAASSNNHQHHHQPTVSSNGNSSSNGGNENGAHLLLYKWTPISQSQNNTADNNGNGDAKDDDTAAEVDEEPPRRKIKYIPIALLEEQKKESSEEHIEEPIETEPNALADPNSKGDCINEKPDINDVPMEENQH >KVI01110 pep supercontig:CcrdV1:scaffold_728:66835:72673:-1 gene:Ccrd_020633 transcript:KVI01110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MAKTRTGKRDLESFTIRGTNKVVRAGDSVLMRPLENEKNPYVARVERVESDVKGNVKVQVRWYYRPEEAIGGRQKFHGSKELFLSDHYDTQSANTIEGKCIVHTFKNYTKLRDVGAEDYFCRFEYKAANGGFNPDSVAVYHPECLNMTIDQAKEIGIFTCSDCSSVDSKRSDSGSSSSALVNDKEEHKRKRDDFT >KVI01108 pep supercontig:CcrdV1:scaffold_728:84289:84552:-1 gene:Ccrd_020631 transcript:KVI01108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRTRKIGGKLELKQKVVAAGVKKKEKQAYLVEERAGKMIVVLMWVIIRLVIEHLPLIGGLMLVTAVLGMRHDVTVNGHLGGVQTR >KVI01107 pep supercontig:CcrdV1:scaffold_728:42458:44970:1 gene:Ccrd_020636 transcript:KVI01107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX MMESSITILPLPHFSFLKHKPHGHGTTAIGAFPLFKQWKHTSSHCHRTTLLNCQKMYVPGFGEASPEAKAAKNLHNFFTYVAVRIVTAQLEELMEFLETHSLNDGDKFCAELMRESSRHRSLAMRILEVRSAYCKRDFEWDNLERLAKKMADESNTRLMRDYVLETSHVESEK >KVI01105 pep supercontig:CcrdV1:scaffold_728:56053:65505:1 gene:Ccrd_020634 transcript:KVI01105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAKITFHHFLLLELLLAIFLFSTCVQAITDPTDVQALQVLYTSLNNAAQLTNWKNNVGDPCGESWRGITCQGTAVVSIALLYGNSVCNCHDHLPHKGRALEQPRGSQLPGLGLDGTLGYLLAGFVSLKTLDFSGNNIHDTIPYQLPPNLTSVNFANNNLSGGLPYSIAMMFNLNYVNLSRNALAQNIVDVFTNLTSLATLDLSHNNFTGDLPNSISSLSNITTLNIANNNFTGWIPRELLSLPTFIYDGNSFENGPAPPPPPYTSPPPARSNNNRSRSPPSRIPTDYDGQSSNTSNRKKLSVGAIIGISVGSAFLVLLGLLVLVFCFRKGKKKKNARKTSIGSRPISVNTEIQEQRVKPTASMLDLRSSPAEDSMFELQKDGSTKRVRSPITATSYTVAALQTATNSFSQENIIGEGSLGRVYKAEFPNGKMMAIKKIDNAALSLPDQDKFVEAVSNMSRLRHPNIVLLAGYCAEHGQRLLVYEYVANGSLQDLLHLADDRSKSLTWNALAMPNRYLHEVCLPSVVHRNFKSANILLDEDLNPHLSDCGLAALTPNTEREPEPEFRPPMSEVVQALVRLMQRASIANRRTSEDSGFIYRTPDHEAYETTC >KVI01111 pep supercontig:CcrdV1:scaffold_728:79868:84042:-1 gene:Ccrd_020632 transcript:KVI01111 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP domain-containing protein MASRDKNPAKPSTSRPRGIRTLSDLNRPSAHDSDSDSDAPQEYYTGGEKSGMLVQDPNKVNDVDEIFKQARQLGGVEGPVENLHPSSSSRSFTGTGRLLSGEAVPAAPQQPQNLVHNIVFWRNGFTSIRKSECPKELEPANRRSSVHVNLIRRDENFLEPVASQVAFQGVGRTLGRSTDEPAPVPNTTNAPVPSRGLILDTTLPSTSIQLRLADGTRMIAHFNHHHTVADIRAFINASRPDGSSDYQLQTVGFPPKQLIDLSQTIEQAGLANSVVIQKI >KVI01106 pep supercontig:CcrdV1:scaffold_728:12965:38910:-1 gene:Ccrd_020637 transcript:KVI01106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNNISKRKRHILELGFWVLFFNVKIESKCSKGCDLALASYYVAQGSNLTYISKIFAQSIPEILRHNPQISTGDSIETGARIDIPFSCLCMNGDFLGHTFKYQTQVGDTYAKVARDVFANLTNEYWVQRVNLFEPTQIPDFAYINVTVNCTCGNKHVSKNYGLFATYPLRPEEDLQSLAKESGVPPLLLERFNPSFDFSAGSGLVFVPTKVESSCKGECVALASYTVRSPSNLTYVSQLFSRTIPEIRSYNPNLTNPDVIHDGTRVNVPFSCSCNDRGFLSHRFLYNASSGDAYSRIAGIYYSNLTTVNMLRDFNTYDALRIPLGSQVNVMVNCSCGNNRVSKDYGLFITYPIQSGESLSTIANGTGVNEILLRDYNPGSNFSNGELVFIPGREGLSGGATAGIAVGAVAVALLLGICFYFGFYRRKRIAKGSLLDENGTEHLHGSGSNLGRTTESGPLISGAPQRVVGITVDKSVEFTLEELAKATDDFSLANKIGQGGFGAVYYAELRGEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEESLFLVYEFIDNGNLSQHLRGSSGRQPVPWDTRVQIALDSARGLEYIHEHTVPMYIHRDIKSANILIDQNFRAKIFKTKYYDSIGCRFWADKTHRSRERFFTNPFSWHIWIHASRYGEVSPKVDVYAFGVVLFELISAKDAIVKASDSVTESKGLVGLFEEVLSASDPGEGLRKVVDPRLGADYPLDSVRKVAQLARACTHENPQLRPSMRSIVVALMTLSSSTEDWDLVKMEVLIQKENQVSRYTTTEMNVKQKVSASALRHGRKRKVQELMNSQKGHDQGASNEKLTSKKSKGDSNKKETSNGKQSARTSYWEENQEEEELMDCKQSKQNDVNSSPHDERISRRRRTVSDKNKLVENGFYYGEWEDEEEENEYINGDDEEESEYANEDDKEENEYASEDEVEEEDNNAGVGDEIEDENVFSASVERHKLNNVERNGSLKDRKVETDIEFIEEKKQMSFVKSNPSRSSSSNDTKMKRRPRGSGDCNSASSGGSTSTANNMKDKKNGKERLKCHQCKRNDRKIVVPCTECKETLYCVQCIKQWYPQFSEEDIAELCPFCRGNCNCNLCLHSNFKMSNIDLTDAEKLQHLHYLINSLLPFLTQIREEQLEEITVEALIQGVSESSITIGQTSCHNDERVYCNHCSTSIIDLHRSCPKCSYELCLSCCREIRKNDLLSQRKVDFGYFDRGFDYIHGGDLVQDSFHENNPTSRCDPVINWVAEDDGILFCAPKEMGGCGDCVLELKRILQKDWISTLEAKAEGILNKLRIDQPSILPNSFTTGGKTYLKAANREESDDNYLYWPASEDVLTGEELIRFRSHWSKGEPVIVRKVLEQTTGLSWEPMVMWRALCEHLDPNVSSKMSQVKAIDCLADCEVEISTRKFFKGYIEGRQYVNSWPEMLKLKDWPPSDKFEDLLPRHCDEFVSALPFPVYTDPRAGFLNLAVKLPPSVLKPDLGPKTYIAYGIAEELGRGDSVTKLHCDMSDAVNVLTHTAEVSRSDNQKLAIRELKRRHRAQDESERSGIFSRCADELCVKKDECTSSNEGEESDHVVSTTKEAFKRNQPSGVSVDKHTACSLRDFSPQEYAEETGSALWDIFRRADVPKLQEYLRKHSKEFRHTYCCPVDQVYHPIHDQTFYLTLEHKRRLKEEYGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIKECIRLTEEFRKLPINHRAREDKLEIKKMILHAMHQAVTDYEELNDCPDNVGILQNFPFSGLYAVCGVITLKRRGVRFGRE >KVI01099 pep supercontig:CcrdV1:scaffold_728:164615:170145:-1 gene:Ccrd_020624 transcript:KVI01099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MNPSKTSSFHLTSPTLVGLSHLLHLNLLPVTNSPVDESSLLKAVRNVHSMIDKELAAGTDPKNVFLCGFSQGVFSGWVPFNSTGSLTDQITPDAKRTPILWSHGTADVTVLFEAGQVGPAFLQRAGVICEFKAYPGLGHSINNQELQYLESWIKSHLQSSS >KVI01096 pep supercontig:CcrdV1:scaffold_728:176278:182984:1 gene:Ccrd_020623 transcript:KVI01096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0041 MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKLSYPQQIAVTATGIIWSRYSTVITPKNWNLFSVNVAMAGTGLYQLSRKIRHDYFNEEETASIKE >KVI01103 pep supercontig:CcrdV1:scaffold_728:8133:13487:1 gene:Ccrd_020638 transcript:KVI01103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEFISSFSSMAITKAYGTLLLVGYILLCSLEKQSPRQL >KVI01104 pep supercontig:CcrdV1:scaffold_728:42487:51086:-1 gene:Ccrd_020635 transcript:KVI01104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malonyl-CoA decarboxylase MNKKGLAILLRSKMRPLSPVSNKVMQLEQAPLEGNKVATQNVSSKTQRDLGEVRESMNSVISMNKKEILDTALSEFSEGYFSLSQENRHKLLLVLAREYDLNRTQVRELMKQYIGLEIPNGDGTDEHGHEMEGQLSAFYRIERNLRHALQPMYAVLFERLNTHPGGLKFLATVRADILCIIADENIASIRALDSYLKEKLITWLSPANLELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGIGRRCFGYIHPAIPERAALQEVLWHDPPTPECEATTALFYSISSTQPGLAGINLGKFLIKRVIHLVMKDMPNISNFATLSPIPGFMQWLLSKLASSERSVPIFCENILEPEEERALVDAFGDSTAGKNGMEVLLHLLTSANHEWAQSVRLISGLKPILLRLCARYLLHEKKRGKALDSVANFHLQNGAMIGRLNWMADRSEKGLSQSGGIMVNYIYRNVIVVVGPFLDVEEKWRCLEHIEDYAQAYFSTGHIQASPDVHHFIEPLEKNEDSVN >KVI01101 pep supercontig:CcrdV1:scaffold_728:114863:117199:-1 gene:Ccrd_020628 transcript:KVI01101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGGRKKKGGGGGGGVNQNQNQNQNQNPSPTENHVSAVSTRPSSMMPNGGGIDMDSTIFLKRAHELKVEGNRRFQARDFVGALEQYENALKLTPKTHPDRAVYHSNRAACLMQMKPIDYKLVISECSMALQVQPLYVRALLRRARAFEAVGKFEMAMLDVQTLLSAEPNHQDALEIARRMRAPTTGARQEAQQDLRSRPSPAALGASAIRGAPIAGLGPCLPTRPVPKKTGLSGSVGVPNNKLDKTYPVSPIDNDQEVKTTQMPKLVLKPSVGGSNKSNASNVDKVNQKEKPISLKIHTSEVPIQWRPLKLVYGHDIRLAQMPVNCNCKVLREIVSKRFPSSKSVLIKYKDDDGDLVTITSSRELRLAESIADGLFLPDKEPDVEKFESFGILKLHIVEVSPEQEPPLLEEVEEVAEVEEEKPLDIEGDSSGSHSISGSDQKTEKEADTIEKPASSDDPELKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMEICSEALEETVTSEEAQILFDKAASKFQEVAALAFFNWGNVHMCAARKRIPIDDSGDQEVVATRLQAAYDWVREKYTLAREKYEEALTIKPDFYEGLLALGQQQFEMAKLYWSFVVAKKDDLSKWDPSETIKLFDSAEEKMKSATEMWEKLEEQRAKEAKEAKDPISKKEELLKKKSKISNDVSGDEAAEQAAVMRSQIHLFWGNMLFERSQVESKLGLTGWEKNLDTAVERFKLAGASEADISTVLKNHCSNAEAVEGEEKITKDSIPDVMNKENGDQS >KVI01109 pep supercontig:CcrdV1:scaffold_728:87917:103305:-1 gene:Ccrd_020630 transcript:KVI01109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase MAPPSLLANGGGITTGKSMTSRMASVYSEVQNSRLDHPLALPSVFRNPFKIVDGPASSAAGNPDEIAKLFPSLFGQPSAMLVPGESNESGTALKIGVVLSGGQAPGGHNERCKGSTVYGFRGGPAGIMKGKYVVLTPEYIYPYRNQGGFDMICSGRDKIETPEQFKQAEDIALKLDLDGLVVIGGDDSNTNACLLAEYFRSKHLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEQIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITLIGEEVAKIETEKMLIQMVEGELEKRKQIGQYAYQFKGQSHFFGYEGRCGLPSNFDSSYCYALGYGAGALLESGKSGLISSVGNLAAPVEEWTVAGTALTSLMDVERRHGKFKPVIKKAMVELDGSSDHR >KVI01100 pep supercontig:CcrdV1:scaffold_728:155763:160081:1 gene:Ccrd_020625 transcript:KVI01100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKIGRRFEGKVAIVTASTQGIGFSIAERFGLEGASVVISSRRQKYGKIDVVVSNAAANPSVDAILKTQESALDKLWEINVKTAILLIKDASPHLTEGSSIVFISSISAFQPPTGLAMYGVTKTALLGLTKALATEMGPRTRVNCVAPGFVPTHFAEFITNNETMSLEETTSLKRLGTTEDMAAATAFLASDEASYIT >KVG38956 pep supercontig:CcrdV1:scaffold_7282:1317:2484:1 gene:Ccrd_026454 transcript:KVG38956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MPIPVIDFSKLDGPGRPEAMAQIATGCEEWGFFQLVNHGIPVELLERVKKVSSECYKLEREESFFKSSTPVKLLKELVDKKSGDKLENIDWEDVFLLSDDNEWPPNTIAFKETMTEYRTELKKLAEKLMEAMDENLGIPKGYIKKAFNGGEGEKAFFGTKVSHYPPCPHPEMVSGLRAHTDAGGIILLFQDDEVGGLEILKGGEWIDVQPLPNSIVINTGDQIEVLSNGRYKSVWHRVQALPNGTRRSIASFYNPSYNATIGPATQLIEKENKDINQFGYPKFVFGDYMSIYTEQKFLPKEPRFHAVRFV >KVG38855 pep supercontig:CcrdV1:scaffold_7286:10066:18582:1 gene:Ccrd_026455 transcript:KVG38855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII VISEDVLLKILNLPLLVVLDEAYIEFSGLDSKMGWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALENPTYLEEVKVALVQERERLYKLLKEVPFLNPYPSYSNFILCEVTAGRDAKKLKEDLAEMGVMIRHYSNKELKCYVRVSVGKPDQTDTLMDCLTLLS >KVI06632 pep supercontig:CcrdV1:scaffold_7287:15228:16169:-1 gene:Ccrd_015016 transcript:KVI06632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1645 MEVVIPHVNPMDFDFTSSAYATAPMTPKFMAAGDCYFSAPPSPTKTTEIHHDEFDDEFLISEEDNHGGNSLATVPFAWEEKPGVPKSVYDFAFDVSGELKRDSSAAEDLFHGGVIIKSVEKMKTSMGERERGRERGSSSSSSSSAGLSSSRSRRTRSLPPVIRGLDQAPVAANMIPPSSSSSSCTTLSASGSGKGSKKWSFKDLFLFRSASDGRAMDRDPLKKYSAIFRKHDEDLRNSSMRSDRSGSGSGSKRRGRVSAHELHYTVNRAVSNDMKKKTFLPYKQGILGRLAFNPTVHALANGFGHSNKSIDYH >KVH96674 pep supercontig:CcrdV1:scaffold_729:92990:95945:1 gene:Ccrd_001238 transcript:KVH96674 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MAKPYKMTQAIAADWVKQSCTSSTTGRYMAEKDVGYLGSDEWAPPPINIINFDNYRINNAWSNHEIVEEDDDDDDDDNGRFGGSRLMPSITEEYDDGDAGNLFSYDSRNGSDVVYVVTWRGTEELSSASMDALVWTLGNDLHESTIVYLVHVFPELRYIPTPLGRLPISQANPEQKESCLVQERSKRSEYLQSDMEAKAILDLIPILNIRTLVLGATKSNLRKLRGSSKKGGGGTLDQILHNAPESCDVKVICEGKEVSLQDQSVTGSPTPSVTAQSPRDAYVNGLKTMPDNTDSSVKCSCFKL >KVH96677 pep supercontig:CcrdV1:scaffold_729:143003:146400:1 gene:Ccrd_001240 transcript:KVH96677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MENSESNANMVVSSETRMKPAPELENIAEEVNDSPVEQVRLTVPITDDPTLPCLTFRTWFLGIMSCGVLAFLNQFFGYRQNPLYISSVSAQIVVLPIGRLMAATLPTRKFHFPKTKWSFSLNPGPFNLKEHVLITIFANSGSNSVYAVGIITIVKAFYHRNLNPLAAMLLTQSTQLLGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHDEEKRPKRGLSRLQFFLIVLISSFSYYIVPNYLFQSITAISFVCWIWKDSVTAQQIGSGLRGLGIGAFGLDWATVSAFLGSPLATPGFAIMNILAGYIIIVYIVIPIAYWNNWYEAKRFPIYSSHVFDAYGEPYNVSRVLNATTFSFNKEGYNDYSKINLSIFFVFAYGLSFATLAATLSHVALFHGRTIWEQTTASFRDQFGDVHTRLMKKNYDPVPQWWFHSLLVLVIGLALLTCEGFGRQLQLPYWGVILGIGLAMVFTLPIGVITATTNQQPGLNVITELIIGYIYPGKPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPKSMFIVQLVGTVVASSIYFATSWWLLTTVEYICDPSKLPEGSPWTCPGDDVFYNASIIWGVVGPQRMFGNLGLYNKMNYFFLLGILAPVPVWFLARKFPEQKWIRLINMPILISGPGGMPPTRAVNYNMWFAVGIFFNFVVYKKFKGWWARHNYILSAGLDAGVAFMAILCYFVLQIKDINGPMWWGMEIDDHCPLATCPTAPGMKADGCPTVH >KVH96672 pep supercontig:CcrdV1:scaffold_729:40881:42948:1 gene:Ccrd_001236 transcript:KVH96672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MFGFQIRTRNTVATSQRTPPKDPGSPGSSPNAAAVGEIDTKAPFQSVKAAVNLFGEASPKSGKPVLRKSRSKSGDERVLEKETQLHWTLKELDKYKELLKNAESTKAQARRELEKANETLHELTNKLEMISEGKQAAIEKTEAAKARALELELLRSSNKSEVISNDGWKDDVDNERMQYKTSANELISIKQELTNLKQDFDAALEAKLAAFQQAADAQHAAKVNHEKMVELAKEVENMRETLHRVKLASEKAHEEHLNVIDEKEARIQPIRKAKEEIDLKIQSLRKGSEVSELRSLGQKLEETTEAINVLEEQLTEVRAADMQTLENAKLEVEEAKRRFEDIKEEEASLAAVVETLKQELENVKQDISLLKGDDAKREQQQVELDKLKQEIEEATTELTKATNSIKELELKIKETISEAEKAKKEEEELKKQADTLARQAEHSEAANKEAEEKLETALRELEKAKAAEQLANDQIRKRTSKNSGSATDSANDNKIRLTNQEYEALSKKAEEAGKAADAKVATAMAQVETIKKKERQTLMKLEKSMEETKEIEAALCDALKMAEMAEAAKQAIESELRKWRYKEQNDGVA >KVH96671 pep supercontig:CcrdV1:scaffold_729:34375:34563:1 gene:Ccrd_001235 transcript:KVH96671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRNGQIKNFTSNFGPQHPAAHGVSRSVLEMNGEXVERAEPHIGSLQCGTKPLMPSRLLCR >KVH96675 pep supercontig:CcrdV1:scaffold_729:96011:101425:-1 gene:Ccrd_001239 transcript:KVH96675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MASKKHGDDLEAKLASKLLITKPETAMVPKVSEQVGTEIECPPDVSVDQEKKTGERGSVKSSSVSDKVSDGNSSLVKTDFVESGKSSMCRGSTSSNVSDESSCSSFSSSVNKPHKSNDMRWEAIQVVRARDGALGLTHFRLLKRLGCGDIGSVYLAELTGTKAYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSSSMDSEPLRRNTVYCVQPACIEPSCIQPSCVVPTSCFSPRFFSSKSKKERKNKIKNEIGNQVSPLPELMAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVEFERLSAPIASTSEKTNVPPVAAPNQKGSDNYLEFDFF >KVH96676 pep supercontig:CcrdV1:scaffold_729:154964:158510:-1 gene:Ccrd_001241 transcript:KVH96676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MDLDLQSCARLLQTITSNVVIKQGKQLHLLFLKRGVLPSSITLANRLLQMYARCGDLIDAWKLFDEMPQRNCFTWNSIIEGYVKSRNKEESLHLFYAMPHKNSFTWNMIISGFVKASFIFSFGMVIPMVVIKRANILGLFVVVDISFGSWEVQLYAKAIVIGLESDKVVSTALDEASWNSMLMGYATNGYGIEALHLFSDMRKVGKRRKSRKKEEEERRGKKEEGSNKLLLGKGSSRDRSYCLLVHQSGKAGSFGLRVAAVSNGNKTALTCCLASAYQAETKAPLACCFRVKPKENTAAVAAVCRVQPKENATAVAAVCRVKPNENTAAIAAVCRVQPKENAAAVVAVCPVKPRENTAAVAAVCRLQSKGNAAAVAAV >KVH96669 pep supercontig:CcrdV1:scaffold_729:9122:27767:1 gene:Ccrd_001233 transcript:KVH96669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MADQLQIQLKEVGSKLQNQPASKDDLLKLLTLEGTGKISVLRVQFIVKLSCSYDMVYLQQAAASLTELDQSPPKSLLQSMRPLLNAIIKPELLKHQDEEVKLLVATCTCEITRITAPEAPYSDDVLKDIFRLFVSTFNGLRDTKDPSFGRRVIILDTIAKYRSFVVMLDLECDDLVNKMFKTFFDVASDEHPKTVILAMETIMVVLLEESEDIGEDLLHIVLSVLGRDKKDITTAARRLAMNVIGQCAGKLEPGIKQFILSSMSGDSSPSNPQIDYHEVIYDIYQCAPHALSRIVSYLTQELQTDNVELRLKAVRLVGDLFSLQGSTIPQSFHPVFLEFLKRSNDKVIEVQMSVLERVKLCLSSDPFRAEAPQLFHACYVISSNIDLGEHVAALSDRLLDCDESIRKQAVAVVSDVASLELSSISADTIKLVAERLQDKSVLVKKYTMERLSGIYQTWCSKQIGGLNLDYDWIPGRILRCFYDKDFGPDTVEHILCTSLFPVELSVRDKVRNWVRLFSKFDKVEVKALEKILEQKQRSQLELQKYLSLRQMYKDGDASELLKKVTHGIRLMSHSFVDPIKAEADLQLLDQLKDVNIWKILTTLLDPNTSSLQSRSFRDELLKIVGEKHPLYELLNTLSMKCSYIIFDMDFVKDLLLETDLQKCAGNRVLTQSCMNILVIIACFSPLLLSGIEEDLVRLLEDDDEAIKEGVLHVLAKAGGMIREQLGESSSTLDLILERICLEGSRTQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEKRTHLPTVLQSLGCIAQMAMPVFETQESKIKCFIRKDILRCSQSYLPVKDGHLRVGIDELIKDLQSILSFGEISKDVESSAVDKAHLKLASAKAIIRLSKHWDKKIPVNVFHLTLRTSEVGFPKVMKQFLTKVHQYIKDGSLDLKYICAFLSDFGSQTSIPEEEKHLSDIIQMSRQGKAQELSMQTDGNSLVVQPEYILPYLVHALAHHPSCPNVDECKDIKAYEPIYRKLYKYFSMFALGDEDGKPGVGLKKEEIILIVSVLQNIKNSKDAVDATMSKNSYAICDLCLSITKRLAQKQEDLLESVVPVSLPQVPSKSNEVDMVKDSETDGNEVPLGKMLKRLKAKGSQARKAVKNDSTPVVETENNVDILGMLREINLDNLGVSNQFDSSNGHGKVRNEAKLKRKNLPNDLTNVSVPKRRRSSSAKGHKRSSFLKGGFKGRSAFGSIIMNDDPHSRLELKGLIHVEETRHTDDIDLEKPKKSFETGINLKSGHVKKRKRRRVSGLAKCTLDETKTNPRDLIGRRIKVWWPMDKAFYGGVVKSYDHQKKKHVVLYDDGDIEVLYLDKERWELVEDEHKPKKRKLLSNSPPPKGGSSKKKIKSLGSSKQTKESTDISPSSMIRGKRTPRKNFKRGQKGVSQGTAYSEMVRSIDADTSMPEAEPQAEAKPTTFSEVGNLDAREEEPLSNGQGGSSAGLEDSDKVEKSRSEEKQVKDAESSSSDTVCSHENEPDSGQKETENVDTILEDGSESDENHKKEKRSPDEIHESDSSGGNEDRKGSTCDDSNKPEFSDDEPLGAWKSRVGKSVEDK >KVH96670 pep supercontig:CcrdV1:scaffold_729:33865:35091:-1 gene:Ccrd_001234 transcript:KVH96670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPPLALPPSGLPFLPMLLRRRPTTLRACGIFARRSLPVVSSSPLAIWAGCPSLLRSVRLWITGTNVVEWNNRRVTRSTXPRCEVQVVMITPGYALAPLTXTPEPANARENPGADSASAASCRTGVIQYVVPHVPPXLRSFPILIVKHHEQQDVEEIRVPLRFSTHLRGFPYPSPRRSPDRKTLSPSRHWTRFPSFPESARTAALSPFHNVRAVAHEFKFECPFEVPHALFDRERGGQLQSVLAPAAAPLEPPPVLEPAGSE >KVH96673 pep supercontig:CcrdV1:scaffold_729:43056:47586:-1 gene:Ccrd_001237 transcript:KVH96673 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MGVVSHEAINQFKVLMDQVDEPLKRTFQNVHRGYKVETLERFLKARDGVVARAHKMLVDSLHWRLQNGIDDILSGLPVFAIGVGLSTYDKASVHYYVQSHIQINEYRDRVILPAATKKYGRYIGKCVKVLDMSGLKLSALNQIKLLTAISTIDDLNYPEKTVTYYIVNVPYIFSACWKIMDYSSLPHFCRGSSRRSDDTTVDCFSFDHPFHQELYRYIKKQSGTREPTKPLKQGSVHVEVPLADPKKAELCRTLESEWKKFQSQDGLTSRVHDIKISD >KVG38288 pep supercontig:CcrdV1:scaffold_7298:4612:6396:-1 gene:Ccrd_026456 transcript:KVG38288 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MDLPTNTHLSHFPIIVYDCGDVAKNGLYNVNVHSISQETSESFRALANTTNENITDDAEASEHMMDLKAITECDRLQAHQCTSKTTLLIKVVANLTCFITDICKVLAKGTSQNCRMVAHIGGAERTAAACRNLHSLLQISTRTEDFIVDTLKLRVHIGPYLPKIFKDPTKKKVMHGADKDILWLQRDFGIYV >KVH95806 pep supercontig:CcrdV1:scaffold_73:42779:61384:-1 gene:Ccrd_002135 transcript:KVH95806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSRQATTTAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMNLNHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDENPPIPDSLSPGITDFLRQCFKKDARLRPDAKTLLSHPWIQNSRRVVLQSSLRHSGTLRNIEDDGSTGVKTSNADDLSTAETLSAEKIEETKTDLLLAEATLAGKSYEGNDSSNHTVDEKADASEEDISADQVATLAIHEKSPLESSSVNDEASNQAEVHEQLELSSTEVVVTNGDSGPSESKTKTTTDKKVKENGSSVAAESSSDNSWHRGHDYSSQKAVKTSRTVGGNELSKFSDTPGDASLDDLFQPVDKNLEDRSAEASTSASSSHVNQGNTFADDGGKGDLARHLRATIAQKQNEPGQSNGGDILHLMMGVLKEDVIGIDGLGFDDKLPADNLFHLQAVEFGKLVSSLRPEESEDVIVTSCHKLTVFFQHRPEQKLVFMTQHGLLPLLELLEVPRTRVICSVLQVLNQIIKDNTDFQENACLVGLVPVVMGFAVPDKAREVRMEAAYFLQQLCQSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTLRNDFCRIAAKNGILLRLINTLYSLNEATRLASISGGGGFSMDGLALRSRSGPLDPSNNAFTQSDSSANGLDYPDHLKVKHAATDHPFPPGPQESSRVSSSHSPDSRFFTLDTDKPQSSNTSAEAPATSRSPDSMSMDRVPGATVKDVSVERDTSQDAEPRQRLSNFGRLSADKPRKSMDAASNGYHATTQQENVRPLLSLLDKEPPSRHFSGQLEYVRHLTGLEKHESILPLLHSSNEKKTNGLDFLMAEFAEASSRGRENANSEAMPRTTPHKLVNKKAGTVASNEGGASTSGIASQTASGVLSGSGVLNARPGSATSSGLLSHMVSPWNADVAREYLEKVADLLLEFAGADSTVKSYMCSQSLLSRLFQMFNKIEPPILLKVQNLFHSQVCFAYICGYFTLLIHYLFLGMQLLKCINHLSTDPHCLEHLQRADAIKHLIPNLDLKDGPLVSQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMRFIMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGMDVYLSLLEDQLWSVTALDSIAVCLAHDNDNKKVEQALLRKDAVQKLVKFFQCCPEQFFLHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >KVH95819 pep supercontig:CcrdV1:scaffold_73:447094:462706:1 gene:Ccrd_002105 transcript:KVH95819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQVIKNLDAFPRAEEHLLQKTQSGAVVSLPSFVCLVSIAGLLIMATLFVHELTYYISTYTVHEMAVDLKRGETLPIHINMSFPSLPCQVLSVDAIDMSGKHEVDLDTNIWKMMEMHWKDRILVIEDGRELRLSREGVIIGTEYLSDLVEKGHTTHKHENETDHHEDSDKKFHLEKFDDEADTMIKKVKQALASGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMVRGLIDNQVEKPQVECFGAVAEVDRDSVVESKMQQPLVAGIFEGASRVNVSHVIHDLSFGPKYPGLHNPLDDTERILHAASGTFKYYIKIVPTEYKYISKEVLPTNQFSVTEYFSPMNEFDRTWPAVYFLYDLSPITVAIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRFLESVMKSNPRRSMR >KVH95803 pep supercontig:CcrdV1:scaffold_73:365550:373787:1 gene:Ccrd_002112 transcript:KVH95803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSSGNLDAELSKKTSIVGLKLWVVICLSVGVFICMFLCLLSMWVTCQRKSRRRLDKYPLSQIPNVPKDIRVDRVGGPHFHSHSNREHPSTTTNDEPSGKNSGKMLFQLAVSKSGDADNVSQSSSMYEKGGRSQSGEEGTSGTVWKQSSQSHGPGMASPFIGLPEASHLGWGHWFTLRDLELATRRFSKENVVGEGGYGIVYKGTLINGTVVAVKKLLNNLGEVDAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVDKGNLEQWLHGQEYGVLTWEARMKVLLGIAKALAYLHEAIEPKVVHRDIKSSNILIDREFNGKLSDFGLAKLLDSGESHVNLVEWLKMMVGNRRAEEVVDPNLQPKPSTHALKRALLVALRCVDPESERRPKMSQVVRMLDADFPYREERRNRKSRTASMDSESMGGSADIERPPEI >KVH95786 pep supercontig:CcrdV1:scaffold_73:154037:157053:1 gene:Ccrd_002127 transcript:KVH95786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MPEGITPENLINNIMDTLSDKHQKQNSGSFFGEEKSHTVTAQFNKLFGRQKPIHHILGGGKCRVHLISFLSYSDGNLFLLKWISLHFLAADVLLWRNKKISASVLSGATAVWVLFEWLDYNFLPLVCFGLVICIIGQFIWSHLLNRYPGGNIHYFPSLHQFPEGGILRSPPRLVLPDELVVNIATSVGGEVNHALGFLQNVGSRGDIKQLATVVGSLLAAAIIGTWCNFWTVIYIGFVAAHTLPVVYEKYDDQIDSVVYNVLGKLQNNYSKLDSSLLSRIPKAAGKKFA >KVH95784 pep supercontig:CcrdV1:scaffold_73:165256:168080:-1 gene:Ccrd_002125 transcript:KVH95784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase, family 13, all-beta MNEYYADYARPGGWNDRLGVQAKKVRMEGDLEVWAGPLSNYRVVVLLLNRGPASAPITAHWDDIGLPPNTAVHKNLRQRPVENLTATVASHACKMFVLKPVS >KVH95790 pep supercontig:CcrdV1:scaffold_73:560300:568150:1 gene:Ccrd_002097 transcript:KVH95790 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM-like protein MDTRLSSSVMGIPMTSTRGLLLSKPYEPHYPLSNSKINVNSLERKGSFAVAIKDRASLVPKLMELVKQKLNYGTKILPLGREAKLFRKSFSTRDGEKLLHASRCHIYTTVGAIAGILFMSSERVGFHSDRSLQTYSTAGELLKFQYKNTLLYNFHIYLPYDQENQIPAPRRRNMDSRLSGSGMGIPMTSTRGLLLSKPYEPHYPLSNSKINGLERKGSFAVAIKDHASLVPKLMELVKQKLNYGAKILPLGREAKIFRKSFSTKDGEKLLHASRCYIYTTAGAIAGILFMSSERVGFSSDRSLQTYSTTGETLKFQYKVSIPLEKIKGVGESMSMKNKYVELVSIPLEKIKGVAESMNPKRLPNNNVELVTMEEFMSFWFMGFLNYQRILRHLHKIITAD >KVH95826 pep supercontig:CcrdV1:scaffold_73:246989:261985:-1 gene:Ccrd_002120 transcript:KVH95826 gene_biotype:protein_coding transcript_biotype:protein_coding description:CID domain-containing protein MAPGRKRGGAKGAKAKSQLNLGDLVLAKVKGFPAWPAKISKPEDWERTPDPKKYFVQFFGTEEIAFVAPVDIQPFTSESRNKLLARCKGKTVKYFSQAVREICDTFEDLQHKSSDSLKDGNDGESFQPDVNSTEMVEDGMTTDGPSGETSFNDIVNHGPGLERCSHIHREMDYEDEKPSVSPIANDGSSSAVSTKKQIELCNDDVSSPKEKSISNSSAGHNKNSKPGLKVETNGQKSKKIARASKKKHDDDDGDDGINNFHSPAGVGSAVQSSHSESTGIENSKDIMRKNSSHGSKNEGTAGVVKSEFGGNIKKPKKLLKDKTLVGVADDFSTDVEGVPTERNSVERTGRKMKSEPGVGKDNVLNNGVLHPTKRSKCSDDVPKRSHTQRKNDVSGKGGNEEFKKSTPSLKTKNRLASKGQMNGIDSGVLGDEDVLPPAKRRRAIEAVSGSTLMSEDRIAESSITPKKGGNSDAVRNVPQMPMKRRAVRICDDDEDEPKTPVHGGSAKGAGVDGVPHISVPVEEGAAATETIQASPSVRNLSVNAKEEVPSSKQFAQPSPNSRQDITEIVERQFSNSPGKAESEKKSSEEVIKVLVSPIKSPVMVDSYNPTVEPLKVNKPVGKVSGNVSQKKGQAGSFKASSGIYDGGHRSQNNAVNERNRPVISGEKQKSTPKSTSRVVDSAAVLRKPSESNLFSSERLEYVRGDRTTSLAHSRNADSNKSMKHLIAVAQAKRKQAQSQNFVHDGLNYSQITTTEAIIRSPGSSFVVQPIPAGPGIMVQTDGQGYGSQTSLASPSSRVHHYMSNDQPDTAEFEETRTSSGHRPAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRHAIDCAKHGIANEVEICITLVVELLTRKLESESSFHRRVDLFFLVDSITQCSHSQKGIAGASYIPIVQAALPRLLGAAAPPGANARENRRQCLKVLKLWLERKILPDSLLRSCIEDIGTSNDDASTGFFSKRPSRSERAVDDPIREMEGMLVDEYGSNATFQLPGFLSTNVFEEDDDDILNFTHKEGIGKSTLELNPSSGELENCSVTPNDRRHCILEDVDGELEMEDVSGHPKDEKFLTVGSYKAVQLEEMSDRTMDAGSNNSNEVSPLREDSPPLPLDSPPPTPPLPSSPPPPMSPMVPPPPSSPSPQPPPPPPPPPPPPSQTYPLQPSNAGPPQTFPQTSLPLQALPPQTSTTPQHVHQYQSSMPSNVSLNTRLGAHVDAAVRGEMFPQQPSCFVPAVASSSREPSGFNSSRTVEYGHETYTNPQGSQSNQQFQAANVPLPPRAFQPPMLPQTAAGQFQYPKPAMLQHPYPPLYGLTKPPDGPRRYGADEQWRPASNEFSTDNQRGAWISSGRTSLSSGPPFTQEGYFRPPMERPPTNNVGFQPTGQNIVPAGAPIPGHSGSLMMPCRPDINSWRPA >KVH95822 pep supercontig:CcrdV1:scaffold_73:511057:516826:1 gene:Ccrd_002102 transcript:KVH95822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese/iron superoxide dismutase MSWTCSNYLCLSSSSSSSLIDSHELTLFNRCKLSSEYKELRRKDHDSLRPINTCAYLGLKPPTHKLDKGQRKKDCVLNKPKNICAYYSLRPPSYKFDALEPYMSQRTLEVHWGEHHRGYVESLNKYLEKDDILYGYTMDELIKVTYNNGNPLPEFNVAAQVWNHDFFWESMQPGGGNSPTLGLLRQIEKDFGSFSDFREEFIENALTLFGSGWVWLRNEKRLAVVQTTNAITPLVWDDINNKAQYANVFMDHLVSWNMALARIAYAQSFVNLGEPKIPVA >KVH95789 pep supercontig:CcrdV1:scaffold_73:549512:557569:1 gene:Ccrd_002098 transcript:KVH95789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHKKEDMCINDRLRDDELRTVLGKLETDKDKEVFGLVCKRWLYIQSTERKKLCARAGPHMLRKMAARFTRLKDLDLSQSASRSFYPGVTDSDLSVIATGFTALHQLKLQNCKGITDAGMAAIATGLSSLQLLDVSYCRKLTDKGLSAITEGCHDLKSVHLAGCRFVTDVLLKSLSKNCHNLEELGLQGCIDITDTGLSALVDGCKHIKHLDVNKCTNVGDSGISVIAEACSTSLRTLKLLDCYKLGDKSLFTLANVCKNLETLIIGGCRDISSDSIRSLAAASQSLKVLRMDWCLNVSDASLNCILSQCTFLEVLDIGCCEEVTDGAFEGLGNAGFGLGLKVLKVSNCPKITVLGIGMVLEACRSLEYLDVRSCPHVTKAGCEDAGLRFPESCKIQIQDQTERRCVIC >KVH95795 pep supercontig:CcrdV1:scaffold_73:277265:278717:-1 gene:Ccrd_002118 transcript:KVH95795 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP QHLPYKKQIPIHNPYHKSLNWISSDSAPSIAAMPTLIARNLSKLWTGVVKTPIMANQRSSYSLIPMVIEHSSRGERAYDIFSRLLKERIICINGPIADDTAHVVVAQLLFLESENPSKPINMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMGSLLLAAGAKGERRSLPNATIMVHQPSGGYSGQAKDMTIHTKQIVRVWDSLNALYSKHTGQPVDVIQKNMDRDYFMTPQEAKEFGIIDEVIDERPMTLVTDAVGSEGKGKVSE >KVH95816 pep supercontig:CcrdV1:scaffold_73:404749:404991:1 gene:Ccrd_002108 transcript:KVH95816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase MASTSGTKDEVGPPRSLSRRMTRTSTMFDPNADGVDSELVPSSLASIAPILRVANEVEKDNDRVAYLCMFSQYSICLLPS >KVH95810 pep supercontig:CcrdV1:scaffold_73:101612:105834:-1 gene:Ccrd_002132 transcript:KVH95810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MANENSRELENGDHTTKSEESLLEAEGKTVEDNDGLKGKEMASVEMVFRDTMVPSWKGQLTVRAFVVSGVLGIVFSFIVMKLNLTTGIIPSLNVAAGLLGFFFVKTWTKALEQCGLLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSDTIADQSSDANSPLRDMNIKNPSLSWMIGMICPYLINVSLLLGAILSWGIMWPLIEKKEGDWYKAGLKPNNFHGIQGYRVFTAIAMIIGDGLYNFGKVLGKTGIGLYYQFKNKGLRTVLPVAADGSTPDGAVTSFDDQRRKQVFLKDQIPTWLAAGGYVAIAAVATTVLPKIFPQLEWYYVLVIYFFAPALAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGKSQGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCIIAPCVFWIFYSAFPDLGTQGSEYPAPYAVVYRNLSILGVEGFDSLPKHCLSLCYGFFAAAILINIARDTAPKKWARFIPIPMAIAIPFYIGTSFAIDMCVGSLILFIWEKRNKVEADAFGPAVASGLICGEGLWSVPKSILALISPLLTLNS >KVH95785 pep supercontig:CcrdV1:scaffold_73:176881:197893:-1 gene:Ccrd_002124 transcript:KVH95785 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-(acyl-carrier-protein) reductase MAASASFAGSAVVAFKSTGISEKSGITCGHRGVSFHGKNVSSVQLRRSVNYSQCRSGVSFASSGVKAQVATIEQASVEATQKVEAPVVIVTGASRGIGKAVALALGKAGCKVLVNYARSSKEAEEVCKEIEAVGGQALTFGGDVSKEADVASMIKTAVDAWGTVDVLVNNAGITRDGLLMRMKTSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKTVAKEYSSRNINVNAIAPGFIASDMTSKLGEDFEKKILAQIPLGRYGQPEEVXGLVEFLALNPAASYITGQFITTSTIAGVRHPLFLSSVSVIRTLMAAFASVAAFKSIGISDKSRVIGGNQRFFFSGKSFPSVHLHRGLKAEVVAIEQTEATQTVEAPVVIVTGASXGIGRAVALAFGKAGCKTVDTWGTVDILVNNAGRYGRPEEVAGLVEFLALSPAASYMTGQVLVIDGGLVL >KVH95798 pep supercontig:CcrdV1:scaffold_73:327948:336400:-1 gene:Ccrd_002115 transcript:KVH95798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGKVSVIIYITIALLLLLLISQSPNKSNNRNNNRRHRRLKLRSNFSFTPTTTTTAAAEHHVSFDPLVADIERKREDRLWEKSHFFDDHHHEGEEEPEAHAAPGMESQPEWEDFIDAEDYLNDEHKFNITHRLVLLFPRIDVDPSDGFVTEHELTEWNLEQSQREVLHRSQREMELHDKNHDGLVSFAEYQPPTWVRDSDNNSFGYDMGWWKEEHFNASDADEDGFLNITEFNEERDTDKDGKVNFKEFFHGLFDLVRNYDEDGHNSSHESSDSLESPARTFFAELDKDGDGYLSDVELLPIIGKLHPSERYYAKQQADYIISQADTDKDGRLSLTEMIDSPYVFYSAIFNEDEDEDYEYHDEFR >KVH95824 pep supercontig:CcrdV1:scaffold_73:529416:531767:1 gene:Ccrd_002100 transcript:KVH95824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEDDHSFGFLHNFGNKLVKQSSTPTPIPPYTSSQKIGKIDVYGRFQMIADALSWNQHFTRVINVLIVRTAFENALVAYPEEDSKDRWENIATNVPGKSVEEIKHHYELLVDDLNRIESGIVPVPCYSGSSDDSASHGGDDGNGTSKKGGNLGHHNSESTHGGKASKSDQERRKGVAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGDTSVPQAAITGQTNGSLAGKSSKQFAQVTADPPSYTVSMYGGTTVGQPVGLPLVSAVGTPVNLPPPSHMAYGGIRSLGQVVPGAPMNAGPMTYPMSHTSSHK >KVH95805 pep supercontig:CcrdV1:scaffold_73:41250:41801:1 gene:Ccrd_002136 transcript:KVH95805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MPINMNTTPTTQPPPPPPTTTNNTNCTIINHSPEISASANSTSPAENSGYRRYECKTCRKQFDTFQALGGHQGIHRKLIHNEDACSLSLQIAAVPKLHQCKACMKKFPTGQALGGHMRRHRLKKTLISMNKEQLQRQQAAEEVVGSDSTSAAVEPQWLKAEEDQQHKLKSELVLAAKELRLSI >KVH95815 pep supercontig:CcrdV1:scaffold_73:391827:397996:-1 gene:Ccrd_002109 transcript:KVH95815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDVLEFVEFLRRTMGCFFGCFRVRDDRCPPVTLLSQPIDSNPKDPVASVGRNRLSSLLLSEAEDRDISPCKDRKGGVLGSAVPDVYSNELKAEAKFLKACGTLPCTPAEIRKLKDSEPHNGDAESSTFHSWLQAASIEKLKLEKQPDQQPSPIKLFEEWGNGSDSSSHSPDRERLYTNSAGGYGVGDNVKLVDVHATQEQNPTASFTPLVASTQCRNKSVRFDREIDASSFSTSCLSEVTCQEPKPYGSPDDYSVSKPSPYPTPLSLTDDMQTPGTVFPSYLHNKAIGKNPRIRSQYVYPVLNPVENAAQLRKLTEESLSSDDCSIQLKEHLDLADKENPRSQVESEAAAADKELTVDTSLSSWLPPKQAHQGRNNRLFLPNSQGLPDFGKTPGDRPILGMVAAHWNADEAASFAPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALYEDKLVAERKQLGKVPLPPIDLDEKEDRSRLQPSTNL >KVH95825 pep supercontig:CcrdV1:scaffold_73:233168:237260:-1 gene:Ccrd_002121 transcript:KVH95825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MESTPQSKLYSDVSPPVTVRHSSSCGGGSSVGGSGFGCIEHTVSKFDTLAGVAIRYGVEVADIKKMNGLTTDLQMFAYTITREASTISHNVKRVSYSRTHAMDNVPVANGKGAGIDKSIEKLVRRRKSEVDLNNHTLEMLLKHDNNNTTTSSSRIVLSTSAGKGLALRQKTANRTTIESEAGSSNMFPVGSRDSSIVQAFNGVRKSSSAPSFQHSETHNNNSNNNNTSSPSSSLFWPISILNFTADLQALSTTTPIFDGLPRPVSGRKNKAARD >KVH95793 pep supercontig:CcrdV1:scaffold_73:7072:8880:-1 gene:Ccrd_002140 transcript:KVH95793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27e MVLPNDVDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >KVH95802 pep supercontig:CcrdV1:scaffold_73:375396:383095:-1 gene:Ccrd_002111 transcript:KVH95802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology-like domain-containing protein MATNGASSLRDGDGGTEASLDKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKIRRNDPNIKGTITFDSNSTIMTSPYNFHGLPKYDNCIIYIATPQKKEYFLCAETPGAARAWETLRVKDEELQNLARDIRARDSTIKEIAEKLTETAEAAEGAASAAHTMDEQRRIASSEVERLKKELEKQAMSYSLKLRDSEEKVMVLTKEREQLIKQRDSSHQEALLWRSELAKARERVVILEGAVVRAEEKVRVKEAEAEAVIKEATEKESAARDQNQELLAYINMLQLQLKRQQENTKEVLEERGESCSDGDTQPLTKHVHPSEENVDKACLSVSRNIPVSERSLVHPAPAVDQTRIRPIGDGEWNDIEATEARIADVREIATDTEGNSLDIPVFLQTNDTQQQEGSAYHPHVPTFRQPDDTQPEQTSDSYHQP >KVH95811 pep supercontig:CcrdV1:scaffold_73:77613:101518:1 gene:Ccrd_002133 transcript:KVH95811 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MNSTLNSPVTSPNPFTPFLKTTSFNFCSSSQIQHQYHHRRRRFHTRFTVNSSHINKAHVFQKLPTTAGLDYIEQRQDKVLLSHIITQISKPIAFTVFWIVIGLCPSGGFYQKPAIAAPVTSESLKTNRRKSKQRVSNWKDHEFSEYTRRLLETVSGLVRVIEEVRSANGDLENVEVALKDVKLKKKELQDEIMSGLYAELRELRGNKLELDRKSGEILDSMMKSKRERDMILEKGGKMEAREQTQVAELDEMISNKEKEFYGISEEIGEIEDKMLRRETMALSIGVRELCFIERESMVLVENFIRKMKQKDTDRVPKNSLAKLSRSEILKELQTVQNQFLEQMILPNVVGSEDIGFPFDQNSIEFSRRVKQALADSREMQKNLEANIRKRMKKLGDEKRVILNSPVDEIVKGFPEVEMKWMFGAKEVVAPKAARAHLFHGWKKWRDEAKRDLKTKLLKDVELGKKYVAERQERILLERDRVLSKTWYNEERNRWEMEPIAVPYAVSRKLVENATIRHDWAAMYVTLKGDDKEYFVDVKEFDTLFEDFGGVDGLYLKMLASNVPVSVRLMWIPFSELDIDQQFLFPIRVFHQLLVGLSNTRYVTTTVGQICDSFVNINKDILVLIICRLLEFVIPSRAKKQLAKTAAMDQYLNWKSEADNSIKVKIEESEFNWYFVFVVRAIIYGYVVYNIFHFMKRKIKKLPTVIRPKRRDPNMRKLQKLKSLFWTRLQRAIARKREGVDPITYAFDKMKRVKNPPIRLKDFASVEFMKEEINEVVAFLQNPRAFQEMGARAPRGVLIVGERGTGKTALAMAIAAEAKVPLVEVKAQQLEAGLWVGQSASNVRELFQTARELAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNLKQIDEALQRPGRMDRIFHLQRPTQIERERILQIAAKETMDPELIDFVDWQKVAEKTSLLRPVELKLVPVALEGSAFRTKYLDTDELLSYTSWFATFSNSIPTWLRKTKLAKTMGKMLVNHLGLTLTREDLQNVVDLMEPYGQITNGIEYLNPPLDWTRETKLPHAIWVAGRGLIAALLPNYDVVDNLWLEPLSWEGIGCTKITKAKTEGSMIGNVESRSYLEKKLVFCFGSYVASQILLPFGEENILSMSELKQAQEISTRMVIQYGWGPDNSPTVYHHGNAVTALSMGNNHDNRNVLEKIVEELLEYEILTGKDLERIISANGGIREKEPFYLAKFHNEEPVFGNLLEDGNGSQTALLSAAN >KVH95783 pep supercontig:CcrdV1:scaffold_73:215993:230061:1 gene:Ccrd_002122 transcript:KVH95783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLGDGVLGLIIVEFQSKLNLKSWDSSLCCKKFMHMKYLGNQEHKLHIRDFIYTHKADRGMHLYYLKVGTIEANPHHIVNLVHYEVVNDLLNLARQILRIREDSQFSDL >KVH95817 pep supercontig:CcrdV1:scaffold_73:405940:424695:1 gene:Ccrd_002107 transcript:KVH95817 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MPMREQDAEGKALGDGKRGTLAKEVLPMRRAVEVPKVEILKASRGVWRWQAKESLHFTEVVGKWWVQCGWSLDRLMGREKALIWKGGVSIGGMSNDRMVKEQKPYGLTLIFYKLVFSDQSPYSVSEVGRFHAFEKAHRMDPKSSGRGVRQFKTYLLHRLQKIKAALRAIRNMDNLPAVRRVGDRDKPVNDILEWLSSVFGFQKGNVANQREHLVLLLANIDRRSTGVEDYGQLDSHTVKHLLDKTFKNYVSWCHYLHCTSILESREVPPTADTDSQQLQLLYIGLYLLIWGEASNIRFMPECICYIFHNMAKQMHGTLFANVQPVSGGTYQAEPLHEEAFLHDVVKPVYEVLRKEARRNQGGKTSHASWRNYDDLNEYFWSDKCFKLGWPMDPKSDFFVHSDESSRTHAGRNQVVSGKKKPKTNFVEVRTFLHLYRSFDRMWIFLILVFQAMVILAWHEDGSIFGIFDEDVIKNILSIFITYAVLNFIQATLDIILSFSAWRSLKPTQILRYLFKFIIAAFWVVILPVCYSRSVPNPTGLVRFFSTLGGNWREQSLYNYLIAIYLIPNILAALLFLLPPVYTILDNAAYKQVCVQLLCGGSFCSYHAVTENLKFISNMSQLLGKFMENSDYELKLERGSSALQGWDAFCDHQGLFNVKLGTEVTITTTEILPLVSPTKLIMDMSVSSYEWHEFFPNVTHNIGVVIAIWAPIVLVYFMDTQIWYAIYATIIGGIYGAFSHLGEIRTLGMLRSRFESVPSAFYERLVPMQKEPRREQLVVLNFIFFYDKLNKDRNSVIPFGYINGAISATSLHVMLCLFTKMKVLYRKMTHCERDLLLVPYTTSDVPVVQWPPFLLASKIPIALDMAKDFKGKEDADLFRKITTDDYMRSAVIECYQTLKEILFGLLDDERDSMILSHICHEIETSIEQRTFLSKFRMSELPSLNDKLEKFLEHLQADNVDAEKYASQIINVLQDIMEIITQDVMNNGHEILERAHSHHQDNDKKERFERINFRLTQIRSWKEKVVRLRLLLTVKESAINVPTNLEARRRITFFANSLYMRMPNAPVVRNMLSFSVLTPYYKEDVLYSEEELHLENEDGISILFYLQKIYPDEWKNFEQRTKGSQDNTEATRQWVSYRGQTLSRTVRGMMYYKEALELQCFLDYAKDDEIFTGFRTLNMNKPHMDLKARSSAMADLKFTYVVSCQVYGAQKKSSDERDQSCYVNILNLMLTYPSLRVAYIDEREATVDGRSQKVYYSVLVKGGDHLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFHKDHHGQRRPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLADPLRVRFHYGHPDIFDRLFHITRGGVSKASRTINLSEDIFSGYNSTLRGGYVTHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMFSFYFTTVGFYFSSMVTVLVVYVFLYGRMYMVLSGLEKRIIEDATISSNKALEEALATQSVFQLGLLLVLPMVMEIGLERGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTILHGGSKYRATGRGFVVFHAKFAENYRLYSRSHFVKGLELAILLVYGLSWLVMITALLALKLVSMGRRRFGTDFQLMFRILKALLFLGFLSIMTVLFVVCGLTISDIFAAFLAFLPTGWAFMLIGQACRPCVKGIGFWDSIMELGRAYECVMGLVIFMPIVILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGNKDKDKPQTT >KVH95791 pep supercontig:CcrdV1:scaffold_73:570896:582405:-1 gene:Ccrd_002096 transcript:KVH95791 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM-like protein MDTRLSGSVMGTPMMSTRRLLLSRPYEPHHPLSTSKIDSVERKGSFAVPVENHVSLVPKLIEIVKHKLSYGAKILPLGREAKIFTKFFSTRDSEKLLHASRCYIYTTAGAIAGILFMSTERVGFCSDRSLQTYSTTGELLKFRYKVSIPLGKIKEVGESMNMKRPSNKLAGSVMGIPMTSTRGLLLSKPYEPNYPLSTSKINGIERKGSFAVSIKNHVSLVPKIMEIVIQKLSYGAKILPLGREAKIFRKSFGTRDSEKLLHATRCYIYTTAGAIAGILFMTTERVGFCSDRSLKTYSTTGELLKFQYKVSIPLEKIKGVGESMNTKRPSNKKHFSTQNGEKLLHAPNATYTLQLVQYQAASLCLQKGLHFAVIGPFKTYSTTGKLLKFQYKLEFPTIKATKSPAIQHQNMDTRLSGSVMGIPIVSTRGLLLSKPYEPIYPFSTSKINGIERRGSFAVPIKNHASLVPKLIEIVKQKLNFGARILPLGREAKIFRKSFSTRDGEKLLHASHCYIYTTAGAIAGILFMSTERVGFCSDRSLQTYSTAGELLKFQYKVSIPLGKIKGVGESMNMKRPLNKYVELVTMDDFRFWFLGFPNYRKTLRHLHRTISPDCLSN >KVH95827 pep supercontig:CcrdV1:scaffold_73:200337:207769:-1 gene:Ccrd_002123 transcript:KVH95827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II HCF136, stability/assembly factor MVAAKLLSDCSNPITAIKPSSLLNSLFNHRHPAPNGAAFCHSRNFQQHPRSLSTIRASGLNRRDFIADTAAASFLLGTRQTLLETKDGGNTWISRSISSAEDEDFNYRFNAISFKGKEGWIVGKPAILLHTSDSGDSWERIPLSAELPGDMVYIKATGEKSAEMVTDEGAIYITANRGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPEGNYVAVSSRGNFYLTWEPGQPYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGITEEFEEIPVQSRGFGILDVGYRSQEEAWAAGGSGVLLKTTNSGKSWSRDKAADNIAANLYSVKFINDKQGFVLGNDGVLLKYLG >KVH95807 pep supercontig:CcrdV1:scaffold_73:17674:20930:1 gene:Ccrd_002138 transcript:KVH95807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCIPGTLTSPLPPSNPTHTKSLRYTLSASIQRNQIPLVGRRLIRISTAEGKWQGNWNADYNFSLRDLRLDDLVEDDDPNDARVFVSLSIHRETCSDFCEKSELGLRPDLFEAQKIDSSTAAIFKNRRIILFCGTMGDLPAPSFRLQCYDVKKLDGDNLILCTALLMLQVDDVNLEKVV >KVH95792 pep supercontig:CcrdV1:scaffold_73:416:4228:-1 gene:Ccrd_002141 transcript:KVH95792 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 family MVREQSIESFYSKLRESALASASNTPLLIFPSTSDVDSLCALKIIGHVLESDSVRYACYPVSSFNEIHKYAGPSLSSASDDPITILLINWGCHRDLRKILEIGPVARVFVVDSHRPIHLHNLNHQNDRVVVLYTGDDENQADLSFDFDVSALAAASDLNSDDEVEEDESDSEDENESDGDEDGNGSRKKRRLSEDGETNPLKLFRKLKKEYYHMGTFHGKPSGCLMYELSHYLRKNTNDLLWLACVALTDQFVHERLTNERYQAGVMELEQHINSSGNLDAVTSVTLKDGTKVCAPDASRIAYEDEPRLMLLQEWNLFDSMLCSSYMATKLKTWSDNGTKKLMLLLAQMGFALEESKQKFRYMSVEIKRKMKDMFEHFLPDYGLNDFYYRGFLLLHGYSSKISAADVVYGVTALLESSIDSNSNSSCASKQFGEAYDALSLRKLEKLETGMKHAIKIQRAILRQGSTAITRKGCIRSGSKFRWVKLEDSADAKLLGYPQALTKFGYFLMDALREKGAKMKPLICVCYTQGREKVLIVGVCGKPRLGAVQGNAFGIAFRTTAEETGAEFFHELFESSWIVLDAVALTISGSQLHCVTFKFGFESHVYVQTALVNMYVVCGCLHECRKAFDEMPERNLVTWNVLVTGLAKQGEIALAQFSFDAMPIKNVVSWSGMIDG >KVH95787 pep supercontig:CcrdV1:scaffold_73:139566:144062:1 gene:Ccrd_002128 transcript:KVH95787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGKSAKKSASKVESAPAVVAQPTKSMKKGKRDAEEIIEKKVVSSKKQKIVNGGVAQAVEKKKVETKTQKKNAKKEESSSSEESSSESEEEQKKVVASKKAAPVVKKPVKESSSDESDSSSDEEPKPSKKQSVSTAKNGSLGKPVNKAKLPESSDESESSDDSDSSDDEEETKVKGAADLKQKPAAVAKNGAVAASKKDESSDESESEDDSSEDEAPKKQPAKNGAVVAPAKKVESSEDSSSEESDSDEEEVKAAPAKKPAAIAAATKKPESDDESSEESSDEEEPQKKKIKPSDTKAAAKPTPKAEEEDSSDSEESSDEEPAKPQAVKKPAQAAKKASSSDEDSEEDDEEDDSSDEDEAPAKTNKKSDTDVEMVDAPSAKKAPQTPMTPQATGTKTLFMGNLSFSIEEADVINFFKDAGEVVEVRFSMRDDRFAGYGHVEFATPDAAQEALKLNGESLLDRPVKLDLAKERGAYTPGSGNERSFQKSGGQALTAFVRGFSADDSFDNLRSTLGEHFGQCGEISRMSIPKDYESGGPKGVAFIDFADRNALNKALELSGTDVGGGTIIVEEAKPRGDRDGGFSGGRGGGRGFDGGRSGGRGGRFGGRDGGFSGGRGGRFSGGRGGRDGGRGGRGGRGRGPNRPSMATPGTGRKTTFGDD >KVH95800 pep supercontig:CcrdV1:scaffold_73:306055:307686:1 gene:Ccrd_002117 transcript:KVH95800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MVVKCFLFLLFFFFFFLSCAFGAHPARSLNPNASSILAGIEIPNHSSFNGVSSSSSDCDFSSPETSGGVGFDGAKQSVKLHLKHRSSGQTPMAAKESLFDSAASDVSRIQTLHKRILEKKTRNTILKPKKNDDHRLKAAEEAAAPAAAETYAGKLMGTLKSGVTLGSGEYFMDVFLGTPPKHYSLILDTGSDLNWIQCTPCYDCFEQTGPHYDPTQSSSYRNVTCHDPRCHLVSSPDPPKPCRAEAKSQSCPYFYWYGDSSNTTGDFATETFTVNLTTNVDGHKQQHQEVQVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGQSFSYCLVDRDSNSSVSSKLIFGEDKDLLKHPELNFTSLIGGKEAPVDVFYYVHIKSVMVGGETLDIPEQTWDLSPDGFGGTIIDSGTTLSYFADPAYNVIKKSFMDKVKGYPIVHDFPILEPCYNVSGVADYEKDLPSFSILFNDGAVWNFPVENYFIKLEPEEIVCLAVLGTPRSALSIIGNYQQQNFHIMYDTKKSRLGFAPTRCADV >KVH95808 pep supercontig:CcrdV1:scaffold_73:21042:35885:-1 gene:Ccrd_002137 transcript:KVH95808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF179 SSGLHRLLGQSRTKTGHLRSQFILSVCNEKPCGGSESAFPSLFIIGATTTSSFVSPPILIVFNSLGSFQIQHQQQRRTSSMANSHETQFLIPNSPESSHTTHCHRSGESRSLMKELAHMVTKKKEKFRTLKLMLVHKNHDKMLADALGATMEVTVICYRHSLPYNYRGTLRVQSILSSVHYLMSLLPEEVPFKSLPTTEDLTTFLKSTDKALLVLDFCGWTPKLMTKVMNNGSENAFGVPFGTGLYGESSDASSADGKQIQGMDNEKITCDVDNQHQFSGLPGLGEFSPLNESDFLEAEKMRSSDVGSCSFEEFQLIESSLSNFTRSIREFFLPPERLKFGLVSERLLISSLGVRVTGSWLMMLYSAGCPSCAKVFKGGSDLKRILEIHASPLKGDEYGFDPGLPSDKPSVLLFIDRSSDSLKIRRKSKESLTVFRELALHNYIPSKMNAPKVVDPERPFMETVPQHPKLEMSASSQKLTALKDKISIMVMNEGKHIAFDGVASDLQGSSLQEVLAYVLQQKKERKLSSLAKDVGFQLLSDDIDIKMSETEVQSDDKPAEPSVKGLIGSDVDLKKDQVSFMDDMLHGAYDTLPGHTKIEHSTEDDEKNSVETSAQLSVKTEDRHQEELTANIEDEKVGLQGLNLAGSNGSFFFVDGQFRLLEALAGVLKIPSLVIIDPLPHQHYVYPKEADFSYSSLSCFFHMFLNGSLLPYQRSMPVVPNSKEAPKPPFINHDFHEVDSIPHVSALTFMELVVGNHSGSLSADNAWKKDVLVLFTSNWCGFCMRMELVVREVYRAFKGYGNMMKTPFRNEQSSSRNDGINNTIPKLPVIYMMDCIKNDCSSLLKSLTKRDLYPSLLLYPAERKEAVSYDGETSVVNVIKFIADQGGNSQWIYKEQGILWAEAEQGAWNEKPFKDASEPMHEDVSLSKDNREIILKDRTQIQKLEIEKNKIGLHTPYDLSVSGHEVLPGSILVASEKLLDVYPFARSKILIVKANRSIGFQGLIINKLISWDSITELEEGLESLKEAPLSYGGPVSARGLPLVSLTRQPSRDEHPEVLPDIYFLDQWATINLIQNLKLHNRSMTDYWFFVGYSAWGWNQLFDEITDGSWTINDGTVQQFDWPVT >KVH95804 pep supercontig:CcrdV1:scaffold_73:356879:359371:-1 gene:Ccrd_002113 transcript:KVH95804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MAATSCFLHHHHALSTPARSSPSPRQVPLNKPTHLIVCRSQKRASDTDASISRRLALTVLIGAAAVGTKVSPADAAYGEAANVFGKPKSNTDFKPYDGDGFKLSLPAKWNPSKEVEFPGQVLRYEDNFDTTSNLSVDYLLGKQAYFGKTDSEGGFEPDAVATANILEVASPVVDGKQYYSLSVLTRTADGDEGGKHQLITATVSGGKLYICKAQAGDKRWFKGAKKFVEGTTSSFSVA >KVH95823 pep supercontig:CcrdV1:scaffold_73:519279:526305:1 gene:Ccrd_002101 transcript:KVH95823 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAEVVSLMEVDDDNPSQDNKGKAVVASGGGPPPHTKATPWVEKFRPQSLADVAAHRDIIDTIDRLTSENKMPHLLLYGPPGTGKTSTILAVARKLFGVQMHNMVLELNASDERGIDVVRQQIQDFASTQSFSFGAKASVKLVLLDEADAMTKDAQFALRRVIERYTKSTRFALICNNVNKIIPALQSRCTRFRFAPLDPVHVTERLKHVITAEGLDVTEDGLAALVRLSNGDMRKALNILQSTDMASQQITEEAVYLCTGNPLPKDIEQISYWLLNEPFSYSFQKISDIKATKGLALVDIVREVTMIKMPSDVRIQLINDLADVELQLGSVIAAFTRARSALVAAAKWTAVLDRPQ >KVH95820 pep supercontig:CcrdV1:scaffold_73:466062:492445:1 gene:Ccrd_002104 transcript:KVH95820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange factor SPIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G16340) UniProtKB/Swiss-Prot;Acc:Q8SAB7] MGRKPRLPVAANLNVDSLLDENLEQWPHLNELVQCYKTDWIKDENKYGHYESVGNVSFQNQIFEGPDTDIETEIHLANARQDKIEDNTDDDVPSTSGRQLTEHYGESPLPAYEPVFDWENERSMIFGQRIPESNMPQSSSGLKISVKVLSLSFQAGLVEPFYGTISLYNKERREKLSEDFIFRVVPSEMQDASSSSEPRGLFYLDTPSSSVCLLIQLEKCATEEGGVTPSVYSRKEPVHLTEREKQKLQVWSRMMPYRESFSWAIIPLFDSNIGSASGGSASPSSPLAHSLSGVNLQEGVSEPITKVTLDGQLGYSSGNSVVVEVSNLNKVKESYTEDSLQDPKRKVHKPVKGVLRLEIEKIQAANTEYDNASDSGSITNDMDHGDRVADSMVNEWRSIHSNRHHSGYSKFSDGKEQPSNGSVATGHADVTTNDVQAFDFRTTIRNEPFLQLFHCLYVYPLTVSLSRKRNLFIRIELRKDDADTRKQPLEAMYSREPGTSLQKWAHTQVSPGNRVACYHDEIKVSLPSMWTPQHHLLFTFFHIDLQTKLEAPKPVIIGYAALPLSTHAQLRSDISIPIMKELVPHYLQDSGKERLDYLEDGKSVFRLRLRLCSSLYPVSERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDEAERNIFLVNYVDYAFDDFGGRQTPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLSMAWFFLELIVKSMALEQTRLLYHNLPLGEDIPPMQLKEGVFRCIMQLYDCLLTEVHERCKRGLSLAKRLNSSLAFFCYDLLSTIEPRQVFELVSLYLDKFSGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLSQRAKAARILVVLLCKHDFDSRYQKPEDKLYIAQLYFPLVGQILDEMPVFYNLNTVEKREVLIVVLQILRNLDDASLVKAWQQNIARTRLFFKLLEECLVLFEHRKTVDSLLIGGSSRSPVADAPMSPKYSDRLSPAINQYLSEASRQEVRPQGASENGYLWQRVNSQLSSPSQPFSLREALAQAQSSRIGASTQALRESLHPVLRQKLELWEENLSAAISLQILEITEKFSRSAASHGIATDYGKLDCMTSIFTSIFSRSQALAFWKALFPVFNSVFQLHGATLMARENDRFLKQIAFHLLRLAVFRNENIRKRAVIGLQILVRSSFSHFTQTARLRAMLTITLSELMSDVQVTQMKSDGTLEESGEACRLRRSLEEMSDESKSGNLLTECGLPESSLVAIPEKSTESRWSWSEVKFLSNSLLLALDASLEHALLGSLMNTDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVSRNDGVWSNNHVTALRKICPMVSTEITSETSAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCGSILELVIPVYKSRRSYGQLAKCHSMLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGKLDKKEYVYREPRDVRLGDIMEKLSHIYELRMDGNHTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVVQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSDGDQLPRLQSLQRILQGSVAVQVSVLYITHRTLFIPVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGDEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >KVH95809 pep supercontig:CcrdV1:scaffold_73:67007:73937:-1 gene:Ccrd_002134 transcript:KVH95809 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MAGTSVVPANRGRRNTMVDDEKLVFETSRGVEPIMSFDQMGIKDDLLRGIYQHGFEKPSAIQQRAVRPIIEGRDVIAQAQSGTGKTSMIALTVCQIVDTASREVQALILSPTRELAAQTEKQILAIGDFISIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPELQVDWLTEKMRENNFTVSAMHGDMPQKERDAIMEEFRSGVTRVLITTDVWARGLDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKTDDIKILRDIEQYYSTQIDEMPMNVADLI >KVH95794 pep supercontig:CcrdV1:scaffold_73:157374:160528:-1 gene:Ccrd_002126 transcript:KVH95794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding domain CBM49 MVNSRRVFAAFVLALTIIDAAFGFNFNYGDALDKSLLFFEAQRSGKLPGNQRVKWRGDSGLTDGYQQKVNLVGGYYDAGDHVKFGLPMAYSVTMLSWGAIEYGKSMMDLNQMGHVGDGDSDHYCWERAEDMTTPRTAYKLDTEHPGSDLAGETAAAMAAASLAFRPYNSSYSDLLLVHAKQLFWFADRFRGLFTDSILCAKEFYTSSGYSDELLWAATWLYRATKDESYLKYVVDNAATMGGTGWAVKEFSWDNKYAGVQILLSKVLMDGNGGAYTATLKQYQAKADYFASSAAFLLAVYSDYLSAAKSVLTCPDGNADYILGKNPKSMSYIVGYGQNYPIHVHHRGASIASISTLHSVVGCVQGFDAWYHRPEANPNIVHGGLVGGPDKNDNFDDDHPHPKTAAKPYEKPGMPVEFFHSITDSWTVGRIAYYRHKVTIKNKSEKPITDLKLAIENLSGSLWGLSPTQNKNIYELPEWIKVIKPGSECSFVYVQGGPQAKVSVQSYH >KVH95818 pep supercontig:CcrdV1:scaffold_73:427012:432562:-1 gene:Ccrd_002106 transcript:KVH95818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADKDGKKEDLDGEFIKVEKEPADVNDGDSHTSQSLAVEDDKPAIIEKSREVLEAQEKVNELELELERVTTAFKHSESENAELKKQVSLTTEKLEQQEKKHEDLEVNHKKLEELLKEAEERYNLQNSTLQEELQAQEANQKELVKVKEAFETLNIELETTKKRMHDLEQELGLSASDAQKFEELHKESGSLAESETKRALEFERLLQLAQASAKEMEDQMALVKEELKSLYEKIADHQQIEEELKKNTADLSAIREELEASKSQAESETKRALEFERLLQLAQASEKEMEDQMGSVQEELKGLHSKIADHQQVEEALKKSTADLSVIQEELEVTKSQAESETKRALEFEGLLQLAQVSTKEMENQMGLVQEELKGLHAKIADHQQVEEALKKSTADLSAVQEELEASKSQAESESKRALELERLLQLAQVSTKEMEDQMGLVQEELKCLHEKIVDHQQVEEALKKSTADLSAIQEELEASKSQAESETKRALEFESLLQLAQVSTKEMEGQMSSVQEELKGLHTAQLSAIQEELEVSKSQVLEIQQKCTSQEAQISELTEDLNLKKVSESQIKEDLLAKESQLEELKLKLQEEGSAKVLIQDEFKSQEAKLILIQEQLDKATNEKEALDAVVADLRTDLAQTKDHCSDLEAKLQVSTENLKAEAEEAKLQAKELEKQSELLELKAKETESELEQFSAKISALNSTLTSLEEEKKQLNQQVQDSTDKVIQLESELTQSSARNTELELQLKSAVEKYTDHETRAITSHQRSVELEDIIQTLNSKSENSGQRASELELLLETEKYRIQELEEQISTLEKKCKETEEGSNIHSRKVSELESELDALQSKATALEAAVESATKKEQELSESLDLATTEKKHLEDTSRKSSEQLVETENLLEVLRNELKVSQDKLEGIENELEATKTREAETIQKLKSAEEQLEEKETLHETHTRNSELKIQEAVANFTSRDTEANSLLEKSRNLEDQLKAYEVQLAESAGRSALLKEELDQLVQVKTNTERENEELKRIISESDDNAAKTTDENEMLVATIIQLKDKINELEISLSTAHSEKESATQKLDSHVKTIADLTDQHSRAYEVADKAGKELSEANLKLTREVAIYESRLDDLQTSTLVFNSQISSLVEENNMLKGTHENAKKELQTFIATLEGEVKEHTCNETSLKTELENLKAEMEENAELKNNLKNLEEKLAAAQNTIVEQKETHSQKELERDAALKEVEAKNASLSHLETQIKDLTEKLNAKPKEEVVSSSEVKSRELGSMAVKRKSKKKVEEGSSTAPKAQIESKEGSIGTSFKFIVGVALVSIIVGIVLGKRY >KVH95812 pep supercontig:CcrdV1:scaffold_73:109207:123740:-1 gene:Ccrd_002130 transcript:KVH95812 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MLFGCQIFCLFDANLSNHSEHSKNLLVECAASHLKHKKFTTTYGSRLDSSSGKILLQSVPGTELYRERFIRALARDLQVPLLILDSSVLAPYDFGEDNSENESDDEGEEVTSESEVEDEASNEEEWTSSGESRSDDEDIEARAEEALKKLVPGGIEEFAKKVAVVGESSSESSKQEEVESSEEPKEPLKKGDRVKYVGPSVHVEEDTRILLGSIATSDGTRKAYTVISRRPLATGQRGEIYEINGERVAVILDSTEDKADDVKDNEKLEQSAKPSIYWIHAKHVLRSVQPLIVYFPDSSLWLSRAVSKSNRKEFVHRLQEMFDQISGPVVLICGQNKVATGSKEKEKFTMILPNLGRLAKKKTHLEYSINKLMKTDELLYHEVLDENELSCMDLLEVNTDGVILTTKKAENVVGWAKNHYLSTCALPSIKSDRLNLPRERYSSLLIVPPGEIGIKFDDIGALEDVKTALHELAILPMKRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVDSLLGARGGGFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPIYVDLPDADNRLKILKIFLAQENVEPGFDVRSLANATEGYSGSDLKNLCIAAAYRPVQELLDEEKKGKKSDTTPALRPLHLDDFIQSKAKVLNKFRFIRVLEQQIIMDELLARHDTEQVDQPLRRLTDVFPNCVAASRRVEDGEVYVRIRVSGMEETAEAYRVWHTP >KVH95796 pep supercontig:CcrdV1:scaffold_73:271675:274901:-1 gene:Ccrd_002119 transcript:KVH95796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTYTTPITVTDSLIMPTEPPITSDNAPHPLKVSEYGNVYIFPTYIAKVDFDTLLIQSVNKIQFYAFIFCGFDHFEQNRVVLASVFGQSSSTPPLFATFSTITRVVVILAGFGWNLATILVIGGGGSMVVSGVAVCGGFCVR >KVH95788 pep supercontig:CcrdV1:scaffold_73:535123:539432:1 gene:Ccrd_002099 transcript:KVH95788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF829, TMEM53 FSSGNNKFAPGVSDFRSEGRQRLGALLFRNSIYIGDYVDSVRHRHILCRLLLLLKFVPPELFIYQIGRKAWFGLADSVYIKASRGLSIRTFIGSPKQFFYKDLLQILAIAVSKIMGSLSGLFQRPVVAASAVALASVSADLRDKFWPSKPSDSSLSSEQSSGCTSELISETKWARISDISVAKLCNLSSVTKSPIPVSNFRYPAVHVGDNRPSDNIASNLAAFPALLNLYRSAELAKAPRPIKYAPNVPNSISKDVVYRWHLPHPNAVDLSENSKMVVVLLGWLGAKQKHLKKYADWYTSKGFHVITFTFPMAEILSYQVGGKAEQHVDLLVNHLAEWLEEEYGKNLVFHTFSNTGWLIYGAILEKFQRQDHNLMGKIKGCIVWASGFSAAFLKKNSIAAKGYRNADNIAAKPAMSEVALLVVLEKFFDVILNLPTVNHADRVIPAGSVESFIEAQQKTGRVVRSCNFKSTPHVDHFRHEPELYTSQLSQFLNDCVLSSCKHN >KVH95813 pep supercontig:CcrdV1:scaffold_73:109000:110283:1 gene:Ccrd_002131 transcript:KVH95813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MPSATSGPQTPHLQTLLESARPFLRRQFENVDVKLPSLIAVLKSVGAGECWHKHGSFLDHLVDIYRILKLWGVPDAVCLCGLFHSAYSNSYVNLAIFDPSTGRDTVREHVGEAAERLIHLFCVVPRQQLIHDDLLFQYSDESELVQHLKDSERSLINAKKGVLEIGDEIQGWRKKLQSILPADGIVLKHIKTGEDVHLSRKVVATFLLMTIADFSDQLFSFQDVLFDNSDGMLKFSGNDWATALWPGDGKPGLWMNSISRMGAIYTLIVREEQLYSIEQPQLQSPSANNRDDELELVTPPILENCTKILSAKDQIEARDLYWEGVCEVSKRGLNGCEEQLKRSLEKNPFVGEPHVVLGQIYLSQGRFEEAEKEAEEGLKLLLEWGSPWDKRMSWEGWISWCRVLVMKAKEKSWPETSWGILNLGLVR >KVH95801 pep supercontig:CcrdV1:scaffold_73:384675:387029:-1 gene:Ccrd_002110 transcript:KVH95801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRGLLALNRHNSIFASQSFYYPKRFYIPHSCLKDVSTVSEALNLAGSSKSSVLGSQIHGHIVKLGLSNDIFSQNNSIKMYANSGVFGDACKLFDEMPKRNLVSWTLIISGANKNGEYGVALDSFVYMIRTGYFLPNEFALGSIMKACISMGAIDFGLCIHCFSVKIGMDKNDFVASSILHMYSKYGGIEASEQFFKSLNGSDLGCWNAMVGGYAQCGYGLEAVNTVSSMHSKGVLMDEFTFIHALNACSITSELDFGSQIHGLIVRNGFESAITLTNSLIDMYFKTGANDHAWKLFETMEDKDMASWNTVLAVSSQIAGVEQVVSLFTDFMFTGLKPNRITFLILFRMCGDLLEVDLGLQFYGLAIRLGLNGKPHVSNCLINMFCRCSDKETARLIFDSLPSRNVQNWNEMIHGYNWSSDLEALKLFTNLWGSSVEPDEITFSCAVEACFKTENVQVGRQVHGIIIKSGFASNGYICSSLIHGYAKFGFLTDSYAFFDDKMDLVSWGALISTLVDQGYTRTAIGFLDRLKEDGQNPDKFIFGSVLNACASIASLNLTKSVHGRVFRIGLDTDEHVASSIIDAYGKSGDITSAAIAFHQSCRFADVALFNTMIMVYATHGRVTEAMEVYEMMKSANLKPTQSTFVSILSACSHVGLVDLGRTLFRSISLDYKMDPSPDNYGCLVDLLSRNGHLEEAKSVIESMPFLAWPAIWRSFLNGCRIHGNVELGKTGAKKLFEMFPETNAGYVLLSKIYCEDGNWEDGLKVRKEMVDKAIRKDLGCSWINV >KVH95814 pep supercontig:CcrdV1:scaffold_73:12244:13626:-1 gene:Ccrd_002139 transcript:KVH95814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRKHHHNLLSLLHKHHSNRRSIQQIHAHLVTTVAITHNPPPITLWTTILRHYSLGNSPEEAFLLYKHHLHPTTLFYGDSFTYAFLIKSCANSQLPMSGAQLHCMTFKFGFESHVYVQTALVNMYVVCGCLHECRKVFDEMPERNLVTWNVLVTGLAKQGEIVLARSFFDAMPMKNVVSWSGMIDGYTRANQSKEALSLFRQMVALSGCIKPTEITILAVCPAIWNLESLELCQSVHAYGEKNGFYATDIRVMNALIDVYSRCGSIANALQVFESIASEKRNLVSWTSMISVFAMHGMAREAVDSFRRMEELGMKPNRITFLSILSACSHGGLVEEGLVFFKKMVDDSRIVPDIKHYGCLVDLLARAGRLEEAEKMALEVPTDMATDILWRTLLGACSYHNNVEMGKRVTSKIFEIERRYSGDYVLLSNIFSGVGDYVDSEKVRRKMDEMDVCKVPGHSSI >KVH95797 pep supercontig:CcrdV1:scaffold_73:339576:356093:-1 gene:Ccrd_002114 transcript:KVH95797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASGYHYFSTAAASSTDRRTWLLTPQPPSKSIVSKINTASTTRNCVPSQLRSSTFYMPFSPLLVTSSRRARLVSSTAAAAARNNIDPKEAEKKEEEVEEVEEDLPWIQDKALDIVEFSGSVTQALPGPRVGQSSVPWILAIPLAYVGISFVFAVVKTVRKFTSPKEVRRKLVNKNAELCRSIDELLEKEGNGVQEEALNGLMQKTGFSMVEILRKYIRYALNEKPFNPKLVATLIQLRKTTLLDDSQVAEILNDISKRIVKDKGPVVMNVSGYSEKGLRRKLAVQALFGKIFYLSELPEFCGRDSSLIVKEIFGVVDEDAEKLRLHTVAEAGDMDSLEKMVGDSDSDLDSEDSGEGSASALGDP >KVH95799 pep supercontig:CcrdV1:scaffold_73:320588:326407:1 gene:Ccrd_002116 transcript:KVH95799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTTQHLNNIIGGGDKIFDASQYAFFGQDNSEKADFGCLEVEEEDDNPLSGIGDDEYRLFDREEESGVGSLSDLDDLSTTFSKLNRSVTGPRHPGVIGDRGSGSGSISRESSSASEWLDQPISDTESYQGTRRWSSQPHLYSDSKPLYRASSYPQEQHQFFSEPVLVPDSSFPSFPQTNLSSPRHHSHLSNLSSAITNSQLPFSDPNLSPLSNTNNLHLGPVLHGSSRYSGSRSHLMPPGLSRYSQAQSNWTNHMLHVDHAGLLSNTFQQKLLHNGSLSPHFMSPIQHSISPFAPLQLCPLPSRALHLGKYGFVDVRDQKPKTTQKGSRHSGRLSRQGSDGSGQKSDKFRVQFKSKYMTSEEIESILRMQHAATHSNDPYIDDYYHQARLAKSSDSKTVIRFCPAHLKDSPFRSRNSTESQHHVNIDANGRISFSFIRRPQPLLEVDPPADSVDGNSERKASEKPLEQEPMLAARITIEDGLCLLLDVDDIDRLLQFTQPQDGGSQMRQRRQILLEGLAASLQLVDPLGKSSNSSVGLTPKDDIVFLRLVSLPKGRKLISRFLELLSPSSELARIVCMAIFRHLRFLFGGLPTDHGAMMTTTALVKTVSSCICAMDLNSLSACLAAVVCSSEQPPLRPLRSPAGDGASVILKSVLDRATQLLSNSQVSSGMQNPTLWQASFDAFFGLLTKYCSSKYDSLVQALYTEIAGSTEVMVSEQARAISREMPVELLRASLPHTDSNQRKMLVDFSQRTMQGGGLSGGRKGSGGATAAESVRV >KVH95821 pep supercontig:CcrdV1:scaffold_73:499507:509225:1 gene:Ccrd_002103 transcript:KVH95821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFVAHASSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISLDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNSVKLWDLTAGKLLHDFKFHEGQVQCIDFHPHEFLLATGSADKTVKFWDLETLELIGSAGPETSGVRCMTFNPDGRTLLCGLHESLKVFSWEPIRCHDAVDVGWSKLSDLNMHEGKLLGCSYNQSCVGVWVVDISLDHCMLFLFLIQTDVSCAIIDSKQRIEPYNVSKSNGHQEQISNASGTLSVLTENTTKTSLGRLSISQSSDPMVKETKSLGRLSVTQNSEPTKDSKTLSCMPFLIYFTLPMTFINYCVHNEATGNVPGIPQKMYPNVVQKINPTASVSVPSAPVTSKRSVTKTHSTQNVSTFNRLDVAPVIVPRNSVRSEQAVDLRREGISGRVTPTMLLSKTPDSRKFSNTKDEVEKPLSSLQSLSDMSDTESSRVADRNAFTSMKDPGFVIPAKEPNFDDDRCFVSGKPEQNVVTESHPSYQPEIYEGRLPRPNRDTYSMENKRRGRTRTLVSTWDRKERPPYHDTLPSSSLSDGVSAVNMRPNTVKQLSESTVKETESVSEDDAIVDMMGRHDQFVSSMQSRLAKLQMIHRCWDRNDMKGAISAMERMADHAVTADIVSLLTGKIDSITLDICSCLLPLLASLLESDMDRHRGVSLELLLKLVRIFGSVIYSSLQASSSVGVDIEAEQ >KVH88573 pep supercontig:CcrdV1:scaffold_730:95973:98097:1 gene:Ccrd_026457 transcript:KVH88573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLPILLLSTIKTSNSAPRRLFSSLKLVRSKLAFLQSYNFAQMDDFRLQIHGSQDDCPELKEHLKSNPCFRGERGNAFTVHHYAGEIFASNMRSQPEKLVASSLNISGGVDSQKLSVMSKFKVASLKTIFL >KVG38257 pep supercontig:CcrdV1:scaffold_7300:10104:11954:-1 gene:Ccrd_026458 transcript:KVG38257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase, central domain-containing protein MASLATPTSFTAIPATTPATTTKRLPNSPFLSTSRQRSKQSTHRSFKVSCNATDNNEKXLESEKLTLNLDRRNLLLGLGAGGLYSTVNLPAAFADPITTPDIATSCKDSTDGFNLNNHIRTNACCPPTSLTPVQDYVIPTDEVLRMRPAAHRVTPEYVAKYQAAMAIMRALPXXDPHSFAQQAKIHCAYCNGGYLQAGYTDKELQIHNCWLFFPFHRWYLYFFERILGKLINDPTFALPYWNWDNPSGMSMPGFFEGKYLPNSNSRLPNPAFDAFRNVDHLPPAILNMDFQNSASADYTCVQQIGANLTLMYKQMITNSPNADTFFGGVFRAGDDPIDIQHSTGPIESGVHTAVHIWVGDXRMPNNEDMGNFYSAGWDPLFYTHHANVDRMWALWKDMQGPDYPDHXDPTDPDWLNASYVFYDENKELVRVYNRDCVNVENLSYVYEPSPLPWLRSRPVPRNTNSNVAAKSFGTVKKVEETKFPVKLDQTVKVLVKRPATNRSKEDKKKSYELLYVNDIKLDGEKFIKFDVFVNDLDDGTPSTPTDSEFAGSFSQLAHLHGHEMVMRSGASFGLNELLEDIQAENDEYILVTLVPGEGCEDVTVGEIKVELVSSAS >KVG38179 pep supercontig:CcrdV1:scaffold_7301:13360:17371:-1 gene:Ccrd_026459 transcript:KVG38179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRDDLCTSSPALSTSSKPFLITTFCIQYHLMKAPSSESGSITNLSRSWANMVEDWAIVTLNKLAYDGPIKSSMRDDGATYTHVYHGMGQSELCSGLVPSTSMVCILSVLTPDQQI >KVI04396 pep supercontig:CcrdV1:scaffold_7304:6950:10564:1 gene:Ccrd_017289 transcript:KVI04396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKETKYDEIFARSFSRHDQKRFGYTALVVCFIVTCCLCTVFKPYLAPLPAYIGIKETKLLCNTTKPRSDTCMMKGDVRIQGNSSTIFVLSSHVENASWTIKPYARKGDEKAMQSVTNFSIKAIEEQAETMPKCTKMYNEPAIVFSVGGYGGNNFHAFTDVIIPLYATSREFNREVRFLVANKRSQWTTKFQKVLDKLSRYENIDIDNDNQVHCFPSMIVGLNKEDRQELHTDSMNDFIRFLRSSYSLERSTTIKLTNSSIKRPRLLIVSRQKTRAFMNLKDVVGAAQEMGFEVIVTEMNANMTQVSRLVNSCDVMMGVHGAGLTNMVFLPENGVVIQVVPCGKMEWLANTDFGEPSKAMGLKYLEYKISEEESTLIDQYPHNHQVFRDPGSIQRKGWGAFRSIYLDKQNVKLDVAKFKETLSKALELLH >KVI04397 pep supercontig:CcrdV1:scaffold_7304:11865:13299:-1 gene:Ccrd_017290 transcript:KVI04397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCIQFKRSSSSSSSFKCSISSSASLSDHIIVCSSSIGEFDGIPWTYL >KVH96781 pep supercontig:CcrdV1:scaffold_731:15512:17976:-1 gene:Ccrd_001125 transcript:KVH96781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MQKKNEDFCRRRLVTMSMKDLQNGCSIQLKKNERWEIRIGEKIVEMIVNMDCHGCERKVRKALRNMNGVESIDIDMNMQKVTVSGWIDQEKVLRKVRRTGKKAELWPFPNNPEVIGYTQEYADMYTYHSDPATYFHVEQPADISTYNYYEHGSNGQQHVTYQHLPYSTAVGERATIAFSDENVNACSIM >KVH96784 pep supercontig:CcrdV1:scaffold_731:178621:182987:1 gene:Ccrd_001128 transcript:KVH96784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKKRKTEENGVLYTTAGANDVVAPPPSLSSLSLTPLDARKILEPFSKEQLLDVLQTVIIRDVAVLDAVRSIADSDPTQRKLFIRGIGWETTTEKLRSIFSGFGELDEAIVITDKTTGKSKGYGFVTFKHIDGAILALKEPSKKIDGRITVAQLAAARESGSVDVSMRKIYVGNVPFEISSERLLSHFSSYGEIEEGPLGFDKQSGKQKGFAFFVYKTEEGARNSLVDPMKNIDGHQVMCKMATDGKKGKVGGPQRPSGMPGDAVPPPGSMPGSMNTGYGMPGGLTSFGGYSGGRPLPPHQNSQMNSSVPSAVGGGQGFGNQGPPSYSGGSGYGGGGGYSGGGFTSGSHFGGGAASGEYPGINTFGSSMNRMPPTSGGYPDSGNYGSSSAYPTQMHQPPAGPRGPTGQMYQGGPPYY >KVH96782 pep supercontig:CcrdV1:scaffold_731:81143:84739:1 gene:Ccrd_001126 transcript:KVH96782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MDFYTWNQKFSNLGSNRNDRSLGNSDGFSRDCGNADGVFTKSSQSLVLNEQKGELVKADGKVIGKKIGLKSDEKAMAALKSHSDAERRRRERINAHLHTFRGLVPCTDKMDKATLLAEVIRHVKQLKTDAKEASIGLLIPEDVDELIIEKVNGGLTFRASICCKRRPELLTDLRRALDALKVNIERAELSALGDHMKIVFYFTRTTTATTDEDLVSFVREALNSVIEKAPFSPEYSPRTTLPNKRRRYSL >KVH96783 pep supercontig:CcrdV1:scaffold_731:164177:168267:1 gene:Ccrd_001127 transcript:KVH96783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MTDSSSSSWYQVYVKLRFFSRIRRLLVHKNSSSKQYGPSASQSGDHRRTQEIIVDQGETRFVAGISESNNCGGGGGDDDDDDDDDDDGILQRSVKSLHFGSREEKAAAAREIKRLAGDDLRRRKLMAALGVIPPLVVMVGSEYAADHRRLAVQALLELANGTCTNKALIVEAGIFLKLSQNVNIEESIKPEFAQLIMSLSSLVNAQFPIDSSKILPLVLYMLESNSSVETKLLCLGTLYNLSNMIGNVGSLVTNEVIHILLKLSSMKEASEKALATLGNLVVTSMGKQALENSPLVPECLIEILTWDDKSKSQELSAYILMILAHQSSLQRTKMAEGGIVPILLQVALLGSALAQKRALKLLQWFKDERQMKMGPHSGPQTRRSSFSSPMNHVDAGEGKKLMKKMVQQSLYKNMETITRRANGDSDKSNAKLKLLAISSSSKSLPY >KVG37573 pep supercontig:CcrdV1:scaffold_7311:5063:5491:-1 gene:Ccrd_026461 transcript:KVG37573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MPLGTTIHNIEITLGKGGQLVRAAGAVAKLIAKEEKSATLKLPYGEVRLISKNCSATVGQVGNVGVNQKSLGRVGFKRWLGKRPVVREVVMNAVDHPHGGGEGRAPIGRKKPTTPWGYPALGKRSXKRNKYSDNLILRRRSK >KVG37571 pep supercontig:CcrdV1:scaffold_7311:16880:19307:-1 gene:Ccrd_026462 transcript:KVG37571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor RGLHTAVYRVGSGCSCAHKEAKLKCLGFLAAFYSAHVGGHRSFLSREKTVSTLGRMVLFIWLFVVLIINSSYTANLTSILTVQQLSSPIRGIDSLIASNERIGFQIGSFSENYLMEELNIPKSRLVALGSPEEYAEKLGGGIVAAIVDERPYVDLFLSNYCRDSPLAVDISTAILTLSENGVLQKIHDHWLKRKTCSLRNSDSDQLQLESFWGLFLIFGVACALALGIHFCMMLREFGKHDPSPEKGSRSVRLQRFLSFADEKEEISKRKLKRKRDGREVNRSNRIQAEVDEDQNCE >KVG37572 pep supercontig:CcrdV1:scaffold_7311:3971:4486:1 gene:Ccrd_026460 transcript:KVG37572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MIVFQAEHNILMHPFHMLGVXGVFGGSLFSVMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGIWXTALGISTMAFNLNGFSFNQSVVDNQGRVINTWAGIINRANLGMEVMHERNAHNFPLDLAAIEAQSTNG >KVG37364 pep supercontig:CcrdV1:scaffold_7314:3654:4209:1 gene:Ccrd_026463 transcript:KVG37364 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 domain-containing protein FRGRCFWFSFQSLLLVYAYRGETTVCDEEIVESDTLSEESYKDNTLIMQLLRDNHTLWTSDLPEDGDNSYFLC >KVI08733 pep supercontig:CcrdV1:scaffold_732:106913:116984:1 gene:Ccrd_012893 transcript:KVI08733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock chaperonin-binding MGGGGGDGAGAGAVTEGIESNKAEGGETVTVNVRCSNGTKFSVQVTLESSVESFKSVLEQNCDIPAAQQRLIYKGRILKDDQTLKSYGEYRVHWKKMVLGLEAEHTVHLVRGFVPATSTPAGVTPTRANTTTPVAREVGSVEGGAIGAGIGASPFPGLGLSGLGGNGGMFGAGLPELEQMQQQLTQNPNMMREIMDLPLVQNLLNNPDVMRNMMMNNPQMREIIDRNPELAHMLNDPAILRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMGGGDTRNELNSNPFAALLGAQGGPVREQVANPTTTGVEATTGSPAPNTNPLPNPWTAGGAGANQTNASATNPAGTGRSPPVGGLGGLGAPGLEGLFGTTPDPNSMSQLMQNPAISQMMQSLLSNPQYMNQILGLNPQMRNMLESNPQLREMMQNPDFVRQLTSPETMQQMMTLQQSLFSQLGRQPPTREAGQTGAGTGAPDMGLDMLMNMFGGLGAGGFATPNNSNVPPEELYATQLAQLQEMGFFDTRENLQALTATAGNVHAAVERLLGNLGH >KVI08732 pep supercontig:CcrdV1:scaffold_732:85671:88533:1 gene:Ccrd_012892 transcript:KVI08732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MGCRKFGAEIGHNRRALSVINQNLGGGGGGGGCRRGAQPHPCVANKRALSQYEEVEMEDPVVDIDISDDRNHLAVTEYVEDLYAHYRRMENCSLVSPDYMTQQFDINEKMRAILIDWLIEVHHKFDLQPETLFLTVNLIDRFLAKQSVIRKNLQLVGLVAMLLACKYEEVSVPIIEDLVFISDKAYSRCQILEMEKLMLNTLEFNMSLPTAYVFMKRFLKAAQSESKLDQTSFFLIELCLVEYETLKFPPSFLAAAAVYTAQCSLYGMKHWSKTCEWHTNYSEDQLLECSRMIVGYHQKASTGRLTGVYRKYNTSKFGYAAKRDPPSFLFQSNNS >KVI08735 pep supercontig:CcrdV1:scaffold_732:124402:127637:-1 gene:Ccrd_012895 transcript:KVI08735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptojanin, N-terminal MKKVDPSHKLYRRMRLWEFPDRYIVQPIDGSSGSWLAVSRDDGSTTLVDEFAQCAKHFVPKFQPIFGVIGMLKLLAGSYLLVISERKTVGTYLGHHIFKVVSLKVLPCDQSSRNSSKQEKKMESEFSNLLKVTEKTPGLYFSYDVNITLSTQCLNELDDESKLLPLWRQLDPYMLPIIQGFQSTIGLDIIDVILIARRCTRKKGTRLWRRGADSDGYVANFVESEQIIQLKGCTSSFVQVRGSIPFLWEQRVGLTLKSKFKILRHEEAAQVAERHFLGLRKKYGNVLAIDLVSKHGDEGKLSEKFATSVQNIVSDDMRYNSIYFPVSYMINRLTCVDTCTSTSMAFVDMFILSAYLCFMQKLRIFCIKIGIFHLHTQ >KVI08726 pep supercontig:CcrdV1:scaffold_732:2607:6508:1 gene:Ccrd_012887 transcript:KVI08726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MAESPHSSSSNSPPNLSAGATPTTMSWRLGLFLSAVGTVVISLLLVQFDTFDAAPYSSDELAKQPIPGAPRTNPRILHGSEKIGEGKLLGPEDIVYDPKLGLIYTSCVDGWIKRVTVNDSVVEEWVNTGGRPLGLALGYAGEVYVADAYKGILKITEHGEIEVLTEEAEGVKFGTADALAVAENGMVYFTDATWKYNLHDFVYDLLEGRPYGRFMSYDPSTKQTKVIARDLYYANGVEMSPNQDSVIFCETPMMRCMRYYIEGEKAGTIDVFIDRLPGMPDNIRYDGEGRYWIGIPTDHSYDWDLARRYPFIRKVLAFLAKYLKRPSLERNSGALAVDLEGRPVERYYDRGLAFVTTGVKIGDYLYLGSLVKPFIIRLNITQYPATTT >KVI08737 pep supercontig:CcrdV1:scaffold_732:135115:135699:-1 gene:Ccrd_012897 transcript:KVI08737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MASFMHLYSFLAILLFVLHPLQAATTNHHHHHHHHHHHHHDGLKSLHFSLFQHETINKTGYIIVNGVAGPPVSQTTTPFGTLFAFQDPLTLKPDYTSKVVGTAQGTSITSGLDGLQSISIAKITLNVKKHKGSISVVGVTHNTKPSNHPVVGGTGDFLFVQGYVTSSPVNLVGLTVTYKIEFHLYWPPYAHPKP >KVI08724 pep supercontig:CcrdV1:scaffold_732:20129:24690:1 gene:Ccrd_012889 transcript:KVI08724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MIDGYWNHCYQPQEHESSSRLYIFLTRLQSNSNPRLVSSFTFFSSMAACLGSLSEMHSISTVLEEVDQEKEIVRPDTTDSNPISPASTSTSTSTSVMVMRHKVERIFPVYARGGLSPRSDSVVNSGSVVGDSIWDAVRVEAKLEAENEPILSSFLYASILSHDCLERALGFIIANRLQNNTLLETQLMDIFCDVMVQNRGIHRAIRLDLQAFKDRDPSCLSYCSALLYLKGYHSLQTHRVAHALWNQGRKVLALALQSRVTAKIGEGILLDHGTGLVIGETAVIGDRVSLMQGVTLGGSGKETGDRHPKVGQGVLIGASVTVLGNIKIGDGAMIASGSLVLKDVPQHSMAAGIPAKVIGYVEEQVPSLTMKHDASKDFFEHVAVGSDGRSNGSYSI >KVI08725 pep supercontig:CcrdV1:scaffold_732:9471:12858:-1 gene:Ccrd_012888 transcript:KVI08725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenase family MAQRTASILKNFVSGNPSHLRSCLRSITYMPRPGYNGSRPVTPITEEGRPRPVTLIPGDGIGPLVTGAVEQVMDTMRAPVSFEKFEVHGNMSGIPQEVMDSIKKNKVCLKGGLITPVGGGVSSLNVMLRKELDLFVSLVNCFNLPGLPTRHDKVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAHLNNRKTVTAVHKANIMKLADGLFLESCREIAKKYPDIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGYAIFEQGASAGNVGKPKMVEKKRANPVALLLSSAMMLRHLQLPEYADRLETAVKRVICEGKYRTKDLGGSNTTQEVVDAVISALES >KVI08728 pep supercontig:CcrdV1:scaffold_732:141136:143540:-1 gene:Ccrd_012898 transcript:KVI08728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein YAKSPINLIISPRIYSTSFNSPTPSIYNRRKGLSGSTQMAEDPIIATDNSLKKLELERSDDTVSRFLGGLNHTIRKLVFRVISSGPIPQHIAFILDGNRRFAKKWKMTEGAGHKAGFLALMSVLKYCYEIGVKYVTVYAFSLDNFNRRPDEVQYVMDLMQEKIEGFLKELSLVNKYGVRVMFIGDLKRLYEPVRVAAEKAMAATAGNTKTYLLVCVAYTSSHEIPRAVYESCEEKNGVMNENGSVNGDVNTGNGSEVVVKMVDIEKHMYMAVAPDPDILVRSSGETRLSNFLLWQTTNCLLYSPKELWPEMGFWPLVSCILEFQKNYKYLEKKKKQA >KVI08730 pep supercontig:CcrdV1:scaffold_732:27080:44232:-1 gene:Ccrd_012890 transcript:KVI08730 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIP-like protein MSEGEDEIEVRLENHVGDAVQPYPKLNDPSPQNSGDLNEDTALSDGSLNSEKLNDPSPQNNGDLNEGAALSDSNLNSENLNDTSPQNSGDLNEAAALSDSNLNSEKLNATSPQHSGDLNEGSAISNSNLNSEKLNDPSPQNSGDLNEGTALSNGNLNSDDTQDQLMQMVVELKFQNEYLKSQFQELKNVHTESAGSFQQAKAIDQDGEFGGSKELHDKIDSLSKELIEERQTRGAAEAALEHLRAEYSDADAKSQELAAKLAEAEKNLDQQIKERDEKYSELDSKLNRLHKRAKQRIQEVQKEKDDLEAKYREVNEKAEQASLQLSGLQQELDRTRQNANEALKAIDTERQQLRSANNRLRDSLEEMRRSVEPKDNAIETLQQSLLEKEQMLDNMRGQLQAAEEKRQASMAELSSKHQKQIENLEAQLADSSADRTKATETISSLQRLVAEKESKLAEMDAASTGEAARLKAAMETIKGELTHLKHEHEKEKETWVVASQALSRKLEIAESNCIRAEIEAAKMKSQMELELSVQSQLSNTKDAELLAAKEEISRLESEFTSYKARAHALLQRKDAELASAKDNEQLAALEEALKEAENEILTTSAERDKALQDLENALSRHEKELSARDEALGLTEQQVKSVEMKLSSALSSHQSEKESWEKNLQNALKSEVEQQKSSPSETLQKELQDLQTRYKKLKEEHDSFRDLADKLIEEKDMEISKLVDNNKNLLRSLSSKQSANNNENGHNMAFSRQDTTNSNTSIEEHQILEELEELERENRLHSQQQAMLKEELRNMERSKKREGVDMTYLKNVILKLLETGEVGALLPVIAMLLQFSPEELQKCQNAYSSSTDVPPSPGPSPGSEPPGSGLSLFSRFSFS >KVI08727 pep supercontig:CcrdV1:scaffold_732:171461:177126:1 gene:Ccrd_012900 transcript:KVI08727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MYGSRGAMYGSGGLSDGYEIGSKRPRMMESNPYFAVSSGSGGYHPYDYTGGFQPSTFPVVRLRGLPFNCTDVDIFKFFSGLEIVDVLMVNQSGRFSGEAFVVFTRSMQAELALQKDRQNMGRRYVEVFKCKKQDYYHAVAAEVKYEGIFDNDYHGSPPPSARSKRFQDKTQLEYTEILKLRGLPFSVKKSEILEFFKDFKVADGKVHIGCRPDGKATGEAYVEFESADEAKQAMSKDKMMIGSRYVELFPSTPDEARRAESRSRQ >KVI08731 pep supercontig:CcrdV1:scaffold_732:51334:74627:1 gene:Ccrd_012891 transcript:KVI08731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly A polymerase, head domain-containing protein ITVRRSVFIVPIKALFALQGLYHKVVEGGDAKAQLLGADDYLIFKPGAIDVSKWKKMDSRSMGITQKMIPAPPYTVLQILRSRVGWNRMDKKWDEEYNEVDLYHSAIMFIGLIHPLIADLYTTTFFTGFEAYLVGGCVRDLLLKRPPKDFDVITTADLNQVLLPLNYHNCDVFRIRKQFHRNEVVGSRFPVTSFDTLAKDAEGKEKFLISHMPRGSDKLDLLRTLVPAQLSFTEDSARILRGLRIAARLGLSLSKEIKSAILKNGSSISSLGQFRITMELNYMLSFGAAESSFSLLHKYHLLEILLPSQAAYISQQVTRSGQSSMMLMVFISKYKGNSTRQLFHVLAHKVETYEKGRTSFEINYDLLGKGDSLETRFVLGKIILDTMGCGVHRDSDPNEDNYDGSFSPNNDHLMNKKEDRKQPPFSSNLVLQQRSAPKKPKLIAKLCSIMQQRPKLDEFQDELGDLQEGVSTKHMEVFDISSDPENEDGKMYKPEISMPQSPVRNLIRMLETVIDDDAKSPSQLDSQNLEERLEGNTEVELDQSIAEHQRERNETGFDSLLWFVQTNCNRAIEEARDSPADMRKLNKFLDRFVDEQVQIKKVKKQLETYDKVTSIHAFQTGGKQLEASSLTSSIGNEQIESEQSEASSLTSSIGSQQIGGEQPEASSLVSANRKLRKATCRVEPESRMKYSSYAGEINVSKWKKMDSRSLGITRSMLPSSPFTVLKILRTRGFEAYLVGGCVRDLLLKRTPRDFDVITTADLHQIRKQFHNCHIVGRKFPICRVHMKGSVIEVSSFKTSAKHSEDKEKFLVSQVPRGCDRSDLNLWKNCMHRDFTVNSLFFDPVIHKIYDYSNGMKDLLELKLRTLVPAHLSFSEDCARILRGLRIAARLGLSFSKDTETAIHKQASSILVLSESRIMMEIDLMLSFGASESSLRLLHRFRILEMLLPLQVIHDQIGLLAFHQALLDKPQDPFVVLNFASVLYHQDWQGGLQFARQCGRAPVSFEPELSEPCGSISDDELSIKVRQLALQVLDSIEALVETDSLHKKMSKFPGFPCSGLVSQSVSSLLPVSHENCFVLLRKTVIFIPKKSARDAAELFHILARKVETYNKGRSSFEMNYHLLGKGDASETRFAVGKIILNTMGCKVDQDDDDDNHPSSSRAERGHFSPSDSRLHQPNIYNPKKQQPIAKLSGNKKQNSATEKKSEDGSFLELEQQKEVVSKQHIGALSNYSCPKMEDYATEKPQEMSVSQSPTQKLVCKLGTATENEKFPSELEAQNPKEKLNIDAVVQVDNLITKEQSVDRRKQARPLSSLFK >KVI08736 pep supercontig:CcrdV1:scaffold_732:128211:134193:1 gene:Ccrd_012896 transcript:KVI08736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptojanin, N-terminal MMVKALPSQKLYTRMRLWEFPDQYIVEPTDGSSGSCLAVSRVNGSMTLVDEVPRFTTPRVPKIQTVFGVIGMLKLLAGSYLLVITERESVGTYLGHPIFKVLTLKVFPCDHSLKNSPEEQKRMESEFSNLLKVAEKTTGLYFSYDVNITLRVQRLNELGDESKLLPLWRQAEPRFLWNNYMLEVFIDNKVCLFSRFRAPLYLLDSHVNNNSLCLQLDPYMLPVIQGSFQSFQSAIGLDIIDVTLIARRCTRRTGTRLWRRGADSDGYVANFVESEQIIQLKGFIASFVQVRGSMPFLWEQIVDLTYKPKFDILRPEEAPRVAERHFLDLRKKYGNVLAVDLVNTHGGEGRLTEQFATSVQDILNDDVRYLHFDFHHICGHVHFERLSILYEQIEDFLIKNRYYLLNEKGEKVEAQVGIVRTNCVDCLDRTNVTQVYLLFYPFNAMLLAQSMIGRKMLEFQLQRLGVFDAEETISAHPNIDDCFKILWANHGDDISIQYSGTPALKGDFVRFGKRTLQGILKDGWNALMRYYLNNFVDGTKQSLPLALALITTGLFFTMVSLTRVRNDLWQLLFSILWGGLSIGIAIFVRANGRVFCNRPRLHKPPR >KVI08734 pep supercontig:CcrdV1:scaffold_732:123817:124338:-1 gene:Ccrd_012894 transcript:KVI08734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptojanin, N-terminal MVSSCYMLSEKGEKVERQVGIVRTNSIDCLDRTNVTQSMIGRKMLEFQLERLGVVEFTTQPDFEDCFKT >KVI08729 pep supercontig:CcrdV1:scaffold_732:156568:162490:-1 gene:Ccrd_012899 transcript:KVI08729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MASPSQHCPSSSLKALVAFRNLICPELIRTVHLYRSEGGGEEVEVEREYVFHKEGDYEEISFCKVFTLQKFEISSHFEGLVNGVWLCIYVFDAACCSPADIDRLPTVLTISRNRKLDSIPTLANDLQTVTHLSSEVIKQCKSESEEDKENRYKNHHICDLDLNSLPSGLSENEESDQSTTDGSRKKKRRAATKDIASLGLEDLAKYFDLPIIEASKNLKVGLTVLKKKCREFGIPRWPHRKIKSLDGLISDLQEEVKRQEEEDAGAALAVAKRQKMIESEKERIEKKPFMDIQRETKKFRQDIFKKRHRARVLESQCRTLSLF >KVI00682 pep supercontig:CcrdV1:scaffold_7323:2189:6086:1 gene:Ccrd_021067 transcript:KVI00682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MVLSKGSRVEVSSDDAGFQGAWYVATLLDNVNVQESKSKGSKHKSKRRKKIGYIVKYDSLFQECNLSEHLMEIVDPSFVRPLPPRYPRRNNEVAEAEAEAEEVGCDFELFDVVDAYHLDGWWIGVVTKVMIDGEFKKYIVSFESPPEEVEFEKSELRLHVDWIDGRWQVPPKKTLEHQSAVINAAETPKSNDDAHSGFTAPSEGAIMTDPDNPTVGPQISAKKKSGSQKIAVAHGETVGGPDLSVTYNRNKRGERNSHGKRFQSSAGSKGNTEVVVPLLGASGSKAIHQDSLLFEQEISITETCEAESGKIPQKRKRGRPPRSLIKMPNDLLQDNQESGERVSSVIHEMTTELDEQPLSVWYQGLHPMKVMKNTAIVNNREITKYQQEWPFTKQSPIWATIESLELYQNSPQKPHFSLLKKVKEDYREGFAIAHMVTFANVVQRTSKLKLDDANDLLENSLKTVGDLETHGFDVGAVRARLNELLSRKAQVGELKDKLKQVETELEKQNVEKSKIDEQINQLEGEMQELQEKLVESVKKKNVKDEEIMMLQSNLHLVGNQIMDLKVDFEKLAATPL >KVG37214 pep supercontig:CcrdV1:scaffold_7324:3544:13819:-1 gene:Ccrd_026464 transcript:KVG37214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MKMEGIKKVMILDSRENGSSSSSADGKPPNPLSTTPGYRRSFSGPGDVGDSSSIKKSLVRHPSLVRTRMSDASVEPTLNPEDYKAEFVPFIRSGAWADMGVRGSMEDVFIRADSFVHDYGGKNPIEGPGAFYAVFDGHGGKHAADFACYHLPRFIFEDNDFPMEIERVIAAAFAHTDSAFAEACTLDADLASGTTALAALVIGSSLVVANAGDCRAVLCRRGKAIEMSRDHKPICMRERKRIEASGGYVYDGYLNGQLNVARAIGDWHMDGLKHEDGGPLSCEPEFMTTKLTEEDEFLIIGCDGIWDVFMSQNAVDFARRKLQEHNDPVLCSKDLVNEALKRKSGDNLSAVVVCFQSQPPPNLVVPRGRVQRSISAEGLKELQSFLDGLET >KVH96220 pep supercontig:CcrdV1:scaffold_7326:14895:15771:-1 gene:Ccrd_001695 transcript:KVH96220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKQKKDQGRRGGGKRILITITVVGSAGPLRFVVDETEVVAAVIETALKSYAREGRLPVLGTKFSDFFLYSPIAGAEALNPWEMIGSFGVRNFMLCKKARRQVEITRKNSGSWRSWFHKSLLNSN >KVG36940 pep supercontig:CcrdV1:scaffold_7332:1944:4112:-1 gene:Ccrd_026465 transcript:KVG36940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MASKLILLGLLMNLLVFTDAQVGVCNGRVGDGLPSEQDVVTFYRSNGITRMRIYDPNQATLRALQGTNIELILDVPNDVLQSLNDQNAANTWVRNNIQNFPGVRFRYIAVGNEVDPNNESRRFANFVLSAMRNLHGAIRAAGLGNQIKVSTATYTGLIVNSFPPSNGAFNDNVRGFIEPIIRFLAANNLPVLANIYPYFGYLGDPNGNLPYALFTAPGTVVNDNGRLYSNLFTAMLDAHYAAQARVGGENVEIVVSESGWQSAGGRAAIVENAGTYNNNLIKYVKSTTGSPRKPGRTIETYIFAMFDENRKPGAETEKHFGLFSPNRQPKYQVNF >KVG36939 pep supercontig:CcrdV1:scaffold_7332:17857:18711:-1 gene:Ccrd_026466 transcript:KVG36939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MRIYDPDQNTLQALKGSNIEVMLGVPNDALQSLNDQNAATTWVRDNIQNYPDVKFRYVAVGNEVDPNKESGQYAGFVLPAMQNVHNAINAAGLGGQIKVSTATYTGLLATSSPPSNGAFDGNVRGFIEPIIRFLAQNNLPMLANIYPYFGNPSSNLPYALFTAXGPVVNDGXRQYSNLFDAMLDAHYAAQAPLGGEDVEIVVSESGWPSAGGDPVATVENAKTYNNNLIQHVKGTNGTPRKPGRSIETYIFAMFDENKKXGAETEKHFGIFSPNQQPKYEVNFN >KVI03341 pep supercontig:CcrdV1:scaffold_7336:6187:7476:1 gene:Ccrd_018362 transcript:KVI03341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase domain-containing protein MIKVSSLKRAEQRRRLIGLCRARFHLFSAISVGAIFFTYIIKTDRFTSDISIHSAPITITPFHPHVFNNHTFIVRQIKLTSYSIPKEVAPETELEDDRSPLVQPINLTKEQRISGFKDILPKFDILKSTRLARRFHKRAQYFFKDSCKIRFFMTWISSSSSTVFGDREFLAVDALFKSNPDSCLMILSNTMDSVHGFRILKHLTDRGYRVQAITPDLDFLFKNTPAQSWLHQIKNGKRDPGKIPLAQNLSNLIRLAILYKYGGVYLDTDFVILKDFSSLKNSIGAQSATPSGNWTRLNNAVLIFDKNHDLLYKFMEEFASTFNGNRWGYNGPYLVSRVVEREETTVLKLNFRVLPPMAFYPVDWVHVGGFFTPPTNRVHRRWVEAKMGQLSKSTYGVHLWNKQSSRFKIEEGSIMARLIANHCVICNIK >KVI03340 pep supercontig:CcrdV1:scaffold_7336:6132:7452:-1 gene:Ccrd_018361 transcript:KVI03340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNQSSHDASFFYLKSATLLVPQLSGFDLNKASTARNSLSPKTVDEDDDIHVIKNRILQLSLKKYCAREEDSSDGDGGEEMESGTAEADQPPSLLRPFQRRNFYH >KVI09680 pep supercontig:CcrdV1:scaffold_7337:2487:14591:1 gene:Ccrd_011933 transcript:KVI09680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kunitz inhibitor ST1-like protein MKTLSLLLLFSTISLCLGQPSPDPVLDIDGNLVRSGTDYYILPVFRGMGGGVTLASTRNESCPLDVVQEPQEVDNGLPLTFTPVDPKKGVIRESTDLNIIFSALSICIQSNVWNLEEYEGQLIVSARGVSGNPGQETISNWFKIEKYEDDYKIVFCPTVCDFCRPVCGDIGVIIGEDGSRRLAIRDVPFKMKTVLLFLLFSTISLSFGQKSPDQVRDTDGNLLRSGTDYYILPVFRGRGGGVTLAPTRNESCPLDVVQEGFELKFGLPLKFTPVNSKKGVIRESTDLNIMFSASTSCVQSNVWMLEAYKGQLIVSGHGVWGNPGRETISNWFKIAKYENDYKLVFCPTVCNYCKPVCGDIGVKIAENGSRHYYILPVFRGRGGGVTLAPTRNESCPLDVVQEGFELKFGLPLQFAPVNFKKGVIRESTDLNIXFSASTXCXQSNXWMLEAYXGQLIVSGHGVWGNPGRETISNWFKIAKYENDYKLVFCPTVCNYCKPVCGDIGVKIAENGSRRLAISDVPFKVKFRKA >KVG36847 pep supercontig:CcrdV1:scaffold_7339:13077:13310:1 gene:Ccrd_026467 transcript:KVG36847 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit MSKKEGVLPNMEFEQDPVQILDALMPLYLNNQSLRALQESLASELAARMNAMSNAIDNAITSEILEIELHCFLLLNS >KVH99538 pep supercontig:CcrdV1:scaffold_734:159376:168652:-1 gene:Ccrd_022226 transcript:KVH99538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MATITVPAQVPPVSDDVEELHKAFEGALFNNRLVLLWILDPPERDAVLANEASKKFSTSDRALVEIACTRSSRDLHLARQAYHIKYQKSIEEDVASHTTGDFRKLLWPLVTSYRYEGDEVDMSLAKTEAKLLHDKISEKCYNDDDFIRIITTRSKAQINATLNQYKNDFGQDINKDLKADPKDEFLAMLRATIKSLTNPAKYFEKVLRLAMNRQGTDEGALTRVVATRAEFDMKTIKEEYQKRSSVSLDSAIAKDTRGDYEDMLLALVGSTDG >KVH99539 pep supercontig:CcrdV1:scaffold_734:153249:156774:1 gene:Ccrd_022227 transcript:KVH99539 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4057 MERNTPVRKPHTSTADLLTWSENPPADSPVTGSAARSSARSHQPSDGISKVVFGGQVTDEEVESLNKRKPVSGYKLKEITGSGIFAAGGENGLEETDAASRTLSNPTGIRMYQQAVAGISHISFGEEETVSPKKSISEAKQRELSGTLDSESEARLKKQISDAKNKELSGHNIFAPPPEIKPRPVAARALALRESISIGETSPNNNKPEDSVMKTAKKIPNQKLTELSGNNIFKGDEAAASVEKPLSSAKLREMSGSNIFADGKAESRDYLGGVRKPPGGESSIALV >KVG36273 pep supercontig:CcrdV1:scaffold_7345:10465:10776:-1 gene:Ccrd_026468 transcript:KVG36273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVG36274 pep supercontig:CcrdV1:scaffold_7345:17179:17490:-1 gene:Ccrd_026469 transcript:KVG36274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVI01679 pep supercontig:CcrdV1:scaffold_7348:9975:13163:1 gene:Ccrd_020042 transcript:KVI01679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDQEEEVTVELLPFLRVRKDGTVERLYNPTLSPPSPSATTTGVCSKDTVISPNVSARIYLPNPASLHSKKLPILVYFHGGGFCIESAFSTHCHRYITTIASLANALIVSVDYRLAPENPLPAAYEDSWTALQWVASHANKEHNKKDEPWLVQHADFDRLYIDLHGRVKILGVFLSHPYFLGSKPLGSEPITGREMSLLYKTWIIAYPGAPDGIDNPRINPFAKTAACLTGLGCRRLMICVASEDELRDRGVRYYDAVKESGWQGEISLFEVQGEGHSFHIFNPETKNAMEMFTRLAGFLQEC >KVH89689 pep supercontig:CcrdV1:scaffold_735:170634:173099:1 gene:Ccrd_008317 transcript:KVH89689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MEEQYGITDLTHYMNGRPIFTAVPQPPPQPQPPHDLHYDMVMLGGGGGGAGGMLFRSDSTTGTGSTTASLSAGGGLEMELGGGLEMEIGGGGCGGGNGRWPRQETLTLLEVRSRLDSKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGETSTSINPNPNPNPASFPDPHNLNVETSPFQTHPHPNNSSYQEAFHQPPPSRLCDSPSLSNNSSAFDTSSSGYSNPNAPMPPFMDNSDCADRTINKRRLGKRSWKTKIKEFIDAQMRKIMEKQEEWMEKMMKSIEQKEQERVLREEQWRKEEASRFEKEHKFWANERAWMESRDSALMEALHKITGKESNHKSSPDHHNDRNNGKIDTTVNLTGWGENEITRLIQLRTSMETRFEQGGYMEELLWEEIASKMTCLGYNRNGISCKTKWDSINEFLMRTKKRKENTRSSNSYTHHNNESLSHIFHHQVGSYRQADERLMASNDQQNDAGSYRFLMGDPENMWDGYGVKLTKGDDH >KVI01472 pep supercontig:CcrdV1:scaffold_7352:3789:4745:1 gene:Ccrd_020256 transcript:KVI01472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor, TFIIS/CRSP70, N-terminal, sub-type MEDLKKSHQAVMDEWRNNLRSGNVDIFEIIKTAIMVPASDHPMEFRIKRDKIAHTLFSCQLLNSSDHVEEENIGCKEKMKLSSNVDDPNLKNHDRNHTTRHEEALVDEHQETKMDVEVLKIKKVLDNSYGDESELVVYELLSKLQHMGLSFKTLEATGIGRSVSAFQKHGSRDVRQNARRLIKMWRGVADEWIEATEKTSCIVQEEKEESMVKPADKEMVSMKMKKESSSRRVIKIKIIKSNSEKAKMDDYHSEEKSMSIEEKLEASKRKLHERYSEVENAKRQRNIQVVEPHQLKKQGLIVPKTKNDDRRWGTYYNH >KVI01471 pep supercontig:CcrdV1:scaffold_7352:11052:16426:-1 gene:Ccrd_020257 transcript:KVI01471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKVEGNARYLSIFNIYAIFRLARSKFKVGPCGLGEDLGFIVPKPLPVSCRSELPASGQHNRPNYPHGTRRNSKKLAVLASIQQQKTTRETGMIIAVTVGFLFLGRHPLRRRELRAAGVERAHRHSR >KVI11131 pep supercontig:CcrdV1:scaffold_7354:6149:7334:1 gene:Ccrd_010462 transcript:KVI11131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAICIESNLRIRFESSERWQEQNYFQSIPLLGRDVLWIFSINTGSICGSKMEGPALDDLIGDPVNLGTEGMTLKQPGAPKDM >KVI01590 pep supercontig:CcrdV1:scaffold_7356:10763:11239:-1 gene:Ccrd_020135 transcript:KVI01590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MKVVCTAWREIFHIFRDPPYNMGITIYIQKAVCFGRSLYSLGRESTSTDMPLGTTIHNIEITLGKGGQLARAAGAVVKLIAKEGKSNTLKLPSGEVHLISKN >KVH91980 pep supercontig:CcrdV1:scaffold_736:11329:47069:1 gene:Ccrd_006004 transcript:KVH91980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MATGSTGATPEAAAMKIVWNERQRRFETEDKQAYLQYELRNGGKVMDMVHTFVPASKRGLGLASHLTVAAFNHAQSNSLSVIPTCSYISDTFIPRNPSWKSVLYSKDVKSSI >KVH91977 pep supercontig:CcrdV1:scaffold_736:48495:51742:-1 gene:Ccrd_006003 transcript:KVH91977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MTRRCSHCSNNGHNSRTCPNRGVKLFGVRITDGSIRKSASMGNLTHYTGSGSNNFLNGFAGGGGTDVDSPGDTPDHNAAADGYGSEDFVAGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNMSRRKRRSSLFDIVADDSPDTHMVSSLDYPAGNPSQPEAQSVNNPLTIPTLEEEECESMDSANSNTMDPPTDPTHAPLNLEGSQCLYPVMFPTYVSPLIPVAIPYWPGPGSGQTGQDLTSSAKTESHEVLKPTAVHSKNPINVDDLVGMSKLTLGESIGDGAPTSLKLVGGLNRQSAFHANNPGPRTDPNHNPIHAV >KVH91976 pep supercontig:CcrdV1:scaffold_736:59559:71077:-1 gene:Ccrd_006002 transcript:KVH91976 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELYS-like domain-containing protein MEGRRQFDGGATVHFDSDIRSTTVSTSSGHPALQPNYSCRKVQEALEHLASIELIELCNEAKVEHCRATRDLRSCGRCVQSVLISCGHASLCEECSQRSDACPICRFPIPKSGNRLSLRLYYECIEAGLISKRYDDRFQEKDGEKLLTADVERLYSFFDVALENNLLSLICHYITDVCMDETAVSSDPIVALLLDEVVVKDWCKRTFKHIIAELQSIYNLNVDEIKERALLKFTVRLSCISTVLEELESSFKGSLSAQLNDIHHLQESILKTKQHMEMMIWYTRHESLEGLSRHDSLSSWRSDVRERKEAAIKRAWPSPMGTSRQDGAMLFIEDALSNLDTQQEYTADRDDELKIASLQKDGGYSFSRVKIEGMVGSYPFETLRSAIDILFLCGSSDLVVAKQAILLYYLFDRLWNIPDEKWRSCVDDFSATFSIARHSILESFTFYLLDDQSDEALKEAYHLLKEISGPTTHPKVAQVLLERQNPDAALMVLRWSGSDSGAELVSLNEAVTAVRVRVECGLLIEAFMYQRSLCTKVKKKKARHELYPDASSGLNDDFEAGMNWLEVLVTEICFLCIRRNLVDRIIGLPWNADEEKYLHKCLLDYALDEPLSNTGSLLVVFYLQRYRYVEAYQVDRKLQSFEQDFISKNPVDQDVDKSIQLLPEVEQQRVKSGQMTELASPDGDDAPGNHDLPEVQDPAFTSVLVPSYVNSSLLFGTNDGNSSPSSSFQTAQSNLGGLTNYGSPLVSQTNASNDADRGMSMLRSISKNFKFDDITTSANRPVRPVITTPLKEINRGSSVIRKNKYLQHDQNNMSIDHLPSPSPYFGSVAANAEDSPSSIGGLFKSSRQDGILKASGKSARSSRLGRDFVEASGDLMDMSWSNKEESLPVQTNLNGPRWRSDDGSDYEDQQSPDRLTGGVTPSRGFRRSRLTRR >KVH91968 pep supercontig:CcrdV1:scaffold_736:140516:141505:-1 gene:Ccrd_005995 transcript:KVH91968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENNPQLSDQLTKLAKVAAVGGLLLVFSPMLLIFSPVLVPAYIMYWIYRYVTGKHPIGSDQVAKAQEKITDAAADVKDKVVQAGEKIVDTVEDLAKNNIVTKSAEKIVDTADDLAKNNIITESAEKIVDTAEDLVKDGVSQAGELVGGVKNTVENLGKDILKLGGGGGK >KVH91975 pep supercontig:CcrdV1:scaffold_736:162888:166598:1 gene:Ccrd_005992 transcript:KVH91975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan/mRNA-binding protein MASTNPFDLLGDDDNDDPSQLIAKLPVAVATAKKSPVAKPAAKLPSKPLPPSQAVREAKTDGQRGGGRFGGNGTGRGGRGGRGFNRDSVDNENSYGNNNGFSGGYNRPLENGDGEKPSERRGPRLGNRGGRRGGYSNGDGAEGERPRRVFERRSRGNWGTATDEVPTETEEPVTETEKIMESDKQAGQEDVGDANKENTVEEMTLEEYEKVLEEKRKALVGLKNEERKVSLDKDLAKMQLLSNKKTEEDIFVKLSVSIDEFLKPAEGERYNNGGGRGGRGRGRGFRGGYGGGNIMHNVVAPSIEDVGQFPSLSVK >KVH91966 pep supercontig:CcrdV1:scaffold_736:112386:113795:-1 gene:Ccrd_005999 transcript:KVH91966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCNFFIFIFFIFISMEFIDAKFTFDVCNHDKPHIHFPFKINSSCEYNQTLISFPGYGDLIVKSISYEPKKLTLIDPKDCVFQVFLNLDLLPTPFRYYHVVRNYTYLSCSVELPHSFERVPCLSGYKHHVYVVESSSDVPGSCEVVKTVSIPFAYSSYVSDDSFGLDLTWDSDGFQSIGEELSIVILVFVIAGLAYVTTCCSKKSQSKKHEWEKLLGDLEIL >KVH91972 pep supercontig:CcrdV1:scaffold_736:167773:173984:-1 gene:Ccrd_005991 transcript:KVH91972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MDKKGKRISNYGKIQEKETNNAEGMYASSRYLQTKSFTFKLISPVKFPIFRRPVGIFSAYLRSLDLNSIHGNESRILLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVNNSSSRVLTIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKCDLTAQKVVSYETGKAFADEIGIPFLETSAKSATNVEEAFMAMAAEIKNRMASQPAMNNARPPTVQIRGQPVNQKSGCCS >KVH91970 pep supercontig:CcrdV1:scaffold_736:129570:130127:-1 gene:Ccrd_005997 transcript:KVH91970 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MATPDEAAALEFIRQHLLDEFESSPIHQIFTHHCTTSSSISSSHSDSSDFTNHSTTYDQTPNTGHFSENEVIKPEILDFVSSNPIEIRSRKARQEFDERSATRREAERKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTFDTAIEAAEAYDRTAFMMRGSKAILNFPLEVAKLQKTDDGGQKRNR >KVH91974 pep supercontig:CcrdV1:scaffold_736:159466:161088:1 gene:Ccrd_005993 transcript:KVH91974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MMQIGATIPANNLHVFQARRLAGGCNYGSPLNPSGRAYSTKSMIKSTTLSTTGPTGDRQHLSNLEKLLGIEPRVILTEPEVVQDPSSDHGSSESENRGKGLLEGLNLPQIWPENKAAEEMSPRHLNRLRRLLSKNGIEHSPRNSLASRWREYHGSDNWIGLLDPLDENLRREMIRYGEFIQAVYHCFHSDPATSADEAPSSRHVALPDKSYRVTKNLYATASVGLPKWVDKLAPGLDWMSQRTSCIGYVAVCEDPREISRMGRRDIVISLRGTATCLEWAENMRDLLVQVPGPKDPKYGQPKVECGFLSLYKTAGAHVPSMAESVTEEIKRLTNLYKGETLSITVTGHSLGAALALLVADDLSTCVNDVPPIAVYTFGGPRVGNRGFARRLSLQNVKVLRIVNSQDLITRVPGMFVSEELDKKLRESGIANKVLNMLDNNMPWAYAHVGTELRVATRSSPYLKPDADMACCHDLEAYLHLVDGFLASNCPFRANAKRSLVKLVHEQNSNMKKLYISKAPGLKLNLESKVQMSSCLPSPSQ >KVH91973 pep supercontig:CcrdV1:scaffold_736:178232:184359:1 gene:Ccrd_005990 transcript:KVH91973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MTSGGGNNLISVDPEELRFQFELEKPMHCDIKVTNTTDKHVAFKVKTTSPKKYFVRPNTGVIQPRDICTIRVTLQAQVEYPPDMQCKDKFLLQSTLVPANTDTEELPQNTFSKEPGKQLEECKLKVCYVARTDQGNSDDNLKQNSDPSSTARAARDSAVREVTQLQQELDMLKKRRQRSKASPGFSLRLAIAAGLIGIMVGFVLKLALSSPPPPPPPTPTPTPPPATAGAE >KVH91982 pep supercontig:CcrdV1:scaffold_736:186334:193551:1 gene:Ccrd_005989 transcript:KVH91982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLANASDEVITELSIANTELENRAWSLFAILLSIGHPVPPIHLASRCTSFDASLEFVEFVCSMPDSPLSLTIDGLVTVSSGVCIGLKRFLWNSTLGLKILAPRGIKTGECWRKRASEDVEITYYRKRRRLCPNGATEKADQTDLAMPFRISNACGKVYIHVDDDTVRSVIMKASNQSVGISNDSRPDKVTVKMPSLINFNARPLNYGSKGKHEGEREMGTLLSMKEHGSKNNFLGNDSYIRFPSPSKTISGGDYFQYQSSSHLTASSIVRIEDIKGEKCSLSKKCSTSCQTDDNKKVLSLVNAWIDERSSRANNNSLERHRLVEGREGKYILDSASHRGYFEILSNNPVCGDKIPESNSGNRNNLSCNDMTPCSGSGEKAFCQEVGKQSKVTPRDNEKLKSTKEADIDDFKKEDKSICGSGELPCSQHGEGDAENLSLSKEMACKDDYKKKNSIRGKHTSLATELNLMTSTRELNSLERKHLPESYVELEVDPKDEKTYTVRTVSITTNKGIDIGAHIEQKDGREGKRSVFVKQKSKPTCDQKMHTLEKWERLKENKEKPTSIYVKDHSEPKVLPVFDSYIVEEEEGSGGYGTVYKARRKKDGTTFAIKYPHANANRHHVLNELKMLERFGGKQFVIKYEGSFKSGNSHCIVLQHFAHDRPEILKREIDVYQLQWYGYCLFRALASLHKQGVVHRDVKPGNFLYSCKAAKGYLIDFNLATDLHQKFGSTEKSKSYHAASFGLVPPSHLQPLPPNKSRKYTSSKALEAGAHSKSLLLHKNLKRKADQLKDHKDMNMQSVMKSQGEGKQRKEGPCVGTKGFRAPEVLFKSTHQGPKVDIWSAGVTLLYFIIGRTPFVGDPDQNIKEIAKLRGSEDLWEELFQIQSLPSTELHEWCKQNTRRPDFLEVIPRSLIDLVDKCLMVNPRSRISAEDALRHDFFLPCIRNLEKHRLLRQKLSLEKSEGLP >KVH91979 pep supercontig:CcrdV1:scaffold_736:72633:72881:1 gene:Ccrd_006001 transcript:KVH91979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGVTAEQGTVTCASWIRRPEDAHLVALGKSKHGDLPASFQIFSFDRLTTSLSSSPSVSPSPSSSLCTHVFFYVYCLGAGG >KVH91971 pep supercontig:CcrdV1:scaffold_736:132388:133074:1 gene:Ccrd_005996 transcript:KVH91971 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MMGNNGFDSDFALLESIRRHLLDDSAINSGIGAPPMHCRSTTTLFPCLSETWGELPLKENDSEDMLIARFLHEALDFECLPAPSNSIASVKSEPEILVGSPGVSSYQGESPLQKTAVQGVVEQKGKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTFETAEDAAFAYDTAAYRMRGSRALLNFPLRINSGEPEPVRITSKRRATSPASSSEDRSSKRGKRVETETHL >KVH91981 pep supercontig:CcrdV1:scaffold_736:14472:15432:-1 gene:Ccrd_006005 transcript:KVH91981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S59, nucleoporin MPAASSTTPPPPCGLPLGLLIPGEIPNHSFIRQAALAVLTSAPAANGSGTEPTGKPELISDMEEPKDASSSPKANGNRLANIKFRTEKNGIQTNIEALMPKLRHSYYYTKPTIQELAAKERAEPGYCGRVKDFVVGRHGVGSIRFLGQTDLRGVDLEAFIQIVQGRVAYCVNKPPAGHRFNGTAEMMLLARKFSYGELLCRDTQEGVNMNALLFRMQVERGGMEFVSYDPLTGECKWRTKYFSGFGLILFKGKRSLAQGITKLMTRIRTKLGLCTGGVGSGRPTNVLKRSSFGLQYHNL >KVH91967 pep supercontig:CcrdV1:scaffold_736:115489:121781:-1 gene:Ccrd_005998 transcript:KVH91967 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MRLFPMNSSKELRNGGSDHALLYLNVYDLTPVNNYLYWLGFGIFHTGIEVFSMKPVYRCFALTQNSLHAQAAMAAVVSGVVAPLLPHCFAYFAGDGCVVYDMEYAFGAHEYSTSGVFEVEPKSCPGFIFRRSIPLGSTDMSPTEFRTFMEHLSNKYHGDTYHLIAKNCNHFTNEVCMRLTGKPIPGWVNRLAKVGSYCNCLLPENIEVAAVRHLPDHATYSEEEVDHHLLTEPNSDVAFLQDRPMRLAKDAL >KVH91969 pep supercontig:CcrdV1:scaffold_736:144668:148928:-1 gene:Ccrd_005994 transcript:KVH91969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFGSIGRRFCNQAINDSTTFLLPSRLQFQSIRTLILQSASESVKIHRHSDADSGIIEIIMDRPEAKNALGRDLLRGLQNSFEAISAESAANVLMICSSVSRVFCAGADLKERRTMNTSEARAFVNSLRSTFSFLEALQIPTIAVIEGAALGGGLEMALSCDLRICGEDAKLALPETGLAVIPAAGGSQRLPRLIGKSIAKELIYTGRKISARDALSIGLINHCVSAGEAYSKALEIAREINQKGPVAIRMAKRAINQGLDLDMASALALEEDCYEHILNTTDRLEGLAAFAEKRKPLYKGE >KVH91978 pep supercontig:CcrdV1:scaffold_736:105567:107092:1 gene:Ccrd_006000 transcript:KVH91978 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP protein, N-terminal MMVENQDLGLSLSLNFPAAAERNPSSLQLNLMPALVSSQSSFNVLHRTPNWTDSCPSSSDRNNADAFRVETRSFLKGIDVNRLPPTTAEAEDEAGVSSPNSTISSISGKRSERDLPVNEHDGERTSSRGLSDDEDGDNSRKKLRLSKDQSAVLEESFKEHNTLNPVSYPLKACMVQVWINGIIVILLKKQKLALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKELQELRALKLSPQFYMQMAPPTTLTMCPSCERVAAPPPSSAMDKAADHQHNRPHRMLPFNPWSTPTAHQQMNGVHPRS >KVI04752 pep supercontig:CcrdV1:scaffold_7360:11100:13330:1 gene:Ccrd_016927 transcript:KVI04752 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG domain-containing protein MMRMRTKTTELTSMKGSSAPKDEGENEWEVRPGGMLVQKRDPDEEQNRIPPPTIRVRVKYRSIYHEIFISSQATFGELKKMLTGPTGLHHEDQKLMYKDKERASKTFLDAVGVKDKSKMVLVEDPISQEKRYLEARKNAKMEKAAKSVSEISLEVDRLAGQVSALESVISKGGKVAEKMVSNLIELLMNQLLKLDEIMVDGDVNLQKKMQVERVQRYVETLDVLKVKNSTAGNKGNENHVPKQAPSQLRPNKESNEHGGNHHPQPQSRRSVGKFSPMAAPAQQQEPSSKNTSGAVVVTTQWETFDSLPTIFPAPPSTSTSTTSQTMQPNFNWDLL >KVG35788 pep supercontig:CcrdV1:scaffold_7370:12709:13483:-1 gene:Ccrd_026470 transcript:KVG35788 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-containing protein MTDQTEEQTTTXPAVEDSGNNVQQMTPVVEETKTKLXRITFSIWPPTQRTRDAVIKRLIQTLSEKSVLSDRYGTIPAGEAAGVARLIEEEAFNVANNAPKSAEDNEDDGIELLQYYSKEISKRMLDTVKSRSASSATSSTLDEKNGATTDATAHVEESSTVETLS >KVI10632 pep supercontig:CcrdV1:scaffold_7376:10269:17022:1 gene:Ccrd_010967 transcript:KVI10632 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MNSVLLKTPPFIHRLPFPPSRIPEHFPPFRYHHTTNSLQKQIQISGTSSVFRVKSYPKNYVTSEFEGEESVKDSPREEDLKKFGVLLRCGFVVGLLVLGVIRCQRVLAVEAGSDLGDLTQKGMVYLKGFWNGPKISQVLRVFREQGLILAALLGLSAFFSMAETSITTLSPWKVRELAEKEGENGVFRLLRTDITRFLTTILIGTTNLSSVVNIGATALFTEAATTVFGEAGVSAATGVMTVVVLLLTEITPKSIAVHNATAVARAVVRPVAWLSLVLYPVGRVVTFLSMGMLKILGLKGKSEPSVTEDELKLMLRVAELSGAIEEEEQDMIEKVLEIKDTHVKEVMTPLVDVVAVDAGATLVDFHHLWVTHQYSRVPVFEQRIDNIVGVAYAMDLLDLVQKVTSPLLVFNHYMFYISRFTFIRGLYSMLVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIERKTGNIVKVAEGIFDVDANTSIYQLSEDLDIVLPEDHQYETVSGFICEAFGYIPRTGESIKVIMKKANEEDGDESKQETDSHDEKDRCQTFKLEILAGNCRKVNTVRFERVNNHSAKQIKEAARVVPRFWKKKWKNDESSGSSDDERLRAIEEEPPPPPPPPPPTAIELPPCLPMD >KVI10631 pep supercontig:CcrdV1:scaffold_7376:4950:6521:-1 gene:Ccrd_010966 transcript:KVI10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1423, plant MCVLPDDYLQELKMVLREILEGISGSHYREDLFLLQKLVQTRSDLNTMTLTKAHRTQLEILVAINSGIQAFLHPNINLSQSALIEVFVYKRCRNIACQSLLPAEECKCNICSNEMGFCNLCMCTICTKFDFDVNTCRWIGCDSCSHWTHTDCAIREKQVCMGTSVMGGVSSPQMVFRCRACSRTSELFGWVKGVFQHCAPSWTRQHLIMELDSVSRIFGELGLDSPERPDPSGSEDACNKIYEVVQEAIRKMEMVANEKMEMVAKARLELDNCDRELEEKGREIAELEMGRHEKKQQIEELESIVRLKEAEADMFQVKADKARREADRLRRIVVAAKSKRSEEEEYAARYLKLRLSEAEAEAERMHLFDKIKLQEREPETGMMYSKIQDLLKNVYNQDQD >KVH96094 pep supercontig:CcrdV1:scaffold_7378:7992:9921:-1 gene:Ccrd_001822 transcript:KVH96094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MTKKSEIKLLGTVASPFVNRVQFVLNLKSIEYEYIEENLAYKSELLLTSNPVHKKVPVLIHANKPPICESLIIIEYLDEIKPDVHRILPSDPLERADNRFWANYIDNKFFPLYEEMRVTPGKEGKDAIKKGIIEGSVLLEEAFIKFSRGKTYFGGDDVGYLDVVLGCFIAWTKFIEKNNEFKVFDEVRTPRLVEWVKRIWSHEAVKDVIPGNEVLVNFYMMLQKYRPPRAV >KVH88571 pep supercontig:CcrdV1:scaffold_738:105119:106607:1 gene:Ccrd_026473 transcript:KVH88571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CW-type MLKKNLFCISCDSKMIQWAQCEGCYKWRKVPMDVVIPAGWTCSHNQWDPHRSCCSATEEMMSDNRVKNMFPRSSDEGANDLDRSIVYIFLFSCSSHQLSVRSSKKMKIIDQQPEPLESVMGRDADAVIEEENVPPPPALPSPAKATTKHPRHRPGCSCIVCIQAPSGTKHHSTCTCSGCMTVRRRHQTVNSRRGKKPSGTTRRKRTRRTVNTRKPAIVGGSELNQKKPSPQPDSIIMKQADSSCRLEKPPGAQQQVSRFKPLDFGTSSRIFQIKPTEDHQTPKSASAPALAKTTDERRLIDLNLVPETDEED >KVH88569 pep supercontig:CcrdV1:scaffold_738:138352:143145:1 gene:Ccrd_026474 transcript:KVH88569 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAF domain-containing protein MSTKPSTTRKEGHGLLLGRFEIGKLLGHGSFAKVYLARNVKTNESVAIKVIDKEKIMKGGLIAHIKREISILRRVRHPNIVQLFEVMATKTKIFFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVGFCHARGVFHRDLKPENILLDEDGDLKVSDFGLSAISEQIRGDGLFHTFCGTPAYVAPEVLGRKGYEAAKVDIWSCGVILFVLMAGYLPFHDQNVMVMYKKIYKGEFRCPRWFSPELTRLLKRLLETNPENRITIPEIMENKWFKKGFRHIKFYFDDDKLCSVKDGEIEDDGIDYSSDQSSYSESESETENRRRLASLPRPASLNAFDLISFSRGFSLSGLFEDGMEESRFVSGAPVSSIISKLEEIAKVVSFAVRKKDCKVSLEGTREGVKGPLTIGAEIFELTPSLRVVEVKKKAGDKGEYDDFCARELRPRLQELMLTESGEPSNLPSDTE >KVH88572 pep supercontig:CcrdV1:scaffold_738:101126:105030:1 gene:Ccrd_026472 transcript:KVH88572 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein LCDSCLNPQRSISPPSWSRPAASFRKRSSEKTSVISTSSIPVRGPAAVQTPCLLNSSNTEPQPQPQVDISVRPTQPSWLGERKNSSVKRSSQIRASHVIKDKTAVGTEHSPSRHLLGSKSFTAQSRHGNDHLLDRYMPKQLTASDAGRVGRLVLPKRCAEAYFPPVNEPFGEPLSIQDTEGKNWDLNLRFWPNNNSRMYVLEGFSLVVKSVELVEGDVVTFSRLEPEGKLIMGYRKAKSTALSLKQVGLFFRQATGAIVTVSPRNDVSKGNTSSAAVSQMKPDDPTSNIQSEVVRAKAAGRSKRKSSKMGWGSDINEPEKEMVELDLTSKEAQELVRPPLGGRVPKSVDVPVIGRPTIIENLVK >KVH88570 pep supercontig:CcrdV1:scaffold_738:16938:18992:-1 gene:Ccrd_026471 transcript:KVH88570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MKTPRIIITSFLFIFIQAIVAEPATSIVFTTNGRSRYAFDIFSLSIDATHNELRLTDGHSVNFNGYFHKTLITNPLVADPQPTQLVYVTERNGSSSIYLDVLSHDGLDSSRKRSVLESELTRSQHHLVGGSDTGRISMKDRPSLVGDLLVYVSTHEDTGVGRTSWAAVYSTQLSTRLTRRLTPEGVADFSPAVSPSGLWTAVASSGKNGWGGEVEELNTDIYVFLTQNGSSRVKVVNHGGWPSWANDSTFFFHRRGDDGWWSIYVAILPKNKPFTVDSVVTERITPPGLHTFTPAASVVRKGIIAVATRRPDSDYRHIELYDIVSGSFKDLTRLVAPRANHYNPFLSPDSSRVGYHRCRGYGSIGKMIKNDLFLENIQTHRPGISLFRIDGSFPSFSPDGERIAYVGLPGLYVANSDGSGHREIIPDISAFSTVWDPKRKGVIYTSIGPTFASVDTGVDIISVELDADELSFKKLSIGGQNNAFPSVSPDGNWIAFRSGRTGHKNLYIMDAHDGEKGGLTQLTNGPWSDTMCNWSPDGDWIAFASDRHNPGSGSFALYMIHPNGTGLRQLIHSGAAGRTNHPWFSPDGKYIVFTSDYAAVSAEPISNPHHYQPYGDIFTMKLDGSELTRLTHNSYEDGTPSWGPKFMTAEDVEWPIGEQKCSFEDCQWLAISNKHDDVKVQCSG >KVI06785 pep supercontig:CcrdV1:scaffold_7384:8636:9133:-1 gene:Ccrd_014859 transcript:KVI06785 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MRWDEMKGNFMHHKQEQEPPQHEQHDSSYLSFDSLSPNFKPKDYYRKLEVHYEVTEEEIRPNYIRLALVLK >KVI06786 pep supercontig:CcrdV1:scaffold_7384:14705:18524:1 gene:Ccrd_014860 transcript:KVI06786 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MRWDELEGDFMHHNEEQETQQQEQHDSSYLNFDSLSLISKPKDYYRILEVDYEATEEEIRSNYIRLALVRLLIPFLHFDPGDQTDRYKRASDPAQKWHPDKQKGEDGATSKFQEINEAYQVLSDPIRKQEYDKKGMLYLYDYNIVDYLNRYKGLILTCNGLGMKTSIL >KVG34110 pep supercontig:CcrdV1:scaffold_7385:7584:12110:1 gene:Ccrd_026475 transcript:KVG34110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class I, active site-containing protein MRLRIVLVVNDLLNLTGQNLRIREDSQGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGCMQELQNEHHMKVAVVFYEEFSMLLTLFIGQLPPDS >KVG33797 pep supercontig:CcrdV1:scaffold_7388:7930:15574:1 gene:Ccrd_026476 transcript:KVG33797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MANSRGPSTTRNMMYNGRHSLLPPKCPFPSIAPSYSDYISSAAIGPKGTPNYRDGNPHHQRASSESLLVEEQPSWLDELLNEPETPEQRGHRRSSSDSFTFLEAANAANTEYAVKNEHWMRNLTSAPSWASQDFDFYKDAQNMSFYAEPNPVINNKTRTWDSPQNSHSTGTASSRHNSGLRRTVSLGASQQLNGIASTTNEKQDAAEAGPQDSAETGPQDINTSERKDSSNTKPFASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQSLILSMENKALKQRLDNLAQEQLIKCLEHEVLEREIGRLRALYQQQQQQQQPQPQQQPPLTHRQTSIRDRLDSQFANLSLKNKDSSTCVRDPVSSQPRT >KVH88559 pep supercontig:CcrdV1:scaffold_739:1471:2650:-1 gene:Ccrd_026477 transcript:KVH88559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTRNEREVMEANIVSELRKEFNVDEVYGTDSSIIGNLKSVVDFNSDSITPNNPLVFDEIMLENDKQSQPENPIGIINT >KVH88565 pep supercontig:CcrdV1:scaffold_739:73514:79720:1 gene:Ccrd_026480 transcript:KVH88565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRADHHIYPDMAAYHCIAIALGQSGLLKELVNVIDCMKQEPSNTIKKMRRKNWDPVVLPDLVVYNAVLNACTLSGEWKGVSWVFEELRRSGLKPNGATYGLAMEVMMASGKYELVHEYFEKMKKSGNAPKALTYKVLVKAFSKEGKVNEAVEAVRDMEQKGVVGEASVYCELAFCLCFNGMWQEAILEIRKMKRLHLTRPLVVTFTGLIVSSLDGGHIGNCIRIFNVSKEHCAPDIGIINAMLKVYGRNDMFFEAKELFEDTKRCNGHNISLGRDGPFVSPDAYTYGEMLRVSASAHQWEYFDYVYKEMIFSGYQLDQKKHAFLLVEASRAGKTHLLEHAFDVMLEGGEIPPSSFFVEIICRAMIQDDFDRAITILNSMAHAPFLVREKEWRGLFEEYKGKITRSHLRKLLDKIGSHDIAMEASAYKLSRLLQSLCGSKSNNANESVDDLQESASSSCDVKLHSIMEHYADELESVDERPKSRDYDDYDSENDLLGGELGQVDESKRHKLPSADGILENWKESMMKDGIFLPFQTGIK >KVH88567 pep supercontig:CcrdV1:scaffold_739:138154:147936:1 gene:Ccrd_026482 transcript:KVH88567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGVENYHVIELVGEGSFGKVYKGRRKFTGQTVAMKFILKHGKSEKDIHNLRQEIEILRKLKHENIIQMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEEVQRITKQLVRALHYLHSNRIIHRDMKPQNILICAGGVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIIKDPVKYPDNMSSNFRSFLRGLLNKDPHNRLTWPHLLQHSFVAETFEDVEAKSTMIYYKELRANTAADRVGDAAWKGERHVEATDLTSASPEAKSQSPTTTNESSKAPGYQTDSKCNDQHSAIVCSSPQIESQRVAQNNNVQSGCELLDRLENNSRTVKGAKLIGLDNESLSVILLPLKHRSCRDQDVLTSNQSLRILSNLVAAGAINSTGVLDEIFWELIGFTANLLRIKKSDYSDLLAKVSGGAEDASGRILYESIACITVLLSRVTQGLKASLGTKRAEMVATPLINEAFKEILDHAKTVGLADILISHLVTCGTSLMSGSSNLLRAACEACRTIWSLIDAFEIQSTKENACLFPLSSMCNYSLERLDLKGDECESLIGTDSAKIVDAVTRAFLRSKAVQVAFYYCLRQRLEAAWSSSIQIILRCCLHNSLVTGVLCGLPSSLPVTTVVSGGGDNTIISEIFSILSLCASFDRDAQSGDTNNLKSKLANPCALVCHSCLLLAAVAQSLKSAGRNSALFMLTSSPRKQRSRLSDLAHHYSLCDRIQNSFQPHSMSAMLALASILFLESGASVETSISEIAVPLIPRSATLCDYLRILTADDNGRGDKFSKGKLSYWHGLRDGCVGLLESKLKWGGPLAIQQLCASGVPQTLIDLLGNNQSDASSQDHDQIGLSPVGVLWTVSSLCQCLPGGSSTFRQVLLRREHVKLVSDLMSDVHLKLIRCWGGPGGGKNGIRDTVNAVINLLAFPFVAVQNVPSFPSPAAAAASVNNGFLLNMGSPGGKVFADDKDMVRAIEASMGKYIQILLEVGIPGRIIRCLEHVELKDAARPVAFLAKMTVHQSLVVQLVGKGLLDPNMMRRLLDSSSPREVILDILMIISDLARMDKVFYEHINGANILEFLKEFLTHEDPNVRAKACSAIGNMCRHSSYFYGLLASIFFLYPYAKHNIISLLVDCCSDADKRVRKFACFARKTKQRQMHLVLSAILHFLRSSDLYPVIARLRQSSETTIANYACVILDKTSSKA >KVH88568 pep supercontig:CcrdV1:scaffold_739:155008:158286:1 gene:Ccrd_026483 transcript:KVH88568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKLPSLLFGTKGGSNDKFQNVSESSLVYKPKSELIDGLFVPPNDPKKVNKLLKKQVKDTTGKNWFDMPAPTLTPELKKDLKLLKLRNVIDPKRHYKKGDSKLNIFPKYFQRKVREIEEKNQPGGVDKWKIKGKSSWKRAKQRRH >KVH88564 pep supercontig:CcrdV1:scaffold_739:179912:187141:-1 gene:Ccrd_026486 transcript:KVH88564 gene_biotype:protein_coding transcript_biotype:protein_coding description:HRDC-like protein MSDKGGKGGKSALKSPGYKGKDDNSAKLKGRKVQFDSEDLYDDKFDTNGNGKSNGKDDTSFAKGGKGDKGGKAGKGSAKKEPPPLLLKVEQELPENAKCLMDCEAAQILQGIQEHMVLLSKDPTIKIPRALQYANRGNHYTNPHSARQVLESLKDQGLSDGEMCVIANTAIESVGEAFGLMPSLKAKKSKVKEPLRSALTELEKLKNVTESNKKAIVLD >KVH88561 pep supercontig:CcrdV1:scaffold_739:21714:22546:1 gene:Ccrd_026478 transcript:KVH88561 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein MVHGEKRPRRGRVADGINYAYGARADCLPIQESGPCFCPNIIQAHASYAFNSYYMRTSMVTGSCVFSGTATIAKTDPSYRSCVYPASPRSGGSSPRSVAPWKEKPQFFSIPTTDLEAGGASLSLSLFLNFVAIIVLFVEEIKLRTHMPNFGTASTSNHILINTPP >KVH88566 pep supercontig:CcrdV1:scaffold_739:80898:88134:1 gene:Ccrd_026481 transcript:KVH88566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRVEVRNEYGLGMPELYNETKGEDPKALLEGVSVAGLVGILRQLGDLSQFAAEVFQGIQEQVLITSSRSEKLGERLYNVEAALPLLEKAILAQRSHLHFTYTSDRSYMTGSQWHTRLPTEQNHFIYSDLPLCIMDSYEGCHNPPRLQKLDKFDTNVRGSCSKRYSDPTYFRRASAGPRGTHLQSGQGEKKAQRSKKKRSLARHRDMTHDAPTFMHDGGMDLPSRNYTGKTSQSLDVPTIHVASKSGTEPKEPKMEDHLTCFDSRNGSGFIECIFHPRYSTQSEENETKEMSSDLKEQQNAYLDLAPFHEKNEVSDETVENSSNSQEGSAPRWSFVTWDEKLEIVESTQIFCTENKLDAKESEESSTRIDLIDFDFHDDHLALSVTPNEIEGDMHYYMGALNIIASGSETDVCCQTKREVKQYSGLNNEDVDEKLKESNLDDRSMNFEFHVPESTYTRAMSCKQISHESHIKAQRISNSKSDLLENSDEVAGTSLISLTCTDNELLCSSSRLDSSSEQMLTKKPSGPHTNPIIFWTNGGLLGLAPSKPPDFGAVEDDKQVARADNSLDHFCNASSITRSDGPIGKSFGEQFGDGCSPPVEHMRRISLEAMAMDGCKLKLMMCNWHGSSSVDMFELAHDESETDSDNTFYRYPEYASSDDYHSELNSEQWDSPTGWN >KVH88562 pep supercontig:CcrdV1:scaffold_739:160852:175556:1 gene:Ccrd_026484 transcript:KVH88562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylation protein Rxt3 MSGTPNKRVHEDSGGHSSLSRYSHMQDDSGTYSGMGGANSKLTNPPAPSDYHATSFDIGQDARMPKVPRTEPREMDTRRSPYYRLLPSSLINSQSDHAVNPEDRVELRDSRDNNVRDLKVESHDVKAESKDPYQGAKSDKDVRYVNRGDDHKDTKYERDTYSDYRNELKTDKDAYNPVSSHLNWKESKEHHRAWKYPDTSGGNLDSWHVSRSNVHGQVEIARESLAIEEKDYTEAHEAVCENKVDVKGEDRIKERDRKRKDGKHREWGENNKERTEGRNNLQVGNVNNEMKDPTKEERETEKWEKERKDLSKDKDKLRDKEKDHTKRDSWIVNEKEGLQNEKEDVDVSSRALEQENLSSDQRKQKNTDSWKDLDKESKDRRIERDADIEGERSERRNKGFDKDSDDGGVDVEGSADREREVFNYGVQQRKRMLRPRGSPQVANRDPRFRSRPQDNDGSIGKPDVSTVVYRVGECMQELIKLWKEYESSVPDKTPESSQSGPTLEIRIPAEHVSATNRQVKGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATVRVLPPQDCYVSTLRNNVRSRAWGAAIGCSFRKGGGTIDLEPCLTHTSTVEPTLAPVVVERTMTTRAAASNALRQQRFVREVTLQYNLCNEPWIKYSISAIADKGLKKPQFTSARLKKGEVVYLESRRRRYELCYNGEKMVETVIANGGGGDSDGNSNLMDVFRWSECKKALPQTVMRSIGIPLPPEHLQVLEENLDWEDIQWSQTGVWISGKEYPLSRVHFLSPV >KVH88563 pep supercontig:CcrdV1:scaffold_739:172850:177153:-1 gene:Ccrd_026485 transcript:KVH88563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFLLLAAADDFLQDPSSSSLTLNPDARALNLLPQESSTAIKEPLGIVYSENSTQSTETEKARRTRQLNEESNGINSNDNRSNPIIRQVTHEHKKSEKLKVSLLTEPKQERQSGEKKPAKAKRIQPDVVADARVRQMKDQLIRARVYLSLSATRTNAHFIRELRVRMKEVQKALGDATKDSDLHKNAFDKLRAMEQTLAKGKQIQDDCTAVVKKLRAIIHSTEEQLIVHKKQTLFLTHLTAKTVPKGLHCLPLRLSADYHSLNSSAQQFLNEEKLQDPKLFHYALFSDNVLATAVVVNSTVSNAKDSSKHVFHIVTDRLNYAAMRMWFLANPPGNATMEVQNVEEFTWLNASYSPVLQQLASRKMIDYYFKSHHGELDPNLKFRNPKYLSIMNHLRFYLPEIFPKLNKVVFLDDDIVVQKDLSGLWALDMKGKVNGAVETCGESFHRFDRYLNFSNPLIAKNFDPHACGWAYGMNVFDLEEWKKQNITGIYHRWQNLNEERELWKLGTLPPGLITFYKRVFPLEKSWHVLGLGYNPNVKEKEIERAAVIHYNGNLKPWLEIGIAKFRGYWSRFVDYDQAYMRECNMNP >KVH88560 pep supercontig:CcrdV1:scaffold_739:40553:62785:-1 gene:Ccrd_026479 transcript:KVH88560 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF676, lipase-like protein MPYIQMASSASLLHYATPPLLNLRRTASLGPSPSNSNPNSPSSSSSSCSSSSSWPCCQGFSWTFSNCFGINGIWKHHILRAQAMSTTQGNLTSPRCANGVKDEPDHLLVLVHGIYASSSNTYSKTFAGIDGAGKRLADEVKQIVTSTESLKKISFLAHSLGGLIARYAVGVLYTHNASSIDNADDTTDSILNASGHRMIAGLEAINFITLATPHLGVRGNKQLPFLLGVPILEKIAAPMAPMFVGRTGSQLFLTDGKPNKPPLLLRMTTDCEEGNFISALGAFRYRILYANASYDHMVGRRTSSIRRESELVKPPFESLDGYKHVVDVEYCPPVLSTGSNFPPEAARAKEAAQNVPNTQNTLEYHEIMEEEMIRGLQRLGWKKVDVSFHTSLWPFFAHNNIHVKDEWFHKAGAGVVAHVADSIMQQEKQHQSSSFISASL >KVG33796 pep supercontig:CcrdV1:scaffold_7390:7396:8443:1 gene:Ccrd_026488 transcript:KVG33796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDKVTVMILNVDLKCSCCYKKVKKLLCKFPRQFPSLSLSLLFPFGFLSKSIIHDHSFFHLPPAFLAEIRDQVFDQDKNEVRITVVCCNPDKLRDTLCCKGGKAIQSIEIVEDKPNPKPKPQDKPKPDPNPSPVQKPKPADPPPPPPKVDPPKPADPAPPAPPKVDPPKPADPPPPPPKPKVDPPKPADPPPPPPKVDPPPPKVDPPKPADPPPPPKVDPPKPVPIPDPMPGFCPPVYPVPIQACCQECYQGRSGGPCYDFGYNYGRPVPPPPGPCYDGYGYEYARNRPCYVTRCDYFSEENPQGCSIM >KVG33795 pep supercontig:CcrdV1:scaffold_7390:1084:8202:-1 gene:Ccrd_026487 transcript:KVG33795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGIGTGFGGSTLGGGGGSAGFGGSTLGGGGSTLGGGGGGSAGFGGSTLGLGGGGGGSAGFGGSTLGGAGGAGSADNNNKKKKKERKNNRRNLVRSHDEDQEKKKKKSCCFLGNKNIKTDVFSNTNTEF >KVH91926 pep supercontig:CcrdV1:scaffold_74:261980:269375:-1 gene:Ccrd_006062 transcript:KVH91926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter MMVVKTIVAQLLLHHHHYRYNQPSRFFPRNCSSGCGSRLIIHTRASGEISGRIDQDEPPNYAPISPQIPEHKASFVDVLKKANSVLPQVVLASTILALVYPPSFTWFTSRLYNNALFVPKWYYAPALGFLMFAVGLNSSEKDFVEALNRPTAILAGYIGQFVLKPFLGYLFGTMAMTTFGLPTSLGAGIMLASCVSGAQLSNYATFLTDPEMAPLSIVMTSLSTATAVLVTPLLSLLLIGKRLPVDVQGMVSNILQIVVSPVAAGLLLNRFLPRISSAIRPFLPPLSVLVTSLCVGAPLAINIDSVLSPFGISVLLLVIVFHLSAFILGYAFTGIAFHNSPDVKPLQRTLSYETGMQSSLLALALANKFFQDPLVGVPPAISVVIMSLMGFSLVMIWAKKKG >KVH91927 pep supercontig:CcrdV1:scaffold_74:271245:274686:1 gene:Ccrd_006063 transcript:KVH91927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDNDGGITRLKVGFEDPKPDYVIMTTPEGAADGGVMAPSPPSSTENCTSFWWSLWWWGKLVFVFIFLAILGVCFFVWIGPFLMDKEVIPVLNWETNTFSKPVLAVLIFASVALFPSIFLPSTPSILAGEGDWYDQFRAVMLLRISPFPYAVYNYCAVATDVKFVPYLLGTLVGMVPEILVAIYTGIMIRTLADASHDHRSLTTLQIVFTVGGFVLTIATTVVVTVYAKKRLSELQKDEEQLLLLQ >KVH91898 pep supercontig:CcrdV1:scaffold_74:462643:466231:-1 gene:Ccrd_006075 transcript:KVH91898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase VNNPWPALNQLLNESESDYITKVVKQNESLKVRAKEMGQNTDEISNRSEYGGSIPVDNVQALASKDLKDVPVRYLRPEIVSDEVLTDESLQIPELFKLMSINLGIDLETVSKLYENCTQGIRMNYYPPCLEADKVLGLAPHSDAVGLTLLVQVNEVQGLQIKKNSKWVPIKPIRGSIIVNIAFHSPGIDTMIGPLTDGVNEKTAKYKTINTEDYTKLIISSKLDGKSLIEQMKIQ >KVH91915 pep supercontig:CcrdV1:scaffold_74:563103:585349:-1 gene:Ccrd_006083 transcript:KVH91915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type PVFIFTAYLLSGERAEKSLIRSAEPSVIDRRNSMDLMGTTVRENLVRSDRRPRPTNPDSDVTEKSLDSDSSSNPEGLQLGFNEPAENPDSNPNPGGSDWGYCTEEKLEELLMNKLESLYNEAIAKFVGLGYDEDVALKAILRNGHCYGNHDALTNVMNNTMGYLNSQASDIGGNLDESKQVFSNLTQLRDFSMACLVCLLRRVRPSLSRGDAMWCLLMADLHVGRASTMEVPVDPKPNVDGISNSEISSPVVNDMEKVKDDSIGVTPDSCKFHGGWGFGNRGTSEFPVNRPLSPAELEETLRTKIAYPKWPVLTPTMNMILKTNVALFAKEYRANQKRKQVESEAGSNSLSSGDSSSAVDTGSEACPPAEENLGTQGVRNKEKANLMWKKFCELSLEENGQIIDEAKTDDMLLGVIREIKELEKLVKERKDWAHQKAMQAARKLCHDLTELKMLRMEKEDNQRLKQGKPATEDPTMKRLSEMENALRKASGQVDRANLAVRRLEVENAEIRAEMEASKLSASESVTMCLEVARREKKYLKRLLAWEKQRAKLQEDLTAEKQKIIEFQEELLQAEAAKKTAEAGLRREQKARDLALTQVEEERRLKEAAEANIKRRHEALRSNIELDFQRYKDDLQRLEQELARIKTSTDPDQYSTYLPTPVSPYVSHPHGGETIARMLHELDDSDDGIDRACILCLKDEVSVVFLPCAHQVLCVSCNDAYGKKGKAKCPTCRVPIEQRIRVFGASS >KVH91904 pep supercontig:CcrdV1:scaffold_74:136707:138366:1 gene:Ccrd_006051 transcript:KVH91904 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTPNFGAQIYLKITDFWSWRWQIDNHQDHFARTILTIMVPLLIFLWYQYMEVYIRKGKTLLPPGPYGLPVVGYLPFLTFNLHERFTEMSHRYGPISSLRLGSKLHVVVNSVELAKVVTRDLDKTFANRSPPFTALTLSYGMLDVVWSNNNAHWRKMRKLMVSQVLSNANLDACAGFRTNEVRKVVRDVYGRIGKRIDINKVAFDAELNVVTGMLWGCSEENHSSYIGNGFREVEFKILELLGAPNISDFIPMLSWFDLQGRQREMQKQTEHLDRVFDKIIRGRINGGSRKVEEDGRKDFVQILLELKDKKDGPISIVEECHLSKLTYLDAVIKETFRIHPPLPLLIQRCANESCTVGGYMIPKGTIVHINVWAIHRDPRNCWEKNMPRSPARGEDVGVYISIALAFF >KVH91908 pep supercontig:CcrdV1:scaffold_74:173462:190590:1 gene:Ccrd_006055 transcript:KVH91908 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MISQLGDKLYLAFITFWSWCSQVDHQQGYLLPTILAISIPTLLLLXYKRTSARSTPPLPPGPYGLPLLGYLPFLNPNLHETFTQMSHKYGPIFSLRLGTKLHVVVNNMDLVKVVTRELDQTFANRDPQLTAIAITYGGLGVTFSNHKHWVDMRRLLVSQVLSNTNIDASRVLRTREVRKTVKDVYGRIGTKIDINKVAFDTEMKVVTSMLWGSSKGSDDSSDVGDGFQQVTDKIMELLAALNISDFIPMLKRFDLQGKQRDMEKQREQVDKVFDNIIRGRINANSKKMEKDGKKDFLQTLLDEKDDPTSAVDINEIKALLINILVAATDTTSTMVEWVMAXILYKPELLAKVQGELTEVIGMNNMVEESHLSKLTYLDAVIKETFRLQPPAPLFVPRCPSETCTVGGYTVPKGTIMIMNAWAIHRDPENWXDPLEFIPERFLSEKWDYKGNNLKFFPFGAGRRICPGALLGEKMLMYIVASFFHSFEWRLPEEEDFDLSEEFGIGFFPNYTNHFKHINMISQLGDKLYLAFITFWSWCSQVDHQQGYLLPTILAISIPTLLLLXYKRTSARSTPPLPPGPYGLPLLGYLPFLNPNLHETFTQMSHKYGPIFSLRLGTKLHVVVNNMDLVKVVTRELDQTFANRDPQLTAIAITYGGLGVTFSNHKHWVDMRRLLVSQVLSNTNIDASRVLRTREVRKTVKDVYGRIGTKIDINKVAFDTEMKVVTSMLWGSSKGSDDSSDVGDGFQQVTDKIMELLAALNISDFIPMLKRFDLQGKQRDMEKQREQVDKVFDNIIRGRINANSKKMEKDGKKDFLQTLLDEKDDPTSAVDINEIKALLINILVAATDTTSTMVEWVMAXILYKPELLAKVQGELTEVIGMNNMVEESHLSKLTYLDAVIKETFRLQPPAPLFVPRCPSETCTVGGYTVPKGALLGEKMLMYIVASFFHSFEWRLPEEEDFDLSEEFGIVIRKKKALMAIPSPRLSDAIDHQQGYLLPTILAISIPTLLLLCYKRTSARSTPPLPPGPYGLPLLGYLPFLNPNLHETFTQMSHKYGPIFSLRLGTKLHVVVNSMDLVKVVTRELDQTFANRDPQLTAIAITYGGLGVTFSNHKHWVDMRRLLVSQVLSNTNIDASRVLRTREVRKTVKDVYGRIGTKIDINKVAFDTEMKVVTSMLWGSSKGSDDSSDVGDGFQQVTDKIMELLAASNISDYIPMLKRFDLQGKQRDMEKQREQVDKVFDNIIRGRINANSKKMEKDGKKDFLQTLLDEKDNPTSAVDINEIKALLINILVAATDTTSTMVEWVMAHILYKPELLAKVQGELTEVIGMNNMVEESHLSKLTYLDAVIKETFRLQPPAPLFVPRCPSETCTVGGYTVPKGTIMIMNAWAIHRDPENWKDPLEFIPERFLKEKWDYKGNNLKFFPFGAGRRICPGALLGEKMLMYIVASFFHSFEWRLPEEEDFDLSEEFGIVIRKKKALMAIPSPRLSDARRHVRQNINYDRMGNDRDFT >KVH91923 pep supercontig:CcrdV1:scaffold_74:298267:302869:-1 gene:Ccrd_006067 transcript:KVH91923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease XendoU MEGLVKDLVDWGLGDDDGVKKNDEDGIDERSRSSSWAQIVSGDQENEQNHGRNSNRRNEERVDGRNQEWGSGGSQPSRRPQKAVNEEYERYEDGRQDNYKQSHPDQETGEESNDGWETVGKKPARRHQQRPANEQEYSNEVSYDSQIEPSQNELSDLGQACNKLWDLDHNRLTPGKDYEIDCGEGKKSYQNQDMAEGCLFTYLNDEVFKKPTISRFCSLLDNYNPHEGYKEEVTSQEKQEQAAFIEEICRTAPIKYLHKYLCLKGIVSEDPQEFKRMLTSLWFDLYGRGGTSSCSSAFEHVFVGEIKSRGDQSVSGFHNWLQFYMEEAKGNVDYQGYIYPRRRGQTPDSETQLLTIQFEWNGVLKSVSSTLVGVSPEFEIALYTLCFYMGNEDNYVELGPYNVNIKCYRLGNKLGSAFPIADS >KVH91900 pep supercontig:CcrdV1:scaffold_74:419534:439482:-1 gene:Ccrd_006073 transcript:KVH91900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase METINLRNYGISQSFKSYSDRRLSSSKRSSQSFYESISSPQQRCVRKSVSLFPIKSMKIVEQNQEPAFSPNGPIFPSSDSSSRQAQISSDSQRKTKIVCTIGPSTSSREMIWKLAEMGMNVARLNMSHGDHASHQKTIDIVKEYNAQFKEKVIAIMLDTKGPEVRSGDVPQPILLQEGQEFNFTIKRGVSMKDTVSVNYDDFINDVEPGDILLVDGGMMSLAVQSKTKDLVKCKVIDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNLVDFYAVSFVKDAEVVHELKDYLKSNAMVARGDLGAELPIEEVPLLQEDIIRRCRNMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVRQAVKVMHTVAMRTESRSPIKIVPPIRQTAYKSHMGEMFGFHASTMADTLATPIIVFTRTGSMARLILYHGVMPIYMEFSDDAEETFERALESGKGRTLCDSCSKWSATNLATRVYSPHTSSYGSRLTRDKKTLWNHLVLQCEPSSSSNSVGPTRTPEFKRDEGR >KVH91891 pep supercontig:CcrdV1:scaffold_74:7333:17747:-1 gene:Ccrd_006045 transcript:KVH91891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MVLPRKPWTKLQRWRVADITWGNGIPHVCHAYQILKRGGLKDEHIIVFMYDDIAKNDLNPRPGVIINSPDSSDVFVDVTKDYTGENVTATNFYVVLLGNKTVVKGGSGKVVASKPNDKIFVFYSDHGGPRVLGIIDENQNVKSLFINISIVQITLGMPVMPLVYADDFIRVLKTKHASRTYDEMVIYVESCESGSIFKGLLPTDLNIYVTTASNANKNSWGTYCPDMNHPPPPEFDTCLGDLYSISWMKAGSAPRKLSMNPFQSLDVKGVVNQRDADLYSIWKMYNRSTEKPQQKEELLKQIKEITAHRAHLDSSVEVIIGYLFSKEHGSVRGEGLALVDDWGCLKSMVQIFETNCGSLTQYGMKYTQSFTNLCNNGITTEGMDKASKAATMIVLERQLGL >KVH91914 pep supercontig:CcrdV1:scaffold_74:558246:561161:1 gene:Ccrd_006082 transcript:KVH91914 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGGASLPPGFRFHPTDEELIGYYLKRKVEGLKIELEVIPVIELYKFDPWELPDKSFLPKRDMEWYFFVPRDRKYPNGSRTNRATNAGYWKATGKDRKVMCQSSLAGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDVSGGTPSFQGPFALCRVTKKNELKTSYVTRETKAKEVGSGYNGDINESVVIRTSVRMGEESNLSSPLTSYEKTSTFSDEPTSSTGLNNPSSFWVSPDLILDSSKIPNPTSPWQPYHQFEISPSSSYSNFTEEVEPIDDLSRFGYMSPYSGNESFTRIFENKDFPYEF >KVH91916 pep supercontig:CcrdV1:scaffold_74:509268:514679:1 gene:Ccrd_006080 transcript:KVH91916 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein KVLIDCHRLFVARRSAHIRIKIPFEFIPTSSLLFSHNPFSFFLHFLLLFHRFLLQITFRSMTVSSNEVNSKTALAPLAPLEAVLFDVDGTLCDSDPIHLDAFREMLLEIGFNGGVPIDEEFFIKNIAGKHNDDIAAVLFPDDLEKDHGLKRAAVTNAPRPNAELMISTLGLTDFFHHLIIGDECEHPKPAPDPYLKALELLNVSKDHTFICEDSVSGIKAGVAAGMPVVGLTTRNPENVLMTANPTLLIKDYEDPILWAALEELDKKAGDA >KVH91905 pep supercontig:CcrdV1:scaffold_74:131401:133966:1 gene:Ccrd_006050 transcript:KVH91905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRNNSLLISMLALATGSEKCHHLSRDGSANTLGNSDENSSFAQSEKSMSIDDHDTQTLFESFCEDEKLLSHLLGEEEPPLIDTSAWELPNNGPLFNHCANSFTKWDDCATWLLDCQDFGVHDFGLDSFNDVEINILNTKNN >KVH91917 pep supercontig:CcrdV1:scaffold_74:527234:546594:1 gene:Ccrd_006081 transcript:KVH91917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MFRNEYIGTAPYISCLPSLRHHQLGPRDQFLVLSSDGLYQYFSNQEVVSHVDSFMERFPDGDPAQHLIEELLLRAAKKAGMELHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYLTRSFGSLLMIADLPLSNVMGGGFSQLLPCFNPAEKRRSDSSEFIFTATEPLDETLGHSFCYVRSSARFLSPTHSDRFVSPSQSLRFSPSHESSSTRSRQPYGVSETSFFKAISGASVSANTSTPRTVLQLENIYDDATDCVGGGVKSSIVNGFESTSSFSALPLQPLPRGDSARAASSGQMERAFFMSGPIERGALSGPLDSNLGSDSANSNGVPFSAPLNGGIYVKKKRKKTIPGIRKAFHRNFPRPWVVPVRNFVGGRKEAPAGGGGDESEMKNESDVQWALGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPEFLMGNLYKAMYKELEGLFWDLEDVTQDQSCPPQLQEEEATAVYLDPTTAAISDQSGSVKKVSFQSGEEIVTRRRRLWEYLAEEEPEDGLDLSGSERFAFSVDDALSISKTSSGVGRRSLLLSKLRNGFAKRKEGSRLFAWKFGLDAKEQKEEENRTEEAGNVVRSGRKKKPGPVDHQLVLRAMSKALEVTELAYLDMTDKVMDQYPELALMGSCLLAVLMRDEDVYVMNLGDSRAIVAQHDEIQDVGSSQTGDSRTVEGVDKEPIDAVGKGNGMANEVPSHDMRLTALQLSTDHSTSIEEEVTRIKNEHPDDNHCIVNDRVKGRLKVTRAFGAGSLKQAKWNDSLLEMFRNEYIGTAPYISCLPSLRHHQLCPRDQFLVLSSDGLYQYFSNQEVVSHVDSFMERFPDGDPAQHLIEELLLRAAKKAGMELHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >KVH91890 pep supercontig:CcrdV1:scaffold_74:39725:74510:-1 gene:Ccrd_006047 transcript:KVH91890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MAVLRFALLLLTLLAVPFSEAARPSLFGSSSNDAPNSTTWAVLVADENIIVFMYDDIANNTMNPRPGVIINSPNGSDVYAGVPKDYTGEFVTAANFYAVLLGNVSGVQGGSGKVVASSPNDNIFVYFTDHGGSGLLCMPNTPYIYANDFMEVLKTKHASGTYNEMVLYVEACESGSIFEGLLPEDLNIYVTTASNANESSWATYCPSMDPPPPPEYDTCLGDLYSISWLEDSDSEDLSTETLEVQYSKVGIRVFRFDYEDFGKPITITITSSAPRNSSMSSVQSFDSMGVVDQRDADIYSMWQTYKRLTEGSQQKEELLKNIEEITAHRAHLDSSVDAIRGSLLGIGRGTGRNEGSALVDDWDCLKSMIRTFETHCGSLTQYGMKHTRTFADVCNNGVANEAMDKASKMTCSSYNMGQWNPTIIFLIRLIDMAHWPTIFQLYLILLFVHVAQFSEATWPGPRLFNLSGSINNQSTGDLGTTWAVLIAGSKGYYNYRHQADICHAYQILKKGGLNDENIIVFMYDDIAFNPLNPVPGIIFNNPRGPDVYADVPKDYTGENVTAANFHAVLLGNKAGVSGGSGKVLASKPNDKIFIYYADHGGPGVLGMPNTPFIFADDLIQVLNLKYASRTYSEMSLAVVFRDFLALSESEDLRNETLRQQYLKVKMRTYNNHSSHGSQVMQYGTLHISNETVSEYQGSLPWSLNMNNSAQSFEPMGVVNQRDADLYSMWQTYKKSTKVSQQKDELLKEINEITAYRAHLDRSVDVIKGYLLGKGHESVRSEGAALVDDWKCLKSMVRTFETHCGSLTQYGMKHTRTFANICNNGVTAEAMDKASKMACSRYNLGQWNPAFFSEATRPSPSLFNLFGSTNDQSAEDIGTTWAVLIAGSKGYFNYRHQASVCHAYQILKKGGLKDENIIVFMYDDIALNPLNPIPGIIINNPRGPDVYADVPKDYTGESVSAVNFHAVILGNKEGVQGGSGKVLASKPNDKIFIYYADHGGPGVLGMPNMPFLYADDFIQVLKFKHESRTYSEMVIYVESSESGSIFQGMLPTDWNIYVTTSTNMYQPSWATYCPGTRTPPTAEFGVCIGDLYSISWMEDRYFLQILVKMRTYNNHSSHGSQVMQYGTLHISNETVSEYQGSLPWNLKTNKSVQSFEPMGVVDQRDADLYSMWQTYKKSTKESQLQKDELLKEINEIKAHRAHLDRSVDAIKGYLLGKRHESVRSEGATLVDDWKCLKSMVRTFETHCGSLTQYGMKHTRTFAKLCNNGVTTEDMDKASKMACSRYNLGQWNPAFNRFNNCFQTATFFLTASFSGLAATEGSVLCCVGVGFSSGTVLAQISSRFNFIESSVFHSIDMAYWSIVLQLILLVQVMLVVQFSEANRPSLFNMFKHQPQGNSTIWAVLIAGSNGYFNYRHQADVCHAYQILKRGGLKDEHIIVFMYDDIANNELNPRPDVIINSPNGSNVYAGVTKIDENQNVKSLFINISIVQITLGMPVMPLVYADDFIRVLKTKHASRTYDEMVRKTYLDHLDLWLIICLMKSVDLVSNYPFLWLLVLSESEDLHKETLEQQSLKVKKRTFNNHSSEGSHVMQYGTLRISNETVSVYQGSAPRKLSMNPFQSLDVMGVVNQRDADLYSMWKMYNRXTEKPQQKEELLKQIKEITAHRAHLDSSVEVIKGSLFSKEHGSVRGEGLALVDDWGCLKSMVRNHLLHSFQHAFAWDYTGESVSVVNFHAVILANKKGVQGGSGKVLASKPNDKIFIYYADHGGPGVLGMPNMPFLYADDFIQVLKFKHESHTYSEMVIYFESSESGSIFQGMSPMDWNIYVTTSTNTNQPSWATYCPGTRTPPPAGFGVCIGDLYSISWMEDRYFLQILVLMNESEDLRNETLREQYLKVGIRITYLNQNHTKRLYVKMRTYNNHSSHGSQVMQYGTLHISNETVSEYQGSLPWNLKMKKSVQSFEPLGVVDQRDANLYSMWQTYKKSTKESQLQKDELLKEINEIKAHRAHLDRSVDAIKGYLLGKRHESVRSEGATLVDDWKCLKSMVRTFEAHCGSLTQYGMKHTRTFASYATMVLPRKPWTKLQRWRVADITWGNGIPHLSVT >KVH91903 pep supercontig:CcrdV1:scaffold_74:374038:374883:-1 gene:Ccrd_006070 transcript:KVH91903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1218 MEGKTILVSVLLVVLGVAAAVAGFAAESKRVKKNDIKVEYDGGYEPICEYPSSPAMGLALAAAVALALARIIVTSTTGGCCRCCRTIPNLRNIARVCIVISWITSVVAIFLFLGGAKLSSKKGVEIEANGMYYCYTVRPGIFLGAGIMGLVGVLLGLVYYHFYVSAAKGANEKSGVEVELEAPPINDGKKPRVNDGKKPPMTDGKKPPMTDGKKPPKPLQK >KVH91899 pep supercontig:CcrdV1:scaffold_74:457532:460599:1 gene:Ccrd_006074 transcript:KVH91899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MAMDIGENTGEIINRSGYGGSIPVANVQALASEEMKDIPIRYVRPEIQSEEVLTDESLQVPVIDFSKLSVAGKPGYDDELAKLHVACRDWGFFQLINHGVLERIDAMKKVIEKFFKLPLEEKMKYAQIPGMLEGYGQAFVVSEDQKLDWGDMLFLVPLPVDQRNTRFWPQNPTSFRTTFHEYSNELNGVSKELLKFMSINLGMEPDAINKLYENCVQSIRMNYYPPCRVADKVLGLAPHSDATGITLLVQVNGVQGLQIKNNSKWVPIKPIPGSIIVNIGDIMEERLSIAAFHGPGLEVKIGPLPELVKEKTTKYKTIDGEDYLRLIISSKLDGKSLIERMKIQE >KVH91907 pep supercontig:CcrdV1:scaffold_74:138908:140593:-1 gene:Ccrd_006052 transcript:KVH91907 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTPNFGVKIYHSIISSWSWWWQVDNHQDHLARAILTVSVPLLLFLWYQYIRNDRTSLPPGPYGLPVVGYLPFLASDLHERFTHMSHRYGPIFSLWLGSKLHVVVNSVELAKVVARDLDQTFANRTPPVAALTITYGGIDIAWSNNNAHWRKLRKLLVSQVLSNANLDSCAGFRTDEVRKVVRDVYGRIGKKIDINKVAFDAELNVVTGMLWGCSEGKDSSDIGDGFREVEFKIIELLGAPNISDFIPMLSWFDLQGRQREMQKQKEHLDRILDNIIRGRSNGNSRKMDEDGRKDFVQILLELKDQKDSPISLNIDQIKALLFVSCIILQLLDIIRSCKTDFVTKRMLMIPKWLRQDILTAATDTTSTMVEWVMAEILHHPEVKTKIQEELNDVLGMNSIVEECHLPKLAYLDAVIKETFRIHPPLPLLIQRSPDESCTVGGYLIPKGTIVYINVWAIHRDPRNWPNSLEFKPERFLKGKWDYNGNNLKFLPFGVGRRICPGIPLGEKMLVYILASLLHSFEWRLSEDEDFEVSDEFGFVTKKRKPLIAIPSQRLSDARLYL >KVH91924 pep supercontig:CcrdV1:scaffold_74:245423:251037:-1 gene:Ccrd_006060 transcript:KVH91924 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MMQMDRRTCLDEYEKLVVRMNTPRVMTDNASCVNATRVMIDSARKDEILLEAVQVLTDLNLSIKKGYVSSDGRWNMDVFHVTDLDGKKLTDNTIINSIEQSFETIHNTRSNPIDGMTALELTGTDRVGLLSEVFAVLSDLNCDVVESKVWTHNGRIASLIYLKDTDSGCPIEDSDKIDLVKARLRNVLKGENDIKSAKTSVSMAVTHTERRLHQMMFADRDYARSPGLKTCLENSPSVSIQNCLDKGYSVLNVQSKDRPKLLFDVVCTLTDMQYVVFHATINTTEDGAHLVRIHILL >KVH91893 pep supercontig:CcrdV1:scaffold_74:98741:110547:-1 gene:Ccrd_006049 transcript:KVH91893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MDKLLFYLLLLLIIIQFAPGAVTKPTPLNFVKTSCKTTLYPALCVNSLSSYAGSINGHDQQLAKSAVLVSLNNAKSLTTFVSRLAKTSKTNPRESQALKDCISSMASSVASLTQSVQELGKMAQFKGQNLVWHMNNVETWVSSALTNQNICAGGFSDRSMNGKVKDAVYRKMNSVTQITSNALALVNGFASRHKKFYLLVLLIILQYTTGNAADPAAMNYVTASCKTTRYPSLCVRCLSTYARSIQGNDQLLAKAAISVSLNNAKSAAAYVTKLSRSSGLKPREFQAMKDCVNGMNSCVPSLNQSVLELAKMARLTGQNFEWHMSNVETWVSSALTNQNTCARGFSDGSMNGPVKDAVIRRMSYVAQLTSNALALVNSPSISKPTSPQPNYSQDDDDDDDDDDDDVKVGTSPRLLAQIALAATLSATRTTSTKLTTYSKTHKLTKRETSAMKDCIEEIGDSAYELRKSMVEMTKVHTGSGFLFEMNSIETWVSAVLTDDDTCTDGFSGQYMNGELKTMVRKEVLNIAHLASIALTFVNKFAKG >KVH91896 pep supercontig:CcrdV1:scaffold_74:487403:493373:1 gene:Ccrd_006077 transcript:KVH91896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGSKNTPTNRRRSPLSIIIIFGLCCFFYLLGTWQKSGFGKGDSIAMDITKKTECEDDFATLDFNPHHSIPIPDASKPKAKMFKPCDVKYTDYTPCHEQDRAMKFTRENMVYRERHCPPQEEKLKCLIPAPKGYSTPFPWPKGRDYVHYANVPFKHLTVEKANQHWVEFQGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYLMKRNVLAMSFAPRDNHEAQVQFALERGVPAIIGVLGSISLPFPSRAFDMAQCSRCLIPWGENDGMYLMEVDRVLRPGGFWILSGPPINWKTYYQTWKRSKEDLKAEQRKIEKLAESLCWEKKYEKGDIAIWRKKVNAESCQMKSVDIFKSANEVAGGELKKFPARLQAVPPRVSKGLIPEVSIESYQEDSKLWKTYVGTYKRTNRLIGTSRYRNIMDMNAGLGGFAAALDSNKLWVMNVVPTIAPNTLGVVYERGVKDSRLTQGHMTLFMAMVYSACMRTSSVILRDEVDVLTKVMKITAGMRWDVKLLDHEDGPFVPKKIFVASKQYWVGTKGNNTSSD >KVH91897 pep supercontig:CcrdV1:scaffold_74:470711:474446:-1 gene:Ccrd_006076 transcript:KVH91897 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MDHIITLFLVFVTLLQTKPILCNNEPLIVGYYEESCPFLEEIVRRQVEIAVLQEPRMAASLLRLHFHDCTESEKKAAPNTNSLRGFEVIDEIKNVVEDACPCTVSCADLLAIVARDAVALTGGPKWNVYLGRRDSMKASLDDANKFIPTPNSSLETLIANFGSQGLNAQDLVVLSGLLVSDNVLVAEDVEREIRDVVWAFASNEEHFFTSFANSMIKMGNIRVLTGQQGEIRKNCRLINT >KVH91892 pep supercontig:CcrdV1:scaffold_74:76066:81248:-1 gene:Ccrd_006048 transcript:KVH91892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, III-V domain-containing protein MAAEAVALSSNTAASLCNFSGSNGGSSTRPLRLFLLSPATSLRRHHHQHRSLTSSFFGTQRLAPNKSISHFQKRNLSVFAMAAAPEDAGKTTTTERVLFYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRMGANFFRTRDMIISNLGAKPLVLQIPIGAEEVFKGVIDLVRMKAIVWSGEELGAKFSYEDIPSDLQDLAEEYRALMIETIVELDDEVMEGYLEGVEPDEETVKKLIRKGTIGGSFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDVPPMKGTDPENPEIEIARLPSDEEPFAGLAFKIMNDPFVGSLTFVRIYAGKLAAGSYVINANKGKKERIGRLLEMHANSREDVKVALAGDIVALAGLKDTITGETLCDPEHPIVLERMDFPDPVIKVAIEPKTKADVDKMANGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVEANVGAPQVNYRESISRVAEVKYVHKKQSGGQGQFADITVRFEPLEAGSGYEFKSEIKGGAVPREYIPGVMKGLEESMCNGVVAGFPVVDLRAVLTDGSYHDVDSSVLAFQLAARGAFREGIRKAAPKILEPIMRVEVITPEDHLGDVIAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSAAKEEAVTA >KVH91906 pep supercontig:CcrdV1:scaffold_74:151037:152718:1 gene:Ccrd_006053 transcript:KVH91906 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSQLGDKLYLTFISFWSWWCEVDNQQGYLVRTILTISLPTLLLLWYKRTSASFTPPLPPGPYGLPLLGYLPFLNPNLHETFTQMSHKYGPIFSLRLGTKLHVVLNNIDLIKVVTRELDQTFANRNPHLTARAISYGGLGVSFSNHKHWVDMRRLLVSQVLSNTNIDASRVLRTREVRKTVKDVYGRIGTKIDINKVAYDTEMKVVTSMLWGSSKGSDDSSDVGDGFQQVTDKIMELLAAPNISDLIPMLKRFDLQGKQRDMEKQREQVDKVFDHIIRGRINANSSKMEKDGKDFLQILLDEMDDPTSIVDINEIKALLINILVAATDTASTMVEWVMAHILYKPKLLAKIQGELTEVIGMNNVVEESHLSKLTYLDAVIKETFRLLPPAPLFLPRCPSETCTVGGYTVPKGTIVIMNAWAIQRDPENWTDPLEFIPERFLKEKWDYKGNNLKFFPFGAGRRICPGALLGEKMLMYIVASFFHSFEWRLPEEEDFDLSEEFGIVIKKKKPLIAIPSPRLSDASLYM >KVH91889 pep supercontig:CcrdV1:scaffold_74:17796:18797:-1 gene:Ccrd_006046 transcript:KVH91889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRQVKMRTYNNHSSHGSQVMQYGTLHISNETISEYQGSLSWSLKTKKSVQSFEPMGVVDERYADLYSMWFEHLRHIVDH >KVH91894 pep supercontig:CcrdV1:scaffold_74:506395:507422:1 gene:Ccrd_006079 transcript:KVH91894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MKEDPQRSQIHQRNAPPVIPTLPKINHQCSSSSTSSSVSNMHTVPTLWPNGLIFPPPYPPPPFVVGQEPIYYPDERHSWAIGLFDCFSDLKISFLVLLCPCVAFGKIAEIVNEGETIWTEPGSLYCFLYIVQVGFMEIVDFIWFILFKDRCIGSMSYQGWIIGFILATFYNGLYRTKLRRQWNLKGSLTSDYCLHLFCHQCALCQQYRQLEHQGFIVFQGWERNKERFRQTMTVMYPQAPPVVQEMSR >KVH91922 pep supercontig:CcrdV1:scaffold_74:295144:297384:1 gene:Ccrd_006066 transcript:KVH91922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MDNNRYKISPLMAFMFVLTLTTILNSYSATAAELETYIVHLNSAKGQGFDQSQDRETWYQSFLPSTTTGGLTDERTMVFAYHNVLTGFAAKMSAEQAKAMETMEGFISARPQRKYSTQTTHTPNFLGLHQNLGFWAGSNYGKGIIIGVLDTGTTPAHPSFNDEGILPPPAKWKGKCDVAGCNNKLIGLRNLTGISEEHFDEDGHGTHTSSTAAGSFVDDANVFGNANGTAVGMAPLAHVAMYKVCTLEDCEESAILAGMDAAVEDGVDVLSLSLGGESLPFYQDGIAVGAFTAMQKGIFVACSAGNSGPFNSSLSNEAPWILTVGASTVDRKISSTVSLGNKALLDGESLFQPKDFSKTLLPLVYPGANGVGNTAWCAEGSLDDVDVKGKVVVCDRGGDVGRIEKGQTVKDAGGVAMILANEATEGSSTIADAHVLPASHIGYKDGVAIKAYINSTTSPLATLMFRGTVIGVESAPEVTSFSSRGPNLASPGILKPDIIGPGVSVLAAWPVSVENNTQTSTTFNMISGTSMSCPHLAGIAALLKASHPDWSPAAIKSAMMTTADQVSLNGRPIEDERELPADVFTIGSGHVNPSKANDPGLVFDIQPDDYIPYLCGLGYTSQQVGIIAKKTVSCSETILEGQLNYPSYVITLASGQNKTYTRTVTNVGEAKSTYTISVTNVHLPPGIILEIRSPALKFTAVNQKVAYDLTFIRDSKAQMNVPYAEGSMAWAYGKYVVRTPFSFKFI >KVH91895 pep supercontig:CcrdV1:scaffold_74:497985:503551:1 gene:Ccrd_006078 transcript:KVH91895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKGFLECLLKLLNFLLTLLGLAMVGYGIYMFVMFKNANDDWDPLHGESIQLGRPMLMAVSLSSNKIFDNLPTAWFIYLFVGIGALLFVISCFGCIGAATRSGCCLTCYSLLVILLILAELGCAAFIFFDKSWRAEIPVDKTGEFDTMYRFLEKNWNICRWVALGAVILEVLVFLLALMVRAANSPSDYDSDEEYIDGPRQQRQPLISRQPVPSQYGLDTSEFTYNPNEPNRYQQVSMQATEEKSRCTIM >KVH91920 pep supercontig:CcrdV1:scaffold_74:276137:287007:-1 gene:Ccrd_006064 transcript:KVH91920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MATTHLIIVFIATLGVLASAISAKEYIVGDESGWTLGFDYQSWAKDKVFFVGDTLVFNYASGTHTVVKVNGTGFQQCIVSPAIGTLTSGQDVVPLQTPGRKWYICGVGKHCESKNMKLVITVLPLTMAPAPSPMATSASSKLVISTFYGSAAALMVAPHLTIVFISTILVLATSISAKDYVVGDGSGWTLDFDYQTWAKDKFYRMQRTRSKNISRMAASRLIIVTLVVLASSISAKEYIVGDESVFNYASGTHNVMKVNGTGFQQCIVSSANGTLTSGRDIIPLQTPGRKWYICGVAKHCELKNMKLVITVLPQTMAPTPSSMAKYTSSGLQLAIPTLYGYVVALFGSLLFLLA >KVH91902 pep supercontig:CcrdV1:scaffold_74:389202:400836:1 gene:Ccrd_006071 transcript:KVH91902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S16, lon N-terminal MFKIDLRGVAARALHLSRGVEKPSGRVTYIVVLEGLSRFSVQELNTRGTYTTARISPIDMTKAEMEQVEQDADFIALSRQFKLTAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDVKVRLSKATELVDRHLQLGDNDDEDDDVAALERKMQDAGMPPNIWKHAQRELRRLKKMQPQQPGYNSSRVYLEILADLPWQTTSEEVELDLKAAKERLDSDHYGLVKVKQRIIEYLAVRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKKVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDQAQPIPPPLLDRMEVIELPGYTSEEKLRIAMRHLIPRAMVKLVIERYTREAGVRNLERNLAALARAAAVIVAEQEHSLPINKDMHQISSPLLESRLAEGGAEVEMEVMPMDVNNHEISNVFRIMSPLILDEDMLEKVLGRVVTPGVSVGLVWTAFGGEVQFVEATATAGKGDLHLTGQLGDVRARATELNLATEQESNLLEGRDVHIHFPAGAVPKDGPSAGVTLVTSLVSLFSRRRVRADTAMTGEMTLRGLVLAAHRYGLKRVILPERNLKDLVEVPSAVLGSLEKASNLVIIGLLPRRQGIPSRN >KVH91911 pep supercontig:CcrdV1:scaffold_74:193109:206341:-1 gene:Ccrd_006056 transcript:KVH91911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MHLREREMGKSNYVQFFIIMAIAPFIFIYSRYPPENLGCSTATPPWCTTKTHRKTNLRSTSILDAGIPDSDHHFTDTPLHPLDPLTVSEINRVRSILSAYEPFLLSSPSINSLSLDEPEKIEVTRWKTGDPLPPRRASVTALFDGVTHFLTVDLGLGLVTGHDVHTGPGYPMLAPNDLTTALEVTYSDLEFNRSILARGVDLNDINCITLSSGWYGPDEEGKRIVKVQCFSSQDTSNFYMRPIEGLTVTVDIDKRKIIKITDIGRGIPIPKATNTEYEYTTNYPFSDMGPTPNPMSMEQLKGPSFTVDDGHIVKWANWVFHLKPDIRAGMVISQAMIRAEDGKYRSVMYKGFASELFVPYMDPDEAWYFKSYMDAGEFGLGATAMSLVELNDCPRHAYYMDAVFTTTDGQPFIQPNIICIFERYAGDIGWRHSEIPVMGFNVGLSGMLMVKGTPYENINDIPNTSDMTGTLVSENVIGVVHDHFVTFHLDMDIDGANNSFVKFNLVKEETLPGQSPRKSYLKAKRKVAKTEDDAKIKLKLYDPSEFHVINPSQRSRLGNPTGYKIVPGGTAASLLDHDDAPQIRAAFTNNQAEELDCSTNSRWCTTKNRFFQSTLKEPSKRTKPTTTTVRHHTSDVPHHPLDPLTLTELNKLRSILLSHSLFQNSKTYAFHSVVLEEPEKFDVLTWSHGDPLPPRKGSVIARVNDVTHVLTVDLATGEITPVDVGEHSGYPTMTIEDMTSSTWAPLGNADFNRTIIARGIDLKDLACLPISIGWFGKKEENRRLIKVQCYSMEGTANFYMRPIEGLTVVLDMDTKQVVEIIDKGKNIPIPKAAGTDYRFSAQNTKINLVNPISIEQPKGPSFTIEDDHLVKWANWEFHLKPDPRAGVIISRVMVRDPNTGEMRNVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFAAGDGKPYVRSNMVCVFESYAGDIGWRHSESPITGMEIREVRPKVTLVVRMAASVANYDYIVDWEFQTDGLIRVKVGLSGILMVKGTSYVNMNQVNSPENLHGTLLSENVIGVIHDHYITFYLDMDVDGPQNSFVKVNIKRQETAAGESPRLSYLKAVRNVAKTEKDAQVKLKLYDPSEYHMVNPSKKTRVGNPVGYKLVPGGTAASLLNLDDPPQKRGAFTNNQIWVTPYNRSEEWAGGLFTYQSKGEDTLAVWSERDRAIENKDIVMWYTLGFHHVPCQEDFPIMPTVSSSFDLKPVNFYESNPILNIPPNSEKDLPVCNTASSA >KVH91919 pep supercontig:CcrdV1:scaffold_74:355824:357095:-1 gene:Ccrd_006069 transcript:KVH91919 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein, SBP1, pollen MAVQAQYPSNVLLLNRSIAEGENDDCSLQLQSQPGGTTDDPSSNHILFTDFAVASGGVNLRKRRREINMNQLMSSLLHQQQFHNQLVDVNQLRSRNIDVSTGLRLAFNDQQQLQQHSISSQSSVLSLLTHDLSTQINQQRDEIEHFLHAQGEELRRTLANKRQMHYCALLRAAEESISLRMKDKDAEAEKAARRNAELEARAANLSAEAQVWQARARAQEAEAAALQSQLQQAIIVTGRRGGGGCSLSQGEEVGLRCAGGAGDAEDAESAYIDPEQVVLASGPGCKACGKRVASVVLLPCRHLCVCTECDGVVQTCPLCLSFRSSSIEVYMS >KVH91901 pep supercontig:CcrdV1:scaffold_74:394874:407963:-1 gene:Ccrd_006072 transcript:KVH91901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Got1/SFT2-like protein MKLNNKNASFSFSPSSHTKHTHNSAIIRSTCSTEAKFSLLAVFLSQILFISGVVMTIGVKSSLQFFMKRSNFKGTISFGIGFFFVIIGWPVIGMALEAYGSRTSSSAEAGSPRTSDPVLSISSSNITGLQTATF >KVH91912 pep supercontig:CcrdV1:scaffold_74:241928:244734:1 gene:Ccrd_006059 transcript:KVH91912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDTLAWLLFFFILIAILVIVVYQVFTGGTPCGRHRDLQPTQWSEEATAFKTRSSHFPPIHHLILDDI >KVH91918 pep supercontig:CcrdV1:scaffold_74:308591:310153:-1 gene:Ccrd_006068 transcript:KVH91918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF581 MESTTTRRPYFVEENNGLASISDLEHGFSSSPSSSTEDNHHHNHHLISRPIYSPRKTSLRNLSSFPSLSSPRSGRIFHGRFEEQPHFLDACFLCKKPLGPNRDIFMYRGDTPFCSEECRAEQIDIDESKEKNKNLSASMKALRKKEQSETSPNKNSKKYPFHSGAVAAA >KVH91909 pep supercontig:CcrdV1:scaffold_74:159195:160391:1 gene:Ccrd_006054 transcript:KVH91909 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MRRLLVSQVLSNTNIDASRVLRTREVRKTVKDVYGRIGTKIDINKVAFDTEMKVVTSMLWGRRICPGALLGEKMLMYIVASFFHSFEWRLPEEEDFDLSEEFGIVIRKKKALFAIPSPRLSDASLYI >KVH91913 pep supercontig:CcrdV1:scaffold_74:229474:233541:-1 gene:Ccrd_006058 transcript:KVH91913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MAGAGAPSNSNTQQSPPPPPPPPPPPSPPPESTDEAAAKIVHKRFEGLMMVRTKAIKGKGAWYWTHLEPILVQNPDTGLPKSVKLRCSLCSAVFSASNPSRTASEHLKRGTCPNFSSDTNPKPISTFSPTGIINLSSPMSSSSPQPQKNHRKRNSGNRTSRHSTTTYSVAPLTMIEPARFSIDGSGYTRTDSMPALPPPTVMPVSSSGLYSQLHHQPQPSTVATAATAAMILSGGKEDLSALAMFEDSVKKLKTPKSSPGQILTKSQIDSSFELLADWVYENCGSISFSSLDHPKFKTFLNHLGLPAISRRELAGERLDSKYQNAKLESEARIRDAMFFQISSDGWKSKNYHQRHHSSSGELENLVNLSVNLPNGSSVFQRAVFTNGYVFSKNAEDVLMETIIEICGNNLQQCVGIVSDKFKSKALKHLENQHQWMINLSCQFQGVNNLIKDFNKELQLFKIVTDNCLKVSNFVNTRSQIRSSFLKYQFQESGRAGLLRVPFTGGGGGGGGGGGIGRFEFEPVFNMVEDVLSSARSLQLVLLDENYQKLAIDDHVGREIEEILRNPQFWRELEAVHSLVKLIKGMAQEIEKERPRVGQCLPLWEELRVKIKDWCLKFQINENHVDKVFDKRFRRNYHPAWAAAFILDPFYLIRDRSGKYLPPFKCLTSDQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYAQAVQLKQRDPVSGKMKIVNPQSSRLVWETYLTDFNSLRKVAVRLIFLHATSCGFKWNWSFSRFAQFRDGIEKAQKLIFIAANSKLKRWDFSNNDDKDADFFAVANGEDDMLNEVLFDASSP >KVH91910 pep supercontig:CcrdV1:scaffold_74:214807:215560:1 gene:Ccrd_006057 transcript:KVH91910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1442 MKLVWSPEMAAKAFMDTVKSCKLYQGSSAAELISAMAAGWNAKLIVETWSHGGVIATSIGLAVASTHTGGRHVCIVPDEDSRSEYSAAMAKAGLSPEVMVGEPAAVVMGLEVIDFLVVDSRKNDFVQILRAAKFGHRGAVLVCKNANSRATAEFRWRSLFEGGSRRIVRSVFLPVGRGLDIGHVAAGETGSGSSKVQTSRWIKRVDRESGEEFLIRK >KVH91925 pep supercontig:CcrdV1:scaffold_74:256174:256665:-1 gene:Ccrd_006061 transcript:KVH91925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, A20-type MGSDANKMNDGTSFQPSEPAPCANGCGFFGTAATMNLCSKCFRDIRIKEEQAASAKAAVDKLVNKVVSFPPQTPSASSSSGSEQLPPPTTATAVDVKQKIRNRCLTCNKKVGVIGFKCKCGETFCGSHRYPENHDCEFDFKKTGKEAIAKANPVVKADKVDRI >KVH91921 pep supercontig:CcrdV1:scaffold_74:290090:291573:1 gene:Ccrd_006065 transcript:KVH91921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MTTSHLIIVVIATLGVLASSISAKEYIVGDESGWTLDFDYQTWAKDKVFFVGDTLVFNYASGAHTVVKVNGTGFQQCIVSPAIGTLTSGRDVVPLQTAGRKWYICGVGKHCESKNMKLVITVLPQTMVPAPSPMATSTSNKLATPTCYRFVAILFGSLLLLLV >KVI03112 pep supercontig:CcrdV1:scaffold_740:108252:111658:1 gene:Ccrd_018593 transcript:KVI03112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCCGVEWFLALGCLRWAWKRCTYIGGDDSASWPTATAEEFESVPHLCRIILAVYEEDLHKPKFPPLGGYRLNPDYVVKRVTYQQTLGQAPPHLIYLDHTRREIVLAIRGLNLKKESDYKLLLNNRLGMQMFDGGYVHHGLLKSAVWLLNQESENLKRLWVENGSCYKMVFVGHSLGSGVAALMTVIVVNHRDMLGGIRREMVRCFALAPARCMSLNLAVKYADVIYSVVLQDDFLPRTPTPLEDIFTSIFCLPCLIFLVCLRDTFVPEGRKLRDPRRLYAPGRIYHIVERKFCRCGRYPPEVRTAIPVDGRFEHIVLSSHATADHAIIWIHREAEKALNLLKEKDPESIMMAPKVQKFDRLQSIEKEHKDALERAVSLNIPHAVKTTDENEPRESIDEEESTADKLDAEHQSGDTISKPGKTNWNFLVDRLLERTESGKLITREADSC >KVI03113 pep supercontig:CcrdV1:scaffold_740:48059:90595:1 gene:Ccrd_018592 transcript:KVI03113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MAFSNASLLSSCSLYRLNPVLFSHRRCSVTLYRLHLRILKSRFLAVAASDNGVFTSPEIAKSFDFTSEERIYNWWDSQGYFKPNFDKGSEAFVVTMPPPNVTGSLHMGHAMFVTLEDIMIRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGREEFTKRVWEWKEKYGGTITNQIRRLGASCDWTREHFTLDDQLSRAVIDAFIKLHEKGLIYQGSYMVNWSPNLQTAVSDLEVEYSEEPGTLYHIKYRVAGGSRSDYLTIATTRPETLFGDTAVAVNPEDGRYTKYIGKSAIVPMTFGRHVPIIADKYVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYSGLDRFEARKKLWSELEETGLAVKKEAHTSRVPRSQRGGEIIEPLVSKQWFVTMEPMAEKALEAVRKGELTIMPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYVVGKDSEEDYIVAKSTDEALKKAQQKYGKDVEIYQDPDVLDTWFSSTLGWPDVSAEDFRRFYPTSVLETGHDILFFWVARMVMMGIEFTGTVPFTNVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTSNKAFTNKLWNAGKFVLQNLPTRSDPSAWESLLAQKFDKEEFLLRLPLPECWVVSKLHILVDAVTTSYDKFFFNDVARETYDFFWGDFADWYIEASKAHLYQSEDQAVASTSQAVLLYVYENILKMLHPFMPFVTEELWQALPNRKEALIVSPWPLTSLPRNLTAIKRFENLQALTRAIRNARAEYSVEPAKRISASIVATSDVIQYISREKDVLALLSRLDMQSINFMDSPPGDANQSVHLVAGEGLEAYLPLADMVDISAEVQRLSKRLSKMQTEYEGLLARLNSPKFVEKAPEEVVRGVREKAAEAEEKLNLTKTRLSFLQSTILVSE >KVI03114 pep supercontig:CcrdV1:scaffold_740:111718:114638:-1 gene:Ccrd_018594 transcript:KVI03114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MKNGEFLILWYYADMYLYPPGSFLVCSHQSFRRPKNLPWQRDLLEESLKAAGLPGLDNGAKLYVSNLDIGVTNEDIRELFSEIGELKRYAIHYDKSGRPNGTAEVMFARRSDAFQALNRYNNVQLDGKPMKIEIVGSKSEVPLSPRVNVVGGVNGHRTVVMMPGGGRGRGAAAFNRASGQRSRGGTVNGRGGRGRGRGGRGRGRGRKAAVDKSADELDKELENYHAMQT >KVH94319 pep supercontig:CcrdV1:scaffold_741:47740:52868:1 gene:Ccrd_003609 transcript:KVH94319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSFRRTPASFPSPSISKNANSSGKLSSLLQQSIQKLPSSNSIPKQSHLESFLTFNSKPSNINLNDASYYFDCMILLQPLPPISSFNRLLNSVSKIKHQHKVAIFFYRRMNKARIEPNLITLNILLNCYCDMNQVEFAFGILGLILKRGFTPDNVTYTTLIKGLFMKDKTHEAVRLFKKMSRLGVRPHVMTYGTLINGLCRAGKVEAAVRFHEEIMNGNIGLGFVSKPNVVCYSILIDNLCKDGLVSKAKELFLEMKSRKISPDSVAYTSLIHALVYSDKLEEAKCLFIEMFDEGISPSVITFNLLVNVLCNKGRSNDACELFDKMLQRGKQLDSLSYNTLVHGYCLEGKIDDARELYVSMVDKGIEPDVRTHNILINEYIKIRRIEEAIWIFRQMIFRKIKPTVVTYNALLTGVLQKGDVLAAQKLFDEMQLHDLTPSFKLVKVDIREAGKGCTMTTDILMKI >KVH94322 pep supercontig:CcrdV1:scaffold_741:17788:20016:1 gene:Ccrd_003606 transcript:KVH94322 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEEVEAANKAAIESCHRILNLLAQPQDHVQSKNLMVQTGDAVHRFKKVVSLLDDGLGHPRVRKFKKIQNLIPQNILLDNTIIQEPPKPLQLLLTAPPANHNQTHPIQEGGGSNAVKNTLSLGNHPLSSIVKNPNPISQKNPNPSSNYQFLQNHHHQQQQTQLQLKQQAEMIYRRSNSGLNLTFDSSVATPTISSNRSFMSSLSIEGSVTTLDGSSFQLIGSTSRSTDQATYQHKPRSIKVPAVSNKLADIPPDEYSWRKYGQKPIKGSPHPSMRGCPARKHVERCLDDSAMLIVTYEGEHNHTRLPSQSANT >KVH94320 pep supercontig:CcrdV1:scaffold_741:33812:40379:-1 gene:Ccrd_003608 transcript:KVH94320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta toxin domain-containing protein MPEAGISDVVAGDKPTYTHIIVASSIGLTIAAAMHFGSRRRRYQIIPRIRLSDTGQPIQLEIFPHYVARQMGFNERKECPHLFKLADEYIRKAEGCEEEMYLFFAKEPDADSLFIKLVEEFERCILSYFAFHWSNAHFMMNREQRFERVTKNLKVARVFNTLVEEMKAIGLVSADDSECTHVMVPVAHKDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASANAVVIEADAFKETDVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRKRYRMGAGYKVNNDGSVTENYWEQLEEESEPLDGWKDKEKTLLVDPDEISCLKLIEGLNEKADSVYELYKHPNPAHKSGSVWHDIVMSPTRLSIQKELKYSIQKIESSNKQ >KVH94332 pep supercontig:CcrdV1:scaffold_741:67088:69529:-1 gene:Ccrd_003611 transcript:KVH94332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MLAAIF >KVH94321 pep supercontig:CcrdV1:scaffold_741:28005:31144:1 gene:Ccrd_003607 transcript:KVH94321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MATEEPIVETVHADGEPEVAGAAAEENPPVETGVKETKAKKAKKTSAPKKPRNPPLHPPYFEMIKDAIVTLKERNGSSQYAIAKFAEEKHKNLPANFKKVLLVQLKKFVAAGKLVKVKASYKLPSSKAPAESAPAKKKSAAKAKPKAAAKPAAKKAPAKKKAVVAAPKSKAPVKPKAKAPTSKAKAPAPKAKAKPAPKAKPAAKPKAAAPAKTKPVAKPKTPTKPAAKVARTSTRSTPGKKAAAPKPAPKKAPAKKTPVKKAAAPAKKTAAPKKAGATTRKAKKFVRRGCCVVVGACGNPDVAVGKGRVLRQRLEGSDRIVARVCEHDEIRANVKNGM >KVH94333 pep supercontig:CcrdV1:scaffold_741:90644:92624:1 gene:Ccrd_003612 transcript:KVH94333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MGEKLGFEEEDKAILESVLGREAFDFFIWSASNKIPDEFRSKTADLGVQEGLRKILDKSDWNYAIFWQVSNSKSGKSALIWGDGYCGESKEGEIGHKKRDEFSRKKRVLQKLHLCFKGSEENLAAKMDSVSDLEMYYLTSMYYLFPFDKPSSPSQSFNTSRSVWVSDSRSCEEHYQSRAFLAKLARFQTLVLVPVKRGVLELGSFKSILEEQSLVAMVKTLFNGCHPKVLPKIFGHELSLGSGGAKSGPISINFSPKVEEDLDFGAESYEPNHVFGGNSSNGHRLDDNNEGKLFPQMNHVIGGVLNSQSIVSALDQSNQDSSMMDRKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITDLQSKIRILEAEKDVVSIPEVDFMARKDDAVVRVSCALDDHPVSRVIKTFREHQMVMQDTNVSTTEDGKVIHTFSFQARGGAAAEELKEKLDAAFSD >KVH94334 pep supercontig:CcrdV1:scaffold_741:119625:128877:-1 gene:Ccrd_003613 transcript:KVH94334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MDNLQVSLVINYDLPNNRELYIHRIGRSGHFGRKDKFPSVKVHYAFNAPPKLIMLDGAGQRKEIIRR >KVH94323 pep supercontig:CcrdV1:scaffold_741:2866:9258:-1 gene:Ccrd_003605 transcript:KVH94323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cross-linking MEYFLRANAVQLRSHHRKYLLADDDANTCTVKNALWTVEFDENYDDSYYGRYLTASEDHHILGVTGQKVIQSVPLKIDSSVEWEPVLEGCKVRLKTRYRNYLRANGGVPPWRNSITHDIPHRHHNWILWEVEVVEIRLGPLPKKSNSSETDLDLEGSFHLTSIPISQESNLKNEGRMIHYKLVDDDGELTQNLEEETELENITVCSRSPLNGKLYLPSPASLCRPITQLCTSFRKNEYEVDHCYHQNEDTALIFSVEVKPHISKVFDGESSTFIAFGARGTGKTYTIQGSKENVGLGMMVMDEILKMVEGGKHAVAVSIFEVFQDHVYDILDSKNSEVRVLEDAQGKIILKKGFLRQGKYIVIGNDLVFVGTCEIDVGISETILHGEWFEQVKTKDSTGIATKQP >KVH94330 pep supercontig:CcrdV1:scaffold_741:179761:180366:1 gene:Ccrd_003617 transcript:KVH94330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIATDDHDEDDQPTNSSDQEIDQDDHVLGTNHGGRSYECVFCKRGFTTAQALGGHMNIHRKDRAKNSNRSSYSSSNNRSSNYKQDDDDHGCYSGPRFFQPVFASYPPSYLSATPANHHQEGRQLHYFSSTSGDLRPMNYENNHQDHDQVITSASREEERRRISLQFGWSNAEDEDSERRIRGGDKQDELDLELRLGHDP >KVH94326 pep supercontig:CcrdV1:scaffold_741:190769:195091:1 gene:Ccrd_003619 transcript:KVH94326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical AEFVAKVRVLAIRSLHFTSIFFKITSFKLKLEMAVDGNSFEVTPETEKFLCERLLDQTQPISERFRVLFSLRNLRGPAPRNALILEALGAIGLESNIPLLKDSLDSDPAQEVRETCELALSRIQELKNAGDNHQSSTTAASPFLSVDPAAPASCSSVHKLREVILNEEKGMYERYGALFGLRNHGGDEAVAAVAYVLGQLQNKSASDALSRILKDVNEHPMVRHEAAEALGSIADDQCISLLEEFSKDPEPIVSQSCEVALSMLDFERSGKSFEVIQDMIK >KVH94324 pep supercontig:CcrdV1:scaffold_741:649:2157:-1 gene:Ccrd_003604 transcript:KVH94324 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF223 MEYLKLKDLGEDNECWAIKGTLMATTIRKNLVSKFKHLLKEGSVYTVKNFKVVVNSGAYRVVNSKFKIIVINFSTSSASKIYVNLPDEYMSSLISRFASVCPRVKLMDPVCVVPKTTEEEMFDNRTSIEQLLRADWKNESKGYSITIMGVIDKFETHYGWFYLACKGCCRRVTPVDGFYKCGPCDIESDVTLTLLVS >KVH94328 pep supercontig:CcrdV1:scaffold_741:138159:141322:-1 gene:Ccrd_003615 transcript:KVH94328 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MMHHPSPSSSFTSVAEFNSENLTLTLIPGLPDDLAALILAFLPYSYHGRLKSICRSWKYFFSSRILHSIRRKHIPFSKRSHLLCIFPQDPSVSSPYIFDPQNLAWCYLPPMPCNPHVYGLCNFTSVSHDSHLYVLGGSLFDTRSFPLDRPSPSSSAFRYDFVTHTWDSLPPMLSPRGSFACAAIPNTGRILVAGGGSRHTMFGAAGSRMSSVEMYDIGRNEWMALDGLPRFRAGCVGFMVGNGDEEKEFWVMGGYGESRTILGVFPVDEIYRDAVVMKLKNGGVGKWRELGDMWEEGERSRLGRIAIVEDTNGGSPGIFMLDKNDIFRYDMASNRWWKETSVPKRAADESSVGFVALDGELQVMALHSGADPTKNRRPRRLKRSASLFLQIYHPEKKIWRTLITKPPFQQPLDFKTAVMCTIRL >KVH94331 pep supercontig:CcrdV1:scaffold_741:54677:61091:1 gene:Ccrd_003610 transcript:KVH94331 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MAEENFDQQETEVMPENSVPVEVQVSENEQGDAVETQITEKEQDGTSAEVAAEKKWPGWPGENVFRMLVPVQKVGSIIGRKGEYIKKTCEETKARIKILDGPPGTTERSVLISAKEEPDAAISPAMDGLLKVHQRVIDADADPTHAQPKGGVTICTRLLVAATQAGSLIGKQGVTVKIIQDSSNCIIRVLGEENLPVFALPDDSVVEVQGEPSGVHKAVELIATHLRKFLVDRSVIGIFEKQMQMPNARSNQEMTAPQPWAPPPSFPINAGGGPGYRPTQYIPAQHQYDSYYPRVDMPPPMDKQPRQAPPSYGREPLAGPPHTASMQPQQSIVTKVSQNMQVPLTYADAVIGTSGTNISYIRRASGATIAIQESRGNPDEMTVEINGSASQVQTAQQLIQNFIADAAATTAAQNPIAPPSSQGYNPYPGHIPSYPSQPPPPGHAPAAGDYGSAVYGGNYGY >KVH94327 pep supercontig:CcrdV1:scaffold_741:135801:137528:1 gene:Ccrd_003614 transcript:KVH94327 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT-like protein MQQQLMHQMQQQQQQHFNTTSVTTDHIQQYLDENKALILNILENQNTGKFSECAENQTRLQQNLMYLAAIADCQSKPSPIHLQQQQQQQQQQQQLQSHHMVPQFHMMAQSHTAFDQQPPFTSVQQQHHALQSQLGIGSIGTSGIHTPRSGTSMEGEGSGAFPDFSPQVYGEGSQGISRSASRPITKENRSSSSAEENPQKKGKLNRTAA >KVH94329 pep supercontig:CcrdV1:scaffold_741:146840:171942:1 gene:Ccrd_003616 transcript:KVH94329 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MRTVDGKLMEELEGAPTNIIVGSHVWVEDPSVAWIDGEVSEIKGEEAEIRTTNGKKVVANLSKIYSKDVEAPPGGVDDMTKLSYLHEPGVLQNLATRYQLNEIYTYTGNILIAVNPFKKLPHLYDASMMQRYKGAALGDLDPHVFAIADSAFRAMVNEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRKGSEGRTVEQQILEDIKKYKLGDPKSFRYLNQSKCYALDGVSDAHDYLATRRAMNVVGMNEKEQDAIFRVLASILHLGNIEFSKGKDVDSSVLKDDKSKFHLQMTAECDLQALEDALLKRVMVTPEEVIKRSLDPVGASVSRDGLAKTLYTRLFDWLVEKINVSIGQDPDSTYLIGVLDIYGFESFKANSFEQFCINYTNEKLQQHFNQHVFKMEQEEYSREEIDWSYIEFIDNKDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKSHQRFFKPKLSRTGFTIAHYAGEVQYQSEQFLDKNKDYVVPEHQDMLTASKCFFISGLFPPLPEEAPKSSSKSSKFSSIGSCFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPGIFENVNIMQQLRCGGVLEAIRISCAGYPTRKDFSDFVSRFTILAPEVSRGNHSAKDACKKILEKVGLQGCQIGKTKVFLRAGQMAELDAQKAKKLSYEAKIIQRKMRTYIARRHFLALRKAAIVWQSFCRGKLASKLYKDLKKNAASLKIQTNYRRHLSRKTYSKLKLSVVLFQARLRSMVAGKKLRNKKQSKAAILLQAHWRGHRDCSYYKKLIKAAVVTQCRWKGRIARKELRRLKMAAREATALQQAKDNLEKQLEELTWHLESEKRLKIMFLQEIRIHNIVSKKGDEGDSRMLALIPSADSCRVGDGEAIQCEPTALEEAKDQEIRKLQNSLQAMQSDVDEANALLVKERESARKAIEAKDQEILELRNSLQALQSNADETNTLLVKERESAEKAIEEVKEAKDKEILKLQNSLLDMQSKFDETNALLVKERESAQKIVEETKEAKDQEIMKLQKSLQDMQNKVDETNELLLKERDSAQKAIEEAIEAKVQEILKLQNSLQAMQNKVDETNALLFKEHESAQKAIEETKEAKDKEILKLQKSLQDMQSKFDETNALLVKERESAQKIIEETKESKDQEILKLQKSLQAMQSNVDETNALLVKERESTRKAIEEASSVVKEIPVRVEDTEKIANLTTEVKNLKALSEWERQRADESEKKCTEALESSENKQLKLEETERRVRQLQESLDSSLFCSVLYQLKMKNSSYAIRLEDKLADIESQNQDLHSSSLSRDTSDSEERLKKPLDEKQEYHDLLTRCIAQRLGFSKGRPVAACIIYKCLRKWRSFEIDKTSIFDRIIQAIGRAVETHDNSDVLAYWLSNASTLLMLLHNTLKAGGSAGDLQHRRTRSGKRTQSFRGSPHAVNISIDVETTGGADSFRQLEAKYPAMLFKQQLTAYVEKTYGLIRDNLKREISPLLGLCIQAPRISKANFPKGAARALANAASHEILLAQWQGIVTKVGCLLNTLKANYVPPFLIRQVFTQIFSFINVQLFNRLQDSKLHVSMPAQVFQQWKLVQLIFLMLIYPCSLLLRRECCSYSNGEYVRSGLAMLEHWCHKATEEVPDFLSMVHEACLSFYFIFYSFSDSGEAISQYAGSAWDELKHIRQAIGFLVTHQKPKKTLHEISRDLCPILTIQQLYRISTMYWDDKHGTHSLSPEVISNMRILMTQDSTNGLSNSFLLDDDSSIPFFVDDLMRSMDVISVSDIEPPPLLRENSSFSFLVSRPN >KVH94325 pep supercontig:CcrdV1:scaffold_741:186619:189684:-1 gene:Ccrd_003618 transcript:KVH94325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Use1 MGLSKTEINLRRLLATAPRQQNKIKLVHYVATLREQLEELATEQTPEGFPRLDFFTFFVSSVSKAMVNDYSHKIEAIAAKLASSVSDTVPYHELRVKTSVKENIGKKEEESITLSPGLRRRLVPSSAEHRGQDTFESSESSPILDSTEKAVEHSLASTGRVNKQSTAIYNESFKTSCFTWLVMVVMMCIFVMVVLLIKAT >KVH89196 pep supercontig:CcrdV1:scaffold_7422:17715:18344:1 gene:Ccrd_008819 transcript:KVH89196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAQNFLPFLVFSLTIFLILTIVESRSRARMYVGSQCRSTIHPDLCVQTLLPYVTKTGLPSPKLLAQVSLASCLSKARFTKTYMNMVAKKLNETKNSEDYQAMAECLHQISDGVNQITQSFKELQQMGKDGDDNFLWHESNVQTWVSAALTGATTCMDGIVVDGIDTSEKAMIRARILKVKQLASNYLALFTHFTTRYRASHGIKIPNRS >KVH89197 pep supercontig:CcrdV1:scaffold_7422:11110:11733:1 gene:Ccrd_008818 transcript:KVH89197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAHKILSLLIIFLAIFGLNPTVECGSRARAYVEHQCRSTIYTELCIRTLLPFVSKTNAPGPEQLARISLTTCLVKARLTKSYVNMVAKDFNKTKINAGYYEAMTDCLSQINDGVSQITQSVKEQQRMVLDGEKHFTWHQSNVQTWVSTALTDVTTCLDRISDKAISGKEKGMIKARVLNVKHLASNALALFNRFTTRHRGSRVIRTP >KVH96943 pep supercontig:CcrdV1:scaffold_7428:8853:17496:-1 gene:Ccrd_000964 transcript:KVH96943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVDGRHSPDGGSGSGKVWGLFKLPFRSTNISTTHTTSSSSSYQIEGSNPHNNNNNNNYSSSSTASVSSVARSLLPTRRRLRLDPRNKLYFPYEPGKQVQSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVELPENNEKPMDQKRKVKFKIMSLKVKGIMDYVPELFDEQKDQVAVEQILQVVFLDVERPSPALEKLKRQLVEAEAALESRKKPPEEIGTKIVGEGLVIDEWKERRERYLARQQVEGVDSV >KVH89636 pep supercontig:CcrdV1:scaffold_743:142876:147807:-1 gene:Ccrd_008374 transcript:KVH89636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF936, plant MATLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALTGGELFQNQGFYLKVSDSSHATYVSLPDEDIDLILSDKIQLGQYIHVERLESATPVPILHGVRPVPGRHPCVGTPEDIVATHNLGFLNNNGSSTNSSSGSKLPSKKDTSSTLRSNSRLKEELVDSKKPAGTLGRSKSQLAKLVLNTTESRQSLLKVKPSNSRSIPSSPTSCYSLPTSFEKFSSGIKHQSKIKGLDKEIGKLNLGEKATPVRGASPSAKKSGIGSSIKNFVQGIELGPKALRKSWEGNMDIKTPRLKVTKNDSKPDARSTSVPRKSTSERMPSRDEDNRVQSNVKSSKEESKVRTPAKRATAVGDLVDHEHSNKQKQSSGRKSSAEALTNGLPGNFVKVSLSNRRLTDGGASWSSLPSSLAKLGKEVLKHRDAAQIAAIEAMQEASAAESLLQCIRDLRRQVQVRIILDIINSLKLRSSAKEDNPQPAVEQFLALHASLNNAHRISESLSKTTTLSSDHEDNPSEEQLKVSSERHKQATSWVHAAMATNLSSFSVYSKQAKLTSLISPSSTTDPSPKTLRPVLVLEGSTTNSASPKTQVKPRQSKIVNSSTPRRQTAGLVVQQKPRIQPPPEWERGGGFDEAVDLAQKLKMESQDWFLGFVERFLDADVDTSNTLSDNGQIAGMLSQLKSVNDWLDAIGCGKDEEETSCHISPETIERIRKKIYDYLLTHVESAAAALGKP >KVH89634 pep supercontig:CcrdV1:scaffold_743:52315:54980:-1 gene:Ccrd_008372 transcript:KVH89634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MWMMGYNEADDLNTCNNGARKLRPLVPRPPPPPTVFHSTPHLLAAEQNKRDFNSQQMVVVSSRWNPTPEQLQTLEELYRRGTRTPSADEIQHITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQLDNSMNDHHQAPFYTTDISTINLDQSIQLKDTEVNRTCYEVEETRNWAIPTFSEKSVLRERTAKAESRMTSVAADHHGWIPVEQEEAATDDLLHLHPRRKTFPQRNATWNHMMQFLSSPPPPPPPPTNHIPPFNSATVCTLAAVTTSTPSASDTSSTLVVSPPHSHRYHHHHLPDDMHSYQTLELFPVNTNGQGPEHTDKCFLPTNDVSLGPRQFIEFLPLKN >KVH89635 pep supercontig:CcrdV1:scaffold_743:88374:99037:-1 gene:Ccrd_008373 transcript:KVH89635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MMDDSGSGRSRRGVRGGVSGTTQPWRGTGTAAAAVSGSSERQFRPFLDPFRIRLWLPVRVAIAATTAPSRNSSSYCCFPCVTTAAKAALRDSTEVSIFCTEWSGGLHVSPTIVGSRPGSLIAGAWAAMLSLGREGNIILLLRPSHENCNIVKEIPKLFIIGRPDMTIVAFGSN >KVH89637 pep supercontig:CcrdV1:scaffold_743:175609:176931:-1 gene:Ccrd_008375 transcript:KVH89637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MEISQDSRIKQLKVFDDTKLGVKGLVDAAAGGSVVIPEIFIRPPDELAEDLELARTSLQVPVIDLMGVDDKGSSPRERIVQEVKQASEEWGFFHVVNHGIPIKVLKEMLTGVSEFNEQDLQVKKQYYSRDPEKLVRFNSNYDLYMSRSANWRDSLFIDMLNSYHVDPQDLPSVCRDPTVDYLNHLEKLVHTLFELLSEALGLETNHLNQMECGKGRSLACHYYPACPMPDQTLGVSKHTDASFITVLLQDEIGGLQVLHENQWADVEPISGSLIVNIGDLLQILSNDKFKSVIHRAIGNVSRTRTSVACFLDGVATPPKVYGPIMELITKESPQMYTEFTVRDYIIKFFSRGLDEKSGLNHVRI >KVH89767 pep supercontig:CcrdV1:scaffold_744:52929:53321:-1 gene:Ccrd_008236 transcript:KVH89767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MADNHQPPLSHQVAKATTAVTLGVSLTVLSGLTMSATIIGLVLLTPLLLIFSPVLITAVFTFFLILAGFLTSGLLGVSAVFVFYWMYCYVSGKHPIGADRLDRARERIAGAAMKMKQFGHQTDTQREVGH >KVH89769 pep supercontig:CcrdV1:scaffold_744:28618:37921:1 gene:Ccrd_008234 transcript:KVH89769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C12, ubiquitin carboxyl-terminal hydrolase 1 MAETASSAKRWLPLEANPDVMNQFLWGLGVPPGEAECNDVYGFDEELLEMVPKPVLAVLFLYPLTPESEQERLQQNSIKQDPCDGVYFMRQTVGNACGTIGLLHAIGNITSEIKLGRPLLTLLHTLYLASVEGSFLDRFYKSTASMDPMERALYLENDREMEVAHSDAVAAGETEASDNVNDHFICFACVNGQLYELDGRKTAPVSHGPSSSSTLLQDAAKVIKGTIAKNPNSINFNVIAISRTVGTAA >KVH89770 pep supercontig:CcrdV1:scaffold_744:17273:18007:-1 gene:Ccrd_008233 transcript:KVH89770 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAMHDEFTTLDFIRQHLLDECESESEIPSPPLHPLDSLSNIDLSDCFLSDFNSLDWSTSNGYSPQSDPSSSGSSFFDSPLSVSSFFDATDVDNTCSFYQFRDSNSINFSEFESKPEVIDLTVPELVESSPSSKMKQDTEDERRYRGIRRRPWGKYAAEIRDPKQRGSRVWLGTFDTAIEAAKAYDRAAFNMRGRKAILNFPLEIGKNLTENAVVSGGRKRRSRDMNEVEMGQNVVIKKEKRIKI >KVH89766 pep supercontig:CcrdV1:scaffold_744:111364:112968:1 gene:Ccrd_008237 transcript:KVH89766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MMQIGSTVPAQNLHIFQARRAVNCNGSSVKPALKACLSKKMMKATSLSTTKYTREHLSNLEKLIGTEPQLIQSKPKVVQRDSSDNGLPESRGKGLLEGLNLPQIWPENKAAEEMSPRHLNRLRRLLSKNGIEYSPRNSLASRWREYHGSNNWAGLLDPLDENLRREMVRYGEFIQAAYHCFHSDPATSGKEAPSPRQVAVPDRSYRVTKNLYATASVGLPEWVDKVAPDLDWMTQRTSCVGYVAVCDDQREISRMGRRDIVISLRGTATCLEWAENMRDLLVNLPAKSIDSHGQPKVQCGFLSLYKTAGAHVSSLAESVVTEIKRLTELYKGENLSITVTGHSLGAALALLVADDLSTCSDNMPPIAVYTFGGPRVGNRAFAKRLSSQNVKVLRIVNSQDIITKVPGMFVSEGLDQKLRESKNANKVLNILDNNMPWAYAHAGTELRVDTKNSPYLKPNADVACCHDLEAYLHLVDGFLASNCPFRSNAKRSLVKLVHEQNSNVKKLYTSKAKGLKLNPERDMMQMSNCLPSPS >KVH89768 pep supercontig:CcrdV1:scaffold_744:49033:49556:-1 gene:Ccrd_008235 transcript:KVH89768 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEVSMATVMGVGLVLLLLILTLALLHACAFPKEMDGIPGSFGWPFLGESLSFISEFSSPAGIFSFMNKRQQRYGKVFKSYVLGRYMVFTTGMEASKMLLTGKDGMVSLNLFYTGQKVLGPNSLLQQNGEDHKRLRRLIAEPLSIDSLKLSCF >KVH89765 pep supercontig:CcrdV1:scaffold_744:128417:133729:1 gene:Ccrd_008238 transcript:KVH89765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan/mRNA-binding protein MASTNPFDLLGDDDNDDPSQLIAKLPAIVAAAAPSTKKSQAGGVTAKPTAKLPSKPLPPAQAVQEARSDGQRGGGRFGGRGAGRGGRGGRGFNRDSADNENSYGTNNGFSGGYRPSDDGDLEKSSERRGGYSGPRGGFRGGRRGGYSNGDSEGERPRRAFERRSGTGRGNEFKREGAGRGNWGTATDEVALEQEEPVIEGEKNVDSEKPAGQEDVVDATKENPATEAEEKEPEEKEMTLEEYEKVLEEKRKALLALKSEERKVGLDKDLAKMQLLSNKKSEEDIFVKLGSEKDKRKEAAEKEERAKKSLSINEFLKPAEGERYQNPGGRGRGRGRGFRGGYGGGNRMNDVAVVAPAIEDVGQFPSLGVK >KVH89764 pep supercontig:CcrdV1:scaffold_744:143797:147778:1 gene:Ccrd_008239 transcript:KVH89764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIGSFSRCSMIPCLHFRRIHHGFSFLNVLLQFSYHRHSLLSASELKYPDEDSNSRSFPSNTPLNNSKETPFVSSAVNRVTASNQCYGKELDEVKSRNRMITDYIRSGDLDSAYNVFENMPVRTTVTWNSMLAGYTKIAGRIEDARQLFDNIPEPDIVSYNTMLNCYMHNCGIKSAQAFFDQMVFTDTASWNTMVSGFCQNGMMDQAYQLFQVMPEKNNVSWNLMISGYAGIGDLISAEKLFRNAPSQCVVAWTAMVTGYMKSRKVDLAEKVFDEMPEKNLVTWNTMVSGYVENGRADDGLKLFRKMVETGVKPNPSTLSSVLLGCSNLSSLKLGKQVHQFVNKSPLSLHTMVNTSLVSMYCKCGDLDGAWKLFLAISHKDIVTWNAMISGYAQHGASEKALWLFDTMKKKGMRPDWITFIGVLSACNHAGLVDLGIQYFDSLQKDYKIKAKPDHFTCMVDLLGRAGKLLEALDLIKSMPFKPHPAIFGTLLGACRVHKNLELAEFAARNLLDCDPSNAAGYVQLANVYAAMRKWDCVSKVRRLMKDDKVIKFPGYSWIEVKSTVHEFRSADRLHPELGLIHEKLNRLEKKMRLAGYVPVLEFALHDVGDQQKEKLLLWHSEKLAIAYGLLRMPAGVPIRVFKNLRVCGDCHEATKFISALEGREIIVRDNSRFHHFQNGKCSCGDYW >KVH89772 pep supercontig:CcrdV1:scaffold_744:154378:172427:-1 gene:Ccrd_008240 transcript:KVH89772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MATFSSSFFCLHPPTLHQQTSNTIRTKSLKRTKPKSLTIVSGGYDIRKEEIVIVGAGIAGLSTAVSLHRLGVRSVVLEQAESLRTGGTSLTLFKNGWKVLDAMGVGDELRSQYLEIQGYDGYKNRKWKRAAIIQLQRRRSKSTKCVFGAYGNSQEVRAVERRTLLETLAKQLPLDSISFSSKLANIEKQEDGETLLELDNGSRISSKVVIGCDGIRSPVAKWMGFPEPKYVGYCAFRGLGDYPDGQPYESQVSYVYGRGIRAGYVPVSPTKVYWFVCFNSPTPGPKITDPSVLKKQTKELIKKWPSELLNIINATPDDTIIRTPLVDRWLWPGLSPPVSSGGAVLVGDAWHPMTPNLGQGACCALEDAVVLVQKLVPALKAGPTFIEDALRSYQNERWLRIFPMTVRANVVGAILQWENPVVCAIRDNVLVPKLIKLGPMLEHTNFECDPLLQNKMMFSSVKHLTAMAKFSSSFLPLYSSSLRQQRTIFSDAYNIRKEEIVIVGAGIAGLATAVSLHRLGVRSVVLEQAESLRTGGASFTLSTNGWKVLDSMGVGDELRSQYLDIKGVVIETENGRQLRSMKLREDQNREIRPVERRTLLETLAKKLPPDFISFSSKLATIEKQEDGETLLELVNGTRISSKVVIGCDGIHSPVAKWMGFPEPKYVGYCVVRGLGDYPDGQPYESCVNYVFGRGMRAAYVPVSPTKVYWFVCFNSPTPGPKFTDPSVLKKQTKELFKKWPSELLNVIDATPDDTVIQTPIVDRWSWPGLSPSGSLGGAVLVGDAWHPMTPNMGRGACCALEDSHVLVQKLAPTLKAGPAAVEDALRSYRKERRLVNFTLTVLSNFVGAFLQLENPMVCAIRDKVFATRK >KVH89771 pep supercontig:CcrdV1:scaffold_744:181714:185400:1 gene:Ccrd_008242 transcript:KVH89771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 MPSGNSDKTLQALALSSSQNSVMANIVLPQALFMIPTNVAKFQSKKLGVSAFLPPFNAASASIFSVSSSRCRFNLGFGNRDSFVVRAEADAAADAEPETTSVVTEVTDDVEDETVKEDEEEVEAKPPRKPIVKLGDIMGILNKQAIEASESSRPVPDLRTGDIIEIKFEVPENRRRLSIYKGIIISRQNAGIHTTIRIRRIIAGVGVEIVFPRYSPNIKEIKVVKHRKVRRARLYYLRDKLPRLSTFK >KVH89773 pep supercontig:CcrdV1:scaffold_744:173459:176314:-1 gene:Ccrd_008241 transcript:KVH89773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MTRMRGIPPGILLIRSLRGKDWSLKTYRYLTLFVTFVAYAAYHASRKPSSIIKSVLDPGPNYDSANVLPWPMGDFFLKSKPINGTNLGTSTEEGWAPFNGKDGTSKLGEIDVAFLAVYSLGMYVAGHLGDTLDLRLFLTAGMVGSGIFVGLFGMGYFWNVHHFWYFLVMQMVAGLFQATGWPSVVAVVGNWFGKRRRGLIMGIWNAHTSIGNISGSLLAASVLQYGWGWSFILPGAFIVAAGIMVFLFLAAYPEDAGFPNPGEASPNARGTIQDENLIWEEGTSNDEEAHVPRISSVNRKGVGLIGACFIPGVIPFALCLFFSKLVAYTFLFWLPFYLSQTEIGGERLSVKSAGNLSTLFDVGGIVGGILAGYISDKLKARATTAASFMYAAIPSMLVYRTYGNISKTMNAVLMMIAGLFVNGPYALITTAVSADLGTHSSLKGDSRALATVTAIIDGTGSMGAALGPLLTGFLSTKGWDAVFAMLMIGACIAGLLLSRLLLYLNHLYVTGRGSPLLHKHR >KVH96931 pep supercontig:CcrdV1:scaffold_7442:13760:15027:1 gene:Ccrd_000976 transcript:KVH96931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSPRRPKVNHRRRNNGEAQKQVESAFRVGVSRGRNFDDTQKKSDSLNHGLGFKVEESLHRCFGGEVSLGQDEELGRVVDVFIARKRDSRGLRFGFVRFLGIKDVKRMEKALCEVSFGSLKLRANVAKYVRKATREVKGTSLDKSYAQKVEADTSVRKDQQVLRGSSSYADAVKNGVCKETINIRGHKSLPFKFSSSNEMKGKLKSSLIGKFRSFDVLCNFQKFGEITSSQELLVRYLGGLYAQVCLKSYVDAQNFLFNNNSVWSDWFSKLDFWNDKFVVKDRIAFVTIHGVPIQAWTPSVFAAIAGRWGEVIIPENCLAVSRNREFGKVGILAGEENWIMDSLEIIVDGILVHVSVAEDIAEGMSIGSFFEDANGDSDSDSFCRLENLFDDGFLSSSPGQSDFSQSR >KVG31658 pep supercontig:CcrdV1:scaffold_7448:4128:4795:1 gene:Ccrd_026489 transcript:KVG31658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGLQNLVLDNESWVVKIRICRLWESLNTKRNEELISLDMVVIDENGSLMTTMVRKNLVNKFNHLLKEGNVYILKNFKVVENSGAFKXIDSKLKIMFTLLTKVEKVDTYVPSIPMHGFQXASEKTVNDRLNDDNILTDIIGCLTAVGDVETVRGGFRKRDLEIISEL >KVG31657 pep supercontig:CcrdV1:scaffold_7448:5105:6295:1 gene:Ccrd_026490 transcript:KVG31657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold VLNFATSSASKVYVNLNTDYVLALADRFANVCPRLHLGVSSGKGYIITILGVIEHIETQYGWFYLGCQGCCRKVNPIDGVYKCASCNVAYKNALTLFKLHLSVRDDTDVVNCVVLHKLAERMVDSSSLKLLNKSDPDKDTLPAKLLPFVGKNLYYVLEKEHKPVDSTTETALSDMKNLDDIDGNPPCNNATPTVTRKRKFIVNDDDESND >KVH96666 pep supercontig:CcrdV1:scaffold_7449:5816:6911:1 gene:Ccrd_001244 transcript:KVH96666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPGFFAASMAAASATTAMSVSNSKNHEDHVGSAKNGDDSSPEKANRRLEKFAPRFDGLRFIETLVTAHR >KVH99141 pep supercontig:CcrdV1:scaffold_745:52974:54491:1 gene:Ccrd_022628 transcript:KVH99141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGATKWDPGSWKASEDVRRIYGESKHLEEQVGVVAMVSALESELEQARARIHDLENERRSSKKKLEQFLKKLNEERATWRSREHEKIRAVIDDIKGELNRERKNRQRMEIVNSKLVNELADCKLSAKRYMQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRESMKMREEVDDERKMLQMAEVWREERVQMKLVDAKVTLEDKYSQMNKLVADLQDFLNSKSTNLDAEEMKRAETLKQSADSVNIQEIGDFKYEPANPDDIFSVFEEVGFGSKEIEPEPRREWEDGTPITEITEVCSVPNKQPKKASSISRLWRSSYSSNGENCKIISMEGLNGRLSNGTHLSSGAVLSPDRSSGLSPSEWSSPGSGSNPHITKGMKGCIEWPRGVMQKNSLKAKLLEARMESQKVQLRQVLKQKI >KVH99138 pep supercontig:CcrdV1:scaffold_745:159438:168072:1 gene:Ccrd_022619 transcript:KVH99138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit MAASSTGFVSKARTAFHSAAAKAEKVFTDIKKSDSSTDLEESAKQSSVSDKNDSPSDVIVTKEKNAKWRPEPIKTKQDWQERLKNIRFGKKGVQEADKTDDTTMSFPIFDENMFLPSGSPMPKEPDCGLFGSDESNIDIIPSAAVLRQLAIGVDSTRMVRSMKDLLMSSRDSSPVREKAGLNFSVMKSLVLRDKEDKIDVEFGSLLGAEGHRSGRKTSRMLETNATITSLPKDLHAAPPDSFVVELAEAIGCLKTLRKMASFWSRVVAELRRLWCEGQHIPGIPADEIPDLNCCLLYQQLQVINCCISRKHRRAVATESLDSVLKQASINGETASESSLMYARVSSGQLVLRLGAAKQCENLTLLETGEPVYAPVMQEEPLLTEDLVKETEEFILRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWMEPATDDESKESVDGDNSSSRGRLSMRMQKEGNLWREIWETAKPVPAVKQSPLYDEDLSVEGILHGLEDFLPSSFFEQLYISLLCSGFAVAEIRTSTNEYLYKMFLECKDYIVATCQGKSWFEKVNDICQFIRLTKQQQPDEGSTGGEQKGRFRRLSFVFGKTPPKDPKNHVDENPLRQSFSSMFSKKPPKAGSASSAEKSVQNDWIDV >KVH99144 pep supercontig:CcrdV1:scaffold_745:125410:131831:-1 gene:Ccrd_022621 transcript:KVH99144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MGQIVRRKRRGRPSKIDRARRNSFVAPPSPVDRRRSRRRRNVKYSFDIDDYVDDDEFYDDEDEEVGRREKKLRLLPCEDDSRSQRRVGHAPSYTSSDDYDEDDDSKALKKRKIGGVDEEDYEDNEIEEIRGRKSESEDEEEEAGGTNFVCGTALDLRKELPDYHDVIKHPMDFATIRKKLAKGAYLTLNEFEHDVLLICRNAMQYNAPDTIYYKQASSIQEQAKLRFERLRANGDRSELELKPEQKTTPSFSLPKKQLKKSVAQTLQDPVGSETFPNGSSGQTPSFRQNSGEVLIAENSSLAENNLDKEQELLPDHSYARSLARFAATLGSVAWKVASQTIEQALPEGVKFGRGWVGEYEPLPTPVLMPENRSMKEPDFLSNFKIDGKSSKNAASGNDKARKSPPVDNGLNEGGCGSRKLPVFGNTTVSNGARIHLNQESPRAAGNDLRPPFFSSLQVNPTFSGTNLPHQNDTPSRKFARPSPQVEPKPNHPLPTHASSADFFAKRLISNVSDIPSPRPAKPISQNGNLMSLASFKHPIPNGTNDGFSPKGKPTPVFQDSNRMVPIDSNLPYQQERKPTSVSRDYNRMVPMDNHLPHQQEQKPTSGSRDYNRIVPMDNTLPHQPCLNDPVQMMKMLAEKAQPQQNNSNGFGSGSGSPVGPKREDSNKVQHQQIYSNGSQIGSKRDDLSNVALTAAQAWMSLGGTAGGFSKPPLTENPNPHKIPADSLYNDRLQPQVSRFRGEFPVPGIQNYQQPVHAFVPQPVRTTNEARFQNRHSGFPQLLTTDLSRFQGQSGWRGVAPQLPNPSKPKHQESGPPDLNVGYQSMGSPVRQTSIADMIG >KVH99153 pep supercontig:CcrdV1:scaffold_745:27009:32309:1 gene:Ccrd_022630 transcript:KVH99153 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein MLKLFSRRRCHHHLHSHPLQPILFISRQLTQSHFDDDPPFSPILKTLKPQNQETHNQKNKKQKNESKKKPQSNFPLKSDLPFDFRYSYSETNPAVEPIGYRETPKFSPFGPGRLDRKWTGTAAPSQQAVDMVKVEEERNAVLGEPLSEEEVLNLVELYRHNDCCRQINLGKGGVTHNMIDDIHNHWKKAEAIRIKCLGEKSGGKIIYRQINILLLYRGRNYDPKNRPVVPLMLWKPYPPIYPKLIKNVADGLTFEETKEMRNRGLNSPPFMKL >KVH99152 pep supercontig:CcrdV1:scaffold_745:14231:20660:1 gene:Ccrd_022631 transcript:KVH99152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSSSSSSSSSSLLSIKPHYAITIIVFLIITCTYTPRLQALRAPILPQDLLPLLPRQVSWRILTSLRTATDLLPAFVGAASVKHKGVAIFLMEAGMLGTLEALWEVFPLFTNTKWGESSNLAFLEKHMGAKFEERPEPWVTNITLDDIQSGDFLAISKIRGLWGGFETLEKWASGAYAGHSAVCLRDSEGKLWVGESGHEDEEVASVMTVWNKIRPDYASNIWNEALNKRLGTQDLDLPEILVEVERRGSSFAELLTIPEQDDWTYVDGKSTSCVAFILEMYKEAGLFGDLANEIQVTEFTGKYRMELPEYNSIEPYPHMAENCPSMAPEYSRPKYC >KVH99149 pep supercontig:CcrdV1:scaffold_745:73438:77316:-1 gene:Ccrd_022626 transcript:KVH99149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease L-PSP/chorismate mutase-like protein MASSISALAPSLNVPAMAIGALCHRTSLPAPGLGCGSSAVSTFRRSSKPCYSHRSKSFACFAISTNASIKEAVKTDKAPAALGPYSQAIKTNDTVFLSGVLGLIPETGKFVSDSVEEQTEQVLKNMGEILKASGASYSSVDFKKVNEIYAKYFPAPAPARSTYQVAALPLDARIEIECIAVV >KVH99140 pep supercontig:CcrdV1:scaffold_745:172532:173005:-1 gene:Ccrd_022617 transcript:KVH99140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFLLLVVIGVLVSTSTARQLTSTAASFKDGKTFYSGGLGGGGGGGGGFGGGGGLGGGAGGGAGGGAGLGGGSGLGGGGGGGFGGGGGAGGGLGGGSGFGGGAGAGFGGGSGLGGGAGLGSGGGLGGGLGGLGGLGGLGGGLGGGSGGGLGGGIP >KVH99143 pep supercontig:CcrdV1:scaffold_745:146281:150612:1 gene:Ccrd_022620 transcript:KVH99143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MTTSINGPPLSFIVSFFLCAVIFRSGVVLCESVPGLYIFGDSLVDVGNNNYLALSLAKANFPHNGVDFPTGKATGRFSNGKNAADFLAEKVGLPSAPAYLSLVSKSKKLSSSNATVTGISFASGGAGIFNGTDELFQVEFYSLVHDQLVQQLGAAAAQTHLSKSLFLIIIGSNDLFGYFNKDSNVSKQYTPQQYVDLMKMHGLGARKFVVSGVGVIGCCPAQRKQNNTECKAEANYWSKKYNDGLQALLRELKSELSDINYSYFHLYDAMNGVIQHPQNYGITEIKAACCGLGNLKADIPCIPVSTFCTNRKNHLFWDLYHPTETASGIFAGIIYGGSPQFTNPMNVEQLVKA >KVH99148 pep supercontig:CcrdV1:scaffold_745:85924:92616:1 gene:Ccrd_022625 transcript:KVH99148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGICISKTKDSSKPDTVLHSNQRSDDPNILYTKSPPPATQTPARKPPPPPSPKRVFKSNTILGKSFEDVKEHYTIGRELGRGQFGVTYLCTQISTNQKYACKSISKKKLITKGDKEDMRREIQIMQHLRKSYHDIVGSAYYVAPEVLKRKYGKEIDIWRYVDFKSDPWPSISSSAKDLVQKMLTLDPKKRITSAQSIRGFEKTEKHPTNRSIAHGTITYEELKTGLARLGSKLTEAEADVDGNGTIDYIEFITATMHRHKLEREEDLYKAFQHFDADGSGFITRDELESAMKKYGMGDEATIKEIISEVDTDNDGKINYEEFCTMMRSGTQAGKLF >KVH99142 pep supercontig:CcrdV1:scaffold_745:34563:34925:-1 gene:Ccrd_022629 transcript:KVH99142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVRKDSDVQWGGDDWGSPSSSPEHSLLYSEGGRKTEEREVVGEKDSDHRFSSSDGKRPPTTEVKIKITKKQLEELLGMKEMQGLTLQQVLNLLMNGGDGGFESNQRSWRPALQSIPE >KVH99147 pep supercontig:CcrdV1:scaffold_745:93422:95102:-1 gene:Ccrd_022624 transcript:KVH99147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor SUI1 MVDIEVQIPSAFDPFSDVDQDSGGGAGVKEYVHIRIQQRNGRKSLTTVQGLKKELSYEKILKDLKKEFCCNGTVVQDKELGKVIQLQGDQRKNVSAFLTRAAIVKKDQIKIHGF >KVH99145 pep supercontig:CcrdV1:scaffold_745:117897:122622:1 gene:Ccrd_022622 transcript:KVH99145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MAKSSFKLEHPLGAKLKLPESGKSIQTEYRYLVPADLTVGQFVYVVRKRIKLTAMMSAIYEENKDEDGFLYMTYSGENTFGSL >KVH99146 pep supercontig:CcrdV1:scaffold_745:110831:112802:1 gene:Ccrd_022623 transcript:KVH99146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILGENVRNLKLIDSSLRLMVIPLSLASMWLTLTNHQDNPMYGKLEFSNLKGLKLLVSISAISAAYSLAAVISSWIKNLMNKAWVFLVCDQIVYLAYNGNPKVTWSEACSSYGRFCGKLNLILVLHFIALLCFLVLSLISAFRVFTRFEPPLAPSKEQEVERT >KVH99151 pep supercontig:CcrdV1:scaffold_745:7804:8685:-1 gene:Ccrd_022632 transcript:KVH99151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kua-ubiquitin conjugating enzyme hybrid, localization MSILPNHHHHPAAIGRHYRRRSHRVYAAATTPTKTRPKLEKLTINPTPLITPPKLDDPSLKSTWSHRAWVATGCTTVIASLAASLIGSIDSHIWLEPILSGFVGYLFADLGSGVYHWGIDNYGDASTPVFGSQIDAFQGHHKWPWTIIKRQFANNLHALARVTTYTVLPIDLIWHDQPVAMGFVGVASGCIMFSQQFHAWAHGTKSKLPPLVVALQDGGVLVSRSQHSAHHRPPYNNNYCIVSGVWNRFLDENKVFEALEMVVFFKSGRRPRSWSEPNSDWTESTVESPAMAD >KVH99150 pep supercontig:CcrdV1:scaffold_745:56583:64390:-1 gene:Ccrd_022627 transcript:KVH99150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MDRPLWNSKPTIDFRRCNPSLIFRIRFLSSRPTSESNSQKMEGSIVRRVIPSDNSCLFNAVGYVMDHDKKKASELRQVIAATVASDPTKYSEAFLGKPNEEYCAWILNPEKWGGAIELSILADYYGREIAAYDIQTTRCDLYGQMSPADGAPEEFDQTVFVVNRDRTIGAYESLALNLVKDQQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >KVH99139 pep supercontig:CcrdV1:scaffold_745:167785:170254:-1 gene:Ccrd_022618 transcript:KVH99139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVVKLEFEKMKSIIHHHHHHHQPPQPPPLSTLSKLNHTQHLNPHRLHYFSRHPPLRIKAMAITSSHLVVLGVNPPPATTGDLSVLIPTSAAFLFLYWITNFVVPRIIMKDLESEDASKDQEPNEVSLEPEKKGFQGTK >KVG31094 pep supercontig:CcrdV1:scaffold_7457:11307:12111:1 gene:Ccrd_026491 transcript:KVG31094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 KLPAIIVSVDYRLAPEHPYPAQHDDCFDVLKFLDDDQENGSKSLPENVNISRCFLVGDSSGGNLAHHVAQRACEFNFHRLKVIGVVAIQPFFGGMERTDSEIELKGTPLVSLKRTDWCWKAFMPAGEGYDRDHPIINVSGPRAVDISELDFPATMVVMGGFDALKDWQKRYYEWVKNSGKEAYLLDYPNMCHAFYMFPELPESDHLISELKDFIQIVLNKT >KVI01617 pep supercontig:CcrdV1:scaffold_746:43132:77255:1 gene:Ccrd_020108 transcript:KVI01617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MLSRPLCRHHVWTYIRFNVTWPCGARNPPAQWHPNREKASRLTVIFPVVQKQHQLLVTLLLCNAAAMEALPLYLDKIFNQVVAIVLSVTFVLFFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPIAYPIGKILDLVLGHNDALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAQEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNQRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGGSHMAAVVKTKGKIRKPPSLEEKNEERTVSSKDFQSTTPRSSKHGEKGENIIIDMDKSASQTTHTSSKTNGMPYSLEDIEEGEVIGIITLEDVFEELLQEEIVDETDEFVDVHKRIRVAAAAAASSVARAPSIRRLTALSAQKAAGGQSKLGQTPRKSREDDSTWLKSQGTMNEPLLGNKT >KVI01616 pep supercontig:CcrdV1:scaffold_746:116974:122572:-1 gene:Ccrd_020109 transcript:KVI01616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase-like, plant mobile domain-containing protein MDFYATNPGPIDDSVLYDQEKHVSSAERGALRCHEHTSKLDQWTLTSKQIELVNKAGFGYLRLIPAISLDNPLISALVERWRRETNTFHFTVGEMSVTLEDVGYLLGLPIDGEPVIGVTYTTCDAVCMRYLGRTPDSGSTSGGMVKLSWLKETFSRCPEDAPFDEIERHTRAYLLYLVGSTVFSTTTGNKVPVMYLPLFENFDQAGRYAWGAAALSFLYRALGNASLRSQSTISGCLTLLQCWSYFRLNIGRPRLNHDPTHDRFPFVFRWKGKHTGPTTNRDVAFYRKALDSLKPSEVEWCPYMNINCAILPEDIRDNLILGRSNTMLICFDKAERHLPDRCLRQFGLRQPIPLDVQQWERKSRGVDGGVDLSGKMETELSEWSDRHLRVVDVDEDVEESEYLHWYLKITRKLVGRPIPISSEFQRMNAALRDIANVADMVSTHGMDDQQMHAVTRIRYLAHECLRDQIGNTMIADITDSDQNEVDKKIRGKERIRRKGMGIKRRRKDDSEQFQSTSSQQQFCIPTSMDMMDHIENNEVEICLPPPDDSQLCYIPGKVDETHRISDAVNGIDDSGFCPTVEEVDDNLLSGGAVSDHGGMVSSLENGDMAQQNDYSVLV >KVG31003 pep supercontig:CcrdV1:scaffold_7461:4756:14334:-1 gene:Ccrd_026492 transcript:KVG31003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidyltransferase-like domain-containing protein MNQEIGSGQGQQTMTDRWIRAVVEAIHSTPTQAVLYFSGGASQVLGWLSSIPGASNTVLEVIVPYSRMSMIQLLGKVPVQFTSKETAEDMALVAYNRALKLSKPGSPVVGVGFTGSLVTTHPKLGDHRFHLSTRTSNQLWTSSVTLSKGLGRSREQEERVSSQVLLKAIADACKVASTIKFVSDLTEGEVPAVSEQCFNEDQELEQLIHGKICFKVFPFSSGILTTSGERKVILSGSFNPLHNGHLKLLEVAMSLCTDGYPCFELSAINADKPPLTVEEIKDRVKQFEKAGKTVIISNQPYFYKKAELFPGSAFVIGADTAARLINPKYYGGNYENMVETLLGCKNTGCTFLVGGRNMHGVFKVLEDFDIPGELKDMFISIPVDKFRMDISSTDIRKSRV >KVH98799 pep supercontig:CcrdV1:scaffold_7462:10805:13018:-1 gene:Ccrd_022973 transcript:KVH98799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 ANCIKLVITCILTIISISQSQPITSEIDVTAFGAIGDGITDDKLALQDAWNVACSSTGNLMLPSGNFLVGPTTFRGPCKPKTMVVNVIGTVKAIPRKDWNNEADTWLNFEHVENLIITGPGQFEGQGDSGWWDCEKTNNCETNPTALGFHHCNGVKLIKVTSKNSPKNHISINACDGAIVDNVTLIAPKESPNTDGIDISATNGVHVNGGTIQTGDDCIAINGGSSNIHIDGLFCGPGHGVSVGSLGRNGKTDIVRNVTVINTTFTATQNGARVKTVPGGSGLADDITFSNITMVAVENPIILTQFYCPHKQCNDIPPVVHVSDVTFKDIHGTSSKPDAINILCSKSPESCTGITLEQINIGAANLAQRVVSNCHNTRVQTIGVVSPPIICTINI >KVG30826 pep supercontig:CcrdV1:scaffold_7465:10672:16347:1 gene:Ccrd_026493 transcript:KVG30826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIAPQAKVHELCVYEINERDRGSPAYLRLGKKPVNSLGDLVPFTNKVYSADLQTRLGITAGICILIKNMPEKKCDRSQSTNVQPFYT >KVH96964 pep supercontig:CcrdV1:scaffold_7469:6568:6961:-1 gene:Ccrd_000942 transcript:KVH96964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MAKNPSMFVKTGVMLYLFLMLIVLVESRSILGDGFQKANPVVACKKVIGVQVGDDCSTISQAHKLSMESFLVINPNIKCESTFVGQWVCVDGTATI >KVI02815 pep supercontig:CcrdV1:scaffold_747:130439:139731:-1 gene:Ccrd_018891 transcript:KVI02815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Creatinase MADILAALRSLMASHTPPLDALVVPSEDYHQSEYVSARDKRRAFVSGFTGSAGLALVTMNEALLWTDGRYFLQAEQQLSDQWKLMRMGEDPAVDLWISINLPQDSAIGIDFWCISVETAQKWRSLFAKKQQKLVPTTRNLVDEVWKDQPKAEINPVHVHPLKFSGRSVSDKLKDLRDNLKKEKARGIIITTLDEVVWLFNIRGGDVSFSPVVHAFAVVTTSSAFLYVDERKLSSEVDVYFLQVKSYMEENKITVKDYSAVSSDVALLASNQLTSAKGTQSNGALEAADNSHKIWVDPRCCYSLYSKLNPDQVLLQQSPLALPKSLKAYYLKNDMVVPLYNLLPVFWNKQFDISFQNPVEMEGLKNAHIRDGAAVVQYLAWLDKQMQEIYGASGYFKESESISSKKHSGDVKLTEVVVSDKLEEFRAAKEHFRGLSFPTISSVGPNGAIIHYEPEAETCAELDPNSMYLCDSGAQYLDGTTDITRTVHFGKPSEHEKRCYTAVLKGHFALGNVQFPNGTTGHALDVLARVPLWSYGLDYRHGTGHGIGSYLNVHEGPHSISFRPGLSVPLQASMTVTDEPGYYEDGKFGIRLENVLIIKEAATQFNFAKKGYLEFEHITWVSLLNFILAPYQKKLIDVSLLAAEEVKWVNSYHAKCRDILAPYLNDSEKAWLNQATEPIAA >KVI02817 pep supercontig:CcrdV1:scaffold_747:23962:27992:-1 gene:Ccrd_018897 transcript:KVI02817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQPQRNQEPDFKEFGVKARIVSRDNTISRRFSSSRVTSFREDACKMFPPTATVSSTASSPGYSIKEEIDPSTYSFTNALKALQLRSINTWEYLSPEGFALNSKWNEAERYICNPLSGEVPMECLSSKTLMNGRSFRNITNRITMSAPLIHHSSRIQPHKLANIHPIQDENEAKDNKTDGRSIMVMMMKRDVGIQSSLSEESSTLSPTSTPSIQERSIKFGSNLDSSNSSSKSESNLKLEFKDEIEGGKEETKEDDEDKRKRYGCMCYKRSGCLSFKNLWSTKSNN >KVI02818 pep supercontig:CcrdV1:scaffold_747:100422:101910:-1 gene:Ccrd_018894 transcript:KVI02818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDGECPIELIGSCRNKVQVYRSVRMPQLHTSLHFHLTSIVMINGSSRRDLLLNSQNFSRSIPTGAENPSLSRLFGEVNSSKARSGSEKPK >KVI02810 pep supercontig:CcrdV1:scaffold_747:14369:21716:1 gene:Ccrd_018898 transcript:KVI02810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKKKTIEIVQPPRKLRMPMKPSLSLSITPRSPLFDPTHGNDTWLKALKSCIPKSKYLLQAFDCIDKEGGGYDHLDSSMKLKLLNFLCDEIKEAKERLVAAKDKEKCLKQKKVDAIAEARRKI >KVI02816 pep supercontig:CcrdV1:scaffold_747:55789:56945:-1 gene:Ccrd_018896 transcript:KVI02816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MAVASATLKSITSFVKALPTTTTTTTTSAAPDHLSFKNFAIPLPLNKLSITAASAKCRSFTQKYNNCVCMRLTKETVEVRRISGWAKNRSIRSVYSADLQTRLGITAGICILIKNIPEKKGDRYEAIYTFHMGDYGQISVQGAYLTMEDTYLSITGGTGIFAGAYGQVKLQQLVFPFKLFYTFYLQGLADDLPSELLVTPVPPSPAVEASPAAKACEPGATSPNYSD >KVI02819 pep supercontig:CcrdV1:scaffold_747:78753:80137:-1 gene:Ccrd_018895 transcript:KVI02819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MATASSTILKSNNSIVKALPTATSAIAAAPDSLSFKNSTTPLPFKKLSTTAASGRCRSFTVKSQINPSESSRPTKVHELCVYEINERDRGSPAYLRLGQKPVNSLGDLVPFTNKVYSADLQTRLGITAGICILIKNMPEKKGDRYEAIYSFHLGDYGQISVQGAYLTMEDTYLSVTGGTGIFAGAYGQVKLQQLVFPFKLFYTFYLQGLAGDLPAELLVTPVTPSPAVEASAAAKATEKGATCPNFTD >KVI02811 pep supercontig:CcrdV1:scaffold_747:7944:10349:1 gene:Ccrd_018899 transcript:KVI02811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 MHMLSVMYGVKAEDVAYLEDWICPRCRCICNCSTCMKKCGVKPTGVLVQMAKAGGFSSVSDLLHVKGAHSVSHYNSAKQIGRKQPAPEEGTMTTSPKKLGKENIFDGKDIFNCHSSSPAPC >KVI02814 pep supercontig:CcrdV1:scaffold_747:142938:146862:-1 gene:Ccrd_018890 transcript:KVI02814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin/nitric oxide synthase MGKGGGCVPSKKSPPAVDNDNAPVSSGSPSNAPEVQTRDEEVPIVTVAKLKILIVFYSMYGHVETLARRMKKGVDGVDGVEAVLFRVPETLSDDVLAHMRAPSKDDEILEIASVHELESADGFLFGFPTRYGSMAAQMKAFFDSTGQLWREQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPVGYTFGAGMFKMDSIRGGTPYGAGVFAGDGTREPTDTELALAEHQGKYMAGVVKKLAQA >KVI02813 pep supercontig:CcrdV1:scaffold_747:105182:106258:-1 gene:Ccrd_018893 transcript:KVI02813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MSIVNLTTLFYGAPIGTRPIAFRYPIRYTQPVYSSSSSSISAILTKEQQSKLPADKMKETQLEFQFDFKSYMVEKANSVNQALDAAVSLKEPVKIHESMRYSLLAGGKRIRPMLCIAACEIVGGDQLTAMPSACAVEMIHTMSLMHDDLPCMDNDDFRRGKPTNHMVYGEDIAVLAGDALLSFSFEHIATATKNVSPDRILRAVAELAKCVGSEGLVAGQVVDICSEGADVGLEHLEFIHLHKTAALLEASVVLGAIMGGGSDEEIEKLRTFARSIGLLFQVVDDILDVTKSSEELGKTAGKDLVADKTTYPKLLGIEKSREFAEKLNKEARQQLSEFDHRKAAPLIALADYIAYRQN >KVI02820 pep supercontig:CcrdV1:scaffold_747:159720:161212:-1 gene:Ccrd_018889 transcript:KVI02820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone/stilbene synthase, active site-containing protein MASSPVVVDINTIRKSQRAQGPASILAIGTATPPNCVYQADYPDYYFRITKSEHMVDLKEKFQRMCDKSMIRKRYMHITEEFLKENPNMCEYEAPSLDARQDLVVVEVPKLGKEAAVKAIKEWGLPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNNGARVLVVCSEITAVTFRGPNDSHLDSLVGQALFGDGAAAVIIGSDPDLTVERPLFEMVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALTQAFSPLGIKDWNSIFWIAHPGGPAILDQVELKLGLKEEKMRATRSVLSEYGNMSSACVLFIIDEMRKKSIEEGKTTTGEGLEWGVLFGFGPGLTVETVVLHGLPTTIQIAN >KVI02812 pep supercontig:CcrdV1:scaffold_747:123250:125418:1 gene:Ccrd_018892 transcript:KVI02812 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MTGGGSSGRLPTWKERENNKRRERRRRAIAAKIYAGLRAQGNFKLPKHCDNNEVLKALCDEAGWVVEEDGTTYRKGCKPLPSDMGGMSTNISSCSSIQPSPMSSSFPSPIPSYNASPTSSSFPSPSRCENPPNPSSYILPFLCNLASVPSSLPPLRISNSAPVTPPLSSPTSRGTKRKPDWAMLSSGALQSFRHPIFAASAPSSPTRGRRHIPPTTIPECDESDASTIDSDRWVSFQTMAAPTSPTFYLVKPSNQQGFVQNGTMVQPNHGSEFEFESGKVKAWEGERIHEIGTDDLELTLGNGSAK >KVG30586 pep supercontig:CcrdV1:scaffold_7472:1020:16836:1 gene:Ccrd_026494 transcript:KVG30586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MKKAIALHVVGFLVVMGFIGSQAALLPKTYNYEVKEANFTRLCKSKRILTINGEFPGPTIYAHRGETLIVNVFNRGNLGITIHWHGVKQPRYPWSDGPEFITQCPIKPGGNFSQKIILSDEEGTLWWHAHSDWTRATVHGLIVIFPKIGRPYPFPKPAAEFPIIFGEWFRQDVEAVLLDFMSTGGDPNSSDALTINGQPGDLYPCSTAETTKFIVEHGKTYMLRMVNAAMNLFMFWAIGDHEVTVVGTDGAYTKPLKSDYVTISPGQTIDLLFKANQPIGRYYIAAKPYNSQPXISFDNTTTTAIIEYKGYKKSSSPPIFPHLPKVSDTSASVNFTGSLRSLASRAHPIDVPMKITHNFLFTISINTLPCPNNACLGLGGRRFAASVNNITFDSPRISILDXYYRRITGVYGDDFPSFPPLFFNFTSTNLSTSLQTPLNATEVKVLDFNDTVELVFQGTNLVAGIDHPMHLHGHSFYVVGWGFGNFNRSKDPLGYNLVDPPLQQTIAVPKNGWTAIRFKADNPAMSMHVLVLGLLVILGFIQSQAALVHYTFVVQENNYTRLCSSKNILTVNGQYPGPTISARRGDTVIVDVINQXTQNITIHWHGVKQPRYPWSDGPEFITQCPIQPGGDPAPSDALTINGQPGDQYNCSRPGTTIVNVDQGKTYLLRMVNAAMNNIMFFAIDNHQVTVVGTDGAYTKPLKSNYVTISPGQTLDLLLEANQPHNRYYMAAKFYNSNPRSLFDRSTTTAFIKYNGNYTPSPSPALPVLPAFNDRNASAGFTSSLRSLASHDHPIDVPLKITRKLLYTLSINTLPCASGTTCAGPQGTRFRASINNITFDTPRTSILGAYYRGMNGVYGDDFPDNPPFIFNYTADSLNTSLQTPMNGTEVKILKYNDTVELVFQGTNVVSGIDHPMHLHGHSFYVVGSGFGNFDRQRDPLNYNLVDPPLQQTIAVPQNGWTAIRFRANNPGVWFMHCHFERHVSWGMEMVFIVRNGKSGDARILPPPPDMPKC >KVG30542 pep supercontig:CcrdV1:scaffold_7475:11862:12645:-1 gene:Ccrd_026495 transcript:KVG30542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MAYRSYYTKEMVKEYTRNLLTEAKWVKEGYIPTIEEHMSVTLVTCAYAMIIAKCYVHGHDSVTEDTFKWVSTYPPLVKASCLILRLMDDIATYKEEQERNHCASSIQCYMKQHGVSEEETREVFSKQVEDAWKVINQESLRPTDVPMPLLMPPINLARVCDELYSRGDDYNHAGKEMIHCIESLLVNPINL >KVH89206 pep supercontig:CcrdV1:scaffold_7477:1587:8881:1 gene:Ccrd_008809 transcript:KVH89206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MSTGDFLNLHPSELKFPFELKKQSSCSLQLTNKTDQYIAFKVKTTNPKKYCVRPNTGIVLPRSVCNVTVTMQAQKEIPSDMQSKDKFLLQAVIAPNGATNKDITADMFNKEEKKVVEEFKLRVVYIPANPPSPVPEESEEGSSPRAEDGIAEKRSSEKPFEWFSDIISGVGGYNKFCGWVPYQADVEAEAAAAVELWICDSILVANFHGFALL >KVH92050 pep supercontig:CcrdV1:scaffold_748:118976:137620:-1 gene:Ccrd_005920 transcript:KVH92050 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEIEKSKSIGKRSRSHSWSFEEVFVTANHSRSNSHAEQDEEALKWAALEKLPTFDRLRTTIMESYFLDDSENQQTEGEEKARHKQVDLRSFGMKENQCFINKLFNVAEEDNGKFLKKIRDRYDKFINELPCDLKLIIVSFHVGCRAKVTLPSVEVRYEHLNIEADCFIGDRALPTLANTVRNIAESALTLFGIRLSESTQLTILHDASGIIKPSRMTLLLGPPSSGKTTLLQALAGKLDSSLKVEGKVTYNGHSLNEFVPQRTSAYVSQDDVHIAEMTVKETLDFSVRCQGIGSRYELLTELARREKAAGIFPEPEVDLFMKSTAMEGADNNLITDYILKILGLDVCRDTIVGNEMIRGISGGQKKRVTTGEMMVGPTKTLFMDDISTGLDSSTTFQIVKCLQQIAHLTNTTILMSLLQPSPETFDLFDDVILLSQGQIVYQGPKKHVLEFFETCGFRCPDRKGHADFLQEKSISVLNFQRSTAHGHECFAIYDFLQPHFFICFKYKELVTSRKDQEQYWEDKSKAYKYISVSQFAESFKKFHVGLWLQDKLSVAYDKSKSHKSALVFRKYLVPKMELLKASFQKECLLIKRNSVVHIAKLVQTTIVAIIGATVFLRTNMHTNNEEDGAMYVGALLFGLITNTFNAFAELPMIIERLPVIYKQRDLLFHPPWAFTIPTFLLGVPTSMVDSTVWTVVTYSIIGFAPEASRFFKHFLLTFLIQQMAGGLFRFIASVCRTMTIANTGGVLVVELAFLVGGFLLPKGQIPSWWGWAYWVSPFSYGFNAITVNEMSAPRWMNKLASDNVTELGVAVLRTFDITPDRNKFWIGAAALLGFALLFNFLLTLALTYLNPLRKAQAIISKEIVKEKEDFHNKPYGKPQLKQEMLPQSMTPLDVYSPEVRKLSTLPKANKQDIQEYSTLQVTNPIASKRGMVLPFTPLCMSFDSISYYIDMPPEMIEQGITKDKLQLLREITGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGLIEGDIKISGFPKKQETFARVSGYCEQSDIHSPQVTVHESLVFSAFLRLPEEVSKEVKMLFVTQVMDLVELDNLKDSIVGVPGVSGLSTQQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYFGPLGQNSEKMINYFEEIPGVSKLKAQYNPATWMLEVSSDSAESRLGIDFSEHYRSSPLYKTRKLTRELLSRRNTALVEELSTPPSGDKELHFNTMYSQSMWGQFKSCIQKQWWSYWRTPDYNLTRIPIQEHIETRLTSVFQSPLIYTLYHRDSSTDLTTIIGAMYVSVMFAGFNNCVTILPVASLERTVFYRERAAGMYSSLPYAMAQTILAISNTPMLMNSQHIIVELPFVLVQTMYYTLILYAMLSFEWTVAKFFWFFFISFFTFLYFTCYGLMIVSITPNHQAASIFAAAINVLFNLFSGFFIPRPRIPKWWMWYYWICPLQWTIQGLIISQYGDLDETIKVPGMSYDPTIKWYVQSYFGYNADALGSVATILVVFTVLFASIYAYCLHKLNFQIR >KVH92051 pep supercontig:CcrdV1:scaffold_748:173612:175097:1 gene:Ccrd_005921 transcript:KVH92051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLYLRSPSNYTPPSRCFASTKQLHGKRKRKKEYFTSIEDNSMVDLSKLFLGFRFANGAHSQLYRGVYKDEAVAVKIIKIPEEEENQQLGIRLENQFIREVALLSHLHHENVIKFVAACRQPPALCVITKYLSEGSLRSYLHKLENNTLTNKESRLSLKRIIKMALDITRGMKYVHSQGVIHRDLKPENILVSKDFQLKIADFGIGCAEANRDLVSDDPGTYRWMAPEMIKRKPYDRKRLRPPIPVDCPLAMRTLIELCWSSNPGKRPEFWQVVKVLEEFVTLLVCDGNVHVLQSPTPFDQRKSQRQWIQKLDSYHHSPTPMPKPRFS >KVH92049 pep supercontig:CcrdV1:scaffold_748:94906:107624:1 gene:Ccrd_005919 transcript:KVH92049 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDIX hydrolase domain-containing protein MLLLSRKVSSLSISITSPSLFPVSLSRLTNMTSINNSDGALSRLALLARQLRLYKRPPSPGFDGDGDDDGDKGVSQVGFPESVTSVADQPPEKFISNRAAVLICLFEGKNDDGDGLRVILTKRSSGLSTHSGEVSLPGGKAEEDDVDDADTATREAKEEIGLNPSLHLLRVIPVIGILSDQNAFTPTPNIAEVDDVFDAPLDMFLKDENRRSEEREWMGDRYLVHYFDYETGGKKYMIWGLTAGILIRAASIVYQRPPAFLEQSPKIPRVLNKGTILP >KVH91341 pep supercontig:CcrdV1:scaffold_749:38520:48708:-1 gene:Ccrd_006638 transcript:KVH91341 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MTSLVGSSVFPLNSRNVSYRSTGYCKSGKKSVGYSVYARRFVCSSMAPQNLKPADNFRPSEFNDSSLNLFTEDLEDTEVLIECKDVYKSFGEKHILRGVNFKVKIKYGEAVGIIGPSGTGKSTILKIIAGLLTPDKGEVYIRGRRRHGLISDDDMSGLRIGLVFQSAALFDSLTVRENVGFLLYENSRMPAGKIKDLVAETLAAVGLKDVEDRMPSELSGGMKKRVALARSIIYDTTRLDPIASTVVEDLIRSVHCKGEDALGKPGKIASYVVVTHQHSTIKRAVDRLVFLHEGKVVWEGMTQEFTSSTSPIVQQFASGNLDGPIRY >KVH91340 pep supercontig:CcrdV1:scaffold_749:51621:55422:-1 gene:Ccrd_006639 transcript:KVH91340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDDLKTLRIKKQMLQIEYEAQISTIEQKNKLLESFETVNNIPVDESLKSKAIHEQTAHGKETTNPLTADALLKSIIKDNTNPDPMMGKTSRLVNIDPSAEGLSRMTTLSTNKSVQEQTLVCCICLAKYANNDELRELMCTHFFHKNCVDKWLKINASCPLCKTQVGETVFNLQADATATTQSSSDFL >KVH91339 pep supercontig:CcrdV1:scaffold_749:170113:173049:-1 gene:Ccrd_006641 transcript:KVH91339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MLKQLLGKLPRKSVKSDSLEDPSNDFSNGGIQRTTSLNGASPRPNTVKRTSSAVFPSSVIAGIEPLLPFKDAPASEKMSLFISKLSLCCIVFDFNDPTKNTTEKDLKRLTLLELLDFVASGPPRFSEPAILALCKMCAVNLFRVFPHNSRSSRSNSGGENDDDEPSFDPAWPHLQIVYDILLKFITSSSVEAKVAKKYINRSFILRVLDLYESEDPRERESLKAIMHRIYGKFMVYRPFIRKSISNIFYRFVFETERHNGITELLEIFASVIMGFALPLKEEHKIFLWRALIPLHKPKCLGVYFQQLSYCITQFIEKDPKLASTVIRGLLKYWPITNSQKEVMFLGEVEEILEAINMAEFQRVMVPLFWRIGCCINSSHFQCHWNQSVLNLTLNVRNVFIEMDNMLFLDCHARFLEEQEKQTLAMEKRKEAWDRLENAASLQPMSGNTTAVLVEMGKGD >KVH91338 pep supercontig:CcrdV1:scaffold_749:59641:66020:-1 gene:Ccrd_006640 transcript:KVH91338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSESEHVIDITSSSGASSSSSSEDTVNQSNSRLNQDLPSTSMTAPVFQHSFSISNGGNTRNTSFGRRESGHGRRNPLNSGYWISTEIVITVSQIIAAIVVLCLSRHELPQAPLFTWVIGFIGIRAMSLNQGEKIEETQSIQLFSDEENVFVCENEASYSADLMIHQDLLKKISKLDLNLDKTKVFKIENSLMKAVQKAFKRKNEIFYCVSTKEISIDINDVSGQVYLPLITKEEIGQKLSKIPTDIRKKMNFVHIGALKILIKAQFRNGIDTPIKMALLDNRINNRRDSLLGVAQGNLAYDKFMFTVYPEFGVSLKTQNLNQILSFVHKFERTDLMNRGYKVFTITYLLEYALTNSHHSIDYRESSTIEIEDLFQEIGSIQENHFKKITNDNTNWVLDISKNKNQYGETSTIKTKEDQLLIDKPRSSTTREMVKSMSKRIDSMSILLKDVTGP >KVH99451 pep supercontig:CcrdV1:scaffold_7490:10270:13255:-1 gene:Ccrd_022316 transcript:KVH99451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S26e MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFDQYTLPKLYVKMQYCVSCAIHSKVVRVRSRTDRRNREPPKRFSRPRDDAPKPGQGPRPGGAAGPAPVRS >KVH99450 pep supercontig:CcrdV1:scaffold_7490:4988:7456:-1 gene:Ccrd_022315 transcript:KVH99450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGGDNDLKSSGISEWTNTLSRRYQYILDKSTPLVFHRWIGFTVVFFIYALRVYFVQGYYIITYALGIYILQLFLAFLSPQVDPELEEISDGPSLPTHGSEEFRPFVRRLPEFKFWYSITKAFCIAFVLTFFGKKAAVDGTSSSRP >KVH91380 pep supercontig:CcrdV1:scaffold_7494:14998:17479:1 gene:Ccrd_006599 transcript:KVH91380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAREANLLQMRLRELEVKWSDVFDGSRDETLENVVLDVLNPGNENLEKLNIVSYGGIKFPNWVGDSMFDRLTHISIRGCKKCTSLPLLGQLPSLKELFIQGMDGVKVVELQRLAFPSLEILSFEDMQGWEVWSYNGGVVDPVCPCLGTLRIXGCPKLVEISVEELPSLRDLVIDECSDGVLRRLVQVASSITKLKIVYISGLTDQLWGGVMKHLGVVVEVIIMRCNEIRYVWESETETCKVLVNLRKLEISGCNNLVRLGEKEEDNCGSKLSSLTMLDISFCASMEHCSCSNSIKSLSILGCHSITSISFPTGGKK >KVH91379 pep supercontig:CcrdV1:scaffold_7494:12856:14597:1 gene:Ccrd_006598 transcript:KVH91379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEILLSPILQVLAEKLGSAAFKKLARYAQIHIEEIRDEYIKGWLNRLQHLTYDIDDILDNLATDAMHRKLIAEELGAITSKVRMLIPTCSTSFSANIRMHDKLKDITTKLQDLEKEKVTLGLSVINGRPITMSRNYETSLPDASDTVGRQGEKEALLHKLLREEAGKQNFNIVPIVGMGGVGKTTLARLLYNETQVQNHFKLKAWVCVSDEFDIFTISKSIFGSVTEENKEFENVNQVQEALRKQVTDKRFLLVLDDVWSESYEDWNSLVRPFHAGALGSKIVITTRKQQLLRELGCDNLEHLQSLSYDDALSLFCQHALGVSNFDSHPNLRPYGEGIVKKCDGLPLGLRVLGRLLRTKADEVEWKELLNDEIWSLQTRDGIIPALRLSYHDLSACLKRLFAYCSLFPKDYLFEKEELILLWMSEGFLHHSRESTSTVERLGHNYFKELLSRSFFQKAPNEDSLFVMHDLMNDLATSVAGEFFWRSEDEMEKGVGMDTLEKYRHLSFVCERFISDKKLKAFIGAKNLRTFLAVSFGPYEEWDGSSYLIRFWMTYFMSFHC >KVI02088 pep supercontig:CcrdV1:scaffold_75:169256:172253:-1 gene:Ccrd_019656 transcript:KVI02088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MGKEGEKDEHEESGDERESDGNLKRVESESSLYETEDEGEDAEGNKIQLGPQCTLKEQFEKDKDDESLRKWKEQLLGNVDINDVGEALEPDVKILSLSILSPGRSDIKLAIPEEGGKIEGRWFTLKEGCRYSLRFEFKVSHNIVAGLKYTNNVWKTGVKVDSAKEMLGTFSPQSEPYAYEMPEETTPSGYFARGSYSAKTKFVDDDNKCYLEISYTFDIRKDWAND >KVI02057 pep supercontig:CcrdV1:scaffold_75:303070:305514:-1 gene:Ccrd_019642 transcript:KVI02057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLQVAASGSLHVKSSLYSFQICRNPVEPAQLHAVSVKTATFHDPLISSRLISLYANSKINTLDHVRSVFDQMQQPTLFSWNVLIKSYVENQRSQESLLLFLEFMSRSGLMPDVFTLPCVIKGCGRLMAIKEGEQIHGLVLKFGFGSEVFVQSSLVSMYSKCKDIDSARKVFDGMTHKDLTSWNSLMDGYVKSGDIELAKELFDEMTERDVVSWTVLVDGLSKCGKVDDARRVFDEMPSKNLASWNAMINGYMKAGNFVSARNLFDQMEDRDIISWNLMIAGYECNGRFSEALKLFTELLNSLYVPTHSTLVSTLSAISWLALLSKGRWVHSYIVKNGHKLDGVLGTSLIEMYCKCGSIENALKVFEAIPKKKLGHWTTIIVGLGIHGQAKHALELFHEMVKINIIPIAVTFIGVLNACNHAGLVEDGRRYFNMMVNNYGIEPTIEHYGCLVDILCRAGHLEEAKNVIEKMPMKPNKIIWMSLLSSSRIYRNMEIGEFAACHLTKIAPNAIESYVLLSNMYAATGNWDKVSNIRETMRKTGVKKDPGCSLIEYKDSVHEFTVGDKSHPQTDEIYDKLSEIREQLKSLGHVPDKTQVLLHIEGDDEKEMELESHSERLAIAFGLINMKPGIPIRIVKNLRVCNDCHSVTKLLSKIYDREIIVRDNSRSVLASTYCYQPAIAW >KVI02073 pep supercontig:CcrdV1:scaffold_75:108750:109466:1 gene:Ccrd_019663 transcript:KVI02073 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVMRRGSRAKAAAAAGVPIGSRPEVVAVAQQPDNNEPRYRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEDAARAYDAAARSLRGSKAKTNFPFLPLPENEIHDRTTGDHLKNQHQNFYDLQSVNNKCRPTMSSLSSTVESTSGPRPPPPPPPSNPIRTTHHRLKPPPVPHDDDDCHSNCDSSSSVVDDHYHDADLASSSRKPLPFDLNLPPPPPVDGIGFPSNPNDDLYVTELCL >KVI02092 pep supercontig:CcrdV1:scaffold_75:456255:458603:-1 gene:Ccrd_019628 transcript:KVI02092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVLQKNPKHLLGFHQNAIHGSRSSSPKPYSTTQNQHLLNEIRHILQSDNWADILPLSNVPKKLNPHVIRSLLQENQVGIQSNPQLLPLLAITLCNSNLYGCASLIIDAMIAIGSSPISISGSIVSCYNENFDPHTNLNPTVFEVVVDRFRIKGLLDEAAEILLSDLQIVPSPLSFNSLLKDLLNGNKFDLFWDVCKAMSKKVGFFDVYAYGLMIKAHCVVGNLDEAKGVFVKMGEEGCEPSLVTYNVMISGFCKKGRLDEAIEIKKRMIDKGLVPNVFIYTTLIDGFFIMKRSEEAKMVLKEMRDAGVEPNVVTYNALVNGFVSRRDMESAMGIKDEMVASQIGANLVVYNTLLHGLCKEHMIDRARELTNEMSSMGLKPDFRTFTVLIQGYCKDQRLIDAFEVLEDMKKLDLEPNVRTYGLLIIGLCRTGDLEKADALLLETSLKNLKLDQIVYETLLSYCSKECDSVKSKRVLEVMKEQGITLNEVYYGSLIGRLCGVGKMDEARSFMNEMIERGLVPNAHIYESFINEYCRLREMKVANKYFYTMLGRGLIPQFASFAVLIEENCRSGNVADVFLILRCTLSRKVFSDIRVYKVVLDGLMKFGRLEEASGLMVELHEKGFVLECGSIVLSLCKKGKVEEACTLVENCGFTPSVLTYNVLIKGFFKIGDATRAKELFDEIKEKGLSPNDVTYGTMIIGLCKFKDLTAACAVSDEMIRNDVSPNRFLYTALVDCCHKIGDSEKANELSRQMIEKGFALTSFSDALTTNEQEPERSQVGM >KVI02081 pep supercontig:CcrdV1:scaffold_75:140233:146461:1 gene:Ccrd_019659 transcript:KVI02081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTGVNTSKLLILVGAGVTSSVILRSGRLSDVLSELQELMRGVNEVEISPGKYDSAALAAQIRQLAQEIRELSLSRPVTIFNGNSTSSGGYSSYLVPAAALGAMGYCYMWWKGLSLSDVMFVTKHNMANAVATVSKQLENVSDALASTKRHLSKRLENLDWKLDEQKEMSKLIADDVNDVKSNLNQIGYDIDMIHQMVAGLVIQLGFLGQDMTNSGLYYLCHVAGGIKDGINAKLFQDIEAKLGEHSKITYEETSLKGLQFLTETDDTEKYVLSKSSSTKVNRDSKSRFHRSYPVGLSSAKDMLG >KVI02075 pep supercontig:CcrdV1:scaffold_75:119221:124592:1 gene:Ccrd_019661 transcript:KVI02075 gene_biotype:protein_coding transcript_biotype:protein_coding description:disulfide isomerase MNRSAKVLILISFFLLSFTSNLNSVDAFYGSSSPVLQLTPSNFKSKVVNSNSVVLVEFFAPWCGHCQALTPTWEKAATVLKGVATVAAIDADAHQAIAQEYGIKGFPTIKVFVPGKPPVDYQGARDVKPIAEFALKQVKALLKDRLSGKATGGSSEKSEPSASVELNSHNFDEMVVKSKDLWMVEFFAPWCGHCKKLAPEWKKAAKNLQGKVKLGHSLMSRFKVQGFPTILVFGADKDSPITYEGARSASAIESFALVNLETNVAPPEVTELTSPDVMEEKCGSAAICFVAFLPDILDSKAEGRNKYLETLLSIAEKFKRSPYSYVWAAAGKQPELEKHVGVGGYGYPALVALNIKKGAYAPLRSAFERDQIIEFVKTAGLGGKGNLPLEGSPTVVKTEPWDGKDGEIIEEDEFSLEELMGEDRSGKDEL >KVI02052 pep supercontig:CcrdV1:scaffold_75:563518:564742:-1 gene:Ccrd_019616 transcript:KVI02052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGLSPYPSPSDAGVLCVILVNTAMSISIMKEIVRSILHVIGIHVASWEDYTRTESVECRGTPSETYMEEFRSRTPSVLYDSLCRRTKQECSVCLVEFKPDSEINRLCCGHVFHKSCLEKWLKYWNVTCPLCRNHMMMPKEAEENSCPM >KVI02054 pep supercontig:CcrdV1:scaffold_75:246012:254419:1 gene:Ccrd_019648 transcript:KVI02054 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MVISNGGKWCCTPSYRTHHQILRYTPPHPNTSSLCSSSDRSIIFSVFFLSIQLNNRHTRFLNEFLKLAGVSLQFPGVLHDSDCHFGTLNSTKKRNFISQCNRDGSEFAMVKSSGYLKLTDGLNGKNQVSVSNQERNFGSVMTKDSRDMHQRPYQGSEVHTSQSNQEGSSLSVLPGKGSDSENRVSESNEQRTTHSIIPERVPDTLEQTPSPDASVPESQSAQENFVVALRPEKGLDKLPLRRNPENAVNVLHSDQGVTFSRLPEKPNVDGFNWRKYGQKLVRGNVFVRSYYKCTFANCPARKQVERSHDGHITEINYLCKHEHPKPAHTLLKSPSCVHPNQARGSDEPSLTSEISRSSEIESELSPTRALPCANPQILHRKKESVGVNDSVVTKTNYEPRVIVQTTSAVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNAGCPVKKHVERASHDEKVVITTYEGRHDHDMPAGTRTVTQNTQGNNNESDDDDDESTPQPESANESTGTEMVVRVDAN >KVI02099 pep supercontig:CcrdV1:scaffold_75:490746:493005:-1 gene:Ccrd_019623 transcript:KVI02099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDVEIKRTIPKGSAESKDFKTKKIFVGGIPTSVTEDEFKGFFSKHGKVVEHEIIRDHATKRSRGFGFIVFDSEQVVDTILVNGNMIDMNGTQVSSIFGFLLAYDIFLHAVLDTLSFVEIKKAEPKKSSNAAPPSYGGEPRGRGYGDSYGGYGDSYGSYGGGGGGGGGYGPPYRSLGGLSSRFGDYGGYGPSGSEFSSRYGDFGGDYGGYRGGEPPVGGYSSRFSSFGGGFGGGGGGGGGYGGSGLGGYGRGGAGYGSYGGPGGGGGGGYESGAGSGYGGAGGPYGSRAGYGGGGGGRYHPYG >KVI02060 pep supercontig:CcrdV1:scaffold_75:268534:273970:1 gene:Ccrd_019646 transcript:KVI02060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain/VPS, 7-fold repeat-containing protein MYQWRKFEFFEEKYAGKCLVPDEVAGKIECCSSGRGKIVVGCEDGTVSLLDRGFKFSYGFQAHTSSVLFLQQLKQRNFLLTVGEDEQISPQLSSVCLKVFDLDKTQPEGPSTSIPDCIQILRIFTNQFPEAKITSFLVLEEAPPILLIAIGLDNGCIYCIQGDIARERIKRFMLQVENRQDKTQCAITGMGFRVDGKAFQLFAVTPGSVSLFNLQTQPASRQTLDQIGCNVNSVAMNDRLELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRSGTNTFNIFDLKNRLIAHSIVGKEVSHMLCEWGSILLIMSDKSAICIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYSKQDFDEAMAQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVDKLNIFIKSEDGVGEHKFDVETAIRVCRAANYHEHAMYVAKKSGRHEWYLKILLEDLGRYEEALQYISSLEPSQAGVTVKEYGKILIEHKPTETIKILMGLCTEEGETSNRGTSNGSYAYMLPSPVDFLNIFIHHPRSLMIFLEKYIKKVKDSPAQVEIHNTLLELYLSSDLNFPLMSLSNIVENGTSKPESSLGIASTSRAESNGKLPPEHKDVNTEKDHQERLQKGLVLLKSAWPSDQEQPLYDVDLAIILCEMNAFKEGLLYLYEKLKLYKEVITCYMRSHDHEGLIACCKKLGDSGKGGDPSLWADLLKYFGELGEECSKEVREVLTYIERDDILPPIMVLQTLSSNPCLTLSVIKDYIARKLEHESKLIEEDRRMIDKYQEETTTMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSVLEMKRSLEQNSKSQDQFFHQVKNSKDGFSVIAEYFGKGIISKNSKGQAGSLNSTSTSTDDF >KVI02094 pep supercontig:CcrdV1:scaffold_75:471840:476474:1 gene:Ccrd_019626 transcript:KVI02094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein VLGSSSKSFPSNRIDLKAQALSIVSLCSKTQIMALHVMVTSMDHALSSSQSSQQHKVEKGPPLPKFGDWDVNDPASAEGFTVIFNKARNEKKTGGTSDSPPKNDSTYNKPGTATLGKPQSVS >KVI02098 pep supercontig:CcrdV1:scaffold_75:501905:502538:1 gene:Ccrd_019622 transcript:KVI02098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRGNRGGRANYSSRHIPHGGSRNALSGKENEINQGADDGVVLPAPQDKKNKETSVVARCYITSFPSLLIYRVTF >KVI02062 pep supercontig:CcrdV1:scaffold_75:326064:327986:-1 gene:Ccrd_019639 transcript:KVI02062 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDPTIEEALRAKENAEKLFAVKDFAGAKQYALRAQSLCPQLEGIAHMVATFEIYAATNMNREFDFYALLGLDPSADKSLLKKRYKKMAVLLHPDKNKTVGADEAFKLLSEAWAVLSDSVKRSLYDAKQNKHLSATVYGTSGVPGSESSSNTHSSVNRLDTFWTVCTSCRVQYEYLRKYVNKRLSCKNCRGVFVAVETGAAPVTYAPWSYSSENGYVNHPYNEATYVPSSSVCVSGNGSAANHSAHGYEYTGTVSFQWNTLDPNGLPSKSVNISRAKANGKHQMRLNTGSGETPAPRLGRPPKKRKIEAADAPRNTNGETALRTEPDLVATNGDIPTRQYPVPPVFDARKMLIDKARSVIRGKLKEMKLASTLKVEKNGKAQNKTSSMPITVPDPDFHDFDMDRSEEVFKPKQIWAIYDEEDGMPRLYCIIRQVISVKPFHVHISYLNSKTDTEFGSTKIESGFTKSCGSFRVSHSDMVDQVNIFSHLLGREKAGRGGCVGIYPKRGDIWAVYRNWSENRNRKTTKRYEMVEILDDYSEKRGVCVTPLVKLEGYKTVYRRNPNKNAVRSIPKKEMVRFSHQVPSCLLKGQGLNLPDGCWDLDPAATPEELLQAAMEVEADEAEKKKKGEVRGVEGHFSHLL >KVI02097 pep supercontig:CcrdV1:scaffold_75:478171:481863:-1 gene:Ccrd_019625 transcript:KVI02097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAVGRLRFERLMLMIAVVLSMLFSEVVGIRFVIDREECLSHNVEYEGDTVHVSFVVIKAETVWHFTQDGVDLVIRGPGDELIHELHEKTSEKYEFMAQKKGIYRFCFTNRSPYHETIDFDVQVAHFVYFDQHAKDEHFNPLFEQISKLEEALYNIQFEQHWLEAETDRQAVVNEGMSQRALHKAIIESAALVGASILQVYLLQRLFERKLGTSRV >KVI02102 pep supercontig:CcrdV1:scaffold_75:281584:288496:1 gene:Ccrd_019645 transcript:KVI02102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein MSKERPPEPLDFFIWTVEDVGLWLEEINLGGYRQTFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVRVAKRNRQSRVVSMKLEP >KVI02063 pep supercontig:CcrdV1:scaffold_75:337109:337615:-1 gene:Ccrd_019638 transcript:KVI02063 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MLQIVDINGDLERETKKLEQNFCINDHVDLLIEVLQRLDGRSLAAAACVCRQWCSIVRNDSLWEHLCFGNVSPPPSIGIRSVVLALGGYRRLYMVCVRPVASQLKRRRIDGGESEVVRRVWNRHEVELSLSLFCVEYYERLLGGGGVVGGESRATSLKFLCKAVNVSI >KVI02059 pep supercontig:CcrdV1:scaffold_75:290021:299158:1 gene:Ccrd_019644 transcript:KVI02059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like, C-terminal MFSPGTKKSNFSSRKDRTVRAHTPPVGNGSPVTPLIENRKPVTENAIPDRPNTGTPAPWASRLSVLARIPTVKKSDKVDDVDPIQPVYVGEVPQVVRDEQANMLQKRGSGDTRISGGMDKGTTLAWIICGNRLFVWSYRSPAASRKCIVLELPSSILEDVDTNKNLSNAWLLCVLDWDHNTWSTNKVHQKITAAGVLLCNRKTRALVYWPNIYSSSPSVTYIDRSESTSLSSSGITTINKQKLSSSYNSLISSAIPEKHNFCIALACSSNGQLCKFICSPTGIHCQEIISVFSEGPKGYPRSLVWHAPDHSLKEPKRKFLLLTDDEIQCFSVELLPDFRTSKLWCHEIVSDDGDAGIQKGLAGQKKIWPLDMQVDINRKVITVLYATFCKDRATGSSYTEYSLLTMQYKPGLDVCSESTYSSHKKILEKRSPVEVIIPKARVEDEDFLFSMRLKVGGKPSGSSIVLSGDGTATVTRYLRNTSWLYKFDLPYDAGKVLDASVFPSDDGEDGAWAVLTEKAGMWVIPEKAVLLGGVEPPERSLSRKGSSKEGSTQEERRNVSFAGNIAPRRASSEAWDAGDRQRPAFTGIAHRTAQDEESEALLAQFFHDFLQSGEVTGTLNKLQNSGAFERDGETNVFARLSKSIVDTLAKHWTTTRGTEIVALAVVSTQLQDKQQKHQKFLQFLALSKCHEELCSRQRKSLQIIMEHGEKLAAMIQLRELQNLIRQQSSTGSAFTNSNSQDELSGSIWDLIQLVGERARQNTVLLMDRDNAEVFYSKVSEVEEVFHCLERKLSLIISGDMPFTFQLQRACELSSMCVTLLHTSMNYKDENHMWYPSPEGLTPWYSQTVVRSGIWTLASFMLQLVKDMGHLDRSAKLDFHSHLEVLIKVLLEAYSGAVTAKVEREEEHISLLEEYWKRRDTLLDSLYQQVKGFNQATYQGSSEVVEEQNEEIRQKLSSNLLAIAKRHEGYQTLWNLCFDHNDLELLRSLMEIPLFLLFNSVVSVCVLKARYLLVQHDSMGPKGGFSNFVFKQMYNNKQFAKLMRLGEEFPEELAIFLKDHPDLLWLHEIFLHQFSSASDTLHVLALSDGDSSISEIEEPTSYETKVEPTLADRRRLLNLSKIAAMAEILELLPDDEETQEIAQKLLPPADLIQLCLKIENKKPVLHAFDVFAWTSLSFLRCNTSLLEECWKHVANQDDWETINQESMAKGLSDEEILEVLRETALFQASRRCYGPEAETYEGGFEEVLPLRQESSEVSMGSSVEAILMQHRSFPDAGKLMVMAIMMGSVAADERSEDGPSPME >KVI02085 pep supercontig:CcrdV1:scaffold_75:212463:214459:-1 gene:Ccrd_019651 transcript:KVI02085 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561/ferric reductase transmembrane MGKFINLLFCIFMSNFLLSSAQNCNSYSFTNNAIYATCVTLPVQNSHLYWNYHASNGTVDVAYRHTGVSSGWVAWALNVDGAGMVGAQALVALFNSNGSVQAYTSAVTGYATGLQQSPLSFDVPAIRAESVNGDAVIYATLVLPTGRTNFNQVWQMGPVANGAPSAHNLGSENRNSLGTVDFVTGQAGEGGGVGGSLLHRRNTHGVLNAVSWGILMPMGAMIARYLKVFKVAHPAWFYIHIAIQVTAYGLGVAGWGTGLKLGSDSVFALLLRPKPDHKYRMYWNIYHVSVGYTVISLGITNVFKGLDILDPEKKWKNAYIGVLISLAAIAVILEAFTWFIVLKRKKEEKQQGPVGNGAYGANGYGHGQAA >KVI02091 pep supercontig:CcrdV1:scaffold_75:317942:325420:1 gene:Ccrd_019640 transcript:KVI02091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MHRLSRRSVNSLLRYGGHRHRDAMPVASIGSSSINELGGERDASGRRYSILTHLTSNGPASTKPLKSGNMIPIGKRYESTAADISATDTPVEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTEPQLLEGAAELDIRIQTDQDNGIITLTDSGIGMTHQELVDSLGTIAHSGTAKFLKALKDSKDAGSDSNLIGQFGVGFYSAFLVADKVVVSTKSPKSDKQFVWEGEANSSSYIVREETDPEKMIPRGTRITLHLKRDDKGFAHPERIEKLVKNYSQFVSFPIYTWQEKGYTKEVEVDDDPAEAKKDGTDDGVEKKKKTKKIVEKYWEWDLTNETQPIWLRNPKEVTTEEYNEFYKKTFNEYLEPLASSHFTTEGEVEFRSILYVPAITPMGKEDIVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRVVRIMRKRLVRKAFDMILGISMSENREDYEKFWENFGKHLKLGCIEDRENHKRLAPLLRFFSSQSEEEMISLDEYVENMKPDQKDIYYIAADSVTSAKNTPFLERLYEKELEVLFLVDPIDEVAVTNLKSYKEKNFVDISKEDLDIGDKNEEKEKEMKQEYGHICDWMKKRLGDKVASVQVSNRLKTSPCVLVSGKFGWSANMERLMKAQTVGDSSSLDFMRSRRVFEINPEHSIIQTLNAACRSSAEDEEALRAIDLLYDTALISSGFTPESPSQLGGKIYEMMNMALSNKWSLQTQEAEIVAEPQATLEAEVVEPIETTTGSQK >KVI02080 pep supercontig:CcrdV1:scaffold_75:732:3030:1 gene:Ccrd_019668 transcript:KVI02080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLHFVLIPPLQVTVVNPAMDRVLSLLQKFALDAQKGKIPKDKLRFGAPWRHPPNKDDPCLRSEWAKLQLMDFIQSLVNAEFGINYFSDCSLEIFDDPSVNAMIEVGLLYVQRDPSFFRPISGAIQRCLVRWLVQERIQMSFKNSLLFQWHRVFMQINADSLGGGASSRASSSKF >KVI02064 pep supercontig:CcrdV1:scaffold_75:431632:444403:1 gene:Ccrd_019631 transcript:KVI02064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirtuin family MSLGYAEKLSYKEDVGAVGMSEIFDSPDVLQQKSKHLVAFTGAGISTSCGIPDFRGPKGVWTLQREGKGVPEASLPFHRAAPGITHMALNVDSLHLRSGIPRNKLSELHGNSFREDELPPTEMDAAEKHCRIADIVLCLGTSLQITPACNLPLKSVMAGVLNHLKVQIPPYVRVDLFQIIFNQFRCVSETKYAKWSLRVTSVHGEKALLPFIESIEVTFPERPELKTAVLQQQPFLLKSNITIIVLTSNFLYAKNYWNLEPVGCESCILVDVFVSSKCSFAIYNCRETLKSRPLHMVLWVNFSEGCGCRFISIDFPVDFEASTDGISQDKNIVIQQLRDMANQDQYCGQSSVVDRKLISASGKTISTYAVATNIAQYSGFPSKSSDITGFSNEEKGGKEKLNLDGGMASSTNGVPAKKVRVH >KVI02070 pep supercontig:CcrdV1:scaffold_75:346327:347481:1 gene:Ccrd_019637 transcript:KVI02070 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MSLMNPSMEDLPPDVMADILTRLPVKTIIYSTCVCKKWRNLVSSSYFVNLHLSRSPPSLIVSRLSRGDLANSRKAGILKWVEIEDELDRHHLHHDPVMTLDLNLSSTLRKSKVLLMGSVNGLICLSQPKGGNTCICNPITREYMILSRNRDKREDYSTFVCGFGVVCMTGEYKVMRTFEDSNSSSRPRQLQAEVYTLGTGRWRNIGHVPYWFDHSDQPFLNGHIHWRIYDEDSPESLCAFDLDKETFRLFPSPPSESIEESYMHFQSLAVLKGCLCKSDTYDSRYTIWVMTEYGIKKSWRKELVVKLGIIPDLDWLIWEPMFLIEGLKDGSILMVCREERLLVYCPQSKTIEHTEMFEPYFSGLPYRPSFLKLRDFASERVRMF >KVI02056 pep supercontig:CcrdV1:scaffold_75:309759:314839:1 gene:Ccrd_019641 transcript:KVI02056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVKPFNPQSLFDLRKHSPLLGSHTFLRYSSHPNLYAENNKRTYPNLVDNVSKILCEPRWFENKELKHVSHKLQHVHVARIVTAHRDLDVVVRFFYWISKNHSYKHDLNCYKAMLSRLVREGRLADMDHIRVLMVKECKCEEELMGVLDYFNALRSKGLGYSLYSCNTLLIQMAKFQMIEAARDVFTQILSCGIKPNLLTYNTMINMLCKKGKVQEAESYLDQMIQYDLYPDVFTYSSMILGYCRNRDLKSAFRVFDGMVKEGCNPNSATYTNLINGLCNVGRVDEALNMLNEMMDKNIEPTVYTFTVPISSLCAGGLVKKAINLVVIMRKKGCLPNVQTYTALISGLFRTEQAEVAMGFYHKMLRDGLIPNMVTYNALIYELGEIRRFDSAFMIFDWMEVHGSLLNTETYNEIIRILCLIRNLQKAMVLLSKMTKVGPSPTVVSYNTLIIGFLKQKDLNNAMRLLSLMKANGCKPDEWTYAELISGFCKGGDLDGASTLFHEMLEQGLIPNKVHYTTLIDGHCKQGKVEAGLLLLEKMETHGCRPETETYNAIISGLSKRNQLCEAQKLFEKMVAKEVAPNVITYTTLVDGLCRNGGVHLAFEIFHEMEKKNCMPNLLTYSSLVYGLCLEGRADEAEILLEEMERKGITPDHVIYTSLINGYISLNRVDHAFSLLQRMVGRGCKPNYRTFQVLMKGLEKECRLHFEKAAGQHEEMYSCSSDERDASFENLLVRTSEYGFEPTVETYSTIVAGLCKEGKSTEAVELLQKMEEKGINPDRNIYASLIAAHCNNLKVDVALEFFDLMMVKDLEPHIQSYKSLISAFCKSGQVGNARLLFEDMLDRPYDADEIVWTILIDGLLKEAEVETCIHFIHIMQSKNKIPNFQTYLMLAKELSAADKNCDIHEVVAKLKHYSGTTTDKCELQPLSSHANPCMVSAKMLCSYRTPWMKSTKIATSDFWKDVTLLIRHDHFRIQRFNNGVAYRRQRKLG >KVI02065 pep supercontig:CcrdV1:scaffold_75:444720:445151:1 gene:Ccrd_019630 transcript:KVI02065 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC-like protein MVHRYLEIIVTKIYNYQAIQRYFDCRTWVSVSQANGVDELLRSLIKKLFWAKRETVPGDLGTMKFSELGEMLIDKKRSGDHDEMCMIAACHSGNRRPCVLEKQDRWEWKKVNTRYP >KVI02101 pep supercontig:CcrdV1:scaffold_75:502587:509151:1 gene:Ccrd_019621 transcript:KVI02101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPFCSSSNIVADRSSDIVAEKTSSVPDVHLSAVSDINQSEVNATTVSSKLEGPLPQVPPEAKKIPTSALGDGSSRNISASKAPSPLPGVYLSASDPILMPSQDSRLPVGTIRREVGNQRTPVEQVQETPVEIKIASSPEVGSSFMQGKMHGEIQEEGNNLLSESSRPAPSIHVSSSIGRPPSNHNNRQQQAIGPQKVGPGKEWKPKPTNPIPAQVPGTCDSTEVPAIPVEGRSSLKPASNIPDSKDVTPEKERKLEVSRISDGQHVIIPNHLHVPEAEKLGFCFGSFDASFGFNSSSLSGPVNDKSPSLSEAPEVIPDHIEEQSSRNQDVLVTPDDGDYPDCPPSSSNVPENLSAESEVSSSVAPEHIESNPETSLPTTGHQYPVVHASPNLSFGFMPPIIGGHLAPFENNESQVRDASRVPSFVVQQPFDPAGYYPHFYRSGADSDGRISPFHSPGVAAKYNGNVAVLSPQASQSSQEVGNSITLSAAGPTPLATQAAGVMQSSLAVTQQPLPVFRQPTGLHLPHYPPNYIPYGPYFSPFYIPPPSIHQFLSNGAFPQQPQAGNMYPAPPVAAPKYPLPQYKPGSNTGNSGHIGVPGTYGPYGTSPAGYNPNPAATAGNSTSNEDIGGSQFKESNVYVTGQQSEGPGVWIAGRDIGGSFYNLPQGGQVAYTPTQAGHGTFPSIYHHPPQPVTSGAVHPLLQQSQTGVDMVGPTSSMYQQQQQQQPPPQPNPHPQPAQINWPNNY >KVI02096 pep supercontig:CcrdV1:scaffold_75:483072:489405:-1 gene:Ccrd_019624 transcript:KVI02096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFIIPVIEPVSSYRTRRSTSSLHTLTKTSPSDTFKKKIAELEKDRRRRNPKRDRLFVETPESTSWLDTASMPMFATVAGIALFAKLLMMYDDSTSQERIEKKIKNAPPGQGTVRMLSREEWEEFREVRPRTPFESKLARPNARIRTGEPLHMEDVKDWSIDVLTDALTRAEECVKQGSK >KVI02077 pep supercontig:CcrdV1:scaffold_75:25698:27590:1 gene:Ccrd_019667 transcript:KVI02077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MANFPLINMEKLNGGERGAIMEKINDACENWGFFEMVNHGISHELMDKVERMTKEHYKKCMEQRFKEMVAAKALEGVKAEVTDMDWESTFFLRHLPISNISEIPDLEDEYRTLMKEFAGKLENLAEELLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVSNYPPCPTPDLIKGLRAHTDAGGIILLFQDDTVSGLQLLKDGEWIDVPPMRHSIVITNGRYKSVMHRVIAQTDGTRMSIASFYNPGNDAVIYPAPALLEKEPIEKEQSYPKFVFDDYMKLYAGLKFQAKEPRFEAMKAVEANVSLGPVATA >KVI02068 pep supercontig:CcrdV1:scaffold_75:371202:373058:-1 gene:Ccrd_019635 transcript:KVI02068 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-AMP-activated protein kinase, beta subunit, interaction domain-containing protein MGNVSGREEKSSREYYMECGQAQNLVILTSKLPQNPNMIMQIERGGFNESTRNYGSASPEKSIPAVISWIHGGTQVAIEGSWDDWKIRELLEGSGSGFSIFKVLKAGVYHYRFVVDGQWIYDPDLPHECDHLGNVFNVLDLKDNYPENTERDQEPECPSSPISSYNNPAITLDDFDKKLPEMPPLLQQMPLNQPSSSKNPQRDLQKPLSANLNHLYIKRDGGSQPVVALSSSQRFRTKFVTNVLYKPFKK >KVI02082 pep supercontig:CcrdV1:scaffold_75:155128:157440:1 gene:Ccrd_019658 transcript:KVI02082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MYTTRTNIDLPFEIHPEISILRPSIHARRANIVVKFQDLYGFTVEGNVDDVNVLNEVREKVREQGKVWWAMEANKGANWYLQTYASSVALKSSLRFSVLVNAIALKKLIRKGIPPALRPKVWFSLSGAAKKKSTVPDSYYNDLIIAVADKVTPATKQIDHDLPRTFPGHPWLDTAEGHAAVRRVLVGVRKMQVVCSFRSYGVRYLACSHRVVSLPLFQELAFRGRLRQNQLYDNQFIEAEKKARNCGHGRARPEVKTTKYV >KVI02051 pep supercontig:CcrdV1:scaffold_75:558411:560132:1 gene:Ccrd_019617 transcript:KVI02051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGRGLPSRSGSFRPENLGQNALALIGNLCFTFFVIGVLVFTIIAATYEPEDPLFHPSTKLTNFLTSTSNATFVSDSTVVKTGEDFMTANETAYGKSINASDVINPVTSEGDAEAAEIEARDCSGEIDKPIDCTDPEVFHLMMTAAIEQFKDIHFYRFGKPVRGMNDSSCHMAWRFRPKEGKTASLYKDYRSFVISRSENCSLSVVSIGDYHSGGNARKRKRHQKPGFEKTPMGEDDKSSGIQVVGESVNDSLPVVESEKSFDQGRYLLYNGGGERCKSMDHYLWSFMCALGEAQYLNRTLVMDLSICLSSVYTASGQDEEGKDFRFYFDFEHLKESAAVLDQGQFWSDWNKWHQKDGLSISLVEDIRVTPMKMAGVKDTLIMRKFGSVEPDNYWYRVCEGEAESVIQRPWHMIWKSRRLMDIVSAIAAKMNWDFDSVHVVRGEKAKNREQWPNLAADTSPDALLSSLQDKVDDGRNLYIATDEPDISFFDPLKDKYSTHFLDEYKGFWDENSEWYSEMTKLNNGVPVEFDGYMRASVDTEVFLRGKKQIETFNDLTRDCKDGINTCSSSAT >KVI02095 pep supercontig:CcrdV1:scaffold_75:467757:470362:-1 gene:Ccrd_019627 transcript:KVI02095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKPQDYLLQQNSVLSKWMANKKSKLQPAGVAVHLDLVSKVHGLEEVEKSGAKSLGDEITEAQEYDEMEAESSLSK >KVI02058 pep supercontig:CcrdV1:scaffold_75:300860:302398:1 gene:Ccrd_019643 transcript:KVI02058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MATSAIQHSAFAGQPALKPQNDLIKKTGTFTGGRFTMRRTYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAIWASQVVLMGLIEGYRVGGGPLGEGLDKIYPGGAFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLSDPVANNAWAYATNFVPGK >KVI02079 pep supercontig:CcrdV1:scaffold_75:74917:84034:1 gene:Ccrd_019665 transcript:KVI02079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MDVSTTMILTPGPVIDFLKSNQNARDARSIDWVKAKKMLKNMRIKTRHSNREFKITGMSEKPCNQQLFSLRVKKDDGTYEDQTINITVLDYFTKHRNIELTYSAYIPCIDVGKPKKPSYLPVEVVSLSRVFTFPPSIHFVAEPSNNVIIFPWLLALFSCFTSTAMKNYHYDDDPLLASCGICIEKQFAQVDGRVLEAPKLKVGNGEEFFPRNGRWNFNNKLIDRPFSLMEEDQHNRRCGPVVRVEKMFDHILAKLPGAPEFLLCILPERKNSDIYGPWKKKCLSDYGVPTQCMCPVKITDQYLTNVLLKINSKLGGINSLLALEQPSRIPLIHDTPTMIIGMDVSHGSPGRSDIPSIAAVVGSHSWPLISRYKASVRTQSSKVEMIEGLFKPQADGTDDGIMRDGVSESQFNQVLNIELDQMIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQASGPENVPAGTVVDSNVVHPRNYDFYMCAQSGMIGTSRPAHYHVLLDEIGFSPDNLQNLILTLSYVYQRSSAATSIVAPISYAHLAAQQMGQFLKFEDLPENTYGKDSLTSVGPVPVPELPKLHEDVQGSMFFC >KVI02074 pep supercontig:CcrdV1:scaffold_75:126776:130768:1 gene:Ccrd_019660 transcript:KVI02074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 19 MLLKVIRNPNRRTNLVFLPSVRKYFSTSSRAGVDVASKDGEMRIFLVAGEVSGDAIGSRLIAALKKLSPYPIRIAVMGILELLPHLNKFQEHGGMIFAARFGRQGPSAPLHFHYVAPSFWAWKGGEARLKGLSEFVDHVLCILPFEENVCKSHGVAATFVGHPVLEDMLELNSGKATTEKGWEVQGNADVFRSKYGISSGSTILSLLPGSRIQEVTRMLPIYLKTMNLLKGYVEDLTTVIHVAPNQHVQDYIKRAINEWSTSVVLVPGGSPCTKYDAFSASRVALCTSGTVAMELQLARLPCVVAYRAHFLTEWVIRYKAKIPYISLPNILLGSSVIPEVLFRDCTPTKLASLLR >KVI02061 pep supercontig:CcrdV1:scaffold_75:258293:262131:-1 gene:Ccrd_019647 transcript:KVI02061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDFSESTKVVYDRIQKLEPEKVSKIIGYLLLQDHGEREMIRLAFGPDNLIHSLINKAKTHLNLPSNSATSSPVSPPRVNSVSDMPIKFTPFSPGGLSRPSPLAIQVPNRCCDSHGQSLTVYPSSFPEDFRFQNQLHFLPLEDQMEPANGSNFATNNYFYSEPTVGSRTNRRSPSLPESPVKICHYFLKGCCRHGSGCRYSHGNPMLESFSQIFSPGSNEDDNVFSPGSLNKLEMELTELLKSRRGFPVSIASLPMLYYEKFGKTLQAEGYLTESQRHGKAADEIAKYMDYNGDRNEQGGIVAGSRQIYLTFPAESTFTEQDVSNYFKKFGRVHDVRIPCQQKRMFGFVTFAYGETVKEILNKGNPHFVCVARICDSSTLLKKQLLEEQQEHALESERLRFSEMQLAANPTNQPTFGYSLEELQLSEGHRGQMNFSSAEQFGHLLDVLNNGSPNDDRIRQINTKYNDQEKKKNESKCSESGSVIESICRWLNAK >KVI02083 pep supercontig:CcrdV1:scaffold_75:196979:197716:-1 gene:Ccrd_019653 transcript:KVI02083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVLASMRHNIKKMRKSTKVADENMFGEGNGVEFPVIAHRTRQGWNGLSFIYSIIRAPISLLSCLSSHPPHAAADGVWVSGEFARISEINHLMVSDSMRYAILM >KVI02071 pep supercontig:CcrdV1:scaffold_75:349861:351018:1 gene:Ccrd_019636 transcript:KVI02071 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MEELPPDVMADVLSRLPVKTIIHCKLVCKKWQNLVLDSYFVNLHLSKSPPSLVIHHNSEKDLIGYYKPGILKWVEIEDELDHHHLHHDPVTSLDLNLAPSFQKTQILPVGSVNGLICLWQFGPEGDNTYICNPITREYMILPRQRYYREGYAIIVYGFGVSLMTGEYKVIRTFQGDIPPDPYVSSRPSLLEAEVYTLGTNQWRSLGHVPYWLNGFHGPFLNGHFHWIVCEKDDASEKLCTFDLDKETFQLFPSPPIESIEESQIHFQSLAVLKGCLCQSDTYDSEFTIWVMKEYGIKKSWHKEVVIKQAISPDLDWLMWEPMYLIEGLKDGRILMMYCEDKLLSYCPKSKTVENTEIFDRYLSGMAYRPSFLKLENFESERVHVL >KVI02069 pep supercontig:CcrdV1:scaffold_75:406632:407849:1 gene:Ccrd_019634 transcript:KVI02069 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MATVSAISLSVLFLLSLSAAVHGHNITRILAKHPEFSTFNHYLTITHLANEINRRQTITVCAVDNAAMSSLISKGLSIQTIKNVLSLHVFADYFGSKKLHQVTKGSTSTATMYQATGEAPGTAGYVHITDVKGGKVRFTPEDNPSQKDTVYVKSVLEMPYNISVIQISAILQSPEAEAPTAAPDLNLTSLLQRDGCQAFHDLLTSSGAIGTFLSSADGGVTVFCPGDDAIAAFAPKYKNLTASEKISLLLYHGVPVYNSMGMLRSSNGLMNTLATEGAKKKYDFTVQNDGNDVTLKTKVTTATISGTVVDDEPIAIYTIDKVLMPRELFKGVVEADEPAPAPKAAKKKKKAAKKGETTEDDAEAPGPDSSDDYSDDDAADQTASGGGRLVASVVMAICFSWLAFA >KVI02087 pep supercontig:CcrdV1:scaffold_75:158165:165797:-1 gene:Ccrd_019657 transcript:KVI02087 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase 1, replication foci domain-containing protein MASSDEEGEIFPHSVTAYQFLNYSNKPIPFSNLPIHWSDHHTGDSPPVYLLGTSDGDRQSVYKQVIGWKLELLHVMPQVYILSKGKSWIKLLKPKKSYEDIIKTVVIVIHGLHFVKRNLEATGDRILSHLMKTLSSYDVVEPLEQYLSNHVSLIRIAVAKDEDLAKSKENQSRKKSKFIVDEDDIASDEDLDEEAEVLFDPVCAFCDNGGDVLPCEGQCLRSFHPTIEAGVDSCCESLGFAGASQYEAIPTLLCDNCKHQKHQCFGCGKLGSSDKCSVAEVFPCVSATCGHFYHPECVASLLCPFDETVSKKLESRIAAGESFTCPIHKCHRCKGGEDKEVHDLQFAVCRRCPRAYHRKCLPMKHKILPSLLTPKRDHLLFPYIVRKRNQDGSRTKVLKVEISKAFGNLEVSETENTSQMVERRYSSVTFRDPAFEKGKSSLMYEKRPSLEENVSISRNPEYPSSRAQKKPAYREERFASKQAVRTALKKLDDGCSVEDAKAVCEPNVLNQLIRWKIVDFCCGSNDFSCFMKEKLDSMGKKCSYKNYDLIVPKSFYLPGSINAQDQTLDDWNTVSPPLSLWSHPDWTAKHQAVAEKHSHIVKQNQHEGGERVVSNYLMEENHDYYRDFSEYRDISTILDDVPEAPAGGSPPASANPSTIDDEDINVIPDTEIHIQETLDDTADMNASSDMDVSSP >KVI02100 pep supercontig:CcrdV1:scaffold_75:509227:515087:1 gene:Ccrd_019620 transcript:KVI02100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGVECKSSFLIGSVAFRGYKKGTAKLQGLILDMKMVENYTSHRTSSSTKEKFQDDPRSKIVALPLLYRFLILSCCYELVSVGSFDGFPLLERLILAGCMSLVEVCESVGKCDRLVLLDLSGCGKLKKLPRSIERLKSLRTLSIDGCSNLGEFPMGIKDMESLEVLKANNVNIESQVPSSSSSSSSSSSSSSSSSSVIVVPTSLKSFTIPGSLVRLSLGNNNLCNESFPVSFGSLSMLKELDLDGNQIDSLPDCVRSLSRLRGLRLSGCGRLKSVLCAPRTVEYLNVHGCFSLEKVTFHPQMSSWPYVHYRDTKALTEIEGILKKQVISEIDQEMVCRLGWINRQHVNHDKFSTMSDLLKVQGKTLLAQMKCRHVVFTSYFQGNRLPDWITHRSSCLLGFHFTLPSSRKNCRIRGLNVCFVATISSTKGVIIPRTVIRNLTKRYSNKIYGPQRWVAPECNEEGGIVWLSHWMLGNNEFEDGDEVSVHVVNADQNEYVDVKEYGVSVVYDDDDDDGNKKEDPLAEYSKGYDMKYWKGI >KVI02089 pep supercontig:CcrdV1:scaffold_75:186205:187777:1 gene:Ccrd_019655 transcript:KVI02089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQMQVVSATTALHDEKIEEQPIKKPQEEIKNTDNQEPVVVPEPQPQVEHLPEEAAPEPELAAPGPEPETKPEPTSEPEAEAEAEPKPEVLAVEVETIVVVKEEEEEAKVSPVDQAAKVAPEPCVEEVAKETIEPETKPVEETEPTEVGKAEPEEKDDKKEEGVATEE >KVI02084 pep supercontig:CcrdV1:scaffold_75:205760:208842:1 gene:Ccrd_019652 transcript:KVI02084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGSKSSIVFPTFIFIFIVIAQAEVFDITKFGAKPDAEISKLPLNYHGSSMGFLFQALCDAWSAACSATTPSKLLIPSGTFCLNVVEFKGPCKAPITVKIDGTLKGPEDPAVIPKGVQWITFSYVSALTITDSKMFHVNVMSCKNVTFDNFHICAPSESPNTDGIHLGWSKQITIKNSVIQTGDDCISIGDGCEELHIEGVKCGPGHGISVGSLGKNSGEKPVIGVYVVNCTFNQTMNGVRIKTWPDSHQGEVADLHFENLCMNQVENPIIKPSLVKVHDIFIKNVTGTATLPAVVNLKCSTANNGCENVKISDINLTYEGKEGPAFQECCNVKPVYSGKMIPPACSTADE >KVI02090 pep supercontig:CcrdV1:scaffold_75:190218:192587:1 gene:Ccrd_019654 transcript:KVI02090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMGMTVASVKPPAARGRDNVYVAAVPLSATNGPPQLLMSTVYSLRLSWDLQHFMVLSTSSLLPSQVLVFDFQPQEPERVLQMRKMKKLPKTKCWMVGSCNVDVVDAVHKFNSNWDTDLIIGQHDCRHYTNGTKIAVALLKNLGGLDCQPGIMSFYLFF >KVI02076 pep supercontig:CcrdV1:scaffold_75:32041:35375:-1 gene:Ccrd_019666 transcript:KVI02076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, active site-containing protein MFSLSRSSLCDSHTMKVDQEVKQEGGDKCRAIKFVEPLPKLSAMVTGKVDANGDELYPPVNFSMVDYGIYRSGFPESANFAYLKALGLRSIVYLCPEAYPEHNLEFLKSNRIQLLQFGIEGTKEPFVDIPEDTIREALKVVLDVRNHPLLIHCKRGKHRTGCLVGCLRKMQRWSLLSIFDEYQRFAAAKARVSDQRFMELFDASSLKR >KVI02086 pep supercontig:CcrdV1:scaffold_75:224659:225687:1 gene:Ccrd_019650 transcript:KVI02086 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGSRQNVILPNKEGFIGVLEVFIHQARDIHNICIYHKQDVYGKIFLTSDPEATESTRTINGGGQNPVFNENLRINVRNGDCSLRCEIWMLSRVRNYLEDQLLGFSMVPLCEILAENGKLEKEFELSTSELFHSPSGFVKLSITYIGSEPDVMEIPVAHRCVCDQDSEECDRDSRELEKLEFPDPKVMNENEIMVSEYYSNKYGTTETDDSLSSDSNNHIVSGVPEENLETTSLPNVEIPSRNNPEPSEKKEERTQSVVDSLAQPGIGFKSESEQKVVQQEIVDMYLKSMKQFTEALAKMKLPMDTRSDSPDIGGKNGTESNGKESNGEGPNPKVFYGSRAFF >KVI02066 pep supercontig:CcrdV1:scaffold_75:413687:417149:-1 gene:Ccrd_019633 transcript:KVI02066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MAVTQGKWVKLNQKGTGPGARSSHAITIVGEKAYAFGGEFKPRVPVDNTLYVFDLNELTWSVAEVTGDIPPPRVGVTMASIGGTIYVFGGRDATHAELNELYSFDTCTNKWSLLSSGDTGPAHRSYHSAATDDRRVYVFGGCGFAGRLNDLWAYDVVDKVWAKFPLPGDNLKGRGGPGLVVSGGKIWVVYGFAGMEVDDVHFFDPTTEKWVQVETTGEKPTPRSVFSTVAVGKHVFVCGGEVDPSDLGHLGAGRFTQDVYALDTNTLVWKRVEDGSGGGDHPGPRGWCAFSSGRRDGKEGLLVYGGNSPSNDRLDDIYFFTPCLD >KVI02053 pep supercontig:CcrdV1:scaffold_75:552511:554579:1 gene:Ccrd_019618 transcript:KVI02053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MVEEEEERWNRKKQTLIDEISDKMINGDLLTKIQAAREIRSMIRNRNSSVKLRVKFAAAGVIQPLVLLLCSGHHDAREASLLALLNLASRNYRNKEQIVTCGAIPPLVKLLRFQNTDDLRELATAAILTLSAAPPNKPPIAASGAIPLLVQILNCGSVQGRVDAVTALHNLSTSKEPPTIVLDAKAVPPLINLLKECKKYSKFADKTTALIEITTKSQEGRTAITNAEDGILTLVETIEDGSPVSTEHAVGALLALCLSSRSKYRELILKEGAIPGLLRLTVDGTHEAQFKARTLLDLLRESSLEKRPSSSVLEKMVCEIAARVDGSEKTELRDMIERNKKGSCEVML >KVI02078 pep supercontig:CcrdV1:scaffold_75:94762:100863:1 gene:Ccrd_019664 transcript:KVI02078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MPDNRRVQRNGGVANSSSNPVHNIEEAVRPLRIQTDGKADGTAMAGSMAYPDRPGEPDCIFYLRTGMCGYGNSCRFNHPTHIGQANYLGGELPERVGEPDCVYFLKTGTCKFGSTCKYNHPRDRRGAGPVVLNMVGLPMRQEEKACPHYIRTGSCKFGVACKFHHPQPSLDGSISYASTLVPSSGSPPHISGISAWSLPRATYVSDPLLQTPQTYLPIVLPHSQGIGPTQGWSTYMGSLSPVSSASIFGGSGSIDQAYPLTSASNLPERPGEPECRYFMNTGNCKYGSDCNMPIVDPSVFPYGGGRHSSAASSPSKRKGGSRSPSPENAASPSHSGSI >KVI02072 pep supercontig:CcrdV1:scaffold_75:114528:117807:1 gene:Ccrd_019662 transcript:KVI02072 gene_biotype:protein_coding transcript_biotype:protein_coding description:AB-hydrolase lipase domain-containing protein MGYTIIFTIIVVFYFISISKAVRNVNSIDGQDDLSSTSVNQVGICSLLVETEGYMCEEHKVTTKDGYILSMQRIPMERGGKKADKPPVLLQHGVLMDGGTWVLNTPDESLGFILADNGFDVWIANTRGTNFSRGHTSLSPSDPGTLIAFSAFSKDQTLNMLRSAVLLSPIAYLGQMSSTLARAGADAFLGEALYWLGLHEFAPRGKAVVDLLSVICKMPDNDCSDLMTSFTVIRTGTITMYDYGNADDNQKHYGQLTPPVYDMGSIPKDFPMYLSHGGRDKLSDVEDVKTLLKNVNDHDPDKLVVQYKEDYAHADFVFAVNAKEVIYDPVMAFFKLHY >KVI02055 pep supercontig:CcrdV1:scaffold_75:229176:231841:-1 gene:Ccrd_019649 transcript:KVI02055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDLGKLFIGGISWDTNEERLQEYFSSYGEVLEAVIMKDHTTGRARGFGFVVFADPAVAERVIKEKHNIDGRMVEAKKAVPRDDQSTISRNSNSIQGSPVAGRTRKIFVGGLASTVTENDFKRYFEQFGNITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKTFHELNGKMVEVKRAVPKELSPSPSRTMVGAYPYGLGRTSGFLNGYTQGSYSPSVVGGYGVRMDGRFSPVPAGRSGFATFGSGYGMGLNFEPNMSSPNYNRALSYGRGMSPYFVGTSNRFTGPINFDGGNGGNTSFFSSTPRNLWGNGGFNYGSNSAGSSGYVGSGSGVIGGGLFGNSSLNWGSSPIANQGGGIGNSSTQSGNLGYGGGDNSYGLGGGSGYGRNVATSGGAAANGGGGGYEGPFSDFYSGASGSSVYGGDPTWRSGNSEQEGSGSFGGYGIGGDGSDVQTKSSPGYVGIAG >KVI02093 pep supercontig:CcrdV1:scaffold_75:446478:451685:1 gene:Ccrd_019629 transcript:KVI02093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MDNLSFSSSVCIRKLERHEGTFPKIKRFHRFQVEMHQTGSPMKHGINGQPVKLGTNGQPVKLGANGKPVNVDSNGKPIKLGKDRRAIAMVPTSEMMKKMPSSEKRPRTVNVFSRIVNRASRAKRETIPGLVNSREVREPTGFLPSEELKVLPSDESFSWANENYNSVQRTIDVWSFVLSLCVRVLFDDSKWSYPGGFTEGKQISRRRKTASWLREHVLQLGPTFIKIGQLSSTRLDLFPREIVDELAKLQDRVPAFSPTKSKGFIERELGSPVHVLFKEFEDQPIAAASLGQVHQAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQSSENLGSPTRDWIGIYEECAKILYEEIDYVNEAKNAERFSREFRNIKWVRVPQVFWDYTANKVLTLEYVPGIKINELDAIDERGYNRSQISSNAMEAYLIQILKTGFFHADPHSGNLAIDVDGSLIYYDFGMMGEIESLTRARMMDLYYAVYEKDVEEVMNSLISLGVLQPTGDMSSVCNIYLHRHIITENVTTYLQDLFAVATDQPFRFPSTFTFVIRAFSTLEGICYALDPKFSFVKIAAPYAQELLDLKQQQRTGTELIQEIRKQADNVKTYLPKFLLTGITNFQARSHTMSMPYRVRHIEEFVEQLETGDLQLRVRVLE >KVI02067 pep supercontig:CcrdV1:scaffold_75:425501:427881:1 gene:Ccrd_019632 transcript:KVI02067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLAALKLLCIQLKAARRTTDSSQSSISLGPILFQRAWLQGVVISLPSATVGDGRFLVDDGTGVVELTSSNDNLNRDWKLGFFFSYETTTLGLAKSEKLLLQVHKIVDLSAFPHREAMWYLEVLEAYKLFYLPVIQDS >KVI11026 pep supercontig:CcrdV1:scaffold_750:63328:64798:1 gene:Ccrd_010569 transcript:KVI11026 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MATKLNMLPEDCLSTILSFTSPADACRLTIAASSLRSAAESDTVWARFLPSDLPSIISRAHSQLDFSSKKELYFQLCDSVLVDGGIRSFSLNKLSGKKCCLLSARALSISLSNEPNHWSWTSHSASRFSEVIELKTISGIEIEGRISTDNLTPKTTYGAYLIIKVSDRAFGLDSIPSETSVSMNECLVTNTGYLCPLDNKKQQLESLFFMNRRRMMEKRVVKGEGRRPCKREDGWMEIELGEFFVGGKGEEVKMNLMEVKGHQLKGGLIIEGIEVRPKC >KVI11025 pep supercontig:CcrdV1:scaffold_750:30248:30732:-1 gene:Ccrd_010568 transcript:KVI11025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAFESMDASFDHHELGLASLKIGLKLDEEGEYPEKVFYYANKSLKILDEIDNDSSLPLAMNLQLLDSACYNINRFNESLGKVQESVPYLEDATERLKENFGSKHFGVGYVSNNLGPTYLV >KVI11027 pep supercontig:CcrdV1:scaffold_750:28692:29235:-1 gene:Ccrd_010567 transcript:KVI11027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIKVYCYFDVMDILQNKQIKIDSFSCKHHCNVMYWECIQGMKTITKYTTEFLRLSKCIEIVETEGQKVVRYINGLKGSLQNKIGLHDVWTITKAFSLIIKFF >KVH96473 pep supercontig:CcrdV1:scaffold_7502:7248:8147:1 gene:Ccrd_001441 transcript:KVH96473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSVSIEIEIPSSFPADKVFKVFSDFDNIAPKVNPDVFKSIETVEGNGGVGTKKIFTFGDAVPFTTGKYKVDAIDTSNFSYSYSFYEGDNLLGILDSIAHHIKIIPCADGGSIFKQTVIYNCKGNDKPSEEILKAGKEIYEKTYKAIEAYGAAHPESY >KVG28892 pep supercontig:CcrdV1:scaffold_7503:14155:14392:1 gene:Ccrd_026496 transcript:KVG28892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I Ycf4, assembly MGISWKESSGLPPISYKRYSVQVKEGIYARRVLYMDIRGQGAILLTRTDENFTPREMEQKAAELAYFLRVPIEVF >KVH89688 pep supercontig:CcrdV1:scaffold_7508:2057:3973:1 gene:Ccrd_008318 transcript:KVH89688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MWSKPCGRKGSGRRGWPEATNTWEPVENLLSCPDVIEAFEESSRHGKQRSNRKGKRKQSVVPTPQPKKKQKKQSEQSSPVASYDIPTVKVTFIEEPLSVPSVPDANFSNETENNVGATGNNGTTNLLHDTGLLMVSTQIGERQERNELDAHLNQLKVSSSRNQDSLSDVAIHIQEARPSEGVSPVEVRPADGILKVDGTEARWFH >KVI11605 pep supercontig:CcrdV1:scaffold_751:94634:97916:-1 gene:Ccrd_009990 transcript:KVI11605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATYLSIPSSSTSDSPYFYNFRRKIPKFSSNHVFRCCPMSIKQSLPIEEDNGSPDRFLQNNSIADYMRFKKGDSGELQTAVVSYRKKFPWSLLQPFLQVDLVSTIHIADKEYFATLQKELESYDCVLYEMVASRESLENRRYPLAVRKLAKSQARGFNIIGFIQRQMARVLMLDFQLDCLDYEPDNWYHADLDFETFKLLQLEKGESFFTFARDMTLRSTKALVQATSIPEELGPWRSKLLWASRVLPMPLVGLFFISSLCADVGNESADYPEIEALSRLDFGAAMKEKSVIIGERNRAATEALERAINKGHNKIAILYGGGHMPDLGRRLKDEFDLAPSRVQWVTAWSIKNRNLASNSLPFLKKLAEVSGWPLNRYQTLALLIFSSVLALDLWFWELFFGTTVEFITQAVADALQFIDKANL >KVI11598 pep supercontig:CcrdV1:scaffold_751:140878:145819:1 gene:Ccrd_009986 transcript:KVI11598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF502 MGDEKSPISRERDRELLIPVANSVDDDAASSKPSCSSTSSSNHAGRETFVKVVRSWASKKFMTGCVILLPMAITFYITWWFIHFVDGFFSPIYDQLGIDIFGLGFITSITFIFLIGVFMSSWLGASVLSLGEWCIKRMPFVRHIYNASKQISAAINPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVILQNYTGDEELYTVYVPTNHLYIGDIFLVNSKDVMRPNLSVREGIEIVVSGGMSMPQILSTLDSNNKTSSSEEMSRY >KVI11601 pep supercontig:CcrdV1:scaffold_751:173923:178502:1 gene:Ccrd_009982 transcript:KVI11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic QLPLPYLFTCSKKPHALTLRVCERQIIYRSKNFTDFLRTLYKEITDNDGKTILSSEESVVLSLGSTTMLDGLLGRGGFSSKCKSLIKPTRTRIEVLRRRAEAKQRFLKEDLAKLLANGLDVNAYGRTEEFIAGLNLLSCYTFIDQSCEYILKQLSVMQKLGQCPEECRETVASLMFAAARFSDLPELRDLRDVFQERYGTGLECFVNQVFVEKLASKPPAVEKRIKLLQDIATEFSIQWDSKGFKERMNKSSTLAQDQAKKLGSLSVADDKYKLPNGNIVFRKNDQGSKERIEHAHKEPKLHNVGDVNVRNIPFVGREEKRPKERIEHAHQGAMLHSDGNVHARRKEVDIPIVERDEKRGYKREVLISKAENDGYFSKAKQEYASEENDIPSLKSSGSSYRDRKPEVFDDAKVNKGSKERHGYKQESVTNRAETIETENNYGYFSKAKQESASERNGFGNNDTPSLKSSGSSYHDRKAEVFDDARMSKGRKERHGYRQEPVTDRAETVETENNDDRLFRGRQEFAAKKHGSSIGADHTPFMIKSPRSSRDRRPEIPDNNRVNGDGEVGIKSTRKVQEEEVLKLKSCYNGSLPPPPPPYVKSNIPPPYSKPINADKIHKKQDSPYHKHGGREDLPPHIVTNSDHGVANDVLLPKPRSIRRKHSKSSSSHDDVGSSEDARIVKRISSSRRKERKGLQILFDDEHYHKDEEEKMMDKLLLHYSKKPSAYDVGKLRKKKSSKSPHHRSMDEAEMIAPPTRSISLPHEQSGESESKKVYARANSFQPDNQAAHVHPKLPDYDDLAARFAALRGG >KVI11602 pep supercontig:CcrdV1:scaffold_751:126440:131013:1 gene:Ccrd_009988 transcript:KVI11602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MASRRRMLLKVIILGDSGYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVKKSFDNLDNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVAVKLLLLVLSVFPVCIKVCDLIPKFQVSEKKARAWCASKGNIPYFETSAKEGFNVDAAFECIAKNALKNEPEEEIYLPDTIDVANGQQQRSSGCEC >KVI11596 pep supercontig:CcrdV1:scaffold_751:131196:132924:-1 gene:Ccrd_009987 transcript:KVI11596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MEQMKERFTTLLLGEDMSGRGRGISSALALSNAITNLAGNSLLLFQPQIQLDLLLLLISLPILQLLSLENKVNWLQFHQMQKTDGENKSIGSSPPLITSCMFQGCLESFKDHKEFWYVYKDADESEKWWLPIVKVLPGGLSDESRKWMQHQKDCCTQVLKASMAINAQILSEMEIPETYIDNLPKNGKTSLGDSIYKTITDEFFDPGEILATMDMSSDENVLDLKNRMEASIVIWKRKMNQKEAKSSWISVERRELFEERVETILVLLEQRFPGLPRSSLEISKIEYNRDVGHSILESYSRVLKSLANRVMNLIEDVLYADEVAQNPSSGMTKKNQSMDSVVASPRAIRALDQTPGHTPRGIPRSMTLSGSMGRGLDQGEMETNGNSNADLMEIRIKPAKILTKAKMSYLEKLEAYGLRSPTARH >KVI11604 pep supercontig:CcrdV1:scaffold_751:55278:56057:-1 gene:Ccrd_009991 transcript:KVI11604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MDNRLKLKVSKMFHSCRSKDNFDVSDQPFFFPPENYHHRQLIHLFSPKPHSFIHNQKNEPKSHLLRPKTFNTTTSFPANTVCRRPEKTTKKKPHYRKPRKIQDFSSFTDNYYYDWRSSDEEDESDDGTTLFSSRSLSSESSGTFLRNRAHRKSQKKPKRISGGHGCACGCKNLETTNVTPLESSGKLVKDSFAVVKKSSNPHEDFRVSMVEMIVEKQIFGEEDLQNLLQCFISLNSEEHHRVIFEVFTEIWEALFSASV >KVI11600 pep supercontig:CcrdV1:scaffold_751:158951:162444:1 gene:Ccrd_009985 transcript:KVI11600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITCDKVSFVVMVMNNPLADHTVNLQANDDYNCIYSMNHDYNNTAFLLRLSVNHSEKALIMALKKMESGFKPFASTLERREGMELKRF >KVI11599 pep supercontig:CcrdV1:scaffold_751:160388:163686:-1 gene:Ccrd_009984 transcript:KVI11599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGEFGKERLHSVIINKHRNGPVTSKSKVQASSTMETHLHEKAHFGKVSAVVSSRTHSRCNTCLENKNNSCQTVRSRTKLMKILIERKKPQEVQSIMKDLIEDGHIPTLVTYTTLLAALTLQKRFNSIPSLLSKVEANGLKPDSIFFNAMINAFSESGNIKEAMKMYKKMKDSGCKPTTSTLNTLVKGLGIIGKPEECMKLLEMMTVEEKVKPNDRTFNIIAQAWCSQKNITEAWNVAQKMVAFGIKPDVVTYNTIAKAYALNGETYRAEEMIFQMQNNKVAPNKRTCGIIVNGYCREGNMMDAALELMEEFGVKPDVITFSTIMNAWSSVGLMDKCQDIFDDMIKAGIEPDIHVFSILAKGYVRSGEPEKTKGILQVMKASNVSPNVVIYTTIISGWCSAGKMDHAMEVFDEMGKMGISPNLKTFETLIWGYGEARQPWKAEELLQIMEEKGIIPQKNTVELVAEAWRAIGVDNEVKRITDPIEEDDENDDDEIADSGIKSRSRIVVERSKFSPESFSSGTRKSILLAHTCRFGVKPMSVFQKQVQVKMQVAFYGHMNTCKLVF >KVI11597 pep supercontig:CcrdV1:scaffold_751:164068:168878:1 gene:Ccrd_009983 transcript:KVI11597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAICTSVHSFTPTLTSISPPSPAVLVGTSRLSSSSCIALSSVSRFPRCAPAYRRASASMVVCMAPDEERLTRRNPLDFPVEWERPKPGRRPDIFPQFSPMKTPLPPPLPYDPPAEDEEEEEEENKEEEEEDPDKEQAD >KVI11603 pep supercontig:CcrdV1:scaffold_751:123191:126189:1 gene:Ccrd_009989 transcript:KVI11603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSSPPPGTSPAPTAPATPPPTTTTTPPPAASAPPPSTPASPPPASTPPPSTPASPPPVVPTPPTPVSSPPPPNTPAPSTSPPAPPTTTSPPPSTPTGPSPPSPSGSTPTNPPPPTPRNSPPSPPGRTTPSPPPPRSVSSSPPAAPSSNSSSGISTGAVVGIAIGGVLILAVLSIFFICCKKKKRRSYGPADFYVPPPPPPKVDPYGGQPQQWQHNAPPPGDHVVMMPPLKPPPPMAARPPQSPVRSPPPRPPFMSSSGGSGSNYSGGSDLHPPPAPVLSLGFSKSTFTYEELAMATDGFSDANLLGQGGFGYVHKGVLPNGKEVAVKSLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGAQRMLVYEFVPNNTMEFHLHGHPKIIHRDIKAANILLEFNFEAKVADFGLAKITSDVATHVSTRVMGTFGYLAPEYAASGKLSEKSDVFSYGVMLLELITGRRPVDSANTFMDDSLVDWARPLLTRALEDGNFDAIVDSRLQKDYNPSEMARMVSCAAVCVRHSARRRPKMSQVVRALEGDVSLSDLDEGIRPGHSSVYTSHGSSDYDTTEYNKDMIKFRKMALGTQEYASSEYSRPTSEYGLNPSGSSSEGQNTREMEMSKLKKDSRGFSDGF >KVI11606 pep supercontig:CcrdV1:scaffold_751:6523:8980:1 gene:Ccrd_009992 transcript:KVI11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MMEEVEFKKVHDPYLQSKMTNSDTPLHCVWVPGPIIVGAGPSGLAAAACLKNHNVPALILEQANCIASLWQFKTYDRLRLHLPKQFCQLPLMPFPVNFPTYPTKEQFLAYLESYAENFDLKPIFNATVVSAEFDSRCGFWRVKTKVTEYVCRWLIVATGENAVEVVPRLEGMNEFNGPIVHTSSYKSGEGFEHKSVLVVGCGNSGMEVCLDLCNYNAFPSLVVRDSLHVLPQEMFWVSTFGLSMWLLKWLPVQIVDQLLLFVSRFMLGDTSHIGLNRPKLGPLELKGISGKTPVLDVGTLAKIKTGDIKVYPEIKRLACNVVEFVDGRKEKFDAIILATGYRSNVPTWLKDLNLFSEKDGFPMKKFPEGWKGKHGLYAVGFTKRGLLGTSIDASKVAKEIAGQWNNSHRKCEILQGRKTSIDS >KVH96935 pep supercontig:CcrdV1:scaffold_7512:3621:7417:-1 gene:Ccrd_000972 transcript:KVH96935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNQKRAKAVDRVDSVDTGAASSESTRMCPFPDEVLERVLSLIGSHKDRSSVSLVCKDWYNAERWSRRHVFIGNCYSVSPEIVAARFPRIRSVTLKGKPRFSDFNLVPEDWGADVHPWLSVFSTAYPFLEELRLKRMAVSDESLEFLATNFQGFKALSLLSCDGFSTDGLKAIATNCKNLTELDVQENGIDDLGGHWLSCFPESLSSLEILNFASLNSEVSFDALEKLVSRCKSLRVLKVNRNVSLDQLQKLLLQAPQLMELGTGTFMQELVSGPVTELKNTFSNCKHLVTLSGLWDATPLYLPLLDTVGDKGLEAVGSCCPLLEELRVFPADPFDQEIFLGVTESGFVSVSRGCPKLRYVLYFCRQMTNAAVATIAQNCPDFTHFRLCIMNPGQPDYLTKEPMDEAFGAIVKTCTKLQRLAVSGLLTDRTFEYIGKYAKNLETLSVAFAGSSDLGMEYVLGGCPKLRKLEIRDCPFGNAALLSGLTKYESMRSLWMSACNVTMNGCRELAKEKPRLNVEVMKDEGSDDSQAHKVYVYRTVAGPRRDAPPFVLTL >KVH89827 pep supercontig:CcrdV1:scaffold_7514:1129:11411:-1 gene:Ccrd_008179 transcript:KVH89827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MRTALGGGGGGVGGEAWKAHVAMALVQLFNGGYHVITKVALNVGVSEIVFCVYRDVIALSILAPVAYFCEKRTRPAMTRRFLMYFFFLGLTGIFGNQLLFLVGLGYTNPTYAAAIQPSIPVFTFILAAMMGTETVNVLKTEGQAKVGGTLVCVSGAILMVLFRGPALLGYADNVPRQHNEISASGQPGPAGWMFSNLASFGIDNWHLGVLCLIGNCICMAAFLAIQVALVMFSVNYIGYVELTVAPVLATYSANLSVTAYSYFFGTLFMVATAVSMNNDSTNWNLTQSEVWAVIYAHFRRIVDHNGVISRDLGIVLGKTTGDFKRRPFSDVGATDGSDLVWAFTIGT >KVG28696 pep supercontig:CcrdV1:scaffold_7515:6737:13774:1 gene:Ccrd_026497 transcript:KVG28696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLYPSIKPSPSSSSLSQSSQVFASRILLLLTLLPLSLAAFAFVLQWRGEISDPITRWSSINGLEFPGMDITTTSKVTTPTSSNCADILGQSRSISFPYFRDWKFNLESDLNPKICITSSTSAGLEQILPWIFYHKVIGVTNFFLFVEGKAATPGVSKVLESIPVSLIWLSESGMRLGCQASSTNHATMSYSSSNLLIWKWLLSWQEYVVLSSVLKLFQWSMHKHDSMKFLILSIYFKEAHMEWIIHLDTDELIHPAGTREYSLRQLLSDLPENVDMVVFPNYESCVERDNIQEPFSEVSMFKKNYDHLMKETYFGNYKDATRGNPNYFLTYGNGKAGARIQPHLRPNGAHRWHNYMKNPNEYKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKEEVKRCFMLEFDRDVSIDASPLCFYNCINCNGGGDAKLVIMQGLREAGVYSSLIESVQTSSWRDPFSSSDEIISNSSKAIDAAGGVSSRKIGNKEPRIGIRSVLQAADIDLSAMPPLSPPVMDDIHRYT >KVG28697 pep supercontig:CcrdV1:scaffold_7515:13894:15169:-1 gene:Ccrd_026498 transcript:KVG28697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDSIINSFILPGKVVLTYDDFQALHRSTDDLFFHLHDLRNQAIGDKDQDEERRVHARANGHHDYGIRVGVLSSY >KVH89101 pep supercontig:CcrdV1:scaffold_752:25940:27517:1 gene:Ccrd_008913 transcript:KVH89101 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDVSIALLLFTAVTAYLLWFTFISRSLKGPRVWPLFGSLPGLIENSDRLHEWIADNLRACNGTYQTCICAVPFLARKQGLVTVTCDPKNLEHILKTRFDNYPKGPTWQAVFHDLLGKGIFNSDGDTWLLQRKTAALEFTTRTLRQAMARWVTRAIKNRFCPILERAQVQSEPVDLQDLLLRITFDNICGLAFGKDPQTLAPELPDNSFALAFDRATEASLQRFIFPEVIWKMRRWLRLGLEVSLSRSLVHVEEYLSSIISTRRHELSTQLNGSLHDDLLSRFMRMKESYSDTFLQQVALNFILAGRDTSSVAMSWFFWLVIQHPKVEENILKEISSVLLETRGDDVAKWTDEPLGFEEVDRLVYLKAALSETLRLYPSVPEDSKHVVSDDVLPDGTFVPAGSSVTYSIYSAGRMKSTWGDDCLEYRPERWLSPDGTKFVRHDSYKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLAVVPGHKVEQKMSLTLFMKYGLKVNVFRRDLREIMESVKKEKEGGK >KVH89093 pep supercontig:CcrdV1:scaffold_752:181601:184938:-1 gene:Ccrd_008927 transcript:KVH89093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEHVFQTESSWESSTAERQKSFDIGKEEQVQETSKAKKEVVRSQICSVYFPLQKKDPFSPLSKMMNMKPGRGTIDPLTPNRGLFLSTLGSHQPTIIRVATDLRRSEHRYARLLSCLRTGITRQLVRLEQHCMRTYYPYSRTRGETTSRSTYYSPRIKIFFLVVDTGKTQPDDVCWLVVRGLLVPAYPKGRQFPLTQSTEIPRNPWWISPTTQQQCGIFFSSVGSNL >KVH89095 pep supercontig:CcrdV1:scaffold_752:176570:178622:1 gene:Ccrd_008925 transcript:KVH89095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPYVVNELILMLGLVASKFGNERSLPKALDYEDDPAHPNIIYEEPDDEASSSDKDVSDATLPAIKTLSIYIFVFWRVRXGGTKERCFLSVKIRYPPPMLLRSAYRRIERKDPGPEQVXLGYRAVSAVGGDKGRAXLRSAAPMVPYEVSIGLIIIVRLAAKRNRKARTSHMQGNLXVWFWPGTRYTVLICVGPRNSSEIVMAQKHIWSSIPLFRVLVMFFISXLAETNRAPFDLPEAEAESVAGYNVEYAWDAILNSSLLAEANVPGTHSD >KVH89094 pep supercontig:CcrdV1:scaffold_752:180179:180646:1 gene:Ccrd_008926 transcript:KVH89094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 MRDASGKEKDRSWRLAYIXTNVIPITSNLFYTTIYCSDVFTTIFFEADSSQCSFYRYWERKRIMFTLNFHYEDVSRQDPLLKPNHANVMEVPGSCKIKVVPKAAPSDFIMKNGKLAMEIPCGQKLIQKQRVSTGKSFRFNPFFGSNKDKKDMSMI >KVH89099 pep supercontig:CcrdV1:scaffold_752:29627:41524:-1 gene:Ccrd_008914 transcript:KVH89099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAIGAVISHRNLPSFIGSGKIYPPEQATAYLRADNLSFSSAQYVHRNMYSEKLYSLKKIYFVSGYWNTISSHGLDPFTGNALRSRTVLSSDRVHPYTVPQESKKFQMTNHRRRARGICRCFVSLPSESCNWIKPVQLQNISICNRKPLQPRHTTINRTRADYKSEEYDVTGTDLDSFVASEAGASEAALVGGMQETKPWWEQFAKRFVIVLLCFSAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQIVGGIWADKIGGKQVLGFGVIWWSVATVLTPIAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVIGLGVSPILIQKLGWPSVFYSFGSLGSVWFAFWLSKVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSRGLSITTVRKIMQSIGFLGPAFFLTQLSRVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQNGSWDDVFKVAVILYLIGTVVWNLFSTGEKILD >KVH89102 pep supercontig:CcrdV1:scaffold_752:70404:82890:1 gene:Ccrd_008916 transcript:KVH89102 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MSEPDVIKPEMMRSYIWLQTADGSIQQVEQEVAIFCPLICHEIHAGMGSSKTYPISLPARVNPVTLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTNRLCELTSAADSLQLKPLVDLTSRALARMIEGKTPEEIRETFHIPDDLTEEEKLEPLKNITDDPRIRLLNRLYARKRKELKEKEKLKNVEVEAEHVDDRSVDELLSFINGENGVKTVKNKKKNRRRKDQQKNAPESNGISSVGHDDRVKGHCLPNINELLKLQDSEDDRFGLEDEFDDCDIGDGIDPEIKEQIDREVEDFARRLNSDWPERVQELLSLGQERRPSLYTVNGNGCSARRSSSTGVEKHEK >KVH89089 pep supercontig:CcrdV1:scaffold_752:145092:145493:-1 gene:Ccrd_008923 transcript:KVH89089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLYLGIGRGNIACHKSEVRFGTLLQEGRLASRAFRDEAFWRSQVNFGPPNLTIEEKALWYGLKGSVYVITLPAFQRCLEDGPDATEQRPGSGFPTSAGGGCVQETAISRHITTKARRDLGRKPDWESEDP >KVH89097 pep supercontig:CcrdV1:scaffold_752:47473:50843:-1 gene:Ccrd_008915 transcript:KVH89097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MLVAIEAGSLLRGLVLLLSFPIIAIAYIFISEALAIQMLIFISFSGIKVKDIELASRAVLPRFYAADVRSDSFEVFDSCERKVVVTANPTVMVDAFVKDYLGAEKVLGTEIEVNEKTKRATGFVKEPGVLVGKWKKLAILNEFGENSPDIGLGDRKSDHDFMSVCKEGFMVPKDESAAIVSRDRLKTRLIFHDGRLVQRPTPFNALITYIWLPFGFILSLIRVYFNLPLPERIVRYTYGMLGIKLVIRGNPPPPPSPGTPGNLYVCNHRTALDPIIIAIALGRKPFCVTYSVSKLSRFXSPIPAIALTRDREADAARIKELLQKGDLVVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNLKQNMFNGTTVRGVKFWDPYFYFMNPSPTYEITFLERLPEEMTVKGGGKTSIEVANHVQKVLGGVLGFECTNLTRKDKYLLLGGNDGKVESMYGKKAN >KVH89100 pep supercontig:CcrdV1:scaffold_752:121513:122864:-1 gene:Ccrd_008919 transcript:KVH89100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTELFANNTGFVGEAKVYVEEILDEGNETGFIELTPKPYNVVLDDETYKGEVKIGLKFISNTVINERGRGLADMEGGIDDTVWKKLVDFCKMTWLSLPVLGRKLNPDEYKRI >KVH89090 pep supercontig:CcrdV1:scaffold_752:132853:133302:-1 gene:Ccrd_008922 transcript:KVH89090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLVARLRPRSYYFHLSRLRYSLMTDMSLKESDFVPPIFRIPRMGRPILTSFMRSRTTKPPPQIKMSPTQLSRQ >KVH89103 pep supercontig:CcrdV1:scaffold_752:99728:103703:1 gene:Ccrd_008917 transcript:KVH89103 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MFVDRDLKKQNDTKKVESFDLECSSRVSNRKHPEKTSKKSVDHEISISRQVSAPKASQYHDLEELVKEILVIHQKKNNEQQGDLNPGAKRSFPIVEEKLIAAVEVLLNEKSSNGDLKQIRHSKEMFQMLSSNKEMFLKLLQDQNSILLNEDQKSKSKSMAGSNLLEPEEPVRKNRKFFRRRSKSQECISLNGKDKIVLLKPGSPENRVPIGNDIHTDGNGSQFSFMEIKRRLKQAMGKPGPGERSGKTVADGGWSSPNRDHFYTERFARVANGLKTGDRVSKLRDSETINGDANHRISNIYVEAKKHLSEMLTSGDEDAETMMRSLPKSLGRILSLRDYSSVSPGTSPREDLRTVNKRILEIISLPSCDQEQPEQMEDFDVSREPCGSSINDDHEAVIFDGSNEERSSESLKTDLPDENESSTSRTRIEPSLAGKNEELEITPGDRAGKPSPISVLEPLFSDDDVSPARTVSRPVEAAIQPLCIQFEEPVCVSKDQQIRITDSADTEESAFEYVEAVLLSSDLNWLEFEKRWLSAVQILDPSLFEEVETFSSRARYDQRLLFDSTNEALEEVCDRFIPESSFIKPKVWPVPKGMDLINEVWSRLESRLGKVYPRDLNKLVRNDLETSRTWLDLRSESRQIVIEIEESIFEETMDDTLFEFIQDIRD >KVH89098 pep supercontig:CcrdV1:scaffold_752:108555:115817:-1 gene:Ccrd_008918 transcript:KVH89098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE DVNSCSSENHSPVCWPATGRSPYRPALSRFGLMKQNNKFEMDDKPKNHEPMDLGICKNEIFFGAELEMMKERFSKLLLGEDMSGSGKGVSTAVTVSNAITNLYASMFGQHQKLEPLHPEKKMMWKREMNCLLSVCDYIVEFIPASQNLQNGKAMEKNERKPSRDHDILESFEETEFWYVEQGSMSANSRSGSFRRIPQPQRKDEKWWLPVPCVPSEGLSEKARKNLRQKRDSANQIHKAAMAINSSILAEMEIPHTYIVSLPKLADRVEASMYTWRRKACASHSKTSWEMVKQHVAETERSDKNVVLAERADRCGTSNTRELLKGVGSILTDYASIKDHFKTVLSSCAVGSIASLWFLQRQDSETCSEMD >KVH89096 pep supercontig:CcrdV1:scaffold_752:167811:176355:1 gene:Ccrd_008924 transcript:KVH89096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPCGQKLIQTQRASTGKSFRSNPFLGSNKDKKGYILHSNVLVRFSARNTGKVHSILDGNGVLRILPGTGKSFLDGHLKAHHDLHATLELDQLPMNKSELPQQK >KVH89091 pep supercontig:CcrdV1:scaffold_752:131531:132792:-1 gene:Ccrd_008921 transcript:KVH89091 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, subunit 1/F420H2 oxidoreductase subunit H MLFISQNQIPPPQMLLRSAYRGIERKDPGLEQDGLGYRAVSAVGGDKGRARTKHYDLQLKWSLMKSLLPCGRVWIREGNRSDVPLTQPGNGPGRTATLGMSASRPKAHFERQSGIKKHGRATYRETCTCGSGRGPRYTVLICVGPRNSSEIVMAQKQIWSGIPLFPVLVMFFISCLAETNQAPFHLPEAEAE >KVH89092 pep supercontig:CcrdV1:scaffold_752:128301:131508:-1 gene:Ccrd_008920 transcript:KVH89092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMRSLIVHYWWKPMSRGLILTETRGGEAKQRERLARALLKRPFTKSIEGRGFLPCYQKGGWVKQTPSLDEHGLSQVEPGCAAGCSDRKEISRAMPRDEKYLHVFEILPILDTLLQCSGFKLYLSAAGCFSTTLNSTP >KVI08115 pep supercontig:CcrdV1:scaffold_7522:6302:8613:-1 gene:Ccrd_013516 transcript:KVI08115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAKRPSTSNFPPPHSLSYANCSFPPPEKPTTTTTGSLYMAAATATAAAAIGSPFSKCNIKSKPWPSDPMFLRITSTVPMLQVSSSLSHSHVRTEPIPISGKWRVMKISASVAQEEAAVTVAEETPEPTEEVVVKEEEEEVSGDGDRTEANSSVNTKLYFGNLPYLCDSAQLAGIIQDYGSPELVEEYGGRTLRVNFSDKPKPKEPLYPETEYKLFVGNLSWSVTSESLTEMFNSHGNVVGARVLYDGETGRSRGYGFVSYSTKSEMETALQALDGMELEGRSMRVSLAEGKKQ >KVI08116 pep supercontig:CcrdV1:scaffold_7522:4146:5426:-1 gene:Ccrd_013515 transcript:KVI08116 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAPAKPHQLLEITIMSAQDLQPVARKMKTYATTWLHDNHKLSTRIDNKGGNNPTWNDKFVFRVDDEFLRCEASTLTVEIYSTHWFRDSLIGTVRVLVGNLVPPSQSFKPPYNGGMRCVALQVRRPSGRTQGVLNIGVAVLDSSMRSLPLYRQLSASAVGFRDLMGMEDDESQYDPKDPVPFVKPKLFRSKSEKSSMLDGSSVANSSMVAAPLKIQKQGSMLSESELWGSLMANGKIGKSSSVISGAEPQEKSKSKPNGKLKKANSVISSSTSNTNYIFRPPPKPYSMLSGSEIEPSSSEAMSTMERRYPLEDDMNSSILDSWSLNESEEGLRSKLDRWRSQLPPLYDRRFSSTTSSTISRRKKRHTDGGDNGVFSIFWNICGYECQCVCGQPSSAKTTKAKPRNPRLRSSSSIESGSNRSNSSMSF >KVG27880 pep supercontig:CcrdV1:scaffold_7523:2322:2926:1 gene:Ccrd_026499 transcript:KVG27880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MELVNVVKRILIWKRSKFAPCASAAQDENASVSGRCCAQVKKLGRNPKCLCAVMLSNTAKSSGIKPEIAMTIPKRCNIADRPVGYQCGAYTLP >KVI08072 pep supercontig:CcrdV1:scaffold_7524:804:11472:1 gene:Ccrd_013560 transcript:KVI08072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like, KLP2 MPHVSEISGDFKTRFGYQEHCRPSESMQPSVRGTPDLLKSVLKETPFNSSAVSSFRDRGDYSSVTVNLNDSFEFREDPSFWKDHNVQVIIRVRPLSNSEIAVQGPSRCVKQGSSQSITWTGPPEARFTFDHVADEHVSQIFQVHHLCASFLTLEMLFKVAGVPMVENCMGGYNSCMFAYGQTGSGKTHTMLGDIDGGSRRHSVNSGMTPRVFEYLFTRIQKYICYAYNCINHYNLIPELLVIITVDSLVCFVHPTEVLQDREARREEKIQYTCKCSFLEIYNEQILDLLDPSSTNLQIREDTKKGVYVDNLTEIEVISARDVIQQLIQGAANRKVASTNMNRASSRSHSVFTCIIESKWVSQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNVSNGKSLHVPYRDSKLTFLLQATINEDASGDVLAMRMQIQQLKKEVSRLQNVINGGTENHDDDAWTVSFPGSPGSFKREKDKDITLQALTAESQAAMQLAKQREDEIQGLKMRLRFREAAIKRLEGVASGKISAETHLLKEKEEHLKELEVLRSQVDRNQEVTRFAMENLRLKEEIRRHLLEALEWKLMNELEASHVKEKSFDLLMDSQRDGDLFSLKDPITPCRTEKTQFEFPLITTPNVALSDQRELQTMVDAIAAASQREAEAHETAIILSKENDDLRLKLKMLLDDNNKLIELYDRAVADSQQNILRAEESKKDHVDYNEFAQKELEMKRENENLEHQLAEMHEENDKLLGLYEKAMQERDELKRLVCSSEQKSRDGANCVEKLVEVDGGDEVQLDDSAHALLGGSHLVEVPVQDEHSRCSSPIIREYLESNEPSTSSMEVEIVEKFSSCDEPKMQDRFVDTINILREKLDKAQELLSKSSENVTLFSSLEREIIDIDRLSREIQALEGVVQMKRKEYDSSNLVICDLKERENMFDRKLTAVRYSMANFSSSLGYFEQREAQAKVRTHSASSHLKLKKMEFARLQASKAETERAQMKIKESEVELKNNMASLKQKIEEEKKKREKDTVLLAIDNVENSEVHRNWQLGGKATELLKSEEEKTKLQLQMNHDREKLEIVREEGEKLSRKLEEVDQEMQVAEMEIQKCTKSMEEMENKLQSIVHERKVVSDMKETGRNEFENMLIEFVQNVFERELKEEETRILREEMDMEMKREEGLKEERSRNMQKMSHMVEETTRGMLSEKLVQDLESICSSFMELSSVVEDLQSNSMAIIN >KVG27378 pep supercontig:CcrdV1:scaffold_7528:2561:3259:1 gene:Ccrd_026500 transcript:KVG27378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTANVAGGLSMNKKTKGRKKIEIKKIQEPNSRQVTFSKRRAGLFKKASELCVLTGAQIAIFVNSPGGRVFAFGHPNADLLADRYLNENNIDTTTTTTAVQNYQPPLPAMYQFNQHYVEVTRELEMEKMRRELIPAERSGGSQWYEEAVDGMEVEELEQYLYSLEQLKKKVVMRADELMMIKKTPTLLGSNLFNQMGWNNHIQTMDIPTTTTTTTVHHGGFNLHHGGEIGKF >KVG27377 pep supercontig:CcrdV1:scaffold_7528:3709:12804:-1 gene:Ccrd_026501 transcript:KVG27377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF966 MEELQAFAKERSFKRKLMVAQVGSRDGGGQVRRVHIVYFLSRNGCTEHPHLFRVHHLSRNGVRLRDVKSWLSELRGNNMPEGFAWSYKRA >KVH96921 pep supercontig:CcrdV1:scaffold_7529:9095:13767:-1 gene:Ccrd_000986 transcript:KVH96921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MEESDPPFVEFYKNLFDNQKTNDIGVFDDVEECEVPTIDLSQLHQGGREAADCKREIAEASQKWGFFQVINHGVSLDVLEKMRCEQMKVFKKTFYDKANNHCNLNFPAGSYRWGTPSATCLRQLAWSEAFHIPLTDISNMGGLTSLSTTMDQFAMMVSNLAENLAEILAEQLGHKSNFFKENCWPSTCYLRLNRYPPCPVPSQVFGLMPHTDSDFLTILHQDQIGGLQLVKDGKWIAVKPKKEALIINIGDLFQVLPRFCCYFLQTYIIQVSLFSISVFFNLFQAWSNDVYKSVEHRVVANKHRERFSVAYFFCPSYDTVIQSCVENSIYKKFSFREFRQQVQDDVKRLGYKIGLP >KVI00979 pep supercontig:CcrdV1:scaffold_753:55464:55816:1 gene:Ccrd_020764 transcript:KVI00979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HRDHTHDPGVSLVIIVCEGCLDLEKVANFWLGTLLTDRSEDIYRMKGLLSIDGMNERFVFELIMLNVRGCPIYNEEEYTTHT >KVI00978 pep supercontig:CcrdV1:scaffold_753:43991:49096:1 gene:Ccrd_020765 transcript:KVI00978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MDVFFSDFDSYSESSSSSEDQENDEFMYGGQASSILSNLEDTIGKIDDFLSFERGYVEGDIVCSVADPSGQTGKVINVEKVVDLENIYGNKLKNIDSRKLQRVRSISTGDYVVAGPWVGKAGRIVDCVTVWFDDGTKFKCTTMDDEKLIPLSSDPFDDPQLPYFPGQRVKVVRSSIFKSTRWLCGTHRDEEQDEGTVYAVDAGFVYVNWLGCAQFDLEAPPSLQSSQHLTSLSCFSHSNWQLGDWCFMSNLCSGSQEIFVIVKTKTKVDVLWQDGSESWGLDSVSLGPVNILDAHDFWPHQFVLEKGTSDDQENKKWGVVKIVDSKEKTVKVKWETCSQKEENGIEEIVSAYELTEHPDFSYCLGDVVFGLEKGEKSCLIGIVIGFIDGGVQVAPNDIFRMDKCEGKLATSLLDDENTEPNQEKSERDDLALNLKAKDLPDFTDDGKDCFKSLCDSKTFDVPRAAIGFLSNVAACLFGSPTYTSLSPTSGQGSFDSEEESVVSLETYKEAMIKENDDVSKHVSSSSSSKNLQLEKFEMVSDCSTHHFVDRAGKGLISNQVKRGWLKKVQQEWNILLKDLPASIYVRVFEERMDLLQAAIVGAPGTPYHDGLFFFDIFLPPEYPQEPPHFEALVEEHFRRRCTYILMACKAYVQGVPIGYAFKGEYTEPIGQTKSSTGFKIALSKLFPKLVEAFSIKGFDCADHMEM >KVI00980 pep supercontig:CcrdV1:scaffold_753:5832:6715:1 gene:Ccrd_020768 transcript:KVI00980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHEEAGCIERCPSVERCNVSEDAKKYQVERATRRELKLGDHLRSPFVIRAVDLNVTPEERKIHEWAVAGLGGKYELLFSTANDTTLHRQAIESLGRTTTI >KVI00975 pep supercontig:CcrdV1:scaffold_753:157475:158839:1 gene:Ccrd_020760 transcript:KVI00975 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF3700 MLGIFKKELVNAPKELISSHQHQHQHQHDQKQDPLNHFLSSNLNALSMTFGDVSLAFSPSSQTPLPHQRLFCSVDDIYCIFLGGLNNLCALNKQYGLTKLANEPMFIIQAYKTLRDRGPYPAHSVLKELEGSFGFILYDLKAKSVFISLGADGGVKLFWGIAVDGSVVISDDLGVIKSSCFKSFAPFPTGCMYHTEGGLMSFEHPKQKMKAISRIDSEGAMCGATFKVDIHSKTQTMPRVGSEAHWTFHSLN >KVI00970 pep supercontig:CcrdV1:scaffold_753:177838:182603:-1 gene:Ccrd_020758 transcript:KVI00970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MASSLTTIEALVAKLKEEMFSKQKNDHHLHYSFISPSAYDTAWLAMIPNPHELNTPLFKGCIEWLLNNQNEQGYWGESANGDLPTIDALPATLACIVVLQKWGIGAKNIEKGLEFIHANMEKILHDHHCLLPRWFFIVFPATIELAKASGLELKFSDHMKSLISQICAENQQILGISYMDQELVQENKNFIPAKLYKDSLAFRLFRLHGFNISPKTFCWFLYDEEILDHLENNCGRFTSLLYTVYKATDLIFFGENEAEEARSFSMKMLQKISTMKNIVDDDVVILPNLSKVIEEELSVPWIARLDHLDHRMWIEQNKQGPMWIGKASFYRWSKEWGLTEMGFGREKTMYCYFAVAASTSLPHDSIIRMLVAKSAILITVADDFFDMKGSLEELQVLIEAIHKWDGKALSGPSKVIFDVLDDLVRYATNSLVLDEKIDVTEDFRDLWRETFNSWLTETTWGKSGYMPSVDEYLQTGMISIATHVLVLTSSCFLNPSLSKNKVKPSTYENITQSLMASARLLNDIQSHQKEQEEGKMNLVFLHLKENPEASIDDSIDQAQRLLEWKRKELLKHVFTDDNSDFPKQWKYLHLSCFKVFQMLFNSTNLYDTDAELQLDIERAIYIAPEYDLSQYLKPKTASNPLPEKTDLIINARYHQTPLRSYGHGRMSIRCHRLPDHAVRNVTSNVFKSPGFSLRFI >KVI00977 pep supercontig:CcrdV1:scaffold_753:8867:42781:-1 gene:Ccrd_020766 transcript:KVI00977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MGGENCVLWTEVFGGRVGVCCRVKSLPFGRCSFFGSFIEPSINVMDYMSFNETFSKDIVEESSVFDSMNDCQGFDYGGENATAVNNETIEDQCNYSMRNEDGCNDRNETLSEHNDGGVVDFVDIGVASLSNEAEDYRSDGMGETNDGSKCWFPNVVKNKIENSYDCSCNCFVRNGILCRHALKVMLNDEVDRIPDKYILHCWKRDLVPVEWLPARFRYGEVDTDKERLMSVAYSYFERILGRVRNEKHILSKFVDQLQEWDTKIDSELPIQSHAQETTTSIKEFLGVSQPENIDVLPPTGIRKQLRLQMAKRRRESVGYVFKWLHMIREIVQN >KVI00974 pep supercontig:CcrdV1:scaffold_753:142828:154672:1 gene:Ccrd_020761 transcript:KVI00974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSSRHDNRHPDGDGGGGSSPYDVFEDRDSQIQKHKGREDNDRSKTRERDRDRGKDRDRRHRDYPERSGRDERGRERNDDDGRSRNRDYSRRHDYDRDRERHHRHRSRSASPDRSRESSRSRSKSKRTSGFDMAPPTAATLPGAVGQLPDAPQSMPGMFQNMFPFGTAQLGALPLMPAQAMTQQATRHARRVYVGGLPPLANEQTIATFFSHIMTAIGGNSAGPGDAVVNVYINHEKKFAFVEMRTGVSVRVRRPTDYNPSLAATLGPSQPNPNLNLAAAGLTPGGIGGAEGPDRIFVGGLPYYFTEVQIRELLESFGPLRGFDLVKDRDTGNSKGYGFCVYEDQAATDVACAALNGLKMGDKTLTVRRATISSGQMKTEQETILAQAQQHIAMQKMALQVGGFNLPGVGMPRAPDEVPTKVLCLTEVISADELMDDGEYEEILEDMREEGRKFESSDCAKAKDSLGGRKFGGNVVTAVYYPEDKYLQGDYGA >KVI00972 pep supercontig:CcrdV1:scaffold_753:66796:71073:1 gene:Ccrd_020763 transcript:KVI00972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQQWTPPCGNQCTHKYSALMQIPWRVFCKKGCNADGDTWEECLSACDEICYKDPVLKDQQWSAYIDRSPGSASYSQDCFHACVSGCGYKFDIPPEKVTEVHPNRPLLPPKTNPAQPKSSKKISDDIPSTSA >KVI00973 pep supercontig:CcrdV1:scaffold_753:110554:112793:-1 gene:Ccrd_020762 transcript:KVI00973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MVAGGGEKEKVEPDTTTTTKNDEFFWSYTDEPHASRRRQILSEYPQIRQLFGPDHWSLLKVTLVVSLQLWTAVYLHRARWSKILMVAYFFGSFLNHNLFLAIHELSHNLAFSKPLYNRWLGIFANLPIGVPMSVTFQKYHLEHHRYQGVDGIDTDIPTLTEARIITNIVTKSIWVLFQLFFYAFRPLLVNPKRPGKWEFLNFAIQISLDVCMIYFWGSRSFGYLILSTFVGGGMHPMAGHFISEHYVFNKKEGQETYSYYGPLNVMAWHVGYHNEHHDFPRIAGSKLHKVRAIAPEYYENLESYKSWSQVIYCYVADKSMGPFSRMKRKPSTKNT >KVI00971 pep supercontig:CcrdV1:scaffold_753:160402:172535:-1 gene:Ccrd_020759 transcript:KVI00971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF974 MSSTQSSHSLAFRVMRLCRPTFHVETPLRFDLTDLIIGEDLLDDPSAAPHLLRLLQSQSTAIDSSTDLTYSNRFLLRDGLSDAMGLPGMLVLPQAFGAIYLGETFCSYISINNSSSFEVRDIIIKAEIQTERQRILLLDTTKTPVETIRAGGRYDFIVEHDVKELGPHTLVCTALYSDGDSERKYLPQYFKFIVSNPLSVRTKVRVVKACLENNTKSNLYMDQVDFEPTPQWSATLLKADDHHSEKDALTREVFKPPILIRSGGGIHNYLYQLKSSMDGSAPTKFEGSNVLGKLQITWRTNLGEPGRLQTQQIIGNPITHKEVELKAMQVPSVIILEKPFTVCLSLTNLTEKNLGPFEVLLSLTDNQEEKAVMVNGLKRMDLPQVEAFESLDFKLSLIAVELGMQKISGITLFNTMEKKSYDPLPDVEIFVDA >KVI00976 pep supercontig:CcrdV1:scaffold_753:7415:8026:1 gene:Ccrd_020767 transcript:KVI00976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDFITDILQRLMIRHLNAVGHPAGRELDEIGQEKLRMDWQTQNNFDDCGVFAMRHMETYMGDVRTWKTGLAQEGKTQEIQIASLRMKYVAXLLVSNYNKKKEYVVKEVEKFQSMDEAIRKKLXKHADBTKTE >KVI02915 pep supercontig:CcrdV1:scaffold_7532:7133:8717:-1 gene:Ccrd_018794 transcript:KVI02915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MESKKGHGMKKKTISYEHISRDMAIGIGVYFHNLLVRSIDQLLEWSTMAAKLPGRSDNEIKNRWNTHLKKRAHEDEMIVLENEHEGSIELDQVEQKENPNLEVLHEGEIFLEGYLESPSCSSGTELSSCWMSTSDYTVSSDVALQTSDYESTGDFWSEPFLPDITSSIDNMLSPSDLIDGYVSHDQSSCQDVTMSDEFSWSTFDSYFQYNNELVDWSF >KVI07751 pep supercontig:CcrdV1:scaffold_754:127594:134779:1 gene:Ccrd_013890 transcript:KVI07751 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Lupus La MTSDSSIAMEGAISGVANSYSQRTTLPAPWAQVVRGGGEPDPVPPRSPSASPSSVSTEQNIVFSDQLTVMEPQTAAAVETHMEGSEGSNNGNASGVKKSAWNKPSPNGVVDGTNTPVMGAASWPALSELTRPLPKSLSFPSESSSKPTSDGSVTVSQAPIILQPQQKPVKTNASHHSNQNHTHNLRQRSMKRGGGAGGAYNRPPPPPPPPMPPFPLFDMPYGSFVPAVLDSPVREQSPYNGNNFAPRPMGGVGPHSNAIHDHSSNRKRNNFGPRPREDGGLYLNNGHGGRRDHHDRDWRGPRSHGAIPHQMGPPPPPPPRGYMQQAHLGPAPFIAPQPMRPYGTPMGYEMAAPFLYVPTLPPEPYRGAPLLPRAAPPSMFIPVMDPSLSVLILTQIEYYFSDANLVKDNYLRSNMDEEGWVPVTLIAGFRRVQSLTSDIQMILNSLRDSTVVEVQGETVRRRNEWTKWVKTSNKFPADSSFHSPRAATDGLVVETPLQKLTLDESITAEKMTTDTNDAHNEADSSILANREINTGEQSMNSKSSTSSNQDL >KVI07745 pep supercontig:CcrdV1:scaffold_754:92658:101914:1 gene:Ccrd_013886 transcript:KVI07745 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MDCDGTKTAAKARISRKFNGIVGLLMVDLMGVCESSKGGATAAIGGGRNKVGASLVDSGYKYSKLRSHTVLGLKQRKLKPEKRALPSLSYPNLPTKMDRYHKVERPRAEQPIDENEIRITSQGRMRNYITYAMSLIQEKGSSEIVFKAMGRAINKTVTIVELIKVFHVLHLKRSRLVPFIGMSIDKSRVIVLQRRIVGLHQITAIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSMKELNTSSIGYQPPLPADQVKVATEFEYEGEGSPTGRGRGRGGRGRGRGRSRAMPGNGYGPGEYDDGGWDAPRDYTRGRGRGRGRNFRGRGRGGYNNGSYVDDQYDGGYNQEVPMQGREIVAL >KVI07746 pep supercontig:CcrdV1:scaffold_754:105202:106930:1 gene:Ccrd_013887 transcript:KVI07746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor MPTLKLFTNIPVDSVIASDILKDATKAVAKIIGKPESYVMILVNGGVPIAFAGTEEPAAYGEVISIGGLNPTVNGKLSSTIAEILQTKLSIDSSRFYIKFYDVERIANGSAVHDGSFGVISWEIDAPIGGGFQETVVDAGLDLVL >KVI07747 pep supercontig:CcrdV1:scaffold_754:109997:112261:1 gene:Ccrd_013888 transcript:KVI07747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MARQALRVFDNHHILNSLDTTTTSLSQTRQAHAQILRSGLFIDTHFTTKLLSLYANHLRFSEANLLINSIENPDVFSFSTLIHAYPKLGRFGDAIVLFSRMISHGLLPDTRVVPSVIKACSGLSDLRAGKQVHGFCVASGLCQDSFVQSSLIHMYVKCSQLRYAHKMFDLMIQPDVVSCSALVSGYARQGYVSEAKMVFGRMGELGIEPNLVSWNGMVAGFNQSGHHLEAVMMFQKMYFFGFKPDGTTISSVLPAVGDLEDLLVGVQIHGCAIKQGLGSDKCIVSALIDMYGKFACTMEMSQVFDEMPHTDLGAYNALVSGFARNGLTDEALEAFKQLKDQGLELNVVSWTSIIACCSQHGKDVEALELFREMQDSGVKPNAVTIPCLLPACGNIAALMHGKAAHGFSIRKGIMDDVYVGSALIDMYANCGRIRSARLCFDRMPVRNIVCWNTIMGGYAMHGNVNEVIEIFQLMQKSEQKPDLITFTSLLSACSHSGLTEEGQRYFDSMTKEHGIKPRVEHYACLVTLLGRAGKLKEAYSTIKQMPFEPDACVWGALLSSCRVHHNLTLAEVAAHKLFELEPNNPGNYVLLSNIYASKGLWKEVDRVRDLMKSMGMRKNPGCSWIEIKNKVHMLLATDRSHPQMAQILEKMETLSMAMKKLGYLPVTSYVLQDVEDQEKEHILCGHSEKLAVVLGLLNTSPGSTLQVIKNLRICGDCHTVIKFISSFEHREIYVRDTNRFHHFKDGLCSCGDYW >KVI07743 pep supercontig:CcrdV1:scaffold_754:71349:71630:-1 gene:Ccrd_013884 transcript:KVI07743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPVKPTAGNGLSAAELEKLPRTTGKELGLGTECSVCLEDIEEEQPARVVPGCNHGFHLQCADTWLSKNPVCPVCRNKLEPEFFHPSETNPC >KVI07750 pep supercontig:CcrdV1:scaffold_754:157136:185284:-1 gene:Ccrd_013892 transcript:KVI07750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVDLGNNNFHGTIPNTWDDCGRLEGLILNGNSLEGEVPSGLSECKSLKVLDLGNNHLTGTFPHWSANLQHLQVLVLKSNKLHGPIETSSIVEHPFSSLKVLDLSQNKFVGHLPRNYFQNYDSMKNKGVKKSTKLEYLSIGKFYSITVAVKGSELQFPKISVDYTIVDLSSNIFEGEIIDVIGSLSSLIVLNLSHNNLNGRIPKALGNLLKIESLDLSCNQLKGEIPQSLAFITDLEVLNLSQNHLVGRIPDGTQFRTFNATSFEGNPGLCGFPLPECEHRSAPQLEVDADEESGFTWKVVMLGYGCGTLLGLGMGYMMLSTGRPKWFNAIADEIEHMIMHRWKKRRHGATIREGEEVRRLPMTEREQRLGKGKTEDGAPEWEPAGKRKTRTESGEWRLAVGRLGDCRMRGLEVLNRRAEEENGVFYVSRVSGSEVRWAYKYFPIGHGLTAGEIPNSIGHLQSLIYLDMHSNLIQGSFLSSICNMSYLSYLDMSDNKFDGKIPQCLRNISSNLLMVDLGNNNFHGTIPNTWGDCGKLEGLILNGNSLEGEVPSGLSECKSLKVLDLGNNHLTGTFPHWSANLQHLQVLVLKSNKLHGPIESSSIVEHPFSSLNVLDLSQNKFVGHLPRNYFQNFDAMKNRGVKNSTKLEYLSIGKFYSITVVVKGSELQFSKISVDYTIVDLSSNIFEGEIVEVIGSLSSLIVLNLSHNNLNGRIPKALGNLLKIESLDLSCNQLKGEIPQSLAFITDLEVLNLSQNHLVGRIPEGTQFRTFNATSFEGNPGLCGFPLPKCEHRGAPQLEVDVDEESGFTWKVVMLGYGCGTLLGLGMGYMMLSTGRPKWFNAIADEIEHMIMQRQKKRRHVVMKFEMF >KVI07749 pep supercontig:CcrdV1:scaffold_754:166595:172986:1 gene:Ccrd_013893 transcript:KVI07749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRRYARPSPLYYTSSSARRFKTSSPRIRQSPNRPTANLHSPDSVLVFLFPAGSHSGAPSSVFPFPNRCSLSVIESYS >KVI07752 pep supercontig:CcrdV1:scaffold_754:149853:153942:1 gene:Ccrd_013891 transcript:KVI07752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLGGGLMARANELEHEVLRLTKENAKLKRLQKEFCSASTCTAQFPKKPMLHRSKSAPF >KVI07744 pep supercontig:CcrdV1:scaffold_754:85899:92875:-1 gene:Ccrd_013885 transcript:KVI07744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold MSKPTIPLNFLLILAFAAVLVPSQSIIPSKYDGFVYKKRAASTATVLIEAFYDPVCPDSRDSWAPLKKAVDHYGPAAVSLIVHTFPLPYHDNAFITSRALHIVNELNASATYRLLTAFFKHQTRFYNAKTLNMSRSAVLEQVIGFASQAVGNSLESAIRSGFNDSKTGTKTRVSFKYGCSRGVYGTPFFFVNGFLLPVNDDDTMDYDGWRKVIDPLITEQQHGRK >KVI07748 pep supercontig:CcrdV1:scaffold_754:124847:126167:1 gene:Ccrd_013889 transcript:KVI07748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MVKLTTILLLASFSYLLVHVHGFTASRWIKAHATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFKQGAACGQCYKIICDHKADSRWCKKGVSVTVTATNFCPPNYAQGNNAGGWCNPPLQHFDMAQPAWEKIGIYKGGIVPVIFQRVPCKKHGGVRFTVNGRNYFELVLITNVGGAGSIRSVRVKGSRTGWTPMSSNWGANWQSNAYLNGQSMSFMITTTDGVTKTFSNVVPSNWRFGQTYSSRVQF >KVG26445 pep supercontig:CcrdV1:scaffold_7544:1457:3275:-1 gene:Ccrd_026502 transcript:KVG26445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MGKVSKADKKIAYDQKLCQLLDDYTXILVAVADNVGSNQLQNIRHGLRGDSVILMGKNTMMKRSVRMHSEKTGNKAFLNLIPLLIVLDLTEDDLIEKFALGVSMVTSLALAIHYPTIAAAPHMLINAYKNALAIAVETDYSFPLADKVKEYLEDPSKFAVAAPVAASSGGGAPAAAASAPAEEKKEEPAEESDDDMGFSLFVYFVFVEMMCYRISLKSIEFWMILRDLFCYGILLLVNNYI >KVG26444 pep supercontig:CcrdV1:scaffold_7544:17652:18336:-1 gene:Ccrd_026503 transcript:KVG26444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGGTIRRGSVSGLLPPSCDKGISIQV >KVH91544 pep supercontig:CcrdV1:scaffold_755:117289:126088:1 gene:Ccrd_006438 transcript:KVH91544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MTKIQDLEAELTQQKAAVEQFRAETTLRFENLETTVESLRTETEKRHAEMMKVMLQQFQALKPPPPPTTAMPLPIFTDCTTXPIMQQSNPIFDENGAPLPPWHATXSTAREPNRXIGTQEIPFSESGTFAFSETERPFGSGYGRGSGTSGERGWVPGTDYRLRKLKMPLFDGTDAYGWVYLMERFFDVQGFVTTGEKLRAAVLGLEGQALAWYRWSDDRHPFHHWEGLKNRLLTRFQSSQEGDLYEQFLAIKQEGSAREYVGKFETVSAQLRGIPEKIMQGTFLKGLKPELRTSVRLLQPMDLDQAIQLALMVDENKTVSTGNTEKWEHKRTPLHTAAKERNRKAIRFLVENGAFLPDNMEDTRFNPPVHYCPGLEWAYDEMRRVQQESGTSSGEGSYSSES >KVH91541 pep supercontig:CcrdV1:scaffold_755:20653:34311:-1 gene:Ccrd_006434 transcript:KVH91541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MPLGDNLGDKSDSRYCGVETEFNDDMPHVLSCNVNGGFDFVVAPLGFSKLKMFAFTKFDKTGCLLIHYLDVEKLQAAEHMEPGYRPSLIDKASRDSTVLPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDDILRVDSEITLKQELAWASHLSLQACLLPTPKGTSCGNYARCVNQILQNLNNMQAVELTDSWELWNSFRVLCEHHSQLSIALDVLSSLPSANSIGRWFGEPVKAAIVHTDTFITNARGYPCLSKRHQNLLSRFFYYSIQIVLSGKPVHKLSGGNSAAGADHSDNYAQQAQRHPLRSYLDYVGYLYQKMEPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDSVKYIQYQRAVSKALVDRISDENASTTTVVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWENVVTIISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAERFLKPDGISIPSSYTSFIQPVTTSKLYNDVKLHKDLLHFETAYVVKLHRLARLSPSQSVFTFTHPNYSPNRSNQRYKKLRFEMPNDTGSTIVHGFAGYFDAILYKDVHLGIEPSTATPNMFSWFPIFFPLRSPVYVKAGSPLEFHIWRCCGNTKVWYEWCVTSPTSSPIHNSNGRSYWVGL >KVH91537 pep supercontig:CcrdV1:scaffold_755:159970:175478:1 gene:Ccrd_006441 transcript:KVH91537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPKHILSPSLSVSHTHSLFLESHKSRLSYPLQSRQFLLVQLLNRRSKPRCVVLISPCQKLLESAGVNQDILSSNSLGNLWPHWPLLLFFLLLCCLVNKFQGLTTDGEALVNFRTSIISSDGLLRQWRPEDPDPCEWKGVTCDLKTKRVESFKSQTKWTCITRYWKARSFKIFGSSLQQLLWGNSSRVGKLYRAARINNYFSGIIPTEIGNLSKLQTLDVSSNSLSGSIPTSLGNLRNLSIFNVSNNFLGGPIPSDGVLDQFGTNSFLGNRGLCGKHINQLCKDDNGGSAGPQPPGNQSAKKNSGRLLISASATVGALLLVALMCFWGCFLYKKLGKNDAKGLAMDVSGGASIVMFHGDLPYSSKDIIKKLETLNEEHVIGAGGFGTVYKLAMDDGSVFALKRIVKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDYLSGGSLDEALHEKAELLDWDARLNIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLMLEVISGKRPTDASFIEKGLNIVGWLNYLITEDRQREIIDVNCEGVESETLNALLSVAIQCVSSTPEDRPTMHRVVQTLESEVMTPCPSDFYDSTSD >KVH91540 pep supercontig:CcrdV1:scaffold_755:38128:67223:-1 gene:Ccrd_006435 transcript:KVH91540 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MAQQSSRLQRLLTLLETGSTQATRFTAARQIGEIAKLHPQDLSSLLHKVSQYLRSKNWDTRVAAAHAIGAIAGCFKHSSMTELYNLVEAKMKEACINCAVEDVVLWPKSHPKISASTSFQSFELTKVLEFGALLASGGQEFEVASDFISNPKERLAKQKQNLKRRLGMDVCEQFMDVNDMIRDEDLMMHKTNSTGTGVAPQFYLQRSGRNVQQFVVDVVPGYRPRRPSARERNLLKRKAKISSKDQTKVWSKDGEPDVSGTQELISPKGISPDLPSANSLFQDAIPDEDVPEHEKDGTWPFHNIVEQLILDIFDPGKLLVIDVHCSSFTILPTHNVLRLMLPVWEVRHGSVMALREILTHQGGCAGVLMPDNSCDGILLPESGDKMKSAVKREREIDLNMQIMSDETEPDLKKQKPEDASFDQTHALVSTSKDANFATSTVVADVGCNLSVGQTNGEMIVNSVKAEPQSCIDSVSYPPTEAADVSRPTNCFDNKDLVEKVDIVEGLPRNSELVNLIKRARNSSLKNSELLQDCGIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPLLVHETLNVLLKMQRRPEWEIRHGSLLGIKYLVAVRQGLTGVAATLCEGHGGIRFKIFVAAKKARDNAASSWVALITVRFTGSAEMLPDLLGRVIPACKAGLEDPDDDVRAVAADALIPTASAIVLLSGDILHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEEMISRMFSAQEFKGKQGFDLNEIVSTDDLVRTGNSQDNPYMLSTLAPRLWPFMRHSITSVRYSAIRTLERLLEAEHRRSISESSSSFWPSFILVDTLRIVFQNLLLESNQEILQCSERVWRLLLECPVEDLEAAASSYMASWIELITTPYGSPLDATKMFWPLALPRKSHFKAAAKMRAVKLENGLYANVVSDSAEGILTQDRNGDGSMSSVKIIVGADSEMSVTNTRIVTATALGILASKLHDVSDQYVFDPLWKAITSLSGVQRQVASLVLISWFKEMKNRGLSGSKGLTSGNYNTIKNRLLELLACTDPAFPTKVPHLPYAELSRTYDKMRNEASQLISVLESCGMLNEVLPSKKMDVENLTADDAMTFVSNLPVLSNDGAAGEDSVGRNSIDEVESIKQRLLTTSGYLKCVQSNLHVTVSALVAAAVVWMSELPAKLNPIILPLMASIKREQEEVLQNMAAEALAELIFHCVLRKPGPNDKLIKNLCGLTCMDPCETPQAGVLSSIEIIEEQDLLPFGSNSTKTKPKVHMLAAGEDRSKVEGFICRRGSEFALKHLCDKFGASLFEKLPKLWDCLTEILRPMTPGDEVLVSQAIDSIKDPQVLINNIQVVRSIASMLDETLRTQLMMLLPCIFSCVRHSHVAVRLAASRCITSMAKSMTVNIMGAVIENVIPMLGDMTSVNARQGAGMLMSLLVQGLGTDLVPYARLLVVPLLRCMSDCDHSVRRSVTHSFAALVPLLPLARGVSPPAGLSECLSKNTDDAEFLEQLVDNSHIDDYKVPTELKVTLRRYQQEGINWLAFLKRFNLHGILCDDMGLGKTLQASAIVASDIVERRASSNGEDPPSLIICPSTLVGHWVYEIEKFIEASVITTLQYVGSSQERVTLRSQFPKYNVIITSYDVIRKDIDHMRQLLWNYCILDEGHIIKNAKSKITCAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTERQPFIEKRNCENKKGKQVGCCIEEDECSCGILCWATILRLYDLALNCICLLIGRTNGTLYDIAVSTYSTESVASIFQATYGKPLVAARDSKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLTDLPEKIIQDRYCDLSPVQLKLYEQFSGSHAKHEISSMVQSNETEAGEGEGKDTSKTSSHVFQALQFLLKLCSHPLLVLGGTIQESLPRLLPDLFATSSDISSELHKLHHSPKLVALQEILEECGIGVESSSSEGSVGQHRVLIFAQHKALLDIIEKDLFHVHMRSVTYLRLDGSVEPEKRFDIVKSFNSDPTIDVLLLTTHVGGLGLNLTSADTLAMDRAHRLGQRKVVNVHRLIMRGTLEEKIMSLQKFKVSVANAVINSENASLKTMNTDQLLDLFTPADTKKGASTSKGSENDGLPKVPGSGKGLKAILGGLEELWDQSQYTEEYNLSQFLAKLNA >KVH91538 pep supercontig:CcrdV1:scaffold_755:102317:106133:-1 gene:Ccrd_006437 transcript:KVH91538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MFRSTSGGGGGGGGRDNNESLAGLTQMMMMNVPPRQPAMVVGGGADAEDFLRRDDRVPSWSQQETRDFIAIRGELERDFTTAKRNKSLWEVVAAKMKEIGYRRTPDQCKCKWKNLVNRYKGKETLDRENSRSFPFFDEMHALFTERTSNTPPTPFDPEATSSHSKKRGIKMDSYQSLEELSEDEDEYEDEEIKIAKATVPPRKKPEREKRARTSSLDKPPTPKQPSSGNNSIREILHDFFQQQEMIETQWRQLMEKHDYERRVFDQEWRQSMERLEMERMRIEQSWREKEEQRRMREESRAERRDALLTALLNKLVHEQ >KVH91535 pep supercontig:CcrdV1:scaffold_755:177510:185795:-1 gene:Ccrd_006442 transcript:KVH91535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex, sigma subunit MIHFVLLISRQGKVRLTKWYSPYSQKERSKVIRELSGMILTRGPKLSNFVEWRGFKVVYKRYASLYFCMCINQEDNELEILEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKTVARLVSAQDSLVDAAKEEANSISNIIAQATK >KVH91539 pep supercontig:CcrdV1:scaffold_755:73991:98820:-1 gene:Ccrd_006436 transcript:KVH91539 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, ATP-dependent, RecQ type MAASSSTEDLLQELLNVELELQDSLLDKQEKLYEKQSELKAVLESYQGLEKPDNDGAAPQAENWSGSFEWDDEADDIRFNIFGIPGYRANQQEIINAIMSRRDVLVIMAAGGGKSLCYQLPAVIRHGIALVVSPLLSLIQDQEDEKYIYKALEKGEGDLKVLYVTPEKVSKSKRFVSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKTQFPDVPLVALTATATKKVQLDLMAMLNIPKCIKFVSTVNRPNLFYMVREKSSVGKVVIDDIAEFIQSSYTNNESGIVYCFSRKECEQVAKELRERGVSADHYHADMDVNVREKVHMRWSSSKLQVIVGTVAFGMGINKPDVFKMVLYLILLTCMVLLYFTLFVIHHSLGKSMETYYQESGRAGRDGLPSECLLYFRPADVPRQSSMVFYENSGLQNLYDMVRYCQSKKECRRSAFFRHFAEPLQDCNGMCDNCAFSCEVKEIDASGHARAVVSLLQEIQEKDQRATMLQLVDKLKVKNKEVGFELKKDELEQLVIQLILHRVLKEEFSHTAYATNAYVTIGPLAKHVLHEKKIITLEVTSGERSSTGITKSSKRSRYSGLEVKLDNLRKELSSIHGGIFPHSILSTQQISMVCSQKPETTEQLEKIIGKLKTQKYGDRILEVIGKHEFDEEQGHQDSSSDKERQTSVNKSAKRSRNKKVVVIESSENED >KVH91543 pep supercontig:CcrdV1:scaffold_755:128839:131831:-1 gene:Ccrd_006439 transcript:KVH91543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MTRGKQKIEAQRKNAEKNQKSKGSQFEARAVALKVICPICKAQLANQNQLGDHYSSKHPKEKPPSNSE >KVH91542 pep supercontig:CcrdV1:scaffold_755:2087:19669:1 gene:Ccrd_006433 transcript:KVH91542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MSNRPSTRNKNKRQRPDNNAEVISELYRKIHLSGEVTKDDIKQLYMFGKPVCNQGCRINTKDNPNCFCGLIPPPNGNRKSGLWQKTSEIVSSLGPDPSKDLRDSFDTPAGLTNLGATCYANSILQFLYMNKPFREGVLSVEPDVLAKQPVLGQLARLFAQLHTSKMAFVDSAPFIEALALDNGIQQDSHEFLTLLFSLLEQCLSYSKVSKARTVVQDLFRGGVSHVTKCSKCGNQSEASSNVEDFYGVELNVKGLKSLDESLDDYLSVEELQGDNQYFCYSCATRVNATRSIRLQSLPPVLIFQLKRCVFLPNTTTKKKITSAFCFPGEVDMARWLSDQSKSKLIYDLSAVLIHKGSAVNSGHYVAHIKDQDTGLWWEFDDEIVSDMGQHPFGGNSSNVAAKSLQNIPAGQSCFSEPDGVVNGNHVNTSGLHASSPETTSNVQTFSSSDAYMLMYCLRHQTNGHRKAQLGSGGGILKDGNSISLQTDAYLPSHLMKEVNELNKSYLESCQQYKTKKEAKLALIAERRQEVRSVISEASVQSSVESFWWISVDWLRQWADTITPTIIDNTPIQCLHGKVPVSKISSMKRLSAKAWNTISSKVMNLVETFHAIAECLWESIALDGWYLYKGGPELGEDDCCIVCLKETACAAVSADSYRDGRTSMRELAEAALAGKCPDGPLYYVSKAWYSLNRRLSQWVRRRNIDSPCEADTGPTASIRCPHGALMPEQAAGARRALIPEILWVFIFNSANEVKPDDTVGCSVFASDSETCSQCCIDLSEVTCMEDNLRLSKYLFRERVIMFCFIWFLLTQPSTCRDFKLKQRQSHEKLAIGKSIPLFPRNKYYLLPTSWLSKWRCYIMAGGKNASSTAEPDNLNSAIESLKCEQHSRLLRRPPDLMRKRGAILQKAPSVDELTIITENDWTSFCKDWNGIEEKGISAEIDSTNSAEDCLLGTLEEMPITEENVNSLDDANGETESQGPIIKTYPEVCEDCIGERESFELVRKLNYCNEDICICFVRGKEPPKYILEGSANILEPNRRISKRSRRTSYGNSVNLNVSGSTTLYELKMMIWQSFGIVKENQILHKGSKIVDGETATLADMCIFPGDVLWVTDSEIHENRDIAEELSDTKMEVQQSEGGFRGTLLTSNIPPTQVMSQGRLASYVNLETLVEDLRHARCHLWARLLKSNLFT >KVH91536 pep supercontig:CcrdV1:scaffold_755:150014:150739:1 gene:Ccrd_006440 transcript:KVH91536 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein MGKPKESFPGYDDAVSDEQLIGLKTSKLFFVEKEMRPGKKIKLHLSKDTTTPPAFVSRQVANLSPLRSTRLDNIFEAFSVHPGSVEAKLIKQTVVKCEKARDQRQDQYCATSLESMVDYATSKLGKRVKPISTRVNGKESTALQEYRVEGVKKLAADNVVVCHQEYYAYAVHYCHKSSKINAYEVSLVGDNNMRGDAISICHEDTASWNPKHVAFQVLSVKPGTVSVCHFLVEDEVAWVAY >KVI01356 pep supercontig:CcrdV1:scaffold_7552:10536:17529:1 gene:Ccrd_020374 transcript:KVI01356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular solute-binding protein, family 3 MEHQNRISFFVTLICVYFLVDFVKASEVHSKADMINAAIQNRSILDQGGIGHDACAGPNWQNVTKQRNSVCPKLIVWVPKKPGFREFVKVNEELNVEGGFSIAIFCHALQFLTFSVEPIFIPFVNEKGETKGTYDDLLLNMKDKTCEAVAGDVTIRFNRTQYINFTIPYLSSEVYMLVHGAHEWNQTLLTFLKPFTWRLWITVIGACIFIGVAIAILEYRVGNPKFAIPFYQKLVMVIWFPISTFYFREGKILNRCSKVVLVMWLSMIFIVVQIFTATLSSWLTLDQLRPKLPSNYDHVGYQNGSFLKEFIKQENNGFGKPIPLKTVEDFKNALSNGSVNAVIDELPYIELFLAKYGSDYMKFGPINRESGIAFAFPRGSPLVDDFSRAVINITESKIMMELIEKYDLGFATADKSQPNQPHPQSLDVQSFIGLFIFMSSVTIAAIIWSEISLMYGNSKVAPLASTVNSMHLRHSTDSLANQL >KVI04547 pep supercontig:CcrdV1:scaffold_7554:8352:8678:-1 gene:Ccrd_017135 transcript:KVI04547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTMAVQNQRTTTATDMKEEVLRRRNEELERELKRSLEREEKMKTELKKTWERLRVAEEAEERLCSQLGELEAEAMDQAVAYRERLVSLMEQLAAAQKLIQSASVGC >KVG26078 pep supercontig:CcrdV1:scaffold_7557:13922:15149:-1 gene:Ccrd_026504 transcript:KVG26078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MSVYEENAQVGVCNGRVGDGLPSEQDVVTFYRSNGITRMRIYDPNQATLRALQGTNIELILDVPNDVLQSLNDQNAANTWVRNNIQNFPGVRFRYIAVGNEVDPNNESRRFANFVLSAMRNLHGAIRAAGLGNQIKVSTATYTGLLVNSSPPSNGAFYDNVWGFL >KVI04662 pep supercontig:CcrdV1:scaffold_756:44436:52666:1 gene:Ccrd_017021 transcript:KVI04662 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAAAALRSKSSKATASLSSISQFKYFILTHRMHAGRIPNFPITSSIPTTSKLDEYLPHYHHQHQSFKPFSLPAEVVDKGCGFSKSSNNKRRLITLSGSGGYGDPPEVWQPPGDGIVPQPGLKVVQVSGGDDPSTGGFGSDSGDGSWGGSSLGISFPTPKEICKGLDKFVIGQDRAKKVLAVAVYNHYKRISIDSTKKPAGHSRNDKKNVMDEDAVELEKSNILLMGPTGSGKTLLAKTLARLVNVPFAGYVGEDVESILYKLLTVADYNVAAAQQGIVYIDEVDKITKKAESVNISRDVSGEGVQQALLKMLEGTVVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGVTTASVTSSLLETVESSDLIAYGLIPEFVGRFPILVSLLALTEDQLVQVLTEPKNALGKQYKKLFQMNDVKLHYTESALRLIARKAITKNTGARGLRAILENILMDAMYEIPDARTGKDIIDAVVVDEEAVGVEGQGCGAKILHGEGALDCYLSQHTVKDLEMGVGRAADGGAEAEVEAELPSVAL >KVI04657 pep supercontig:CcrdV1:scaffold_756:12587:18487:1 gene:Ccrd_017019 transcript:KVI04657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNQQMPIRPNVLSVQVPSTMNYVGLNDSSNVARDGVENGTFSQAESLVNPSKKLENDMLLLGKKIKHHEENIKYLRNHKNSFDDAITDMQVTLGKYHSSTGPKIEDEDLSHMQSEEATVGNIMKHENSAAAIWCQLKRHRNQAGHMKDVLGVVATLGKVNDDHLSGLLSEYLGLDNMLALVCMTYDGLKSLESYDKEGSINKNSGLHGLGASMGQTLDGRFNVICLEHVRPYVGEFMPNDPQRRLALRKPALPNGESPAGFIGFAVNMIQIDSAHLYYLTADGNGLRETLFYTLFSRLQVYKTRTDMLQSLPCIFNGAISLDGGMIRSNGVYYLHTRTKEMDVKFAISSGVSYLPENYMEVEKQMKELKWKREKLMEDIQREEAMLAHVKYNFEVKKQEFLVPDASNSSKIDSSIELFLTMAPSAPATQENIIFLKIKRCVDFQVQ >KVI04661 pep supercontig:CcrdV1:scaffold_756:36795:43643:1 gene:Ccrd_017020 transcript:KVI04661 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MLSLVQIAKGSAIAMDASYPERTKEEVKVACPYCRGNCNCKACLQANVIVKASHKEADEDIRLQRSLYLLKKTLPLLRHIKEEQRCELMVEAGILGVQLTEEHVRKASFDDDDRVDNCNTSIVNFHRSCPSPDCSYDICLNCCRELREGIQPGGSEAESSFQQFLENSQLQGADARGQFFGWKADFKADAFIADSSLDFPVWKANLDGSIPCPPKARGGCGIGMLELRRIFEVNWVQELVEKAEVLTFNFQMADIDFGEACPVCPSIDTVENHDVVRKSATRKNSHDNFLYCPNAIDLEDNEFEHFQMHWRRGEPVVVRNVQAKTCGLSWEPMVMMRAFRTAKKRLKEENQCVKAIDCLDLCEVEIHLKQFFGGYVVGRRHLNGWPEMLKLKDWPPTNSFDECLPRHCAEFIAMLPFSDYTHPRSGLLNLATKLPDGSRRPDLGPKSYIAYGFPEELGRGDSVTKLHCDISDAVNILMHTTKVKVSSAELKNINKLQKEHKAEDMSVISSMAHKALSSFTTEPPKDSCNVDSVDSLCIQKGGDDDETGALMAEEQSINKNLNGSLVKTAALLNPLALETTESDRNELDFNLSCPEGNRPSDLALVVGKADPDEDIQRSDDQCSESVYGGALWDIFRRQDVPKLTEYLNKHQKEFRGINNAPVRSSCIKVALDFVSPDNVEECIRLTEEFRLLPKTHRSKEDKLEVKKMGLYAASVVIDEASKLMLKHNSQLQPQPPIDAANLNLEQGVEAREPQPPIDPANENLEQSVEQMEPQPPLEAADENVEQGELREPQPPLDAANENVEQGVEPREPQPPIDSANENMEQGVEPTEAVVLRDLS >KVI04658 pep supercontig:CcrdV1:scaffold_756:164096:168331:1 gene:Ccrd_017024 transcript:KVI04658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MISGKPFMVFDVYRFKKSQASGFTMSIASVDLRPVLEDYLNFHGHVLDQCGLWSRESIFIFLTVSGSVVPIRVLESDSIASVKLRIQISKGVFVKNQKLVFNGKELARKNYRVRDYGVTDGNILHLVLWLSDLQTITVRPICGKEFDLQVERKRNVGYVKQQIAKREKYFVDLNDQELIWNREEVEHQRLINDLCSSDDPIDEEPMALNNPTGLPISTNGDGLKKGTRVGEDAFPEVAAYILDHPRVKPDTKSGFAGVPPTVMFEDCTFDWLYWPQAREIYLRATIEYINSLDAERDLELLKFHGWEVPVACACVFVISTMLLKKGATRGL >KVI04659 pep supercontig:CcrdV1:scaffold_756:53439:57388:1 gene:Ccrd_017022 transcript:KVI04659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MDLDNIECVSSSDGMEDEEIHSQITHQFSSKPHNNMGIPPGLTPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCRYFSLGCPEIFPYYSKLKHETLCNFRPYNCPYAGSECSVTGDIPFLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEADARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEQQNPEGGVCIPNLCS >KVI04660 pep supercontig:CcrdV1:scaffold_756:128384:130474:1 gene:Ccrd_017023 transcript:KVI04660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G24270) UniProtKB/Swiss-Prot;Acc:O81223] MKILLFLLMKPHLSSSIIDDGLIHKEEFQLALFRNRNRRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVEEKIKFAFRLYDLRRTGFIEREELKEMVIALLHESDLVISEDVIEMIVDKTFSDADTKGDGKIDDEEWKQFIEGL >KVG25644 pep supercontig:CcrdV1:scaffold_7562:3601:4282:1 gene:Ccrd_026505 transcript:KVG25644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MGKVSKADKKIAYDQKLCQLLDDYMQILVATADNVGSNQLQNIRHGLRGDSVILMGKNTMMKRSATVGEETRDPKSPKASLTINVYTKHQA >KVH99392 pep supercontig:CcrdV1:scaffold_7566:5215:9039:-1 gene:Ccrd_022377 transcript:KVH99392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHRLNPSGIAFLSLLLFISIASAKVFFEERFDDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDANDKGVQTSEDYRFYAISAEYPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKNIMFGPDICGYATKKVHAILTYNGENKLIKKDVPCETDQLTHVYTFILXPDATYSILIDNEEKQTGSLYSDWDLLPSKQIKDPEAKKPEDWDEKEFIADPEDKKPEGYDDIPKEIADPEAKKPEDWDDEEDGEWTVPTIPNPEYXGPWKAKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPKLKYVGIELWQVKSGTLFDNVLICDDPEYAKQVAEETWGKQKDAEKAAFEELEKKKEEEESKDDPADSDVDNDDDAEVEDDEEAEESDVKDEL >KVI12088 pep supercontig:CcrdV1:scaffold_7568:292:1719:-1 gene:Ccrd_009493 transcript:KVI12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MRQIHQLVTHLQHLAFFKQFESQTMADQTVYSKLVPWDNLSGKVVMVTGASSGIGREFCIDLAKAGCRIVAAARRIDRLVSLCNEINGISDNNIVAMAVELDVAAKGPAIEASVKRAWDAFGQIDCLINNAGVRGSVQSPLKLSEEEWDSTMRINLSGTWLVSKYVCTRMRDANREGSVINISSISGLDRGQQRGSLVYSSSKAGVVTLTKVMAMEMGTYKIRVNSICPGLFRSEITEGLMQKEWLSKVAERKVPLRTYGTTDPALTALVRYLIHDSSEYISGNTFIVDAGATLPGVPLFSSL >KVH94057 pep supercontig:CcrdV1:scaffold_757:48773:53661:-1 gene:Ccrd_003884 transcript:KVH94057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPKQKKGSKVNPFPVPQTLIFFGAVAMDDAMETGNLAPYQERPRTFPNMRSKPSTPLVVTLSPLSLETPLPNASQLL >KVH94059 pep supercontig:CcrdV1:scaffold_757:78487:82010:1 gene:Ccrd_003886 transcript:KVH94059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDQFCCKTLSEAEYEITKDALREGNFKAQRLEDTTTGVPDRLPLGCLVKLIFSFCYAWGSSVGSEFVVLYSPNELIVCPHQVLW >KVH94053 pep supercontig:CcrdV1:scaffold_757:159001:161932:-1 gene:Ccrd_003889 transcript:KVH94053 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecA Wing/Scaffold MEVGRGRGLTKRREKKKWAKKKTKDKWGNRSLSFDLCSAEKGMVPVFAGDERYMQAVVDELVLGNVDPLKHPSSWNLDELLKDFVAVSGKILDESCTGITVESLMRSLANVNESSDNELCLPNLPQPLNAFRGIRKRTSSLKRWLAICSDDSLKDGRYRVTANLLRKYLGDLLIASYTNTIQESGYAEEYVKEIERAVLVKSLDCFWRDHLVNMNRLNSAVNVRSFGHRDPLEEYKIDGCRFFISMLSATRRLTVESLLRYWSSPMESQELYAS >KVH94058 pep supercontig:CcrdV1:scaffold_757:91629:97820:-1 gene:Ccrd_003887 transcript:KVH94058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MVENPNPELRSQIRLVASQHLTCVLLLIRSNMKVMKFVYGLLDLRLFDESPQRVVQASRAVNLIHAQSLLLGFDSKGKLGNAIVDLHAKCGNMDFAQKAFEQLEQKDTRAWNSILSMHSRHGMLEDVKHIFGAMQIYGVYPNQFTYAVVLSVYARLTDIMLGKVVHCHVIKTGFICNSFCEGSLIDMYAKCALVDDAWEIFDGSVCSDTVSWTAMIGGYVQVGFLEKALRLFKDMLPLGHIPDQVAFVTIISACLESGHLDDARHFFNQMPNPNAVAWNVMISGHAREGCHNVVIDYFQGMIRSGVKPTRSTLGSVLSAIAITFDLDCGSQVHSQAIKQGLCSNVYVGSSLINMYAKCQEMDSARSVFDALDEKNIVLWNTMLGGYAQNKNVDEVIHLFINMRCSGFQPDEFTYTSVLSACASLRSVEIGKQLHSLAIKNKFDANLFVGNALVDMYAKASCLYDARKQFDMIENRDNVSWNVMIVGFVQEEEEEDAFSMFQRMRSDGIAPDEVCFASILSACANIRSLSKGKQLHCLLVKYNMATSLYAGSSLLDMYSKCGVILDAQEIFSSMPEKNVASTNALISGYAQKNMDLAVNLFKGMQSQGVSPSEVTLASILDGCNEPSKSKLGRQIHSLVTKYGFSYDDEFLVVSLLGMYFNSQASASALVVFLELPNIKSTVLWTVVVSGLVQNDCSKEALAIYQEMRRYNAMPDQATFVSVLKACATLASLQDGQEVHSLVFHTGFDLDELTGSALVDMYAKCGDVASSSQVFKELVKKKDVITWNSMIVGFAKNGYAENALQIFGKMRQSNIKPDDVTLLGVLTACSHAGKVSEGRHIFDTMTNHYNIQPRMDHISCMIDLLGRWGYLKEAEEFINKLEFKPNAIIWATFLGACRIHGDEERGKRASKELSTLEPQSSASFVLLSNIYAASGHWDQVNFVRREMKEKGVKKHPGHSWIKLGRDTHMFVSGDESHSSSAEILSLLKELKAPMKDEIFLHKKVGSWIVAII >KVH94054 pep supercontig:CcrdV1:scaffold_757:109123:112339:1 gene:Ccrd_003888 transcript:KVH94054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MCNRIKKNISDKSAMVMVVCSSRMFHLSTTSSTGTGTESSSLKKSGHKLRFHRPQSQPLVMETVQAKDESSAPPRRLILLRHAKSSWEDRSLKDHDRPLSKAGETDAIQVTYKLQQLGWIPGLILSSDARRTRQTLKIMQDQVQAFLEAEIHFISSFYSVAAMDGQTADHLQQAICRYARDEILTVMCMGHNRGWEEAASTFSGASIELKTCNAALLEAAGKSWEEAFLLAGHGGWKLQQLVKPNGIP >KVH94052 pep supercontig:CcrdV1:scaffold_757:166188:186121:-1 gene:Ccrd_003891 transcript:KVH94052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRCGSGWPQLTQSPWNSQPNRLPKWAYWSPPPCPYPTNSGWASPWDGSPMPASPTPHGPPAHCKFGSDFGSIYGSRFGFGFRYGFGFTFDIGLIVGSGFLPILTPLLRILVLLPRSNFVVEQEGKEILDQHGSWSAVEYVLRDSPIGHEDESPSNPAGRTNDT >KVH94055 pep supercontig:CcrdV1:scaffold_757:28353:43374:-1 gene:Ccrd_003883 transcript:KVH94055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MLLLLISLVQIFRILMRMNVRLLFVLLFLGFGAIFYIGASTSPIIVFVFSVCIISFLLSIYLTKWVLAKDEGPPEMGQISDAIRDGAEGFFKTQYGTISKMALLLAFVIFGIYMFRSTTPQQESSGLGRLTSASITVFSFLLGAVCSGMAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGVAILYATFYVWLGVDSPGSMKVTDCRHSSPPLPAGRRSSPPRRSPPRRSSSPSPPRRSSLAASPPRRSSLVAASSSRSSPSPSPPLPAVPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGSMAQRCKIEDPSGFILFPLVIHSFDLIVSSVGIFSIRGTRDPGVISVMEDPMTILQKGYSITIILAVVTFGLSTRWMLYTEQAPSAWLNFALCGLVGIMTAYSFVWITQYYTDYKHEPVRKLALSSSTGHGTNIIAGISLGLESTALPVLVISVSIISAFWLGQTSGLVDGDGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSRQPESVREITDVLDAAGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAHSPFTQVDIAIPEVFVGGLLGSMLIFLFSAWACAAVGRTAQEVVNEVRRQFIERPGIMDYKDKPDYGRCVAIVASASLKEMIKPGALAVISPIFIGILFRILGYYTGHPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KVH94056 pep supercontig:CcrdV1:scaffold_757:62598:67478:-1 gene:Ccrd_003885 transcript:KVH94056 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MAILLSTTAPASSRTMTLILKVHRSIRFLHCTGLKDQNFKKKDSYVALSINGSPSTTRTINDSNHGKKSRKRYNKQQPLLSEGRDYDANSGLVCPGCGVFMQDDDPTLPGYYQKKKVEVTYVSEDEFIVDDIDGELEDGDVGGGELDSKDDDEGNGELGIKDEFDWDSDWASDFEDDEDDLSRELDGFTAAGVGYGNITEEVLEKGKKKRVSKSERKRLAREAQVDKEEVTVCARCHSLRNYGQVKNQTAENLIPDFDFDKLISTRLMKPTGNADSTVVIMVVDCVDFDGSFPKRAAKSLFKALEGGQEGLQRSKKLPKLVLVATKVDLLPSQISPARLDRWVRHRAKAQGAPRLNGVYMVSSRKDLGVRNLLSFVKDLAGPRGHVWVIGAQNAGKSTLINALAKKGSVKVTKLTEAAVPGTTLGILRIGGILSAKAKMYDTPGLLHPYIMSMRLNREEQKMVEIRKELRPRTYRIKASTSFYLFFLLKSQMWSVRIEQRKLHIYKGAHTYEETDHTLYLIQDVGILQQGQAIHVGGLMRLDLNQASVQTIYVTVWASPNVSLHLGKIENADEIWNNHAGIRLQPPFGVDRVSELGKWESREVKVSGASWDVNSVDLSAAGLGWFSLGLKGEATLTLWTFDGIEITAREPLVLDRAPFLERPGFLLPKAVSDAVAHQNEAPSQNESA >KVH94051 pep supercontig:CcrdV1:scaffold_757:163679:166140:-1 gene:Ccrd_003890 transcript:KVH94051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNERKGEEIQSTEGSQGSNLLLTAKSYDPNKKLLSLQVSTEKYFFNIRKSLVEFDEVLEVDIRGILCTSSLSENRKIKARHTFLKSMPFSCTRLPPSCFGIEQIIGKDRNY >KVI10830 pep supercontig:CcrdV1:scaffold_758:113219:115807:-1 gene:Ccrd_010769 transcript:KVI10830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MSAGFLKNGNKAHLYVNTIWKRHSISNSIGAPIIRHHFQQPKASYSRFPQRKAKIEFPVPFPTTNNTEAATRLSSISKGGLIGWYLGMVKTHPILTKSVTSALIYTAADLSSQTMTRSSSESYDFVRTFRMAGYGMIVLGPALHYWFNFVSRILPTRDLIATFKKMFLGQAIFGPVMTVVFFSVNAGLQGENGAEIVGRLKRDLIPTMINGVMYWPVCDFITFRFIPVHLQPLVSNSFAYIWTVYMTYMASLEKAATNH >KVI10828 pep supercontig:CcrdV1:scaffold_758:54850:62062:1 gene:Ccrd_010763 transcript:KVI10828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPQVTGTELVSPPSDGISNLRFSHHSDHLLVSSWDKRVRLYDASANVLRGEFLHGGAVLDCCFHDDTSGFSASGDNTVRRLVFDHEREDVLGRHDAPVRCIEYSYATGQVITGSWDKTLKCWDPRGASSQERALVGTYTQPERVYSISLVGNRVVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFELTEAGQAKNYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSVAALSFSRDGRLLAVASSYTYEEGEKSHEPDAIFVRNVNEVEVKPKPKAYPNPSS >KVI10834 pep supercontig:CcrdV1:scaffold_758:139684:140732:-1 gene:Ccrd_010773 transcript:KVI10834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPTFRARAGSCFKGGGPDVSLSLNPQFHPPLLSDSKSPIPFLSKMAMPLGFFSTFSSVTPSLPKSSSSSLMLVQPASLQPYHAKPRTLVTYATASKPATETQTKKRAPRGFSKPRPVSPEMKDFLGGRTEIPRTEVLKVIWAYIKEKDLQDPANKRVIICDEKLKKIFGERDHVGFLEIAGLISPHFRKEEETTCN >KVI10822 pep supercontig:CcrdV1:scaffold_758:80019:80690:1 gene:Ccrd_010765 transcript:KVI10822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEDHLHPVQDSNWNKGKRSKRPRSTTTDDDVDTTLDLPPTEEEYLAFCLMLLASGNPATTLPPPHHNNNNTNTNTYKCSVCNKGFGSYQALGGHKASHRKNSINAGSDDQHPSTSSATFSTSSVLKPTGRAHECSICHRSFPTGQALGGHKRRHYDGNNPTTSANSSTPTHPRDFDLNLPAFPEFQLGLSVECSGKKSQLSMKEQEVESPLPMKKPRLSIMAD >KVI10835 pep supercontig:CcrdV1:scaffold_758:138295:139377:1 gene:Ccrd_010772 transcript:KVI10835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MGKEKDENGTNDDPITGNEFHKLISCRNHMEPYGIDTFSLHTLFGKNLERATRIVDQKGVKKISAETSGRSIFQIIGESKRKEEYLCFPQHYCGCYSFFYDIVNRGEQLYCKHQLAARLAVSLGTCDDVKVSDEQLAQLLAKL >KVI10839 pep supercontig:CcrdV1:scaffold_758:19182:21660:1 gene:Ccrd_010758 transcript:KVI10839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MQGKNTVKDWDENILFSPFTKQEWRSYQQKKINEGRKTELIFKITYANKTAVKGARLYIKQLTSDFHWGCGMTHRILNNEAYKNWFASKFTAADFHNELKWYYTEKIQGQENYTDPDAMLKFADENGIIVRGHTILWDDIKYQQKWVKALSPEKLLEAANKRVDSVVKRYKGRLVGWDVMNENLHHHFFEKRLDKNASATFYNRVYNIDPDTTLYMNEFNTTEHVNDKDAPPNKYLRKLKEIQLYPGNGGIKMGIGLESHYGAEPIDLHYVRSSLSLLSQAGLPIWLTELDVIYLEEILRESFSHAAVKAIIIWTGASIDGCDVMCMTNEKLENTPVGDLIDRLMEEWRTGDIELVADSNGSSEVSVFNGRYEITVVDPVTNASTCVSFKIDKVSIPNKNIHLQILG >KVI10824 pep supercontig:CcrdV1:scaffold_758:96335:102418:-1 gene:Ccrd_010767 transcript:KVI10824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF566 MMVAAVSETTTRNQTTRLPSEKDNGIITSNKSIRPKSRQVSSRYLSPSPSTSSNSNSNSNSSSVPTLTPSRRSPSPLVSRNLTTPAAKRSVSADRRRPTRSDLALKPNNVGEVSAATKLLVTSTRSLSVSFQGEAFSLPISKTKVTTPSPNLTSVRKGTPERKRPPTPLSGGGDHVENTKPTDHHRWPARIRQANVSLSSKSLSLDCGVEKSNKVNSSGNVIRALHQSMNLDTRRASIDGGRSSLDLGNSIDLLNTHQENPDRNSVKDSYMVSSDHTASDTDSVSSGSTSGVQENGGLNRLRNGPRGITVSAKFWQETNSRMRRLQDPGSPLSTSPGSKLITPPRVSNVKKFLSDSPLSSPRTMASPNRMRPSSPSKFMASPSPSRGMISPRRNSVSGISSNFSETPSVLSFAVDVRRGKVGENRIFDAHLLRLLYNRQLQWRFVNARTEDIVLKQKHGVEENLWNAWITISDLRDSVTKKRHRLQLLRQKLKLASILKAQIDFLEDWAYLDKDHSVSLLGAIEALKASTLRLPVGAGASVKDSSLRTHMLQTSRVS >KVI10838 pep supercontig:CcrdV1:scaffold_758:21721:25894:-1 gene:Ccrd_010759 transcript:KVI10838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MAFRSVFDASFIKAEFEKAGINTHCIPSIWKYVIQNPNCDWPEIPSLPLAAYSLLSSKFKPCTSIVDSVLDSTDQVTNKLLIKLQNGAFVEAVIMRYDSSLGKYGGKPRPGGPRSTLCISSQVGCKMGCKFCATGTMGFKSNLSTGEIVEQLVHASRLSPIRNVVFMGMGEPLNNYTALVEAIRVMTAYPFQLSPKKITVSTVGIIHAINKLHGDLPNLNLAVSLHAPVQDIRCQIMPAARAFPLEKLMASLAEYQKKSQQKIFIEYIMLDGVNDEEQQAHQLGRLLETFEVVVNLIPFNPIGELSQFRTSRDQKVTRFQTILRSTYNIRTTVRKQMGQDISGACGQLVIDLPGKKSAGVASLTDIEDLHL >KVI10836 pep supercontig:CcrdV1:scaffold_758:132997:136863:1 gene:Ccrd_010771 transcript:KVI10836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMTSASELFHSRRYRFGLRHNAVDVSGFDSSLPDADSSSSHQHRTVNRRHNHHYSQNNRREHRHDLDVCDPPSRRIHRRRLDHSEHEPVVQPEQGRSQSPSGSIINSDDFRSIQRRRETGNDRLPGSVLLARERLVERLRGVSVSGNRYNHHCHKA >KVI10826 pep supercontig:CcrdV1:scaffold_758:33165:40949:1 gene:Ccrd_010761 transcript:KVI10826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complement Clr-like EGF domain-containing protein MERRGSMMAKLLLGFMLLSCMYHQSAMGRFVVEKNSLTVTSPENIKGNHDSAIGNFGIPQYGGSMAGTVDYPKENKKGCRKFDEFGISFKANPGALPKFVLLDRGDCFFALKVWNAQNAGASAVLVADDIDEALITMDRPEEDGASAKYIENITIPSALIARSFGETLKKAISSGDMVNVNLDWRESVPHPDDRVEYELWTNSNDECGVKCDMLMDFVKNFKGAAQILEKGGYTLFTPHYITWYCPQTFTISKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVLENLRQLCVFKVANETEKSWIWWDYVTDFQIRCPMNEKKYNKECADEVIKSLGLDLSKIDKCMGDPSADSDNPVLKEEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICSGFEETTEPAVCLSDDVETNECLENNGGCWHDKAANVTACKDTFRGRVCECPLVDGVQFKGDGYTSCVASGPGRCKINNGGCWHESRDGDSFSACLDNENGKCACPSGFKGDGVKSCEDIDECQEKKACQCPECSCKNTWGSYECTCSGDRLYIRDHDTCISKTTAEGKSSWTVVWVVLLGLAMAAGGAYLVYKYRWRSYMDSEIRAIMAQYMPLDNQSEAVNHANENRA >KVI10821 pep supercontig:CcrdV1:scaffold_758:64147:70608:-1 gene:Ccrd_010764 transcript:KVI10821 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MATSLLLSPLSAPTVDNNRDSSSSTTLFLKSSFLHNTTHFICATPRKDATVRGRCFRSPVTAAAGDKSLDHIPKQFREGNLQDGLMDNFKNVPQHLYGLSPSQMDMFMTEDNPVSRQAESVTEETISSSHNYLNNGGMWSMSGMNDSGPAKYSMSVSMYRGGGRPGGRPRSAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPAKPIYLYINSSGTQNDEMETIGSETEAYAIADIMAYCKSEVYTVNCGMAFGQAAMLLALGTKGYRAMQPNSSTKLYLPKVNRSSGAAIDMWIKAKELDTNTDYFLELLEKGIGKSKEEIHKDIQRPKYFQAQEAIDYGIADKIISSRDHAFEKRNYDDILAQSKAARRGAGGGAQAAPSGFR >KVI10829 pep supercontig:CcrdV1:scaffold_758:108705:110986:-1 gene:Ccrd_010768 transcript:KVI10829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 MGHSNVWNSHPKTYGPGSRTWSTFFGSRVCGNSHGLIRKYGLMCCRQCFHSNAKEIGFIKMSPV >KVI10840 pep supercontig:CcrdV1:scaffold_758:7510:15635:1 gene:Ccrd_010757 transcript:KVI10840 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase, Y-family, little finger domain-containing protein MAKSEETSSSSSLRPWQSYHTVYTNAKAGMDGVDKEKVQRVVYEMSKGSKYFENEERKEAYMKQKIENMRAQCAKLKATDISHYQRVADKRIVELEATRDLSRIWLHVDMDAFYAAVETLSNPSLKGRPMAVGSMSMISTANYEARKFGVRAAMPGFIACKLCPELVFVPTDFKKYTYYSDLTRKVYQEYDPNFTAASLDEAYLDITKVCKERSMTGEEVAEELRLNVYKATGLTCSAGVSPNRLLAKVCSDINKPNGQFVLPNDRAAIMTFISSLPIRKIGGIGKVTEHILKDVFEIKTCEDLLQKGSFLCALFSPSSADFFISVGLGLGGTDAPQVRSRKSMSNERTFSATKDEAFFYQKLEMLSADMEKGGLCGRTLTLKLKTASFEVRTRAVTLQSYIWSSKDILKHASKLLKPELPVSLRLIELDQNICSSSDNNEKAAEHNELEGNGYKDQINENTLVENWTKSSAFDPHVTETHSLEGKNQDHSRRIGFSDNETEPSSSNHEEPFIWLDNYKCLLCGVELPPSFVDERQEHSDFHLAEKLQEEEESGDSYKKFPLKHRMRQKESIVGQSKGKKKQKHSPSNDKHIPIDAFFTKTSQNS >KVI10837 pep supercontig:CcrdV1:scaffold_758:127748:129584:-1 gene:Ccrd_010770 transcript:KVI10837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MASLSFSFFLLSILLSFSPILCTNSEGFQNLHLIVLKSLFFGGIVHGFCALTQNLENPSHGSCSILDFDLDVSGNALHVLRTKLSDPKNVLQSWDPTLVNPCTWFHVTCDSSNHVIRLDLGNSNVSGTLGSELGELKHLKYLYSLTTLFYLFILLLFFVAILCSCCQNCRELYWNNIEGKIPKELGNLKNLVSMDLYGNKFEGEIPKSFSKLKSLRFLRLNNNRLSGPIPRELTKLSNLKVFNVSHNNLCGTIPVDGPFASFPSNSFENNRLNGPELQGLVAYDFGC >KVI10833 pep supercontig:CcrdV1:scaffold_758:143047:146625:-1 gene:Ccrd_010774 transcript:KVI10833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF620 MERKQGFFSTLRDEVVSGLSPARSRARSPARSGSPMSGLLRLKPKQSPYQESFVGRSGSLSGETLAPLIEGPDPAASELGESKRSGSVIGNWVKGHLYRAPSITASMAYKQRSDLRLLLGVMGAPLAPVNVSSTDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIKNAYAMGKVKMVASEFETASRVVKNKHAAKGAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFVDAKCIGEKKINGEDCFILKLCADPQTLKARSEGPAEIIRHVLFGYFSQKTGLIVHMEDSHLTRIQTNGGDAVYWETTINSFMDDYRSVDGMMIAHSGRSVVTLFRFGEMAMSHTKTRMEEVWTIEEVVFNVPGLSMDCFIPPADLRSGSISESSELPQGGERGKAAIAIAAHRAKVAALEKGQDNVVWKMEM >KVI10827 pep supercontig:CcrdV1:scaffold_758:42103:49734:-1 gene:Ccrd_010762 transcript:KVI10827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVVRSYSEWVIDGEYDWPTKCCHCQVVLEEGGDSQTTRLGCLHIIHTSCLISNIKSYPLHTAPAGYVCPACSTSIWPPKSVKDSGSRLHSQLKEAIIQTGMEKNVFGNNPVSLPTRDSRGSSPALSSDPLVTKDAVGYSVSSGNSSAKPSSVDIVEIDVPNSANHEGNFLRSPTSGVPGATTRKSAQQVERQNSEVSYYADDEDANRKKYTRRGGFRHKFLRSLLPFWSSALPTLPVTAPPRKDGNGEEIHTRQHRPSRMDPRKILLVIAIM >KVI10832 pep supercontig:CcrdV1:scaffold_758:154300:154623:1 gene:Ccrd_010775 transcript:KVI10832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGGVRWMAIRYVGGHTIVVCGFLVNFKCKVPTEDLNLVVTITSYEDLVAVVEEYNRVSPNLKIRVVLFPVGLLKTISSVFICYFAC >KVI10825 pep supercontig:CcrdV1:scaffold_758:29896:30899:1 gene:Ccrd_010760 transcript:KVI10825 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATH-like protein KLELYPNGNEEEYGNDHISLYLVICDTQSLARGWEVHVYFKILIFDHIRHNYLTIQGVDGKRTRFHERKTRWGFSKLISLDSFKKAESGYLYGDSCEFGAEVFVVPKYAQKDQSLSIIKPPATMNSHVWTISKFSSLTDGPLCSEDFKVGQVKWKLSLYPKGFRTRRNTNLSIFLRPHEVGSGSNAGPSSRSNDWRVYAKFKIRVKNQEAGEADHWFCNAADGWGFPCFMLLSDLHDSTKGFLFDDNLIVEAQIL >KVI10823 pep supercontig:CcrdV1:scaffold_758:93808:95410:1 gene:Ccrd_010766 transcript:KVI10823 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein CCGNSHTFLYIYRPPKTCSTFQSLRVPSNNQAINCYMSSTMSCNGCRVLRKGCNENCILRQSLCAIESAQAQANATVFVAKFFGRAGLMSFLSSVPEYQRPALFQSLLSEACGRTVNPVNGAVGLLWTGNWHVCQSAVETILRGGSISAMPELFPVGATQVMAESDNASEAINCNFDDVANLIPENLELRLSRSGLKEEDARRSSGNGRWTEKLRTAKSSDVSETTTSESGTGSACRDTKLLRLFL >KVI10831 pep supercontig:CcrdV1:scaffold_758:178335:179929:1 gene:Ccrd_010776 transcript:KVI10831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaO MDLTFLKSPVTSRNPLRVSQASGGNSFQRDWLRKDLNVIGFGLIGWLAPSSIPAINGDSLTGLFFGSIGTELAHFPTPPALTSPFWLWLVTWHLGLFICLTFGQIGFKGRTEDYFEK >KVG24985 pep supercontig:CcrdV1:scaffold_7583:15801:16939:1 gene:Ccrd_026506 transcript:KVG24985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin supergroup MGERETEIEVRTLTGETITVSISLNSTIHELKLLLKQIFPPATTSSNFHLFLKGTKLGLQSQISSNLINHGEFIVLIPFTKKDKQQTVKQGANETNSQNLHQCSTSKFAELAWSDMMQDLSSLRDRETDGNESHSHVDVGSTNSDNQNKANKRNCKSLFDEAIHEFLNTLQSCHNDELDEKSCERFMQILESVNCLSDPGTRNCIIGTANIRASEINCTAHSSYSCFCPSWLKVLLKAFYFLNIYCTFLQVQQKKVTQHSLEGGLHQLCKFGLGFEYADLENLAVLCPQ >KVI00568 pep supercontig:CcrdV1:scaffold_759:74623:76008:1 gene:Ccrd_021183 transcript:KVI00568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MESLNLDPEMNFPKDFRCPISMEIMDEPVIISTGVSYERGLEILLQIIAQILEDGSDFVAFRACEEALGVLQHLLISQEDGKIIEMLSNPKYMKSMAIVLERGSREARLHTISIFQEIAKSDFTWNLIVNDLGVSMFKSLLEFLSDEICTKESSFILQVWIKILDSSKRSRLKAIEAGAICTLIELLPDSNRSKCEKILQIIKLLCECADGRVAFMEHRLGIGAISKKLLNVSEIASKICVKIFWLISNFHPADVVLDEMMIYGAVKKFVALLHMSGPSSTKDKVAHMFKKHGNSWRRDPCFPCELNDYSSTLQVHHGHIMDN >KVI00566 pep supercontig:CcrdV1:scaffold_759:96741:97535:-1 gene:Ccrd_021181 transcript:KVI00566 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MSNNLDLSSTSPFSLQNLQNRPDLHLQIPPDSEDDNNQHTPGSGSGSGDVVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEISSGCDVFESIANYARKRQRGICIVSGSGTVNNVSLRQPAAAGSVLTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGNVVGALIASGPVIVIAASFTNVAYERLPLDEEEAAASSGGGGDVNGGVNHPFPDPSSMGLPFFNLPLNMPNVQLPVDGGGWSGNMASRPPF >KVI00567 pep supercontig:CcrdV1:scaffold_759:82277:82721:-1 gene:Ccrd_021182 transcript:KVI00567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-25/LEA-D113 MQTIKEKITDLKATSKAKSEAKQEEKVEKDLAKSRADVAHEIRLAKEAEAAMDRHVNKAVEKAANYDAKLAEDKRSGHENDGLKNRHDAANEQRAADHSGGDKASNIPTHLSKYM >KVI00565 pep supercontig:CcrdV1:scaffold_759:173615:174241:-1 gene:Ccrd_021180 transcript:KVI00565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEIEGYKHTLEMEPDIVCWERIYLDELLRWIDYGDGSVIEAIGDGRVGCLGDMAKGCWGAVSVTTSSCRGMEGIGESTVAHQL >KVI00570 pep supercontig:CcrdV1:scaffold_759:23779:26331:-1 gene:Ccrd_021185 transcript:KVI00570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter RKVEEKTVKNSDECTLDGSVDRKGQPAVRDRTGSWFAAILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAIFVVGLISLSLSSYIFLVKPEGCGNESTPCGTHSGFATAMFYVSIYLIALGNGGYQPNIATFGADQFDEDHPNEGLGKVSFFSYFYLALNLGSLFSNTILGYFEDKGTWALGFWASAGSAIVALILFLVGTPRYRHFVPTGNPLSRFCQVMVASVRKWKVMIPSNGDELYEVDGKENPNNGSRNILHTKGFNFNEMNADDSYETRFLDRAAVITSKENSFEKVDMKSPWHLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMKTNIANFHIPPASMSSFDILSVAAFIFIYRRVLDPLVVRLKKSHCPKGLTELQRMGVGLVIAILAMVVAGTVEHFRLKYKDNDCSNCEGSSSLSIFWQVPQYVLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVTIVMKISSTDRMPGWIPGNLNKGHLDNFYFLLAVLTTADFVVYLLVANWYKYIKFEGRSEKLEGCQHV >KVI00569 pep supercontig:CcrdV1:scaffold_759:59756:63515:1 gene:Ccrd_021184 transcript:KVI00569 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MVGTASFVQKLGNSVKKVSESKSSSWWYNHHMRAASRAIFERIPLVDLVLEVRDARIPMSSGYEQLRYFPSSSRNIIILNKMDLANHSKTKEWMAYFKERNYIPYAINSHNKDSIKEFLNFLQARVRDIRRSTHSSETHTTTVMLVGIPNVGKSAFANSLHQIGRISAAEREVKTRHCESTARADKKHHQFKGTIDDILIDQSELAQYFLSILNFSDEYKKWAKISTTDDDEAALSLGASSGGSEFDKRENQIVNDHTQDFVVRAVRRALFHEISAFKGNLEDEKDMVQLIEAQFTALRKAFNVTSNPCGDTTGNGMVAAKLINLYRTGRLGHYTLDTLPRISES >KVI00571 pep supercontig:CcrdV1:scaffold_759:175108:179969:-1 gene:Ccrd_021179 transcript:KVI00571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3550/UPF0682 MTSYELVFGCLSEIYPERSGEVGPVFKIYARLWKFQQENGHKLVEAGLKRREMVHQLKMLVAEAKRAFQETDFKEWKQVIRDITKILKVDTAFMNIRHLRYSIMMDLDPDCLPCVACTKRKLRLRDGILCSYHPNEVKFSELTLDNFRMLQCLEWEPSGSFYQSSGITSTGVGTNTGQNGGLPGSIRINYSQETVGPTLPPNTPKAVLYRPSITHFIAVSVALMTNVINLLAKR >KVG24346 pep supercontig:CcrdV1:scaffold_7590:3756:15316:1 gene:Ccrd_026507 transcript:KVG24346 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrD-like Helicase, ATP-binding domain-containing protein MAVHEWINKKKKKKKMEKLKRLAFDFVRLMMEIRGSFRKKKKGAFRPCQDRHDFSQLIFSWSLDDILNEELYKFQVEEIPLTFQSVEHYLSSFVYPLLEETRCELASSMDSMSNAPFAEISSFTKVKGDKEMLYDVNVVTWKNRFSERGKEPYRTLPGDLFILADGRPESISDLQRVGRTWAFALVSKIADENIKHDSNENEDDSTSVYFEVKASQHIESQHGLFVVFLMNITTQKRIWNSLHMHGNLNIIKEVLSSDSVVKDRCDICSFGCNIDSHGQHLLSKMNESQRDAIIASLCKTECYHNSCVERIWGPPGTGKTTTVSVLLSILLQMKCRTLTCAPANVAIVQVASRVLNLVRESFETRLQSGDSFCSVGDMLLFGNKERLTVSTDIEDIYLEERVERLTECIGSMSGFIRSMTGLLEDCVSQYHDFVENVLSNEEQHAKENHTMTSKENQTKPEKFEVKSFIEFLRDRFNASAPKLRSXIITFCTHIPRNFMGEYIFRNMVSLLENLGALESMLFQENLVSEELEHLFTSKPLQADFVKFEDKLSINYFRIKSVSVLKTLQTSLGSLNLPSVENFWEVKDFCFKRASLIFCTASSSYKLHAVXMEPLKLLVIDEAAXLKEAESTIPLQLFGLKHAILIGDEFQLPAMVRSKNQILDGENVTCTSYEKQYLSGPMFGSYSFINVLEGREEKDDDGQSQRNLVEVAVVIKIVQNLYRAWTSSRKKLTIGIVSPYAAQVVSIKKKLAPKYEELPGFSVKVKSIDGFQGGEEDVIILSTVRSNRYGSVGFLCSPQRTNVAVTRARERTLANSDSIWRELVCDARSRHCFFDADADESLKXTIIAXKKELEQLDDLVNGNSVFFKDAKWKILKKFKVQGIYVICTIDIIKDFKYLQVLKVWDILPLEDIPKLAKRLDNIFAAYTDDYINRCTEKCLDGDLEIPRSWATTQEVSRFRNLSNSCEGDSEVSLNPGDGRIYVENSKVSESLLLMKFYSLSSGVVNHLLSGKEYDLPMQVTDEQMDIILFCKSSFIIGRSGTGKTTILTMKLFQNEESFRIASEGIYAAERSLIRDAEVVDGSEENKPTVLRQLFVTVSPKLCYAVKQHVSQLTSIALKGNLSTEINLDDAEVTSEIKDIPDTFVDIVHTNYPLVVTFDKFLMMLDGTLGNSFFERFPEARELFCGSRISSRSVALQTFLRSKKVTFERFCSLYWTRFNSDLKKKLDPSRVFTEIISHIKGGLQAGESPDGKLSFEGYSLLSQSRASTLPKEKREIVYNLFQAYEKMKTERGEFDLGDLVNDLHHRLRNGKYEGDQMBFIYIDEVQDLSMRQISLFKYICQNVDEGFVFAGDTAQTIXRGIDFRFQDIRSLFYKEFLSNRTTEKQEKGLVSEIFQLKQNFRTHAGVLELAQSVIEIMYSYFAHSIDVLEPETSLISSELPVLLEAGKDENAIVTIFGGSGGGNGGEIIGFGAEQVILVRDCDKTEICEYVGKQALVLTIVECKGLEFQDVLLYNFFGTSPLKDQWRVIYGYMKEKNLVDGKGCHSFPSFSEARHNVLCSELKQLYVAITRTRQRLWICENKEELSKPMFDYWKRRGLLYYENNFVMATMCFERAGDKMWEKLAKASGLRTSAEQMRGMNPEAASSYLREAATMFESIGKFEPAASCYCDLGEYERAGRIYLDKCGQTEAAAECFTLAGCYSDAAEAYAQGDKYSNCLSVCKKGKLYGKGMQYIEHWKEHANVQNKELEQIKQDFLESCALDYHKQKDLISMMKFVRAFCSMQTKRAFLRSVGRLDELLLLEEESGSFVEAAELVRSWGDVLKEADLLEKAGHYKDATLLILWYVFFSSVWGNGSKGWPLKQFAQKEXLCKKAKSLSXXDTDNFYDFVCRELRILXDQXSSLPELKKHLQASQRHESLRGEMVSNRKILDAHLKLNSSKYDWDDELPSDLTQYAENKIFWNRVSVKTLXFYWNMWKDHVVDIFQSLETFDGGEANEYEGHIEFILNYFGLRKQCVXGNVVYLLVSKDADWIKNGGHKGLHQDGKRLTLDSRQLVFAIRFYWQLELVSVGIKVLETLGALHKFMSQGSAFRKGTCLLHIFEVSKFLLDYSYLNLTYSNKQTLENYLGFSTTYFDLVFPLDWRSSISADFVSLKETDVSVNLLNEIIRFMIKGNLTYXXIGRVMMICLGSRKPTALYNIIHTGVEWNLSWKSFVEKFQNGCWEDVYVALQNALRDTYRANWRLPGYISPHSFVYLLDRLFFMASFSSGIIFTTKSSFVEWFTHHHFAPNTAHSNPPDESFYVVMVREILYNQEETVAWIKKSDMNPRFYHPVFVLKLVMILCLICLKVSDCSEVLRDLLFGMNNIAYLLPKEFVGGLLKRRKSRYLNLNADVVAEAFLTIEDPLLIVSTENASPRIHAPCAMFVDLRRSRDEIMNVLFPKKNTHSDSTSEAPSSNPLPDSNFNVDPADGCKGELVMKWNILDDITEVINGEKGELQSSFSDYAMIKKELDKIVHAIATALTNAKKCAGEYATLLREVRCAFDELELLSCWFDTSGLEVKNLVFSSDETKSLQEVVEVLQSRRPMMDDFLNQFGMREEPQKLVSESRNTSRVNNDGSGCNHSSVLEVVPEKHKKDGSTQEAVAKKGKGNKEKKKRKNNRKKC >KVG24347 pep supercontig:CcrdV1:scaffold_7590:16526:16921:1 gene:Ccrd_026508 transcript:KVG24347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma Purothionin MLEVKKMRPSMKLFATVLLLVMRLMANEMVVEGRTCESQSHKFKGTCVSDSNCGNVCKNEGFPGGKCRGFRRXCFCTKHC >KVG23917 pep supercontig:CcrdV1:scaffold_7597:10968:16974:-1 gene:Ccrd_026509 transcript:KVG23917 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MDDSATSVAMVGCGIPLDEPYLQYRLSVLAKEERKGLRSGKIPVNESFYLIGTADPTGTLNSHEVCIILENGQISGKVLVYRNPGLHFGDIHILTAKYVEELEGFVGNAKYGIFFSTKGRRSVANEIANGDFDGDLMVAGKAADSWQTFMDHYLSLGENYADEKHRIKEKLLKLVDLYYDALDAPKSGKKVEIPKCLLPQKYPHFLEKKREMSYDSTSVLGKIYDAAIEYPSCNAIKQDIWKLPCFNVEIPEASLNLWNNRYMSYKQEMCNAMNAGDESKTNSANIVIKKYKQMFYEAEDFATSTRKIEDLHNEALAIYHVTYDYARSTNDVKXCGFAWKVAGEALCSFHVMKTPGRVFNSSVVT >KVI08359 pep supercontig:CcrdV1:scaffold_7599:6584:10810:1 gene:Ccrd_013269 transcript:KVI08359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) UniProtKB/TrEMBL;Acc:A0A178VEZ3] MDAKTPLLSSEEDSNHIRRHRRTSVTSLRGDFLSNLPDKVRRGLDPESPFQVDLSKTTGLIEGEKEYYEKQFAALKSFEEVDSLRSTNIVDNEEQELIEQAQHERAINISNWANIFLLALKLYATVQSGSLAIAASTLDSLLDLMAGGILWFTHRSMKSINIYKYPIGKLRLQPVGIIIFAAIMATLGFQVLIQAVEQLIKNSPSEKMKDFQLIWLYAIMLTATGVKLILYIYCRSSGDKIVRAYAKDHYFDVVTNVVGLVAAVLGDEFYWWIDPAVSLVGQSAPPDFLQTLTYLVLRHHPKISRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHGIGESLQIKIEELPEVERAFVHLDYECDHKPEHSVLSRLPNTQP >KVI01780 pep supercontig:CcrdV1:scaffold_76:12764:13465:-1 gene:Ccrd_019966 transcript:KVI01780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MTSGGNTSRYYPSSSSAASIKGCCCCLLLLFSFLALLSLTIILVIVLAIKPKKPQFDLQQVTVQYINLAATNSFTAAVDSPSSASLSLVIRMLFTAKNDNIAGIKYRDSTFNIMYRGIPLGRGTVPGFYQAAHSAKNVETTVSVDRVNLLQADAADLVRDASLNDRVELRIMGDVNAKIRVIGITSPSVQVSIEHFIFLLELEIFRALCWLLLLCFTIASQTPTCPFANIRGF >KVI01765 pep supercontig:CcrdV1:scaffold_76:250997:259544:1 gene:Ccrd_019948 transcript:KVI01765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSQVNEKAPEVKPTTATEEKTDPSVKKTSSGSSSSDAQSGTASAIPSMPGAGFPANPFDFSSMAGLLNVCIHGCPGFRSSIQIYQLFSAVEAYAVATYTTGFFFIPCCLQDPSVKELAEQIAKDPSFNQMAEQLQKTFHGGEGSAPQFDSQQYYSTMQQVMQNPQFMSMAERLGTALMQDPSMSQMLESLSNPAQKDQLEERMARIKEDPSLKPILEDIENGGPTAMMRYWNDKDVLQKLGEAMGLPVAGDATAFAGNPGADEAEEASEDESIVHQTASVGDVEGLKKALESGADKDEEDSEGRTALHFACGYGEVKCAQVLLEAGTKVDALDKNKNTALHYAAGYGRKECVALLLDNGAAVTLQNMDGKTPIEVAKLNNQNDVLKLLEKDAFL >KVI01766 pep supercontig:CcrdV1:scaffold_76:260422:268958:1 gene:Ccrd_019947 transcript:KVI01766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMPYIHTKGVNVAARLRLNAKEILCFRYNLLEGIWVEIGNCPGRRRIKGGDIQGHRRRWDTGMAAADIEAEEIEAADLLIPIAVLDWSPRRAGELPETIEIQQREHEIKRRSRSISPRRHRKSRSPTPRRRKSRSPTPRRHRRQKSRSTSLSPIANSPSIESIERKVVSEKTKIEEEQQKKRFQIEFWPSFLDETNSVKGYKLKDMRPFQFKLPLHIYFGGIDCWPVIHPLFDSRGLPTLAIEVYNSTISSEVVNVAIEVGEERELKAISMRQHEAELKLLEEETAKRVEEAIRKRVQERLNSDDIKLEIQKRLEEGRKKEQARKEKEELQRLVEENRRRMEEAQRREAMEQQRREEERYRELEELQRQKEEALRRKKQQEEEERSKQQKLLGKNKSRPKLSFAIGSK >KVI01767 pep supercontig:CcrdV1:scaffold_76:302823:320107:-1 gene:Ccrd_019946 transcript:KVI01767 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MSSEIFLNGDVYFGNVKGMIPHGRGKYTWSDGTVYEGSWVEGKMTGVGRITWSSEASYEGDFSGGYLDGFGTLTNPDGSTYIGSWRLNIQHGFGRRQYSNSDVYDGSWKGGVHEGSGKYAWGNGDMYIGNWKAGSMCGRGVMKWLNGDLFDGYWSNGYRHGSGVYRFADGSYYFGMWTKGLKDGQGTFYPAGSTHPSSRRLGKKKVLVTPSSSFRSESLKDRTRSIGRSLSDKFSISGFFRDSGQISSKRTSLAEEWSIGDSAREFSAFDKTDTLSHTSDEGGSEVDDNSTVVCEREYVQGVLINERTKNNAGLLDKKEQPRKFQMKEVKKRSFADLFEGNKSYYLMLNLQLGIRYTVGKITPVPTREVRYSDFGERARIRMYFPKKGSQLTPSHSSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSLFYLSHDDRFVIKTLRTSELKVLLKMLPSYYKHVEAHDNTLITKFYGLHEITLRGGKKVQFVVMGNMFYTELRIHRRYDLKGSYQGRSTNKDDIEEGTTLKDLDLAYDFHMDKSLRDALFKQISLDCKFLESQRIIDYSLLLGLHFRAPEHLKTLLEPPDAFHRPEKAPADDGTICHLDRSIPPKGLLLVTHEPNSVNTAPGPHSRGSTLRAFSVGDKEVDLLLPGTGRLRVQLGVNMPAQANHKVSQDVSGSTEVELFEVYDVVLYLGIIDILQEYNVRKKIEHAYKSVRHDPMSISVADPKFYSKRFFNFLEKAFPADTDT >KVI01762 pep supercontig:CcrdV1:scaffold_76:207761:209980:1 gene:Ccrd_019952 transcript:KVI01762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKTRLRSVMENGSLLFQQNSKITQFGKSGRVEEACKLFNQMTHRNTVTFNSMISAYAKNTRINDALNLFDKMPHRNLVSWNTMIAGCLHNDRVDKARQLFDQMPQRDIYTWTLMITCYTRNGELEKARDLFRLMPDKTNPACWNALISGYVNHRRLKEARQMFDEMPVKDLVSWNSMLGGYTQSGEMGLGLKFFNEFPVKDVVSWNLMIAGFVEVCDFDSAWRFFERIPNPNVVSWVTILSGFGRNGQIMEARRLFDQMPVKNVVSWNAMLAAYVQNSQIEEALSLFNEMPEKNAISWTTMINGYVRAGKLEEACRLLDQMPFKNVGAQTAMISGFTQNQRITEAREIFNHISNRDTVCWNTMIAGYAQNGLMDEALDLFQQMVRKDVVSWNTMIAGYAQIDKMDQALVLFNETKHKNIVSWNSLISGFAHNGLYFDAFNYFVSMIQKGNKPDESTYASVLSSCANLAAFQLGTQVHDLVLKAGYEHDIFVTNSLITMYARCGWMLSAKRVFDHMPRTDVVSWNSLIDGYALNGLGKEAVRVMDDMEVAGVIPDEVTYIGVLSACSHAGLINEGLKLFESMSRKYSIEVLPEHVGCMVDLLGRAGRLEMAIQMVKEMKTDDNVGIWGALLDACRVRKDLKMAKFAAEKLSEIEPEEGSNYVVLSNMNAEAGRWEVVESVRDSLKERPSVKQPGCSWIEVQNRVHVFVSGDRLQSENGNFCGNLRTLMLTLATQVKPLSQ >KVI01770 pep supercontig:CcrdV1:scaffold_76:378630:381406:1 gene:Ccrd_019943 transcript:KVI01770 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MGVGKADQIGFEGDAGEYYVWSNSKTPLLSQYKLGAGKLFLRPFGTCTFGLVSPNSREEKVLRIKKGDVIPLPSGVVSWCFNGGHTDAVMVFMGETTKALVPGQFTYFFGAGVLGFLAGFQSEFVGKTFDLDQKQSDTLINSQHGALLVKLENGTKFPEPNEHIKEKLSATIDDPSGDHIILKRGGLINSLTEKNFPTLGEIGLSAKFVRLEGNAMLAPSYVTNGSIQIRYVGKGSGWIRVVGSEGKPALDNRVEEGDLFIVPQYFATAEIADECGMEVFSIITSSNPIFGELAGSNSVWNALSPVVLRSTLNVSEEFEEVFKSKNTKSITIVPPST >KVI01757 pep supercontig:CcrdV1:scaffold_76:184339:185184:1 gene:Ccrd_019954 transcript:KVI01757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MNFTIVNNCHETIWPGITSTNTTLSGGGFTLKPGETATYSPPQGWGGRIWGRTGCSFDKNGNGTCQTGACGSTLTCTTPGTPPASIAEFTFGDITFYDVSLVDGFNLPLTVTPIEGKGDCSVAGCGTDLRANCPSELAFRSSGKIVACRSACNVFDSDQYCCKGVYDNPVTCMPTNYSRVFKTACPLAYSYAYDDPTSIITCSTTDFVVSFCSPRNETQQCSYHDNRRVCNGSTESKPISYLWLVFVLFWPIVIQI >KVI01761 pep supercontig:CcrdV1:scaffold_76:210584:219387:-1 gene:Ccrd_019951 transcript:KVI01761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3411 MTHAVFQTAQIMPINTHLVPTTKTLSSAPSNPLLPASSYRVLPLCRKGLVVRNSSAIVDGEAMAGLERCFRISPSECPPSSSSASAPSHGPVMKGGQYGAFGAVTLEKSKLDTTQKQTKSSPELFDRKFLEAVLNEWQKTMMDLPSGLRQAYEMGLVSSAQLVKYIAINGRPTTARFISRTLPQALSRGFIGRVYVNIDAPLCIKVENQKLLVTDDEVIDHGAILVDLKRSELFSDLVSQILTKLDFFQTIPDPSLCNSISCGVDTSLRMIADPAFLYRFLLEQAATIGSSVLWEVKNRKERIKKEWDLALVNVLTVTACNAIVVWSLAPCRSYGNTFRFDLQNTLQKLPNNVFEKSYPLREFDMQKRIHSFFYKAAELSVTIPSVSNNALGYGAFLGIYANLRYQLLSGFDRAMVTQFDVIGVGLFFSTALRIMNAQLGETSRLAWLGVEVDPLAHSDELLKQAYNRPSETDTNTSSKWFISKNAVTSGLGLLGFKQDQSRTTSEGGAPPPKARRKRIVRKKVTT >KVI01781 pep supercontig:CcrdV1:scaffold_76:176649:178169:-1 gene:Ccrd_019955 transcript:KVI01781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MALSTPSTAITTPHHLPKLSHSHSHSHSVITFPAKLTTTTTTTTTTTNHHLISHNSKWRTSVSFFTGFLNKPKNVESIKQELLDAIAPLDRGAEASPEDQQSIEQIVRKLEAANPTKEPLKSPLLDGKWELLYTTSQSILQTKVTADLTPLNAKKVAVKFDYFKIAGLIPVKAPDTARGSLEITYLDEGLRVSRGDKGNLFILRMIDPSYRVPV >KVI01758 pep supercontig:CcrdV1:scaffold_76:172911:176264:1 gene:Ccrd_019956 transcript:KVI01758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid-associated protein/fibrillin conserved domain-containing protein MKRPKFLRANGNIYQAINVDTLRAQNMETWPFFNQATANLVPLNEKRVAVKFDAFKVLGLIPIRARGSGRGQLEITYLDDELRISRGNEGNLFILRMVDPTYRVPI >KVI01774 pep supercontig:CcrdV1:scaffold_76:101519:102952:1 gene:Ccrd_019960 transcript:KVI01774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1644 MFTCGQNVSDDLNGKKSSIVVPIKDWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSSRYSNCLDQYKNAYTKVTLPQTTLSSVGPNGGPALDPISGWPVEKCEEGAELACPLCRGQVKGWTVVESAREYLNAKKRTCMHDNCSFVGGYKELKKHVRLEHPSAKPREVDPDQEQKWRRLERERERDDVISTITSSMPGSVVFGDYVIERNPNPHGLDSDDEEGFDMDGLGRNNGGGLDMGIDSNLVNVLLLFHAFGPGGNMGAFGGMRRHEDDNDDEGGGDDDGDGDNSGMSLVNRLRHQGRLLLGRSGRRRRHRVVNRGQRRISDGIQRKNNMKWDLKSNLL >KVI01756 pep supercontig:CcrdV1:scaffold_76:104704:108349:1 gene:Ccrd_019959 transcript:KVI01756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MPKSKRDRAVTLSKTKKKGREHKESIVNSIRDAVENYNSIYVFSFENMRNLKFKQFREQLKSTSRFFLGSNKVMQVAIGRSVSDEIRPGLHKVSKLLRGDSGLCLTNMPVEEAQRIFTEYEDYDFARTGSIATETVELKEGPLDQFTHEMEPFLRKQGMPVRLNKGVVSLVGDFVVCEEGKPISPESSRILVSKLFSDFFITLILFRLMGIKMATFKLHLICRWSPEDFQVYQEGLVLGSDDESS >KVI01771 pep supercontig:CcrdV1:scaffold_76:404038:404947:1 gene:Ccrd_019942 transcript:KVI01771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHLGAWTSLSLQTNCPSPTKGRGCREMEKGSRRCCIF >KVI01782 pep supercontig:CcrdV1:scaffold_76:481295:483045:-1 gene:Ccrd_019938 transcript:KVI01782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MFVFLTLVSSLFISHSSCLSPKILANTHGGDGFLPALGTWYGDPRGAGSGGACGWADDVKSPPFSAMIAAGNAKIYLHGKGCGDCYQIKCNRRPYCSGNPITVTITDECPGACNDVPFHFDLSGTAFGAMSSPGQADNLRNLGQIAFKIVAKTNPYWFATAIEFVDGVGEVQVVKIAQAGSKTFVPMHRSWGAVWAADINPPFPGPYSFLLTSPTNKTIMAYQVVPSNFVPGQTYYSHVNFY >KVI01785 pep supercontig:CcrdV1:scaffold_76:500056:501624:-1 gene:Ccrd_019937 transcript:KVI01785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MFVFLTLVSSLFISHSSCLSPKLLANTNGGDGFLPALGTWYGDPRGAGSGGACGWADDVKSPPFSAMIAAGNAKIYLHGKGCGDCYQIKCNRRPYCSGNPITVTITDECPGACNDVPFHFDLSGTAFGAMSSPGQADNLRNLGQVDIQYRRVPCNYGNTKIAFKIDAKTNPNWFATAIEFVDGVGEVQVVKIAQAGSKTFVPMYNSWGAVWAANINPPFRGPYSFLLTSPKNKTIVAYEVVPSNFVPGQTYYSHVNFN >KVI01763 pep supercontig:CcrdV1:scaffold_76:185280:199769:-1 gene:Ccrd_019953 transcript:KVI01763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF639 MQSNFPKSPLPFSLIPKHQHQAFCKIVSCANNSSSSENKNGFKLLGQSVGDIKWRLNYFDGSSVQDALNMWVSKTTNFLGEVTSPLVKNVQDKNHTKTGDAYENSDMDEFFMAEQTIDSRTPSGNLSLAAIVSIEQFSRMNGLTGKKIQKVFKALVPEYVSNDARNLVEYCCFRFLSRDSSEMHPCLKEPAFQRLIFVTMLAWEHPYTNKKESWDNMGEKASFQTKLVGREAFVRIAPAISGLADWTTVHNLFKALAAGQKSISFPVWSKYISELVKVYEGRKSYLSKGTPQISAERILCLGSSRKRPVLKWENNIAWPGKLTLTEKALYYEAIGLKGQEDVIRMDLTKLGSRVEKARVGPFGSDLFDSAISVTSGPESKPWVLEFVDFGGEMRRDVWYAFIKEVISLYQFIRDFGPKEGDQSVKNVYGAQKGNARATAYAINGIARLQALQFSRKLLDEPSKLVPFSYLQSAPYGEIVCQTMAVNCWGGPLTTKLAEIESDCKPGQGMLSSNEVSEVYDHHVYDIDGGVYLQKWMRHPSWTSSASFSFWKNNSVKQSLVLNKNLVVADKTLVEKASTTCRDKYTEVEKTQATIDAAMIEGIPSNIDLFKELVLPLTVIAKNFGKLRRWEEPHLTASFLAFVYALIFRRLLFYVLPVMMTVLATSMLVLKGLKEQGRLGRTFGKVTIRDQPPSNTIEKIIAVKEAMRDVEKYMQNINITMEVAMVLLFGSVVLFTVPFKYVLSLLILDLFTQELKLRKEMVVKFISFLKSRWDTVPAAPVSVLPFDSNTTDAKKKEKEDLPKLERTRNKQ >KVI01778 pep supercontig:CcrdV1:scaffold_76:16003:24406:-1 gene:Ccrd_019965 transcript:KVI01778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pinin/SDK/MemA protein LIPNKRIQRKEGFCESRRGELGKYKGFFGGRRSERDGQMGTTQVEKTEQELRKEIEELHRQQWEITERLRDPRGIRRGGLTGSGPRNFSASGPARQRGFVRPAERNESEDQPAPKRRLSSTVVKIEEGEIVEDAVDASKGDDSNKDSAMEVTGWGGNGENQNNRKPSNWARRDGIHRLSKMDSEVPPSENVPRVLPKDEDPSLVNRNRRMLGQLLGTLEKFRKEDVKLSGSEAFMRRSDSLKRAEQRAREESERLRQQEREQIAEKRRRDLTLRARVAAKAEEKKLELLFLRWSEHQKKLGNFLRTKAEPPIYYSFAKQLEEDSTVLEQRKEQGLNFEGKCWKEESRGKIGTCVDENRRKKVVGRRKVVGEEAFQEWKAARREELSKYQQQIGEQYVANVEKELERWQNGRKARNGNNDTLNLQETMDKELETHRLEHGPKTRKIPGGDNNEDEDDVEDMNVGEDDMMDDVLDVDDSGNRRAEETVKPDAAGNLSPPPANKD >KVI01786 pep supercontig:CcrdV1:scaffold_76:540910:551804:1 gene:Ccrd_019934 transcript:KVI01786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein, N-terminal MVGLLSSVSHAIFTPTTSTLHRRSVNQTRCCRLPSSSFRLSMFSSLGSQSNAVCIFSRKPLSSTTDRAPLAAIPFDIYPPPIDHDLHDDMAIAGVKVSEDWVIETFDNDEEALDVVDNGTVVVDLSHYGRLRVSGEDRIQFLHNQSTANFEALSEGGGCDTVFVTPTARTIDIAQAWIMKTAVTLVVSPLTSGSISEMLKKYIFFADKVEIQDISEKTCLFALVGPKSNQVMVDLNLGDLIGQPYGSHKHYNAIYMQVGGNPVTVAVGSVVSEEGFSLLMSPAGAGLIWKTLLSHGATPMGSNAWETYRILQGRPAPGSELTDEFNVLEAGLWNAVSLNKGCYKGQETISRLITYDGIKQKLWGIQLSSPVEPGTPITVDGKKSGNDHFGLGYIKRKAASKGDTVVVGDNISGTVVEVPYLARQRPLTLNSKP >KVI01755 pep supercontig:CcrdV1:scaffold_76:109492:117730:-1 gene:Ccrd_019958 transcript:KVI01755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exon junction complex, Pym MASSGAANERGGGEEEEAKELAAQLSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQDEVAIYKSKGALLKKEMESLETVPPGYDPEVDVVSKPKSKSAKRNERKKEKRLQASLDKGKSEVSSTEDVDRASEPVESIVFQMNEITISGNPSVATPPLNSTECLATEDHIPDIDKRIRALKKKIRLTEAKTGNELKPEQLEKVGKLEDWRKELKLLEDKKASFHD >KVI01764 pep supercontig:CcrdV1:scaffold_76:240446:244871:-1 gene:Ccrd_019949 transcript:KVI01764 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MAEKARVLLPLLLFMLVLVRKGESVWLNIPRSGNKCVTEEIHNNVVVVGDYVVISDDHLHPTPTISAKVTSPYGNVLHHKENITHGQFAFTSSESGQYLTCFWPDRPGQGGALSVNIDWKIGVAAKDWETVARKEKIEVRNNFQQEAEMRGVSESTNFRVAWFSILSLGVCILVSCAQVWYLTRFFQKKKLI >KVI01773 pep supercontig:CcrdV1:scaffold_76:436480:450197:-1 gene:Ccrd_019940 transcript:KVI01773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MSGQQPKPDVERPVNDSVPGVKGVVDGLTSFQCQQLMAALSGRLASVNIAANVMMIGKGSKQGGLYILEQAAPVKVNAVSVQTWHRRPKLLANTNGGDGFLPALGTWYGDPRGAGSGGACGWADDVKSPPFSAMIAAGNAKIYLHGKGCGDCYQIKCNRRPYCSGNPITVTITDECPGACNDVPFHFDLSGTAFGAMVPCNYGNTKIAFKIDAKTNPNWFATAIEFVDGVGEVQVVKIAQVGSKQFVPMHRSWGAVWAADINPPFPGPYSFLLTSPTNKTITAYQVVPSNFVPGQTYYSHILPTNELSMRSAPFSRAEIVMGSPEGVGERDDLVWVVGSTATVGHHSGSPTLDCEDAAATYNQRLNEGNNLDEVIEEKTADIADNSSGWNWIKQKDYGGRSHFELFVNGDGFSPALGTWYGDPNGAGSGGACGWANDVQSPPFSSMIAAGNSRIFLNGKGCGNCYQIKCSRNPYCSGNPITVTISDECPGACNDVPFHFDLSGTAFGAMANPGQAENLRNLGQVDIQYQRVACNYGGTKIAFKIXKGTNPNWFATAIEFANGDGGLGSVEIAPSVSQGFVPMKNIWGTVWQADINPSFRGPFSFRLTSPTNKAVIAQNAVPAGFAAGQTYFSNVNF >KVI01769 pep supercontig:CcrdV1:scaffold_76:353057:356230:-1 gene:Ccrd_019944 transcript:KVI01769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MDSSSIGESVMVVMDRNRGKASLDALEWAIKYIVGPNDTVVVLGVLPEIGKKAAPSCLPFNVGIGTSGIWMKLEFSTSGPGEMTPSELQEEIGRKKREYQKFLQPYYHNCKKREVTLDIRLAAGYESKKIAVEEAQKLDPRWIVLDEYLKKDKEYIHRNVDCHLALLKAKGVATLIPSKITGPECKEWQTVCRKIDDQAFIAEDTVKEPHSPKQTPPIPCSYPLSWRTGFPRAFSLAEIEEMTNGFKDIALKDQHKIMYKGVFEEFPVVVMRFPADDQAVSLLKIVSRVRHRNILNLVGDNSAANLSWDARWGIALQIGEGIRYLHEEFVDGSIVNLSVCSANVALNSGSSAMLCIYDTTAKQLNVDDDPLKELQSVK >KVI01768 pep supercontig:CcrdV1:scaffold_76:332965:336971:-1 gene:Ccrd_019945 transcript:KVI01768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MSSSFFRIFRRRTNTSDSADELDVDDNPISNSTECYACTQVGVPRFHSTSCPDLLQQLQWEASAGSSLVPIRDRPGSKIIHNHSTNDGRRRRSSGFLSRVYDPRSKSVQRWNRFFLLARGVALAVDPLFFYALSIGRGGIPCLYMDGALAAVVAVMRTLVDAVHLLHMWLQFRVAYVSRESLVVGCGKLVWDPHSISSHYVRSLKGFWYDAFVILPVPQEIMTTLLLIFLFQFLPKVYHSISLMRRMAKVTGYIFGTIWWGFALNLIAYFIASHVSTICLISLNSRSYFVEWSFVYAFRLFLIVMSARRHLFGQVAGGCWYVLAIQRVVVCLRKRCNMKNSCNLTLSCADEICYQFLLPEGTFGDRCAGNSTTSEFRKPACLDVNGPFNYGIYRWALPVISSNSLTVKILYPIFWGLMSLSTFGNDLEPTSHWVEVMFSICVVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSLLRQRVRTYERQNWALMGGEDEMEMIKDLPEGLRRDIKRFLCLELIRMVPLFHNLDDLILDNICDRVKPLVFSKDEKIIREGDPIQRMVFIVQGRVKSTQNLSKGVIATSILDPGGYLGDELLSWCLRRPFINRLPASSATFTCVESTEAFGLDANHLRYVTDHFRYKFANERLKRTVRYYSSNWRTWAAVNIQLGWRRYVARMRRPVSDRVREEDGGSNRMLRQYAAIFMSIRPHDHLE >KVI01759 pep supercontig:CcrdV1:scaffold_76:146975:155362:-1 gene:Ccrd_019957 transcript:KVI01759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase, DXD MCTPRHKLIIPMLQIENIVLHGIFPGNLKYNLGAMKFLNFRSLIIFSAFLRVFLIVYGEWQDTHMEVRYTDVDYLVFSDAASLVVAGKSPYKRSTYRYSPLIAFLLAPNSFIHPSWGKFLFSASGKQFVSDLTTTCPSYSASPLSDKKMPAEADLLVGYFIRIILKLRGVPENLCFYSVIVWLFNPFTFTIGTRGNCEPIVCAIILWIIICLMRGTISSNLFQGAVWYGLVVHMRIYPIIYALPIVIILDPCHFHPVRNPVIVDWNSREAKSRVISRTAKFVDSHQLRVLFSSMFTRPRILFGLISATIFFFFSGIFFYLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLNYERDFSISEKLISFLPQLTVQLVLIFRFAQDLPFCFFVQTVAFVAFNKVITAQYFVWFFCLLPLIIPWSNMKLKWEGIFCILFWMGAQTHWLFWGYMLEFKGKQVFLQLWAASLLFFAANTYTMITIILRHTYSPVFNRIVPARSWKTR >KVI01760 pep supercontig:CcrdV1:scaffold_76:232995:238279:-1 gene:Ccrd_019950 transcript:KVI01760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKPELPINHNHNHNQQQNRHRPSFFVRCSRGFSRFGQLFSFKCVFILLLSVSVSLSAIFSVFHFQHRQSGFDAKDSIKNSAGASNWTDVVFGILSKPVNSPINSVSLILLRSSLVDLFTQCSNLTLTTSVFGMPSSIEILKFPGGITIIPKLSLSGGMLPQVLFNFTLRNSLHDIEENYLQLKEQLESGLQLMPYESVFVQVTNKDGSTQNPPVTVQASVLSNLGSLEPPRLKQLAEEITRSPPAKNLGLDNTVFGKVKEISLSSYLFHTLDAPTPSPSPMPSPDQNDHVGSTIIPSPATSPSPNSLHLPPCTNCVASSPSPQNEPNQSLPPVSNPPAPAMDPYCGGSDLSPSSGPAPGPDPSYHPLPPPPTPTPTSPLPMYPRSRMAPNLSPLPAVSYGSLPQPENRKQKGLAPSPLAVLPSSSSAASGVLRPLLVLILMALHILLL >KVI01784 pep supercontig:CcrdV1:scaffold_76:514764:518975:-1 gene:Ccrd_019936 transcript:KVI01784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase RRPRISISDNHLAGDRTFFQSICFLRFLSPNLVLIQVAVVMANRTVIVWIVAMVCFVVLMFVTPAIPQDQEYHDFADQREFLGIPNALNVISNVPFFIIGVIGLILCYYRNFFKLSLQGELCGWTFFYIGVAAVGFGSSYYHLKPNDATLVWDRLPMTIAFTSIIAIFIIERIDERKGTFSIIPLLLVGVVSILFWRYFDDLRPYALVQFVPCIAIPVMAIMLPPMYTHSSYWLWAAGFYLLAKVEEAADDTIYNWTHHIVSGHTLKHLCAAMVPVFLTLMLAKRDIITERVSLLQIWKVSWSKRKANGVETENQSFSYTSVPVVESRE >KVI01783 pep supercontig:CcrdV1:scaffold_76:462290:464817:-1 gene:Ccrd_019939 transcript:KVI01783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MSEVWRFIERCPKILANTHGGDGFLPALGTWYGDPRGAGSGGACGWADDVKSPPFSAMIAAGNAKIYLHGKGCGDCYQIKCNRRPYCSGNPITVTITDECPGACNDVPFHFDLSGTAFGVMVPCNYGNTKIAFKIVAKTNPYWFATAIEFVDGVGEVKVVKIAQAGSKTFVPMYNSWGAVWAANINPPFPGPYSFLLTSPTNKTIMAYQVVPSNFVPGQTYYSHVNFY >KVI01777 pep supercontig:CcrdV1:scaffold_76:69884:76278:-1 gene:Ccrd_019962 transcript:KVI01777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein Ndc80 MRGPTGRRPPTERFVPDHRFTTPTPVDPWQFNGGGGGGNRRDSDASFCSSRPSSSFSAGANNHRSATAVSITDRSYQSHAVSTINSYLSACSFPISFKLKPLPSNKDITETLKFVLTRLEYPPSNKFEDDLFIVLKYSNCPIKMNKSALKAPGTPHSFPSVLAVLHWLVQIAMYNEHLVNSTQSQSISGDSMFSYTLNTYLHYIRGDDDAVEREDDNFMEKLQQEKSSMEENVKVKSEIAKDLEAKLEAMKSGPSPREAKEEEKTMLEKDIKKFNELIEQLRAHEVNVEKLIEEKEKELGIKIEEKNRICQENEELKKKVEEQAINMRDADRMKRELQSVERDIGEAEIARNKWEEKCWDLNALIGTKFKELEALQIECNQALRRLKLGNDFQYELNAKGSTPAEVLGMDYKSTLKPALSSSSDEVKRTSMENLESLISLQQLSHDITAKIDAKRNRIAVLQSRIDEMESHLSVIKNETQDYTSRCAMEARQLVQNFEAESHNMDVVEKEALELVENSKARLQETKKRSEEEVEMCGVELLALIDSVSKYKELIRIKISEMKREVSETAGAIAQIHKASITSNLKT >KVI01776 pep supercontig:CcrdV1:scaffold_76:57812:67206:1 gene:Ccrd_019963 transcript:KVI01776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MYTKQKRLGRRLERINAFKNADYDASTSSSSSSSSLSLFDMPSAHGTQSRDASLFSDVINYRIEGTEGEFDLICRSLGLSGPEDFAIPAADWEAHKACSPTNSNYLIGSRFRCFTPDPTKESPGSDFPETSESPARVSNDEVKRDTGCLRLTKDEEARVLVSNGGEMRGGDVRVFGIGEIKRVNQLSEFINGDKLADVEKSRGLHYGDDTRKAPEKGYVESKSIIKGVRPPLLARPPARSQVIVDNHASTWDLIRGFSPRTDEESTSVEPVRVISSLIEDAVAIVGPTVVEDGERSGTMTRTIVPQSILDTSDDEDNAYSMAATGLEYFVSPNGSIRCNIKNWQKGDFLGSGSFGTVYEGFNEYGFFFAVKEVSLLDQGSQGKQSILQLEQEILLLSQIQHDNIVRYLGTDKDDGKLYIFLELMPKGSLANLYQKYHLRDSQVSTYTRQILSGLNYLHDRNVVHRDIKCANILVDVSGSVKLADFGLAKATKLNDIKSCKGTPYWMAPEVVNNRKNKGYGLAADIWSLGCTVLEMLTRKVPYSHLEGMQALFRIGRGEPPCIPKTLSGDARSFILKCLQVNPNDRPTAAQLLEHPFVKTPVSMNLSPVSPLCSGVRSQIPMSPQR >KVI01779 pep supercontig:CcrdV1:scaffold_76:28266:34681:-1 gene:Ccrd_019964 transcript:KVI01779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTEPTFVPEWLKSSGSLSTISHQFTSSSLHPDDQGVSKSLRTKSLVNSGDNDLGRTSVSDRTTSSYFRRTSSSNGAAHLRSYNSFSRNHRDRDWDKDIYEFRDKEKSDNRHRDYSDHLANILPSRFEKDGLRRSHSSLSAKRGESWPRKVAGDKNGHNNGSALPSVGTSSSSGKAAFERDFPSLGAEEKQADTEIGRVPSPGLTTAIQSLPIGSSAVICGDMWTSALAEVPMIVGSNGSNISVQQPIQPTSVSATTSMTTGRNMAETLAQGPSRARTTPQLSVGTQRLEELAVKQSRQLIPMTPSMPKALALNSSDKPKLKVGQSQLQNSHIVNHPPSLRPVSVKSDVTKVSTVGKLHILKSSRERNGTTSTAKESLSPTGGSKLPNSPLAVPVVVGSASLRNTGGSTIVADRKPCVEKRPSPQAQSRNDFFNLMRKKSMATNSSSPGASEAGSSESTNDKPGEPQVGGYDPVVVDRSCGVQTLSENKVDFSCNGDATERSNNEKNHSSSDAILYSEEEEARFLRSLGWEETTEEEGLTEEEINSFYRD >KVI01775 pep supercontig:CcrdV1:scaffold_76:78796:94429:1 gene:Ccrd_019961 transcript:KVI01775 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein FYLPSILIPSKFSQVSNVNFRLITHRICSCSTFKQIMGRTEDDMRREIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPAGKDYTVQKLILGTHTSDDEPNYLMIAQVQLPIEDAENDTRRYDERSDVGGFGCANGKVQIIQQINHDGEVNRARYMPQNPSVIATKTINAEVYVFDYTKHPSKPPLDGECSPDLRLRGHSTEGYGLSWSKFKQGHLLSGSDDAQICLWDIHASSKNKTIDAMQIFKVNCLSFNPFNEWVLATGSTDKTVKLFDLRKLTSALHTFDRHQEEVFQVGWSPKNETILASCCLARRLMVWDLSRIDMEQTAEEAKDGPPELLFVHGGHTSKVSDFSWNPCEDWVIASVASDNILQIWQMAENIYYDEDDLNPISTTNNNNNNINNNNNNYNSSNNNNNNNNSNNNDNNNN >KVI01787 pep supercontig:CcrdV1:scaffold_76:526075:536116:1 gene:Ccrd_019935 transcript:KVI01787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVHHLRTAKTPNVRQLSAVLLRKKITGHWAKLSPQLRQLVKQSLIESITMEHSPPVRRASANVVSIIAKYAVPGGEWPDLLPFLFQCSQSAQEDHREVALILFSSLTETIGDSFRPYFADLQALLLKCLQDETSNGVRVAALKAVGSFIEFTYDASEVIKFREFIPSILNVSRQCLASGEEDVAIIAFEIFDELIESPAPLLGESVRAIVQFSLEVCSSPNLESSTRHQAIQIISWLAKYKSNSLKKHKLIIPILQIMCPLLTEATNRDEDDDDLAPDRAAAEVLDTMSLKLPKHVFPPVFEFASLSSQSVDPKFREASVTVLGVISEGCVELLKEKLGPVLHIVLGALRDPEQVVRGASAFALGQFAEYLQPEIISHYESVLPCILTAIEDSSDEVKEKSYYALAAYCECMGEEILPFLDSMMGKLFAAVQTSQRMLRETCMSAIGSVASAAEQAFLPYAERVLELMKTFMVLTNDEDLCSRARATELVGIVAMVVGRARMEPILPPFIEAAISGYGLEYSELREYTHGFFSNVAELLEDGMVQYLPHVVPLAFSSCNLDDGSAVDIDDSDDDENVNGFGGVSSDDEAQDEPRVRNISIRTGVLDEKAAATQALGQFALHTKSAYAPYLEESLKILVKHSSYFHEDVRLQAITGLKHILTAAHAVFQGHSDGASKAKEILDSVMTIYIKTMNEDDDKEVVAQACMSVADMMKDFGYVAVEPYMPRLVESTLVLLREESVCQQIESDSDIDDDDTGHDEVLMDAVSDLLPAFAKAMGSHFAPIFATLFDPLMKFAKASRPPQDRTMVVACLAEGVMPLVLKELASSSATNRRNAAFCVGELCKNGGVSSLKYFGDALRGLYPLFGESEPDDAVRDNAAGAVARMIMAHQESVPLNQVLPVFVKVLPLKEDHEESMPIVPLVPDLVNVFAQVALSPIETPEVKVQIGRAFAHLLSLYGQQMQPLLGNLSPTHANALAAIAPKS >KVI01772 pep supercontig:CcrdV1:scaffold_76:424854:426015:-1 gene:Ccrd_019941 transcript:KVI01772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MAFNLQNPFSNLIVVCSISSLLASHFELFVNGDGFSPALGTWYGNPKGAGSGGACGWANDVQSPPLSSMIAAGNSRIFLNGKGCGNCYQIKCSRTPYCSGNPITVTISDQCPGKCNDVPFHFDLSGTAFGALANPGQADNLRKLGQVDIQYQRVACNYGGTKIAFKIAKGTNPNWFATAIEFLNGDGGLGSVEIAPSGSQGFVPMKNIWGAVWQADINPSFHGPFSFKLTSPTNKVVIAQNAVPAGFAAGQTYFSNVNF >KVI06747 pep supercontig:CcrdV1:scaffold_760:56632:62519:1 gene:Ccrd_014898 transcript:KVI06747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold MGIWSSFRTVVMDNGILQVTLSNPEGLVTGVRYNGLDNVLEVLNHEGNRGYWDVVWSALGESGRKGVFEKLDFESIMIYPCRIKATSFKVIVETEDQVEVSFSRPWDPSLQDKLAPINIDKRFVLLRGSSGFYTYAIYEHVGSEEWQAFSLGETRIAFKLRKDKFHYMAVADDRRRYMPLPDDRLAGRAQPLAFQEAVSLVNPVVPEFKGEVDDKYQYTCENRDLMVHGWISNDPPVGFWQITPSNEFRTGGPLKQNLCSHVGPTCLAVFVGAHYAGDDLVPKFGQGEPWKKVFGPVFIYLNSAMCGQDPLSLWDDAKRQMMVEVKSWPYSFPASEDFPKSHQRGNVRGRLLVRDRYLHNDDMPANGAYVGLAPPGDVGSWQRECKDYQFWTEADCIGDFSINNVRAGEYNLYAWVPGFLGDYRYHVPITITPGCDINVGNLVYEPPRDGPTLWEIGIPDRSAAEFHVPEPNPRYRNQLFVNNPKSNFRQYGLWERYGELYPCGDLVFTVGESDYRKDWFYAQFQLENVQRAAIYKLRMALAGATLAEVQVRVNDPNKARPLFTTGLIGRDNAIARHGIHGLYWLYNIDISGGLLVQGENTIYLKQPRNQSPFQGIMYDYIRLEAPPP >KVH96956 pep supercontig:CcrdV1:scaffold_7601:715:1212:-1 gene:Ccrd_000950 transcript:KVH96956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MEQFPAPKKHPQKTNKKRLTNEQVKLLETSFNFNNKLDSTRKSQLAQELGVPARQIAIWYQNKRARWRNQSLETEHKVLQHRLERVSSDKNRLEREVERLKTELAKAKDLLVSSKTMNYASLPSFSSSCDEVGSSSLLGDHGDFYVCFDDHQFDHKSDGHDFFAR >KVG23795 pep supercontig:CcrdV1:scaffold_7603:8209:10584:1 gene:Ccrd_026510 transcript:KVG23795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MESSKKGTCRPHSSSPLRNHSFLPPHAAPSPFLRWCVTASLSQVHKVGMGAKNSPTSLLPSKKNLGKVPKRIHKAEREKMKREHLNELFLKLAEALEVGEQNSGKASILGETTRFIKVMLDQIKSLKKENAALLSESQYVKLEKNEIEDETCGLQIQIGELKRRLTVKEEKKLDLNAPPIESQEQQCLMEDSPIVNPVFVFPACLNFQGGGGDGDGGVVKAASNVRKPHPRYPTSLDSWHFQLLP >KVI11186 pep supercontig:CcrdV1:scaffold_7604:2950:5276:-1 gene:Ccrd_010406 transcript:KVI11186 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRC-like protein MATQQSRYSSYCCCCLVERGCKNAARAAVRRNAGEETTRRLLFSVWQSGRAVKGSTALLFDGVAAAALKVRSTLAVCCFALNTAAARTGWKNSTTGLLSAGCFEMHVAVLVLTAAGYWIQGSEGQGNSAGYLQMSLLFDGEERRPGGSACYPLLCSICCLLGGWWLLFVLGGEVKKQTQQHLLLGSGRRLDVAGDRVGTAADHFHPDEVFTPSSSISFLLTLNEGVFSFFFFPGADSLLAPSKTTQSSFQARRGRQGLKVQREIFHVVSQLRYSTKKDLELDRCKTDDKKWRCSRDVAPKQKYCDCHLHRGRPRSRKHVELSKNHSPSSSLLPNKTPSLHSNPCKVVGSTILPSTTQPSLFS >KVI04213 pep supercontig:CcrdV1:scaffold_7606:14160:15085:-1 gene:Ccrd_017477 transcript:KVI04213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLTCTAVLPNNSVFDLDIDADHLIVVHKFYVNQIHKVKQESSELAASVEPEGLRITAQRRHLRCTTKAFEMHNDPTKSFAKMHIEGIHLRCRTKAFGIDEGELRFFRSLFCG >KVI04214 pep supercontig:CcrdV1:scaffold_7606:10551:12989:1 gene:Ccrd_017476 transcript:KVI04214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formiminotransferase, N-terminal subdomain-containing protein MLKSMFSCCKVYISETRNISALESIERAAKLFPEAALVNKFEDETYNRVGYTLVSKLAPSSSSNSGPLKHAVFSMVKAAFEAIDFELHTGSHPRLGVVDHICFHPLASASLEQVAGTAKALAADIGSMLKVPTYTYGAAHSEQRTLDSIRRQLGYFKPNATGNQWSGGLQSEVLALEPDVGPVQAVQRKGVIVIGATKWVDNYNVPVFCSDIATVRRIAKRVSGRGGGLPSVQSMALVHGDAIEVACNLLEPSAVGGDQVQGAVELLGSEEGVTVGKGYFTDLSQEEIIQTYLKLSC >KVH93759 pep supercontig:CcrdV1:scaffold_761:86819:87142:1 gene:Ccrd_004184 transcript:KVH93759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKTYAFYSNSKSQPQRETCLCSPTNHPGSFRCSRHRNLHKVPSRRVASRGSLELALVAKSNSVKAFMRLMIKPSSHDVQRRRNFQQKPTRFCLLNGNGIGLALVS >KVH93758 pep supercontig:CcrdV1:scaffold_761:114288:116320:1 gene:Ccrd_004185 transcript:KVH93758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTRRTSGPVLRSHAPSGRFNNSPSSTSSFASTSSAFSARSSSFFQQRPASPAPVNIYGTSSSSSSSVRFSLDNRSISPSRSISSLPRNHNQAVKKQLETPKRTCMCSPTSHPGSFRCSLHKNSNNNNSHNHTASYPSNRLNARRSAMTNSLVRIGTVEGGDLVKRALAALIRPSSHQQKRRTSFQPRPSRLSVMYVVVDRLDFEYREPGSVCRL >KVH93761 pep supercontig:CcrdV1:scaffold_761:121874:126607:-1 gene:Ccrd_004186 transcript:KVH93761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MAGAGGPRNSTVAKSSSSASAKRNINTAKLQQQQQQQQTPSTRRQNLLQLVAAAASATAAAHSFLTNHDLTLNPTQTLTLESNISAVSLSISNLLSLIHHPIAFAVPRPPPPPPSWFYRFLSSENDNDQLFIESFRMSKQSFSYLLPLLTPSLSSLSIPPNYAVAATLFRLAHSATFNAVARRFDLDSPTACRVFYVVCKAIIDNLGHFFELRSDLNRIVVGFGWISLPNCCGVLGIDSFGVKGNLFGENGSVMVQALVDSEGRFLDVSAGWPNTMKPELVLRQSELFSAIEESREILNGPSYELSDGSSIPQYILGESCFPLLPWLITPFRKSDIDDDDNDEDEDDDDDIDDGCLNSKQAFNSVHNRGMELLDTAFGRLRARWRILSKEWKEESIESFPYIVVTCCLLHNFLIKSNEEFPDEDSDYMRNHNLPDYEGEGDEAGERIRSAIASDLSRWEDC >KVH93757 pep supercontig:CcrdV1:scaffold_761:75835:76194:1 gene:Ccrd_004183 transcript:KVH93757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTADELFFEGKLLPFWQNEKLNKISIKPEKVVAKEAVTMKEDENNNNNNRMSWFIDEDPSPRPPRCTVLWKELLRLKKQRASSVLSPSSSTSSNSSSNSIDDQDSSKKKKQQQVKRIK >KVH93762 pep supercontig:CcrdV1:scaffold_761:175630:176022:1 gene:Ccrd_004189 transcript:KVH93762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolamin-like domain-containing protein MNTGMAWSSRVLFVILCLASFTSQGLSQGPSSISQKASIMQCWSALFDLGFCYGDLSRAARTGRVDVSIGPTCCKAATSMNSGCWPKIFPFNPFFPPILQIICSNYPSVTLDGPTSAPYVVGLLADAPSN >KVH93760 pep supercontig:CcrdV1:scaffold_761:146849:153423:1 gene:Ccrd_004187 transcript:KVH93760 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MAAKLKIAGAWSGVLEAELEAWTVPLLKEQIASRLNCSKDSINLISAGKVLRDDDGAQNLIELGVKNNSKILVTRVSPDQGKELIAEEEKSSRLQRLKAAATSLAKRHADGLMPVEDFNLELENQSGEKVQLGSENDQRALMMGLMFHGKAKRLVKSRKYEDAMEVLTMGELIDNVPILQIDMVWCYFMLKDISKLSVAGKRLEDARKGIERSHGKQSSRLRVLHGNSHPELALGDIEKAKKSLNSARSRCLLLQVPDEELSLLMGMGYGERSAKRALRMNNQDVERAKAVDLQKLKELVSIGFDKELAAESLRRNENETQRALDDLTNPDTNSDIQLFIESRKKKRLRQTGDAAIEKLTSMGFARETVVAAVRAYGTEELALNHLLEESVPNGDAPANNIGSTSGGGPLGAGETIPNDGDLSSFEQEIDRDVEMEEELTEDLQSEDAFSDYDMELTKETEAIEEYLGLVSV >KVH93763 pep supercontig:CcrdV1:scaffold_761:158845:164834:1 gene:Ccrd_004188 transcript:KVH93763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEKSFTSDLGVLQRKMASFAGVVAFETFLAAQISLACLVMTTGFLLHDAHTLASVIGSDRSLERNREGEKGKRDLPVERRRWQWRRRATTVATETKGDGDDDDGEQWRSDVICGDGDEGRRRWQRRRRATTVATETKGDGGGNGD >KVH99449 pep supercontig:CcrdV1:scaffold_7618:5480:6135:-1 gene:Ccrd_022317 transcript:KVH99449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MIDSQTRTFLRFRFRTAYLSVTYIDRFLSKGLINSDKHWAIRLLSVACLSLAAKMEESNAPALTEFPTDEYTFDSSVIQRMELLVLTTLDWRMHSITPFDFIRCFISCFCTESSKRHFVSRTTQILFATIKGKYKFNGTSFIYRSNGSYIDGHGSKFDKRIFGD >KVH99448 pep supercontig:CcrdV1:scaffold_7619:13314:13835:1 gene:Ccrd_022318 transcript:KVH99448 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit C MLLQRVTRERVSNEDEEKIEIRGKAHRYTQRTNSNACFGPGVGQGTTAGQDVEGIARQPEAEGKIRERENENSQSGLQALLGKIHTLI >KVH89662 pep supercontig:CcrdV1:scaffold_762:54837:58178:-1 gene:Ccrd_008345 transcript:KVH89662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHYGNCEIVELEDEPKSSDYSKKNHLERANDKGKKPQGCSKSIEDDINKLFEAINVRTSFHGFAISDETGSITPRRNPSKKPMRMSTSSSSGIGYSEPVSLKQALRGLCISQASEMAAMKRLSKPPGSPALSDSGKLGYRSVRTSESGTSVGQIKEVKVVIPEESTSSSSRKMPHYLQEIKMKSYDDGLQSSLQLNSEKSTKKVTPAVRLNEILHEPESGNDNIISISSAPCIAATNSSKSVMNSSEVKPADICEKNNFAPPKEVNKTTLKLRRKGRLPSLPPSTSISSSKFGKSTRVPPRAVKTVLRNKHIVKKKKSKQESALTPNASVGGNVNLVPETSQLVCQRCHCALKDAEKETNEVHFLKRNNVAKRGEKGEFTQSSNSSIGEYSSSTSISEESSLSSEEVSGVQRGEQRAPGIRAVLAEIRHWR >KVH89661 pep supercontig:CcrdV1:scaffold_762:98188:106262:-1 gene:Ccrd_008346 transcript:KVH89661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTIADSHVIYSEKLSGDDAEMVNIQFDEEYMVDTEEFIETDDNDEVFSEVRRKLDFDDLVNDDIFNLNIEAVGNVNIVEQRLSEEANYLIAQAEVPIQPIPIIHEDENVEREKVNLAALMKFLKDIESHRTDQKDIIDAHTHLMRVPKKEKAEEESTKAKKKRWCKQKVDQHLHQANFIEDIQKARSKYKGYVKHEVKKHFKKMKYIINDPLETMKTREGVYVEDGPSGRNEHETKFSLDEILSNLVVLDLFMSNLSHPPKALRYLAISFPNLSM >KVH89660 pep supercontig:CcrdV1:scaffold_762:120893:130601:1 gene:Ccrd_008347 transcript:KVH89660 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MAEKSFKYVIVGGGVSAGYAAREFAKQGVQPGELAIISKEAVAPYERPALSKAYLFPEGAARLPGFHVCVGSGGERLLPEWYTEKGISLILNTEIVKADLASKTLTNFGVQGADSKNIFYLREIDNADKLVEIIKAKKTGTAIVVGGGYIGLELSAVLKINNFDVKMVYPEPWCMPRLFTADIAAFYEAYYAKKGIDIIKGTVAVGFTSNDNGEVKEVKLKDGRVLEADIVVVGVGARPLTNLFKGQVEEEKGGIKTDEFFKTSLPDVYAVGDVATFPMKMYGDIRRVEHVDHSRKSAEQAVKFYGDNVGDAVIFGDHDPASAKPKFGSYWIKDGKVVGAFLEGGAPEENQAIAKVAKLQPSASSLDVLAKEGLEFASKI >KVH89663 pep supercontig:CcrdV1:scaffold_762:3825:47688:1 gene:Ccrd_008344 transcript:KVH89663 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MASPAQDDGGDAVPVVLTCIDRHELVEYNPTQDNDEVVISTTDVHSWNIPSILQHRIIKVKAHRNRLTEYSSYFRGLLGGNFSDPDLEVLVQWNQPTFLSLLASLFGSPVDVTSENFLSLFEGALYFGMEMILSKCKMWLTKAMSVNRAPLVQVNDLIGIWEFGSEIGELTVKKWGFLSLAKYLHQVLSGCNSFSVRWGSYTIWAMSCSSFGDVPQDLLLSSIQHPHLTVDSEEHLCGALLAWIAANKERCTEDVSIDLLKQAWLYVYYIPFWNIGCIMLCMLLDAPTFCVQIRISLLPLWFVAGKSTYQSLSMCSNASSCRSITILKQPSTYYMDALRDGDLHNLRIRLTEFTQRMDLSGCPQIKPAIILLSVLPCPLSLEPLLRKKIKQLVINHELLNGNAFKISWEMWPNLIFEAVQEIDISNCPMLPLEVAVECFSMSFPSLRTLKAANHLSFSTTKVLQLVKRCPLLCDIDLTVDVSPVIPTRMSILSSFPATQTSSASFDLTGSPLPGSRSYMSRPLLSNITKLTLEGRIDVCDFDLQTISNICVSLTYLSLKGCTSLSDVGMSALICKCLKLNSIVACDTFFGQQSILALFSLNNCYDRVAAKHSEKNILPACNLQMLHMGGCKGALWVRNDGGIIPGWKIVYSGDTRPYPYLVEDSQGATILLRETIFDVLTEEAIVRNHSTIKETIKVGNSVSAAAVAHVIGRNPGLRCLKARGCESLLQQEIKIKGREYSNKIFPFELGKFCKLDEISVGWGFSYISLEALKPAVSCLKAIEVGLGGMLGQDGLKRLPAVCPSLESVVLYFQVISDHLIVNLLESLTHLKSFALCHCLGETSSLSFKVSMPNLRKLRLERVAPWMSNADLVSLSRNCANLIELSLLGCRLLNSESQKIISSCWPGLISIHLEPSHGFNGCIEISSGLLGLGLLSQRLFDDTLGFLPLLTFKECGDVTSDGVVSLFDCHAIEDILLRHNGSGIQKDFIGNAVKKLPMLRKISLDICDAKDKDFDIPELTFLDRPEPRVCY >KVH98746 pep supercontig:CcrdV1:scaffold_7624:12606:15163:-1 gene:Ccrd_023027 transcript:KVH98746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRAIIALSLPDDVFHSLVNLSTAKDMWNTLCVLYYETIEVKKSKKIGLVRQYELFVHEKGESLNEYYNRFNNLLNDLKLYGSL >KVH88556 pep supercontig:CcrdV1:scaffold_763:53031:77434:-1 gene:Ccrd_026515 transcript:KVH88556 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1605 MVEDWISRANARQRRGRAGRVKPGICFCLYTRHRFEKLMRPFQLPEMLRMPLVELCLQIKLLSLGRIMPFLQKALEPPTEEAVTSAISLLYEVGAIEGDEELTPLGYHLAKLPVDVLIGKMMLYGGIFGCLSPILSISAFLSYKSPFIYPKDERHAVERAKSALLIDKVGGENDLDNGNRQSDHLVMMIAYKKWEKILRENGAKDAERFCKSYFLSSSVMYMIRDMRVQFGTLLADIGLIDLPKNYQIGGKWKEKLDSWFSDTTQTFNVYSSHSSVVKALLCAGLYPNVAATEQGISEKALGSLRQSAGPTSDDSHVWFDGRREVHIHPSSLNSNSKAFQYPFLVFLEKVETTKVFLRDTTITSPYSILLFGGSMNIQHQSGLVTIDGWLKMAAPAQTAVLFKELRLTLHSILKELIRKPQTGNIAENSVIQSIVHLLLEEDKPKP >KVH88554 pep supercontig:CcrdV1:scaffold_763:116159:116953:1 gene:Ccrd_026517 transcript:KVH88554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQPQTLPPPTIEDDQPQTLPPPTIEDDQPQTLPENLPPATKMEDQTQTLPESPPPADIHQPNVDTSRPFRSVKEAVAVFGERFLTGEIYSPSPKPATITLPKQETQNWKLTPSASNRSLWKSPENEEDPPPVVMNTLKKLESELEETKRELNMLKDRESETEVALASLNAELHKNMSKIAKAEAEVAGKAASMRSSTTLRQVLSGGSGGEREKGRERKYVNKERKTMKKKPVIPLVTDLFTRNKEKPKNSSVLNPHYTSYWN >KVH88549 pep supercontig:CcrdV1:scaffold_763:161952:163491:1 gene:Ccrd_026519 transcript:KVH88549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MRWSPSIGTRWQATVGRRRLERSRQAIVGRRRWDERSRQATVVTRRRDEMNGAGRRLMKERKGNRKLRLYLNWCRMASQGWTDAYRGMSADNIKGLILALSSSLFIGASFIVKKKGLIKAGASGTRAGVSSYLLFSDDSIASFSGYCNRSSPLLDS >KVH88551 pep supercontig:CcrdV1:scaffold_763:32388:34798:-1 gene:Ccrd_026513 transcript:KVH88551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1682 MAKGRSSSSSLFFSSLLAPRGDTLLYLIALLSIFSLFLHHISLSATADSHFEGFDADDEVELDDDSLLLQSSLSDLPRRSPPPPTTLSTPSDPESHHGPPSSASSRPADSDLATKPSTASSSFDFWDEDEFEGFPAEITPPEVSKITEPASPDGSESAATDDTEKVAKPTSAAKSIRSYTIEITCISILITYAINFFTGKKENETLALAWAAKFATNDSIFEKNFSLLGVGEGEDSPLLLKEGQNVFKFYASGRRFCQGLLATMELKSRHDLIARLYNMIVPCKDEITLEVYMNEDVMDHVVFALAKKKTAKTMQKEVKDLQRFANLMPAPTNRKWVADELAVVTESKEVAGDLITEAVLDQVFGEKAFEKFGKGFISMHFSDQMQSTHRKMLVFKFSLPGADHMADMTRLVALIPYYIDLIGRYKLSSQAGHFFLKTHSNETQKLSLDNNMCLNCAKQARSKPEAARAKLAQEVYKELQYARQEAIQRKKAEKKRMMEEAESKLNAESLRKREAKERARQLKKAMPKIKMSRGA >KVH88548 pep supercontig:CcrdV1:scaffold_763:126358:145504:-1 gene:Ccrd_026518 transcript:KVH88548 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP phosphoribosyltransferase, catalytic domain-containing protein MDIACHTSVADNHTPKIESTRYLPFPAALLFLENLIGKRERGGELAMALIQTYLQQYPAAATGFTQFLPSPSSHFSARISIKFTVSCCSMSSPVTVVNGNVDVKSTERNEIRLGLPSKGRMASDTLDLLKDCQLSVRQLNPRQYVADIPQLSNVEVWFQRPKDIVRKLVSGDLDLGIVGLDTLSEYGQGNEDLILVHDALAYGDCRLSLAIPKYGIFEKINSVKELMEMPQWTAEKPLRVATGFTYLGPKFLKENGLRHVVFSTADGALEAAPAMGIADAIVDLVSSGTTLKENNLKEIEGGVLLESQAVLVASKKSLLQRKGLLDITHEILERFEAHLRALGQFTVVANMRGSSAEEVAERILSQPSLSGLQGPTVSPVFRKSDSGVKADYYAIVICVPKKLLYKSVQQLRAIGGSGVLVSPLTYIFDEETPRWRQLLSKLGL >KVH88558 pep supercontig:CcrdV1:scaffold_763:176765:177835:-1 gene:Ccrd_026521 transcript:KVH88558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKEFRHEDLEAATQSYSRTHLIGKGSHGCIYKATLTDGQTVAIKTPSLGLCNLQDTSTIENEARILSSLSPNRFLVNLLGTSHDSTTGHRVLVMEHMPGGMLHDSLHLTTPPPSWPRRTRMAVQIARAVRFLHDSKPLIIHRDIKSENILFDSKSNVRLADFGLAVCATSPSQRVDSVSLPAGTIGYLDPCYTTPCKLSTKNDVFSFGVVLLEIISGTKAIDVSRAPASIVEWSMGLIEENRIMEICDKRVDMPRHMEGAIRHMIILASRCVSSDEESRPSMTEIVTKMERFRIPIWADVVQSLVHLKRRKRPKTVNTIVVTAVATTTDVPTATSDHGAVPRTKVLLREILAGDIL >KVH88550 pep supercontig:CcrdV1:scaffold_763:27800:31813:1 gene:Ccrd_026512 transcript:KVH88550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AB-hydrolase YheT METITSFTDSPSPYELLLRALCQIPITHYVIGLALVFWVLVYDFLDFHVVQDLLTGFRGQRVDLTFNSCSELYREVVSKCTLLHGRYMSTPWLSSPHLQTTFLRFFGRPPVFNYRRLFLVKTARLISCEVKRVSIMHSVMEKEDIASDETHQDANMPIVIYIKHLAFYMAKRGWNVVVSNHRGLGGVPLKSDFFYNGGWTDDIRKVVKHIRSQSPDVPLFVVGTSLGANMMVKYLGEDGKDVLIDGAAAVCCPWDLLLCDRYMGRNLVQSVYDKALGDGLKRYAKMHQQFFTCLSDWNGIEKARRVREFDTSGTCLVWKFDTADIYYRESSCADYVGRIKVPLLCISALDDPVCTKDALVWDECKVNKNVILATTQHGGHLGYFDGWDAKGVWWVRAVDEYLTVLSSSKIIRRQTKMPKPVLQASPRPHPQTSLFYKVPYIGLLKKTDNKIHVDNLMEKSTNFMEEKTQGSSYPSRINSLVTFLKRFMDQF >KVH88555 pep supercontig:CcrdV1:scaffold_763:41059:47256:-1 gene:Ccrd_026514 transcript:KVH88555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal biogenesis regulatory protein MAEQQEGYQIDLGNLTAFDPQHQFQSIPDKREDLVKECITHATKLIQAVADSLFSLPSTEDASGPIVPLPQPTTKLPREKPLPKPAPPTKWEVFAKKKGIQNRKKDKLVFDEQTSSWKRRYGYDRVNDDNDVPIIEAKMTDEPGVDPFATRRSEKKQRVEKQEKNRLYNLKEAAKVGALPSHVQLAATALPITGTQAAPRKVSKDELQNVAGMAGTSTASGGKFDKKLAGEKPPKHDKKYRKFLPVVEGSGMGSLEKQQTDKILNKLMAKNSHEIFNVSKAVDMYNVKSDKKRRNQQGKSSAMSSKLKVKKSPYKNASKGSSKKGGSSSKGKSGSSSKGKSK >KVH88557 pep supercontig:CcrdV1:scaffold_763:167123:172966:1 gene:Ccrd_026520 transcript:KVH88557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MPYCSAVLAYIILREKLHLFGVLGCALCVVGSTTIVLHAPQERPIESVAEVWDLATEPVLVLIAVFIIVFHYIPLYGQTHIMCYIGVMSVKAVGIALKLTFSGINQLLYPQTWGFTFIVLLCVITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDGQSPTQIVTELCGFVTILSGTFLLHKTKDMVDGSTSSPGRLPKHITEEDEEDGRRQEGIPLRRQDLTA >KVH88552 pep supercontig:CcrdV1:scaffold_763:12169:16612:1 gene:Ccrd_026511 transcript:KVH88552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AB-hydrolase YheT METAAGDFVSPYKLLLRALTLIPISHYLLLAFFALIVFVYNLLEIHILQDIISGFGGGHVSLTFNSSSDLYREVISKCHLLYGRYVATAWLSSPHLQTILLNVLVKTPSFSYKREIFRTSDGGTVALDWLMNSDVLETSFQINGTNTVADIPLVIYIRKIAYAMAKHGWNVVVCNHRGLGGVPLTSECFYTAGKSDDLGEVVNHLHRTQPETPIYAVGTSLGANILVKYLGEEGVNVPLVGAASISNPWDLLIGDRFFTRAIMQRFYNRVLGNSLKGAAKLHQEVYARLSDWEGIEKSIDAFHRWASSARLVTNVSVPLLCLNAIDDPVCTDEAIPWDECRKNKNIVLATTKHGGHIAFFEGTSGKDLWIRVVEEYLSNLHASSIRKQQMQKFKDLSSETL >KVH88553 pep supercontig:CcrdV1:scaffold_763:88841:109547:-1 gene:Ccrd_026516 transcript:KVH88553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MAPKKKQQQQKQKQKSASSSSSTKSKPQTSTGPKLQISAENENRLRRLLLNSNRSTAAPVHEDSNLTKAQKAKKLHSVYEKLSCEGFTDDHIERALSHLKESATFEAALDWLCLILPPNDLPLKFRSSSALLPDDGGSVGIISTARDDWTPLANSSVAMENEMPEISIKSKGQRKVDTLDSFQQSQADWIRQYMEQQEEVLNQGAIENWPNICIIASGPSVDESESWEDDVMDKVSEPRSYDSIREEYHSARLQAINAKERGDKKGQEQSGQIIRKLKQEMAALGISVDTLAAEFESRHHVIAESPCQSLPCKNLDAVTSDEDMNSSVKQMDSKDNGTVIESSQDVSTLGISLSVPSHDGTVVDEDSEDIELGDMFLEDSSSGQVLHPEIVELQKKEKMKELTSEKNLEKLEGTWKKVMCALIWLVMVKKGDPLKIPKAILHQLCQRSGWEAPKYNKVQGTGDRCSYTISVIRKASGRGKSRKGGGLTTLQLPTPGETFETAEDAQNRVAAFALYQLFPDLPVHLMMTDPYAKLVLQWLEGDLTGDVKSTEVDRRGGFVDSLLNADASDAVVSVDLLDTSAQNDSQISHAQEDKRSRAVGIDTNSESDDHHKQVEGSYLKKQQENKRKMKKYEDMLKSRSALPIAELKGDILHLLKENDVLVICGETGCGKTTQVPQFILDDMIEEEHGGLCNIVCTQPRRIAAISVAERVADERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRMIVTLTGIDKEGDLQPTGMKTMFVDEDKADGNLANVLTFKTTMNGTISSATMKMIEMPRALIPCGGADDLFVGNKSFADITHVIVDEVHERSLLGDFLLIILKNYIEKQAAQSTQKLKVILMSATVDSQLFSHYFGDCPVIHAQGRTHPVVSHFLEDIHENIDYRLASDSPASLRSDTPKQKGAAVDNHRGKKNLVLSAWGDESVLSKGYTNPYYVPENYQAYSEQTQQNLKKLNEDVIDYDLLEDLICHIDETYPGGAILVFLPGVSEIHTLLDKLAASYQFRGQASEWLLPLHSSIASSDQKKVFLRPPDDIRKRFHGVAPLRQYLDGTVIIATNIAETSITIDDVVYVIDCGKHKENRYNPRK >KVG22458 pep supercontig:CcrdV1:scaffold_7631:12515:13729:1 gene:Ccrd_026522 transcript:KVG22458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MKGVAQTFPGSTVEKTAITVPTYFNDSQRQSTKDAAKIAGLEVLCMINEPTVAAIAYALDKRASTDGKRNVLVFDLGGGTFDVSLLTIDKGGVIKIKATGGDTHLGGENFDNRMLRVHCERAKRIISTAILTTIDIDCLFNGVDFSAKFTHAKFEEVNMDLFKKCIKTAKTCLKDENMDKENIDEVVLFGGSTRIPKRIIPDEAVAYDAGYLATNLSDLSDEVVRGLKLIVVTPLSLDDYQTAGLVMVYQGERLRSSKNYLLGQLSLSGLPSAPRGGIEIKICYEIDDNRILHASARELTTSRNKAIKITNGGGLSKAEIAKMIKDAKRYKQEDEAHIKKAMAHKALND >KVG22457 pep supercontig:CcrdV1:scaffold_7631:13784:14245:1 gene:Ccrd_026523 transcript:KVG22457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMRLSVKDLEELEYEIKERIEWSDENPDADTDELEEKEMELDKFEAWFSSFYRPFVVVSLYVQVF >KVG22456 pep supercontig:CcrdV1:scaffold_7635:9169:10108:1 gene:Ccrd_026524 transcript:KVG22456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHIAASAVFLAKWTLDQIEHPWQKNGCFFYEYLKPLLQNPTLEHYTNYKASDLKATVLALQDLQLNDAAPLRKIRQNCSIGL >KVG22376 pep supercontig:CcrdV1:scaffold_7639:6527:9796:-1 gene:Ccrd_026525 transcript:KVG22376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRIVVWKHAATHASSSLYRHGLRAHISRLYQNQSLTSTYRFSKKRIQKHTQILHCDKMMNILALGLVLTSLVTGAVWSPPPPPDNREEVIVKGGHRVIVVEYEKEGDGNTKVLISPHDPSASGVDSTDTCHSADGNPPVDDAEAKFSRPRELVCDAFGKCKHKIANAFGRTKDKVSDTAQDIEEHAKEAASGAVGKAKDTVYGYEERMKGAANEAFGKGKQAAKDINEAKSKLAEKVSEKIEGIEDKAKGAAIGESLDNAKDSIAQGIGKAKKVAGDVMETVRDSATKAKSFDMVDSPKRIGEDIQRNVSGRVEEGAEHVMEQAKEAAANVQKVGQKSLGEIISKLKEVMYDVFWYMVSPEKVDAVVGLIHMLGFSTAYGMCMWVTFVSSYILGRYLPRQQFGMVQSRIYPVYFKAMAYCVGAALLGHLVSRRKESLSSIKEIFQGLSLLSALLMVLINMIWLEPRSTKVMFERMKIEKEEGRGIAGAVREGIADNGSDTVVRPPANVAAERQDVLRMNEKLKRLNSYSSALNVSTLVVLTWHMAYMGQRLQATRTRTIYDRKLKGGKETTKTTRKLIAKVQQPKGMGADFRGARNRISK >KVH96773 pep supercontig:CcrdV1:scaffold_764:22470:24114:1 gene:Ccrd_001136 transcript:KVH96773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEVGLKLTAVRAYLKQLFIALKHLRNYGVLHTDIKPNKMLVNEAKNVLKLCDFGNAMFVGKNEVTPYLVSRFYRALEISLTYDHPVDMWSIGCCLFELYTWKVLFTGATNDDMLRLHVELKDNLCFEEPVTCNCLRLYHIQAFVGFMKAYNDEKKATISIPYACGCKIKRVSIAVLLI >KVI11801 pep supercontig:CcrdV1:scaffold_7641:521:2362:1 gene:Ccrd_009781 transcript:KVI11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPQKGNENHQEATSPSNAGGFRGLIYEQYCKAKENAEAYPYVWASYLIVYGGFGLWVSYRYRKLCKTEDRVKALQEKLRKLRQEREPGSSTASTENVPSSSNKPTK >KVI11802 pep supercontig:CcrdV1:scaffold_7641:10093:10542:1 gene:Ccrd_009782 transcript:KVI11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MAAQRKISRWSLLGRLRTAVKKVTFLLNFNMNRLRLASSMVRGGNLSSRRLSFNERYGLTSIMSSSSDEDYTNWRSPTASSSPDQQGDQLQKTRSFPLQRTTSFPEEDDIDKKAEMFIANFYRQLRMERQVSLQLRYNKDNTTSNTYSP >KVI11803 pep supercontig:CcrdV1:scaffold_7641:12059:16973:-1 gene:Ccrd_009783 transcript:KVI11803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFGDCLRVIFVLEIIKHFMFCLLFYFGNSRSPLLLETSHSQSEKMKITGKTDLPAIISSKVLNFTPDSDLLQKPISRKQVSRKSRNSGGGVRLRRDGVPAGGKRGSRPETPLLRWKFDEGKGKEEKDVEVKNCNVNQLICS >KVI08067 pep supercontig:CcrdV1:scaffold_7642:8156:8371:1 gene:Ccrd_013565 transcript:KVI08067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYLNNQILRALHESLASELVARMNAMSNATDNAMDMTKTLPNAYNRQRQSKITGEIFEIVVGAEAFL >KVG22351 pep supercontig:CcrdV1:scaffold_7643:11142:11429:1 gene:Ccrd_026526 transcript:KVG22351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 TFAFQAEINQLLSLIINTSYSNKEIFLRELVSNTSDALDKIRFESLTDKSKLDAQPELFIHIIPDKANNTLTIIDSGIGMTKTDLVNLNRECDLS >KVH99447 pep supercontig:CcrdV1:scaffold_7644:15766:16955:-1 gene:Ccrd_022319 transcript:KVH99447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVLASIHRPEIFSKLILIGASPRFLNDKDYHGGFEEPLIDKVFAAMEDNYEAWVSGFAPLAVGGDVPVAVQEFSRTLFNMRPDISLFVSRTVFNSDLREVLGLVKTPCWIIQTAKDVSVPTSVATYLKEKLGGRNTVVMLNIEGHLPHLSAPVLLAHHLSEALSR >KVH93859 pep supercontig:CcrdV1:scaffold_765:117736:118956:1 gene:Ccrd_004087 transcript:KVH93859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDQKCFLFSLSFLLVLKSTANGASFSVGGGVGVGVGVGGGWVGGGVNIPSPSPPSPSNLKNAYFALQAWKSAIKSDPKGILSSWVGSNVCAYKGVFCADPTQEMGDPSGPVVAGIDLNNGNLKGILVKELSLLTDISLLHLNSNRFTGTIPESLQDLYALTELDLSNNQFSGPFPSATLRIPNLLYLDLRFNSFSGPIPQDLFNKNLDAIFLNNNLFEGEIPQNLGNSPASVINLANNKLTGDIPINFGYSGPRLKEILLLNNQLTGCIPQGIGMWSDVQVFDVSFNSLMGHLPDTISCLEGIEVLNVAHNKLSGELPDLVCELRSLLKLSVAYNFISGFSQECEKLYGRNVGFDIALNCIPGLEMQRPQPECSLIPGGGLSCLRIPSLRPLACGTLLQSLNSSAP >KVH93856 pep supercontig:CcrdV1:scaffold_765:45824:56325:1 gene:Ccrd_004084 transcript:KVH93856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyltranspeptidase MGLKASFVESIVILLTLREDSNSSWSDGGRRIRKRVQSGDALESPETTTRVQAGYAVESMDAVVAADDARCSEIGASVLRSGGHAVDAAVAVALCLGVVNPMASGVGGGGFMIVRSAATSQSQAIDFRETAPLAASENMYENDLDAKYTGALSMGVPGEIAGLYKAWSRYGRLPWKTLFDPAIRFAKDGFMVAPYLAGKISSNADKIKNDPGLRQVFAPNGEVLESGDICYNPKLGWTLEVVANEGPKAFYDGVVGEKLVNDVQDAGGILTMEDLRNYNVEVMDALAVDTMGYTVLGMPAPSSGTLGLALKNLVLYSLDLMEPAFGCGMKVSNILESYESSNAAKGSLGLHRFIEALKHMYAFRMDLGDPDFVNISKTMADMLSPKFAKSIRERIYDNTTFPPAYYMPRNAVSMTTTVNYAFGGGVLSPSTGIVLNNEMGDFSVPTEDEQLAGVIGGSGGMSIIPAVAQFLEERGHELKAKAGGAICQLIVQTLNKKPNENRKPMYNQTQVLIGMLTAVSDPRKDGRPAAC >KVH93862 pep supercontig:CcrdV1:scaffold_765:170691:175234:1 gene:Ccrd_004092 transcript:KVH93862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein HGVGWQLTHSPLSFSLHFTHTHRVERNPNPSCNTMKGMKPLKQLKLSVPAQNAPITSFLTASGTFHDGDLLLNMKGLRLESEDKENRASDAKEIDLQFSLEDLETIKVIGKGSGGVVQLVRHKWIGTLFALKVIQMNIQEDIRKQIVQELKINQASQCPHIVVCYHSFYRNGAISLVFEYMDRGSLTDGLVYLHHERHVIHRDIKPSNLLVNQKGEVKIADFGVSAMLASSMGQRDTFVGTYNYMSPERISGKTYDYKSDIWSLGLVILECAIGRFPFIQSEDQQGWPTFYELLEAIVSKPPPTAPPDQFSPEFCSFVSACIQKDPINRSSALELLNHPFIKKFEDKDIHLAILVSCLEPPLSFSK >KVH93857 pep supercontig:CcrdV1:scaffold_765:59426:67405:1 gene:Ccrd_004085 transcript:KVH93857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloenzyme, LuxS/M16 peptidase-like protein MYRPAASKIRTLKGHAGKRTLTRFASSSAVAAKSSSGGLFSWFTGGSGSNLPPLDFPLKGIELPPSLPDHVEQGKTKITTLPNGVKIASETSTNPAASVGLYVNSGSIYETPASFGATHVLERMAFKSTTNRSHLRVVREVEAIGGNVTASASREQMGYTYDALKAYVPQMVELLVDCARNQAFLDWEVAEQIQKVKAEIGEYHNNPEALLLEAIHSAGYSGPLGNPLLASEGLLNRLNSSVLEEFVAANYTAPRMVLVASGVEHEELLKYAEPLLSDLPGGGQVEEPKSVYVGGDHRVMADTGRTSFALAFEIPGGWLKEKEAMTLTVLQMLMGGGGSFSAGGPGKGMYSRLYLGVLNEYPEIQSFSAFNSIYNHTALFGIQATTSSDFVSKAIDVAVKQLIAVATNGEEDIGRQILTYGERKPVEYFLKAIDEVSANDITSIAKKLLSSPLTMASHGDVTNVPTYDSVSSKFR >KVH93852 pep supercontig:CcrdV1:scaffold_765:120984:125727:-1 gene:Ccrd_004088 transcript:KVH93852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MDSGRFAVALLFLFLFSFATGSLSERLIRMPSGDRGASVYSGVLSSRKNELFCESWKFSIETNDVGVWYVVPEKCVSFVQEYMNGERYRSDSEVIADYALKHARTVNIAGDGKDAWIFDIDETLLSNMPYYVNHGYGSEVFDENSFNEWVDLAEAPALPASLRLYTELQQLGFKIFLLTGRSEDQRKSTDKNLQYAGFTNYEKLILRGTSDLGKPATLYKSEKRQELKDDGYRIHGSSGDQWSDLLGFAIGKRSFKLPNPLYYIA >KVH93853 pep supercontig:CcrdV1:scaffold_765:132748:142901:1 gene:Ccrd_004089 transcript:KVH93853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin MSSPAEFYNSLPPITKAYGTLCLVTTTAATLKLLPIYYIALIYGEVFYHLQVWRLLTNFIFLGPFSINFGIRLLMILRYGVQLESGPFNRRTADFLWMMIFGASTLLVLSAIPFFESYFMGVSLVFMLLYVWSREFPNATINIYGLVQLKIVHF >KVH93861 pep supercontig:CcrdV1:scaffold_765:144639:146181:1 gene:Ccrd_004090 transcript:KVH93861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin MSWQTYVDEHLMCDIEGSGQHLTAAAIFGTDGTVWAKSGSFPQFKPEEINAIIKEFNDPGNLAPTGLFLGGAKYMGAGGICIKKTGQAMVFGIYDEPVAPGQCNMVVERLGDYLVDQGM >KVH93854 pep supercontig:CcrdV1:scaffold_765:5448:25326:1 gene:Ccrd_004082 transcript:KVH93854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPIFYLLIVITIHVFSNPNFVGSSTIDESSILDFHGDYSPPSPPPPSPLPHPPSLSCEEDLGGIGSLDTTCELNTSLIFTSDVYIEGKGNFRTLPGVKIRCTAPGCSIIINVSSEFRLGMDSEIKAGTLHVTAGNATLEEGSIVNVTALGGDPPEHTSGTPKRELGGGGGHGGRGACCVVDNTKLPEDVWGGDAYGWSDLPEPYNYGSKGGTTSKDQDYGGKGGGRVWVEVVDAIEASGSIYADGGDGGNKGGGGSGGSIFVKSWKMIGSGILSASGGNGFAGGAGGRISVNVFSRHDDQTFLAHGGQSYGCPANSGAAGTFYDAVPRRLRVNNHNMSTDTDTPFFAFPNQPRWTSVDIQEYARAAVPMRWSRVQVQGQLSLSTAAVLSFGLPHDAVSEFELMAEELLMSDSVIKIYGALRMSVKLHLMLNSKMLIDGDGEGDPIIATSLLEASNLLVLKVIIYFPLTKINLGLYFKLQLTKWLRILVCQGGSVIQSNANLGVHGQGSLNLTGAGNIIEAQHLVLSIFCCINVEPGSVLRGPLENTTNDYLAHCELEVCPMELIHPPEDCNVNSSLSFTLQICRVEEVVVEGSIEGSVVHFQWVRTVIIKPSGAISASGLGCIGGAGKGKFFSNGPSGGGGHGGRGGSGYYNESIIVEGGVTYGNADFPCEFGSGSGNDSLGGATAGGGIIVMGSLEHSLSSLSIYGSLTADGENFGENIRKQDIKPNPDTSPGGGSGGSVLLFVDRLAIGNLSSLSTVGGLGGPNAGGGGGGRIHFHWSDIMTGDEYQPVATVNGSINFGGGGGKGLGQPGDKGTITGIVCPKGLYGIFCEECPLGTYKNRSGSERALCYDCPVLEFPHRAVYTPVRGGVVDTPCPYKCVSERYHMPHCHTTFEELIYTFGGPWLFCFMLLGLLILFALVLSVARVKFVGGDELPSVVPARRGLQLDRSVPFLESLNEVLETNRNEESQNHVHRMYFMGSNTFSEPWHLPHSPSEQVAEIVYASSLHCIVHILAEVTYLSGLYALLIVHRIEMICKLSISRCGRYEDAYNRFAEEINTLAAYQWWEGSFYSILSIIAYPFSWTWLQWRRKKKIQQLREFVRSEYDHACLRSCRSRALYEGLKREDLPPSLHQRFPLSLVFGGDGSYMAPFVLHSDNILTSLMSQSVPSTIWYRLVAGLNAQLRLVRRDQLKSTFRPIISWIETYANPTLSAQHIRIDLARFQPTASGYCQFGLVVCAVDDEQSPPLIDRPHGLLGVQSSYFINHHGKIEDSAQESEPLMIHRRVPRWILHNNSLQTLKENMIWYPLSFIICNTKPIGHQDLVGLVMSILLLGDFILVLLMLLQLYSNSSLDFFLVLSIPPLGILLPFPAGISALFSHKPKRSSGLAHFMYVKQAVAFICGYFHFKNQLPPSDDTLNVFSWSLSMDDGGWWMLPCGLVVCKIVQAQLIDYHVANQEIQDRTLYCNDLPETISHCFPLQHAS >KVH93860 pep supercontig:CcrdV1:scaffold_765:165022:168110:1 gene:Ccrd_004091 transcript:KVH93860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCEIEGNHLSSAAIIGHDGSIWAQSATFPQVKPEEITAIMNDFNEPGSLAPTGLHLGGTKYMVIQGEAGAVIRGKKGRNDTVIVIKVVESSWSCKPGGKWCLITDINDSE >KVH93855 pep supercontig:CcrdV1:scaffold_765:43895:45692:1 gene:Ccrd_004083 transcript:KVH93855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C (PP2C)-like protein MAPIPSMEKTMAPRPEIVGWRRRFVGEDDSLEKTMAHTPFDVVSLAQTKDELEAAAKRLTETVFNRRSCDNITCIIVKPNHNPAT >KVH93858 pep supercontig:CcrdV1:scaffold_765:86995:93082:1 gene:Ccrd_004086 transcript:KVH93858 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNYSVDRRRMNNISSSDLIDAKLEEHQLCGSKHCPGCGHRLEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKNFKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEREGELVVSKIFYQTQPRQCNWSERASNNNVLSNVIPIEGANNETNRRESRSGSGSCSSSKEINITATAPPTHNNMDELSAVSVGAVMSSYNPMDQMHHLKGVDHFSFVPFRKSFDEVGTTGGEASTGREIGITCEEHELQHHHMAHEQNPHQHQMAAGGYNVSRPTHPVSNLISPPPPPHPLHHTSSIMLDEDSFHESSITNQHHQQEADWLKYSTFWPDHPDTQDHH >KVG21761 pep supercontig:CcrdV1:scaffold_7657:6317:13353:-1 gene:Ccrd_026527 transcript:KVG21761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRGCGRRRCDERLRREEGRVTMEEKRQSRKGRRVGSDEARSDERGEGGGRKRCKLNRKKGYTWKIEIKRQLQVKDHCHVRTTIVENERGWRRTAKMNRCQYQT >KVH98761 pep supercontig:CcrdV1:scaffold_7664:14805:16724:-1 gene:Ccrd_023013 transcript:KVH98761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKGEAVMKHALLHNLTTYEYEKKLYNNHLESLQVMEKNYMTMASEVEKLRAELKKHAEIDRTAGPYVGFVGYSDKEASGHYPVGQNTYDDAYGVPRRNRMWANVF >KVH98760 pep supercontig:CcrdV1:scaffold_7664:6879:17090:1 gene:Ccrd_023012 transcript:KVH98760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSRNLLTRHVAAVVKYQKDPLRALQMFNSAKNEDGFKHNLITYKCMIENLGHHGEFEAMEGVFVEMRMNIDNCLLEGAYVSAMKSYGRKGKVQEAVNVFERMDFYNCEPGVHSYNAIMNILVENGYFNQAHKVYMRMKDKGIVPDVYTFTIRIKSFCRTRRSFAALRLLENMPLLGCEFNAVAYCTVIGGFYEENNQADAHKLFDEMLKRSIFPNVVTFNKLIHTLCKKGDVQESEQLFDKVLKRGMSPNVFTFNIFIQGLCKTKRLAEATRILGCASRENLAPDVVTFNTLIWGLCKSLKVVEAESYLKSMVNKGLDPDDFTYNTIIDGYCKLGMVKRAFAILNDAVFKGFKPDKFTYCSLIYGSCQDGDTDRAMAVFRDGLRKGVKPTTIMYNTLIKGLAKQGLILQALELMDEMPKNGCSADIWTYNLLIDGLCKMGCVLDANNLMNDALAEGFIPDIYTFNILIDGYCKQLKMNDALEVMNNMWDHDVKPDAITYNTVLNGLCKTTKSKEVVETFHEMVGKGFVPNVITYNTLIESLCKARKVDKAMEVFSEMEKTGLTPDEVSYGTLINGFCENGDLERAYELFQNKKSEPNLRHTTPTFNIMIKGFSEKLKMDDAVKVFDEMPDYGCTPDNYTFRCMIDGFCRIGNVDLGYKFLVEKMNHGFIPSVATFGQSGSHNQRNRLIEEDYQEILGLKKAGLALYTSQSLRGGVGVDQICTGLPLPCRQNNVSTKVTVHEVMPCMKAVLSLLFLITSLE >KVI00620 pep supercontig:CcrdV1:scaffold_767:23204:27791:1 gene:Ccrd_021129 transcript:KVI00620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MLKRILGKPKQEANAVTTLEKLNERKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKGKPCKRQRKNIDDVDKTMDEINEQTENMKEIEEALATPIGAAADFDEDELEAEFEELEGAELEEQLLQPATTAPAAPMHAPPGRQEVRLPPRKNTAEEDELAALQAEMTL >KVI00619 pep supercontig:CcrdV1:scaffold_767:5435:6985:-1 gene:Ccrd_021128 transcript:KVI00619 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAILSQLWSFLGLLTVLQNILPSQLLSLLHSCYESLQDSFSPYSYFEIPEFNGYCGVDLNDLYRHVNLYLNSINPSATATGCSRLTLSRSKSSTRISFTVAPNHTVNDSFLHHRLSWTHHVDTVQDSLDEKRSFVLKLPKRHRLTLLKPYLDHVTSRAEEFERVSRERRLFTNNGHGSFESGWSSVPFRHPSTFETLALEPELKKQLIDDLKAFSDGKEFYHKIGRAWKRGYLLYGPPGSGKSSLIAAMSNLVCYDVYDLELSKVSDNSELRALLIQTTNRSIIVIEDIDCSIDLTGDRLSKTTRRHSPKGKRVGGGGGGGGDGGEDDRRVTLSGLLNFTDGLWSCCGEERLIVFTTNHRDHVDPALVRCGRMDVHVSLGMCGMHAFKALVKNYLGVSSHVLFDVVGSCIRSGGSLTPAQIGEILLRNRKEADVAMKAVISAMQAKILGADVEVEVVESDDTAAEVAESPENWDISSPSPMGRLGVKKRNGWGKSKVKFLVRLKSLTKSESGSRGA >KVI00618 pep supercontig:CcrdV1:scaffold_767:48033:51687:1 gene:Ccrd_021132 transcript:KVI00618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIILGAVVEVLFQKLASGDLMKLARSEGIHSKPEKWNNTLLQIQTLLVDAANKHITDGAVDFWLRNLQDLAYQIDDILDDLATEAIRRKLNKESHASSSTNTGKLRKIIGCCCTNFTPHTIVYGQKMSSKLDEITTKLHTLFDQKHSLGLDVNVKRPKRRNRRLDETSLVDESKVMGREGDKGALLHRLLGNEACNQNVSIVSVVGLGGIGKTTLAKLVYNEKKVKDHFELRSWVCVSEEFDVFNISKAIFQAPYDLKVLSEEEAMSLFAQYAXDEXNFDKHPXLKLHGEGIVKKCGRLPLALITLGRMLRTKTEDDEWEEVLNNEIWNLDDGREILPALRLSYYDLPSHLKQLFAYCSLFPKDYVFDKNKLVLLWMAEGFLNQSNGRKSKEXLGREYFEELKSRSFFQTSTIEQSRYIMHDLINDLATSVAGEFFFRLDDKMDTYDMNESFEKFRHVSFIGREYGTYRKFKELQRARGLRTFLPVSLNSWSGFDLSNKVLVELLPQLQFLKVLSLYNHSISEIPHSIGSLKHIRYLNFSYTNIERLPEQVSDLYNLQRLLVCRCEKLSNLPISFVKLINLRHLDISDTPMLNKMPLGIGGLTGLQILPKVIIEGGNGFKISELKGLSDLEGRLSIMGLDKVKSPIQANDAKLQEKKGLDDLVMEWSDDFDNSRNHTSEYEVLEGLRPHYKLRELEILFYGGMKFPSWXGNPSFDRLTELXLRGCRRCTCLPALGHLQSLKELYVEGMDGVKTLGLELFGPTDSSHGIVFPSLETLRFDDMQGWERWSTRRGDNDGIARSFPRLGNVFIRDCPKLVEISIDLIPSLGDLHIEGCSKDVFNSMVGASSSIRVLRIENIEGLAQLNGELLGAVEHLRILGCDELRYLCESESEACKFLVSLRELEICYCENLVSLHELPSSLRVLWVYACYNLESISDKGFGIIPLEHLQISDCKNLKSFPLEHLESLTSLRRLEISRCPSMNYSFPCGLWPPNLRDLTIGCLNKPMSKWGIQNYPTSLVHLTLFGENSGVVSFVANAKDVTSTSFLLPPSLMTLLINDFMELELVSEALQRLPCLKNLYISSCPKLEDLRETNITGPSSLRIEYW >KVI00612 pep supercontig:CcrdV1:scaffold_767:66767:69380:-1 gene:Ccrd_021134 transcript:KVI00612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF966 MVAQVGSRDGGGQVRRVHIVYFLSRNGCTEHPHLFRVHHISRNGVRLRDIDSYSEKDIAKSSFFEINVKGPKYPSIEKEYPRDFSTNTSTDIEESSFVSNVTTEDTTKNQDENKDEIQVQSQKDDIKNNSSYETLLDKNTNNNNNNSKSKKGRNKSATKAPPSPTYSFGKPRRISGSRATHMFRNWITCGTANTHETALVVVNRRNGSTASTENNEYNSGQVCKDRKLRGFEKSFDTKEGSKKSKKEDPNNIKTFAAAYKPVNGPNCS >KVI00613 pep supercontig:CcrdV1:scaffold_767:115183:117394:1 gene:Ccrd_021137 transcript:KVI00613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKGSTQVAPLFVVAIVSLFLLFVPSTDAVLSCNAVIQTVAPCVNYLINGSEMPSSACCSGVRALVTATNTTADRQAACSCLESTSQNLNLNLTLVASIPTNCGINLGFTISPDVDCTTIVFDLKTLLGLDSGCGFLAGVWVAGGGDLV >KVI00611 pep supercontig:CcrdV1:scaffold_767:84300:84998:1 gene:Ccrd_021135 transcript:KVI00611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MVTANVAGGLSMNKKTKGRKKIEIKKIQEPNSRQVTFSKRRAGLFKKASELCVLTGAQIAIFVNSPGGRVYAFGHPNPDLLADRYLNENNIDTTTTTTAVQNYQPPLPAMYQFNQHYVEVTRELEMEKMRRELIPAERSGGSQWYEKAVDGMEVEELEQYLYSLEQLKKKVVMRADELTMIKKTPTLLGSNLFNQMGWNNHIQTTDIPTTTTTTVVHHGGFNLHHRGDIGKF >KVI00610 pep supercontig:CcrdV1:scaffold_767:121607:122409:1 gene:Ccrd_021138 transcript:KVI00610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKGSNQVAPLFVVAIVSLLLLFVSSTDAVLSCSTVIKDVKPCVSYLVNGSGMPPPACCSGAKALAAAASTTVDKQAACSCLKTASQSLNPNPGLAKSLPANCGFNLGFTISSSVDCTK >KVI00616 pep supercontig:CcrdV1:scaffold_767:28311:38677:1 gene:Ccrd_021130 transcript:KVI00616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGELASNTHGNLDEQISQLMQCKPLTEPEVRTLCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGFNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >KVI00609 pep supercontig:CcrdV1:scaffold_767:125814:129537:-1 gene:Ccrd_021139 transcript:KVI00609 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MMKIMLFLRFVAVLCLFTAARFCYAGRSRFSGSRENFEVMKHLNRLNKPSVESIKSPDGDMIDCVHISQQPAFDHPFLKNHTIQMRPNYHPNWINDDAIQVSATMKPSKDEASSSSENQQTITQLWHSNGKCPKGTIPIRRTKKEDVLRATSVKSYGKKKSVSTVAYPNSIDPEYINQNGHQHAIAYAEGEFYGAKATMNVWNPKVQQYNEFSLSQIWLLGGSFASDLNSIEAGWQVSPELYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASISPISQNQGSQYDISILVWKDPEEGNWWMQFGNGKVLGYWPATLFSYLTEKASLIEWGGEVVNTALDGQHTTTQMGSGQFPQQGFSKASYFRNIEIVDESNNLRTPKDINTFTEQPNCYDVRTGNNGDWGSYFYYGGPGRNPNCQ >KVI00614 pep supercontig:CcrdV1:scaffold_767:94243:96956:-1 gene:Ccrd_021136 transcript:KVI00614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 62 MFKKDKQQPLPIQSTFKLPSSIPNFPPGDGFATGTIDLGGLQVCQISSFNKIWAALEGGPNNLGTTFYEPSSIPDGFVMLGCYSQSNNRPLYGWILAGKNGANQSSLAMPTDYSLIWSSESYKLKQDGGNGYIWLPTPPEGYKAVGYVVTNSPEKPSVQKVRCVRSDFTDAVEVDSWIWGLDKKINTNNFNLYDSRPKDRGVNALGVSTGSFIVQNGGGNADVSLVYCLRNTKNNLLAMPNLSQIEALIQAYSPRVYFHPNENYLPSSVTWFFQNGALLYEKGKESEPSVVQPDGSNLPQGGSNDDSYWLDLPIDKSAKERVKKGDLEHAGAYFHVKPMFGATFTDISLWVFYPFNGPARVKVEIINASLGKIGEHVGDWEHLTLRVSNYNGELKSVYFSEHSGGQLINSSEIEFENGNKPVAYASLHGHAFYAKPGLILQGSGGIGIRNDTTKGKAVMDTGVRATVVAAEYLGAGVVAEPPWLNYSRKWGPKISYDINKEIRKVRRVLPRVLRGPFDKFVKGLPHEILGEEGPAGPQMKNNWSGDEKY >KVI00615 pep supercontig:CcrdV1:scaffold_767:40974:41351:-1 gene:Ccrd_021131 transcript:KVI00615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESKPNKPRYDITMSRRTRKAHPRVLFGEKVSALKDDDELEIEEEKRKKHLIEIFNEEEAECRSSLGERFTEEDKEHQLVVKHEKGHDGVSLKKMVSRCAKMWGHLIKVKGGSRKKRVLHLTM >KVI00617 pep supercontig:CcrdV1:scaffold_767:62033:65379:1 gene:Ccrd_021133 transcript:KVI00617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF936, plant MASLTPGVLSKLLQNLDNPTAKVAGDHRSPLLQVIGIVPQDDVFDFDRRNKGFYLRVSDSVHSAYVSVPEADVDLILSDKIQLGQFIHVTRLDSGSPVPVLSGVKPVPKRRPCVGDPKDLISSDSLLIRGNSRVDFSKKKKEGKIKESNTRRLSLVNAKIRDEPETRRLSLDYSSRKSWDRSPAPACKNGRSGTKLIEPSSPLCSTPVRSARKASSVKDIILKPPNLNLAPLKNKNVIVSEKLISKPIKKDLKTSFNCTPVPSHLMKVPISARTWSDSKISWDSVSPAIRELGKEVVCHRNLGFSSAVHALEETSATENIIQCMSVFAEICELAENSMNPLVEQFLNFYQKLQTSAAVVNTLIDSKSTVDKTKGHPRNSALWVQAALGTNLAKFTLFTTEENKHILHSEGNYHVVLETTSEKIQVENRLPEAKRTLKAHEPVSSRVRRAVPTTKKETPERLEWSKGNGLKETANLAEKLLSASRKWFLNYLEESLNKGFGLTKGEDSGAVVGLLGQLKRVNQWLDDLVRGDERVENLRKKLYGFLLDHVQKAAW >KVI00621 pep supercontig:CcrdV1:scaffold_767:149592:157220:1 gene:Ccrd_021140 transcript:KVI00621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MTVKLLEMSTIMRKGMITRSVINLVMXMXTEEEWMEVKTMVMESDRXWXRVSMRSKPCGRKGPGRRGWPEETNTWEPVENLLSCPDVIEAFEESLRHGKQXSNRKGKRKQSXVPTPQPKKKQKKQSEQSSPAASYDIPTVKVTFIEEPLSVPSAPYANFSNGTETNVGGTGNKGTTNPSHDTGLLMVSTQIGERQERNELDAHLNQLKVASSRNQDSLSDVAIHIQEARPTEGVSPVEVRPADGILKVDGTEARRFGHLIGARKRKSFAVKRFKQEPSSTLRNDALGNTTVDGVVVGQDVIKNTNGLDPDNIVDAPPIISEIIKIIKPVNYSTSIVNDTEEVSVCFLVRRSDGEEVVVDNKYLKENNPILVLIKSNGKEVIVNDKYLKADYTVLARSR >KVG21039 pep supercontig:CcrdV1:scaffold_7676:15915:17658:-1 gene:Ccrd_026529 transcript:KVG21039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MLNYSVVENWVHTGGRPIGIAIDDFGDVFVADAFKGLLNVSVGGELEFLTDEAEGMKFGLINGIVVAKNGMVYFTKNRLHQWFHDFLEGRPHGRLLSYDPSTKQTNMIFRDHYFANGVELSSNQDFVIFCETFMRKCSRYYLQGEKKGSIDVFVDNLPGVPNNIRYDGDGHYWLDNSFSLKFIQIYPFIRKILAFTIKYLHKMPDFMNYGRGIALDLEGKPIGGYYDNTWIYTTSGVKIGKHLNMGSITRSYILRLNLIQNPLATATS >KVH88547 pep supercontig:CcrdV1:scaffold_768:49930:53454:-1 gene:Ccrd_026530 transcript:KVH88547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSISQPKVPMIDMNDLKPGSDSWVLRCQEVTHALEEYGCFMAIYQGVSKELNKEVFDSIKTLYKLPAETKIKNTSDTPLFGYLGPTHTRPLFESMGIENVTSLDHVQHFANLMWPSGSHHFSENINSYANLVSELENAVKQMVFQSYGVEKYYESYDKSSMTYLLRVNKYKPAKTNESNIATTAIHTDRSFVSILSQNHVNGLEVLTRDDKWVTVEFLPSSFIVMAADGFMAWSNGRLRSAPHRVMMNGQEDRYSIGLFTFKKGVIEIPKEFVDEEHPSRFKSFNHLEYVDHMSKSPVYIDERAIKLFCGT >KVH93482 pep supercontig:CcrdV1:scaffold_7680:2207:9817:-1 gene:Ccrd_004466 transcript:KVH93482 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b-c1 complex, subunit 6 MGVRDRRFVSSRSDEEPVDQKRHFEDLCKPKCVRAWLDYQGCVKRVEADETGHKHCTGQYFDYWQCVDKCVRLFSCILLFCSHDSNPISFEACMTAGCTYAVCKTEVIYGRDFLTIIYGDDNSLV >KVI02738 pep supercontig:CcrdV1:scaffold_769:141097:144433:-1 gene:Ccrd_018971 transcript:KVI02738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein ILAIDFSEFGTLAGPFPADFCRIQTLRHLSIASNYFNGSISPASFSLCSHITLLNISDNMFVGNLPEFEPRFVNLTVLDVSYNNFTGEIPASIGESLLLQVFHLASNFFSGRVPESLTNLTELTELLAPENQFLSGPLPENIGHVFANLTSLIQFDASLNNFTGKLPASLAGLHLQSLAVNDNQLEGEIPTVLSSNSMLTQLKLFNNKFSGRLPESLGKSSGLEEFDVSGNQLEGPLPSNLCYKKKLQKFISFNNRFSSGIPASLADCKSVYYVRISNNELSGEIPSGFWSSSALQYIDASNNRFQGSIPDTISNSRAIKKLVISGNGFSGDLPAGICSLQEIVEMDFGNNQFSGGLPSCLTNLKKLEKLNLQSNKFTGAIPNAVSSWSALSSLNLSNNMLTGEIPNQIGNLPVLNYLDLSGNSLSGKIPTSLTKLRLNILNISNNNLEGKVPTGLDVSSLRGNPKLCSPDLKPFPRCHKSKSVSYYSVGILSAVAFFLIVSLIWVVIKTRLFRRNKRLWKITSFQRLGFKEQDVLVSLAESNIIGMGGSGKVYRVTMKTGQMVAVKKLFGVHESPETEAEFLAEMETLGRIRHKNIVKLLFGSVGEDFRALVYEYMENGSLGDMLHEDPKGGLLLDWGKRFEIGLGAAQGLAYLHHDCVPGIVHRDVKSNNILLDEEFRPRVADFGLAKTLQIKASDSDGSMSRVAEYAYMMKITEKSDVYSFGVVLMEIVTGKRPNDSSFNENTDLVKWVTSAALLSPEKTSDGGWTYLDQLIDPKMSPSSRDYEEIERVLNVALQCTSAFPINRPSMRKVVELLKNHSTAPSKQQQ >KVI02740 pep supercontig:CcrdV1:scaffold_769:164355:170314:1 gene:Ccrd_018972 transcript:KVI02740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHGGGDASQRIARISAHLNPNKSQMEGSPSLERSTCRAKGGSPGFKVAILGAAGGIGQPLSMLMKMNPLVSVLHLYDVRGFLGPQQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPKAIVNVISNPVNSTVPIAAEVFKKAGTYDPRRLLGVTMLDVVRANTFVAEILGHDPREVDVPVVGGHAGVTILPLLSQIKPPCSFTSEEIAHLTSRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDADDHIFLQVTELPFFASKVRLGRNGVEEIYPLGPVNEFERAGLEKAKKELAASIEKGISFANK >KVI02744 pep supercontig:CcrdV1:scaffold_769:107735:110486:1 gene:Ccrd_018968 transcript:KVI02744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MGFDRVCDTGLVLGLSLNSSPSMFKPNTMGSGSGSGSTKESCVTENMPSFEPSLTLGLSGDHRDPNTSWKRLVVGGGHGGVYRQDSTVISGGGSSFSSLSVKREREVGSEESERVSNDNNYSSRVMSTTSVDDVEDDDGTVNGRKKLRLTKPQSALLEEAFELHSSLNPKQKQELARELKLRPRQVEVWFQNRRARTKLKQTELDYEHLKKCCETLRDENRRLHKELQELKALKSSQPFYMQLPAATLTMCPSCERVGDTNSATTTSKNPFTMAAKPHFFNSFTNPSAAC >KVI02741 pep supercontig:CcrdV1:scaffold_769:3963:12307:-1 gene:Ccrd_018966 transcript:KVI02741 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c domain-containing protein MNQISSWPSQHFTHLLKTCISRRDLLIGKSLHTLYIKALIPASTYHSNHFILLYSKCRRLSSARNAFDVIPHPNVFSFNTIVSAYAKESQPLIAHQLFDQIPQPDLVSYNTLISAYADRGEARPALHLFMDMRKLGFDMDGFTFSAAVTAACKDIGLIGQLHKLAISGGFNAYTSVNNSLITYYSKNGHLNEAKWIFNDMGSNKDEVTWNSMIVACSQHREGLRALELYREMVHMELRIDMFTLASVLTAFTCLEYLHGGLLFHGQLIKKGFHQNAHVGSGLIDLYAKCNGDMSDCKKVFEEIIGPDLVLWNTMVSGYSQNHDLSEEALYCFRQMQREGYRPDDCTFVCVISASSNLSSPTQGKQIHCLALKSDIPSNKISVNNSLIAMYSKCGNLQDARRLFDLMPEHNTVTLNSLIAGYAYHGFQVEALHLFEHMVEANIAPTSITFVSILSACAHTGKVEEGRKYFSLMTDKYGIEPEEEHFSCMIDLFGRAGKLEEAERLIETMPFNPGTVGWGALLGACKTHGNLELAVKAAKQCLLLEPSNAAPYVMLAHMYGKADKWEEVAMIRKSMRNSGVKKNPGCSWIEINKKVHVFVAEDSSHPMLKEITKSWEELLKKMKQVGYVPDVKWAAVRDHGIGKDEKETSLGRHSEKLAIVFGLLSTKDGEPLFVVKNLRICGDCHNAIKIISGLTGREITMFRAAYQIRASFRYVAQTLSGKTNLSSTSVIPSLFTNLQARHKHEEADADEFNKDPGGPPRLFVVQPRFRPDAILKIKLDEALNLANSLEEQRDGYYDTDFAKKELPPHLVVQNPAYRITRAGIICFLILFALDDADTFFGPGTVDNIKCHLNAEDSKGGVDAIFVNGTLTGIQQRNLEVSHACFHCKQPSYLLLLKKVLYHILAAELAALMYKRSRLVRVRGSDGRFTFGAPGEAEVVSARGRGSGGRGFISGAGETELQLQRRRMFATVDTKVRNVILPSGDTVGFISDLPVQLVDAFHATLEEVAEADLLVHVLDSSAPNRDEQKESVLQVLQQIGIDLEHDETAINEQIEEDVDDNLDDWLSPGDGEVKWDDATSSFVGWKVSEPDRTKPNELRVSHLEVGSMDQPKDINEPSVSHLEVRSRDHPKDVWNCKSDPKYEPDVKTSAITRVGLQELLDLIDDKLKTEEVLERNVFERKWRPPRDHDTGVVVG >KVI02743 pep supercontig:CcrdV1:scaffold_769:116620:118324:-1 gene:Ccrd_018969 transcript:KVI02743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MVKMAFSLTKFSNKVVIFVFLIVFLTPSAVVDAKPATFLQDFRITWSDSHIRQLDGGRAIQLILDQNSGCGFASKSQYLFGRVSMKIKLIPGDSAGTVAAFYMNSDTDQVRDELDFEFLGNRTGQPYSVQTNVYAHGKGDREQRINLWFDPAADFHTYSILWNHHHVVFSVDEVPIRVYKNNEGRGVPFPKFQPMGVYSTLWEADDWATRGGLEKIDWKKAPFYAYYKDFDIEGCAVPGPSSCASNPSNWWEGSSYQQLDPMAARRYRWVRLNHLVYDYCTDKHRYPVTPPECVAGI >KVI02742 pep supercontig:CcrdV1:scaffold_769:30681:32733:1 gene:Ccrd_018967 transcript:KVI02742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MLSTKVTCNSHGQDSSYFLGWEEYEKNPFDEVKNPNGIIQMGLAENQLSFDLLESWLQKNPDAAAFNKPNTNQSIFKELALFQDYHGLPAFKNLRFLQALVRFMSEIRGNTVTFDPNNLVLTAGATSANETLMFCLANPGDAFLIPTPYYPGFDRDLKWRTGAEIVPIQCSSLNGFRITKSALDEAYKQAEKQNLKVKGVLVTNPSNPLGTSLSLHELDLLVNFISTKNIHLISDEIYSGTVFSSPSFISIMDVLKNRNLMNTDVCKRVHIVYSLSKDLGLPGFRIGAIYSNDDRVVSAATKMSSFGLISSQTQYLLSEILSDKTFTKTYLSENRRRLKQRHEMLVKGLQKTGIRCLQGNAGLFCWVDMRHLLSSDTFEGELELWEKIVYEVGLNISPGSSCHCSEPGWFRVCFANMSEETLILAMQRVKSFVDSMAKKNNQSRHQQLLNRNSRRTKSLPKWVFSLSFHHREVSGADER >KVI02739 pep supercontig:CcrdV1:scaffold_769:130698:134082:-1 gene:Ccrd_018970 transcript:KVI02739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha tubulin MRECISVHIGQAGIQVGNSCWELYCLEHGIQPDGQMPSDKTPGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLIIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNPYHEQLSVAEITNTAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDDDEGDY >KVI11209 pep supercontig:CcrdV1:scaffold_7692:7467:14083:-1 gene:Ccrd_010383 transcript:KVI11209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPDTGLVIASFYRRPVVFISMLGSFTCFPLWSAPHELANTVNSPTMEEIECFEKAMFVDLGLIFVILYYDMTIGSSSWEELHREDPREITLGEGITQLSAIKTSPDCKPT >KVH96406 pep supercontig:CcrdV1:scaffold_7697:16359:17541:-1 gene:Ccrd_001508 transcript:KVH96406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MLPEYMKFIYQELLDVHKEDEELLEKKGMAYRSYYTKEMVKEYTRNLLTEAKWVKEGYIPTIEEHMSVTLVTCAYAMIIAKCYVHGHDSVXEDTFKWVSTYPPLVKASCLILRLMDDIATYKEEQERNHCASSIQCYMKQHGVSEEETREVFSKQVEDAWKVINQESLRPTDVPMPLLMPPINLARVCDELYSRGDDYNHAGKEMIHCIESLLITDVLLRRIFYMLRYGVPMVSFLMDSDVFWFKAAMMNRPIIDDTITTCLDGNG >KVH97893 pep supercontig:CcrdV1:scaffold_77:255216:256157:1 gene:Ccrd_023853 transcript:KVH97893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1677, plant MSAMVMSDAMVTPATESQTIVTAPTTEVEFAKCECCGLTEECTPAYIERIRERYYGKWICGLCGEAVKDEIVRSERLITTEEAMARHMNFCRKPSSSSDPPPNPAVHLIAAMRQILRRSLDSPTRSLMSMPNSPLQSSDGVRLRRSESCFTNLTLDESSSYTELQGIEK >KVH97919 pep supercontig:CcrdV1:scaffold_77:206408:208085:-1 gene:Ccrd_023849 transcript:KVH97919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELVKNNSDPRDLRSGAPSMVGYPSQWTGAESFVEQSTLSNNLSLKMGSSTPRHQNTKQLGLQFQFQDQDSSSTQSTCQSYPEVASAGDYGENKFSIQSGYNGTHVSHEEGSVGSALPIGPQDYAFASQGDRRQPY >KVH97888 pep supercontig:CcrdV1:scaffold_77:291452:297348:1 gene:Ccrd_023858 transcript:KVH97888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MLPSMASLCFLHRLLFSFRQIFSLKPLYAIIHRFPVTFADAILSAYFRYCCLSPSTVYLDDNQTTLYFWATKHGSSKKPNLVLIHGFGGNSKWQFILQVAQLTRDFNVYIPDLVFFGDSYSSNADRSDKFQAKCVCDGLKKIGVEKFSVYAISYGGFVAYRMAELHEEMVEKLVIVSSGIVCRQDRKLEHVKKIGRDVVDMLVAKTPEDLRALCRISIHKYDIGRWIPDFFLWGFIAIECCKKEKKELVLKLLDEKSDVDLPVLTQETLLIWGEKDIVFPLDWAYELHRHLGMKAKLEIIRDVGHAANFEAPYSLNRFITSFVLGSG >KVH97897 pep supercontig:CcrdV1:scaffold_77:509510:511418:1 gene:Ccrd_023887 transcript:KVH97897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin, C-terminal MDLKSSSKYPSSHGVTPPNISCSNKNSKVFGDLQEHGVKKEKPFLAGSLNLKETSNKRNAPPTPGRPLFSFSNVSRKNSKWNDAEKWLISDLHTKKSSYGFVIKQHHHTKLKPAAEEKVQKAVSIHHNSPRPFNGISDSLDVLKDKFTNEVQPNFECSEPLSESRDPSMVKSRDPFMVKSRDIGTEMTPLGSSTTSRCSTPFKNFSPPRHNTPATRSGPDPGHLLAKLRLETCVIPNWTSTEEEEEDISKSLRHFEMTNECPKSISEPTASAWEEEDKTKCCLRYQREAAKIQAWVDLQNAKAEAQSRKLEVKIQKMRSNFEGKVMQKMAVVHRKAEELRAAAQLQHSEQIQKATEQAKKTMNQHLSMHFSGHSSSCGCFPCNNLHSS >KVH97943 pep supercontig:CcrdV1:scaffold_77:335098:345804:-1 gene:Ccrd_023863 transcript:KVH97943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLNRALEEICSQGVKGLNLRHLWPKIHSNGLPLCPNVKKALWSNLLNIPSLRFECKAVSYDAQDPNIQSFQDSEGMDLKIVAAEHMLNSFIGLYDITASDAGISQLQRRALERLAIARTDGVTQNDLAKEFGIKGNNIYYILRGLESRGLIVRQSTIIRKKEVGNEGEYKNGSIVNTNMLYLYRYAKHLGSLQRLEITKEDKASDNIDGEVGTRDGVPEECIKEDVHIKDYVPAIRAICDRLEKADGKVLVVSDIKKDLGYCKTSGHRAWRNILHRLKDAGLVEECYATVNKKVYKRLGINNKRYYPRILEMVSRFRMHLDSESLNRGVVYRVWTPGNFNAVVSNTLRGKLDDTMDGKLEDTMDGKFPTHAQVGQLQLAHTIQDSDHLTSKVDGEASEEQNINSISSGFADASPAVGCNDRPLDSRSSYNLQCDVNGIISDAELQMANVKPAAEVVSLEIPSSASPARRIRRSYTVYPCLGLNSASLQREKRILEKLQEEKVLIKPELPRLLESLENIEKKHTVMDKKTLDRSLNKLQEEGHCKCISFAVPAVTNCGRKRTIDVVLHPSVYNAEDLSDRVQERLRSFEKQIRTQGFSRHQSGKSIPVLNDVERICTTKYVPTETSEAMRDNGFVLAKMVRAKLLHIFLWGYLIRLSGWDDAISGRHGYEQKNPYSTCKLFELDAAIKAMPLELFLQVVGSSIKLEGMIEKCKNGFCLSDLPIQEYKCLMETRATARLSSLIGILRRLKLIRLIGGELLDAPMGPHATLRHSLELKPYIEEPVGMVLPSTGVNSFDLRPHIRHDFVLASRKVVDEYWNTLEYCYAASDPKAAMHAFPGSAVHEVFFSRSWASFRVMTADQRDKLLKLVANEDIDKKISYKKCEKIAENLNLTLEQVLRVFYDKRQKQKSKGAANAPSLSNKRKRSLKGKPVNNGMEDLVDEELGKLKHAKMLSAEDATEEQNSSQRSLEDHETDMLIDELDGQKEAVDDLEVNADEDGHSYSNIHKRVLSKLPPRQKRFAWTENIDRQLVIEYVRNRAALGAKFHCTDWVSLHNLPAPPHTCRRRMSTLNRNHQFRKAVMRLCNMLSVRYVKHLENSKNKPLGDHTNDFNIEERWDDFDNKDIKMVLDEVLSYKQTAKSEAKKGARYISKYNQTDVGAEGHEFDETTLVSSAAPSNELKGSGRRQASGRRSKCDLPKSYAMLMNGGKGFGTHAYKSLAVSNAIELLKLVYLSTAKAPEVANLLAETLRRYSEHDMLTAFNFLRERNFMVMGNSINDFVLSRKFSHNRSSSPFPPNTGERVVEMGKWLNERENDLLDNGVDLYADLQCGDVLQLCMLMCMGEVSMFPCLPHEGVGGIEDSKKHNCDDNEVCDVDNAKKPKLLDSEVFSRKEKGFPGIQLSLSRSTISRVDAITLSSGSNLEHNSSPSKRSPYAFSMTNVASESTWETMTRYAKHVASLEVNGYDSVHVVDSLYRSKYLLASMASLDLKVPESCTNEGEPSKSVPEDHDNSLMKETCTEVHTSTDKMHRVTILNHLEEVPQPRMDPECELRIGDSPCYKSILPWVNGDGTINENVYKGLVRRVLGIVMQNPGILEVRIG >KVH97917 pep supercontig:CcrdV1:scaffold_77:428590:433864:-1 gene:Ccrd_023878 transcript:KVH97917 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAESSFEMDHQATPISYRPHHTTPAHSHRSPRLSFYSPHTYPFPTSHRTRYTRVPPTTPFSSDNDRSWQDEISWQFEPTGWQDDRGTMLSAALSPWTGPGTSPSPSPTPGTRVFRQSANDYYLSRTSSSDLYFHNFTNPYYEHSSVLSPGRIELQSYVAEDTHRINHQPDGSSFIGGYIKSPTFARMGTSINTKRDHLADNADPSMIDDDKQNETRIKEQDPRWFSVSRAYVDQDMGRYDGHSSRHHGISFTSHDHHNVNGLNSYSHGYDEDSQQLFRSPQYLQSYVQDGDELDEDEVVPPNTIGLFGLFKYSTKLDMFLVIIGCLGALMNGGSLPWYSYLFGNFVNKIALETDKDQMLKDVRKVCVLMAGLAGLVVIGAYLQIACWRLVGERSAHRIRTKYLRAVLRQDISFFDADISTSDIMHGISSDVAQIQEVMGDKMAHFIHHIFTFICGYAVGFLKSWKVSLAVLAVTPLTMFCGIAYKAVYVGLATKEVNSYKKAGSLAEQAMSSIRTVFSFVAEESLAARYDAVLDESVPVGKKLGFAKGIGIGVIYLVTYSTWALAFWYGSILVSRNELSGGAAIACFFGVNVGGRGLALSLSYFAQFAQGTVAASRVFEVIDRIPAIDPYSTIGRKPTSVHGKVELKSVTFVYPSRPTVPILNSLNLVIPSQRTSALVGASGAGKSTIFALLERFYDPVEGLILLDGQDIRTLQVKWLRRQMGMVGQEPILFADTILENILMGKENATKKEAITACIAVNAHKFISDLPLGYDTQVGDKGTQLSGGQKQRIALARAMIKDPKILLLDEPTSALDPKSESLVQLAIEKISKGRTTIVIAHRLATVRNAETIVVLEQGSVAETGDHHQLMAREGAYFALIKLASEAVSTNLISERDELGKKNETSGTYDLSKPNHVYEISMSGYMKSVQEANEVETQEVTKQKSYRISEIWKLQKPEASLLFIGIIFGMLAGAILSLFPLVLGQALNVYFNPDKSKLKKDVGYLCLALVGLGFGCILTMTGQQGFCGWAGTKLTKRVRDFLFQSILKQEPGWFDSDHNSTGVLVSRLSVDCISFRSVLGDRYSVLFMGLSSAAVGLTVSFYLEWRLALLATILTPFTLGASYFSLIINIGSKLDNGSYDKASSIASGAVSNIRTVATFATQEKIVRSFEQSLLEPKATSVRRSQITGLALGFSQGATYSAYTLVLFFGAYLVEQSYTTFGDVYKIFLILVLSSFSVGQLAGLAPDTSMASTAIPAVFDILNRNPLIHGKGKDIERSRPFDVEFKMVTFAYPSRPDVIVLRDFCLKVKGGTMVAVVGGSGSGKSTLIWLTQRFYDPIRGKVLLGGMDLRELDVKWLRLQTALVGQEPALFAGTIRENIRFGNPNASWSEIEEAAKEAYIHNFICGLPQGYETEVGDSGVQLSGGQKQRIAIARAIVKKSKVLLLDEATSALDLESEKHVQEALRKITKRATTIVVAHRLSTIREAAVIAVVQDGKVSEYGTHDALMASHLDGVYATLVRSEMEANVFA >KVH97890 pep supercontig:CcrdV1:scaffold_77:212267:216545:-1 gene:Ccrd_023850 transcript:KVH97890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRRRKRPDDDAPQPPHTAEDDDKKGKSQKKSAKKKRRNSYSCVDNCCWLVGCICTTWWFLLFLYNTMPASFPQYLTEKITGALPDPPGVKCVKEGLEPKHPVVFVPGIVTGGLELWEGHECAEGLFRKRLWGGTFGEIYRRPSCWLQHMSLDNETGLDPAGIRVRPVSGLVAADYFAPGYFVWAVLIANLARVGYEEKNMYMAAYDWRLSFQNTEVRDRTLSRIKRNIELMVEINDGQKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCAKHIKAVMNIGGPLLGAPKALSGLFSAEAKDVAFASGIKAISEYKAYTAGDLLDMLELPNAPDMEIYSLYGVGIPTERAYVYKLAPTAECYIPFQIDNTAGDTDEHVCLKDGVYTVDGDETVPALSAGFMCAKGWRGKTRFNPSGIKTYIREYDHNPPSNFLEGRGTQSGAHVDIMGNFQLIEDVIRVAAGGSGEQLGGDRVYTDIFKWSDKINIKL >KVH97945 pep supercontig:CcrdV1:scaffold_77:130213:132030:1 gene:Ccrd_023839 transcript:KVH97945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MEIESKTGFKTRLHERSESFDFGRESGFNPTDRTVSRRHISFKLHEDRTRVYFQVEGKNPVWVHDSINDEIRVYKTSEGGEMKSGDSFCVSSKNPIWFNLKKIASEEDDDDKSKSELRFDDVLAETSGSGIDYGEIDIGGDVPQIDPVKEFNFVVMGHEFDYYPKKLIRDIRNWDWFLEEPKEKSDDDDSERKTKKGTRKRKKGGRKDNDDDDVWTGESEEDAEMIKKLKNNQKKNKKDAGASTSTKYAKDEDDDEDDETLGGFIVDDDETREEDDDDEEEEEEFEEDEYDDE >KVH97891 pep supercontig:CcrdV1:scaffold_77:227038:232622:1 gene:Ccrd_023851 transcript:KVH97891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brevis radix-like domain-containing protein MLTCIACSKQINGGSLNEQEDGDTAATPRTKQAIKNLTSQIKDIALKASGAYKNCKPCSGSSNNNPTNRRCYTDSEGGSVSGRFFCGYQRTGNSTPKVWGKEMEARLKGISSGASTPASVSGRTESVVFMEEDELKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWRAQRWWSENCEKIMELYNVQRFNQQGSSRTESVENSPVTPPLSKERPPRNFYHPAGIGIGYSSSDSLDQHPIRPTHESSNPPKLSSISGAKTETSSVDASARTSSSREVDQSGELCLSNASDLETEWVEQDEPGVYITIRTLPGGIRELRRVRFSRERFGEMHARMWWEQNRARIQQQYL >KVH97932 pep supercontig:CcrdV1:scaffold_77:542101:545027:1 gene:Ccrd_023890 transcript:KVH97932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MGGTMEDFVDCTTAAAADSIEAIELGEVEGLGGGGWERKWKGYLGLALGQSGRGSLCDLCHHHQPSFYCSSDSAFLCSDCDSQVHSANFLVARHIRLSLCKSSSSSTCSSSSSSXTKSHIYISSTSTKAKAKAKANNKALISDWKTRDVLVNWCRKLGLGIEVIEVAKHAFEASWGLVWPYRLGLAASLWLGVLLLLKEDENNEIRMLVKRLEEISGVPAKSIMLAQSKLLKIMNQQHRHQVEVEVEVEEGWAES >KVH97906 pep supercontig:CcrdV1:scaffold_77:569:4605:-1 gene:Ccrd_023828 transcript:KVH97906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPLPQNSFTRLPFPKMPLPQKHSFPGALFPLSAPLPQQLKASNYHCYVDLNSQTRFQTRSPSFPIQARSSFPIPYWWRDNWNNEMYGRKACQLVKEFVSSDSDQLIVFNVNSLSLSILRNLQELRMCFIMNGEFVVLLSFNQMGILFLNAVQLSKGVSLRIQGGTTNKVESESTPISLIHTGGLEWKFSQVFGERAAGEEVQEE >KVH97952 pep supercontig:CcrdV1:scaffold_77:22432:23734:-1 gene:Ccrd_023830 transcript:KVH97952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRYSPYRNHRGHPPEGPPSRLHMARPMPPHPVMLEEELEMQHHEIRRLLGENRRLVEDRIALQRELGASREELRRMNSAIAEIQAETELHSRQLIERGLKLEADLHATEPLKKEAMQLHAEVERLSSIRHDLSGQVQTLTKDIAKLQADNKQLPLLRAELEGLQKELMHARAAIDYEKKGGIELMDQKQAMEKNLVSMAREVEKLRAELTNTDARPWGAGTFLFCGSYRMNVGSSGGSFPRPYGDSYGRTLGAADNGTLYGSSSTPWAELEKSRMARR >KVH97924 pep supercontig:CcrdV1:scaffold_77:140147:142996:1 gene:Ccrd_023840 transcript:KVH97924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34 MDTETMAGVSLEAFLYPCGVPSLRLFLPDGEDSSSSKPLCIKRTYQPSTLRRKRVHGYLARYISFQFTCFCTCIYVCTCIPDSA >KVH97922 pep supercontig:CcrdV1:scaffold_77:151614:151802:1 gene:Ccrd_023842 transcript:KVH97922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEDGIQNRLGSRPPSCDHKCYGCSPCEATQVPTISHVGIQYTNYEPEGWKCKCGPTFYSP >KVH97928 pep supercontig:CcrdV1:scaffold_77:169329:177915:1 gene:Ccrd_023844 transcript:KVH97928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MAHGRIRAKIRRSSLYTFGCIRPPRESTDEPHQFRGPGYSRQVCCNQPQFHQKKPLKYVSNYISTTKYNVITFLPKALFEQFRRVANVYFLLAAALSLTPVSPFSAYSMIAPLAFVIGLSMAKEAVENWHRFMQDMKVNMRKVSVHSGDGVFSLKPWMNIRVGDVLKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEATLTLDDDAAFKDFKGTIKCEDPNPNLYAFVGNLEYDRQIFPLDPSQILLRDSKLRNTGHVYGVVIFSGHDSKVMQNATKSPSKRSTIEKQMDKIIYVLFTLLVLISLISSIGFAIKTGYQMPNWWYMPQDAKKIYNPTRPFLSGCIHLITALILYGYLIPISLYVSIELVKVLQALFINRDIHMYDEETGTPAQARTSNLNEELGMVDTILSDKTGTLTCNQMDFLKCSIAGIPYGMRSSEVELAAASQMAEDLEGHGHDFSRTGGQNGSEIELETVPTPKGESSPTRIKGFSFEDHRLMNGNWSREPNADVHLLFFRVLALCHTAIPELNEETGTYSYEAESPDEGAFLVAAREVYEEATTKHLNDYGEAGLRTLALAYRKLEESEYSAWNDEFVKAKTAITGDREAMLERVPQCIDKLAQAGLKLWVLTGDKMETAINIGFSCSLLRQGMKQICITTTVDMLNQDSKKLEKDPHAAFALIIDGKMLSYALEDDLKHQFLSLAVDCASVICCRVSPKQKAMVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMFPALYQQGPRNLFFDWYRIFGWMGNGLYCSLIVFFLNIIIFYDQAFRVGGQTADMTVVGTAMFTCVIYAVNCQIALTMSHFTWIQHFLIGFSIIAWYVFLILYGMLSPELAGNAYKIFVEALAPAPIFWLSTLLVTVACNLPYLAHISFQRSFNPMDHHVIQEIKYYKKDVEDRHMWTRERSKARQETKIGFSARVDAKIRHLRQMLQKKSSVLSPRVALAATRT >KVH97937 pep supercontig:CcrdV1:scaffold_77:374998:376197:1 gene:Ccrd_023868 transcript:KVH97937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase LSRSWANVYLENERTLAIVKPDGVSGNYTSSIRKVILESGFSIQREFTLHLDEDSVRRFYAEHSAKSFFPSLIKYMTSGPVMIMILQKANAVADWRALIGPTDAHKAKMTHADSIRAMCGKDLERNCVHGSDSVESAAREISFFLTEAFP >KVH97939 pep supercontig:CcrdV1:scaffold_77:364936:370734:1 gene:Ccrd_023867 transcript:KVH97939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGLDEFSRSVDMGLRLSKRIYYGKDHPPSTAAPKPPSMDKCFSSSSSVSSFQLGLAQNHDPTGPMVYAVIAEPVVVDNPDIRSYQPYVHGRCDPPALIPLHMVGVTLEVECYLDTAFVTLNATWRLHCVRSSAFSDCRLVIPMGEQGSVVGVEVETNTRSYFTQFISPKDHDQHTTPKCGFFIKPNIYMLQISQVEGGSNFQVKARWSQKMLYQGHEFCVSLPFAFPAHVFPVFKKAPCREKIVVYVNSGTCTQITCNTCSHPLKARHAYLHHHHHHHHHHHLIIIIIIIIIIIIIIVCIILILNLFPSSQELKRQAGQLSFFMRDDPLEKTKYEVIGSLWKLNQGDSFNILASNGEVRSFSSSLELATEETIMNATEWLNTNIVADGGTNLLLPLKQVIFMCACDLSDLSTHQLATTAIQMVGKTCDSIPLVFLVTDGAVEDEREICNMMKGSYCNHYFLQMLANIGKGCHDSAYDVDSISFRMQRLLDKATTPLLSNVILDGLESHQVKGFLGDLSTYVIDVKVREAKDMPLDMLPFYQTKPNEPIIYILYLVITVLFLFRISCPMNLNVGFGNLIATAENLPPGIEELQLSEPAAKVMQAALYCWTVFRDRFCCKCFIRTCGQVNDQCAIALAELCTALACFQCISCCCDTCDSCTEMCSCL >KVH97896 pep supercontig:CcrdV1:scaffold_77:274352:279398:1 gene:Ccrd_023856 transcript:KVH97896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MALVKEIMGSSMTEKSPFLASNVLKTINHNQNQLYLNPLFVPIDNSRSAQFRKKIPRRTPVVVAAISEDLAKFVKAEKAVTFKVRAVLTVRNKNQEDFKEAIVKKFDAFADQIGRNVVLELYSTDIDPKTRAPKKSKESVLKDWSQKSNLKSEKVNYTSDILVDSDFGIPGAITITNKHQKEFFLESITIEGFACGPVYFPCSSWVQSTNDHPKPRIFFSNQPYLPDETPAGLKVLREKELKDLRGDGKGVRKLSDRVYDYDVYNDLGNPDRGNDFIRPSLGGEKIPYPRRCRTGRLPSDTAESRVEKPFPLYVPRDEQFEESKQNAFSTGRLKAVLHNLLPSMVASISKKHDFKGFSQIESLYSEGVHLKLGLQDDLLKKLRLPNLVTRLHESSQGGGLLKYDTPKILSKDKFSWLRDDEFARQALAGVNPVNIEKLQAFPPVSRLDPDIYGRQESALQEEHISGYLNGLTVQQAMEENKLFIIDYHDVYIPFLDRINALDGRKAYATRTIFYLNPSGTLKPIAIELSLPQALPGSQSKRVVTPPVDATSNWTWQLAKAHVCSNDAGVHQLSNHWLRTHAAMEPFILSAHRQLSAMHPIYKLLDPHMRYTLEINALARQNLINADGVIEQCFTPGRYCMEISAAAYKHWRFDLEGLPADLIRSQRHGLKLLIEDYPYASDGLLIWEAIQNWVRTYVTRYYPDSSDVCNDRELQAWYAESINVGHADLRDKNWWPTLANGDDLTSVLTTIIWLSSAQHAALNFG >KVH97950 pep supercontig:CcrdV1:scaffold_77:38753:45419:-1 gene:Ccrd_023832 transcript:KVH97950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter EWKSRNGRGIKIQRSFCNLLPTKQAPSLSLSLCLVHSIEISVLSGPSKMDRGSNGFVFTIGIFMLLISSSESFYLPGVAPRDFQRGDPLQVKVNKLSSTKTQLPYDYYYLNYCKPKEIQNTAENLGEVLRGDRIENSVYTFQMREELPCKVGCRIKLDAESAKKFREKIDDEYRVNMILDNLPVAVLRQRRDGSPSTTYEHGFRVGFKGNYAGSKDEKYFINNHLSFRVMYHKDLETDSARIVGFEVTPNSINHEYKEWDDKNPQLTTCNQNTKNIIQGSTVPQEVDTGKEESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDITNYNQLDTQDEAQEETGWKLVHGDVFRAPVNSGLLCVYVGTGVQIFGMTLATMIFALLGFLSPSNRGGLMTALVLLWVFMGLFAGYSSARLYKMLKGTEWKKNTLKTSFMFPGILFAIFFVLNALIWGEKSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAMEDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIITCAEITVVLCYFQLCSEDYNWWWRAYLTAGSSALYLFLYSVFYFFTKLEISKLVSGILYFGYMLIASYAFFVLTGTIGFYACLWFVRKIYASVKID >KVH97936 pep supercontig:CcrdV1:scaffold_77:385892:386676:1 gene:Ccrd_023869 transcript:KVH97936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDHANQEPRKEEFSDWPHGLLTIGTFGNNDLPSENEEIQENRGEGTSSPDLSEFTSEEIGKLQKELTKLLSKKPAANKQEIAADLPLDRFLNCPSSLEVDRRLSITVNSDDNDKEEDIDRTIRVILGRCKDICMDNSKKTIGKKSISFLFKKIFVCSSGFPSIPSVRDPLPESRMEKLLRAMLKSKINPPNSSQASTKRKMIEGRRSSKKREVTEEENGKDGSKWVKTDSE >KVH97913 pep supercontig:CcrdV1:scaffold_77:420524:425400:-1 gene:Ccrd_023876 transcript:KVH97913 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC22 [Source:Projected from Arabidopsis thaliana (AT1G11890) UniProtKB/TrEMBL;Acc:A0A178WBU4] MVKLTMIARVTDGLPLAEGLDDGRDMQDAEFYKQQVKALFKNLSRGQNEASRMSVETGPYIFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLKNEFERGYGNQIETAARPYAFIKFDTFIQRTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDRKLLPLYAWLLLRLLSPDSNPPFGLQWFCENSISLSTFHFNDASPSFLEVSQMSSRLTSDTRIYADKARDLNRQALIKKWAPVAIVLGVVILLFWARKKIW >KVH97918 pep supercontig:CcrdV1:scaffold_77:435513:438121:-1 gene:Ccrd_023879 transcript:KVH97918 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-type domain-containing protein MLKGRERFSTTATDNAEGGNEENQNISVTFVDKDGEEKKIKVPIGMSMLEAAHENDIELEDKQPQQFSEANLQNDVEHYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIARPELDGLRLAIPAATRNFAVDGYKPKPH >KVH97929 pep supercontig:CcrdV1:scaffold_77:285528:289799:1 gene:Ccrd_023857 transcript:KVH97929 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD/PDEase domain-containing protein MAVPTIALYATPPTGICSTPYPCQINSHTSYDFDLSSRPSSSSTATVSSSQKPIVGGLSSMFSSSSSFSAGGSEELPSMRGAEDLSSSFSYSPFGSYLKRDHVHQSPVSVFQGPVSMGSSPPMRFSPERDGSIRVGSKRLFKGFVTHSLSSCVDYGSRSFQVQDNLAEELTFMMDDTILKESDPEPYAADLLLDAQAKHKIFNDDLVIKAFFEAEKAHRGQMRASGDPYLQHCLQTAVLLATIGANATVVAAGLLHDTLDDSFISYDYIHQTFGPGVADLVEGVSKLSQLSKLARESNTANRTTEADRLHTMFLAMADARAVLIKLADRLHNMMTLEALPLNKKQRFAKETMEIFAPLANRLGITSWKEQLENLCFKYLNPEQHKDLSSQLLKSFDEAMVTSAAEKLEQSLQDASISYHVLHGRHKSLYSIYCKMLNLTFYVFICRKRLAMDEIHDIHGIRLIVENEEDCYKALELVHQLWTEVPGKFKDYINHPKCNGYRSLHTVVMGEGSVPLEVQIRTKEMHSQAEFGFAAHWRYKEGXCXHSSFVLQMVEWARWVVTWQCENMGQDKSCIGFNEAIKPPCTFPFHSEDCPHSYKPCCGSDGPVFVIMIENDKMSVQEFPASSSVKDVLNKAGQGSSRCGSYGLSMKEELRPRLNHVAVSDPNCKLKMGDVVELTPRIPDKSLSEYREEIQRMYDRGLSVTKSTAGVGWGR >KVH97935 pep supercontig:CcrdV1:scaffold_77:553247:553507:-1 gene:Ccrd_023893 transcript:KVH97935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRQIFHEIRGMKVKDVSDHVKPLVIMSNLRTSIQRTMDNYHAKNFQSDSIQPLYHVCFGGMILSYLVALPEERRHLEHQQHGGH >KVH97926 pep supercontig:CcrdV1:scaffold_77:195206:198817:1 gene:Ccrd_023846 transcript:KVH97926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-like protein MAVSFSSPLYSHTYYPLKLLVPSNTGVRRRRSTAVQFQSLRFHGSFDVEQHSLNSRKHWDDFYERHQNKFFKDRHYLEKDWGQYFCDDNNIIPSDGKIMLEAGCGAGNTIFPLAMKYPRLFVHAYQMNVFVCNIAEDNLCDHIMPSTVDIVTLIFTLSAISPEKMPIVIQNLGRVLKEMLMNKNQVISENFYFRGDGTSSFYFSEDLLSELFVRAGFTLVDVNTYIREIKNRSRNITMQRRWIRAVFRRP >KVH97901 pep supercontig:CcrdV1:scaffold_77:455135:463087:-1 gene:Ccrd_023883 transcript:KVH97901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTDLHPWIREIPKVENGHLQKFRLYETRSSLDVDYILFLQQNFYMIGRNKNRSVWRVLKIVRLEAFELNIVEDSTVYTEIECCDLLKRIHEGNKSTGGLKFVTVCYGIVGFVKFLGPHYMLLITKRRKIGVICGHAVYAITKSAILPIPNSTSAHSVAYSKNENRYKKLLCSVDLTKDFFFSYSYHVMHSFQKNLSSHGSGQGAHDTMFVWNEFLTTAIHNQLKNNLWTVALVYGFFKQVKLLISEKDFKLTLIARRSRHYAGTRYLKRGVNEKGCVANDVETEQIVFEDVPEGCPVQISSIVQNRGSIPLFWSQETSRLNMKPDIVLSKEDHNYEATRLHFQNLFMRYGNPIIILNLVKTREKKPRESLLRVEFANAIESINKDLPEESRLKFLHWDLNKYSRNRSTNVLALLGKVATYALNLTGFFYCQVNPISKSEELLERSEDEGGNHCCSQKHGTETSDADGLNNVVSHDSSNANRKVRMKPPKYQTGVLRTNCIDCLDRTNVAQYAYGWAALGHQLHALGYIDSPAIELDSPLADDLMGVYEKMGDTLALQYGGSAAHNKIFSQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHYQPQLGKPALWELDSDQHYNVGSRGSNLFQENTRRPFKRSLSDGLCEASSVIEPEKAQDSRKGLSESSPEISTCESDSSYPRYTASMSRRQLLLDGHSEDYPNSDHIFYNKRLDSINCSNFLDVEWLSSSGNSCEDEAYERSTLIVGDESSENVVGTCDSDLSLNVKGQGQEQGDGGGEEGEYPDGFVKWVIHGGMWFP >KVH97915 pep supercontig:CcrdV1:scaffold_77:407853:409795:-1 gene:Ccrd_023874 transcript:KVH97915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole biosynthesis regulator FLU MVVVVPRCSTAFSRHLLGKLSPPLVKLYVQDSCEICPTSLNQSSSSHQKMGILRSLPMDLVVTNALLVVTPLEAMAETCETQQSLFNMNMPLLLLVALIGATVGGELQRLNEQLRQINTALKRQAQIESYAPTLSYAPVGASKIPENEVIVDPRKQDLVSRLKNGKNFLRNQDPDKAFLEFKAALELSQAIKNPIEEKKAARGLGASSQRQGKYREAINYHSMVLSISKREGEDSGNTEAFGAIADCYTELGDLDQAATFYDHYISRLQAE >KVH97895 pep supercontig:CcrdV1:scaffold_77:263898:271483:1 gene:Ccrd_023855 transcript:KVH97895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDSDVTMVPAGEGSTLPGPSSSSSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >KVH97909 pep supercontig:CcrdV1:scaffold_77:398310:400178:-1 gene:Ccrd_023872 transcript:KVH97909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MESPENHRIPLEKAHQIVLRWDSTVSEEARARMIFHGDRQEIDSYLQAIDEIQRSMESTLLSHHDHDHDHSKKVTTTIQIAMARLEDEFRNILISHATPIETDSLSESISSTHLPSRTSTSIGEFPDADDYTTRGDEDSVSSSLLERGESSTTTASYRSMSSIREIDLIPSDSIYDLRCIAERMIAAGYFRECVQVYGSVRKSAVDSSFKKLGVEKLSIGDIQRLEWEALNAKIGKWIRAAKVCIRVLFASEKRLCQQIFEDLGTAADDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALLDLLPDIDAVFYSKSAESISVQATEILSRLAEAARGMLSEFENAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLGELIVSRPATGSRYSDDLSTPDMDFTDHEGQSPLALHLIWIIVILQFNLEGKSKHYKDNSLAHLFIMNNVHYIVQKIKGSPELREMIGDYYLKKLTGKFRQAATRYQRATWVGVLYCLRDEGLHVSGSFSSGVSKSALRERFKSFNAMFEEVHRMQALWLIPDTQLREELQISISEKLIPAYRSFLGRFRTHIENGRHPENYIKYSVEDLETAVLDFFEGYAVSQHSRRRSQ >KVH97910 pep supercontig:CcrdV1:scaffold_77:401430:407802:1 gene:Ccrd_023873 transcript:KVH97910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQHQRLKQHHHQPFMQHALLQQQSLYHPGLLPPPQIEPIPSGNLPPGFDPNTCRSVYVGNIHSQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDAMLFTCFSVYASCSDARVMWDQKTGRSRGFGFVSFRDQQDAQSAINDLTGKWLGSRQIRCNWATKGAGTSDEKQGSDSKSVVELTNGSSEDGKELANSDAPENNPQYMTVFVGNLAPEVTQLELHRHFHSLGAGVIEEVRLQRDKGFGFVRYSNHAEADLAIQMGNAQSVLYGKQIKCSWGSKPTPPGTSSNPLPAPGPAPMLSASELLAYERQLAMSKMALMGQHPLKQASMGMGAAGASQAIYDGGFQSVAAAQQLLYYQ >KVH97887 pep supercontig:CcrdV1:scaffold_77:511818:513660:-1 gene:Ccrd_023888 transcript:KVH97887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MVTGMMMNNKNKISLLVVSFLFAAISSSSSFSPPSSSSHGYGHGHGRLIESCCTTTLYPQICYSTLSSSSFLATKKDIIQLTINKTKDIIQNNFHAINNLLTPTTTKRTKIALHDCLDMLLRTLEALDTVMQYLATYNPTNKYSLIRQHVDHLKTLISTTITNKETCLDGFSHDKPFAKSIIQPQLDHGGKMCSNVLAMINNMTDTDMMHANQLNNILGTTTRRRRRRKLKEVDLWPQWLSARDRKLLWWSVVTPNVFVAKDGHGNYTTVEAAVAAAPLGSKSRYIIKIGAGVYNEYIEIPKKKTNIMLIGDGRSTTIITGNKSVAGGSTTTKSATVAGPSGSQAVALLVASDLSAFYRCSMLGYQDTLYVHSNRQFYVNCQVVGTVDFIFGNAAVIFQFCDIQARRPNPGQGNMITAQGRTDPNQNTGIVIQKCKIGATSDLKPVQANFSTYLGRPWKEYSRTVVMRSLIDEVIKPAGWSPWEGDFALETLYYREYRNIGPGADTSKRVGWKGWGVIKSRSEAIPFTTTTFINAWNWLLSTGFPFWPGL >KVH97916 pep supercontig:CcrdV1:scaffold_77:411524:419600:1 gene:Ccrd_023875 transcript:KVH97916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor Spt20 MGVSFKVSKKGARFRPTPKPESPSVPEDNDPVDDAPQIQPATTRKRAVDVTKDDGDVAENLDAEVSFILNIFPDGYSIGNPSECMVGHQNAVQDDPKCLHPYDRASESLFTSIECGRIPADFLDDIPCKYNNGAIVCEVRDYRKDSSESGVNGPSTVASPTIMKVSLKMSLGNVVKDIPLISDGAWTYGDLMEAESRVLKALQPGLCLDPTPNLDRLCKEPTSLKLNLNIPELRRKRLRQMSGTAPASDNQIQGKASLDRVPENSNFRLEDSRPMIHQPDFVDLATQDVGQSNMIVTRPKNQPDSSIPASSVSHQSKYQTGVVNPRHMQDHVPGTVCTAPGPSSAQDMVSSYTDGLSSIISSVHGKWGNQDGQLSSVANINKRARSNPMSVDGNQQQIASHMDSINAPDSRRKNTLRQQQSSGGGIQYPNVGMQKHPQQMFDGNYNQGTGAMPFTIGEQGIRYNLNKELVGNERMEKSDPNQTKNEMHMINVEMNHVNPQHSRLQQRLPQQFTRSNFPQTPWNNMGQPLENNTRKEEHLQRRTVAQSPRISAGGLPQSPLSPKSGEFSSGSMGMQFGAVAIAAVGSSQKEKSVVPLVSAVGTRSVTSSSNDAMQRHHQVQMAANCRSKSLPMTHVISGVGSPSSVGNVSGPFTASSPVGEEVDRSILDRFSKVEMLTMRLQLNCRKINKIDEYKESTTFPTEQLIHYLFNDHDDDTLKDEGWKMPLSMSLLGGNMNICKTRVLKLVQYERLPQGHESMIPKVLTRMILTEKQSDRTVAMHYGELNDRVAPEDWLPTLPNTHIADLLAAQFCSLMLRERFRLQDDHLQLKPLNLVQSSGGQPSSAPGVLAIQESLETVSNQSTNEVATPTNDVINNVAISSPQNTLTARMHPHGNSLSQGGVSMTSIYQQQQQPQQNPHSLLQQQLHRSSATMVTSNPLLNSVGQNSNMQQLGNHMLNKASTHQLQLLQQQQQQQMQRKIMMGSPGNLGIGGQNIGGNHNNHMVGLQGVGNVMAMGGARTGTGNVSPMTTTISAGMGSSNMGQNHPMNMNQAATIGNVISQQLRSGQLTQAQAAAFMATKLRMAQTKPNVLSGPGGQSSNISGSQAASRHIHPGSTGLSMLGSGFNRGNDMNPMQRNVMAPPKMMSGMNLYINQQQQLGSTSQSQHVLSPPQQGGSASIGMTQQMSQPTPVSPQISYMAGQPAMSGGNQEGPASPQLSSQTLGSVGNMANSPM >KVH97899 pep supercontig:CcrdV1:scaffold_77:472731:473648:1 gene:Ccrd_023885 transcript:KVH97899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MAGSSSSHLFHVVDDFYFSALHDDDEIFPISDEKYAHQLQLQEALISASASLFQNASSSKKQRIEEEDASDQLHDSFCEICMDRKTASDMFRNINVCGHLFCLDCIRRHVAAKIKENIPQVKCPEPKCKGIIGPEICRSIVPKQVLARWEESLCESLILGSDKFYCPFKDCSAMLVDDGGETVTSSECPNCNRLFCAQCRVVWHSGMDCREFQSLEKGERDPEDVMLMELAKKKKWRRCPRCKFFVEKAQGCLHISCRCGYEFCYGCGLMHHGSHVCPIA >KVH97905 pep supercontig:CcrdV1:scaffold_77:6281:11092:-1 gene:Ccrd_023829 transcript:KVH97905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYGTIPTSSGAGDSKVEFLSRAKERIQTGLGTTRPWKQMLDIHSIGIPHGFSDAISRIKTNFGYFRMNYALIVLSILFLSLLWHPISLIVFIVTMAGWLFFYFLRDEPLVIFHRTIDDRVILAILSVVTFVLLLLTGATMNILLAVLIGLVVVVIHAAFRKTDDLFLDEDGVDAENVNLISNTIPTTSTCGDLKVRLIASPAKLRFQTAFGTKRMWKEMFNLHSINLPHGFSDAISRIKTNVGYFRMNYTLFTFIVLFLSLLWHPISLIALTIASWLFCNFILRDESLLIFNRTVDDRVGLAILSIVTFVLMVLDEAMMNVLVSVSIGAAVAVVHTVLRKTEDLSLDENNIVEAGGYSPAKLP >KVH97921 pep supercontig:CcrdV1:scaffold_77:153585:156648:1 gene:Ccrd_023843 transcript:KVH97921 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex, mu/sigma subunit MEKIARRDASPTIKNVLLLDSEGRRMAVKYYTDEWTTNGAKLAFEKSIFSKTQKTNARTEAEIVMFENNVVVYKFIQDLHFFVTGGDDENELALATVLQGFFDAVTLLLRLMNNFCIVSELDVPDIQYLPCNCPTFSSSGFRGNVDQREALQNLDMMFLCLDEIVDGGMILETDGNMIAGKVATHSMDDGAPLSEQTITQALATAREHLTRSLLR >KVH97892 pep supercontig:CcrdV1:scaffold_77:239176:240396:1 gene:Ccrd_023852 transcript:KVH97892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVSTRYRDNPSFSSTLLDEIYRSIDERDDEQLTVCRKPKQTKFGGPGCFRDDFDERRACLVQKWMENKVCEKAVVGRKSAAADLDRRSIRSERDSLYFNSSSSSSGSSSGGGFSSSEGELMYGVLFKPRPVRTNIHQHGGFEKREKERCMYGHGYQHHVLEQKVKHESKFVKTKSKALKLYSDLKKVKQPISPGGRLSTFLNSLFTTGNTKKSKMSSREGGCAVAAKSHLDRKSKSANASTCSSASSFSRSCLSNTPSSRGNQSNGLKRSVRFYPVSEIVDEYCQPCGHKSLHEEETSLESVKFTKHSINEEIQIKEAARNLLTNYQKKVELGFDSERNNVDMIGAENVEDGDGSYDGKSDSSSDLFELDNLSAIGMHKYREELPVYETTNLDTNRAIANSLLI >KVH97902 pep supercontig:CcrdV1:scaffold_77:450720:453179:1 gene:Ccrd_023882 transcript:KVH97902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MAQPKVLTNKEADIQMMLSAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGCHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGVINQGHKWDVMVDLFFYREPEEAKEQQEDDAAAPADYTDYAAGALGMGDQWSAQIPEAQWAGEIAPPPIAGAPVAAGATGWTGAEASVGGADGWEAVAAPVPQPEGAAATGWE >KVH97951 pep supercontig:CcrdV1:scaffold_77:47945:56448:-1 gene:Ccrd_023833 transcript:KVH97951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERGGKVAGGGRPTVDERYSQWKYLVPILYDWLANHNLVWPSLSCRWGPQLEQATYKSRQRLYLSEQTDGSVPNTLVIANCEIVKPRVAAAEHISQFNEEARSPYVKKFKTIIHPGEVNRIRELPQNSNIIATHTDSPDVLIWDVEAQPNRHVVLGAPESRPDLILRGHQENAEFALAMCRSEPLVLSGGKDKSVVLWSIHDHISTLATEPGLTKSPGASGGKNLKTGGDDDKRTESPVIQARGVFQGHDDTVEDVHFSPSSAQEFCSVGDDSCLILWDARIGSSPAVKVEKAHNADLHCVDWNSLDENLILTGSADNTVRLFDRRNLTANGVGSPIHIFENHSAAVLCVQWSPDKSSVFGSSAEDGVLNIWDHNKIGECSGTASKIAQGLLFRHSGHRDKVVDFHWNAHDPWTIVSVSNDDESTGGGGTLQIWRMIDLIYRPQQEVITELDKFRSHILTCSSP >KVH97940 pep supercontig:CcrdV1:scaffold_77:362006:363711:1 gene:Ccrd_023866 transcript:KVH97940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNIWNSHPKLYGPGSRTWSDSS >KVH97894 pep supercontig:CcrdV1:scaffold_77:260953:262827:1 gene:Ccrd_023854 transcript:KVH97894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MRQFFHLSRPRFREIINATFTLVLIALIYNQFDSLKKLPVLNGQSSSRGRSMIESIVNSSAPIDTLTNFEICAGLADHRGYSSSCEFLKANPHCSSDGLFDYVKFYYCDCNEGALGAIVLVIWLVALFYLLGNTAADYFCFSLQNLSGLLKLSPTVAGVTLLPLGNGAPDVFASIAAFVGNDTGEVGLNSVLGGAVFVTCVVVGIISICVADQGVQIDKKCFLRDIGFFLATLMFLLLILIVGKLSFGAAVAFVSIYVLYAVFVASNEILKKHVQRLKLDSVTPLLPLRVSIFSQEDDSIQSSLLDVETEADGSQSRNSLPEWMWASNVAIYSNQAMKFQEHERHLWGWHDEGIEIDQPWFSFSNLCSCLVFPLTVPRLLTIPLVEEETWSKPYAVASASLAPLLLAFIWNTQDDLGSEIRIIVYVLGGLIGSTLGILAYLYTRSDHPPRRFLFPWVLGGFLMSIVWFYMIANELVALLVGFGVFLKVNPSILALTVLAWGNSMGDLVSNVALALDGGDGIQIAVSGCYASPMFNTLVGLGVSLLVGAWSEKPASYHVPQDTSIYYTMGFLIVGLMWALVVLLRNDMRPNRTMGVGLVALYLVFLSVRLSGAMGIISLAGLREL >KVH97907 pep supercontig:CcrdV1:scaffold_77:348433:356986:1 gene:Ccrd_023864 transcript:KVH97907 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG, conserved site-containing protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTKELEAVGLRLNKKPPQIYFKKKKTGGISFNSTMQLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVVGIDDVDKLARQPNSIVISCNLKLNLDRLLAKMWEAMGLVRVYTKPQGQQPDFGDPVVLSADRGGCTVEDFCNHIHRSLVKDVKYVLVWGSSARHYPQHCGLSHVLQDEDVVQIVKKKERESEGRGRFKSHTTGPARIADREKKAPLKT >KVH97911 pep supercontig:CcrdV1:scaffold_77:388225:391243:1 gene:Ccrd_023870 transcript:KVH97911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVSISKNFSVIFLIPKSLSAMSWAGPEDIHLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGDLYCDISLGLYVIRGENVVLIGELDLEKEELPPHMTCVSETEIKRAQKVEREATDLKGSMRKRMEFLDMD >KVH97931 pep supercontig:CcrdV1:scaffold_77:565077:567111:-1 gene:Ccrd_023895 transcript:KVH97931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1666 MEFLKLKKFRKTHKPNLEKEPVPVPEEPKKENGDDLGKSVDVDNATEVDEDDDDFIMNEVKRRLKELRRNRFMVLIPEETCPEEDEEEEGEASSNEWRDVEAEGRQLWSCFGAFYDKYCERMLFFDRLTTQLLKEIGNFLVVFHFHLIICCFGSHNPSTPSPRSVSKKLTSPLRCLSLRKIEHPEDDDDAEQLQQPENDPYLDLETAYVSQLCLTWEALHWQYSQLSQKITCQSESSKCYNHSAQQFQQFQVLLQRFIENEPFERGLRPEIYAQTRNSFSKLMQVPNILGQDRKEMTEEESDMLVHAPDLIRIIESSILTFQLFIKMDKKKSNGVRNLFGGQNQMATPLQQVQSLLEKRKVKLKELWKRRKGGKKNQWPATQEEVELLLGLIDVKVISRVLRMVKISKEQLFWSEEKMKKLDISAATGKLQRDPSPILFPC >KVH97914 pep supercontig:CcrdV1:scaffold_77:426278:428053:-1 gene:Ccrd_023877 transcript:KVH97914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF936, plant MASLTPGFLLKLLRTINSNIKVRGEYRSILLQVISIVPALNGSELWPNHGFFIKISDSSHSTYASLSKEDNELILNNKLQLGQFFWVDRMDVGTPVPVLVGVRPVPGRHPFIGNPKDLMQMLEPSEAIKSSEMVEAKEESTKKKIVIKEEKVTVASRYMQGAVAKNDGLKKDENESNSRNGTIKGRQQETKGQAHTTTSLHKLSDALRPRVGAGVNGRFTEPLTIKQENVNSNLVQRRRDKNHSSETVSWSSLPPRLLNPAKGMIRRRTLASLAAAEAQKEAITAANLVKCLRIFGQLCSSASHANPHESLTKFFSLYGLIEEENVSVIPKEDKLLEFSSSSQEPDKLNKSSKKTGVARVTSTKKTPKTWVELTVAEKLEWARGDGMKEGKEVREILLDVTQSWFLEFLERALDVGFQMGNLETVKGKGKNHNNIANKTEPSSQIAVTLSQLKQANEWLDKLRSKMMLEEKQEMLVETIDGLKQKIYACLLVHVDSAAVALENRCDRV >KVH97923 pep supercontig:CcrdV1:scaffold_77:145226:147666:1 gene:Ccrd_023841 transcript:KVH97923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKHMERATTLMKTRQSIPSKDRASLKEQRKRLKL >KVH97903 pep supercontig:CcrdV1:scaffold_77:440416:440919:-1 gene:Ccrd_023881 transcript:KVH97903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancyauxin associated MGFFRNLWDEALAGPTPDSGIHKLRRYNSLLSPSNNPPTGIPPPDHNTPISRTITILRTNSLSSSASTPSSPAGSSAAGSPFSATSPGVDFKKLTRRKSTADAVNRRGSKSPTGYDW >KVH97904 pep supercontig:CcrdV1:scaffold_77:438973:439867:1 gene:Ccrd_023880 transcript:KVH97904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MASLSTSATLLLPSRLQSNQFTMAGKSRVIVILKSHSRSSHCFNTKISCSAALPQTLKIVQTTIAKQLSVDENTVAPATKFADLGADSLDTVEIMMALEEQFGVSIGESGAENISTVQDAADLIEKVKAAETTN >KVH97886 pep supercontig:CcrdV1:scaffold_77:518784:542307:-1 gene:Ccrd_023889 transcript:KVH97886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup PSHQNTRPRLRPHLSLSLPLPQRQPQVALPLPLPPSSAQPLDFSQLDRLNRIGSGSGGTVYKVLHRPTHTLFALKVIYGNHDDDVXRQIXREIEILRGVDNLNVVRCHDMSDHAGEIQVLLEYMDRGSLEGMHMSNEPSLADLTXQVLSGLYYLHGRKIVHRDIKPSNLLINSRKQVKIADXGVSTILAQTMDPCNSAVGTIAYMSPERINTDLNQGRYDGCAGDIWSVGVSILEFYMGRFPFAVGRGSDWASLMCAICMSQPPEAPATASXEFRDFVSCCLQMDPARRWTAAQLLRHPFVXGARSVRHYDEMGSNKMELTPEKIDFESDEESPLTLSQSTGAKQSFQFETSHASVPKLRVLRKLQFTAFHSVYVVLFKARINVLLPFGPLAVLLHYLTGRHGWVFFFSLLGITPLAERLGYATEQLACYTGNTACLFYYRDCDRPLVACITEQHFLVRSAVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYPKVQRFNQQKQKWNPSFVSNYVLQTAAIVSSGLLLMAVMGILFPAVLHFTHTEVHFGKSELALSRFSSCIMLVAYASYXFFQLKSHANLYDSIDEERENNGDDSDEEEVPEITMWEAIAWLTILTLWVSVLSGYLVDAIQGASDSWNMPVSFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVEGTSNYFKGVMLILCYLIVAASFFVHMMTKSEEASRNGSVLFKCCNFVRQVHMLIQRESINISHQHCHDQKDQWIGLDHNNLCSILLPLTKPNQTNHPSILVFFIIMPKPNSFFLHLFIIFILTNPHLNNLITTPAAATSFCNPRDSTSLLPFATNFPQLNWSLARDCCSWDGVSCDHRGVIHLSLPARALHGPIPSSLVNLTNLSLLNLSCNFLFGPLPHGLFLSLNSLQVIDLSYNRLTGQLPHTLPHTLQTLDLSSNHFNGTIHTAIPHNLTTLNISNNSFTGTIPASICNNSLLLLLDLSLNDLTGDIPQGLGACSTLLVLSLGFNNLVGRIPPDVYGIGSLRELSLPGNSLIGPIDETITNLTYLTNLVLFANSFSGFMPRGIGKLSFLEKLELHINNLTGTLPPSLTNCTNLQLVNLRVNSLVGKLSDFDFSNFTKLLTIDLGNNHFSGVLPPTLFSCKSLTAIRLATNNLQGELLPHVLQLQYLSFLSISNNTLTNITKAFNILSSHNTLTTLILSKNFFNEKLPDRGIVGFSDLKILGLGGCKLFGQIPTWLGTLKMLEVIDLSQNSISGTIPGWLGTLPNLFYLDLSQNSLSGGFPVQLTRLVALRSQEELDHVNTSYLELPVFVQPENASRLQYNQLDNLPPAFYLSGNDLSGNIPLEIGHLQSIHVLDLSKNKFSGSIPDEISNLTNLERLDLSCNFLTGEIPVSLKNLHFLSSFSVANNNLQGSIPIGGQLDTFLSISYEGNQGLCGPPMHRSCDNQSSHTGPSGHKKGPNKKAIICLILGFCSGVGVILACLALWILSKRRILPRGDADKSISLNSTSAAEVPSGVVLFPNQAKDIKDLTVSAILKATEDFSEANIIGCGGFGLVYRATLANGIKVAVKKLSGDMGLMEREFKAEVEALSTAQHKNLVSLQGYCVHDGFRLLIYSYMENGSLDYWLHEKTDGPSKLDWPTRLKITQGASCGLSYMHQICEPHIVHRDIKSSNILLDAQFEAYVGDFGLARLLQPYNTHVTTELVGTLGYIPPEYSQSWVATLRGDIYSFGVVMLELLTGKRPMEVVLVRPRMSGELVVWVQQLMREGKQVEVFDPLLRGKGFEQEMLQVLGLACMCVNVNPVKRPTISEVVDWLHNISSKVNKDSFSNSSVNCYLMATLLFIFLLLLLPNSINADTDPSDASALMAMYQNLNSPGQLTKWSSSGGDPCGESWKGVTCSGSRVTEIQLSSLGLSGGIGFQLTSLTSLTDFDVSNNYLGNQIPYNLPPNLQRLNLAGCGYSGNLPYSISQMTSLKYLNVARNQISGQLPDMFGQLSALSTLDLSFNSFAGDLPKSFSLLSSATDMYLENNQFTGSIDVLANLPLKNLNIANNRFTGWVPSQLKNINLQMDGNSWNSGIAPGTPAAGGGSENRQPSGNTPSSVNTSGAGKKSGVSGGAIAGIVISILVVGAFIAFFLLKKRSKKSSTDIEKTEDRSFAPVTSEPQAVEAEREQSKFQWWAVGLAPLLLSQYITLVLLIDAFLLLLFSQIYLHAYCIVLVEMKPVSMVDTKTFETPAAIYLKPPPMGNYKSFDDNDFSAKPIVPKKVSVVPPDAMSYSVADLQIATDSFSAENLIGEGSIGRVFRAKFEDGKVLAVKKIKASALPGRLSEDFIDIVSDVSRLRHPNVTELVGYCSEHGQHLLVHEFLKNGSLYDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCLPSVVHKNIKSTNILLDSELNPHLSDSGLASLVPDADQAMDENVGSGYSVSAPEVSMSGQYTIKSDVYGFGVTMLELVTGRKPFDRSRTRAEQCLVQWAIPQLHDIDALGKMVDPALKGLYPVKSLSRFADVIALCVQAEPEFRPPMSEVVQALVRLVQRANMSKRTVGIEQDMPAQKLDDPSVMELTGKVLIATIVALFLLLLLVFCFHLYAKWLWHRRQQSMDGLNNRRHQDQHHSGVTVLRRGLDASFLKTIPIVHLDSKDGLECAVCLSELQEGEKTRILPKCNHAFHVECIDMWFHSHSTCPICRNLVSEQTDKISVESLLEEQSSRGDFPTNMLFWGDETQVSTLTSQLEETQHQALPNEATSSSSQTNNDRAKPDLVIDIPSSQVTSEDEKTPVLSRMQSLRRILSSSSRRFNPFSPSIVNNN >KVH97930 pep supercontig:CcrdV1:scaffold_77:554870:563508:-1 gene:Ccrd_023894 transcript:KVH97930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type SNPGLSVDLHLTELPIFIGRQSLRLPSALRFSNVYKVLNHFLKAGEDLIAPCHCRGTQKYVHRSCLDNWRSTREGFAFSHCTECRAVFILRANVPHDRWWLRLKFQLLVARDHAFIFVIVQLIVAFLGVLVYKFYGDELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHILAKQELTKEYIVEDREVNKDVAELDPSHVTELRMLQLIVRWWWWSEAVTVVALMSK >KVH97927 pep supercontig:CcrdV1:scaffold_77:179639:186049:1 gene:Ccrd_023845 transcript:KVH97927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENIKTKHPQLLYESKLWYGVEGDYNVLVLDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFIHCKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANPPSRALVFFEEIVELIPGASAGPSTGVPPVVSNLNRQSGGEEGRPTSGNLSWSRNAAAITNSGNLSKQRVPDQSMSRD >KVH97944 pep supercontig:CcrdV1:scaffold_77:124327:138775:-1 gene:Ccrd_023838 transcript:KVH97944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MKKLTRLIARDYTRFIRLKKPLLNLRSSISLPLFLHHLSSRLGFFLRVSGIQSSAMGNNKKEPLDISDLGASLPAAAAALNAEDRAGLVNALKNKLQNLAGEHSDVLESLTPAVRKRVEVLRSIQSEHDELEAKFFEERAALEAKYQKLYEPLYSKRYDIVNGVVEVDGVKNEDAMHQTDDKAGEEKGVPNFWLTAMKTHEILAEEISERDEEALKYLKDIKWNRIDDPKGFKLEFFFDTNPFFKNSVLTKVYHMIDDDEPILEKAIGTDIEWLPGKCLTQKILKKKPKKGSKNAKPITKTENCESFFNFFSPPEVPEDEDDIDEEMAEELQNQMEQDYDIGRCYFTICWCELQKGGGIANTGGEQGERPPECKQQVFHQGFSSAMGNSKKEPLDISDLGASLPAAAAALNAEDRAGLVNALKNKLQNLAGEHSDVLESLTPAVRKRVEVLRDIQSEHDELEAKYDIVNGVVEVDGVKNGDSMDQTDDKAGEEKGVPNFWLTAMKTHEILAEEISERDEEALKYLKDIKWNRIDDPKGFKLEFFFDANPFFKNTVLTKVYHMIDDDEPILEKAIGTDIEWLPGKCLTQKILKKKPKKGSKNAKPITKTENCESFFNFFSPPEVPENEDDIDEEMAEELQNQMEQDYDKVGGGMAITGGDQGERPPECKQQ >KVH97925 pep supercontig:CcrdV1:scaffold_77:200845:204009:1 gene:Ccrd_023847 transcript:KVH97925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPSASITFRLTNPVSKTSPNHGDFTRIKLLTETASLLSDPASMGSFNGSNDDVMNQENESDDIMSSGDDKVTSLGKETIEIGDERILSASRMAIAEKCDGKGMKSVFELEYIPLWGSKSLCGKRPEMEDAVAVVPRFKEVPIKMFVEDHVVNGLSSGLSDLTAHFFGVYDGHGGSQVRWENVFTDCFQKVDDEVGGKISRRTPEIISEPVAPETVGSTAVVAVICSSHIIVANCGDSRAVLYRGKEVMILSNDHKPNREDEYARIEAAGGKHRKNGVKKADGGGDTGADPAAQAAADYLAMAALQKGSKDNVSVIVVDLKTRRKFKTKS >KVH97946 pep supercontig:CcrdV1:scaffold_77:101718:110805:1 gene:Ccrd_023836 transcript:KVH97946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MPESNSMAEKIFPDTKPTAVKGSGATANATTTTANVNTTFSASKPQIYNAARPVYRSRPHRSRRSCYCYCCLWIIFIILLSTILAAIAGGILFLLYRPHRPSFSVSSLQLSRFNLTTRFNLTVTARNPNKNIVFYFDSVSVSINSKGGVIGDGTIPAFVTAKKSSTILKTAVRAQRVDDLKENKSLALKIELDSKVKVKIGSHMSKKAAIRVVCDGIKALATSNAECTVFYIKKTGENPLQNYVAKDIQFGTRARAAMLLGVNQLAEAVKVTMGPKGRNVIIDQSRGPPKVTKDGVTVAKSINFEEKAKNVGANLVKQVASATNSVAGDGTTCATVLTQAIFTEGCKSVAAGVNVMDLRKGISMAVDAIIDDLKRQALMISTPEEITQVATISANGEREIGELIARAMEKVGKDGVITVADGNTLDNELEVVEGMKLGRGYISPYFITDTKTQKCDLEHPLIFIHDKKISDMNSLVRILELAVEKHRPLLIVAEDVESDPLAMLIINKHQAGVKVIVSLDDTIILHGGGDKRQIEERCEQLRSTIENSTAVFDKEKAQERLSKLSGGVAVFKVGGASEVEVGERKDRVTDALNATRAAVEEGIVLKGLKTANEDQKRGVEIVENALKAPISTIVSNAGGDGAMVLGNVSLLLTTTETTIVDRQGEKNPLANRMPNMDDMGMGF >KVH97942 pep supercontig:CcrdV1:scaffold_77:308037:319241:-1 gene:Ccrd_023860 transcript:KVH97942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MADNSSSSPIQSTITNATTPMDQQQPHQSISQNLPSSIDSSINQITSTPSLSSQQQQIPQVSSSNVLSQQQQLLQQQQQQQQSNLMTTPNFQIHQQGLQRSPSMSRLNHMQQQQQQQQQYNLTAGNAARIYGQMNFASSQQQLPQQQQQQQQTQNQQIGQMGNATLTRSALMGQTGHLTMLPGQAAAAAQLNLQSQLLASPRQKAGLVQGSQFHPGNTPGQSLQGIQMGMNMMGSYNLNSQIRANGSLAFTQQRINQGQMRPQLTQQNALASSQAQSLSRTSFMNSQLSALDPNATLDPEVEDLLLMLADEFIDSVTTFGATLAKHRNSSIVESKDVLLHLEKNYKLTIPGFSSEERKQEQNHIQGLMENSYSETNMTNNSKEVVVTRQDHPRNQFGGNQQSRPSPSSDQLNPHSQHKQGFDGY >KVH97889 pep supercontig:CcrdV1:scaffold_77:302584:311086:1 gene:Ccrd_023859 transcript:KVH97889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MERESRRKKKPTITPLIHLLDSATKFCLPSPSPCPIIGWVFPSTTTILLPCRFCFHFSLLFLMLEWRFENGDAAPKLEDFLGAASYSTTAQPDEAAAASYSTTAQQDQPGATINVNISPNSHYREYLLTDDDDDDDIVESRDATDCYFSPPPPPPPPPPPCLLYYGYPYYTTATTDQNPLPNCLIPATTNEVDVAIPPISEFKSWLRQPSPPPPPSVQTSREQPNNNDYQKCLSLAVTPPTAIISMPSDSPTAHTRKRMVQTKSSTKNSKAVTVARKSVDNFGQRTSRYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDQEEKAARAYDLAALKYWGPTTHINFSLAIYEKELEEMKNMSRQEFVANLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYDVKRICSSSTLIAGELAKRSPSALMVEDPLLAITNGDEFADMVWAGTCNQEEQASASNMESATPQEEEPMVGYPEWPNKPKVHDPLRIHMAGHMPDLTQEINH >KVH97938 pep supercontig:CcrdV1:scaffold_77:357613:361311:-1 gene:Ccrd_023865 transcript:KVH97938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, alpha-subunit, N-terminal domain-containing protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGVTSIGVRGKDSVCVVTQKKVPDKLLDPTSVTHLFPVTKFLGLLATGTTGMHLFCCKPRLNSVWYNFPADARTLVQQARNEAAEFRFKYGYEMPVDVLARWIADKSQVYTQHAYMRPLGVVAMVLGIDDENGPRLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKNDPEFSYEETVQVGVVRKENPVFHVLSTEEIDEHLTAVSERD >KVH97934 pep supercontig:CcrdV1:scaffold_77:549528:552438:1 gene:Ccrd_023892 transcript:KVH97934 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MEAISSSAFIPTFQETRSLIQSSPTSCRTKLCFPKTALSGFGSRILTAVARQVSGDAQDDDQPLLENGFTLLPPSTSLLMNGYFKRMLKICSDGFSHFLKDKSDQTHSVEKVNIISDMVTPTTTSGGGTRAGLFRTPISGGVQSATSVHDLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDAMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWNGLSNARVTIFGDVFPLPEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISSDIYFIGGFGTVAWVNVKEYEALRPDKIVVDGGEQNLKELNAIFSKPLKELLSLETEVDDAALISIDSKGTDVRVRQGAQFNIQRLAFEEGHGVETLEEAKTALWNFIHRGRGLDNF >KVH97948 pep supercontig:CcrdV1:scaffold_77:63603:77504:1 gene:Ccrd_023834 transcript:KVH97948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding RNRAGLWLYADLRSHSFYLFLFIFNSKISNLAIQQISMDKEAGCCSTHLIEGDGTFNGEGLDSFIKQVKMAECGLSYAVVSIMGPQSSVEIYKMLGCSAPQYHGSFTLTYIEITLHASFDCVFVTGKSTLLNHLFNTNFKEMDAYRGRHVSILTVSWSQTTKGIWMARCVGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMYVFWWCHDIGREQAANKPLLKTVFQTPLENLEPVLREDVQKIWDSVPKPEAHKQTPLSEFFNVRYHDIAIVEVVALPSFEEKEEQFKEQVCQRLFVASLRQRFFHSIAPNGLAGDRRGVVPASGFSFSAQQIWEVIKENKDLDLPAHKVNFLTFDFVEASKKFLYIMWMLKNLVVLQVMVATVRCEEIANEKYSFFVTNEDWCDLEDAVQSHIVPGFGRKISSMLDACLSSYDEEATYFEDSVRSAKRRQLEEKLIQLVQPTYQLMLEHIQSETLDKFKKALHDALSGGQGFAIAACDCTTSFMKLFDEQCKDATIKQADWDLTKIRDKFSRDIDSHIAEVQTAKLSELNALYESKLKEALYGPVAALLEGGGDDTWSAIRKLLHHEIKIAVSEFSVALSGFEMDEQAKEEMVSKLENYAREIIEGKTKEEAGKVLYRMKERYNLLICRYWIKSIIGEGISLSLKLLSVLAAIRLDVDADKIGNTLVLALVEQKKDKSTSFQDPLASSTWEEVPATKTLITPVQCKSLWSQFQRETEYTITQAIASQEANKRNNNWLPPPWAMAANPLWLLVIFVGYLLSKALWVQLDISGEFRNGMLPGILSLSTKVIPTVTNLLRKLAEEGQKPVITDSQRNPSNHPQVLGTFQGVAAGDTNGLSSTASSDVTMENETEFTSPSRRFKDL >KVH97933 pep supercontig:CcrdV1:scaffold_77:547573:549161:-1 gene:Ccrd_023891 transcript:KVH97933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MMKLESFKFLASFATLLLLVVGASYLQHGYPTTKTKLDWDGKRVTVASKRAGEPPVLAYWIHGSSGDGERIMRVLKASYHPRNQYLLLLDASSSSDERRNLALSVQSNPLFAVFNNVNVVGRSYAVNQMGGSGLAALLHASALLLKISTTWDWFITLGASDYPLMTQDDILHAFTLLPRDLNFIHFANNTSGSNENTERVKQVVVDPSIYNRKDSPIFYARETRDAPNTFKIVAGSPWVILSRSLVEFVVKGWDNFPRKLLMYMSNVASPLEFYFQTVICNSPSFQNTTVDNDLRYFMSKNESLEGLNYKMVGNFKKEDDHVLMQEVDKKILKRSGNGVVPGKWCFWRNDSRVDDDGNWDINSVEATPRGVKMGATLSRLATQTHIITCPTILNN >KVH97908 pep supercontig:CcrdV1:scaffold_77:328944:332763:-1 gene:Ccrd_023862 transcript:KVH97908 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-block binding subunit of TFIIIC MDAVVIGALEEICLEGIKGLFYSQRIEGLTLHDLWPKIHSYLSSNHLPLCKNVKKVLWSNLLSIPSLHFECNDGDPKIQSFEDSERMELKILPAEHLLKSFVGVYDHEDVPQQYRRVLNRIAIARTDGVTQNDLAKELGIENKSIFYTVKKLESRGLIVRQSTLIRNKEFGHEGEYKNGSTVNTNMLYLYRYAKHLGSLQRLEITKEDKAFIDGDPEEGVKEDVHIKDYEPALKAICDKLEKANDKKVICLRLLNKFSPKSYAPSISCGGGHNDLATEQQVKPAKRGQITEQLMELPIERQIYDMIDAEEYDKRNRAMVSKYGMHLESERLNRGQVNRAWTSRNLKIEVSNTLRGKLEDTVDKKYSNHAKVGQLQLAHTNLDSDHLTSKVDGKGEKFDPVKSLAIGRSDTPLDSRSLYIRQCDGNGISSDAELQMANVKPAEKVVSLETSSSAKPAPQSRRLGSNG >KVH97949 pep supercontig:CcrdV1:scaffold_77:91336:98509:1 gene:Ccrd_023835 transcript:KVH97949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase MAITNLSLFSFLLSSSLATLFTPSQGEYTPWQTKYPFIRPSSSFSPPPPSPSTGGGGHGKVYYDYIVVGGGTAGCPLAATLSQKYKVLLVERGGVPFANRNVSFLANFHLTLADLSNTSASQFFVSTDGVFNARARVLGGGTAINAGFYTRASASYVKRVGWDAKLVNESYPWVEKQVVHEPIFEPWQRAVHDGLLDIGVSPDNGFTYDHLYGTKVGGTIFDKYGRRHTAAELLASANHQNLDVLIHAKVQNIMFDTTGTWKKPRAIGVVFHDENGDKHEAFISRRRGSEVIVTCGAIGSPHLLLLSGIGPQADLEKLNISVVHDNKFVGKDMVDNPMNAIYVPFNRPVKQSLIETVGITKHGVYVEASSGYGQSKDSIHCHHGVASAEIGQLSTLPPKKRTHEAIELYKRNKKDIPHEAFHGGFLLEKIANPMSKGELKLINTNADDNPSVTFNYFSHAKDLRGCVNGIRMMEKLVRSKPFLNFTQCDNKTVNKLLNMSVTANVNLIPRHTNDTESLEQFCKDTVITIWHYHGGCHVGQVVNTAYRVMGVHRLRVIDGSTFDESPGTNPQATVMMLGRYMGVKILQERSRKSSGLQR >KVH97912 pep supercontig:CcrdV1:scaffold_77:392842:396448:1 gene:Ccrd_023871 transcript:KVH97912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MPLPDSLTPISFLLLLSLICIHASVHNYAGLKFSRKGNAYVVHGGSEGIYYSHPNLNQSSASSNGDAYIRFEKIIFRRPQEASNFSTGLIYAILFEVDDRETIGGSAYGGQRAICCTSDLAKLGACKEGEVIHRLSAINPGWPEVFGVSFDVNEEISTMQPRSVQITRTGMYNLYFMHCEPRLGDMVVEGKTIWKNPSGYLPGRMAPLLNFYGFMSLAFLVLGIFWFSQYARYWKEVLQLQNCITLVITLGMFEMALWYFDYAEFNESGVRPTGITIWAVTFGTVKRTVSRLIILTVSMGYGVVRPTLGGLTSKVVMLGGTFFLASEVLELVEHVGAVSDLSGKAMLFLSLPVAVLDAFFVLWIFTSLSATLNKLQAKRMMAKLDIYRKFTNALAVAVIVSVGWICYEELLTMWHASSFFQLYFKSTDIYNEQWQNAWIIPAFWQVLSFSLLCVICALWAPSQNSMRYAYSDDGSEEFDKEDSLTLIKPSAAVSTKDENELGSVQIEREDGEEDKRE >KVH97900 pep supercontig:CcrdV1:scaffold_77:465657:470726:-1 gene:Ccrd_023884 transcript:KVH97900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MEPPSPWACCNCFCFFFFFFISLSISISTSTPTAPAFFIFGDSFFDPGNNNYINTTTLDQSNFPPYGQTYFHFPTGRFSDGRIIPDFILEYVKLPLIPPYMDQPASRTYYKIGANFASAGAGALVHTFQGSVISLQTQIRYHKRVENRLRKMYGNVEANNTLSKAVYLFSIGTNDYLSPYLITNSTRFNSSYSSSKLIEIVIGNLTIAIKELHKRGGRKFGFLNLGPLGCLPGIRIILNPPTDSGGCIEAASLLAKLHNKALTKSLKRLAEELHGFKYLLYDFNSNLKHRLKHPSKYGYKEGKTACCGTGRFRGTFSCGGKRPVTEYQVCCLTCQEHLNKHVALFIFGDSLFDPGNNNYINTSAEFQANFWPYGESYFDPPTGRFSDGRLIPDFIAEYAGLPLIPAYLDPRHHEFVYGANFASGGAGALVESHAGFVVDLKTQLEYFGDVEEDFRQNLGDAKAEQLLSDAVYLFSCGGNDYLSPVGNNESIVYPYTHEEYVKMVIGNLTDVIKGIHGKGGRKFGIVTVPPLGCWPSIRAGRADNSCSEEIEMIASLHNELVWKKFQELEKQLEGFMYSKYDLSTAISKRMKEPSRYGFKVGESACCGSGPFGGIYSCGGKRGAAEFDLCENASDYLFFDSNHPNEVASRQFAERWWKGDLKEASPYNLKHLFETRMA >KVH97920 pep supercontig:CcrdV1:scaffold_77:204350:205110:-1 gene:Ccrd_023848 transcript:KVH97920 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor, conserved site-containing protein MRVISTRVPLPLGFAQDEPIYVNAKQYNAILRRRQYRAKLEAQNKLAKPRKPYLHESRHVHALKRARGPGGRFLNVKKIQESQPDGSINGQEGGGGGGGGGTCSDITSASNGDNFVHQQDHRFSIYHSHVGGGPTYTGGSTHHMFR >KVH97941 pep supercontig:CcrdV1:scaffold_77:321776:328195:-1 gene:Ccrd_023861 transcript:KVH97941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKTLDRSLNKLQNEGKCKCISVSVPSVTNCLRTCTIVVVLHPSVYNAEDLLDRAQERLRSFQRQIRTQVFSRHRSGISVPVLNDVERIGMTKDQSAIREANRNNGFILGKMVRAKLLHVFLWGYITRLPGWDNAISGRHGYEPKNPRSACKLFELDAAYKAMPLELFLQVVGFSPKSECIIENCRNDLCLSELPIQKYRCLMDTRATAHLSALIDILKRLKVTAFSYTMCFPSLISSYKSCISLQLIRLIDGELLGVRMGPHVILRHSLELKPYIEEPARMVLPSTNVDSFDLRFHFRHDFVLESRKVFFSRSWESVRVMTADQQAKLFKLVANEDTDKKISYKKCKEIAENLNLTLEQLVIEYVRNRAALGAKFHGTDWASLQSLPASPHTCKRRMSTLNSNKKFRKAVKTFCNMLSSRYAKHLENSNNKPLDDHTNDSNFEERWDDFDNEDIKMVLDGVLRYKQTAKSEADKGYGMLMNGGNGFRTQTYITLAVSNAIELLKIVCLSPKARNLLPKTAQDLLAETTRLYSEHDMHTAVNYLRERNFMVTGVACFSFVGVSIFPYISFFHRFPLVKGGRISDVFTCNRSSSPFPLNTGERADEIGKWLDERENDLLDKGVDLYADVHCGDVLQLCLLMCVGEVSMFPCLPDEGVGEIGDSKKRNRDDNDFDKVKKPKLLDSEIFSRKEKGFPGIKVSLSRSMISRVDAVASFPAPPAPAPGPDPGSGSGSNLEHKSSSVMTLNGKSIWEDMACYAKHLTSLEVSPFLFQTVNGYDSIYVVDSKYQSKYFLLATSHQHLDRMDRACYKPILPWVDVDGTINENVYKGLVRRLLGVVMQNPGIMEEHIIGQMDVLNPQMYESISNSPPPILVGFKKPKMVT >KVH97898 pep supercontig:CcrdV1:scaffold_77:497804:508947:1 gene:Ccrd_023886 transcript:KVH97898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MDASDNPLSDLVGALKSWVPRRSEPANVSRDFWMPDQSCRVCYECDSQFTLFNRRHHCRFCGRVFCAKCTHNWVPAYSTDSNIIREESGKIRVCSYCFQQWQHGCLATSVDHEIQVTSLDLSTSPSAASYISTKSSGTADSSCITFTSVPNSVSSYQQNPNHSGLGPCQSSVMESNLEEQNAVATMNEEVLLDGVTHSPSQVGFCMNRGDDFNGEFAYSSHLSHDNAYYDELQLDDVGNDYGSRKVHPDGEAADVKSASSSSLQNSFDSRCSEGVQQLEEKEDEHDVSDECEASSSLYAAQDIDAEPVDFENNGILWLPPEPEDEEDEREALLFDDDDDDGDAVGEWGYMRTSGNMGSGEFRNRDRSNEEHKKAMKNVVDGHFRALVSQLLQVESLSVGEEDDKDNWLEIITSLSWEAASLLKPDTSKGGGMDPGGYVKIKCLASGRRTDSMVVKGVVCKKNVAHRRMTSRIEKPRFLILGGALEYQRISNLLSSFDTLLQQEMDHLKMAVAKIDAHQPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYCDMFHVERFLEEHGTAGHGGKKLVKTLMYFEGCPKPFGCTILLRGAGGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLNSPLTVALPDKASSIDRSISTIPGFTVPPIERSQGPEKYVEAQMSNSVPMSDVFSSIMNQKSEAMRLPAGSNTRNYQNIQPTFKVDSSATSMLSNLDVSDATGGELAPSNAPWEMGTIGTKNTSEAITSASNNGKVVGADATANGFEQPQAQNLLRGSQISDGTENVDQGTSDGLYLQLDGKNGKEESASSKEEFPPTPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDNLFDQGYRCTSCEMPSEAHVQCYTHRQGTLTISVKKLPEFLLPGEKEGKIWMWHRCLRCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVHSVYLPPPKLVFKYETQEWIQNEVNEVVSRAELLFSEVLNALSQMAENNFGKSSVNSTTKMPPSRRQIADLEEMLQKEKAEFEESLQKILNQEAKKGQPVVDIFEINRLRRQLLFQSYVWDHRLVYAASININSPRGDLNDLKSENVGKLNETLVEVKSPVYSCKDSDVSYTLDMKRIHSQETGTGIIQPEVIDKETKVSVDSSPKKENRSSLGDGKNDSDNSDPLICDIGIHKAFSEGQLPVMPSLSDTLEAAWTGNHPGSGVQKDTLSVLSDSDVTDSSVMDKLDKGDHGEEQGWTKSSLPSPALSTRGSESIDDSISWLSMPFLNFYRSLNRNLLASSQKLDTLNDYNPVYILSYRESELQGGARLLLAVGINDTVIPVYDDEPTSIISYALLSPDYISQMSGEFEKRKDGESIFSSHSADSVMFQSFSNFDEIGLESFKSVGDESILSMSGSRSSLVLDPLLYTKALHARVEFADDGPLGKVKYTVISYYAKRFEALRRMCCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESIASGSPTCLAKILGIYQVTKQMKGGKESKMDVLVMENLLFGRNLTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPAVVPSRDPCEDNAQD >KVH97947 pep supercontig:CcrdV1:scaffold_77:114482:115549:-1 gene:Ccrd_023837 transcript:KVH97947 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MTNNHHNQNKIIHPHHHSHHHHLLPSSSTTTNRSNSSTITTTTRSPSSTITTATRSNSTTQACAACRYQRRKCAPDCILAPYFPHDRQRQFQNAHKLFGVSSITKIIRDLDPQQKDEAMRTIIYQSDVRAQDPVGGCYRIIRELQRQIEFSCAELEIVLHQLAICRAHAAQNQNQNQTHHHHDQILIDGVDCDIHLVSNPDDPHLYDDPSTVLDTDNANHQHHAGLDLDLGHIPQQQEHEDHLVHGHDHDHEQYILGQQNLNDHDDGCEDDHVVPLQEINSWAAMNNSPPSSAVCLEVKPPPLHASVDECEDFKPLLISDIPAGERHEFKFEFDEINEPRSEISSITFITSTNKS >KVG19948 pep supercontig:CcrdV1:scaffold_7700:7414:11977:-1 gene:Ccrd_026533 transcript:KVG19948 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein MSIRFVGRRVSNFIRTTSVLHVRDSVRQNHGVDIRCINPKANLHTCSLTCFPLANQISQKPVFVPYSIHSLRSHVRLVSNSAVEMTMGKDGVRFSVGKQLERHEGLREREKKPRRIKPSKKTKLNELRFYRLKAKKKMRSPNPEIRILYKLGKAKRKEEWLIEKLRKFEVRKAAAEVHDPESLTEEEKFYLKRTGEKKKHYVPVGRRGVFGGVVLNMHLHWKNHETVKVVCKPCKPGQIQEYAEELTRLSKGIVIDIKPNNVIIFYRGKNYVRPEIMSPPDTLSKAKALEKYKYEQSLEHTSEFIEKLENELEEYYEHKARFAKAKESSPMD >KVG19947 pep supercontig:CcrdV1:scaffold_7700:4450:6616:-1 gene:Ccrd_026532 transcript:KVG19947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLLQGYSSPEEEQDEQRYLGSSSDEEEDCRKEDVSGDRTTTNNFKKPLFDPPNPAASSSLPSAFVAFSEISGPPQFLNNSVGESGSAEKDNDVQLWRHGHRRNRRDKNDMPAGRFFFLTI >KVH96966 pep supercontig:CcrdV1:scaffold_7704:5153:9332:1 gene:Ccrd_000940 transcript:KVH96966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANLNSCKENLQELEEKGAQKISAFDQNFNMKSADSFTLDMEPFSQDVTLTSRINRSLSRKGSQTGAERKMNSNCVMNDGERDAIPSPKACSMAGTMLEKMVIVDQTTDHITKPQAQHQIAIMTSGSTTTIEAAGTPTKFSLSVKRSSSFKQSSLLNPPRILFFFATLSILGTIILICLTLSMEMTTRTQLA >KVH96778 pep supercontig:CcrdV1:scaffold_771:81359:84295:1 gene:Ccrd_001132 transcript:KVH96778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHFCECYSDLSGLILCPVLGSITPLFIPNSRIRPLRLIGLCASLITFLYSPVLXIQFDPSTAKSQFVESLRWLPYENIHFYLGIDXISLFFVILTTFLIPICILVGWSGXRSYGKEYITASLIREFLMIAVFRMLDPLLFYVLPESVLIPMLCGAEHLIFAGIKLFLCRGLVQTSTRLLGSCMDMQEGVLLGVCRGCVCSREWIPRQVSLGGVDTLARIRVTATREKEKGNCTRPGMDVNSIDPFSRIPKKDCWQQVETFLWPLQKKEKKCGQGGARQRAGPYERASPARQAALFATTREAKDYRVQAENTYIDSGRRRKLGTEPYDAEVSRSGYLLELRPITTGQFRFGATPYSTIIIGVWGSRQRKIKATYQLFLYTLLGYVFMLLAILLILFQTGTTDLQILLTTEFSERRQIFLXIAFFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGILLKLGTYGFLRFSIPMFPXATLCFTPFIYILSAIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIGMFSLNIQGIGXSILLMLSHGLVSSALFLCVGVLYDRHKTRLVRYYGGLVSTMPNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGXAYSLWLYNRVVSGNLKPGFLHKFSYLNGREVFIFIPFLVGVVWMGVYPKVFPDCMHTSVSNLVQHGKFH >KVH96780 pep supercontig:CcrdV1:scaffold_771:24303:37709:1 gene:Ccrd_001130 transcript:KVH96780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP02058 MLIANTSFVSIKFPSFLQTTLKSPPFLPQFKVSASSTSPISMATAAAREPTSPTPLTIQSSVPNSSITLLFVEMGVGYDQHGQDVTKAAMKACRDAISSNSIPAFRRGSIPGVSFDQMKLQIKLGVPRPLQPTLDIEKVKSVFPYGKIENVEVVDGGLICSSGVHVEEMGDTDDNCYIVNAAVYVGY >KVH96777 pep supercontig:CcrdV1:scaffold_771:86546:87514:-1 gene:Ccrd_001133 transcript:KVH96777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEKIRSVLDWEVSKKERAGNSGGEXQDGFKENVETPSVWSARPAELAAKEIREKMIIDAGSSDNIAPTEMVDQLGLGKLKQGV >KVH96776 pep supercontig:CcrdV1:scaffold_771:129910:146184:1 gene:Ccrd_001134 transcript:KVH96776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MLSDLSMSEKHEMWMVRYKRVYKDDTEKEMRFKIFKDNMEFIESFNSGGNHPYKVGANAFADQTNEEFKAARNGYKFSTNKKLDQTTPFRYENVTVVPPSMDWRKKGAVTQVKDQGQCGSCWAFSTIAATEGITQLSTGKLISLSEQELVDCDRSGEDEGCEGGEMEDGFEFIIKNKGINAEAAYPYQAVDGTCNTKEEAIYAARISGYEKVPANSEKALLQAVANQPISVSIDASGYAFQLYSSGIFTGDCGTDLDHGVTAVGYGVTDDGMKYWLVKNSWGASWGDGGYIMMQRDVSAKQGLCGIAMDSSGNFSFALLLLVGVWTLEATSRTLTESSMAERHEQWMALHGRVYKDAAEKEMRFEIFKTNVENIEAFNSVGGKSYKRGVNAFTDLTNEEFRASRNGFKLRSNPRTSVLKYENLTVVPSTMDWRKKGAVTPVKDQGQCGCCWAFSAVAAMEGINQLSTGKLISLSEQELVDCDTSGEDQGCNGGLMDQAFKFIIENKGLTTESNYPYEAIDGICSTSKESSHAAKITGYGDVPANSESALLKAVAMQPISVAIDASGSDFQSYSSGVFTGECGTQLDHGVTAVGYGENPEGTKYWLVKNSWGTSWGEEGYIRMQRDVDAEEGLCGLGSSGNFAFALFLIVGVWTLEATSRTLTEASMAERHEQWMALHGRVYKDAEEKVMRFEIFKTNVENIEAFNSVRGKSYKHGVNAFTDVTNEEFRASRNGLKLQSDPRTSMFNGEDQGCNGGLMDQAFKFIIENKGLTTESNYPYEATDGSCSTTKESSHAAKITGYEDVPANSESALFNAVAMQPISVAIDASGSDF >KVH96775 pep supercontig:CcrdV1:scaffold_771:16573:21031:1 gene:Ccrd_001129 transcript:KVH96775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MVAGKVKLAMGLQKSPSNSKTEVQQQRQHPSPSHSSGGGGGKVPQKGAVFSRSFGVYFPRSSAQVQPRPPDVAELLHLVEELRERESRLRTELLEQKLLKESVAILPLLENEISRKDSEISRSSKKIECLEAENERLRQDVEMLHLKLTEQKREFEQRMKDLELASATSSSQRFQQLMDISGKSTMIKSLKRGHTFANLPVNRHHNEIDNKCYTESSSKESILVEIDNLPRLSRCDSLDMGDSVIEVRSRVPRVPKPPPRPSTSSCSSSSCSSSSNSSLSSSAERALTEQQPPVPPPPPSNKLAPPPPPPPPRTSKAPAPPPPPPPPTGLKPLPAKVRRVPEVVEFYHSLMRRESRRESCNGSSSADVPSTANTRDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENASFTDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPERKADALREAAFGYCDLKKLESEASSFRDDPRQHCAPALKKMQGLFEKLEHGVYNLSRMRESASTRYKVFQIPMGWMQDTGFAAQIKLASVKLAMKYMRRVSAELETVGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFVELRDKARSCHENQQKC >KVH96779 pep supercontig:CcrdV1:scaffold_771:40499:43977:-1 gene:Ccrd_001131 transcript:KVH96779 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MDFGYQNKIFYLLLLLLLELQVLVVVSLGRTQVLSLTTHGTSSNVSSSLRGRRSGSHGRGRKLVGCDLYRGRWIADNSYPLYAASSCPFISSEFDCLKHGRSDTQYLKYVWKPDSCNLPRFNGVDLLNKWRGKKVMFVGDSLSLNQWESLACLLHASVPNSKTTFQHRDVLTTLTFQNNIESGFSRLTDCITLYIQDYDVTIYLYRTPYLVDIVKQSIGRVLLLDSIQGGDAWKGMDVLVFNSWHWWTHTGNLQPYDSLSFDYIKDGSTISKDMDRLAAYYKGMTTWARWVELNVDPSKTKVFFQGISPTHYMNCYGELQPVEGSSYPAGLPEAQIVLNKVLSSIKKSVTLLDITTLSQLRKDAHPSSYSDSGVDCSHWCVPGLPDTWNQLMYASFAN >KVI04409 pep supercontig:CcrdV1:scaffold_7718:3208:4310:-1 gene:Ccrd_017277 transcript:KVI04409 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, alpha/beta subunit, N-terminal MENQQIVVYGNPTPSDLLFXDSALFSFPASKASSTLSSTVSDAESAPPELDLLFHEIXEKCAELVLLSNKQLKPEWSIPELIQALMGNEXVQIPGIMXFSPRAAELTTLLESRISNFYTNFQVDEIGRVVSXGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPXGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDR >KVH91337 pep supercontig:CcrdV1:scaffold_772:157044:159739:1 gene:Ccrd_006646 transcript:KVH91337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDLTKFFNENKTLKTIKQEFQGSGTFCQSTGNQGSKFIREFKKVPSLRFAGISISRSALVSSFEVSRDAHSAANVVRVRKRKSGNDHFLEDFSSAAALLGDKLVQASAILVQPQVNLQNKTAKVIQIQSKIEA >KVH91334 pep supercontig:CcrdV1:scaffold_772:38060:42042:-1 gene:Ccrd_006643 transcript:KVH91334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDPVRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLGVQVSDVKNVIIWGNHSSTQYPDVTHATVGGKPVPELIKDDEWLKSGFISTVQQRGAAIIKARKLSSALSAASSACDHIRDWVCGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCRNGEWTIVQDLSIDEFSRKKLDLTAEELSEEKALAYSCLS >KVH91333 pep supercontig:CcrdV1:scaffold_772:20896:25876:1 gene:Ccrd_006642 transcript:KVH91333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MMASLAKDFHPCGFESLYITSTPNREKRSRYRMGRAPCCEKMGLKRGPWSHEEDQLLIAYIKQHGHPNWRALPKHAETTGSQCLVQPDHNSLDKVRSVSYQPSTSESSSITEATNELPSVTKHEETNHEMFLDDSFWSETLSGVGDNNMESDPAKSIPGVEFPTSLLTMIENTDTRNPNTGCNMDGIDLWSDIFMHIEDFNSMHRL >KVH91336 pep supercontig:CcrdV1:scaffold_772:149197:152949:1 gene:Ccrd_006645 transcript:KVH91336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRNKLPMKRIENNTSRLVTFCKRRNGLIKKAYELSVLCDIDIALIMFSPSGRLNHFSCKRRTEDVLNRYVNLSDSERGSVIQHREGESSSKNEDINCWPENVCDGANQMNGQNHQNMFTTASPENTFEATRNQLTNAIFDPLPKSTMNEEPQSIEGFQGCNQTEESLQLWHQSTDEFLSSLMTHDVTFSVTKVIFTRKPAQS >KVH91335 pep supercontig:CcrdV1:scaffold_772:86031:98155:-1 gene:Ccrd_006644 transcript:KVH91335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MTSSTSPTITLPLLSNNRISSLHASTCYFKLPWNFFNKKKFDSTITAMARPQRSAMQFNQVGDSDLTVSEITFGTMTFGEQNTEKEAHEILSYAFENGINTLDTSEAYPIPMKKETQGLTDIYIGNWLKSQPRHQIILATKVSGYSERSSYIRDNAEVVRVDAANIKESNTDISFESYAILFKPDRYVALFGEFFYDPSKWRQSVPFLEQLRAFEELIQQGKVRYIGVSNETSYGVMEFIHAAKSEGLPKIVSIQNSYSLLVRCKFEGKFSYHAIVYHYKVQDVLLFDLVEVCHPKNGNVGLLCYSPLAGGSLSGKYLDLESEAAKKGRLQLFPGYMERYNKSIAKEATAQYIEMAKKHGLSPVELALGFVRDRPFMTSTIIGATSLEQLKEDIDAFLTTERPLSPDVMTDIETIFKRYKDPTIL >KVI05925 pep supercontig:CcrdV1:scaffold_7721:10607:12935:1 gene:Ccrd_015736 transcript:KVI05925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MHSSPQTMCFTFLFLIVTVATAAASPATPTNIIHLLMPHSGSGGHKHSSLNCLSWRLAVETNNFRGQLVPEECEEYVGHYMYGSQYRKDCEFVADEAYKYAEGLNLTGDGKDVWVFDIDETTLSNLPYYARDTVAFGALPYNATAFDEWVAEASAPAIPSSLILYKKLIKLGFKIVFLTGTNDAFSEQLXKEAKRKALEESGYRIRGNMGDQWSDLLGSNPGDRTFKVPDPMYYIG >KVG19142 pep supercontig:CcrdV1:scaffold_7722:3944:4483:1 gene:Ccrd_026534 transcript:KVG19142 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MTKLHLQARTSGAPDGTRKMKRFTNIRCGGLAPTKASCIKNMLSCLFEKRGELCLEYLRDLSVDEIKMELSRFKGIGPXTIHFIILMN >KVI11160 pep supercontig:CcrdV1:scaffold_773:144316:156584:1 gene:Ccrd_010432 transcript:KVI11160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFFQQSVNLKVSKASFSHQCRIISSFETVLVLVTTTSGFCFCFYMWQWCIRVCDDRSSYNNRHPYTTP >KVI11161 pep supercontig:CcrdV1:scaffold_773:155742:160021:-1 gene:Ccrd_010433 transcript:KVI11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTTIALENLLEHRNSGKNSSTLKNPQPSTNENDEATEEKRAKTSKRLNHIYISPALYTTPEPTPILDYSSSGSLSSSPYVFNRKGRGGGKSANRRVDGFEVEGNGSQSVGNGGEVLQGGEEELVESSLFGGGENENGNFMVVEDEGECADDDDDDDDGEFVDPRCDSFSVGSSSELNDSGRIASMSVLTNQIGEFYDAIEDFSSDGSMLSVASCSRNIESELCATKLSLLEEIEKRKTAENNVAMMCSRIQRMGKLLVCSENDSSWFDINAMEQLCQQVIVGRYVAEAVGKAEAQVEAEVAAEVIIGSKDKEISRLRDRLQYYEAMIHEMSQKNVESMEVARRQRERKRGERKWLWSCVGMSIVIGASIIAYSYAPLPHVEAEAEATGGGY >KVI11159 pep supercontig:CcrdV1:scaffold_773:17315:18127:-1 gene:Ccrd_010431 transcript:KVI11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAQSSILLFNLNRLFRRHFPTPLNQSLFSRFVTTNRNTKVNFSPSDSESDDNSPTTAVKEVDDKSKLPPPYDPFKKTPVIHEPDDPTNLQEVFHKIRTQGLNNNAVKMFDALSKDGLTHEALDLFSQIKDKGEMPDVVAHTAVIEAYAAAGKAKEALKVYMRMLASGVLPNAYTYTVLIKSLAESGDEKLLAESKKYFMEMMGKGIRPNPGTCVAVFEGIVKGGKEEEGRQLVMKMKALGFAPDHKGLRELLNNKRGQVFRSVINILFGN >KVH99506 pep supercontig:CcrdV1:scaffold_7730:6191:7139:1 gene:Ccrd_022259 transcript:KVH99506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF616 LKNPPYDEPRRNGKVPKILTHRLFPQALYSIWIDGKLELIHYLCWKAQHKHHKSIYEEADSDKRRKRYAPPLIDHHIKIYRYEGLDPWSPLKXTKS >KVG18777 pep supercontig:CcrdV1:scaffold_7737:2554:6256:1 gene:Ccrd_026535 transcript:KVG18777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hly-III-related protein MNANVWKRRSKEISANDDDDSKHRHKSHLLGSNKKRNKNKNRRIVDHKTPTEYPLLRFEELPEYMKDNEFILNYYRADWPLKQALFSLFRWHNETLNVWTHLIGFVVFLGLTITNLMHVPQVSDLLSLLTWSFPLSPGANASHNSIMDTPKLIDLKHESALDMEIGPPLMVATRWPFFVFLGGSMFCLLSSSTCHLFGCHSHHLNLLLLQMDYVGIAIMIITSFFPPIYYIFQCEPVWQFVYLGGITIMGAFTVITLLSPALSSGKFRSFRAFLFMGMGLFGLVPAIHAVVVNWHEPQRNGILAYELVMAVSYLTGTMFYISRIPERWKPGWFDLAGHSHQIFHCFVIMGALAHYGAALVFLEFRGRVGC >KVG18776 pep supercontig:CcrdV1:scaffold_7737:7328:7550:-1 gene:Ccrd_026536 transcript:KVG18776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYLTAKSQKDGSLVGLILIWLINATKSFIVFFCDHWVHWPIMVLSDTRAYFPLKHMYPDVSQFD >KVG18778 pep supercontig:CcrdV1:scaffold_7737:9991:11353:1 gene:Ccrd_026537 transcript:KVG18778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSIIFLSCCCWMYSGGGGGGDCMEMGGEMGRAETXSWGRVDGEVSAQPISPPISIQSPPXPPPEYIQQQQLKNIVHALIGAGDFAA >KVG18775 pep supercontig:CcrdV1:scaffold_7737:11435:11911:1 gene:Ccrd_026538 transcript:KVG18775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPGNDALTXLSATXXGAYNFDPFIIPYHILPQCLTFSELQIFKTQTRLPRLLPSKTVIITNDTAANFTIDDSLIKQPDIYLTTAVCVHGIATIFNYTVYGDADSTSPSNSLPPPPLPTPDKTLTPPSELVRSSCGFIVGADFFILLLLNFACISNR >KVI11002 pep supercontig:CcrdV1:scaffold_7739:638:9504:-1 gene:Ccrd_010592 transcript:KVI11002 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MDPISFNETFVKDIFDEIGGINGKRKGFDLDREIATATNDGTTQDKCNYSARDEDGCIRQNETIPERSDSITGRVSAEFDDDDVASLSNESEDMGETSDGSKYWFPSVDVKYKPKVGDSFESVESAEKMYRKYANEAGFDIRLSNKKINKLGRITSRFYVCSKEGRPQSKYFDSLDVLPSGRTFRNSNIKRSGCGACLKIHFVKEPNQYEVYKFVEQHNHILFNKEEMRFSRFKRKLHYADHKNVFHGSSSKVGVTKSHRFMNAVKGGVIKNKMENSYDCSCNCFVRNGILCRHTLKVMLNDEVDRIPDKYILLLPVEWLPTRSRYGEVDAEKERLMSRIRNEKDILSRFVDQLEQWDSKVDSELHLQSHTEETTTSIKEFLGVSQPETVDVLPPTGIRNKGCGTGKRLINAAEKAISNGKSRRESVAYVVKWLHMIPEIRKTYSE >KVI11001 pep supercontig:CcrdV1:scaffold_7739:1791:3519:1 gene:Ccrd_010593 transcript:KVI11001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPYAAITPPKFDLAISLMKQSEPLSMVLHEEAECIERCPSVERCNVSEDAKKYQVERATRRELKLGDHLRSPFVIRAVDLNVTPEKRKIHEWVVAGLGGKYELLFSTPNDTKLHRHAISLGRTTTIYVSVIDAWATLLNYEERYRNRDSLRHYFFNMEVMGYTKLRSKSVNHNTQYALFKKGLLSSAKKNWEVVQMHNVDLVFFPLLDKGHYYLVVFNLKNPSIVVIDNRYREVSDDDHLLQMYDFITDILQRLMIRHLNAVGHAAGKELDETGQERLRLDWQTKNNFDDCGVFTMRHMETYMGDMKSWKIGLAPESKIQENQIANLRMKYVAKILMNSYNVKKDYIIKEVEKFNSIDEVVHGKIRK >KVH94890 pep supercontig:CcrdV1:scaffold_774:63960:68823:-1 gene:Ccrd_003036 transcript:KVH94890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MIFNTMKRQVLFLILVLSRTVTSTKKFDHSSLRIDGRMVELSLSYCLSWRLAVETNNIKGWRTIPIQCLHHVEAYMIGGQYESDLDLIVDHISSYIDDIILSDDGLDAWILDVDDTCLSNIFYYKGKRFGVDPYDPQAFKEWASRGICPAIPSILRLFFKLIERGFKVFLITGRDETTFAQPTLHNLHFQGFFGFERLILRSEAYKGQSGMVYKSEIRRKLVEEEGFRIWGNVGDQWSDLHGQFLANASFKLPNPMYFVP >KVH94891 pep supercontig:CcrdV1:scaffold_774:99740:100514:1 gene:Ccrd_003037 transcript:KVH94891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MSSEATAKASSETPQPTPPPNAKDVESQNQTPPPGYSVVGIFRRWRHEDISKKGTFGLRGSALLFSLLAFIIMASNRHGDWRDYNRYEEFRYELAIAILSSIYNGFQVWRQYRLISTGKELLSFSDQNLALIDFVGDQIMAYLLISAASSAVPMTNRMREGADNAFTDSLAASISMEFFAFFILGSSAILSGYKLVKSSCI >KVH94898 pep supercontig:CcrdV1:scaffold_774:109361:109891:1 gene:Ccrd_003040 transcript:KVH94898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSSVLPHKIKKRRSKQGSASLSFCPATMLTADELFFEGKLLPFWQNEKLNKISIKPEKVVAKEAVTMKEDENNNNNRMSWFIDEDPSPRPPKCTVLWKELLRLKKQRASSVLSPSSSTSSNSSSNSIDDQDSSKKEKKQQVKRIKKGLERTRSSGIKIRPMINPVNLISRFSIT >KVH94896 pep supercontig:CcrdV1:scaffold_774:159635:167797:-1 gene:Ccrd_003044 transcript:KVH94896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSRYQIRNEYSLADPELYKAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEEVMVTATRGHGLLVRVQQLESDFPSIERAFLSQTGHSAFFSSSGVGWHPNLRTEQNLITRGDLPRFVMDSYEECRAPPRLFLLDKFDIAGAGACLKRYTDPSFYKVEASSYEMMSAEAQRDKKTRKTKPSHVKLHQLLLEERIQNGACEPARRVKLKKRKSKFPFDMENGKGYMEKLLSSPPEDKLVHEVPLRSHTDSSGEPGLETFEISMVDPPLGLTTQNRSPYESQSVDKMVSREHMDDVPENITERLVLEMPTSSIRFQVGGAHSELEDEEVEEKHISNNGIGDGYESDVASEADDYEDALATMESEIETDAELRANSNPSNPSIKQEMSSDANVEQLQSQSSGSQSASDDESSPIRKKITSFSYSDDTTTTSIDSSQAFACIEIPFRPRVSATDAALPVDQEANFEKSTKDSDFSEMPSNNRVDVNSPKKTEEFPIDPEAIVASTSEPCEQDKDDSNSNSTLVIPHAEEQPFGPFLVELDICDADMKPNEAKINGDTKAAEEQLTDALIECKSDSLEAGVSYSGEDETEFKDRKTVSVESESKVATSAAFDPADYSNGDDNLSNPEEKTELKDREPESVTSETKDVDSNESEDLSTCENLELDGKEVEFINSEAEAEAVTFAVEKNEENGLSTAELGINESGVVQTSNESIEKKELSEISVISPELDSFPSYDDSNIQFHYGIHSSSSATFSELNENDVYNPSTKKESTESGEEESSEQPVISVEKNEENGLSTAELVINESGVVQTSNESVEKKELSEISVISPELDSFPSYDHSNIQFHYGIHSSSSATFSELNENDVYNPSTKKESTESGEEESSEQPVISPELNSVLSASYDHTNIQDLDSIPTSSLAISGDVNENDVVDPSANLKILQDETLFVTEKIDQNGPQTDVSPDLDLQPGDHELIDQSNAQFLESASNSPVLKSFEQHVESESLNQVDHIEKLENASSILTDSVFVEEKVDQPHMPSFLHLESVDSQENVDHGTPFDAHSEPNLVNHESYCSEKVKEFQDPVESTTSVIETSESLVPVSEEPSVLNLPQSSSHINLSDQIEYPSAPVFSGYNMLPQLAPINLEEMPPLPPLPPMQWRMGKPQNASLISKPDSGQHEINPFPSNFPQIESHPSLDPDKKAANEKAEYEALPTESSSHTEEDGNSIVSSEVKISEQVPQPPSNGDRSQNVSTSGREICWPSTGSSLPPADDGRPNGIRPMKVQRSRTPLIDAVAAHDKSKLRKVSERAMPLIPKEEEKDTLLEQIRAKSFNLKPAVQTRPSIQGPTTNLRVAAILEKANAIRQAFAGSDDDDDDEDGDDGWSDS >KVH94894 pep supercontig:CcrdV1:scaffold_774:100860:102353:-1 gene:Ccrd_003038 transcript:KVH94894 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MKSQVVEWNVNSQPKQIDFSMKVEQESVQSLQTNCSFDQIQATKEQDKYEDGYNWRKYGQKKVKGGKRPRSYFKCSYPDCPTRKKVEKDLNGYITEIVYQGKHSHPKPQNMKKSSSNLFQDTMLHHSIEKTSYEPFSERSLVSFGEDGYEQGSSNNPRVVIQTRSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTTGCPVRKLVERASNDPQAVITTYEAKHNHHAPMPRGGGTGGSTINHPSTSSNTTKTSTNYGSVTNSPLLNYSSNINGQTPNILQTLENSRNYGFSESSYTNQFWVKDFS >KVH94892 pep supercontig:CcrdV1:scaffold_774:7030:8619:-1 gene:Ccrd_003032 transcript:KVH94892 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MKSSSFLLLVIVWMVMESRADLPGTWELLVADAGIASMHTAVTRFNTVVLLDRTHIGPTHKRLTQRHCLKDPVLKRDCYAHSALFDLTAGKIRPLMILTDTWCSSGQFLSDGTLLQTGGDGDGVKKIRRFTPCEPTSFCDWEELEDAELSQGRWYSTNQILPDGSVIIVGGRAATSVEFFPARRHGGAVNFPFLAETEDTQIDNLYPYLHLLPDGHLFVFANNKAVLYDYKKNVVLRKYPQLDGGPRNYPSAGSSVMLSLTGDYAAATIVVCGGAQYGAFIEKSIDTPAHGSCGRIEATKPDSVWEMEDMPFGRIMGDMVMLPTGEVLIINGAQAGTQGFEMASQPCLYPLLYRPDEPAGLRFMTLNPGSVPRMYHSTANLLPDGRILIAGSNPHYFYNFNAEFPTELRIEAFSPEYLLQEKANIRPTIIELPEKITYGGDFDILVTTELPVVGIVEVNIASAPFATHSFSQGQRMVKLRVSSAVPNGLGAYRISCTAPPDGRVAPPGYYMVFAVNTGVPSVAKWVKLH >KVH94893 pep supercontig:CcrdV1:scaffold_774:35626:37152:1 gene:Ccrd_003033 transcript:KVH94893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSTGKRGFFRNVMVRFFVFSLLVVGVRFAYVITIRGESCHVGDELCFFSSSNLIENQKLVTAAGVGHVGSSSSVILHPAAASSPEFQKRVMFYITVFQDLIVDGFLSTKSKSLCVETPVGDDVYALKEIGVDDAVGIYKKAAKPLVINGLAFRQPFKDNTFDFIFSGAGGLDRSEKASEFAAEVGRTLKPEGYFVVHTASKDTYSFNSFIGLFNCCKFIRSRHMDGFDSDMTEIREIVMKKQNPIKINKKPNQILSNKCVVPGYKQDLVRRAEPLITKEPLKPWIELKKNIQNVKYLSSMVDINFKQRYVYIDVGSRSYGSSIASWFKKQYPKQNKTFEIYAIEADKHFHDEYKLKKGVTLLPYAAWVKNESLIFEINQTPGDENVEKGRGMGRIQAVEMGGGGIVGSVDEIQGFDFAEWLKSSVSEKDFVVMKMDVEGTEFDLIPRLIETGAICLIDEVFLECHYNRWQRCCPGVRSPKYQKSYSQCLDLFKSLRQRGVLVHQWW >KVH94895 pep supercontig:CcrdV1:scaffold_774:107112:117143:-1 gene:Ccrd_003039 transcript:KVH94895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MMLKRCVWYADRPKVVVSYKGQEKELFAEEISSVILVKMKEMAEAYLGIVVKNAVITVPAYFNEPQRQATKDAGAIVGLNAIQMINEPTAAAIAYGLDNKHDITGKMNECAWSFEVKAVAGDTHLHGLDQESKSYGEVEYKHEDQEYKKKANAYDALDDCLYKMKNRMREYIIRKTVPPETLKNMEYR >KVH94889 pep supercontig:CcrdV1:scaffold_774:54698:58170:1 gene:Ccrd_003035 transcript:KVH94889 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MDPSSSPSPISFSDHNKTFVQSLMDSATTPHRTPSFKEDTYHLHNLKPSEKKALQDLKDKLTATSDDHFSMWGVPLPPPTTTIADAEKSDVILLKFLRARDFRVQDSLNMLLKCLSWRKDFGADTIVEEDLGFEELEGVVAYMNGYDREGHPVCYNAYGVFKDKEMYEKIFGDNEKLKKFLRWRVQVLERGIQMLHFKPGGINSIIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVARKIFINVPWYFSMLYSVFSPFLTQRTKSKFVLSKEGNVAETLYKYIRPEDVPVQYGGLSRPSELKTGPPKPASEFTVKGGEKVNIQIEGIEAGATITWDIVVGGWDLEYSAEYVPIADGSYTIAVEKSRKMSPTEEAVHNSYTAKEAGKLVLSVDNSASRKRKVASYRYLVRKSTIVVM >KVH94900 pep supercontig:CcrdV1:scaffold_774:142873:151993:-1 gene:Ccrd_003042 transcript:KVH94900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGYYVQLPDEEPHHHHHQDPIPASLLISLYVGHFLARWGARMWEFSVGLYMINVWPNSLLMAAAYGVVESASTTLFGPLVGQWIDNSTYPKGLLPHHHQQLIAIPTPLLISLYLGHFLARWSARMWEFSVGLYMIKLWPDSLLMAAAYGVVESTSTVLFGPLVGQWLDNSTYPKVLRIWLLTQNLSFIVAGITVVGLLISPDLRITNVTAFSLLVVLINLSGAVAVLSTLAGTILIEREWVVVISEGHSSDLLTKMNSRIRRIDLISKLFAPVVSGFIISFVSLIASAASLAIWNVLSIFLQYWLLNSVYKGIPALLERNRKRGLKCMVLNDQEQAPSTSRDQSRQNQNADDRSEDVSLGNRMVEKFVKRVSDNSFIRAWQVYFRQDVVLPGLALALLYFTVLRGISAIVGISATFLYPFMETRISTLRTGLWSIWSQWACLLVCVGSIWVKNNTTSAYVLMAGVAASRLGLWVFDLSVIQQMQDQVSESDRAVVGGDFWKLIMVSFGLATIAAIMYSVHMYRVRKHLFHFEKLFVLFRRPI >KVH94899 pep supercontig:CcrdV1:scaffold_774:152946:158220:-1 gene:Ccrd_003043 transcript:KVH94899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGNNSGGGGGSGEKQSHRLPLSDVVSDCLRRWFQDTLKEAKAGDLSMQVLVAQMYFNGYGIPKDAQKV >KVH94897 pep supercontig:CcrdV1:scaffold_774:114791:118456:1 gene:Ccrd_003041 transcript:KVH94897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase, NAD-specific MATKKNINSIDQSKTHGIANIQEKLTDPITGIGVFRGIRTSITPTLICVPTITAAPYATASSGFTLLHNSLPSKNSCNICWTLGILVEPPTRITSFTHDFSIFASFKQVSRVLMQLSNEPIFSSSNLARLNFMEKSIPSCKQSSSIENPFCFLTRKSQPPHSSLVPVQVLIPSLFEFANTVIHHAAIKILASQMSVTSNSFHLKSTLFRDCQQRDIKSATTQIKDDHIHLANN >KVH94888 pep supercontig:CcrdV1:scaffold_774:41180:44997:-1 gene:Ccrd_003034 transcript:KVH94888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAQSTLRAKKEEHSFVLLSGHRIPAVGLGTWRSGSQASYSVATAVLEAGYRHIDTAWEYGVQEEVGHGLRAAIDAGIERKDLFVTSKLWCTELSPERVRPALINTLQELQLDYLDLYLIHWPFRLKDGASKPPKAGDVLEFDMEGVWREMENLVKEGLIRDIGMEMHPGWRNDKMLEACKKNGIHVTAYSPLGSQDNGRDLVHDPTVEKVARKLNKSPGQVLVRWGIQRGTSVIPKSTNPDRINENMHVFNWELPEQDFNVLSNIPDQKRVLSGEELFVNKKDGPYRSVADIWDHED >KVI08437 pep supercontig:CcrdV1:scaffold_775:147557:148419:-1 gene:Ccrd_013190 transcript:KVI08437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHFFSPPPAYQSFHRLPSAGRSSCFVSVSAKP >KVI08442 pep supercontig:CcrdV1:scaffold_775:44067:51428:-1 gene:Ccrd_013184 transcript:KVI08442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase fold/virulence factor MRPFSLPNLFLLFLVLQSANSSQRQQKLYDIKSKLHRRSSPSRLLSSSSDLVKSAGRVFYPIGYGGDASGAQDSTAAIMNAVADAALIKDGQQMLPGVNDLGGAIVDLQGGSFKISKPIVIPPNSGNLVGGTLRASDTFPHDGYLIELRSLSSSKPSENKASEPHDTFSDMKNRNEPIYYEDITFRDILFDSSNLGGGLLVIDSARTRIDNCFFLHFVSEGILVERGHETFISNSFLGQIPTIGGDEHERGFLGTAIDLASNDNAVTDVVVFSAATGIVLRGQANMITGVHCYNKATFFGGIGILIKSGQNRIDNCYMDYNSIVVQDPSQVHIVNGFFLGGGNVVLKSVNGRISGLNIINNMFSGDTRNVVEIDGDFTSIDQVVIDQNNVNGGMRLKSTVGKLAVTGNGTVWTADFSPVLVFPNRIKHVQYSIYTGAGRLEGVGGHAVTNISGNVVVVETQKQLNGTVKEGRVSPALLRKM >KVI08441 pep supercontig:CcrdV1:scaffold_775:63781:65499:-1 gene:Ccrd_013185 transcript:KVI08441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Parallel beta-helix repeat-containing protein VSGSRVYGVSSYGADPTGKTDSTDAILRAISDAVTEERDGLLMEGITNHGGVQINLEGGIYKISRPMKFPVAGVGNIVISGGTLRASDNFSTDSYLIDLSTSSSSSNKKYNYEYITLRDIMLDCDYRGGGIRAIDALRTSIDNCYITHFATNGILVQGGHETYIRNSFLGQHITAGADSHERNFSGTAINLAGNDNAVTDVVIFSAAIGITISGQANVISGVHCYNKATGFGGTGIYLKLPGLTQTRIVNSYFDYTGIVTEDPVQLHVSGCFFLGDAFILLKSIHGTINGINIVDNMFSGSNKGIDIVQLDERNRAFNTIDQIIVDNNNVNGMNLKATVAKGVVHGNGTSWTIDFNRILLFPRHIKFVQYTLTTSESNVFPKYILRNISNNKIFIESDIAVNGSVHVSVDQGLSR >KVI08439 pep supercontig:CcrdV1:scaffold_775:13180:31912:-1 gene:Ccrd_013183 transcript:KVI08439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEQNKEHKQTIWMLDPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEVTHSLKGKTVMTDTERYESLRHCKWVDEVIPDAPWVLNQEFMDKHQIDFVAHDSLPWAEPDFVKLDAYTVHSSVYADASGAGKDVYEFVSLDILQYRKWHEALLFLKILHGKAYIFLQKPAVTYPRTSVPEAKEEDLNKWNWLRGFLTSALNFSAPASVGVKAIGRFKETRRTEGISTSDIIMRIVKDYNDYVMRNLDRGYSRKDLGVSYVKEKRLRVNMSLRKLHEKVKKQQEKVEEKVCLLVSLLALESFLDWSVMIGNIHIVAKTAGMNHNLWVENADRLVAGFLEMFEEGCHKMVRS >KVI08440 pep supercontig:CcrdV1:scaffold_775:12013:13388:1 gene:Ccrd_013182 transcript:KVI08440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MISATGWLRPTIGTRSPSPNPKSFKLRASFIEYPLASKIMVRNLSYSTSETCLKEQFSNFGQIAEVKLVKDETTKKSKGQTQCVPENLWTTPAPAPKTSLRRIGDGRLTTT >KVI08438 pep supercontig:CcrdV1:scaffold_775:154562:163303:1 gene:Ccrd_013191 transcript:KVI08438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10 C-terminal domain-containing protein MAKGSKAPKSNRKTPQKRLSNFSDSDMDDEIDAFHKQRDIVPLDLDDDIGESDEDNEQHVFQIEQHKSLKAKIGGAKDETQDDAEDEENKSVAWGRNKGIYYDNDKGESSEDEEEAEVLRLQNEKTRLYSNADHGLEDDEEDESEGEPTFEENLRKGKVTSKASVDHGAQDEIDTGYEVVMKDPNSLSKEEQMDIVYNSAPELVGLLSELNEAVEQLETKVDPILSKLKEEKNLNKTGIQYMELKKQLLLSYCQAITFHLLLKSEGHPVRDHPVLARLVEIKNLLDKMKPLDENLSFEVEDFLKKQATTTVLNSPEEMAAIDPSSLINNSETKVVSSETQKEPHVETKFVKKKNMLDGDNGGKRKRQNEQMGLQSVEMLKVRAALEEKLKQKGVFDLKAPKTSGVKKNLKPLNGKLEALDDFDDDAFDIDENQNKSSNAIPPSRLSRLVTQIKKPRVISGDDDLPKRDDIGERRRKHEMRVLASAGVQSDDDLQDDEPGTLEDDEVNDTNEDGGTDSESDLYKEIKIKRDAKLAAKAQKYSRTAPSVVAAPETLSDGKRHINYEMEKNRGLTRKRNKDLKNPRKKYKLKHKKKEVARKGQVREHRKPAGPYGGEGSGINTISRSIRFKS >KVI08444 pep supercontig:CcrdV1:scaffold_775:91206:93302:1 gene:Ccrd_013186 transcript:KVI08444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLTSEIFSILENNFLFPSPAKQPPINSSSAAGKVRVLSIDGGGATDGVLAANSILHLESSLRRKSGIPDACISDFFDVVAGSGIGGVLAALLFTRGKDGGPLFTTDEALRFVAEKGTKLSRFSKQGIFRRILRSPAKGAKVFRRTFGDLSLKDTVKAVLIPCYDLTTGAPFVFSRADAVEMDGCDFKMSDVCAATTAVSGPIATVSVDRRTKIAAVTGELAMNNPTAMAITHILNNKQEFPLCNSVEDLLVVSLGNGEPFCGVAGNQTPSRTAFVKIVGETVSDTVDQAVSMAFGKSRTTNYARIQANKGYINTEHQKDMLKMTDQMLAQRSVESVLFQGKKCNNTNLDKLELFATEIVKETERRKTDILPVVVLRQTTTPSSPRTSSATTLSVTSSN >KVI08445 pep supercontig:CcrdV1:scaffold_775:145262:147823:1 gene:Ccrd_013189 transcript:KVI08445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKVTPPSLLSTIMRSFAIKRPLMLKTRSLKDQRSKPDWLLQAQTIGRAIGQPTETSDDSDRAPSFGCLVGDRGNSETASVIFSDDPPPSYSDVARRNASHTDESSVDRNFPEVNFEDGSSTALYFGEIYQEHDLGSFEHPEDEKLPEVLTSTDLFNIFEDIFKEEQPRLDELGEAFDVFDENKDGFIDARELQRTLFALEMKEIADIEDCKKMIRAFDENTDGRIDFYEFVKLMEATCS >KVI08435 pep supercontig:CcrdV1:scaffold_775:165116:172004:-1 gene:Ccrd_013192 transcript:KVI08435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MTLVENGGSNNRVESTDVDQSKSSISIARSNDHHQSFHQQTKMIPNGNGVLNHHHQQMKMTAVDHHDHEEEGFKKEMRDLAEMLSKLNPMAEEFVPPSLSNNNFNGSLLLPPSAAAAHFGYSAVNDFLLQTNHTPFANVNGISNRRKKGNFSHGKRRMNNRTNMAQREDVIRRTVHVSDIDQQVTEEQLAALFINCGQVVDCRICGDPNSVLRFAFIEFTDEEGARNALSLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESFCGEVYRLRLLGDYHHSTRIAFVEFVMVIFLELFTWFCCFKYVHCIALVVTDGKVHTGNTSCWENLERASDDMITGSVPRPVVGVDGRECNYGPELQRCSIGITADKVRVSPSKTPVRPRAPRPTMH >KVI08436 pep supercontig:CcrdV1:scaffold_775:173432:176445:-1 gene:Ccrd_013193 transcript:KVI08436 gene_biotype:protein_coding transcript_biotype:protein_coding description:eRF1 domain 1/Pelota-like protein MLSGSFLIGTTVHHPKMADAHDTDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDEKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNIVDVSYGGENGFNQAIELSAEILANVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTMKALEMGAVETLIVWENLDINRYILKNNISGEVIIKHLNREQEVDQKNFRDSTTNAELEVQEKMSLLEWFANEYRKFGCTLQFVTNRSQEGSQFCRGFGGIGGILRYQLDMRAFDELSDDDIYEDSE >KVI08443 pep supercontig:CcrdV1:scaffold_775:103122:106849:1 gene:Ccrd_013187 transcript:KVI08443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF716, TMEM45 MSGLIYHVFTSSALVSLGLYHLICTTKNHLRSPRDYFTKPYHPFTWHYQPITRHLQLYLIILCLLIAFAHQTSISFDSDPLVKGRSPVHHFTSLQSAGVVFLFLILSVALLISEITPYLPFPPDLFFGTASALFFLQYSVSSSSASLQISDLEAKCDSVSASISALSAALCIILACHPRLFVADVGLGASICLQGLWSLQTGLSLYVDAFIPDGCHKLLDVVSGVEGSTKCDLEDSKLRATAILDLVFVVYVLFVLLILIVTYAVTAKIVGVRRFGTYEALPTSSVSATDSNHIQMKAMTGTQA >KVI08446 pep supercontig:CcrdV1:scaffold_775:117265:119752:-1 gene:Ccrd_013188 transcript:KVI08446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLTGVAAQHHFVSDRLQMAKNACEDLGVYYDDPVYVNITFFPRDQNTSIALGEYGMHGFYQGNGKAKRIMGPLPTRGLPPSVITDGGVNRTQLPVGFFRVDFIANVRHKVDGYHQRHRLLLSANIEFNGITMGNQFDKKGIRLVKSDAWYDKRPPIIVVLFFTISMKGTSCNDLPENFGLWVFLCILVFVPLLVVLLVLYVNPENPSFKVEKFYVPAFDKTSNADPSDTIFFNIKLQNRNKAIGLFHDDPLNLTISYTPIKTDHKFTWQYSVPKFYQGNHHSRRLIDVVQMPAVDGFTWNQTVVADQVGIQPIMNMGFEPVVFFKVDIESKVRFKLIGRSRGKEAVMSVQVPVHSNTGAMFDEEGMEEDEEESGGGLGFEWSGPQSEEEEKKKKKKKGSL >KVI04462 pep supercontig:CcrdV1:scaffold_7751:4067:4354:-1 gene:Ccrd_017221 transcript:KVI04462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKPSTSMEAYAIAILVMMLAGSQAAMAVTCSVTELSPCLPAFTSSAPPSSSCCAKLKQQSPCLCQYIKNPSLRGYITSPNAKKVSSTCGVPIPKC >KVG18462 pep supercontig:CcrdV1:scaffold_7752:8279:9877:1 gene:Ccrd_026540 transcript:KVG18462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MASSSMSSRGSGSWTAKQNKAFEKALAVFDKDTPDRWHNVARAVGGKTAEEVKQHYEVLVEDVKHIENGQVPFPNYRTTGGA >KVG18461 pep supercontig:CcrdV1:scaffold_7752:5697:12988:-1 gene:Ccrd_026539 transcript:KVG18461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKESPPPAASAPVMTSCRRKKSEDATFLQDEKLAMSAAGGLCTSSGPVIGERNLTIFDVLDILHKDFIMLLHLLCSLATNSPGNIMPTIRGTEGRGKWGHARWPIKIANEKNFKGFVSLSTTKAGVEETCIIVSYFPIIKFSYAAYFELINASNFFMLLMMADGMKEKDKSHDNL >KVG18442 pep supercontig:CcrdV1:scaffold_7753:19131:20175:1 gene:Ccrd_026541 transcript:KVG18442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MLFACNSFKESDAYDMLANNYNWNVITNLLLKLTLKKLVLKKTIFRRPQEASNFNTGLIYAILFEVDDRETIGGSAYRGQISICCTSDLAKLGACKEGEDIHRLSAINPGWPEVFGVSFDVNEEISSMKPRCVHITRTRMYNLYFNHCEHRLGDMVVEGKTIFKNPSGYLTGRMAPLLNFYGFMSLAFLVLGIFWFSQYARY >KVH96927 pep supercontig:CcrdV1:scaffold_7754:3318:11457:1 gene:Ccrd_000980 transcript:KVH96927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGYSTNLLLLVVLGAYSSIFTASYGFINVLGANSSSSSIPRNFLFGTTSSSYQFEGAYLTDGKGLSNWDIFSHKPGNIADGSNGDIAVDHYHMYLVRTNLLLLGEERKKKKLSVTFPQGSYLFLLKELDDLELMEYIGLNAYRFSISWARILPKGRFGTVNMAGIRHYDKLINALIGKGIQPFVSLTHYDIPQELEDRYGGWLSPQVQQDFAYYAHICFKYYGDRVKYWVTFNEPNVVAIRGYRSGIYPPARCSGSFGNCSSGDSEREPFIVSGHATKHCALKERKRISATMSCHRKLPQKCAPGQRLIGGQGGEMEVSTGFQEKQKASIGIVMNAVWYEPISNSSQDRLAALRAQSFYMNWFLDPIIFGKYPEEMKDILGSLLPDLSNDYLKKLKNGLLDFIGVNHYTSFYAKDCSQSTCEEGPGVSKTEGYYLRTALKNVCSGLALCLSSRNGEDGFGVMNRPDSRINDFLNDDKRVEYMKSYLDALFSVVRKGADVRGYFAWSLLDNFEWLCGYTIRFGLYHVDYATLERTPKSSAKWYKHFISNFTSCQILADDQPGHLGI >KVG18323 pep supercontig:CcrdV1:scaffold_7756:7049:14188:1 gene:Ccrd_026542 transcript:KVG18323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLKNVDWELEECKAFLKSSPLKDCKIPLDINGGITGAHIISSSSHRLLKNANLYSLKPFFYMSGQPQKHGVHCHHILAIVLLLSAVMVVSTSAGGGYGVAPATKPAAEIPYYKMTKQNEISKPIAIQGLIY >KVG18322 pep supercontig:CcrdV1:scaffold_7756:16757:19314:-1 gene:Ccrd_026543 transcript:KVG18322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Muniscin C-terminal mu homology domain-containing protein MSCLALSLQPTNGSDILLQTREWFPPARALVALSAFRQTRLAFATGKNQNAEDGDTSLGDDPLAASSGQVIVGVESRYRVVYRLVNSIYVLGITTVDDDCVNNVFECISIVNQAVSVVVTACRGVDVTPEKLGRKYAEIYMALDIVLRGVSNIRLAAMLASLHGDSIAKMVHSALSTESKIRGADNWNNVEVHSAEHESSEAAFSNASFELPPETLEAGDEVAATLARTEQGPPIEQDEAKKDDDQAEKDPFAASDNLNKPVDLVSGFKKDKEQSSDLSIVLSGLEVTTLPPAAATESTHIGVEGFEGDYGGIEFSKDGSSLPEDFEGINQAWGGGLDASEFVDSKKVAKPEGLGGLEFLGTSDAPAKAASGADTPLEDLVVKKSEMKGPEMFILEEINAEFRESLLARVGLMGTVYMRTLPPKSSGDAETEFSFRVEGTNGVKRFVMHSSCVSSLGNQMFHVRTAPSDQPIPVIKYSLLPRATPLPLRVRLVKRHSGTLLSVMIQYVSNPDLPVPLTDVTFIIKLPVDPRLLKVSPKAVLNRSERELQWHVPEIPLKGNPVRLRARMPVDTSEEDGGEELEVVGYVKFTCQGAKSLSGISLQPASEGKTDFYEVAHRYESGVYTCN >KVI04778 pep supercontig:CcrdV1:scaffold_776:75331:76182:1 gene:Ccrd_016896 transcript:KVI04778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHWWTGQANLAGVEPSSGGSLALKKPDLGISMNENNTGSEEDERDNNSDDPREGAIETSNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVESGTDIAESIAQFSRRRQRGVCVMSASGTVMNVTLRQPTAPGSVMALQGRFEILSLTGAFLPGPAPPGSTGLTIYLAGGQGQVVGGSVVGTLVASGPVMVIAATFSNATYERLPVEEEEEADSVVQAGMGSGGGSSPPLGMGSGGGGGGMAGDPMPAYNLQPNMIPNGGGQLNHEAFGWAHGRPPY >KVI04781 pep supercontig:CcrdV1:scaffold_776:97750:101304:1 gene:Ccrd_016898 transcript:KVI04781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MEQAVLDNIIQRLLGVRGKPGKQVQLTEPEIKQLCLVSREIFLQQPNLLEIEAPYGGLPPQANYLFLGDYVDRGKQSLETICLLLAYKIKYPNNFFLLRGNHECASINRIYGFYDECKRRFNVKLWKTFSDCFNCLPVAALIDEKILCMHGGLSPDLNNLDQIRNLRRPTDVPDSGLLCDLLWSDPCNEVKGWGMNDRGVSYTFGADIVTDSFWVQEYEICSEVDETQQLKYGFWLTLGRVMLEIYEFLIVVL >KVI04780 pep supercontig:CcrdV1:scaffold_776:2568:34691:-1 gene:Ccrd_016893 transcript:KVI04780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dak kinase MGLNGEGKLVFEIGKKSADFEAFKLRQSYLAMAFESKKLINNPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADVVGPKYDKVAVISGGGSGHEPAHAGFVGDGMLTAAICGDIFASPPVASILAGIRAVTGPMGCLLIVKNYTGDRLNFGLAAEEAKSEGYKVEMVIVGDDCALPPPRGIAGRRGLAGTILVHKIAGAAAASGLSLSDVAAEARHACGIVGTMGVALSVCTLPGQPKSDPDIQPVDLVVSHVLNRILSPETDYVPIKRGSRVVLMINGLGATPVMELMIAAGKAVPILQLEHGLAVVRVYTGSFMTSLDMAGFSISIMKAEQAILQRLDAATKAPHWPVGVDGDHPPAKIPVPVPPSLSTKSDEVLSRPQQLNQQGRALEVAIEAATMAIINLKDNLNDWDGKVGDGDCGSTMSRGATAIQEDLKKYYPLNDAAETINEIGSSIRRVMGGTSGIIYDIFCKAAYARLKPNNQSDITPLQWADAFEAAIGAVMLYGGAAAGYRTMLDALIPASTVLRERLTIGDDPLDAFLISSDAAIAGAESTKQMEAQAGRSTYVSADILTSVPDPGAMAAASWYHAAAVALKDKFNAGSFCIATKSTEISAYAHTSHKAERAIQTYIQFMSKLQMIIW >KVI04776 pep supercontig:CcrdV1:scaffold_776:20835:28817:1 gene:Ccrd_016894 transcript:KVI04776 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MDFPNITPSSTACNTKNRFWDSNTARIEATGGEAKISPQIAAHESREWVFKPEYPGKSIIFDGRTGDPFEQPPYILKLIHQVDDKIHGRSNRRYSRFTQPPFKGRAKQGGQRVGEMEVWXLEGFGAAYILQEMFTYKSGHIRARHEVLGSIIFGETIPTPEDAPESFXLLVRELRSLALELNHFLVFEKTFQINSKEA >KVI04777 pep supercontig:CcrdV1:scaffold_776:40157:53219:-1 gene:Ccrd_016895 transcript:KVI04777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPPPPEQPAPTLLPATAKGSPTRPAPALKSALKRSNPSDSDPQATAPKKSLRFKTIADTSETQVVNAMTKIASHISNASKFSKASNLAIQLIQAGSVKPGNSDYFFAILEAAMYSPTTCNNPLVRADYHALFSASQDLSECFTPKQRKHLSVWTIRAVMANDLFTDDSFVFSKACGRIKDAISNLPVATKDDDMEEAAALKDESEEHASLNHIPESSAKEESSDPFGLDALIPDSSTKDEKVKAKLDDEATASKIRKEEDEEAKRFLKSEREALILCLEIAAKHITVKHAFDNVGRFTSKQRNAIEKLWGSIREQHNRRKQGKSVSGKLDVNAFEWLQHKYSTEKISIRHSVGGNTRRAEQWLG >KVI04779 pep supercontig:CcrdV1:scaffold_776:87704:103745:-1 gene:Ccrd_016897 transcript:KVI04779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHLPSFFDFRDGISWKNASKWREATVKARQEEGILSLGFVSLGQHNHQETSNWLEEMEFNLWGCVLTRNEVGIARHGRETPRVVLETKRVGSRSRSQDHEKTKRSRMACSDADPRDSKIVRSAYDIVIDFGRPFQHFGTSELCRLEAEREENQTESNAAASKTEGDDGKPSKKLVREGYAMRHLMHTIMGLLSMLQDDDIDWPLRDLEDGGTRRGNAGYERAIVKFELVTRWFSEHDFWGQAARWGSS >KVI04784 pep supercontig:CcrdV1:scaffold_776:136891:154472:-1 gene:Ccrd_016900 transcript:KVI04784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MKLSLVSRLGFGLILLLALNHYVQSAPSSGSTKEAYVTLLYGDEFVLGVRVLGKSIRDTRTARDMVVLVSDGVSNYAKKLLKADGWIVTPISLLENPNQVRPTRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIDDLFKCGKFCANLKHSERLNSGVMVVEPSEELFKDMVSKVTTLYSYTGGDQGFLNTYYAGFASARVFDPEISPEILNSKPVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPILDKLYPHHLNLDLFPRLVQTRSLCDHARHIYYKFRSGGSLSYSAVSSSTINSSQQVPAFLGGVSIFFCFMAAVVALGLGVSVVPRQVKPWTGLLLMYEWTFTVFFLLFGGHQRQVSCDAATWYYGLGMAFLAIATPSIPCIFGITALFSRVVEQWMYGLWRRASRRGGDEELLSNELGLLLGGVDQ >KVI04782 pep supercontig:CcrdV1:scaffold_776:108483:109970:-1 gene:Ccrd_016899 transcript:KVI04782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MCESLNKDYQLCEELGRGRFGIVYRCFSALTGDSFACKSIDKRLLSDPTDRECLQKEPKILHVLGGNPHIVQIHGLYEDDNYVHMVMDLCDSPDLFDRISKHGGAFSESEAFSVFSPLILSISYCHCLGIAHRDIKPDNVLFDSRGKLKLADFGSAEWFGMNERQTMIGVVGTPYYVAPEVLSGREYNEKVDVWSAGVILYIMLAGVPPFYGDTPAETFEAVLRGNLRFPTRIFRSISPEAKDLLRKMLCKDVSRRFSAEQVLRHPWVINGGETRSVADLT >KVI04783 pep supercontig:CcrdV1:scaffold_776:164318:167311:-1 gene:Ccrd_016901 transcript:KVI04783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKTDEGGEKGKQGNKEGKKEKLSVSAMLASMDQKPDKAKKVTSSSSTARPKPKAAPKSQSYADIDLPPSDDDEDDGEYLSEEDEHQKGGRKKPSRKERASDKVLEISVTDKELKKREKKDMIAAQAVEQAKQEAMKDDHDAFTVVIGSRASVLDGQDEADANVKDITVENFSVSARGKELLKNASVKISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDVLLVEQEIVGDDRTALEAVVSANEELVKLRQEVSSLLEAPEPVDENGNVVDDAADTGEKLAELYEKLQIMGSDAAEAQASKILAGLGFTKVMQGRATRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNEIIHLHDLKLHLYRGNFDDFESGYEQRRKEMNKKFETYDKQVKAAKRAGNQKQQEKVKEKAKFAVKEAKKKAKGKVDEDEEIVEAPQKWRDYTVEFHFPEPTELTPPLLQLIEVSFSYPEREDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLNPTEGEVRRSQKLRIGRYSQHFVDLLTMGETPVQYLLRLHPEQEGFSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEEKSEIWVVDNGSVEAFPGTFDEYKEELQREIRAEVDD >KVI11052 pep supercontig:CcrdV1:scaffold_7761:8915:11673:1 gene:Ccrd_010542 transcript:KVI11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase-like domain-containing protein MMKEFHVNGGGEIMEEIEEEEIWSAPLSKQDQFPLLSLNHVSFICKSVSRSVKFYQEVLGFVLIRRPSSFDFQGAWLFNHGIGIHLLEMETTPRKKAVINPKDNHISFQCSDMDLIIKKIEELGIKYVTAVVKEGGVEVNQLFFHDPDGYMIEICNCHVLPVLPISSCPLTKIPSINHVDSSFYEKMRWTRQFCGEDEALMMDNLLVDMMGISF >KVI06725 pep supercontig:CcrdV1:scaffold_777:65410:70976:1 gene:Ccrd_014920 transcript:KVI06725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase MDTLKIFNSNVVIQRFFYSGNFVNQLKLTALSFSSTCPKKRSISASTAPVGTGGRHFHTAASNHRNTSCFSHMAAPTSDGHKEDVKDDRISRITSAIRVIPDFPKPGILFQDITTLLLDPVAFKDTIDLFVERYKDKDISVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGAVISQEYSLEYGTDKIEMHVGAVQEGERALVIDDLIATGGTLCAAISLLERVGVKVVECACVIELPDLKGRDRLGDKPLFVL >KVG17891 pep supercontig:CcrdV1:scaffold_7774:7903:11008:-1 gene:Ccrd_026544 transcript:KVG17891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MDAISWSMQAVSILDNFNRFSKYKISIGASSSNSRIRALKFTESASTQQVSDEMPLSTDSLAWNTVIQTHLGNLDYSKAVTTYHRMLSRGVRPDRHTLPRILSVSRLSGSLSLGKQLHGHAVKLGVSNDVYVTGALIQLYGHLDGNGAAKWVLDNSERKMSSVSWTLLAKLYIMQNKPALAIDLFNEMVESGAEIDPVSLTTAISACVLLKSLKVGRNIHQTARKHGLELDLLVSNSLMKMYIECGSIKDACWLFDQMPSRDAISWTSAIQGYVKNGLINEGLKLFRKMITDAKIKPDAVAISSILPACARMAAHKNGREIHGYLVRNTVPMNLKVENALIDMYAKSGCLEYASMIFLRMKSKDVVSWTVMILGYSLHGQGHLGVELFQKIPTIDIDEISYVTALFACCTACMVEEGMHYFNYIKSPKLVHCTLMVTLLARAGKFDEARAFVEERSIAKHAEVVRALIDGCRIHRNLSTGKRLIEQLCDLEPLNADNYVMMSNWYAQSGKQDMVDAWRETIRDMNLTPKIAYSWIEFRNKVHVFRTGDVSHPRSEGIYWELEFLMKNLEGKGFHWNPDFSFHDIDEERECVPIGHSELLAISFGLISIRSGTTVRVTKNLRVCHSCHEAAKYISKVVSREIILKDPNCFHHFKNGICSCQDLY >KVG17710 pep supercontig:CcrdV1:scaffold_7776:3803:4421:1 gene:Ccrd_026545 transcript:KVG17710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e IYRLIWIQAILDQLPLLDSVLHPSLITSLFRLALXVRGVLTVSRTPWLAVLLLLPRPSELPEWTDIVKTATFKELAPYDPDWYYIRGLSTTSIIFTAL >KVG17605 pep supercontig:CcrdV1:scaffold_7779:11392:14376:-1 gene:Ccrd_026546 transcript:KVG17605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MEDTQSVATLLESTTSKIQQLQKAFAELESHRAVTLNLKWKQIEDHFHGLEKSLKRRFTELEDQEREFETKTTQSRQMLEKRQTAVMGKEEASLERLQEKRDAAVAAITNALGKRRNGHVEPATVNSEEQGGASIVEEKPPDAMASECKMDDLKMLSDSSDVKALTNPQLAKLCEENDAQGLHKFISDNRKNLASIKEEIPIALKAAADPGGLVLDSLSGFYISENSNMDGKKDSNLLGQRRTCIMLMECLSILLANLDENFVSKVISIDVKERAKAIAEEWKPKLDDLDVDASNGNSLEAHAFLQLVATFAIDSDFLQEDLSKLIPMVSRRRQTADLCRFLGLSEKMPGVIDVLVNSGRHIDAVNLAFAFELTHKFSPAVIKCIEDHKLEDQYPLVPLQKRILQLEKAKADKKRATEVAKPQPKRPRANGIAGYAPRNTNIAAVDKNFYGRMTDRYAPPTPYVYDNRPYAYPGPADNHIPVYMGTTGYNMAPNHGNYFGSGYQYQAPYLH >KVH95040 pep supercontig:CcrdV1:scaffold_7780:14855:15674:-1 gene:Ccrd_002892 transcript:KVH95040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein VFGSIIGVRLILDAFPQIGRGPQLTVSLYQGALTEGLLTFAIVLISHELSSKIPGSFFRKTWIGSVSKLALHILGSDLTGYGYGYGYGYGYGYGYGYVGSFG >KVH95039 pep supercontig:CcrdV1:scaffold_7780:7787:11066:1 gene:Ccrd_002891 transcript:KVH95039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin/ribonucleotide reductase-like protein MATEMMGLLKPISKIGYYGTTTRTILPQRVSTIIRMSAATTTATKGGGGGKNKKGIKETLLAPRFYTTDFDEMEQLFNTQMNKNLNEAEFEALLQEFKTDYNQTHFVRNKEFKEAADEMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWARFFCLSVYVTMYLNDTQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMAEINSKILAIGETDDIPLVKNLKRIPHIAALVSELLAAYLMKPIESGSVDIAEMDPQLVY >KVH88544 pep supercontig:CcrdV1:scaffold_779:82856:83977:1 gene:Ccrd_026548 transcript:KVH88544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKVSIRERQKEFHANMLVACQGNETIASLKVDLHSLFCNYLREVHHPKAYEMLQLQXEIVDMDWRTKKNFVDCRVFAMRHMETCFGSKLKDWKCGLVKEGTKNKAQEKQVNDLRKKYTAKILLSDLNIVKCKVADEVKEWQGYDVKEQNRLLEKPREELEK >KVH88541 pep supercontig:CcrdV1:scaffold_779:167303:171029:1 gene:Ccrd_026551 transcript:KVH88541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein-like protein MVEILEQQDDPIMLCREDDFAKGKRKACELREKYPNVYGRIKYGDIYPFKDDELMDLGRKMIRDRMNKFLSKKELDDIEDAWREYNDFQMDRAIKLHLLCKKQFQLIVKATTLYQAYMSTSLLLERSSLGQNTYFPEAVLQVPPNPSMAEARKEAEMVMFGAIDELLAKTGVKAKDIGILIFHYGVVAGEHLLKDQIKSVQKQEEKPKAKPETVDKVCSIVRKQLALPEDSAVTGESKFSSRGADSLDTINFDVDLSSKIVVDVIDFQNGLIFQKSPSKXAGAFFKMPWPRGXGKSQRNEAN >KVH88545 pep supercontig:CcrdV1:scaffold_779:171281:175004:-1 gene:Ccrd_026552 transcript:KVH88545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine MGLNMKVRDVLIDGLWCWPMEIWSKYGEILQLYLPPLIDGTKDKIRWKGKNNISSDFTVANVWRDIRYEENEVNWANMVWFSHGVPRHAFILWLAFRNSLRTKDRLGMWSMNLDPLCVLCENGMESHEHIFIACTYSHDVWNNMGGLKGTGDLMNILKRNDLSWDNFVGLMSNIPTSNSIWSIFQRIVLAAVIYFIWQERNIRMHGGEKRSAGVLANQIVEIVKLRLLGLKIKNNAQTLWMFTLGNLKIDLDHCWWINGIYNEQTDLGGDWIERFHLLAYENPWVGVFGEGYFISLCWFSWGFGIGYWVEDIREWRVEEGGTYWSFGVTKSIREWFFVSLLDLNSILGLGISLYHILKRWGGLVNLKHHPSDMDVWYPSLWIPSTSFRTEWWSQSQCLYLVVYSHEIHLSEPKKGGDGKSRGLFFNGHDRSGISILSLCCCRWLTKTDRNLRCPISLPLCMDSEILAIIDRFTHCDGWISSFSWWIARYFFQQDNLFRTLEAVLCFLWRMEFVGSSLMQTGAVSNVALSFNRIGKVSNSKEMLTENRFRFQRRGCVRDEWGNIKEMDYEMGIILGLKVVFNWAAYLNFWWSIILFGPEDMGLKGTIFSALDHTLGSKLIFSWAAYSNLWCSFAFFGLEDMDWKLIATEDYNDMEAVKCRMEDCGSRDEECLKRRVLEEAHLDYIYTQHHRP >KVH88546 pep supercontig:CcrdV1:scaffold_779:144250:149672:1 gene:Ccrd_026550 transcript:KVH88546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MFLEVAASLHIRNRLASKCPSIGTKKIRLEQEDKGVPSTTIREISLLKEMEHENIVRLHDVVHNDKRLYLVFEYLDLGLKKHMESCPKLSKDPHLVKVSNLFMPPRGWCVP >KVH88543 pep supercontig:CcrdV1:scaffold_779:115541:120760:1 gene:Ccrd_026549 transcript:KVH88543 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MLRLSKEDEKQVKEFHRLHEEAAKRGFGRLFRNPSLFEDVVKSLLLCGCRFQRSLEMAKGLCKLQKWLGKKCKWGNFPSAQELANLESEELLRNKCKLGYRAGLVMCLAKAVTDGEIKLLDYELNNMQLGDEESMFQKLKTIKGFGDFVTCNVLMCMGFYDRIPIDSETIRHIKQVLVFDKLQERVRERVEKETKRRKHLADDLSTSKEITSSSRREDLKPLFEDRLESSYFFFVSIAFSFDI >KVH88542 pep supercontig:CcrdV1:scaffold_779:78021:80763:1 gene:Ccrd_026547 transcript:KVH88542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETSSFMSSSQPMVSKRILRPRILKPLPAKEAVQKRKSTHTTKNEKNLKLARVANEVEDEVVQVLRTRSSPLQLCLAIQNFQIE >KVH90923 pep supercontig:CcrdV1:scaffold_78:559462:559818:1 gene:Ccrd_007029 transcript:KVH90923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MKPFIFLLFSSILVHTHFKISSGVSILDPDLVKVDGFDSMGKRVCDGDEMMESESSRRRVLLMRKRYISYETLRRDVVPCGTPGASYYNCRANGNGIANPYNRGCQIITRCARDAINT >KVH90932 pep supercontig:CcrdV1:scaffold_78:330235:335077:-1 gene:Ccrd_007051 transcript:KVH90932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGGCISVANASYVRRTRNSLVNPISEDDLMPETPTKPRTPRFVRPARVLKESAGKDIFRRYKFGKELGRGEFGVTYECQNIATGEKVACKKISKSKLRAEIDVEDVRREVEIMRHLPVHPNIVSYKDVYEDKDAIYLLMELCEGGELFDRIVAKGHYTERAAAIVIRTILEVCHKHGVIHRDLKPENFLYANKSETAPLKAIDFGLSIFIEPGVRFKEIVGSPYYMAPEVLRRNYGEEIDVWSTGVILYILLCGVVAENLPDEQVTGLKQMFHEMDKDNNGSLTFEELKEGLCSIGDQPLDDPDVRMLMEAADLDENGVLNCDEFMMIAVHLKRISNDDHLRQAFHYFDKNKN >KVH90937 pep supercontig:CcrdV1:scaffold_78:139762:141438:-1 gene:Ccrd_007070 transcript:KVH90937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLSRIKSPLNPLLVKHKPPYIATVTQDILYSLLDHCSNGNHVYQTHGFMFTRGLDQDNLLLSRFIDTCSITGLLDHAISVFSHKTCKNIYLYNTMIKALLSNSRVKDAVFVYNEARVIGLRPDSFSFPLLLKAVIRLGKGGLSLGRGVHCQAISVGLDSDVHVGVALVRMYSCSGYVCDAKKVFDEMLVRDLAAWNAMVSGYCKVGEIETACALFEIMPERNVISWTALIAGYAQVNKPSEAVAFFRRMQIDGVHPDEVAMLAALSACAQLGALELGEWIHGYIDQHNLRKSIPLNNALIDMYAKSGNINKAMEVFESMNDRCVISWTTAIAGLALHGFGKEALDMFSRMERTHVKPNDVTLIAVLSACGHGGLVELGHWHFNNLLPRYGLQPRVEHYGCMIDLLGRAGCLWEAQELLNLMPFEPNAAIWGSLLAASRIYGNVELGERALQHLIMLEPHNSGNLSLLTNMYAAAGQWNEAGLTRKVMRDIGVKKMSGVSCIELNSRVHEFISGNRSHPQSERIFEVLSQLSRIMEVVGYMYIESWNLLDDISIQCDLV >KVH90935 pep supercontig:CcrdV1:scaffold_78:98469:99119:1 gene:Ccrd_007076 transcript:KVH90935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYITRDYGLQERLNAKMDLKHSQKHSLMTTFVIVLMALMSQEHRLVLLGNSIAEMLDIVPFQYFRLG >KVH90929 pep supercontig:CcrdV1:scaffold_78:316913:324839:-1 gene:Ccrd_007052 transcript:KVH90929 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MTIESFPGSSGYIDSGVDKKITYFSNTYVLGLTVIAGIGGLLFGYDTGVISGALLYIRDEFEEVDKSSFLQETIVSMALVGAMIGAGGGGWINDTYGRKRATLLADVVFALGSFVMAAAPDPYVLIFGRLLVGLGVGVASVTAPMYIAEAAPSEIRGGLVSTNVLMITGGQFLSYLVNLAFTEMGRSISIEPSDVTNACGLPRNGAFSDLVPQMHAEQVPGTWRWMLGVAAVPAIVQFVLMLLMPESPRWLYMKKSKSEAIVVLSKIYDPYRLEEELDQLSSTLEEENQRKNAFTGINTVMYYSPTIVQMAGFSSNQLALLLSLVVALMNAAGTVVGIYLIDHFGRRKLALSSLFGVFLSLILLSAAFFLQSSGSTNVGWIAVLGLALYIAFFAPGMGPVPWTVNSEIYPQSYRGICGGMSATVNWISNLIVAQSFLSVADAVGTEWTFLILAAITVAAISFVFVFVPETKGLTFEEVEKIWKEKAWGSSHGTESLLENGDES >KVH90930 pep supercontig:CcrdV1:scaffold_78:305609:307383:-1 gene:Ccrd_007053 transcript:KVH90930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 MGSCSCASISSIPERIFHEEQYSELEFSDETAIDHQNRSAFFKYEDSWSKNNSSIRRKKNQVFLEGYVETSDEDDLVRAKSLTDEDLDELKGCFDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEQQKSPEVSSPRAAEPLNETASPPPPPPPPPAPIANWKISSPGECF >KVH90918 pep supercontig:CcrdV1:scaffold_78:510144:510524:1 gene:Ccrd_007035 transcript:KVH90918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MSRSTILTFSLVIFFLFVSSCVVNGIPGGRTKIEDVKTNKEVQELGSYSVDEYNKLQRSQKGGAGDLKFSKVVSAESQVVSGIKYYLKIEAVSKSGVSKIFDAEVVVKPWMHSKQLLNFKPSPARK >KVH90915 pep supercontig:CcrdV1:scaffold_78:542173:544912:1 gene:Ccrd_007032 transcript:KVH90915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGLRSRKRKQHPSKSDPIGGIFTRSKEQIYLHRHRSGYARADSVRNRNVIQLRRTTPKSLVSQKASEATSDQITNQVSVKDLRARRVFSPTTISDEVVGVGTVGLEADLDVRESNSGKFNVLSSEGDAVCSELGVSDSVVNDLKARSENVADIYSIRESNVMEVDEFVQMTPTIAEPDQNKEVGEKNGMISVANEIIDAKGSMSKSQYSATSLRGRRRVFKSPSSFSYRRLLPYLKDFGKDDSPNFEIVEATLPEKVQKSSNMSPNKAACKDQEIDAVSDCSKLADGAFNNVKNSEKQYSNSVNPNSIRTIESSGSPDVGQKSHEDVFNHTLEEPEQTTPPDSDIYCKPKIDKSCGVLVKPTDAGTVRNCSNEGNDSISASKLVLKPCSRMKELQMPTSFSHRRLLPFLMSVSRDDSCASKANQSLKLGKAMEQKQQPMLFSQRISMEDSKTNGNSGQPSTADEKSDSPTSTLIPIDASCDRIKTDGLVSSEIVMDIDETDMECSRSTSQLDVKLQAEAVKFDSSIKLEQELPKSNSECVEEPTSKAISLCSTTRLETLSNIGTEHSIDTTNDFMRIRNSNSEEKQNTVNEITHQSSTQIVPLKSPGIEGENFRNGILKRTPRGCRGTCNCLNCTSFRLHAERSFEFSRNQMHDAEEVALELIKDLAHLRNILERTASDSNYFATLKEEQVPDPFII >KVH90902 pep supercontig:CcrdV1:scaffold_78:203407:212352:1 gene:Ccrd_007066 transcript:KVH90902 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MKKAFDQTFRDIKRGVNKKVLKVPSIEQKVLDATSNEPWGPHGTHLADIAQASRNYHEYQMIMSVVWKRINDTGKNWRHVYKALTILDYLVANGSERVIDDIREHAYQISTLSTFQYIDSTGRDQGSNVRKKSQSLVALVNDKERIQEVREKAAANREKFRTSGGGMNRPYDDDRYEGRYGSRDEDHNGYGREKEWGDDRYGRDGGRYGEDGYRDDEYRGRSRSIDGDYGPRSRSSDRERERAFEDDGHHSSRGSNVRAEDGPLDGSRLEPKLSEQNLGAPPTYEDAVGEGRSPVVHSKRDGETATAFASNPQETVVSIKSAAPTPPPPAATPPPPASTPPPAATPPPAATPPPAAMKQEDEGFDLFDPRGASPGKTPFDDPFGDGPFRAAPSTDGYLAQQSPSPFTDEPPNSVAPTSGNNSGFGDTFDQTIDILADILPPSAPSQTAFQAQPDQLSTQTIFSSQTGQPSLQTGYAPQAGHPSSQTVFSAQPNQTTFPSSFPGQFGQPTLQPSFPSQGGPPMPHHGFQPPGAQSILQPGFSPQGGQPMPQPAFPAIGGNPPMPQPSFPAQGGQSISQPGFLAQGGNQSMPQPSFPAQGGQSVLQAGFLAQGGHSMLQPGFPAQVPQPGFAAQGGHSMPQSGFAAQGGQSVLQPGFAPQGGQSASMISGFSQAGFPTKTNPLSDIGIDFEAINRKEKRMEKPSKTPVTSNVTMGKAMGSGTGIGRAGAGTLRAASNPMVGSGMGITMGAPGPRPGFGAGYGGVNQPMGMNNMQQNMGIGMNIGQGFQMQQPPAGFPLGSAMPANYNPMLGRGAGYGQQPYGGYR >KVH90931 pep supercontig:CcrdV1:scaffold_78:345829:350981:1 gene:Ccrd_007050 transcript:KVH90931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLISQCLPGFAHDRVGQSVSSASDREMHLSSPAVEILPSKMENPYTYAGDSIDLQGVNILKGRFSVSDLIGSELMPSKADGSLKSWDSSFDLVNLLKHEIRDGQLSFRGKRVLELSCGYGLPGIFACLKGASVVHFQDLNAENVRRTTIPNVLANLQQARDRESRQPESSLTPSRQTISPMVHFYAGEWEELATVLSVVENDLELPKATNLSFSEEDFMDGCSSQDGSIIGQETSSRRSRKLSGSRVWERASESDQVEGGYDVILMTDIPYSATSLKKLYALIKKPPYGVLFVATKKHYVGFNSAAKQLRSLVDEEGVFGTHLIKEMTDREFWKFFHK >KVH90905 pep supercontig:CcrdV1:scaffold_78:227182:230022:1 gene:Ccrd_007063 transcript:KVH90905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MPKLSPSPASPPPPPPPPQNPLRRNPLIRLFFLSIICSISYIIGSYKSAINTSTNLQENCSDLTTISTNLSHQNTPPPLDFEPHNHLPMPPLNPPQKFKICPANFTHYCPCQDPLREGRYKVEKMLHRERHCPATAGEILRCLVPTPVGYKKPFPWPKSRAEAWFSNVPFKRLTESKKQQNWVRLAGNRLVFPGGGTSFQKGVKGYIDLLKKMVPLQSGLIRTALDTGCGVASFGDSLMDYNILTMSIAPRDVHEAQVQFALERGLPAMLGVLGTYRLPYPSRSFDMAHCSRCLVPWTEFDGLYLMEIDRLLRPGGYWVLSGPPINWKVNYMASDGTTKDPKKELRRLEDLARRLCWKKISGKGPIAVWQKPNNHLHCIQTRKDSKSPKFCGVDDDPDDGWYRKMDSCITRLPKVDHMQNISGGVLENWPKRLNSIPPRMGSTETKANDAIISHSKAGFGLGKKDFLDDNLLWKKRVSGYVSVVKSLATGGYRNIMDMNAGLGGFAASLSEYPVWIMNVVPHDANHRTLGVIYERGLIGTYMDWCEPFSTYPRSYDLIHADNVFSLYKNKCDIMDIFIEMYRILRPKGTIIIADHVDIVVKVKSHIDQMAWHSKLSDTEAGPFGPRKVLFVDI >KVH90919 pep supercontig:CcrdV1:scaffold_78:492026:499531:-1 gene:Ccrd_007036 transcript:KVH90919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMQPRNPILRENSVDTPPSNPSSIKQTKSSSRKQKSSKENAPPSDINAIPDSSPSFTGKPSPVAAGKLKSPLPPRPPPSNPLKRKLSNDFPLENGVAGSSDTGVKVIVRMRPANKNEEEGEMIVQKTSGESLSIVGQPFTFDSVADGASTQASFYIFQLVGAPLVENCLSGFNSSVFAYGQTGSGKTYTIWGPANALLEEELSSDQQGLTPRVFEQLFFRITEEQSKHADKQLMYQCRCSFLEIYNEQITDLLNPAQGNLHIREDTKTGVYVENLTEESVSTIKDVKQLLKKGLSNRRIGATSINLESSRSHSVFTCVVESRCKSMDGLSSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEQMQDDVNTLREVIRQLKDELLRMKANGNQADPSTGYSTGWNARRSLNLLKFSLNRPMMLHQMDDDMDEEMEIVQEAEQVLSAATEAYNNMDTGRYGTLTSDFKLNMSGSRIINNKDSDADVNMKEEMSELIDKGEVTVVQSGDIDKRGSDCSKINTASHSIRVAGDDEEISVHCDEDSLGKANDASYPSTTNLLNKEQECIPVCPPPESANDVSMDDAGNDTSSSNDLGDRVTPNLMIVPSEVTLVLKSPTPSVSPRVTSSNRKSLRTSSTLDASQNELANNISEAIMFSHAKPSKSISAFAQTDRLAASLHRGLEVIDKHRKSSALGRSSFRFSYKPVSCEPTSIRKDVGVQTLSQEKELAEEKPIPFLCSNCKCKSSEVVEDGNDGSNLQLVPVDDSQLVDKSRQLVPKVVEKVLAGAIRREMALEEFCTRQNFEIMQLNRLVQQYKHERECSSIIGQLQEDKIARLEKLMDGVLSAEEFVDEELTSLANEHKILKEKYENHPEILRTETELRNVQDELERYKNFHDMGERDVLLEEIQDLRTQLQSYIDCSPKLSQKQSTVLQITYPCESDGAPSLSTIPESTVESAEQRFESERIKFIEAESKWISLAEELKCELEASRLLAEKQKQELDIEKKCSEELKEAMQMAMEGHARMLEQYADLEERHINMLIRQRRIQDGIEDVKKAATRAGVRGAESKFINALAAEISALKVEREKERRHFKDENKGLQAQLRDTAEAVQAAGELLVRLKEAEEAVAAAETRARDAEQETENAYKQIERLKKKQKNETPPPVYDMPIYDGDESNITFDQQPRDEFYNIDKEEFTTLPEPSSWFSGYDRCNI >KVH90943 pep supercontig:CcrdV1:scaffold_78:662:3028:-1 gene:Ccrd_007084 transcript:KVH90943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MATTRNTPLLVLFMLNFILIPSFSCPLHHKQALLNFKSNLTNFLHFNYDMNXHPLPSWSHNSDCCSWDHVSCSKTRTVTELHLSNITHTFDNPTAVFFDVLTPLFHIRSLKLLDISGNSFVGEILGDGFGNLTQLVHLDMSENEFKGSIPYQLFGLTNLLYLDMSLNRFEGNFPPEFWNLTSLRVLRLRDNQFSGRIPSSIANMKDLEILDLSRNSFSMKIPSGIGSLPNMTTLVLHNNQLTGLIPSSIQNLSNLQTLLLQENKLTGEIPTWVFNITTLKSLFLGGGKGNKLIWNDKAKIVPRCNLTHISMPSCGISGQIPEWISTQKVLYYLDLSMNQLEGRFPYWLTEMDVVHIFLSNNNLTGSIPHRLFESTSLYILNLSKNNFSGKLPDNIGNATFMSVLMLSRNNFSGQIPISMSNMHELVILDLSGNKFSGHNLPVSSNNLRLYYLDLSYNEFSGNIPTTFPINTGVLSLGGNKFSGKLPWNFTRLVNLGHLDLHDNEITGYFRDALPESPYLEVLVLRNNSFEGSIPTTISNFTNLRILDLSENKLTGSIPQEISNLTRMIEIPQPTFNSMSLELNIVMYGIKMDFFIEDLIVNWKNYFQGLSSRSLDIYSLLDLSNNRISGEIPTSLGNLKSLKALNISHNIISGHIPVSFGNLKGIESLDLSRNKISGTIPVSLSKLGELTILDVSNNKLTGKIPLGRQMDTMNELKYFANNSGLCGMQIMIKCPEDIPPSEGREEAEDYEKLSWIFWEGTWIGFPIGFFSSILIMGYLLNFLGVCKFW >KVH90901 pep supercontig:CcrdV1:scaffold_78:154220:201015:-1 gene:Ccrd_007067 transcript:KVH90901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGLRKHNSRIQKFISQHLSDYKYTNAFKVKEVTVNPQDHLAHLWLDKTSPSIPWPCEPSTAKLNKNNGMLQYQCTIFTDVGYNSSKQDLRGTSNKAARTNDKKYVSPLQLFPNFRRPTPIPSPPSAAFPPSLFKHRRETPPSNTSDSISLLAVSLFVHLFIFIAYFYGATTTAASNLAFCVSTSALPLRQIQFSRLRIIDRIVSDAITQQLDPQNEMFEGLVRQLILGYLGQYIKDIHREQLKITLWNEEVLLENVELILEAFDYLELPFALKQGRVGRLSIKIPWKKLGWDPIIIMLEDILVCASQREDEEWNTNDVERREFAGKKAKLAAAELAKLSQRVCDNQTGNSFTSYITAKIIDGIQVSIKNVHVVYGDMSNDKVRAGQVNKLVEIQGLEIYCRTIHGSAKDLYTGNGEDSMSVAAASFHSDEHIHLLAPVDVSASLSVLSLNEDQLQQILYLYDYLCVCRLREKYGRYRPWRSPISERPAGWQIRWWHYAQQSVLSDVRKRLKRTSWKYLGERLQLLDDAIVSELDQMEKVSDIDDILSYRSAAERELQEFLVDSASCVGDNEANTTNDKSLDDDQPSSKPQGWLKWLSRGMLGAGGTDDSSQFSGVVSDEVIKDIYEATKFHPAPSPVLDAAGSDGILLSSIKFSIDRISAALRNKKFARAIAEVVFEGNLVECMISEESAVVTASINTVEMINPLNEQVISEEQFLEAGKPSLNIHAYIPKENREGESTLRIHIVNSKENHVYVVVAMLLAHLSPSIIGSVLELVESVNMLHLPSHLGTTATTSSSDMDLNESKNFSTFSISVIANLESASVIIDLENGLEASCTLTVYLQDLDMRFIVTESTTGCWICARALKVTSRSLKSGDDLIICLSENKISTHSSQQHETGVRFCHEDGLNGLANGCIMLHYGGGLIHHRCSIWLTDVDLHCYPYITGLLVEFFDKLSKYSPSHAAKNQGFVGSNSMLSGSYFDFQRFGCSNIFETSTSDWESISVDHYPFITIYNDRPLLNLESSLIDISPDWKKALKIRETKINSSKFSEKKEFQNLYTQLNSIAGTHGVHVPSDLEQVGLVVINLHISSVRLHLHDSSYIVASVTLPAAKSSLAIHNDCLDVFCSTEGLNLSSSWCSQTLQDSLWGPASLNLSPVINIRVRKENLGSAGSLIGLNFRIQNVSCILPPEFLALLIGYFSLPDWNSHAKESPATNDCKDKDTGDSISFTYKFEILDSILFIPVVNADYQFLKLDIPQLYCTFIENCDSDTLLKGIPSECSVPAEFIADQNHCLNLFGRDLSLHHLLRKDDASDSLKFNPSSEVRSVSLIAPFGGDIWIRIPYSSKSPCATASSSIYIMLRILDCQLIVEGNDVIGSFGALQDVIEEFSSVENLSRCFTSDVLQFFQLKSSYKENGLLPIESSSVAFTEIKCSVQSMSVKLYSQQIELVGYELIAKAHMKFTCALSLKNGNPLRLDMSFISLTLSSLLSSVVLVECTSSSQNVSVLHVNAFLSDEGEYQLQFSLPCVNVWLFLSDWSKVIELLSFCIQHPKTAIWDEESKKSTLDPADRVDTLENSSPSFSVSSHLHYEDIMQHPFSLIVKSDDIGIKICIPVQKHLDILGHPKFTELNINGQKAKLKSNLWKTTGTVELRENKRVHSWPLFQLLQVDVEANVGNDEMEHMHLKAEVHCDNVDVWLSNHTFYFWQTMLFVFPEEAGSPQLPMCGVDFRFHLRKLSLLLTDQKWSSNGPLLEILMGSLLFHGIITENIMEGSVDSELQVNYNNIHKVKYLLKHPVILVLLVLWEPFLEPWKFQVSLRREHGKSALQNSPLMTDVHLESAKNLNINVTESFIEVAFRTFDMIKDAWDLMELNVFTENSRLTGIGTNENALASRYAPYTLENLTSLPLVFYISKGSKSADDFDVSSLKDGKYVQPGSSYPVYIDDAPDEQIYRFSTSHSSDSLGDRQFADAQHHYIVVQLEGTSMLSTPISIDLVGVSYFEVDFSNSSTNIVDSIGDVYKGVKEFEGSKRLYTNSGYVVPVVIDVSVQRYTKLVRLYSTVILLNATSVPFEVRFDIPFGVSPKILDPVYPGHEFPLPLHLAEAGRIRWRPLGSTYLWSEAYSISNILSNESKIGYLRSFVCYPSLPSSDPFRCCVSVHEMCLPSIGKINKGSSLYIHDALKQSVENSKVDKIENQDRSNMRCIHLVTLSNPLIVKNYLPIAISLVVESGGVTRSMMLSEVETSFYHIDSSHDLSLTFHMHGFGSSVLKFPRAEKFSEIAKFSGTKFSSSESISFSSDTFKGPLYVTMEKVMDAFSGAREICIFVPFLLYNCCGFPLIIANSTSELTKRGCTVPSCYNLDEQDPFLGEKNGLGLLSSGQVLHNDGMRRFPLNNNLVSTRKSLDIYHGKFLKEPFSSSGSSTTIHGGSDKTNIDGPKFSIYNQEKSSVSSSQTDVKQIEFDESNRKKVNFCMYSPDPNISSSEIMVRVSRCQSEINVESTSDYTWSNQFFLVPPTGSTTVLVPQSSSNASYVISVASSAVDGPFSGRTRIINFQPRYVISNACSKDLCYRQKGSDFIYHLKVGQNSHIHWTDITSHHLLSHACLLAYGRELLVSVRFNEPGWQWSGCFFPEHLGDTQLKMRNYVSGAVNMVRVEVQNADDAIRNEKLVGNPHCDSGTNLILLSDDDTGFMPYRIDNFSKELPSVPIVRGAGFDLQDYVITFVDMLQRIRIYQQKCEAFETVIHSYTSCPYAWDEPCYPHRLTVEVFAERVIGSYTLDDAKEYKLVCLPATSEKPERKLLLSVHAEGALKVLSIIDSSYHVFDDIKSPHSPRLYDQKQESSVLYKERLSIAIPFIGISVMSSQPQELLFACARNTMVDLVQSLDQQKFSLKIFALQIDNQLPSTPYPVILSFDHECKQIPTSHIRIKDDSLRVKGERKKQCVSDSSCEPVFSLGAAKWRNKDRVLLSFEYINLRMTDFHLELEQDVILGLFDFFKTVSSRFNSRAMPLTDSVQHPLSSNFSVNLTSKFSETHQTEKADGDLHSNSVPMFVDSQSCPLLPSIMPIGAPWQKIYLLARKQKKIYVELLEVAPVTLTLSFSSSPWMLRNGILTSGEYLIHRGLMALADVEGAQIHLRRLAISHQLASLESIREILIIHYTRQLLHEMYKSPAGLITGMAQGTTSLLSNTVYAISDAATQVSRAAHKGIVAFTMDDPTASEMERQQKGMSSHGKGVINEILEGLTGLLQSPIRGAEKHGLPGVLSVTEKTARSIRNRSRLYHMGSQRLRVRLPRPLSRDHPLRPYLWEEAVGVSVLADADTDADIGDNLMLKDETLVLSKALKQGGKFVIITERLILIVSCSSLVNLGKPEFRGVPADLDWVIEAEITLDSVIHVDNDEEVVHIVGSSSDVVLRQNQNQHQQKRGVRTLGKQRWHNTPTQLPLFQTNLECSSKEEAVELLKVLLATIQKGKERGWGCVYRLHQRNIK >KVH90951 pep supercontig:CcrdV1:scaffold_78:408707:409550:1 gene:Ccrd_007045 transcript:KVH90951 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MVSTISRGTEFWPAKAIYGNLVLRTVSAWNLEPIAEPRVSMAYLPPEILEQILIRLKVRDLIRCKSVCKSWLSLISDPCFIKAHLKHSYERDRNDEKIGERRIVMSKYRGFNIYETFDVDEGLYDFYKLHMLGSSDG >KVH90922 pep supercontig:CcrdV1:scaffold_78:406133:406604:1 gene:Ccrd_007046 transcript:KVH90922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSPRNRLITWYVTFFGYGLGGRIRDSFVFPASGFDSFGIPVRLCCMLRVPLMNCRTSV >KVH90941 pep supercontig:CcrdV1:scaffold_78:56232:73562:1 gene:Ccrd_007078 transcript:KVH90941 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH domain-containing protein MAAVAPSSDQAADLLKNLSLDSQSKTLEIPEPTKKPSVDTADAGNAQNQPLDRSVTPLIPDFMDPTVAYFPNYASTAYYYGGYDGTTNDWDDYSRYVNPDGVDMSHGVYGDNGSLMYHGYGYAPYGPYSPATSPVPTVGHDGQLYGAQHYQYPSPYFQPMTPTSGPYSSAPIAPKGEITTSAPADQPSLTVDTANGNSNGIVNGVGIKGATGPTPVRPTSYQNSGFNANGSYGRGVQNGYQDLRYGFDGVHSPIPWLDSPLYSDGQPRNNSNIAPVSNGNGVASRNQNLRPHSHLMGLQSPRPMSGVNTANGYMNRMYPNKLYGQYGSTYRSGYGYGSNAYDSQGNGRGWLAVDNKYKPRGRGNGFFGYNNENGDGLNELNRGPRARSVKNQKVLTPVTLAVKGQNITFAATDAVEKETEVKEKVSVTPDTEQFNLPEFPETYADAKFFIIKSYSEDDVHKSIKYNVWASTQNGNKKLDAAYQEAQQKSGRCPVFLFFSVSILSQHNFDVLFTINRSCSVNTSGQFVGVAEMVGPVDFNKSLEYWQQDKWIGCFPVKWHIVKDLPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLQMIKIFKEHSCKQCILDDFDFYEDRQRRIQEKKAKQQQFQKQVWEGKPATDDKRKESSIKVEIVPPKTSDIGQEIAKEDASGVHINGEVKLAEKKIVNLGSAAPTEADPSFGLEKECTQEVKKSINLSSPIMAAVAPPADQAADLLKNLSLDSQSKSSEVPESAKKPSADSVDNGNGQSQPFERSLTPLIPDFMDPTVAYFPNSYASPAYFYGGYDGASNDWDDYSRYVNQDGVDMSHGVYGDNGSLMYPGYGYAPYGPYSPAGSPVPTAGHDGQLYGAQHYQYPSPYFQPMTHTSRPYPSAAVPPKSEMARTAADNQPPLSVDTTNGNPNSIVKGNTGPAPVRPATFQNSVFNSNGSYGRGAQNAFQDPRYGFDGVHSHIPWLDGPIYSDGRPRNNTNTASLSNANGFASKNQNYRNAYGSGYGYGSNTYGSQNNGNGWLAVDNKYKPRGRGNCFFGYSNESGDGLNELNRGPRARSTKNQKVPTRVTIAVKGQDVPSAAIDGVEKETEVKEVCAVPDREQYDHLEFPETYADAKFFVIKSYSEDDVHKSIKYNVWSSTQNGNKKLDAAYQDGQFVGVAEMIGPVDSNKSLEYWQQDKWIGCFPVKWHIIKDVPNSLLKHIILENNENKPVTNSRDTQEQCILDDFEFYEERQKRIQKKKAKQQQFQKQAWEEKATIVEKKKDEVIVAENGEVAEKKIVANGC >KVH90947 pep supercontig:CcrdV1:scaffold_78:452339:454701:1 gene:Ccrd_007041 transcript:KVH90947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAKVPRIKLGSQGLEVSGLGLGCMGMSAVYGPPKPEPDMINLIHHAIEAGVTFLDTSDASASTIRRAHAVHPITAIQLEWSLWSRDVEDEIIPTCRELGIGIVAYSPLGRGFLSSGPKMTENLTEENLEHNKALYERVSEIAAKKGCTPSQLALAWVHHQGTDVVPIPGTTKIKNLQQNIGAFSVKLTPEDMEELESIASNDAAKGERYMDGFPTYLKSDTPPLSSWKA >KVH90924 pep supercontig:CcrdV1:scaffold_78:562126:564441:-1 gene:Ccrd_007028 transcript:KVH90924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase MALTVEKTSSGREYKVKDMSLADFGRLELELAEVEMPGLMSCRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEFAKTGKLPDPSSTDNAEFQIVLSIIKEGLQSDPKKYHKMKDRLVGVSEETTTGVKRLYQMQANATDVMIAGKVAVVCGYGDVGKGCAAAMKQAGARVIVTEIDPICALQALMEGLQVLTLEDVLSEADIFVTTTGNKDIIMVDHMKKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPDTKSGIIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYKKEVYVLPKHLDEKVAALHLKKLGARLTKLSKDQADYISVPVDGPYKPAHYRY >KVH90921 pep supercontig:CcrdV1:scaffold_78:382853:385381:-1 gene:Ccrd_007047 transcript:KVH90921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MNTNIWTTFWNSKSSDRSNEHVPTELTHGANFVVKWVDSCEACLEDGNKPCKCGTSNEKLQIVKNEIAETEARVVTGNSSFLQSVMNMIGMLIGLGQLSTPYALENGGWVSAFLLVALGFTCAYTSHLLGKCLSNNPKARNYTDIGHHAFGSRGQAIATAFIYLEIFMALVSYTISLHDNLNIVFLGTHIRFSWARHLSTSQVLTVFAVLVALPSLWLRDLSSISFLSTGGIIMSLMIFVTVACTAIFGGVAANHTIPTLRLHNIPHISGLYMFSFAGHIVFPDIHRAMKDPSKFTKVSIVSFTFVTLLYTSLGFMGAKLFGPGVNSQITLSMPRGLVFTKIALWATVLTPMTKYALEFAPFAIQLEHRFLYSMKSQTKMIIRGTIGSILLLIILVLALSVPYFEYVLGLTGSLVGVAIIIIFPAVFYIKIFWNQISKPILVLNMILIALGSVLGVSGTISSLKLLVGNFKRLHHYSS >KVH90927 pep supercontig:CcrdV1:scaffold_78:296968:304610:1 gene:Ccrd_007054 transcript:KVH90927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenyltransferase, alpha subunit MDSDEDDRIPLSQRPEWSDVTPVPQDDGPNPVVPISYSKEFAETMGYVRAVYLADERSHRALQLTAEAIHFNAGNYTVWQFRRLILEALNADLHDELEFVEHLASKNSKNYQLWHHRRWVAEKLGTEAAGRELEFTKKIFVNDAKNYHAWSHRQWVLQTLGGWEDELAYCDELLEDDIFNNSAWNQVSYAVKAILTKPENESSWRYLRGLYKNSMPSFVMDRRVSTVCLTVLTTKANCVFALSLLLDLLSNGFEPNQELKNAVEALLPESRDQDSDMAVTICSVLEIVDPMRVNYWRWRKKSLHSEVEEGVRNLRVH >KVH90953 pep supercontig:CcrdV1:scaffold_78:264449:274718:1 gene:Ccrd_007058 transcript:KVH90953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter MKQGMIECSVCHSKLVNPSAKTVSRAYDRHRSKISKKHRALNVLLVVGDCMLVGLQPILVYMSKVDGKFNFSPISVNFLTEVAKVIFALVMLLIQARNQKVGEKPLLSISTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMVMKRRFSIIQWEALALLLIGISINQMRSLPEGSSSMGLPIAMGAYVYTFIFVTVPSMASVFNEYALKSQYDTSIYMQNLFLYGYGAIFNFLGILGTVIIKGPESFDILQGHSKATMLLIVNNAAQGILSSFFFKYADTILKKYSSTVATIFTGFASAALFGHTLTINFMLGISIVFISMHQFFSPLSKVKEEENGVLELESVQSNHRDSAFINMAAGANEEASHRVEADERRPLLPT >KVH90949 pep supercontig:CcrdV1:scaffold_78:429489:430169:-1 gene:Ccrd_007043 transcript:KVH90949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQIQPPSTSIISIQISIQNLIKCCKRRQNWEFFSGSTKQTSNSKPPWRTHLTAFLESTPVHVIAIVLLLVDLAMVVLELSKTLVSCPKSTRINKNVDVWYHWVGIGILAILATKSVALVVGCGSSFFRRPGLVADGVVLIGALLSEVLMERIGVGLIVVVSLWRVLRVVESAFELSDEAIQVQITTIFCQLELIREENEKLNRIIAEKNTIIEELQEQLNQRL >KVH90926 pep supercontig:CcrdV1:scaffold_78:277491:279386:1 gene:Ccrd_007057 transcript:KVH90926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATGILYYVQRLWPFATSRDDDLRVSDGLVRGLEIPDETKRFVFAIREPESQAVIYILCAQNLSERSAVDAERLVRCVRPGAVVAQVNDAEFDDVQLLGDNGGDGEHSIPTSSLEVLMRCFLHKIGKDKYEDVAGSLVLKEIFGVGFNGHFLAAKRMAEEVGSSFLLLESPFVKLESQSDPSSEIESGNNFQGFGLQPSNLMPQKVGSMVSSSTSRYLISDDHVRSQMLKSLCSHLVQLSSDQNMGPVNIQPKDDYEVPQYARSVYPLLEDLRNIFVEIPAIERALGYAQKMLHDVSKGDTIDTRLLSEIYAFRVAVEGLRIALNNAGRMPISKTGNRQSPKMEFSSLPHEEQSHALLAHALRSQTKNFKSVVAIIDASTLAGLRKHWNTAVPPEVKNTVEELIDETGKDGETTNQSDKKRRLTNKPVVAVGAGATAVLGASSLSKVVPASTFIKVVTFKVPASLKLIMTQTQKLVSISISKFLGPSKVIAPSMFNSGLNTTSSMKAAASAEKIRTVVHSVIASAEKTSLSAMRSAFYEIMRKRRVRPIGVLPWVTFGCSVATCTSLLVYGDGIECAIESLPAAPSIACLGRGIESLHQASQAVEQTESSRIQKSIESLVSRFKKWKLAP >KVH90925 pep supercontig:CcrdV1:scaffold_78:284720:287013:-1 gene:Ccrd_007056 transcript:KVH90925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MLTAIKARKSKPPPHSFAGSFLYNGFHIHTATKTLTTPPPASTTVRNEHVTLLWTPSHSTMASPSTNPSNSPTGMKPAPEDEYLSNCTHLSRQQIIRRRSYNMKQLAKCYRHHYWGLMEELRVRYREYVWKFGMSPFQEEHNEEEKVNVKEEQVTDGIDEAIGAAGNGNGSNNSLLCVFHGCKLKAMTLTNFCQLHILSDPKQQLYKPCDYVIKSAQTGPITCGKPILRSIVPCLCHLHFQKAQQHVSRALKKAGLNIVNTNKVVPKFHVVVTEYVRIIQDRRKNASRANRKNVMPKLEIDN >KVH90948 pep supercontig:CcrdV1:scaffold_78:454944:461413:-1 gene:Ccrd_007040 transcript:KVH90948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane attack complex component/perforin (MACPF) domain-containing protein MDYSNKPIELMALDYLGLGFDVASDFRLKFAKRCPDGGRLVELNESRKRDIVLPGGGATISGVSEDIRCDKGDRIRFKSDVLEFNKMSELLNQKSSIQGKVPSGYFNALFDLSGAWLNDVAEAKYLAFDGYFISLYYLHLTATPPVLQERVKKSVPTYWNPLLLARFIQTYGTHIIVGMAVGGQDLICVKQKASSTISSAELRGYLDDLGDSLFSDGRSPSLIERKTRDGKQKGLTVIECKRGGDVFSDSHSKWLQTVGSNPEAMLFKQACSRRLAMFLRVSSSETMGTFILRATSEASKKKDYVPSASVLSDDKPVTGLRLFLEGKKCNRLAMHVQHLSSLPDTMTETPPNSTISRRPPRWRGTDEYDSSAQFLEPIRWNRYSNVCSSVVEHDPNWLHGEPPGGVFIATGAQLLSKGKWPKTILHLRLLFTYIPNCTIHKAEWGGAPAVAHKSSLFTNLSSTFTFTQRSVANEGKQLPTTLNSGVFPDSPPVPVRYMKLHKFVDTDEVVRGPHDSPGHWLVMGGRLVTDGGKIGLHVKFALLDFA >KVH90914 pep supercontig:CcrdV1:scaffold_78:546624:550365:-1 gene:Ccrd_007031 transcript:KVH90914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenium-binding protein MATEVVVLQHGSIDGTQVVNHSCCKGPGYATPLDAMAGPRETLIYVTCVYTGTGKEKPDFLGTVDVDPTSKTYSKVIHRLPMPHVGDELHHSGWNACSSCYGDSAASRRFLVLPALVWEKPGHSPLFGYDYWYQPRHKTMISTSWGAPAAFTQGFNLQHVSDGLYGRHLHIYSWPDGELKQTIDLGPDGLLPLEIRFLHDPTKDTGFVGCALSSNMVRFFKTSDGSWSHEVQNWILPEMPGLITDFLISLDDQYLYFVNWLHGDIRQYDIKDPKNPILVGQVWVGGLFQKGSPVVVENEDGTTYQVDVPQVKGHRLIGGPQMIQLSLDGKRLYVTNSLFSKWDKQFYPEVVEKGSHMLQIDVDTEKGGLCINPDFYVDFGAEPDGPCLAHEMRYPGGDCTSDIWI >KVH90936 pep supercontig:CcrdV1:scaffold_78:73795:78937:-1 gene:Ccrd_007077 transcript:KVH90936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta2-adaptin/TBP, C-terminal domain-containing protein MADQAFEGGQPVDLTRHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKKLIDLILVQYARIIQKLGFPAKFKISKHLGMQEYHKINDAAFLQIGTT >KVH90955 pep supercontig:CcrdV1:scaffold_78:36080:45356:-1 gene:Ccrd_007081 transcript:KVH90955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MATVSTQLSILSLFFILTSIPIPSFACPLHQKQALLRFKSTVTTIFKANSDPTSVDYIPFVELDSWNRSSDCCSWDRVKCSRTRNVTELHLDTVVPMFADPVPVYSDILTPLFRIRSLKLLDISMNSLQGEIPGDGFGNLTGLVHLDMMQNSFNGSIPGQLFRLTNLRYLDMSNNLLKGELAPQLFQLESLRFLDLSNNTLQGTLSSEVGKLRNLESLRLNDNSLSGSIPEEIGNLKRLRDLSLGKNNFSGGIPTLILHLKELQSLDLSRNSLSMQIPAGIGRLSNMKTLELSSNQLTGPIPSSMRNLTKLESLRLTKNKLAGEIPTWLFKISTLKELFIGGEGNNLIWNNKAKIVPRCRLRQISLPSCQISGQIPEWISSFKNLDFLDLTDNQLEGKFPDWLAEMDVGSIILSDNNFTGSLPPRLFQSPRLSILSLSRNNFSGELPDNIGNASGIMIFVLSGNKFSGQIPVSISNIYRLLYLDFSRNQFSGEVHVFGHNPLLGFIDLSYNEFSGKIPTTFPKEILMISLGGNKFSGDLPWNLSNLVNLELLDLHDNDVKGNLQDILPQIPTLQVLSLRNNSIEGFIPRTISNLTSLRILDLSENNLTGSIPQEMGSFARMINTPKNVLAPIDVFTFFIKFSDLVLNWKSSFQGLSSRYLNIYSFLDLSENRLSGKIPASLGNLKGLKLLNVSHNNISGLIPMSFGNMQSLETLDLSHNIISGLIPESLTKLDQLGILDVSNNRLTGKIPVGGHMSTLTESMYYANNSGLCGMQIRIKCAEDISPSKPKEKEDKNQSLISWETTLIAMAAVSTQLSILSLFFILTFIPIPSFSCPLHQKQALLRFKSTVTTIFKANSDPTSVDYIPFVELDSWNRNSDCCLWARVKCSRTRNVTELHLDAVVPMFADPVPVYSDILTPLFRIRSLKLLDISMNSLQGEIPGDGFGNLTGLVHLDMMQNSFNGSIPGQLFRLTNLRYLDMNTNSLEGELSPELGSFRNLTTLRLSVNQFQGSIPSQLFKLKSLRVLDLSNNTFDGVLSPEVGKLRNLESLKLNENFLSGSIPDEIGNLTRLREFSLGKNKFSGGIPSSIVHLKELESLDLSRNSFSMQIPAGIGRLSDMKTLELSNNQLTGPIPSSMRNLTKLESLRLTKNMLAGDIPTWLFRIKTLKKLFIGGKGNNLIWNNKAKIVPRCSLEHISLSSCQISGQIPKWISSQKNLVFLDLSDNQLEGKFPDWLAETDVGSIILSDNNFTGELPPRLFESPSLSILALSRNNFSGELPENVGNARAIMIFMLSGNKFFGQIPVSISNIYRLLFLDFSRNQFSGKVPIFGDNPLLAFIDLSYNEFSGAIPSTFSTETQMISLGGNKFSGELPRNLTNLINLKHLDLHDNDITGNFQDIWPQIPALQVLSLRNNSVEGFIPRTISDLGSLRILDLSGNNLTGSIPPEIGSLVRMIKTPDILASTDIFNFLIEFPDLILNWKSSFQGLSSHNLDIYSFLDLSNNRISGEIPASLGNLKGLKLLNVSHNNISGIIPVSFGNMKSLETLDVSHNEISGLIPKSLTKLDELAILDVSYNRLTGKIPLGGQMSTMNELKYYANNSGLCGMQIGSKCPEDISISPLEPREEEDENNSWISWEGTFIGFPVGFFLSILTMAYSLNFLHLFKFW >KVH90957 pep supercontig:CcrdV1:scaffold_78:10896:18821:1 gene:Ccrd_007083 transcript:KVH90957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MATTLNTPLLVLFMLNFILIPSFSCPLHHKQALLNFKSNLTNFLHFNYDMNXHPLPSWSHNSDCCSWDHVSCSKTRTVTELHLSNITHTLDNPTAVFFHVLTPLFHIRSLKLLDISWNWFVGDIPGDGFGNLTQLVHLDMSKNEFKGSIPYQLFGLTNLCYLDMSLNRFEGNFPPEFWNLTSLRVLHLRDNGFNGILSPEVGKHQNLETLQLDGNYLTGNIPEETGNLTKLRELSLQRNQFSGRIPCSIANMKDLEILDLSNNSFSMQIPSGIGSLPNMTTLVLHNNQLTGPIPSSIQNLSNLRTLLLQENKLTGEIPTWIFNITTLKSLFLGGGKGNKLIWNDKAKIVPRCNLTHISMPSCEISGQIPEWISTQKVLYYLDLSMNQLEGRFPYWLTEMDVGRIILSNNNLTRSIPHRLFESTSLYFLTLSKNNFSGKLPDNIGNATFMSVLMLSRNNFSGQIPISMSNMHELIILDLSGNKFSGHNXXVSSNNXXLYYLDLSYNEFSGNIPTTFPINTVVLCLGGNKFSGKLPWNXTKLVNLXHLDLHDNDITGYFGDALPESPNLEVLVLRNNSFEGSIPTTISNFTNLRILDLSENKLTGNLDRFSDWVLLINLDHGLLVEFSSALQNMKQALLHFKSNFTTIFNFSTSDNPIQSWSPNSDCCSWAGVSCSESKTVTELYLFSIRDLLDDSTTVFFDIFTPIFQIRSLEQLYISGINFVGDIPGDGFGNLTQLLHLDMSSNMFSGSIPGQIFGLTKLRHLDIGNNHFQGKLGSFWNLTCLQVLDLGNNMFDGVLSLEVGKLQYLERLDLRMNSLSGNIPEEIGKLTKLREFNLADNHFSGGIPSSITNMMALEVVDFSHNSFSNQIPANIGSLANIRTLDLSNNQLTGPIPLSIRNLSKLQVLWLQKNKLTGDIPTWIFNFSTVLESLFLGGGEGNKLIWNNKAKIVPTTNLMDISMPSCGISGQIPEWISSQTSLRVLDMSGNELEGGFPNWLAEMDLETIILFDNKLTGSIPPRLFESWLMVLHLSQNNFSGELPDNIGNATMMMVLMLSENHFSGQIPVSISNLHSLQLLDLSRNKFSGNYIPVFSDNPDLFYLDLSYNEFSGKIPTTISSKTQLLYLGGNKFSGNLPWNFTKLVNLRHLDLHDNDITGSFQDVLTESPHLEVLVLRNNSFEGFIPPTISKFANLRILDLSGNKLTGSIPLEIANLTRMIETPLHMSTSGHFSFKTSNFSDWEFKQTQIEIEDLIVNWKNYFQGLSSRSLDIYSLLDLSNNRISGEIPASLGNLKSLKVLNISHNIISGHIPVSFGNLKGIESLDLSRNKISGTIPISLAKLGELTNLDVSNNKLXGKIPLGGQMDTMNELXYFANNSGLCGMQIMIKCPEDIPPSEGREEAEEDDKLSRIFWEGTWIGFPIGFFSSILIMGYLLNFLGLFKFWKNPRSEMGAVLGPGECGDGGERSPEMGLGRRRSRIHGEGDGEVGSEEK >KVH90903 pep supercontig:CcrdV1:scaffold_78:212636:216086:-1 gene:Ccrd_007065 transcript:KVH90903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate/Phosphoenolpyruvate kinase MNMSTVCHHQPHPSSPVNFISNSKAFTLPRNSIHPIIAKNIVPCDHLSKSQRSIHTNSVIACSYGGNREAYDTTAESSPAKKLRQLLDSPGIHQGPACFNALSAKLVERAGFQFCFTTGFGISAARLGLPDTGLISYGEMVDEGKQITEAVSIPVIGDGDNGYGNAMNVKRTIKGYIKAGFAGILLEDQVSPKACGHTQGRKVVSREEAVMKIKAAVDARKESGSDIIIVARTDSRQALSIEEALWRSLAFADAGADVLFIDALASREEMKAFCGGKTPILTPLELEDIGYKLIAYPLSLMAVSIRAMEDALVAIKGGRVPSPGSMPSFEEVKDILGFNTYYEEEKRYSTKSSRFVWQTGSSNPYSIQRKGQDNTEQTGQGPTEPVVELVNPDTYDTKNPFSGIWSHTLRVKIIGRDGFEKLDVRIPAGFLDGITNIVPALGGVNIKELLDNASFEVGGKLLLDFNDSMGDRIQVFLE >KVH90912 pep supercontig:CcrdV1:scaffold_78:462847:465228:1 gene:Ccrd_007039 transcript:KVH90912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1264 MESVNASTRPDVPGEKTKLGTSLVDSAASAIQSFGPTKKIHQHLCAFHFYADDMTRQVEAHHFCGHQNEEMRQCLIYDRPDADARLIGVEYIVTEELFLTLPDSEKPMWHSHEYEVKSGVLFLPGVPGPVERTDLEKVAKTYGKTIHFWQVDRGDELPLGLPQVMMALTRDGQLNPTLAKERENRAYMQGLTHGIHPKANAGGDGLKTVLREIDCKPSGHPSLEPIPRVFV >KVH90916 pep supercontig:CcrdV1:scaffold_78:529658:533770:-1 gene:Ccrd_007033 transcript:KVH90916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQDQTTHVAIPSGNEHHGVHLCHRCGWAFPNPHPSARHRRAHKKICGTIEGYTNLIDSGVVSDDEHHLDDDKEKTPSPVIEKRIGGRSSRSEEDLFSDAVTEFSDSVEDRSVVYQDSNKTLDRDLFFSFKDAEHGGEHLFLSYSSYRNVGANEVLNAPIEISKVDASLTISENLDTHVEKNGETKITTICDSTEAKLESQPLDAESIDLVEKRVEKVQESQASETDEHAVPLTVTEEAKDAGFQASELSKTTPEVSEVANGEKLKPETVGGTDCEHISGVVEESGMDQRTNGISEEMKHEKLESDHEHASEVVKESETDQSAEGVGEEIKKLESDYEHPSEVVKEPETDESKHEKLESDHEHRSEKSSKEHTHEVVEEPDSVLAEKEDLGATILEKSSKEHTHEVVEQPDSVLTKKEDLVAPIFEKSTNEHTHEVVEQPDSVLAEKEDLGARILEKTSEEHTHEVIEQPDSVLAEKEDIGAPILENSSKEHTHEVVEQLDSVMAEKRDLGAPILEKSSKEHTHEVVEEPDSVLTEKEDLGAPNSEKREVVEGLDSVLTEKKDLGAPNSEKCSKEHTKEVIKETKLDNDGKTASGVVSEHIVEEGNSKLMPNQDSGVDFSVDSSSRNSLEGNWGSVSVLSTASIDAEASHSTDKSKVNSGKLVAADSHLGSSDVFEPPSFMTLVEPKVDDQKPATGSQDSEQQSEASQAGWFPTLTNVSNESDGRKRNEEVIAKVTNWSSSTPTTATGKYSTPLKNLLGEAKSPNAKQPPPPAAATVVEKDEAATVVEKDEAPAAAAAVMSPPKLIDDGKNARKKVKGRGSWMPFVCCSSVNVVN >KVH90945 pep supercontig:CcrdV1:scaffold_78:354260:361930:-1 gene:Ccrd_007049 transcript:KVH90945 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2415 MDYRMPHNDVDEIDYMAEEGDILDFVMDDEEVNGGGDQIVDDYDMVTDTSSAQARRGKDIQGIPWERLNITRESYRLTRLEQYRNYENIPLSGDAVDKKCKQKQKGGNYYEFFHNTRLVKPTILHFQLRNLVWATSKHDVYLMSNYSIMHWSSLSYNLTEILNFSGHVAPTEKHAGSLLEGFTQTQISTLAVKDDFLVAGGFQGELACKRLDKQGVSFCTRTTYDDNAITNAVEIYDSLSGGKHFMASNNDGGVREYDMEKFQLMNHFRFPWPVNHSSLSPDRKLITVVGDHLDGLVVDSSNGKTVASVEGHLDYSFASAWHPDGRIFATGNQDKTCRVWDLRNLSNPVAVLKGNMGAVRSVRFSSDGQFLVVAEPADFVHVYDTNLNYEKRQEIDFFGEISGVSLSPDNETLFIGVWDRTYASLLQYNKRHKYGYLDSFV >KVH90920 pep supercontig:CcrdV1:scaffold_78:482050:484751:1 gene:Ccrd_007037 transcript:KVH90920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEKEKTFTNGGNGIPQPWNSIFGMEIQGSELNCSTDRLRNCYFNPNCENSMDQRDLFESALSSIVSSPANSHTGIPISGGGGGESIVLRELIGRLGSICNSGEISPQSRINGNHSNNTSCYDTPLSSPPQLNLSITENQIPGDLPIPGNHQLPLSPFPTDPVFVERAARFSSFGGKNFGLKETEFPHVVESAKMSRVSSSQSFKNGSQFMGIPDDKDRIGVSDKKFSRLAMSSTQENIEFGDSMEESSVSEQIPGGETGMKSQSTNTNGRKRKGIPKGKGKETPSSTIYAKDDKIKETFPLQVVAELEKEESNAKRSKSDEQEKGMEKGEGNQKQTKGNPKPSEPPKDYIHVRAKRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQALQRQVEFLSMKLATVSPRMDATMEALLSKDLFRSRVSVPTSMNPFENSTQPFPYGFQSQNNGIVLDGSENQFSMNPLMAAMHRNSIVKPSPVDGFAETNFKNMVVAVGGGGGGGGGGWRLWVVG >KVH90956 pep supercontig:CcrdV1:scaffold_78:49204:52372:-1 gene:Ccrd_007080 transcript:KVH90956 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ domain-containing protein MATAPNSYPSICASPPLPRTLNSSTKQTLSFVTSQFKQTSHKNPQTLRFSIRLGNSNLEKQSILVVRASETDSSSTENGGEEEERYEEYEVEIEQPYGLKFAKGRDGGTYIDAIAPGGFADKTKMFSVGDRVLATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMRMQKRYGKMEDSGELTEKEIIRAERNSGVVSSKVREIQMQNYLRKKEQKETRTRDLREGLQLYKSAKFEEALEKFESVLGSKPELDEASIQAGLSALKDAMQAGYEDFKRIRTDPDLENVRKSEEFEPLMKKYDESFINENALNAIKSLFGFNKS >KVH90946 pep supercontig:CcrdV1:scaffold_78:368702:380228:-1 gene:Ccrd_007048 transcript:KVH90946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MVTSFISLIFVVLISVSVAASDDGDFRGAVFGVNSKFSGKERSLSLFKAHDNLRHLQILASGVDLPLGGTGRPDAVGLYYARIGIGTPPKEYYVQVDTGSDIMWINCIQCHECPKRGYHGLELTLYDPEDSFTGNLVTCSQDFCAEINGGAVTGCKANASCLYTETYGDGSYSIGYFVMDVVQYESVSGDLQTKMANGSVIFGCGARQSGNLGSSEDALDGILGFGKANSSIISQLASSGKVKKMFAHCLDGENGGGIFAIGHVVQPKVNSTPLIPDQPHYAANVMGIEVGTQFLNLSTNTNGSGDKTRTIIDSGTTLAYLPDAIYNPLVKEIVAGQSDLRLRTLHDQYTCFEFSGSVDDGFPMVTFYFENSLSLKVYPHDYLFVFEDFLCFGWQNIGMDSISSTDTILLGDLVLSNKLVLYDLENQTIGWTEYNCSSSIKLRDEITGSVHLVGPHSISSADSRIPRRYLISFLVIALLHHMIS >KVH90909 pep supercontig:CcrdV1:scaffold_78:150617:152470:1 gene:Ccrd_007069 transcript:KVH90909 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MRSPTKSVTKRVRDAESELNLPPGFRFHPTDEELILHYLCRKTQSTSEIVTGAQPPSIIADIDLYKHDPWELPEMALFGTKEWYFFTPRDRKYPNGSRPNRVTGNGYWKATGADKPIKPKSDPNMTIGIKKALLDDWVLCRLYNKKNNPNEKIIQDDNHHLHPASPLDELRRSSCYNSDSLDSFEESDGEFSGNFGGDVTSPSDLQHQSFTEVKGTSDQSSMEVMETLMKREEQNDDGNDWLDSLSLEDLQHCLEAMPPNQDFYEIPMIYNSNQQYFFN >KVH90939 pep supercontig:CcrdV1:scaffold_78:120776:127777:1 gene:Ccrd_007072 transcript:KVH90939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein UCP022280 MIGEVAANSPPSAADGTPENQQQEKPWHSYISEDLPRTVQESADSAVRSARSLQQNSSTHIRTLQDQVLQYTAQYRSYEDLVFNKIKDQITIAREHPTMSAGIAITAGLLLMRGPRRFLFRRTLGRFQTEEAQLTKAANNVNHLTISVQLMKKESEKLLERAALAEKDMTYGYTELRNAGGEIKSLAKSAHKVEVQANDVMEVLREIPGREALRLRAEVANMASSLKDKRLAMNKRIMRISELGVRV >KVH90910 pep supercontig:CcrdV1:scaffold_78:195808:200906:1 gene:Ccrd_007068 transcript:KVH90910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim16 MESLVFDGGVSRRCLKRDGGKAADGGEGMGVGRRKLGNNWSGETYFLSFAAKILANLIVMGSGILVRGLVQAYRQALQNASKSGVAQETLQNAVHRGSKVMTEQEARQILGLTYPLNLLQKYDNLFERNAKNGSFYLQSKVHRAKECLETFYQAKDEPGGPVG >KVH90954 pep supercontig:CcrdV1:scaffold_78:252013:256228:-1 gene:Ccrd_007059 transcript:KVH90954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic METKNTCLLIISVLFVIVSIVDATANVPILVKKVKGKKVCDQGWECKGWSEYCCNLTVTDYFDYYQFENLFSKRNSPVAQAAGFWDYKSFITASAIYQPLGFGTTGNKTTQMKEIAAFLSHVGSQTSCGYGVATGGPTAWGLCYNKEMSPSQKYCDDNYKYTYPCAPGADYYGRDLLNHPEYIEQNATLAFQAAIFRWLTPLKKGQPSAHEAMVGTWKPTKNDTLSHRYPGFGTTMNILYGERTCGKGDVDDMNIIITHYLYYLDLMGVGREEAGAHDVLTCAEQKPFNPSTTKKEAASS >KVH90917 pep supercontig:CcrdV1:scaffold_78:518862:528861:1 gene:Ccrd_007034 transcript:KVH90917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 beta-sandwich MIEEELAFARSALQQALEFLPDNALVGFVSFGTQVQVHELGYADMSKVYVFRGSKEMTKEQVLDQLGLGGIAGGRRVGGAPGQGFQKGVVQGGGFPNSGVSRFLLPASDGAYIIQSLLEELVTDQWPVAPGNRSLRCTGVALSVAAGLLGACMPGTGARIVALVGGPCTEGPGSIISKDLSDPVRSHKDLDKDAAPYFRKAVQFYEELSKQMVSQGHVLDLFASALDQVGVAEMKVVIERTGGLVVLAESFGHSVFKDSFRRVFEKGEESLGLSHNGMLEINCSKDIKIQGIIGSCTSLEKKGPSVASTVIGQGNTTAWRLCGLDKNTCLTELVQGFDQEAAAVVMARLTSYKMESEETFDATRWLDRNLIRLCSKFGDYRKDDPTSFALNPSFSLFPQFMFNLRRSQFVQAFAQLLQAPHDDAELIIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNEGAAGMDVIFTDDVNLQVFFEHLQRLAVQSS >KVH90940 pep supercontig:CcrdV1:scaffold_78:115974:119859:-1 gene:Ccrd_007073 transcript:KVH90940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCHDLNTRIQTWLRNYDKIQSFAVFLIHIQIGCALIGSLGALYNGISLINLGIALFALVAIESGNQNLGRNYAVLLFSAIVLDILWFILFSHEIWHISSEIYGKFVILSVKLTLLMEIIGFSVRSSSMLLWIQIYRLGASHVDSTFSRDGDLESRNSFINPSTPPVVRRSPCSDDVLGGAIYDPPYYSSLFTDNQDEGSLHGGQNCSGMDDAAQLKPSIYVRKNGRKPTFESLKSITSQCMILVGHEDHDPHLISGERRFVSFLHG >KVH90933 pep supercontig:CcrdV1:scaffold_78:108040:112063:1 gene:Ccrd_007074 transcript:KVH90933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MDQMTTDQSQQEVGYKRPKTKIVCTLGPASRSIPMVEKLLKAGMNVARFNFSHGSYEYHQETLDNLRTAMDNTGIVCAVMLDTKGPEIRTGFLKDGKPVKLQQGQEITISTDYTIQGDENMICMSYKKLAHDLQPGSVILCSDGTITFTVLSCDPENGLVRCRCENTWTLGERKNVNLPGVIVDLPTLTEKDKEDILQWGVPNKINMIALSFVRKGSDLVEVRKLLGEHAKNIILMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMVYKCNLKGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICMEAESTIDYSVAFKMITANAPVPMSPLESLASSAVRTANTSKASLILVLTRGGTTAKLVAKYRPRIPILSAVVPEVKTDSVDWSCSDEAPARHSLIFRGLVPVLCAGSAKASHTESTEAALEFALQHAKTKGLCKEGDAVVALHRVGNASVIKIVNVK >KVH90934 pep supercontig:CcrdV1:scaffold_78:100211:105569:1 gene:Ccrd_007075 transcript:KVH90934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDRLMKEIATFQEGVGIRAHEVEEAKISAAKDEAELSRLKNEEKILKEIVEKLKERKEQIEKAVEKERIQKEQEEKQKKEAEESESKEHKDEDKVDVPEQEPAENTDDDKAGILDNPPPGQNLKEDPAEPAVEVVHNAYASLDIGEENAAQEEEGSKVAHASDPSTGLGSKGEDATKDIDSLSREELGREIGSRWSGKNHEQQHNDFDDVKNNDETSVNAHDEENDGYDTETDEYHHQHYEEDDTEDQMGDVGDEANDDSSSSYKYEPDDEVDMSGVQWLFVISPWVCCAYTILLCTSCGVFHLLMMDFPIYMFKILKAQAAHHGLRRFNELCGIFCRLSTRSKLQWIHLKLKMYEKNMMRPAGSYLKQDQGYLGPEKEFYSLYGQCFESKQNKYVYKVCPFKEATQEEGYSRTLLGQWEKFEESYSVMLFSNGDKCWNGPDRSLKVKLQCGLKVQVADELEDELRALNKEQPQLRDEL >KVH90938 pep supercontig:CcrdV1:scaffold_78:131753:138899:1 gene:Ccrd_007071 transcript:KVH90938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKDTKKSFKSLSQTIHSLLGFKNETTSTWANTVCDIIKSLPSTADYENDCDDSVNDHDHDDDLDAFLISKIHGELATLNEEISELSAQRRQVLNDMIRISMTCSDATARRKITNKIWMVDLGGSERVLKTKAWGRRFEEGKAINLSLSALGDVINALQRKNSHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPKEEDLCETVCSLNFALRLRSIHLGKTEANEARAMREGAMTNLQREMQKIEDIRDKLRKEVKKLNQKLESLTKTSSGSNAKLDGFLLSLNVSQPNADEISAMPPKSKMPSFMKPTICSTRKSGTGHQTSYKKDIKPARRKKLPLSRAESVSFPIKGMTESYSGSSISRASCLTGLNEINLADNDTEYSQDMSQCSFRTGIGKQRSSSKNSTDRSVHSSLSEDAEFSSTSVSDSNHLLYLQEHKTNSSSHAHQNKRVLSIPTPVKKSKATEKMRKGKLTGREMTDYKFTKTVSEKPRSLLRADVRFAGKASRSDYNSSIPSTGSTKLSTGVDDSSIEGDIHYQIMPCDSISDTSHQDDYVNGVDGTVISQVFTHEKGYSDAYKLKDELPTNVSTSDADSSAIGLAQVSEVSFSRSELKSPSEKVPTGMGGNHEEQCTHSQYSVPGKKSWLYEVRTPKFSAIDNFVPKDLTKLSSQTHANAQTRGFSDLLKENILILYSIVLLGLGFESLGFEHDFFQALKS >KVH90911 pep supercontig:CcrdV1:scaffold_78:465428:470224:-1 gene:Ccrd_007038 transcript:KVH90911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MQFSAAVTPPPYSCVSRIAHLSHLLTTDVSSLKSPSIFPTNLFICRSSLTPKKPSPSFSTPKVSVSGDSSKTQLIDLIDDDDEIVRQARRSADWKAARAHYENGIVYQGRIEGSNGGGLLIRFYSLVGFLPYPQLSPSHYCKEPDKSIQEIAKALTGSLISVKVIHAEEEKRKLIFSEKEASWSKYSNSVKVGNVFQARVGSVEDYGAFAHLRFPDGSYHLTGLVHVSEVSWDLVQDQLEEDPLLETLEKVIPQDGSTGSDSDNTADYVIEPLPGLETIVEELQREDGIIDIKITRQGFEKRVVSQDLQLWLSNFTLLARAGRQVQEIQLVTSLDQDGIKSALQRVLERVP >KVH90928 pep supercontig:CcrdV1:scaffold_78:290027:294811:1 gene:Ccrd_007055 transcript:KVH90928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MASTTVANLTPFLLVGLAFFLFYRHPSSPLTLNMAQEISKSVHDFTVKFVMKSMIQDIRGNEVPLSSYKGKVLLIVNVASKCGLTESNYKELNILYQKYKNQDFEILAFPCNQFLWQEPGTNEEIQETIEVNGNNAAPLYKFLKSEKGGFLVDGIKWNFTKFLVNKEGKVIERYGPRTPPLEIEVSVKKNRTYFHFERHSKSATIIIFLRKKKNTNDEHLGI >KVH90944 pep supercontig:CcrdV1:scaffold_78:34045:35852:1 gene:Ccrd_007082 transcript:KVH90944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIFMRVLFCKIHCPSFICFCKPSTATHLYNPGPLKLENSTHAPPLSLVTDPSDQNHVSPDVEEIIEVEEKEILEDGKQEPESIVLRSCMKNNDNSQSRSPIERKKVQWMDNLGKELVDIKEFESSETGDTDNEDDDRSCFCTIL >KVH90907 pep supercontig:CcrdV1:scaffold_78:238017:245182:1 gene:Ccrd_007061 transcript:KVH90907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MRRWLCCTCQVEERYPPNDNGLVKNHSDHSDGQQKDSKATPPVKFDVHKAVPTIEVPALSLEELKEKTENFGSKALIGEGSYGRVYFATLDNGKTVAVKKLDVSTEAESNNEFLTQVSMVSTLKHDNLVELCGYCVDGNTRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGLDYLHEKVQPSIIHRDIRSSNVLLFEDLRAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKAVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLRAPAPPAAAAPEV >KVH90950 pep supercontig:CcrdV1:scaffold_78:433240:452235:1 gene:Ccrd_007042 transcript:KVH90950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MASVVPQVKLGSQGLVVSAQGLGCMGMSGNYGLPKPEPDMIKLIHHAINSGVTFLDTSDVYGPHTNEILVGKALKEGGLRDKVQIATKFGIQWIDGKPDVCGDPAYVRSACEASLKRLDIDCIDLYYVHRIDIRVPIEVTMGELKKLVEEGKVKYIGLSEASASTIRRAHAYKMSGHYGRDLEDEIVPTCRELGIGIVPYSPIGRGFLAVGPKLVENLIEGDFRKSMPRFQNVEHNKTVFERVNSMATRKGCTAAQLALAWVHHQGKDVVPIPGTTKLENFNQNIGALSIKLTAEEMAELESFASNEMVRGERHVNMRHTWINSETPPVSSWKAPFHPQTETTMATLVPKLKLGSQGLVVSAQGLGCMGMSGSYGPPKSESDMIHLLRHAIHSGVTFLDTSDVYGPYTNEILIGKAMKETGLREKVQIATKFGFKLINENFEVSGDPAYVRSACEASLKRLDIDCIDLYYVHRIDTRVPIEITYIGLSEASGSTIRRAHVVHPITAVQNEWSLWTRDVEDEIVSTCRELGIGIVAYSPIGWGFLAAVPRFQNVEHNKTVFERVNSMATRKGCTAAQLALAWVHHQGSDVVPIPGTTKVENFDQNIGALSVKLTVEEMAELESFASSDMVKGERNAHMQLTWMNSETPPLSIVHLLGFEINTKTREKMAGVKRIKLGSQGLEVSALGLGCMGMSGGYGPAKPEEEMIKVIHHAINSGVTHLDTSDVYGPHTNEILVGKALKGIEREKVQLATKFGIIFGASMGNTEIHGEPEYVRASCEASLKRLDVDYIDLYYVHRIDTKTPIEITMGELKKLVEEGKIKYIGLSEAGPETIRRAHAVHPITAVQLEWSLWTRDAEQEVIPTCRELGIGIVPFAPLGSGFFAAGPKLMDNLADNDFRKILPRLQGENFEHNKVVFERVSQMAEKKGCTLSQLALAWVLHQGDDVAPIPGTTKIENLNQNLGALTVKLTAEDMAELESVASFKGDRMPEQILVHSYKNSDTPPLSSWKSEEKMSDLKRIKLGSQGLEVSALGLGCMRMSSGYGPTKPEEEEMIKLIHHAINSGITHLDTSDFYGPHANEILIGNVSSYFVVALKGIEREKVQLATKFGVKFGGIRGNEEIHGEPEYVRASCEASLKRLGVDYIDLYYMDELKKLVEEGKIKYIGLSEAGPETIRRAHAVHPITAVQLEWSLWTRDAEEEVIPTCRELGIGIVPYGPLGGGFLASGPKLMDNMADNDFRKVCTRRILRFRIFPRLQGENFEHNKVVCERVNEMAEKKGCILPQLALAWVLHQGDDVGPIPGTTKVENLNQNLGALTVKLTAEDMVELESVASFKGPRMPEQFLVHSYKNSDTPPLSSL >KVH90908 pep supercontig:CcrdV1:scaffold_78:246772:250913:1 gene:Ccrd_007060 transcript:KVH90908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival motor neuron interacting protein 1 MAESSSVLESRTSNPSSDDLITKSIQETIDVQVGLVPDVRNDNQEPHEVNLSTSLDQIEELHVEQGQELVPKDETHLINLSDSPNQTIILDDSSRIEAIDEGKEEQNVKKEGKKEKRVRKRGKGLKKNLNSNSIHDFERQNVCDCDRRGDRARVRYIREDMEALRYSRMDDQKKKWIEVYCGLGSVVAKEYDGLGGSVHDEEENYVNFDPRPLFASKKGNELDNVGGEMQNTNQVDHVSGVNGNDLDGSLGGEEDDYEDEDSDSELFSIQRPAFLVTGEPDFDSGPPQDGLEYLRRVRDAFNKEQTVYMPNIPEIAACPEHIMPSKEWEDALSRDESSVSTFSSKIEPIPLAQSIFESVVQENLDFCQTDDGTNTIQENLDFCQTEDQKTANCDWPSLRTILEMEPVARVTMLRKRITSVETVSSLSRNECAWLFALCAAIDTPLDADTSASLRCLLRKCATLRAQKSGLDDEVIMLNILVTISGQYFGQAENKLV >KVH90906 pep supercontig:CcrdV1:scaffold_78:230178:235184:-1 gene:Ccrd_007062 transcript:KVH90906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin supergroup MERAISNLAFRGSILEAITEAKRQKKLFVVYISGDDEVSASMDESTWSESNPQKPAPCITAIGYNGVQLWQNEGFVSAEVLVSTLEKAWLSLHETTASFLTAALASRQSEQIPSEAAPTTAREIGSSSSTPSSNTNASASEINSKLGDDDVSHGPFVNKLEESKVEQLDTTTREPVKQVTTSASDSRVDKKSSLNVVEAPQVVDTEAKPKKSESSQTEKDDYSDLASNRSTDIHLNIRLPGGTSLQEKFEPTSTLRMVKDCVDQKQETSISSYDLAIPYPRKVFGDQDLSKTLKELSLLDRQALIVVPHLRGAGHHKGRSSTPNPSVSTSAAGSSDGEGLYSLVKRVLSYVNPLAYLGAGGAAANSSTSAPETRRSLPESGTFTGSRSYVVRSNQSDITPSTGRNNRPNSSRFGSGSNIHTLKHDEDDSKFSGKNAFWNGNSTEYGGDGDN >KVH90952 pep supercontig:CcrdV1:scaffold_78:419078:422980:1 gene:Ccrd_007044 transcript:KVH90952 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MESLRLAKERNSGLPKAIYGNLVLRTVNASNLDPATKPRVPMAHLLPEIVEQILIRLKVRDLIRCKSVSKSWHSLISDPRFIKAHLKHSYESDRNNEEIGDRRIVMSKYACFYTYQQFEVDGKLFDFHDCHLLGSSDGLEKFAEVPQPDDVSYWSRVSDHPSMRLGTINGCLCSFQYENLPNDLWMMKNYNVKQSWGIFGPERVIKYEAVHGIKRLKNYIPNQRPLCHEPWLVRSRETEEKEAGRGYHKECSALYAVPRAQPSTDEGTSSGSSTRAEASGNAGPSTGA >KVH90904 pep supercontig:CcrdV1:scaffold_78:219976:224948:-1 gene:Ccrd_007064 transcript:KVH90904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF914, eukaryotic MVGFKGFFTKKTLLGLLLGQVLSLIVTSTGFSSSELARRVVKAYQYTSLTSVMLLDCWAIPVVIVLTWLFLKTEYKFMRIAGVVICVSGLVLVIFSDVHAADRSRASICWIRGSNVSILLRRADPA >KVH90942 pep supercontig:CcrdV1:scaffold_78:60636:64122:-1 gene:Ccrd_007079 transcript:KVH90942 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH domain-containing protein MAAVAPPADQAADLLKNLSLDSQTKTLEIPEPTKKPSVDNGNVQVQPTNRSVTPLIPDYMDPAVAYFPNGYPSTAYYYGGYDGTTTDWDEYSRYVNSDGVDMTQGVYGDNGSVMYGYGYAPYGPYSPAGSPMPTVGQDGQFYGAQHSYQYPSPYFQPIAPTGSPFTAPAAPPKGEITAPASADQPPITVETAKGNSNGVVNGVGAKGNTGSAPVRPTPYQHSVFNANGSYGRGAQTAYQDPRFGFDGVHSPIPWLDGSIYSDPQPRNNGNNAPYGNGVASKNQNVRPHSHLMSPRPISGMNTASGYMNRMYPSKLYGQYGNTYRSGYGFGSNAYDMQNGGRGWLAVDNKYKPRGRGNGFFGYNNENSDGLNELNRGPRARSTKNQKVFTPITIAVKGQDITLAAAEGIEKETKEVSVTPQREQYNQQDFPETYTDAKFFIIKSYSEDDVHKSIKYNVWASTQNGNKKLDAAYQEAQQKAEKCPVFLFFSVNTSGQFVGVAEMVGPVDFDKSLEYWQQDKWIGCFPVKWHIVKDLPNSLLKHIILENNENKPVTNSRDTQEVKLDQGLQMIKIFKEHSSKQCILDDFEFYEDRQKRIQEKKAKQQQFQKQVWEGKPTIEEKSKEGVKVEVLGPKPLVNEANPTEAKPLDNVQENVGDGAEKEVVVNGVANGC >KVH90913 pep supercontig:CcrdV1:scaffold_78:557221:557628:1 gene:Ccrd_007030 transcript:KVH90913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTTFLSRCPSQYQTFIVTRDFIRSHPATGNCPICMELFVPGNNVKQLLPCGHCFHGRCILRWFSSSNTCPICRLTIPLVEVRPRSRRVSNDRVMNGQSHLIRSDTTVSFGEIRRPIFVWFCQLMELCEALFTNKW >KVH92092 pep supercontig:CcrdV1:scaffold_780:163002:163820:1 gene:Ccrd_005877 transcript:KVH92092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MTAKVSDFGLSKLAVDGNSHVSSIVRGTLGYLDPEYYISNHLTEKSDIYSFGVILHELISVSSVLLQAKLHIENGDIQRIIDLALRDEYDVQSMWKMAEKALMCVQPHANMRPSMSXVIKEIQDAISIERGVNGGSSDEILRSSFHSSLNMGLLDVGIDPYLSFHDSITLPSAR >KVH92098 pep supercontig:CcrdV1:scaffold_780:182353:183089:1 gene:Ccrd_005878 transcript:KVH92098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYGWGSTRFLLPSPLLIRFSILDSISKDQTMKEGKSSGGTRKADTKLAVKKTQAKGKAVKDPNKPKRPASAFFLFMEEFRKQFKEENPGNKSVAA >KVH92094 pep supercontig:CcrdV1:scaffold_780:80479:86511:-1 gene:Ccrd_005875 transcript:KVH92094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRNRGSWKFTDQLYCAGFHGHIDPISTSYLYLPCPSQPSTAQSFSPEGSYYLLQGKLASQMPFHVAGGGPYPMSEKLANSGRIPVSKTPMMMSLSVVDLLTLSGNPMKSHELVVATLFFKWEPYEVP >KVH92096 pep supercontig:CcrdV1:scaffold_780:64498:65453:-1 gene:Ccrd_005873 transcript:KVH92096 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MAASLPPYPQMILEAIDGLKQKEGSNKSSISNFIESTYGDLPDGHINELTDHLNKLKDSGDLVFVKNNYMRPDPNAPLKRGRGRPAKAKDPSGGVETQDPVVQGESGVEMKRGRGRPRKDPNAAPAAKKVKVVAASGGGRPRGRPRKVQAELAGVVAN >KVH92093 pep supercontig:CcrdV1:scaffold_780:80314:89532:1 gene:Ccrd_005874 transcript:KVH92093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MLSVHNSQLLIFNYDHKQDDMNPIYIKALKLISYVGMDGVVSVFPSRKNKVATTRFPLKVNRSTTESDIIIGVFDTGIRPELASFSDIGYAIKIIGAQYFKANGTHDPEDIQSPRDSDGHGTHIASTAAGNTVRNANLLGLHSGTARGGVPRARIALYKVCWTNGCSDVDVLSAFDAAIADGVDIISASIGPESVMAPFLDGFSIGSFHAMMKGILTVQSAGNDGPSPQTTTSIAPWVLSVVAGTKNPDLITPVRLGNNMVVDGVSVNPFTLDRLYPLVYAGDVPNNVAGFNGSTSRNCGNNSLDKNLVQGKIILRDCLSTGEAEMGRFMAFSGPDFESYHLPVSVMNFDKANNIFQYIRSTRNATSVIMKSEDVTNASSPYVASFSSRGPNRKITNILKKATPVTNFHSIQPDLTAPGVRILAAWPPVAPISLAEGDHIEVPFNMISGTSMAFPHVSGIAAYIKSFNPTWSPSAIKSALMTTASLMSAQINTDAEFAYGAEVYDADEVDYLTFLCQQNYSTHYIRIITGVDVSCSELMKQTKDLNYPTFVIPTMSNVAIDSNFSRTVTNVGSATSTYRALLTQPRVGGLRIQVEPNIQHFEEYGQKLSFKVSVQATIQNLDNPIVSGGLTWDDGVHQVHIPIVVH >KVH92097 pep supercontig:CcrdV1:scaffold_780:20215:41566:1 gene:Ccrd_005871 transcript:KVH92097 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MELSFTTSIIVASIVFILFKLATRPKSNKNRLPEPRRLPIIGHMHHLIGAMQHRRFTDLSRKYGSLMHLQLGEVSTIVVSSPKWAKEILTTYDISFANRPZTLTGEIIAYHNTDIVFAPYGEYRRQLRKLCTLELLSVKKVKSFQSLREEECWNLIQEVKASGSGRPINLSEHIFEMTATILCRAAFGKGIKDQKEFIEIMKDISIEIGGLDIADIFPSKTILHPLTGKRARLTNIHKKLDNVINNIIAEHPGNTSSKSNKTLLDVLLRLKDSNEFPLTANNVKAIIMDMFGAGTDTSSSTVEWAISELIKCPRAMEKLQAELRKTLNGKEKIQEEDIQDLSYLDLVIKETLRLHPPLPLVPRECREAVNLAGYDVSKKTRLIVNIFAINRDPEYWKDAESFIPERFKNIPTNVTGAEYEYLPFGAGRRMCPGAALGLANVQLPLANILYHFNWKLPDGASREQLDMTESIGVTVRRNTPLVLVPTDATQLKFKLYTRGVNKGLFPFTPRLFHHVDAMLYINNGYDGSLSIKTTIIVSNMELSFTTSIIVXSIVFILFKLATRPESNKNRLPEPWRLPIIGHMHHLIGAMRHRRVTDLARKYGSLMHLQLGEVSTIVVSSPKWAKEILTTYDISFANRPZTLTGEIIAYHNTDIVFAPYGEYRRQLRKLCTLELLSVKKVKSFQSLREEECWNLIQEVKASESGRPINLSEHIFKMTATILCRAAFGKGIKDQKEFTEIVKDVSIQTGGFDIADIFPSKKFLHHLTGKRARLTSIHKKLDNVINNIIAEHPGNSSSKSNETLLDVLLRLKDSDEFPLTANNVKAVILDMFGAGTDTSSSTVEWAISELIKCPRAMEKLQAELRKTLNGKEKIQEEDIQDFSYLDLVIKETLRLHPPLPLVMPRECREPVNLAGYDVANKTRLIVNVFAINRDPEYWKDAESFIPERFENIPTNVTGAEYEYLPFGAGRRMCPGAALGLANVQLPLANILYHFNWKLPDGASREQLDMTESFGATVKRNTPLVLVPSF >KVH92091 pep supercontig:CcrdV1:scaffold_780:153289:159035:-1 gene:Ccrd_005876 transcript:KVH92091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein-like protein MKEEDDVVKVEERTGTTEESRMRRTLERARTTEESRMRRAREREGRSQMCLLLERSSLGQNTYFPEAVLQVPPNPSMAEARKEAEMVMFGAIDELLAKTGVKAKDIGILIFHYGVVAGEHLLKDQIESVQKQEEKPKAKPEIVDKVCSIVRKQLALPEDSAVTGESKFSSRGADSLDTEHFLKCHGQEDMERVKGMKPTDSNVTDDAWHILCDYWSSEKFH >KVH92095 pep supercontig:CcrdV1:scaffold_780:51215:61639:1 gene:Ccrd_005872 transcript:KVH92095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANSAEFEAILKDIERANSTGTSNTNNDDQGWKTVSYKKSRRKTTNKSPENYADHGSGADDVFRSIEQQSEGRRRRVIESQKAAAAAIDAAVADGGHVRVSGNDGEEDGSDVENLAGVESGRGEAKKSKLKKLKKPKVTVAEAAAKINDSDLAVFLADITESYESQPDIRLMRFADYFGRAFASVNASQFPWMKALKGASVDKMVDIPLSHISEDVYRTSADWLNHQPVEALGSFVLWSLDGIIADMALHQGAIKGSKKVVQQAPSKSQVAIFVVLAMVLRCKPDVLISLLSIIKESSKYQGTEKLPVLLWTITQACQGDLIVGLFMWVHLLLPILSSKSGCNPQSRDLILQLVERKGERLVPPAALELLMGVTFPVPTARHKATERFEAAYPLLKEVALAVAPGSKAMKQLTQQLLPIDDIYLDNLEASIVVLRKLSDEWMIHSVKHSSLEPLKAALKSFCDKAALTNGDGTHEALLKEAEKQCKILLAKFSGGHRCLKATAFLTITMAVGVGLIVKDPQFWNLKEMRNILEMVEELRLGR >KVG16349 pep supercontig:CcrdV1:scaffold_7801:14543:14983:1 gene:Ccrd_026553 transcript:KVG16349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLYLGIERGNIACHKIKARFRTLLREGRLVSRAFRDKAFLRRQVNFGTPNPTTDEKAPWYGVKGSVYVVTLPTFQRFLEDGPDATERRPESGFSTGRGGGKRRPSQGTSRPTGNTGETWEGNPIGCQRMHSTCSPSEFIFNIF >KVG16336 pep supercontig:CcrdV1:scaffold_7802:7633:8768:1 gene:Ccrd_026554 transcript:KVG16336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MNKAVWFCGVVDILEVGDKKIYNITHKNRNSEVKAAYKVVHDIKEESFDCSCNHFVRNGILYRHAFKVMLNSEVQSIPEKYILPRWRRELVPLSCCRLVPGMVKWMLRIKL >KVG16151 pep supercontig:CcrdV1:scaffold_7804:4787:15014:-1 gene:Ccrd_026555 transcript:KVG16151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKSLHLSDTGLQGKLPDNIFNLPYLEELDLSSNDDLTGRFPKIYTSTSNPLKLLDLSNINLSGVIPDIIGHLKSLTYLDLSNTNLLGQIPDSIGHLQSLTYLDLSSTNLSGEIPMSIGHLKYLKYLILSNTNLSGEIPDTIGHLKSLTYLSLSNTKLAGEIPDSIGDLKSLYYLDMHSNLIQGPFPPSICNISYLSYLDMSDNRFDGEIPQCLGNSSSNLLMVDLGNNNFHGIIPNTWDDCGVLLGLILNGNSLEGEVPSGLSKCESLRVLDLGNNHLNGTFPHWSANLQHLQVLVLKSNKLHGPIETSSIIKHPFASLEVLDLSQNKFVGHLPRKYFQNFDAMKNRVKNGTEMFSTQEYLTIGKFYSVTVAVKGSELSFQKISVDYNIVDLSSNIFEGEIPEVISSLNYLIVLNLSRNNLNGRIPYALGNLLKIESLDLSCNQLKGEIPQSLTHITSLEVLNVSRNHLVGPIPDGTQFKTFEATSFEGNPGLCGFPLPKCEHRSARQLEVDGEEESGFTWKVVMLGYGCGTLLGFGMGYMMLSTGRPKWFNLIADEIEYMILRRRKKRRHAHSLLLFKQNLLSYKHSYDENDYHDYRCHLRLGSDYYPIMMNWNTSTDCCNWDGVTCDHFTNDVIALDLSCGMLRGTIHPNSTLFNLPHLQTLNLAFNKLTNSQLPREIGRFSNSLTHLNLSDSGFIGQVPTDIIILRKLVSLDLSWNDLKLEPHVFYNLLHNSTTLEELSLNDVNISSPLPTYLNLSSSMKSLHLLRTGLLGKLPDNIFNLSYLEELDLSMVDLGNNNFHGTIPNTWDDCGKLQGLILNGNSLEGEVPNGLSKCESLRVLDVGNNHLNGTFPHWSANLQYLQALVLKSNKLHGPIETSSIIKHPFSSLKVLDLSQNKFVGHLPGKYFQNFDAMKNRVKNGTEMFSTLEYLSIGKFYSITVAVKGSELQFPKISIDYTIVDLSSNIFEGEILDVIGSLSSLIVLKLSHNNLNGRIPKALGNLLKIESLDLSCNQLKGEIPQSLAFITDLKVLNLSQNHLVGRIPDGTQVRTFKATSFEGNPGLCGFSLPKCEHRSAPQLEVDADDESGFTWKVVMLGYGCGTLLGFGMGYMMLSTGRPKWFNAIADEIEHMIIQRRKKRGQ >KVH89323 pep supercontig:CcrdV1:scaffold_781:77759:79251:1 gene:Ccrd_008690 transcript:KVH89323 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MSEDQRDLYYHDPFNDDQRNSETLYSFFGPDSTIRGDSSPPIPQRFHDYMGLTHFFNGSTDYNTPATAFGYSSSSSQVGFVLQHDQKPMIDPGNLVGGAETIPVTPNSSSVLSSSTEAADDEHELSQGKKDNQLKGVSEDGGDSTNKVNKQKKKEEKKQREPRFAFMTKSDIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSYQDPSTVITTYEGQHNHHLPATLRGNVGGGMLYPPSMMAAQGAMMAGAGGSSFPHEFLAHMPHGFYNSGGGGGGGGGGGSSTGGGSIYQQSALTPFQQLQIPDYGLLQDMVPSMTFKQEP >KVH89322 pep supercontig:CcrdV1:scaffold_781:108034:120044:-1 gene:Ccrd_008691 transcript:KVH89322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGPLTAGQVSFLLAIIPIFVAWIYSEWLEYKKSSSASKVHSDNNLVELETKTKEEDDRAVLLEGGLTKVASMKQPATSIKANLIRFVTMEDCFLLENRATLRAMSEFGGILFYFYISDRTSLISESTKSYNRDLFLFLYVLLIIVSAMTSLKKHTDKSAFSGKSILYLNRHQTEEWKGWMQARVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGALAIGSKYNEIRSVMIMKILACFLVVILVWEIPGIFEFIWSPLAFLLGYSDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYLHPNVEKWLEKLEESDSKRRHSVKATIVSVSVLAGYMCVYICLRNFTQHLRNYSLTLFAWLGKITLETYISQFHIWLRSDIPNGQPKWLLSFIPDYPLLNFMLTTAVYVLISCRLFELTNTLKSVFIPTKNDRQLLCNFLAGAAISACLYLIASIVVQIPQ >KVI04420 pep supercontig:CcrdV1:scaffold_7811:13208:13652:-1 gene:Ccrd_017267 transcript:KVI04420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQNQVCFQNKKLATFQDLNRSKGFIDFKQSILMFFFILIISPAAEHECLKRGVKEVVKSIRR >KVI04419 pep supercontig:CcrdV1:scaffold_7811:9501:9944:1 gene:Ccrd_017266 transcript:KVI04419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQAYNPQHYGGGIMQHHGGGGMHQQWDPNRSHQVVKAATAATAGGSLLLLSGLTLAGTIIALTVATPLLVIFSPVLVPAAITVFLLLTGFLTSGGFGVAAVTVLSWIYKYATGGHPPGADSLDQARDKLGYRAREMKGRAEQAGG >KVI04418 pep supercontig:CcrdV1:scaffold_7811:13663:14940:-1 gene:Ccrd_017268 transcript:KVI04418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 32 MALDDHPIGTDPNGPMYFNGVYHLFYQHNPAGPLFTNKMHWGHSASYDLINWIPLDLAIAPTESFDINSCWSGSATILPGNKPESTQKNARFRTWLSQRTYLIYDPYLREWVKYTSNPVINLPQGVQPDNFRDPTTAWLAGDEKWRVIVGGEKDKAGIAFLYQSEDFFSWTAIDSPLYEAAGTGTWECPDFFSVWIDSKKGVETSVMNPSVKHVMKMGLLDQGKDYYLIGNYSSEKENYVPENELTLNSLRFDYGKYYASKSFFDPVKCRRISIAWVTESDSEADNIAKGWAGLQVIQDSYSLILIVPKEPLARSKLEATHTVAY >KVG15700 pep supercontig:CcrdV1:scaffold_7824:15071:15995:1 gene:Ccrd_026556 transcript:KVG15700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGGFVGILFSLVAIIVLLPIHSVGGTTRSYEFNIQLKNVTRLCHTKSMVTVNGKFPGPRIVAREGDRLLIKVTNHVPNNITIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTVVGQRGTLFWHAHISWLRASLYGPLIILPKLNVPYPFTKPYKEVPIIFGEWFNTNPEGIIAQATQTGGGPNVSDAYTFNGLPGPLYNCSAEGIS >KVH94568 pep supercontig:CcrdV1:scaffold_7832:523:7795:1 gene:Ccrd_003365 transcript:KVH94568 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S ferredoxin, iron-sulfur binding, conserved site-containing protein MLPTPVRARRNPKWPEEWERDWKACEASASSMLNIQSVLLKFPNTKIMEKLAGDDDEFKRTSSLKLSINFDIEEQAATHHKKPKELGSCMACGNCLAGCPYNAKNSTDKNYLFSAVEVVKFGRLGEGFSCNGNNAAYLAGCTKPLVLPTAYPYLIFKGISTYGWPTGFWFLHGLIDKLKHSFGAESSQAMVLNVMGYDESDGKITFDKNTDKISFSPPHDPLLPRKINALQKLTKKLGGILFMSRYRSTSVHLLGGCNASSDHFHGVCNANGQVFDTKSPSSVHSGLYVCDASLIPCSIGVNPCLTIATASEHVSRALVEDVINASENGKSFFDEKDDKKSAGSIRSWKVDGRSRCDVVRFKEVMRGHIGGMPCAAHLDLKMNTKTSKDFDQKHSVFDPLLRGIVGGYVEFNAVEKDRLHIIEGEVDLCEVDMRTPYAQYILEGKKVMNPFLLGLYGWKESTTLQVTFKNVTKNDPSEEMVDLMGELHISTIALMRSLISMEGNNKMTFVWHLLQSLFRTYIVQKPRGNYMGFSPQELMDRQWKIKKADSWRLEGEKNPYPVLLINGYATESYCLPTEPTDLVRTLLDKGHETWLLQPRLHPLNSSNNFTIEDIGKFDVPAAIDKILKLNENSIKIHVVAHCVGGLAIHIAILGGHITMMILGKNTILPMLKTAKANLNQLLIKSIARFIPRYERCTCDECEVFSGIFGNAFWHDNITSTLHIWMNKKNLPRLPMAAFPHLRKICKAGFIVDSNGNNSYLIHPERMALPTLYISGGRTLLVTRKTSFLGNKYMKLHHPSFRHERVVVEGFGHSDLMIGEESCKKVFPHILSHMGLAEKEEDVEENGKEKKGFSGGDGFEDGKWVLGGWVSPSFTVWFILVLLAMFVYSTSS >KVH94569 pep supercontig:CcrdV1:scaffold_7832:8391:12284:-1 gene:Ccrd_003366 transcript:KVH94569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MSAEQEEXVRPLRQIPPCVWGDQFANYDKKPKQVEVEQIVIDLKEEVRKDISAALDVPTQHTNLLKLIDAIQRLGISYYFQDDIEKALQHIYDTYGDDWTGDSVSIWFRLLRQHGFYVSCDIFDSYKDANGCFNESLTNDVQGLLELYEASYMRVQGEVVLDDALAFTRSRLRNVVIKDPLCSDSTRIREALEQPILKRLPRLEALRYIPFYQEEVSHNESLLKLAKIGFNLLQSLHKEELSQVSKWWKGFDIPNKLSYVRDRVVECYFWSLAVYFGPQYSRARIFVAKVVLMATILDDTYDAYDMLPEYMKPIYQGLLDMYKEMEEIMEMEGKTHHVKHAIEFMKEYIRGYMMEAKWVHEGYVPTMEEHESVTHVTAGNTMLTAACFLGMGDMVTDESFKWVYTRPPLCKDACAVARLMDDIITHKKEKERKHVASSVETYMNRYDVSEEHARDLLYERVEDVWKNIVRESLVCKDVPMPLITCVINLARVIDVIYKDKDHFTYVGEVMKGYIRSLFVHPMSI >KVI11471 pep supercontig:CcrdV1:scaffold_7838:4236:11309:1 gene:Ccrd_010117 transcript:KVI11471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit 8, N-terminal MASKFWSNQGDSDTEDEVSDSEQDDVIEGQENAVSAPGANKYLDNSDSDSDDGDMHKRVIKSAKDKRFEELSATIDQMKNAMKINDWVSLQESFDKINRQLEKVMRVTESDRVPNNYVKALVMLEDFLNQAMANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEELINKCRETPESFEDQEEADEVSEDXEDDDTGSDIDDPTKVDSGSESEDDNDEEKDETEPGWERMMNKKDKLMEKQFKDPSQVTWDIVNKKFKEIVAARGRKGTGRLELVEQLTFLTRVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKKCVQNMLVILDILAQYPNILVDDSVEPDENETQKGADFNDTIRIWGNLAAFLEKVDVELFKSLQCIDPHTREYVERLRDEPLFFLLAQNVQEYLERVGDXKAAAKVALRLVELVYYKPQEVYDAMRKLSEQSDXXESGEESEXKAVEETRGPTAFVISPEIVPRKPTFPESSRALMDMLVSLIYKHGDERTKARAMLCDIYHHAILDEFSTSRDLLLMSHLQDXVQHMDISTQILFNRSMAQLGLCAFRAGLIAEGHGCLSELYLGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANSHDARRKVISKTLRRLLEVSERQTFTGPPENVRDHIMAATRALSKGDFQKSFDVVNSLDVWRLLRNREKVLEMLKDKIKEEALRTYLFTYSSCYETLKLEQLSEMFDLSTYQTHCTVSKMMINDELHASWDQPTQCIVFHEVEHSRLQALAFQFTEKLTVLAESNERAXEARLGGGGLESLPSRRREGQDYASVAGGTKWQDNTSSYSQGRVGSSGGRSGYGNGRAFAPGQTYRGNQSRGGYQQQSSSRFHDSTYGGGGRNNYQGGAMGSRGSQMDGSSRMVSLNRGIRT >KVI08346 pep supercontig:CcrdV1:scaffold_784:173378:176044:1 gene:Ccrd_013282 transcript:KVI08346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MDPVNVWGNTALDAADPEIFDLIEKEKRRQCRGIELIASENFTSFAVIQALGSPLTNKYSEGMPGNRYYGGNEYIDQIENLCRSRALQAYRLDPTKWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGFIDYEKMEEKALDFRPKLIICGGSAYPRDWDYKRIRSIADKCGALMLCDMAHISGLVAAQEAADPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQAEDAVYDFEDKINFAVFPALQGGPHNHQIGALAVALKQVMTPGFKSYAKQVRANAVALGNFLMSKDYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLANITVNKNAVFGDSSTPAMTSRGLLEKDFEKIGEFLHRAIQITLSIQKEFGKLLKDFNKGLTNNKEIEELKADVEKFSGSFDMPGFSLADMKYKD >KVI08349 pep supercontig:CcrdV1:scaffold_784:145736:148557:-1 gene:Ccrd_013277 transcript:KVI08349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MAANQSGVDSFQLFPSANDNKAQLGFSFLDDSPEKPPIPLPPPCLEVLLSQVSSSVKPTLEPVNLDGLTLLKGRVSTHEVFALSNSDLVPGKYEGGLKLWEGSLDLVKSLQSEVESGNLSLIGKKVLEIGCGHGLPGIFSCLQGASAVHFQDFNSEVLQCLTIPNVVANIPVKSQSLAADAKSWDTDAEVRFFAGDWSEVHQILPNLQTDDLDSNCSPGSTVSVGYDIILMAETVYSISALPALYELIKKCMSHPHGVVYMAAKKYYFGVGGGSRRFVSLVEKDGVMVASLIAEVGGGSSNVREVWKLQFK >KVI08356 pep supercontig:CcrdV1:scaffold_784:31103:37707:1 gene:Ccrd_013270 transcript:KVI08356 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAMVERGCERGRDEDEGTWGPVIVKLVAGGGVGHSEGGVGTRYNLRYEPITGSPIMTKVMVQPQPHFLLLTFPAQGHINPALQFAKRLLRLGVKVTLSTCVSAYRRMSKAGQIPEFLNFAVFSDGFDDGYSVGDTTFYMNQLKTLGSQSLKETILSCAADGTPVTCLAYTLLLPWAAGVACGLNVPSALLWIQPASVFRIYYYYFNGYDKLIGEDCNEPSWSIKLPGLPLLKSCDLPSFCLPSNIYNFVTPLFKEQLAVLDSENKPKILVNSFDALEKEALKEIDGRLNLIAVGPLIPSAFLDGKDPSDTSFGGDLYETSKVCVEWMNAKPEGSIVYVSFGSIIMLSKKQKEAMAHGLLASRRPFLWVVRNKDGNRKTGNEAEEEEDEELSCKEELEELGLIVPWCSQLEVLSHPSLGCFVTHCGWNSTLESIVCGVPVVAFPHWTDQTTNAKLLEDEWRTGVRVAANEEGVVEGDEIRRCIEMVMGAEETGAEMRKNVKKWKDLAREAMKESGSSNMNLKAFVEEVNGSATETK >KVI08357 pep supercontig:CcrdV1:scaffold_784:156975:157192:1 gene:Ccrd_013279 transcript:KVI08357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding MEVDLDNTFSSEDSHSWSSSRHQNNFFVWNPYEFARLLLPTFFKHNFSSFMRWLNAYVM >KVI08353 pep supercontig:CcrdV1:scaffold_784:99006:99200:1 gene:Ccrd_013273 transcript:KVI08353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNVQSGLATVLPGRSPFPTCTSIPVYSSPFIPSNLSQKPTSIPAAPPPPSNLNRNANANSPA >KVI08352 pep supercontig:CcrdV1:scaffold_784:125341:128811:1 gene:Ccrd_013274 transcript:KVI08352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MAHGDANHHHRHRLFISFLLLSFSVADDASVMFELRTSLSPSPSSWKGDDFCVWDGIVCDNKRVTGINLATKSLTGNLPSDLNQLSQLKTLFLQRNSLSGALPTLANLTSLEQVFLDSNNFTSIPPEFFLGLTNLQSFTISDNSDLSPWVLPSDLTESTNLQTFQASNANIMGSIPDIFDSFFSLQNLRLSYNNLTGNLPKSFGGSEIQNLWLNNQDTGLSGTLDVLSSMTQLSQVWLQANAFTGAIPDLSKCTNLFDLQLRDNQFTGMVPPSLMSLPKLANITLRNNKLQGALPVFQSGVEADISSNSFCLPTPGPCDPQVTALLEVAGAIGYPMSLAESWQGNDACKGWTFVSCDSSGKNVTAVNFAKRKFSGTISPAFANLSSLRSLSLNENNLVGSIPPILTSLHDLQLFDVSNNNLSGPIPDFKTVKFSHDGNPLLGQNIPSGSNGPPGSGPNSPNPGGNPPGKSKGSPVSAGMVAGIVLGVLIFVGIVLFVFYKCCAKKRHQKFGRVENPEIGKELMKASVVGSSSNGYGGGFSELQSQSSGDHSEMHVFEGGNVVISIQVLRQVTDNFSADNVLGRGGFGVVYKGELHDGTKIAVKRMESGVMGTKGLNEFQAEIAVLTKVRHRHLVALLGYCINDNERLLVYEYMPQGTLSQHLFEWSEHKTPSLSWKQRVSIALDVARGVEYLHSLAQQSFIHRDLKPLNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFATGRVTTKVDVYAFGVVLMELITGRKALDETMSDERCHLVTWFRRVLISKENMLKAIDQTLETEDEETLDSISKVAELAGHCTAREPFQRPDMGHAVNVLGPLVEQWKPSRPEEEDGYGIDLHMSLPQALQRWQADEGTSRTFDMSFNQTQSSIPSKPSGFADSFDSMDCR >KVI08347 pep supercontig:CcrdV1:scaffold_784:157416:166180:1 gene:Ccrd_013280 transcript:KVI08347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFANENFTEGQKYILKNIHRRKPIHNHSNPQDGHPNVISFKHQFFSTPDEEQLYLSLVLGCICAKDSLLHSKTQHQGKSKDAYDLCRTIKRISLGSISLLVSHFRASCEADLGVETLHFGTIRVLDRKLGFLRTKLILGKFLKGTQTAHLQTAGEARLMEGGRNVARKG >KVI08351 pep supercontig:CcrdV1:scaffold_784:141963:143105:1 gene:Ccrd_013275 transcript:KVI08351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MTIISLFYLYLLASSSRFLYFTTFSFRATATIIGVNYGRLGDNLPSPSRSIQLLQTMNAAHVKLYDADHEILQLLSTTKLEVTIMVANHEISAIASSQRLADQWVYEHILNHYPDTMIRFVLVGNEILSYTTTDQDKQIMKDLVPAMARIWTSLTTEGINDIKIGTPFAMDVVESTFPPSTGRFKPEIVHEIVPLLDFLSGTDSLFFIDVYPYFSWSANPMSITLDYALLNGNQTYTDLGSGLTYTNLLDQMLDSVFFAMARLGYNNVMIGIAETGWPHEGEWNEYGTNRENAAVYNRNIVRKMTAVPPVGTPARPGVTIPTFIFSLYDENQKFGPESERHWGLLHPDGRPVYEVDLSGQAY >KVI08358 pep supercontig:CcrdV1:scaffold_784:156313:156657:-1 gene:Ccrd_013278 transcript:KVI08358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRLCEQFPFLKSLTIKERLHAAMFDLIPEDWGGSVTSWVREIMSSLNGLKAITFRCMIFHDSDNDLLARTHGQELRVLKIDGCFGFSMDGLLQTEKLCNNLKINVVSSTMHH >KVI08348 pep supercontig:CcrdV1:scaffold_784:169876:173578:-1 gene:Ccrd_013281 transcript:KVI08348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKEVKFSEAMSSIPRHCRRFSFSIRSKISGSAASRAVFPQTLTGSMAEMGELRLEMGRGGGGGGSRFSEMEEEEAVSFLLSGGEFDVLANLRGLLDEHQQRRSNWFMHECSWSSRSGLEPTYL >KVI08355 pep supercontig:CcrdV1:scaffold_784:39740:47667:-1 gene:Ccrd_013271 transcript:KVI08355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MDANNAPVVASDTSKKIKPKLVWDNTTFMVFIDLCMNEVKLGNRPGSHFNKVGWGNIEKKIKEWKCLVSVMSSLEAFKPATLRRWRLLYFDQAGDARSINNSLATKSRYLTLFTVQQATQEAKEALMQGLEALNQSLSDTIASDALSSPTNMLTTWVRWLQP >KVI08354 pep supercontig:CcrdV1:scaffold_784:43760:46583:1 gene:Ccrd_013272 transcript:KVI08354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICEVQQATQEAKEALMQGLEALNQSLSDTIASDALSSPTNMLTTWSIPVIIPDQNTAASIVEELPA >KVI08350 pep supercontig:CcrdV1:scaffold_784:144041:144382:1 gene:Ccrd_013276 transcript:KVI08350 gene_biotype:protein_coding transcript_biotype:protein_coding description:RALFL32 [Source:Projected from Arabidopsis thaliana (AT4G14010) UniProtKB/TrEMBL;Acc:A0A178UXD6] MESKFSIKILLFHWCLFFLTISSLHLGDAVYGAAENNARPPSNGSLLEELWESEITRRYLEEKRYISVGALKRDQPACGGGGRGEAYTKSGSCTPPPSNPYNRGCSKYYRCRS >KVI01362 pep supercontig:CcrdV1:scaffold_7843:8391:13669:1 gene:Ccrd_020368 transcript:KVI01362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MSSMTKAFILIAMVACLTSTAHAIAGQATFYTPPYLPSSCFGFEDRGVMILAANSGLFANRAACGTRYRVTCTGRTNLGVLAPCTGRSVDVTVVDLCPGCAGNQVDLSQEAFAVIANTDAGRINIEYNRDIPKMGLVIRALILFAMVACLTSIAHAIAGQATFYTPPYVPSSCYGFQGRGVMVVAANHGLFAGKGACGRRYRVRCTGGTNAGVPHPCRGTSIDVTVVDLCPGCSGNQVDLSQEAFAMIADPNAGRINIDYHR >KVI06582 pep supercontig:CcrdV1:scaffold_785:36336:41969:1 gene:Ccrd_015063 transcript:KVI06582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MEACQTLNSDTGSGLKHYPLTPLRLVRGLACLLVYLSTAFMFLVYFSPVFAVFLRLFSVHYSRKASSFLFGLWLALWPFLFEKINRTKVIFSGETVPERERVLVIANHRTEVDWMYLWDLALRKGCLGCIKYVLKSSLMKLPVFGWGFHVFEFISVERRWEVDETIMRKMLSTFTNPLDPLWLAVFPEGTDFTEQKCIKSQLFAAENGLPELNNVLLPKTRGFYACVEILRGSLDAVYDVTIAYKNRCPTFTDNVFGVEPSEVHVHVRRIPLKDIPASESECNTWLLDAFQLKDQLLSDFIAQGHFPNQGTEGDLSTLGCLVNCTAVIAITSIFTYLSFFSSLWFKVYIVLSCAYLACATAFNFRPSPLVEYVTRKKSS >KVI06583 pep supercontig:CcrdV1:scaffold_785:61707:63002:1 gene:Ccrd_015064 transcript:KVI06583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MEIHMRSAFSLLLLLAIFLLGDKVSATVFTLQNSCSYTVWPGTLSGNDGTALGDGGFSLPPGASVQFPATPGWSGRFWARTGCNFDEFGNGKCETGDCDSILKCSGGGATPVSLAEFTIAGGISNSDKDFYDVSLVDGYNVGIGIKPTGGTGDCQYAGCIADLNINCPTELRVLDGNGVVVACKSACDAFNVPEFCCTGDHATPATCSPTQFSVMFKAACPSAYSYAYDDASSTFTCSGSDYLITFCPTSGV >KVI06585 pep supercontig:CcrdV1:scaffold_785:119294:120681:-1 gene:Ccrd_015066 transcript:KVI06585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain containing protein 109, C-terminal MALRRTLAKHLIKDQTPTNTALNAAKSYFHRKPLMLPEKSSTAIEAGGFFRRFLQRRKINQSSTLNLPELFFLPIGETLREKLKISGGERLRLDDLRNPTVSANSFGISVNDARKIMRSSQLQKVRSALKQIPTNSITYSEFLTVCIDICTNHEQGLEFSKTLDQAGDVIILGNVVFLRPDQLAKSMEKVILQTMAIPNDPRKQQLEELEQQKAFIDKQSLSQVRGELYCGLGFLIIQTLAFMRLTFWELSWDVMEPICFFVTSFHFALAYMFFLRTSKEPTFEGYFQRRFKTKQRKLMKVHNFDLQKYNELREAFYPANPNRFVVSSGYQDSGHGGSSDT >KVI06584 pep supercontig:CcrdV1:scaffold_785:68491:74940:-1 gene:Ccrd_015065 transcript:KVI06584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILLVLVFLDISQAKYGDRQLRPRRLEKGGESIQSHSCIHDQIIEQRRKRPGHKVFSVTPQVYHWSGASGHLHHKGRELLETSKSLSFLKDAKLPIRIYLNYDAVGHSPDRDCRNVGDILGEPSAASDLGRPLCNPHNDPPIFGDCWYNCTLDDITGEDKTHRLRKALGQTAEWFRRALAVERVRGNLRLSGYSACGQDEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVIWSSQVIHVLGFDPHAFTHFRDERKRRRSQVTQQTMDEKLGRMVTRVVLPRVVMHSRYHYGAFSENFTGVELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMSDRLDWGRNQGTEFVTAPCNLWKGAYRCNTTQFSGCTYNREAEGYCPIVNYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCIDTNSARVPDRMLGEVRGSSSRCMASSLVRNGFVRGSMTQGNGCYQHRCINMTLEVAVDGIWKPCPEAGGPVQFPGFNGELICPAYHELCSVNPLLMSRPCPNSCNSNGDCVDGKCLCFLGFDGHDCSKRSCPNNCNGHGKCLKNGICECSDGFTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCRNSSLVLDNLSTCKDVLQNDAGGQHCAPSESSILRQLEDVVVMPNYHRLYPSGARKILNMFIGRNCDKAARRLACWVSCIIFVKGSWRKCKKGVLETDAKLLFGQNLSKLTYFGKVSTGPKLRSQISIQKCEKDGNNRLRVCYSACQTYNLACGASLDCSDQTLFSDKGLCTGSGEMESWF >KVI06586 pep supercontig:CcrdV1:scaffold_785:146130:153727:-1 gene:Ccrd_015067 transcript:KVI06586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKLDKRLWGPVTGDRRLATGDQRPATKRPVRPETLPDGASRSFCASPSPKTEVWAMDVTGDGGHRRWRCGRWRSPAIFPGEDDLAFRVEGR >KVI06587 pep supercontig:CcrdV1:scaffold_785:165422:168400:-1 gene:Ccrd_015068 transcript:KVI06587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP30/SAP30-like protein MEESYVNNGGGCGFSSSAQFQSCGGGSGGGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEDDDDLEFENGLWNGSDLASDDTQKSHHRSRHRPHKSAGSSSHKTVSRSLSCDSQSKTSFTNSRGSVKVDLSKLEMAALRRYWRHFNLAGVIPNPSKEQLVDIVQRHFMSQTLDELQVIVGFAQAAKKLKTVCK >KVI06588 pep supercontig:CcrdV1:scaffold_785:181665:182304:1 gene:Ccrd_015069 transcript:KVI06588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSGITESGESEKVLLLMESGYMLGFXAVSRDKSNTPSGFTLKLQKHIRTRRLEDVRQLGYDR >KVI06581 pep supercontig:CcrdV1:scaffold_785:9054:9917:1 gene:Ccrd_015062 transcript:KVI06581 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein MHFQPFNRLHYLLSEHPAVVGFRWSHAQSWGATWVFLFSSISFYFIVSVVLHILLLLFRHRTRPVPLGPLPALHSLAMSIISAIILAGTLTSAVAEIRDTRWLWGRTKTPLQWLLCFPLGTRPSGRVFFWSYAFYLSRYLHVIRTFFTILRRRRLSLFQLFTNSIPMVMSFLWLEFSQSFQVLEIVFTTFTYSIVYGYRLWTELGLPTASFPLVANIQIFLLILNLICHCGVLFLQVSGGGCNGIGAWVFNSVLNGAFLFMFLNSFITMRWIRRKAMESTTTNAKIE >KVG14518 pep supercontig:CcrdV1:scaffold_7850:10038:13668:1 gene:Ccrd_026557 transcript:KVG14518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQRAPNAFSILLPLCFVVDVVEEEVYENGVKPRDIDLVMTIVGHYSKREALMWSISMFEVIFILRKELITNKTGAKGILYKVKAKVPKMGSNNP >KVH97284 pep supercontig:CcrdV1:scaffold_7858:1544:9968:-1 gene:Ccrd_000615 transcript:KVH97284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MVVHREIVDQVSGWLTLYDDGFVDRTWTGPPQFKFMSDAVPPHHHFIDGVAVQDLFINDDDDGLRVRVYLPEKPEEKKLPIILHFHGGGFCISEADWFMYYNIYTRLAREAGAIVVSTYLRRAPEHRLPAAIDDGYATLLWLQDLANHKTHQPWLSSKGDFNRVFLIGDSSGGNIVHQVAKKAAGENLHPLKLAGAIPIHPGFLRSVRSKSELENPQTPFLTLDMLDKFLKLGLPEGSTKDHPITCPMAEPLPELDFPPYLLCVAEQDLVIETEMEFYEAMKKGGKKVELMVSDGVGHSFYLNKIAIDVDPKTSEQTDNVRIYTPEVPHAQKLPIILHFHGGGFCISQPDWFMYYNLYTRLAREAGAIVVSTYLRLAPEHRLPAAVDDAYYTPPFSGFKTWQTVKPTNHGCHLRETLSVFSSLETAPAGT >KVI00558 pep supercontig:CcrdV1:scaffold_786:107337:107573:1 gene:Ccrd_021194 transcript:KVI00558 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, delta/epsilon subunit MKSRNTSISSGFAFVHSNSYTNILAVEAVPLDQIDSAHIQKGLTEFTQKLSSASTELEKAEAQIGVDVHSALNSALTG >KVI00555 pep supercontig:CcrdV1:scaffold_786:126416:128175:1 gene:Ccrd_021192 transcript:KVI00555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMMMMVMVMGFDWWMVEEEKETFMRQILGMLVLVDDVFWVFEMVKSIIREQLLIHKLQVVRTRLCRVQDVNLDTEVTIGLGISGSQFHPFPHNCTPSPSLIGPENIIASHLRPCLDRDPPTGDEDFFLLTWISNLLCEPTNHRATNCFADSRKSPHLNLHGHKGVFISPWMLNLGPPSTFDF >KVI00556 pep supercontig:CcrdV1:scaffold_786:16876:23490:-1 gene:Ccrd_021196 transcript:KVI00556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFMNTAKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKARDFNVMFIETSAKAGFNIKALFRKIASALPGMEALSSSTKQEDMVDVNLKSSNSSGSQQQPQSGGCSC >KVI00554 pep supercontig:CcrdV1:scaffold_786:114905:115126:1 gene:Ccrd_021193 transcript:KVI00554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRNTSISSGFAFVHSNSYTDTVPLDQIDSAHVQKGLTEFTQKLSSVSTKLEKAEAQMGVDVHSALNSALTG >KVI00557 pep supercontig:CcrdV1:scaffold_786:52812:53882:-1 gene:Ccrd_021195 transcript:KVI00557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDLSTPPPPLLTTAGTTDTTISQVYNNGGAASAGDTVSQQQQQHAPSPSTSSTSSIIIVIIVIASAIIVSASIYLFLRFLSRRCSRSVSSFSSADDVVSDRRNENEGTHHVICIDNNGVESLPLFTFSSLTGNIAGGDCAVCLSKFEAVDQLRLLPLCCHAFHVECIDAWLKANQTCPLCRSTVNPTEADVLNKILSVSDGSGGGGGGGNRNNSFRIEIGSISRRQTPSDSGRRSYSVGSYEYVLEDGYEVPVESTHRRHISDCTSIDKDSTTPEPPGDNLMAEIAAEGGSARLNWLRDYVDRVSVSLSSRSLSFRGSGRFFTGSSRRSEMVDDFETTHGRVGEEISELFRWLSGV >KVG14034 pep supercontig:CcrdV1:scaffold_7864:3008:12453:-1 gene:Ccrd_026558 transcript:KVG14034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRGYYHTSIPMSIHPTEESHFCTFPTGIGFKIPRNINIQSKNRDVVQAKTQNQSRLDVGHRTTSSTISSLSTLAEP >KVH93632 pep supercontig:CcrdV1:scaffold_787:3240:7361:1 gene:Ccrd_004316 transcript:KVH93632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEDIGDEYKNIGDEYKNYWETNMFLQTEEFDSWGGLEETFSGYYDSSSPDGPQSSAASKNIVSERNRRKKLNDRLFALRAVVPNISKMDKASIIKDAIDYIQHLHDQERIIQGDIMELESRKSESDVFDFDQEAEFMSYERLKKKKIEQSPDSSESRASPVEILELKVSYVGEKTVLVSLKCRKRRDTMVKICEVFESLKLNVVTANITAFSETIFKTLFLQADEEEIDLLKIQIHSAISAINDPPSPMST >KVH95051 pep supercontig:CcrdV1:scaffold_7873:6821:7048:1 gene:Ccrd_002880 transcript:KVH95051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRNGGGSGGHSLLRSAVNDSPSASYVRLPTGESGRFQASNFHVFRSDHGFSTSSSPSAAHRRTTSEFNSRAVV >KVH91473 pep supercontig:CcrdV1:scaffold_7881:6525:12879:1 gene:Ccrd_006504 transcript:KVH91473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coactivator CBP, KIX domain-containing protein MDTSNGRPTQGGSAGGGVSSMQSADWRSQLQADSRQRIVNKITDTLKKHLPFSGDEGLQELQKIAVRFEERIYAVATSQSDYLRQISLKMLTMETRSQNPMPDAIQSNSAANSVNPSDAGSTTMALEKVRKGTVVERGGASSKCRAIG >KVI06865 pep supercontig:CcrdV1:scaffold_789:65422:69598:1 gene:Ccrd_014776 transcript:KVI06865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shugoshin, C-terminal MEGVFLLDSNSDVVFQESTGNSGRKKLADISNMPRKPSTLSQDNKPRPNSATVKEYIEQLQKENAALMKLVADKNRIIELSGAELHKLRITLQKMQQQNLQLAQSNSQMLAELNSGKDRLKDLQHQLGCKNGLLIAKKMELEGKWKTKTCQTNDPKRMNVSEHEEPGVCIVAERDKDKQCNAGGRQKSKSLGPSVRKDQDKGVGDNGRIRARRQSARFKHDEPKSTKDLFHTDNVDLPPCPLLDDTMQEDDSHLVKVSVKKEDVEGDSVSLERRRSSISRPSREAAKKVQSYKEMNVKVKLRRTE >KVI06866 pep supercontig:CcrdV1:scaffold_789:61289:63849:1 gene:Ccrd_014775 transcript:KVI06866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFILLSIVQSSIASSLSVLPSLSITASEQEKGRIEVKYRIKFSSTGSVKIITCKKQTALSNMMKQRHKVEMESNNGE >KVI06867 pep supercontig:CcrdV1:scaffold_789:138888:147104:-1 gene:Ccrd_014779 transcript:KVI06867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin C/H/T/L MIYTAIDTFYLTDEQLQDTPSRKDGIDEATETTLRIYGCDLIQESGILLKVPQQVMATGQVLFHRFYCKKSFVRFNVKRVAASCVWLASKLEENPRRARHVLNVFHRMECRRENLPIEHLDAFSKKYSELKMDLIRSERHLLKEMGFICHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFKSAVVACGVVYAAARRFHVPLPEDPPWWKAFDAEKTGIDEEGPSSGPPMPEDANTSKVSPAAVIPDFAVIKAAIGKLKDSTKSDDNVPVEGEVKEEAISKSKGEHRTDTSGEQSRDRERDKERERHKGRERERGRDSERERERDDAERDREKVKDRSHRSKDRGKDSGHAEKAKHHSSRDRDYHGSSYSSREKDRHRHHSYG >KVI06864 pep supercontig:CcrdV1:scaffold_789:94970:96568:1 gene:Ccrd_014777 transcript:KVI06864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDECSPFNWDCYYQEEAQGIEELKHTLLYTLELETTIACAHEEIARKGDEVLHFKCLLSKTIKERDEAQLKCQGLVMENLILQQKVQEFEANATSFVRNTPTSYLEDDPVLGVDSSSGSDEDFVGAQGMDKVQLPLTQPPEPEVIDNVVLKKGLPENGKFLQAVVEAGPLLQTLLLAGPLPQWQHPPPQLNSIEIPPVTIPSTSTTTTRMLLHQDSCVSTIIGGGSHNNNGGGGLITRKRCLEQSCEALDYDSSPTTNTNAKNQKVVHQSPLTNI >KVI06868 pep supercontig:CcrdV1:scaffold_789:111546:128383:-1 gene:Ccrd_014778 transcript:KVI06868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRPHHRLPLVFTPPSPSRLPLATTPPSPSRCLTRLHHRPIVGPCCTTPIAAAPPLSPPHPPCRRSTPYTTVLSLVAAVAPSLSPVVVADLVSWNFMLSGYAGCSDIVEAHKMFDQMLERDVVFWSIMINGYDKHYVALMTIVSSPMTSAGGFLH >KVI06869 pep supercontig:CcrdV1:scaffold_789:148701:163196:-1 gene:Ccrd_014780 transcript:KVI06869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccharide biosynthesis protein Alg14-like protein MGGGGGSEGKRRWRRQPAKLWKAVDGDGGGDNRQSCGRPEMETVKVTGDGEVGGGDNRRSCGRLEMETEGEEEAGRVAVTARISLIFVARPHSRSPQTNESEVLNHNSFTRNQIRRIKIQIGLVAAQVTAAGCSPPVSSPFAETSKPIRNSSQKHLSTLVVLGSGGHTAEMINLLSVMQKDRFSPRFYVAAATDNMSLQKAYVFEETLDDKGGDDTQFMQIYRSREVGQSYITSVGTTLLALAHALWLMIKIRPQVILCNGPGTCIPICAIAFIFKVLGMRWSYIFYVESIARVKRLSLSGLLLYKLRMADQLFVQWPQLQKQYPRAHYVGRLM >KVI08133 pep supercontig:CcrdV1:scaffold_7894:4396:10419:1 gene:Ccrd_013498 transcript:KVI08133 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein SGWLPIPSFPPLFHSFFSINTSPEHIQNSGNFRSTDQRPPMGKNDKAGLGRALVKHHNHMIQESKEKGQLYYKQHKKVLESITDVNDIDAVIQQADEAVRLFSADNPTAVNTLIDLESGSSTSDMTPEERRKHQKEEEALHASSLSVPRRPTWNAKMSVEELDANERRSFLVWRRSLARLEENDTLVLTPFEKNLDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEAYAREIDEHKRTLLLINKADLLPYSVRLKWAKYFRLHAILYVFWSAKVATTELEGKTHVSSLETNGIIDDEIKIYGREELLARLQSEAEEIVLMRTNSRSNRMENPYRESSVGAKSEHVTVGFVGYPNVGKSSTINALVGAKRTGVTSTPGKTKHFQTLIITEKLTLCDCPGLVFPSFTSSRYQMIASGVLPIHRMTEHREAVQVVADRVPRHVVEGIYNITLPKPKPYEPENRPPLASELLRAYCASRGYVASSGLPDETKAARQILKDYIEGKLPHYQMPPDMSDDEADEPGSDASGTDESDSCVETKEEREGGARLEEVLDDLNSFDMANGLGSSKGVSGARKKAGPASGSSSVAAHKQHKKPQRKKDRAWRVKDDGTDGMPVVRVFQKQVNTGSVMPT >KVG13350 pep supercontig:CcrdV1:scaffold_7897:12289:14133:1 gene:Ccrd_026559 transcript:KVG13350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTWAFRKLTVLPSWLSSLASSSGFPPSSPLFILDSEQLCRLLSICGREGYFKVGSSIHGSLIKNPLFSNLDNPIHHRYNLAVWNSLLFLYSKCGYLSDASKLFDKMPVRDAVSWNTIISAVFRKGLFDVGFDHFKTLYGSFGVHRFDRGTITTILSVCEGLEFSNVHKMMHSLVFKHGYERETTVANALMTAYFGSGRFCSGRQVFIETDNRNVVTWTAMISGLAQNQYYEESLKVFVKMLKGSVSPNSLTYLSSIQACSGLQALKEGCQIHGLVLKLGMHLDLHIESALTDMYSKCGSMPQAWQIFESAQVLDEVSMTVILAGFAQNGCQEEAVEVFVRMVKEGIEIDPNMVSAILAVFGAETSLAFGKQIQSLITKKGFCSNPFVSNGLINMYSKCGELEESIKVFEGMHQKNPVSWNSMIAAFARHGNASKALQLYEEMKQQGIKPTDITFLSLLHACSHVGLVSKGMVFLESMEKEYAISPRKEHHACIVDMLGRAGLLNEAKSYIEGLPVKPDVQLWQALLGACSIHGNSDMGKYAATQLSVAAPDSPVPYVLMANIYSSKGRWIERAETIKRMKKLGVGKDTGTSWIELEKQVHSFVVDDRMHPQTD >KVH96138 pep supercontig:CcrdV1:scaffold_79:331257:333438:-1 gene:Ccrd_001782 transcript:KVH96138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGSKTSSALGPSSMTISSYNGGSSIESLSTPRSQGGEILLSPTMKPFSLLELKNATRNFRSDSLLGEGGFGYVFKGWIDEFTHLASKPGSGIVIATEVTYLGQLHHPNLVKLIGYCSEGENRLLVYEFMPKGSLENHLFRRGAQPLPWATRLKVAIGAAKGLAFLHDAKDQVIYRDFKASNILLDGAGPTGDRTHVSTQVMGTHGYAAPEYIATGDKRRLFRIMDSRLEGQYPQKSAYTAATLALQCLNIEPKARPRMSEVLVNLEELLNAKNVLKDRRILCSPVPESPRRRRQRRSTPSASPQTPSASPLPTPRQSTHVR >KVH96121 pep supercontig:CcrdV1:scaffold_79:23081:33839:-1 gene:Ccrd_001809 transcript:KVH96121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine/AMP deaminase active site-containing protein MDSSASSPLSPIHFALATLFGASVMAISAFYIHKRSVDQVIDRLIKLRRRPDRNYPEHQEFSGYEDGDIDADNRSYLDDDDDDDGAGAYVMNNHSYGMSCSLPNVGLANEWMSEKVGKPVSFGSLEKLVSDNLPPLRMNQRQGEHHHDNQSNPKMRVGSFGRIHTPRSPGSYAYDGADDSDDDGTETAVGEDSQYFDEGVNSSAHVMDLSFYISNILTLPCIHVDIYSNIQSMSMVLSQAENANNNQVNSNGKIAKENNSTVEHGSEKVELSLENIPVNDPNFILPQTTVMKESLNLEEEEVRKMIRECLDLREKYVFREKNVPWTQTHAGDSCLSDIRRDPFRFVPVESTKHHFRMEDGIVHVYASENDSVDLFPVASATTFFTDMHHILKIISVGNVRSACYHRLRFLEEKFRLHLLVNADSEFLAQKSAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGQYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVLSDLDASKYQVAEYRVSIYGRKQSEWDQLASWFINNSIYSENAVWLIQLPRLYNIYKSMGTVTSFQNILDNVFIPLFEVSVDPKSHPQLHIFLMQVVGLDLVDDESKPERRPTKHMPTPAEWTNDFNPAYSYYAYYCYANLYTLNKLRESKGLPTIRLRPHCGEAGDIDHLAAGFLLCHNISHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYGVAAKVWKLSSCDLCEIARNSVYQSGFSHAAKVHCFSHKEHWLGGKYFKRGPEGNDIQKTNVPRMRISFRHQTWTEEMQYVYSGRARLPQEVEF >KVH96143 pep supercontig:CcrdV1:scaffold_79:299040:299819:-1 gene:Ccrd_001787 transcript:KVH96143 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit D MSGQSQRLNVVPTVTMLAVVKSRLTGATRGHALLKKKSDALTVQFRQILRKIVSTKESMGTVMKSSSFALTEAKYVAGENIKHVVLENVQSASVRVRSRQDNVAGVKLPKFEYFTEGEMTKNDLTGLARGGQQVQGCRTAYLKAIEVLVDLASLQTSFLTLDGAIKTTNRRVNALENVVKPRLENTVIYIKGELDELEREDFFRLKKIQAYKKREIEKQQEAAKKFDVASEVSSKKGAPLSSSNSLVSGSQQKDEDIIF >KVH96119 pep supercontig:CcrdV1:scaffold_79:388909:399062:-1 gene:Ccrd_001777 transcript:KVH96119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MDSMSIRVPYKNFKHDADDNAEVEMMKFEEEANRRFESDNSNIFSNGNASSSSSPSQGPPIKNCSLITLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDKCSSKFGRRRPFILLGSLMISLAVIIIGFSADIGYLIGDTKEHCSTFKGTRTRAAFVFILGFWMLDLANNTVQASIFRFTFCINGFGPARALLADLAGDTQTPLCPDQRNSANAIFCSWMAIGNILGFSSGSSGKWHRWFPFLTNRACCEACGNLKAAFLVAVLFLTFCTMVTLYFAKEVPLVPKQHLRLSDAAPLLGGTQQHVLDHSESKPRKNAVDHKVGVMSESVFEMDNSVRNEDLILKEEQVESFSESPGAVLVNLLTSLRHLPVGMHSVLAVMALTWVSSMDLSWFPFFLFDTDWMGREVYHGDPKGDAAEISAYDDGVREGAFGLLLNSVVLGISSFFIEPMCQWIGSKFVWAVSNFIVFACMAGTAIITLISLEESRGIDNALGGNEAIKTASLVVFAILGLPLAITYSVPFSVTADLTADTGGGQGLIGNWSFESCNRSTAGKSMIVSLGAGPWDALFGGGNVPAFVLASLSALAAGIIATIKLPTPSNSYKPTGFHFG >KVH96127 pep supercontig:CcrdV1:scaffold_79:210704:215381:-1 gene:Ccrd_001797 transcript:KVH96127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic porin/Tom40 MGKGPGLYPDIGKKARDLLYKDYQSDHKFSLTTYTANGVAITASGAKKGELILADVNTKLINKNITTDVKVDTNSKLCTTITIDEPAPGLKTIFSFVVPDQRSGKVELQYLHEYAGISTSIGLTASPIVNFSGVAGNNTVALGTDVSFDTASGNFTKYNAALSFSTSDLIASLTLNDKADSVTASYYHTVSPLTNTAVGAELSHGFSSNENSLTIGTQHSLDPLTTVKARVNNSGIASALLQHEWRPKSLFTISGEVDTRAIEKSAKVGLALALKP >KVH96142 pep supercontig:CcrdV1:scaffold_79:303296:307279:1 gene:Ccrd_001786 transcript:KVH96142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MSKAEAEAKSKTKAKSNVSQYDAYFEMIQSRKKLSSSLQEKLTAAFARIPVSSFPQVARGKVIEVLADMSIGDAVKVLSESNIVSAPVRNPDAGDSMDWKERYLGILDYSTIVLWVLETADLAAAALTATSATAAGLGAGTAGALGALALGATGPVAAAGLTAAAVGAAVAGGVAAEKGMGKDAPTAADELGEDFYKVILQEEPFKSTQVKSIVKSYRWAPYIPVTTDSSMLSVLLLLSKYRLRNVPVIEPGKPLIKNFITQSAVVKGLEQCKGRDWFDSITMHRITELGLPFVSKDQVISCQSNELILEAFKKMKENHIGGLPVVEGPTKKIVGNVSIKDIRFLLLKRKIFTNFRQLTTKDFMNTIATTSEDMKKVVSPITCKLESTLGEVISILSTKSVHRIYVVGDGNDVIGIITLRDVISCFITEPPNYVTEFLGPAAQEMLTKGAE >KVH96117 pep supercontig:CcrdV1:scaffold_79:423395:432486:-1 gene:Ccrd_001775 transcript:KVH96117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MKKLFFNRILFTFALYIFCFVSVMDADSAQESLLGSARVVFQTNYGDIEFGFYPSVAPQTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRTAPMNAEQRKEAEKTIIGEFSDVKHVRGILSMGRYADPNSAQSSFSMLLGDAPHLDHEYAIFGKVTKGDETLKKLEELPTRREGIFVMPTERITILSTYYYDIEMETCQRDKSMLKRRLAASAVEIERQRMKCFP >KVH96134 pep supercontig:CcrdV1:scaffold_79:101631:110495:-1 gene:Ccrd_001803 transcript:KVH96134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MAVVTSELEVPSSVSASKLFKAFLDFRNLAPKVEPESFKAIDYIKGDGGIGTIQQTTFVDGSKTKLTVDAIDHTNFSLSTTIFEGGEWMDNLESATYHAKFVPSTTNDGSTYKVSSVYKCKDPAKFTEENAKFADEYTKKTFKAIEAYIVANPNAY >KVH96106 pep supercontig:CcrdV1:scaffold_79:86750:89986:-1 gene:Ccrd_001806 transcript:KVH96106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MEAKERVDDTEEKEVWSWGAGTEGQLGTGKLQDEHIPQLLPILSSLSLLSCGGAHVIALTPGKLVLTWGRGTSGQLGHGNLVNSLEPKPVDLLNNYNITHVSAGWNHSGFVSESGHLYTCGDGSFGQLGLGDYRSQNCPIKVSCFAFRHVEQIACGMRHSLALLKGEHGDQVYGFGSGKRGQLGTSNEKIKSISLPQSVSGLEEVNVSSISANGDHSAALSADGHLYTWGRGFGGTPDVSCPNRVISPFSFTQATLGWNHALVLTDEGEVLMLGGSHHGVHNNPQKENLANQNGVVMTWGWGEHGQLGLGCTSDHTSPQVVRLGENIAHKHMTSKVYCGSGFTFVVRTQRGKRY >KVH96131 pep supercontig:CcrdV1:scaffold_79:182788:190630:1 gene:Ccrd_001799 transcript:KVH96131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MWIGRTNLPSKAAMGLVILTIQAHSPSLKPPECNPINVAVPCQDVHGAKATMLFLGLYLVALGVGGIKGSLPAHGAQQFDDNTVKGRKQRSTFFNYFVVCLAIGALIAVTFVVWIEDNKGWEWGFGISMLTVLLSIPVLIASMLNVNPSNAIASMTSLPPNNVEQQQTVSKDLEELETLSSSLRFLNRAALNKPAYNFLRCSVKQVEEVKVVLRVLPTFGCTIMLNCCLAQLSTFSVQQASTMNTKIGSLKVPAASLPVFPVIFIIVIGPIYNHIIIPFARKARKSEMGVSHLQRIGVGLLFSIMAMAIAALVEIKRKGIATRTGFDPSEPLPITFLWIAFQYLFLGSADLFTLAGLMEFFFTEAPASMKSLATSLSFASLAMGYYLSTVMVSIVNSATGSSGHPGWLSGGNLNQYHLERFYWLMCVLSGLNFLHYLFWAHRYKYQSIGARC >KVH96130 pep supercontig:CcrdV1:scaffold_79:229746:233072:-1 gene:Ccrd_001794 transcript:KVH96130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGKIGRTIRMATSERLRLVGSRPFSTNNKPTTEPNKNGERTDGSLTHHDLYRDLDKLDFMTAANILFTTPPKPKKFGFRTKCSDILEKRRVEEAKKTKAAEGEALESNPQLVEVKERLDSLEKSVKEIMIESKTQRNIKVTENDMQASLWVKNAKINKKHMMHKEAQLVMRRMLIAIAGFIPAFRANGESKTAQRHFGAVLIKTFTFVQHIGGTLALAFPPAPKHGLKLSAADRMGVLPHSPAAATSVTKVGAANK >KVH96128 pep supercontig:CcrdV1:scaffold_79:219707:221267:1 gene:Ccrd_001796 transcript:KVH96128 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook-like protein MTTESSEEGPPSFFKVVRCPSAPHLALPTAFVKRYLEKIPKTPILKTATGESSWRLKIKKIGEDYCFSDGWEKLAKDAQLRIMDIVVVSLIDPFTFEVAFFDANGCDKDLPLNNTSWVYLSLSVYLYCTIIKIKWVLNDGSLAQEFSGDADDVDEVMSDEEDDGDEVMPNENLCFQKVISEKSHRYTMTLPQKFVKAAELENRSGIRMKDHEGKEWIMGLLVEKYHNRHSLSSGWSLFRQYYNLSEGDICLFKFIKEEGVLSLAQVLKNKKAGKQENGNRKETPANMEGVKRKRGRPRVEKPGNGNGGGVKVKSEDEAEVIKRKRGRPPLQKHRDGGVKIENQSEVVKRKRGRPRVEGKIKIEDGDGDGDGDCDCDGDGSVVKIKVEKGGFS >KVH96144 pep supercontig:CcrdV1:scaffold_79:295399:298566:1 gene:Ccrd_001788 transcript:KVH96144 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEAASSLNMEKSMFSFFEVKEAAKMKNNIHLHGDQTLVSKASSQAVNDGDPSLSNEEDDPAEDYKQDGLISSAKADMGQVRQENQKLKHTLTRVLKDYKLSQMHFNDFFQQEVPKSSECLAPDHQEGDGTELISLSLGTLSSHKPKRDGPKKVNCFSKSKEDSDDDQELKLGLGCEFDLTPTRVVINDLKKDETTQIMEPPVNSLKTQRIEDNDLLDQIPLKKARVSVKVICDTQTMNDGCQWRKYGQKIAKGNPCPRAYYRCTMSPSCPVRKHVQRCAEDRSVLITTYEGTHNHPLSVSATAMASTTSAAASMLKSTSSTSQPGLTTTAASSTATTFSSPHGMTYNSRAPQYPFYLPNTTISTYQSHPTITLDLTSSPHFNRSTSSNFGMGAPRFSSSTCLNFSSPSSSTSSSIESNYKNPIAFSYLGRQTLNEAVNPTSLNDSYKNNSSSALQQHSAETIAAATKALTSNPSFRSALAASITSLVRNAGGGARI >KVH96139 pep supercontig:CcrdV1:scaffold_79:329218:330385:1 gene:Ccrd_001783 transcript:KVH96139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MAFSSKMVPIFIVFMAFLCTKAFSQCEDETNNPCNNKSKAFSLKIIGIAVILVTSIIGVCLPLVTRSIPALSPDRSLFVVVKAFASGIILATGFMHVLPDSFDMLRSSCLADNPWHKFPFTGFVAMLSAIFTLMVDSMATSTYTKKNNAISAEDHEMAATSFHGHHHTQKGPIGSQLLRYRVVAMVLELGIVVHSIVIGLGVGASNDVCTIKPLVAALCFHQMFEGMGLGGCILQAEYKTLKKAVMVFFFSITTPFGIALGIALSKTYKENSPSALITVGLLNASSAGLLIYMALVDLLAADFMGPKLQGSIKLQIKSYAAVLLGAGGMSLMAKWA >KVH96107 pep supercontig:CcrdV1:scaffold_79:93069:94534:-1 gene:Ccrd_001805 transcript:KVH96107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSRKREKPYFSRHTPYNFPKRRRPLPSDPAIDDDYFPDQPTSSGDTNTVVIVAGLSSDCSVLDVKSRFEIYGSISRTRMDSGGFAYITFRSRDSAESAIAASLDPSFGITLNSTRVQVTWANDPVPQWREGVKKKEASSSSKLLKPELPLSRHGRGNKLGSAIVNYRDEKNDGNTTINNEKNGGRKGLNVPSRGREIIAYDDIL >KVH96109 pep supercontig:CcrdV1:scaffold_79:128370:134511:1 gene:Ccrd_001801 transcript:KVH96109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small multi-drug export MASILSHSSTFLAISHKRSDFKPSNHQLTLSFLQNNQSHFISKPHLINKTPPRPEFRINPCCTATKSSSTTNGFLEKDESLPLVEDSPVKFLVWVFLWASVSVALFAFSPGDAKAQQAQAAVQSIKASGFGVKFANFLRGSGWPDEAVVFALATLPVIELRGAIPVGYCLQLKPVILTVLSVLGNMVPVPFIILYLKPLATFLARTSKPAARFLDLLFEKAKQKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASILDIPFWSAVSANFFGVVLAGLLVNMLVNIGLKYAIVACIVMFIASGFMWSILRGLKRYLSL >KVH96108 pep supercontig:CcrdV1:scaffold_79:97859:98670:1 gene:Ccrd_001804 transcript:KVH96108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MAVVTSELEIPSSVSASKLFKAFLDFRNLAPKVEPESFKAIEFIKGDGGIGTVQQTTFVDGSKSKLTIDAIDHNNFSLGTTIFEGGEWMDNLESATYHAKFVPSAANDGSTYKVSSVYKCKDPAKFTEENAKFADEYTKKTFKAIEAYIIANPNAY >KVH96126 pep supercontig:CcrdV1:scaffold_79:276213:278381:1 gene:Ccrd_001790 transcript:KVH96126 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen LGAPWSSDNDTQIPEASDYTSERAKLAEKASISVDGKNSSRRSLAGCEPTNPIDNCWRCKPDWADNRQALAQCVKGFGTGTTGGAGGEIYKVTDPSDVDAAAPVEGTLRWGVTRERPLWIIFERDMVINLIHELVITSDTTIDGRGVKVEICNGAGLSVYKVKNVIVHGLYIHDIKETKGGTIASGEGKPGPRSEADGDAIKVFGSTQVWIDHCSFAGGPDGLVDVTMGSTLVTVSNCKFNDHDKVMLLGADDSHSEDKIMKVTVAYNKFSTGCVQRMPRCRWGFFQVVNNDYEKWGLYAIGGTSNPTILCQGNRFVAPDDKNKKKVTMRVGVEEAEWKKWNWRSQDDLLLNGAVFIDSGSDPQLTPEEQAGMIPPEPASTVETLTKDAGFLVCSPGTPC >KVH96116 pep supercontig:CcrdV1:scaffold_79:543348:545125:-1 gene:Ccrd_001772 transcript:KVH96116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4, conserved site-containing protein MDSHFVLDGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLEEKDPRRIFEGEALMRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIKQRHIRVGRQVVNVPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKAAGGDADEDDEE >KVH96120 pep supercontig:CcrdV1:scaffold_79:408552:410425:-1 gene:Ccrd_001776 transcript:KVH96120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSSRRSRSKKSGESMITDTQMTDLISKLQRLIPNHTNSSKVSASKVLQETCNYIRSLHREVDDLSEKLSELLESTDANSAQAAIIRSLIV >KVH96140 pep supercontig:CcrdV1:scaffold_79:313898:315077:1 gene:Ccrd_001784 transcript:KVH96140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MASTSKMVSIIIMYMPLLFTKAFSQCEDETNNPCNNKSKALSLKIIGIVVILVTSILGVCLPLITRSIPALSPDRSLFVMVKAFASGIILATGFMHVLPDSFDMLRSSCLTDNPWHKFPFTGFVAMLSAIFTLMVDSMATSTYTTKHTTISTAGDHEMAVTNGGVHFHGHHHSQKGPIGSQLLRYRVVAMVLELGIVVHSIVIGLGVGASNDVCTIKPLVAALCFHQMFEGMGLGGCILQAEYKLMKKAIMVVFFSITTPFGIGLGIGLSKTYKENSPSALITVGLLNASSAGLLIYMALVDLLAADFMGPKLQGSIKLQIKSYAAVLLGAGGMSLMAKWA >KVH96122 pep supercontig:CcrdV1:scaffold_79:42914:51245:1 gene:Ccrd_001808 transcript:KVH96122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLGLECQVCGFVGLDDGGDGFFYCQRCGSQADGIRDTAVDNDEMLLTKENVGGIVQRRVPVVKTEPVSQSQPPSQFWETLRTQEDDAEAGDGVGPTEPVDFGRGPRTLSYEDYYSEIRMRYVMGVQIMIELQIKALVEKFNVCPIIVGMVEPVWLRFVASTQIFTDDWADEVINESESQVQGETEVVGPRARHRAEPHNILGKRSVMIWYQYVSKTIPLSYSLVISFLVCHLAREPILSTDIVKWTLDGKLPYFAAFVEIEKQIGPHTNACPLSSSRMFRPIQAISIQKLESLAACIAHSIDLELPPVNFYAIASRYLRQLSLPVETILPHACRIYEWSMPPELWLSANDFRLPTRACVLSILIVSIRILYNIHGFGKWEMSLSSAIKRSSSAGKREDGKNLNISDIDADKEKEAESEYNVDVNSAAELSYILINPKLQDDDDDSDDSDRKPYNKLPRKSNWDATKILVVLQSKYNELIDTSDHCKDLQTYLEYCKDVVFAGMELSFEDHEEQQIIEDLWNFYRKEEDHKQSSPLESRFSIPTCGPHKRPRDSSKRKTNKTKKPKDDDAQISVDDSKGSHKEIAIKRMISNMEENKFYYIPPRTKVKRSDYLHYTRKKGDGAYTYAAHADYYILLRSCARVAQLDVRSMHVAVLNFERRLAWLEKNIDHCLKIMPTSEDCELCRDDEMMQTVPNDDSVDFSKLNL >KVH96118 pep supercontig:CcrdV1:scaffold_79:445539:446939:1 gene:Ccrd_001774 transcript:KVH96118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MLEDRSSFVPKNCSRETKNNWANVNDYGMDPLESQQGKRPLEINQDEEPDHATKICKKFVGNHGLQIRLGFGDFPMAPHDREGDHSKKIDDLEYPIIPPDQLENQHDSVSNSDHDSDMNMDLDTSYLILDIGRDNTINCLIRCPRSTYGAIALLNRSFCDLIRSGELYKLRRVNGVIEHWVYYSCNLVKWEAFDPISRKWMLLPKMAVNPCFRFSDKESMAVGTELLVIGKEIMGDVVYKYSLLTNSWSLGNPMNSPRCLFGSANLGQIAIFAGGVTRNGEVLDVTESYNSESGTWETLPSLLKPRKLSSGVFMDGKFYVIGGIGGSDMESLTCGEEYDLETKKWTEIPNLAPGRVGPSMAPPLVAVVNNELYAADCFGLVVKKYDKKKKEWETIGRLPESAENVDGWGIAFRGCGEHIIVIGGPKCGFRXIEIYSWVXSEGPXQWTMIGRKRADNFVYNCAVMGC >KVH96133 pep supercontig:CcrdV1:scaffold_79:16648:21782:1 gene:Ccrd_001810 transcript:KVH96133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cross-linking MEFFEKAVAVRLKSSLDKYLVAGDDHETVRQSSSASATRAAGWLIEHVESNGHVIRLKSCYGRYLTATNTPLLFGMTGNKVIQSVAENTKDLSIEWQPVRDGFQFKLKSSGGTYLRANGAMPPWRNTVTHDGSFTSATNSCILWDVEAIDIPDDEEDNNDRNLSIVSSYSSASGELSGRELGSPISVHSSRFSPRSPTLSMMKKLPLFRLKFSMKLIKPRRLVEESGPPVVELLRNTKTVRLRSRHGKFLHADYDQESVSQDRHGTTKNNYWSIEFVENTPDNVTIIRLKSCYGKYLTASNHPFLLGLTGKKVLQTVPSRLDSSVEWEPLGRGKQVKLKTRYGHYLRANGGLPPWRNSVTHDIPHRTATQDWIMWQIDITVQSPPAPRLPLQLVPYADSFTSSEPGSPSTNWSKSPTFSNQESIDSPLKREEGRAIYYHVVSDDFEDSDEDEHGFCISFKGNRVNELTRKLEEETRLHNITVCSPSPLDGKLYPLQLELPPNNFTMKVVVVPNSSQGERQLRIKG >KVH96136 pep supercontig:CcrdV1:scaffold_79:345296:346151:1 gene:Ccrd_001780 transcript:KVH96136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREDFLVNSMHLSSSNSLILYQTFFGNKKTHWQKGRRIIRKALYIRQWRRALLQGGDCEVLAVGLGVVGSIPS >KVH96114 pep supercontig:CcrdV1:scaffold_79:567420:568373:-1 gene:Ccrd_001770 transcript:KVH96114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MEPQDQLNYLLLQTHSGVVTIGEEDATVDLQPLLPSPKPPQKRGSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRGSGSTISAGPTSYLRNGFSFITDQPTAHLMDCPTSARKHDELCVDPLGIDQSNYMTQSSNPAGNDQIPARAVYLNPNSSSTSTRSTRVFSGDSTWNFPSTSNKSSKYNNNSNIYRGCSRPSNNGSGGGDAGGGVHFMNFGTQTALFNGQQFSGGAMTADGMLAALSSIRTNFGGGSDHLSTMNHGDHHQQHETSSHHHS >KVH96141 pep supercontig:CcrdV1:scaffold_79:308054:313240:-1 gene:Ccrd_001785 transcript:KVH96141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrrolo-quinoline quinone beta-propeller repeat-containing protein MQDDEWVNHGGDIANRRYAADEFLINPSTVHKLRLRWRFFTGNDISATPAVANGVVYFPSWNGFLYAVNAFNGALIWKRNLTQLTGLPGTGTYMNVSVSRATPVVASDLLLIGIYGPAVVIAVTRASGNLLWSTTIDPRPLALITASGTVYSSLVKLDIRSGAIQWQTYTVPDNGGRLGSFSGAAIWGSSPAIDVSRGIVYVATGNLYTAPPEVLECQEKQNNQTSKPTQPDQCTGPDIRFNSIIAFDINSGQVIWSRQLGGFDIFYFACLVPNNPDCPPGPNIDADFGEAPMLLTIYSNGTTRDIVVAVQKSGFAWALDRENGEIVWFKLAGPGSNEGGGIWGAATDGIRVYTNIANGARVQFTLAPTNQTTSAGAWVALDANNGQILWTTADPSNNAAEGPVSLTRGVLFAGSVAPNGPLYAMDARTGSVLWSYNTGATIYGGVSASYGCIYVGHGYTVGLGKFHPWTRGNSLFAFYIF >KVH96129 pep supercontig:CcrdV1:scaffold_79:229682:230368:1 gene:Ccrd_001795 transcript:KVH96129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MASTTSFAFATLFIAYLLAAPTFVTEVAAAGECGRTPIRSAALSLSPCLGAGGNARAKVPPMCCTKVNVLIKTAPKCLCAVLLSPLARKAGIKPAIAISIPKRCNNKNRPVGKKCGRYIVP >KVH96135 pep supercontig:CcrdV1:scaffold_79:118055:118873:-1 gene:Ccrd_001802 transcript:KVH96135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MAVVTTELEIPSSVSASKLFKAFLDFRNLAPKVEPESFKAIDYIKGDGGIGTIQQTTMVDGSKTKLTVDAIDHNNFSLSTTIIEGGEWMDNLESVTHHANFVPSAANDGSTYKVSSVYKCKDPAKFTEENAKFADEYTKKTFKAIEAYIVANPNAY >KVH96123 pep supercontig:CcrdV1:scaffold_79:242943:250574:1 gene:Ccrd_001793 transcript:KVH96123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase, C-terminal beta-sheet MATVPLGAHLHHCCRLEIPKFTINSRKSKQSSLYYSRKGSFMLKNGANSCNSRPSSDSRIPTTTVRASSNSSSSDTALLDAAVSDDVIFRESFPLQRIEKVLSNSTSLEGKAKLEDERRSLRQKTENFWGNLETEKIRKPVEGKILIRLDSGKDEENWQLIVGCNLPGKWVLHWGVTYVNDIGSEWDQPPVEMIPEDSISIKDYAIETPLTKSSTVTEADSIYEVKIDFNTKSSIATINFVLKDEETGSWYQYRGRDFKVPLMAFSTDDGNAVGTKQGFAVWPGSSGQLSSMLIQSDGEGENKSDDSKESIQQKRSLQSFNEEHYIIKETLLENSMNVYMKRCSEASSNLLRIETDIPGDVIVHWGVCKDESKKWEIPVGPYPANTSVFKNKALRTQLPIKEDGQGCSGVFSLDEETTGFLFVLKLKDDTWINCMGNDFYIPIPKESIEGPGENNISGSDVEVDQEVSVYTNDIINEIRHLVTGISGGANQKTKGKEAQETILQEIEKLAAEAYGIFRSSVQSIPEVESDAEDVEDHVKVSSAVGSGFEILLQGFNWESNKSGRWYLELEEKVEELASLGFTIVWLPPPTESISPEGYMPRDLYNLNSRYGSVDELKALVKKFHKAGLRVLGDAVINHRCAHYQNKNGVWNIFGGLLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLGEWLRWLRKEIGYDGWRLDYVRGFWGGYVKEYMETSEPYFSVGEYWDSLSYTYGAMDHNQDAHRQRIIDWINATNGTAGAFDVTTKGILHSAIERCEYWRLCDSNGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPSVFYDHLFSHHKSDISALISIRNRNKIQCRSTVRVTKTERDVYAAIIDEKVAMKMGPGHYEPESGSLNWSVAYEGNDYKVWEAS >KVH96105 pep supercontig:CcrdV1:scaffold_79:68399:71948:-1 gene:Ccrd_001807 transcript:KVH96105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MPPSSLPENDAGKAHHHSPPQAKPTQRKPGGWKSMPYVLANFTVFLMTVFHMDQVSSSNLINIWSGVTNFAPLVGAFISDAYVGKFWVIAFSSFATLMGMVTMTLIVALPKLHPPACSPQQTILNQCKGPTNKQFGFLVLALGFITIGSGGIRPCSLPFGVDQFDPTTDEGRKDINSFFNWYYTTFTIIVLIALTLVVYIQDSVSWVWGFGIPTMLMVCSIALFFVGTKIYIYVKPEGSVFSSIVQVLVAAYKKRNLPLPKVSLWNLASIQQIEEVKCLVKVIPILVSGIICFTAMVQQWTFTVSQALKMDRHLGPHFQIPAGSINIISMITIGIWLPIYDRILVPSLRKITKIDSGITLLQRIGIGIVFSILSMIVAASIETMRRNSANNHHGSDGVAPLSVMWLAPQLILLAFAEAFSILGQLEFYYKEFPDHMKSVATAMFSVTAGVANYVSSSLVTTVHKVTGKDGKPDWLTADINAARVDYFYYVIAGLGVLNLVYYLIVASRYQYKTKIKDIDEDESQFDVELNGIKI >KVH96145 pep supercontig:CcrdV1:scaffold_79:281258:284813:1 gene:Ccrd_001789 transcript:KVH96145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant transcription factor MEMLNLSISSTRALQNPKPPFTLAPQISAPSTIKFINPTSRKLNLKCQYSDQQQRPDVSQPRQETPKVFIGHSIYKGKAALTVEPRPPEFAPLDSGAFKLTKEGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGNLISLGARDSCEFFHDPFKGKRPEDITRSNNANSRSGADLEWNR >KVH96137 pep supercontig:CcrdV1:scaffold_79:338031:342901:1 gene:Ccrd_001781 transcript:KVH96137 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MEAHGVSFRRVLEMAFCVAGIWSAYIYQGILQETVSTKRFGPDKERFEHLAFLNLAQSAVCLIWSFMMIKLWPSGSTGRAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYICTLLVAGGVSAFALAKSSSKALSKLAHPNAPLGYGLCFLNLTFDGFTNATQDSISARYPKTGAWDIMLGMNLWGTIYNLVFMFGFPQASGYQAVEFCKNHPEAAWDILYYCLCGAIGQNFIFFTISRFGSLTNTTITTTRKFVSIVVSSLLSGNPLSKKQWGSVVMVFTGLSYQIYLKWQKVQKKKKTV >KVH96115 pep supercontig:CcrdV1:scaffold_79:467564:468055:1 gene:Ccrd_001773 transcript:KVH96115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETQPKRPREPEPEPEELFSCEMEITKRHKNSYNQILSILDDNTEDEKQETTQDLTDFFTALQRELSSSDPLPELAVKPDQTPKQETEDGDGDKERVIRHLLEASDDELGIPNRVGDGDGGGEDDILAGEEVGFPVALCDGLWELEDEAANYYTLLHSELIM >KVH96124 pep supercontig:CcrdV1:scaffold_79:258301:258987:-1 gene:Ccrd_001792 transcript:KVH96124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MASTTSFAFATLFIAYLLATPTFFREVAAAGECGRIPIRSAALSLSPCLGAGGNARAKVPPMCCTKVNVLIKTAPKCLCAVLLSPLARKAGIKPAIAISIPKRCNNKNRPVGKKCGRYIVP >KVH96110 pep supercontig:CcrdV1:scaffold_79:146809:148785:-1 gene:Ccrd_001800 transcript:KVH96110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MEGGVVFEALLQNQRLTFSSDGFDSPWISNSFQGEKTNTKKRLSEQEENSDEDYEKCFRPTEKKRRLSVDQVQFLERSFEEENKLEPDRKIQLAKELNLQPRQVAIWFQNRRARCKTKQLEKDYEILNSSYDKLKSEFDCLQKHNDKLKHEVEMLKEKLHQREKGEKDSIPNEFPTEELDSNAQEPKPHPISIENWSNNEPKMVICKQEYANSVSAKSDVIDSYSPDVNHSSFLEPCDSSNVFENQSDFNQEEDNLTILRCPKIEYESYIDPNEGSLGYPIEDQPFWLWP >KVH96112 pep supercontig:CcrdV1:scaffold_79:371688:374794:-1 gene:Ccrd_001778 transcript:KVH96112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MTCTGMAFFSNNFVLQTSQEDDHHAPPLLPPCTTTQDFSGVASFLGKRSMSFSGMNNMDACDQEGGNMNGEDELSDDGSQLLAGEKKRRLNMEQVKTLERNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDTLKRQFEAVKAENDSLQSQNHKLHAEIMALKNREPTELINLNIKETEGSCSNRSENSSEIKLDISRTPATDSSLSSHHQHHQQQQPIPNLFPASNIDRPNTNNIVAHQLFHNSSSRPTDHQLHCQKLDQSTGIKEECFSNMFVGIEDQSGFWPWLEQPQFN >KVH96113 pep supercontig:CcrdV1:scaffold_79:547122:549472:-1 gene:Ccrd_001771 transcript:KVH96113 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MASQNFFSVVAKNIDVLVGYASIKAIETRSQTDDQQWLTYWVLYSLLTLFELTFSKFLEWFPIWPYAKLFATCWLVLPYFNGAAYVYKHFIRPFYKNPKVQIWYLPRRKGIFGKPDDILTAAEKYIAENGTEEFERLISRADREARSRRGGYTIFDDNYGY >KVH96132 pep supercontig:CcrdV1:scaffold_79:205369:210169:1 gene:Ccrd_001798 transcript:KVH96132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAKRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGERRRFYRLIDPRLEGHFSVKGAQKSAQLAARCLSRDPKVRPLMSEVVESLKPLPALKDMASSSYYFQTIQSERVGSSPNGRTGVRIQGGSFSRNGQQHPRSLSISNSSRASPYHQQNSPKPNEKQ >KVH96125 pep supercontig:CcrdV1:scaffold_79:266288:267897:-1 gene:Ccrd_001791 transcript:KVH96125 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MDKQQFFLFFFAFGFLVPGMIARASANETAEMLELAEKASVPTRRSLSGCEPTNPIDNCWRCKPDWADNRQAMAQCVKGFGTGTTGGAAGEIYKVTDPSDDDVANPKEGTLRWGVTRQRPIWVTFEKDMSITLKHELVITSDTTIDGRGAKVEIANGAGLSLYQVSNVIIHGLHIHDIKETPGATIASGEGKPGPRAKADGDGIMCFGSSKIWIDHCSLSGGPDGLVDVTMGSTGVTISNCKFNDHDKVMLLGADDSHSEDQVMKVTVAYNKFSTGCTQRMPRCRWGFFQVVNNDYDKWGMYAIGGTSKPTILSQGNRYVAPDDPNKKLVTMRQPDIPEAEWKSWNWRSTGDLFENGAVFIDSGADPQLTPEQQAGMIAPEPAASVPTLTKDAGFLTCSPGAPC >KVH96111 pep supercontig:CcrdV1:scaffold_79:346023:351842:-1 gene:Ccrd_001779 transcript:KVH96111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKKKACDGNNSDKGGGDDLKQKERHLVSWSKEEDDILRKQIGIHGTNKWFTYLNSDFKKGGWTPEEDIILCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSILCKRKAKHEASSNENNAAEVNANNKRTMFDNREDTEGILETSMHPMKLRRKHIAAATEDCNLVGLVGDSRTVDQKRRPPFVVLVQNCDNTNTSTAQIHASSKKALIDGNSLITTQTTSLIVEGTTFKKDDPKIVALMQQAELLNSLASEVNIEKTDQSFKSAWKAVQDFLNHTKESDKLRFHISDMEFQLENFKILVEDIRSCRDDNQRFWSQADVYQGSPDSSEYGTMSSIPSHINEKTKMAEDLCKVEPRPSRPIHPNNLVDDRNIEEYDAAAHQDIIPSSSKLNDDVRGVCPLSNSEFSSPLQVTPLFRSMAEGILSPQFSESEKHFLLKTLGMEPTTPNPTARTSQSPPCKRALLHCL >KVH97147 pep supercontig:CcrdV1:scaffold_790:144016:145896:-1 gene:Ccrd_000761 transcript:KVH97147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 62 MHSLICIILFFNLVIIFNGPATMAESSSSPKHHQVSHQRRKLAGIQTTFKLPSPLPNWPPGGGFAGGTIDLGGLQVSQVSTFNKIWAANEGGPDNLGATFYDPVSIPQGFFILGSYSQPNNMPLFGHVLVAKDVINDPSNPTLKPPVDYTLVWSSQSLNIKKDGDGFIWFPNPPNGYRAVGYVVTSSLGKPSFDSVRCVRSDLTDSLESNGNWIWGPSASINANGFNVYGSRPINRGVGAMGASIGGFVVQNGIGGTTLSLSSLKNLKGSLGSSMPNLKQIETLIQTYSPVVHFHPSEPYLPSSVDWFFQNGALVYHKGDESNPNPINPSGSNLPQGGSNDDTYWLDLPKDGSKERVKKGDLQDANAYFHIKPMYGGLFTDIAIWLFYPFNGASRAKVEFINISLGKIGEHVSDWEHVTLRISNFNGELDSMFFAQHSWGTWLSASVLEYSNGNKPVVYSSLNGHSSYPKPGLVLIGPIGDNIGLRDDTAKGGKVMDTGVGATVVAAEYLGSAVVEPPWLNYFRKWGPKIEYDLDKEIKNLEKIMIGKLKKAFDKFVNGIPREVLGEEGPTGPKVKNNWSGDEM >KVH97140 pep supercontig:CcrdV1:scaffold_790:29289:35279:-1 gene:Ccrd_000754 transcript:KVH97140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase MASPIKTVVILVQENRSFDHMLGWMKTINSDIDGVTGKESNLLSTADHHSLRLYHTNKAGFIQPDPGHSFEATYEQIFGAEWSESASHGLTPTMNGFAQQAEKVQQGLSEVVMTGFDPDSLPVYKELVSEFAVCDRWFSSIPTLTQPNRNLRKLKYIDNFHQFDLHFDRHCKEGKLPNYVVIEQRYFGIKVLPGNDDHPSHDVSDGQKFVKQVYESLRSSPQWNEILFVIVYDEHGGFYDHVPTPNVGIPNPDGLVGPPPYNFQFDRLGVRVPAILVSPWIERGTVLHKPTGPYPTSEFEHSSIPATVKKIFGLKSFLTKRDEWAGTFEGVASRSTPRTDCPVVLPDPMKMRETEPDENEKLSEFQQELVQLGAVLKGDQEQDHKFVENMTVIEGVNYVENAYKKFCEDCERARSDGKDESYIVCLKEPAPSREESAPSREKPSESFAQKLLSCLTCDN >KVH97148 pep supercontig:CcrdV1:scaffold_790:131501:140673:1 gene:Ccrd_000760 transcript:KVH97148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MSVDLASNTYGNLDEQISQLMQCKPLTEPEVRTLCEKAKEILMGESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGFNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFDPAPRRGEPDVTRRTPDYFL >KVH97143 pep supercontig:CcrdV1:scaffold_790:83341:90787:-1 gene:Ccrd_000757 transcript:KVH97143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGMIIIDGSTVRAFVNDDVQFRCNVDERMGIIVIDGSTVRAFVNDDVQFRRSVDERFVSLDTNNDGVLSRSEMRKAFEVMRLLESDSGADNNGSIGIEEFRSEVKKMMLVVAEGIGSSPMQMVVGDDDQNFLKKAADLEASKIC >KVH97149 pep supercontig:CcrdV1:scaffold_790:166077:167957:1 gene:Ccrd_000763 transcript:KVH97149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYLFLLLFSFQYVHSISAVGSPINIWPKPRIFTWTTPQAIPFSPSFTISSPSHPYLTSAVNRYLRQIQAAHYNPLVVPPINVTVSLPLQTLTITVSDLAATLSHGVKESYTLTVPSNDASAMLTTATPWGAMRGLESFSQLVWGNPAVVAAGLVISDWPMFEHRGILLDTSRNYYGVEDLLRLIGGMSANKLNVFHWHITDSHSFPLALKSEPELAGKGSYGSSMQYSTEDVKRIVQVGLEHGVRVIPEIDMPGHTGSWAEAYPEIVACANMFWWPAGSPWEDRLAAEPGTGHLNPLIPKTYDVVKNIVKEMTTLFPDSFFHAGADEIIPGCWKADDTIQKYLASNGTLSQVLEIFINSTNPYILSLNRTVVYWEDVILDAEIKVNPSILPPETTIMQTWNGGPNNTKKLVSAGYRTIVSSSDYYYLDCGHGDFVGNNSAYDQPPGFEQGKGGSWCGPFKSWQLIYNYDITYGLXETEAKLVLGGEVALWSEQADPTVLDSRIWPRASSMAEVLWSGNRDETGKKRYAEATNRLNEWINRMVSRGVKSEPIQPLWCIRNPGMCDTLNPV >KVH97145 pep supercontig:CcrdV1:scaffold_790:9087:11344:-1 gene:Ccrd_000752 transcript:KVH97145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase MASPVKTIVILVQENRSFDHMLGWMKSLNPEIDGVTGKESNPISTTNPNSGRLYQSNESGYIQPDPGHSFQAVYEQVFGEPWSQESSSSPIPPTMEGFAQQAESVDPGLSKIVMSGFKPDDVPVYRDLVMEFAVCDRWFASVPASTQPNRLYVHSATSHGAISNDTHKLIEGFPQKTIFESLDESGLSFGIYYQYPPATLFFRNLRKLKYINKFHQFDLDFKRHCKEGKLPNYVVVEQRYFDLKFLPGNDDHPSHDVSEGQKFVKEVYEALRSSPQWNEILFVVIYDEHGGFYDHVPTPVVDVPSPDGVVGQPPYNFRFDRLGVRVPAILISPWIERGTVLHGPSGPFPSSQFEHSSIPATVKKIFDLKEFLTKRDEWAGTFEVTLPEPEKMRHEEANEDANLTEFQEELVQLGAVLKGDHKESIYPDELVENMKVNEAVEYVGAAFKKFCDDCEIAKRDGKDDSYIVCDGESSSHRKTSKTFIQKLFSCFVSDH >KVH97139 pep supercontig:CcrdV1:scaffold_790:108931:109278:-1 gene:Ccrd_000759 transcript:KVH97139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSPLPIHLCFFLTILFMFVSLSWYSSYESMFEGLFDNLKLVFIVSPVLLLVVLHLISTFDATGGRSPFFVAAADYDSRAGGTPWGVGLVLVLLFFLLSYQSDLRERWFPLLS >KVH97141 pep supercontig:CcrdV1:scaffold_790:71529:73045:1 gene:Ccrd_000755 transcript:KVH97141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MNFLCISFFIFLLPIAGASLPQPLSFQKGFTQLFGGDTNLLRFHNDNTVHLHLNQYTGAGFRSSDLYNHGLFSARIKLPSEYTAGIVVAFYTSNGDVFEKTHDELDFEFLGNIKGKPWRFQTNLYGNGSTSRGREERYTLWFDPSKAYHRYTILWTSSKIIWAVTSLRSRWRYMRPYGMLRIGLLTVANIR >KVH97138 pep supercontig:CcrdV1:scaffold_790:93991:94350:-1 gene:Ccrd_000758 transcript:KVH97138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINNPPPPPPAPPSNSSLCMHLCSFLLILLMFMAVSFYSNYDNSTSIAFLSFFDQMKLVLVAASILLLLLFLFLFDGCRSRTLIIGEKESGAGGGGGGSAWGVLLLLTILLLFAFFPV >KVH97150 pep supercontig:CcrdV1:scaffold_790:157952:161128:-1 gene:Ccrd_000762 transcript:KVH97150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVLRLLNLVLNIFIRKKKPLPVQTMLRFPLKPPNFSQGGSFATGTIDIGGLQVCQVSSFNKIWATHEGGPNNIGATFYEPSPIPEGYFMLGHYSQCNNKPLFGWVLAAKPNANDPSLLAMPTNYTLVWSSESQKIKQDDIGYIWLPVASDGYRAIGYVVTTSPEKPSVERIRCVQSDLTEVIEFDRWIWGLKDEIDPNALNVYGSRPKERGVRAMGISTGSFLVQGSGGSADMSLLYCLKNTKNTLSAMPNLSQIEALIQAYSPIIYFHPDEKYLPSSVNWYFKNGALLYQKGLETRSSSVEPDGSNLPQGGSNDGSYWLDLPIDNSAKEQVKKGDLDNANAYFHVKPMFGATFTDIAVWVFYPFNGPARVKLEFINVSLGKIGEHVGDWEHLTLRVSNFDGSLKSVYFSEHSRGMLVDASELEYGTGNKPVAYASLNSHAFYAKPGLVIQGSGRNGIRNDTAKGKAVMDTGVRAAVVAADHLSTVVAEPPWLNYNYNWGPKISYEIVKEIEKVKRVLPERLKEAFEKVVRGIPNEVLGQEDLNQKSCCGTSAIPVVVSHLGIKEVAHSSFPSPTSRRLGEAIVFAKHPLSLCSKIRRLQRLTDLVKSMNSDNERL >KVH97144 pep supercontig:CcrdV1:scaffold_790:521:2781:1 gene:Ccrd_000751 transcript:KVH97144 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MLLSTPVSTLKWAFLMTMITTMVTSNPPEEPIKCSTNLTNCNVTNSYGAFPDRTTCRAAEVTYPSSEQDLIAAVATATQAHRKMRVATRYSHSIPKLVCPAGDDGLVISTEKLNRVIEIDKDSGLMTLESGVTLRQLIDEASKNGLALPYTPYWWGLTIGGLLGTGAHGSTLWGNGSAVYEYVVQMRMVAPGRAEDGYAKVWTLEENGESDGDMKAARVTLRLQPLFKRSITFLTKNDTDLSDQVTTFGRQHEFADITWYPSQKRVVYRIDDRVSSNVSGNGLWDHPGFRATPSLVLLILRSSARFLCLNVEEDQEAKGDVAGKCSAGKLTTDGYPVVGYQNRLQASGGCLKGPKDAGITACPWDPRVKGLFFHQTTFSIVLSKAKDFIQDIQKLVNITPQSLCGLDLYNGILMRYVTGSSKAYLGKQEDSLDFDITYYRSKDPKSPRLFEDVLEEIEQMAVFKYGGLPHWGKNRNVAFEGAIKKYRKARKFMKVREKFDPLGLFSSEWTDQVLGLKGGLSIVKEGCALEGLCVCSEDIHCAPEKGYLCRSGKVFEDARVCTLVHQVKEADTSSSINIEI >KVH97146 pep supercontig:CcrdV1:scaffold_790:19526:25642:-1 gene:Ccrd_000753 transcript:KVH97146 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MEIDEKENPNPNATTLPPDSIVQIAPVEPPIPLVPTPIVPTIAPIPVLPPPPPPIPRPLAPLPSIRPQNGDAAKPTNEEADSDEEGDGSGPEYEISEESRLFREKQEKAKQDFLMKQRAAALAVPTNDKAVRSRLRRLGEPVTLFGEREMERRDRLRMIMAKLDSEGQLERLMKVLEEEEAAVNAVGMEDGGGEEEGEAIQYPFYTEGSMALLKARYEIAKDSVVKAAMRLGRARRKRDDPDEDLDAEINWALENAKTFAMDCSEIGDARPLSGCSFSYDGKFLATWYVSFLLDACVLANGCNSLTGVAKIWSMPEIKIASSLKGHTERATDVTFSPTNHHIATASADRTARLWNTEGTLLHTYKGHLDRLARVAFHPSGKYLGTTSFDKTWRLWDTETGEELLLQEGHSRSVYGLTFHPDGSLAASCGLDALARVWDLRSGRSILALEGHVKPVYGISFSPNGYHLATGGEDNTCRIWDLRKKRSLYTIPAHANLISQIKFEPQEGYFLVTASYDMTAKVWSARDFKPVKILSGHEAKVTSLDVVGDGQSIATVSHDRTIKLWTSKNYEKEKTMDID >KVH97142 pep supercontig:CcrdV1:scaffold_790:79936:80184:-1 gene:Ccrd_000756 transcript:KVH97142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEVQVISGDTLLKVALFILVQALVYLIITNSSNIFSTSAPTLRATSFKTARSASIRRIVAAFSDFPADNEVSPSTKTSKT >KVH96955 pep supercontig:CcrdV1:scaffold_7905:9954:10168:1 gene:Ccrd_000951 transcript:KVH96955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF677 MNKFIVVALCCFFYLLRAWQRSGFRKGDRIDFKNFKDVGDPFIDEFFKIFHSLYRQQMVMLEKL >KVI02769 pep supercontig:CcrdV1:scaffold_7906:10989:14098:1 gene:Ccrd_018942 transcript:KVI02769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MAAPAMVRNMQVLFKNYIDGFPKESDMVVSSDATISLKLPKNESGVVLTKNLYLSCDPMMRNRMTESYLGAYMESFXPGSPLVGVGVSKVLDSTHPDFKKGDYVSGFTNWEEYSVVKNPQLTKIQHTDVSLSYYTGILGMAGMTAYVGFYEICAAKKGEYFFVSAASGAVGQLVGQFAKRAGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEQDLTAXLKRCLMQYLPT >KVI02768 pep supercontig:CcrdV1:scaffold_7906:1028:8766:-1 gene:Ccrd_018941 transcript:KVI02768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin-like cysteine/serine peptidase domain-containing protein MSILLLLLLSLRLGSCRRMSSLLEVMLSRQDAFTLDVLEVQQGFGSGFVWDKKGHIVTNYHDANLTVESTWSYEIGCDVGEKDWKTQEMGTIKDGDAAAIKDSGFWHKNMPFMFRESRSKVKNMPFMFRESRSIQQIPAPDRRSKSNAXLRSVAKSTTTQPKQNVEQVPLDEVRDDKKDGVVGRIRQTGNEVRLSDRRRPRPKYSLKTAQG >KVH91481 pep supercontig:CcrdV1:scaffold_791:29923:32654:-1 gene:Ccrd_006496 transcript:KVH91481 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MAREQLQVLNALDLAKTQLYHFTAIVIAGMGFFTDAYDLFAISLVTKLLGRIYYHKDGAPKPGTLPPGVASSVTGVALVGTLCGQLFFGWLGDKMGRKKVYGMTLAIMVICSLASGLSFGNEAQGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILASGVVALIVSASFDHAFNAPSYATDPIGSTVPQADYIWRIILMFGAIPAALTYYWRMKMPETARYTALVAKNAKQAAQDMAKVLQVDIEAEDQKVEKIAQDTRNSFGLFSKQFLRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDVFTAIGWIPAAAKMSATGEVFKVAKAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLHDNHLGFIVMYSLTFFFANFGPNXTTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYASQSTDPKKTDHGYPPGIGIKNSLIVLGVINFLGMVFTFLVPEPNGKSLEELSGENEEDAEPTSTTDHRTVPV >KVI07128 pep supercontig:CcrdV1:scaffold_792:64858:65139:-1 gene:Ccrd_014511 transcript:KVI07128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kua-ubiquitin conjugating enzyme hybrid, localization MGFVGMASGCIMFSQQFHAWAHGTKSKLPPLVVALRDGGVLLSRSQHAAHHRPPYNNNYCIVSGVWNRFLDENKVFEALERVVFFKLRRRPRS >KVI07127 pep supercontig:CcrdV1:scaffold_792:75053:76036:1 gene:Ccrd_014513 transcript:KVI07127 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein MinD MTSLRWLTEPSSLCSPNFLPFKPMYKTLPRPTTKPYPKSSPIRSVLQYNRKPELAGGTPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPLGFGGKALVWLVDALKDRQEGCPDFILIDCPAGIDAGFITAITPANEAVLVTTPDITALRDADRVTGLLECDGIRDIKMIVNRVRTDLIRGEDMMSVLDVQEMLGLALLGVIPEDSEVIRSTNRGFPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKRGFFSFFGG >KVI07125 pep supercontig:CcrdV1:scaffold_792:98942:104814:-1 gene:Ccrd_014515 transcript:KVI07125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine cyclotransferase LKPAILLDGSRCSILALFNNPQIRSSSSSLPQSPKSNVQLYNPMAPGMRKKAATAKRSNLLKPQHPPPMPVSSNSPFRTTKFVLLLSILSAVVLVALSWNTLSFFRSNTPVTDQIHSFEVVNEFPHDPDAFTQGLLYGGNDTLLESTGLNGHSSVREVDLRTGKVHSCSTFLIKALQNMDYSYFGEGLTLLGQRLYQVTWLGNTGFIYDRYNLSKFKKFTHDMKDGWGLATDGKVLFGSDGSSSLYHINPRTMKVTAELVVKYKGFEVHNLNELEYINNEIWANIWQSDCIARISPADGTVIGWILLPELREGLLAAGNRIDVLNGIAWDVDKQRLFGKLPKAMPDGETVAKTIRDKSAATEETSTGTCRTNLLKGPCSFYKELK >KVI07124 pep supercontig:CcrdV1:scaffold_792:112244:119930:-1 gene:Ccrd_014517 transcript:KVI07124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGMALPNIGSFSSSPTAAVAPPSTNSSSSADDASKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKAPKNAPVLSNVTASFQTSPASTDPEFNPSDPSAVVKNQVETGSLSGIGIDDMVSGGQPEAVNCCSSNANAPKTQTSEIREQRSHGLPSRVLPDFAQVYGFIGSVFDPNTDSHLHRLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRKLLSTYEVVSEKENSDVAVDALLECQPEEVGHFGT >KVI07120 pep supercontig:CcrdV1:scaffold_792:167318:170871:-1 gene:Ccrd_014523 transcript:KVI07120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MAANDVPCCETMFWVYLASAVALVAFAGLMSGLTLGLMSLSLLDLEVLIKAGQPKDRKNAEKIMPIALPIFLDSILLPWTAILISVTLVVVFGEIIPQAVCSRYGLAIGAKLSVLVRLLVMVVFPIAYPLSKLLDLILGKGHSVLLRRAELKTLVDMHGNKAGKGGELTNDEITIITGALDLAQKTVKDAMTPISEIFSLELNSKLNEDTMSLLLSKGHSRVPVYLERPESIIGLILVKSLIKFRPEDEAPIKSLNIQKIPRIHECLPLYEMLNLFQKGQCHMAAVVRSKTALNSTSKSTMAKNETVKKHISSNLTQIKVDRKGHDTKLSIYRSSSDPVSQNPAPDQSFATRALDSFPNPNEEIIGVITMEDVLEELLQEPILDEKNEYVDVDNIMKINILPSSLRSGAASASSHLDWKTLVSSPLPVSPSNTPDSLHHQISALSSPISPFIQSPFTKPTLYASPRKSTPNSPMGLISSSPSSYKVSRKSYEKLEKPGNKYFSSFSQLITLEASVQGSALSDN >KVI07134 pep supercontig:CcrdV1:scaffold_792:25545:29938:1 gene:Ccrd_014508 transcript:KVI07134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLELIKFINSDLTWKAVKKGHRNTKRRPRRPGARSSEIGLGLGNKMQDSFVFDYEKSGVAVLGQHFAEEVLDVPIKKRILLLQSPFLSGEGTRSPEPQTSSPHQELELLVGTKHASGQQCHPNSPSNIWAMKSKNGLINSEHLKLTEKEVSDLNDFSGIELLAAAACCSSVYDRSGLMESFAMEDHTTPRVDSNTDGIKENIAPVESDDSSVQDSTFPATQNLCDNENEGSDKRAVPSKGVRLHWDLNTVMDEWEEPCCDILVDPHSEKSCLKDVYDDSLRNAKANLEGSETGISQVTGHANQFGKHDAAVSVVPLSKPEISGLQENNDGDSFGNTYLASSRKVVEAITCKTSDAESCLSKSDVVDSFMHPAKCENFSTSTASVSLGETTIKVESNTLHDLPMYFEPSSKSAVSEVVQGGSLSQKGDGDDKLISEDRLSDCCVSNVSQDERGHMAEGNTVEKVKAGYDSPVEDGELREPIRYQNEVEERECVDYESDNIYEDNFETIESAKNKISIERHQIIEDGRFAVPNNDIEQGHKADASKRPNHLLGSKASGYQVHEHSQCAIVEGKDGSPEKFLSGRKKAHDEFPGASMLCIDRSTSFGMRQNGASIRRSRSDNIGDSFSRAERDFGPEKFMGRGGVSYQGHARNDASGQWGDSSVGGWDSRNSHGYPRPKNVIGGSAARSGDEHINYNPRGVCRSFNARPSPSDRNESYGIRRGTPPARGINHDRCRGGSGFHLQGLRRAPQEEYHDLEPSYSERKVRCFSSNINRGAHISRSRRRSRSRSRSGSPIAWHFQKKRNVDSKRQSPDYRPDVRIQGERLSLRKISSSSEIDAGLFSPTRGRMSPQCISRSFDDRNSINGQFRDRRSSPVRTFHRNQKFEAGGYLGRLKSNDHFRPTPRPGRFQQLGPNREKFEANGNDSMIHDDKYGMMNGGRRCRYDVDESFKTRNPRNIDNGFRYARNENRCFKDSVERDTAPLSVGEGDFRKNDLQRER >KVI07135 pep supercontig:CcrdV1:scaffold_792:30670:35281:-1 gene:Ccrd_014509 transcript:KVI07135 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding 8 MGDDAYKHILATLVAPYPFRHSFNLFRPTTRREYSNLHNPSRPYCNFGMYIPSPGKQVCPPSKQSKKISDVSPFLLMLASISKNTPMALWRRPAIVRGPLGIVTWTELLFLLMFMALLIWSISAYIHAMFATITTQSASRMGEKVWEVKLDSVGLMLGLAGNICLALLFFPVTRGSSVLRLIGLTSECSIKYHIWLGHTTMVFFTAHGLCYTIFWAKTNQIKQMVKWEKIGISNVAGEVALLTGIAIWSTTIPPLFLHASSLHSLCGILYTTCWIFLLLDHASWLLPLSHRSPPTIPAIPAKSSLSFSTRLHYNSMSSIFINIPSISKLQWHPFSITSSSNLDLEKLSVVVKSEGTWSQKLYEELSLPSPIDHLQVSVEGPYGPASTNFHQYDNLVMFSGGSGITPFISIIRELLYIANESSNSPQVLLIPAFKKSADLAMLDLLLPLSGTSHDLSRLQLQIQAYITQERGHTTEEQQFYRTIWFKPSALDAPISAILGRNCWLWLGVIIVCSSVIFLALIALLTQFYIYPIDHDTNMIYSYSVRSAFNMVLISMSIATTATIAFLWNKKRNSTEMRQIQMTDAPTPTTSPGMSSWSYNTDRELESLPSKTFIGSTKVNYGERPDFKRSSIGVLVSGPKKMRQDVAAICSSGLSKSFQFESISFS >KVI07132 pep supercontig:CcrdV1:scaffold_792:70003:72014:-1 gene:Ccrd_014512 transcript:KVI07132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGKGVKKGKKKQVKDELDRIKQAEKKKRRLEKALATSAAIISELEKKKQKKKEEQKRLDEEGAAIAEAVALQVLIGEDTDEECGSNFDDLFGGGTGSNRPYIYRRSGNGNGWILKNGGWGEDGCLEYVDEISAQAVSGLQIADDEDTNGYVYKRMVRG >KVI07119 pep supercontig:CcrdV1:scaffold_792:107394:109389:-1 gene:Ccrd_014516 transcript:KVI07119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plectin/S10, N-terminal MIITEKNRKAISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSDIVPATLKKSAKPLGRPMGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGPPGEFGGEKGGAPADYQPAFNRGAGGRPSFGRGGGGFGGGAPPSSSFS >KVI07130 pep supercontig:CcrdV1:scaffold_792:127258:133116:1 gene:Ccrd_014519 transcript:KVI07130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MAFACLASHQFVNSNLTLNLNNGSKIHLPNTLLPSPRKPSLLSFTHISAQMRSCSIADNAHGFGINYRRIDRIRHRSDPKFVVRSSIKTSQSQAPSNSNGRIIFWSAVTLVLAVGNRVLYKLALVPMKEYPFFMAQVNTFGYVVIYFSILYIRHRAGIVTDEMMTLPKRRFAIIGMLEALGVVTGMYAAAMLPGPAIPILNQSFLLWQLGFSALLLGRRYSWNKIAGCLFVAAGVVTAIASGSESGQMLSGIGLMWPTMMVVSSAFQAGASIIKGKLLDIFVVNSFGSGFQALFVLLFLPLLSNLKGIPLDELPSYIKSGAGCFLNVGASSSGCDGSPLLPLLYIVNNIAFNISILNLVKISSAVVSSLAVVLSVPTTIYILSLPLPYLPGGVTLSPYFIFGSMILVSGLILYNVPQQSNQDSNFT >KVI07126 pep supercontig:CcrdV1:scaffold_792:88447:91155:-1 gene:Ccrd_014514 transcript:KVI07126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLHQRLPLRLLPQFPSFPLKPTSSLQFSTSMAAAIAVASSSRSFRLGRMPFLGQKPTSTISRFPSSIRNFDPLVRSRRTPSLTMCFVAGDQKFETNQIVENNTSGNPGPSGEDSDGEIIPSKRVVEEKMARKKSERFTYLIAAIMSTFGITSMAVMAVYYRFSWQMEGGEIPYVEMFGTFALSVGAAVGMEYWARWAHEALWHASLWHMHESGYGITFQNSLLVRLINSVVDIDVFQSHHKPREGPFELNDVFAIINAVPAIALLNYGFFHKGIIPGLCFGAGLGITVFGMAYMFVHDGLVHKRFQVGPIANVPYLRRVAAAHQLHHTEKFHGVPYGLFLGPKELEEVGGVEELEKEIQRRIKLYNK >KVI07123 pep supercontig:CcrdV1:scaffold_792:165365:166933:-1 gene:Ccrd_014522 transcript:KVI07123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3464 MRNKNLEGIEQAMKTLFLELTSPCITTLPKIPTKNPSYIATKTWKIHAKAKGFKGANLEKQKLMMKEEKKKMKEDADDKIPDVVMERMIVRILFNVGVPLVTGLALLQIFSVIKEQNLWQVPRWLPFLTTFITFGASTLGIAYGTLSTSWDEDNKGSLLGLEEAKKNWVQMWDEDDDDAGKNI >KVI07131 pep supercontig:CcrdV1:scaffold_792:122496:126265:1 gene:Ccrd_014518 transcript:KVI07131 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MFLAASAACAISVNFSLISLERPKSYFLLLQSVYEFDAYVPLLKYVFIVLKADDNGAGGTFALYSLLCRHDRPSSSPNCQLADEELSTYRKGIPTLA >KVI07121 pep supercontig:CcrdV1:scaffold_792:134100:139605:-1 gene:Ccrd_014520 transcript:KVI07121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D MSEEGMEETNLSGSSDKIEREAPQLVTVLKDIKGGLDDLRAKVQVLTSKVKLGLYPTADGISYLEAKHLLLLNYCQSLVYYLLRKAKGLPIQGHPLVHSLLETRLFLEKIRPIDKKLQYQIQKLTRLTGNSMEDAVSDDKKPDATREADDSLKLRPNPDMLMNKVTPDDGDGKYRPPKIAPASMDESKSSRQERNVSRKEKQAFRQALQSEYARDLMNDLEGRPEEVRLPLLYLLFSVVREVVGTEDRDVTRYKAKMEERARREEELFTRAPLTSMEKKKLKHMRKSRNGLLGLADSFYDDIKSLPLEEGVGGQTASFMEDSNAGERKFKKHKVCNSYFFIRSLEGIRDLNSEATNKAA >KVI07133 pep supercontig:CcrdV1:scaffold_792:2820:7466:-1 gene:Ccrd_014506 transcript:KVI07133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDATPLLLNKFAGKLHLLADNGDYLPAKGFRQWKSVFWLETLNLWMIGFPITITMICQFGISSVTDIFVGHLGVIELSAITIATSVVGTFSFGFLLGMGSALETLCGQAFGAGQVHLLGVYMQRSWIILFVSCIVIIPIYIFATPILKLLGQDDDIANLAGVFCIQTIPQLFSLAFNFPIQKFLQAQSKVNAVAWIGFLALVAHTILIWLFVCIYGWGTTGAAWALNLSSWGNVVGQFVYIVYWCKDGWNGFSSEAFTDIWVFVKLSISSAVMLCLEIWYMMSIIILTGHLNNAATAVGSLSICMNVNGWEAMSFMIGLLCMVIVVMTKDDFSIIFTNNAALIKEVSSLAYLLGVTMILNSIQPGLWGGMICGTALQTLLLLLVEQSIERLQIWGGQKVEKGTDI >KVI07118 pep supercontig:CcrdV1:scaffold_792:10897:12192:1 gene:Ccrd_014507 transcript:KVI07118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHHFLKTIGLDEDVSGQSVSACNPLPKSSEDEDAIMLFGMEEIPVPTIEDQPRQYRGQSSPICGSPNSPRLSYMKDWDDFPSFILAESPRRSK >KVI07122 pep supercontig:CcrdV1:scaffold_792:152487:153879:1 gene:Ccrd_014521 transcript:KVI07122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MHGGQKVSREQVSAIIVAASTSRKLHLTIYVVNTVGYLAPPYRNSLESLHFQHIKNNMKKVVLKLDFLDERVKQKAMKNVSSLPGVDSIAMDMKDKKLTVTGDVDPVTVVSKLRKICHTEIVSVGPSKEPEKKKEDGGAGGGGGGGDKKKEDEKKKADEKKKEEEKKKAEEAWKHLYQHQPYPYYNYQQQQQPYYQYNHPPTPYYSKVVHDETPNCVIC >KVI07129 pep supercontig:CcrdV1:scaffold_792:37988:48632:1 gene:Ccrd_014510 transcript:KVI07129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEIKKRKVFRPPPRRATPSTAETKDHAVTTSTIKPTVNVAQTRVAIDPRIQMAKNHAVAQAQQDGSKANFRIFDSPFGNFLVPSKLISCVANSSSQSPAHNVKL >KVG12246 pep supercontig:CcrdV1:scaffold_7920:786:9956:1 gene:Ccrd_026560 transcript:KVG12246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MHFPFLFLSSLIIISYFPRVATAPSSPNVLTQGSSLFVENDDLLVSSNGVFAAGFHPVGENTYCFAIWFSKPMSDGNLTLVWMENRDKPVNGKRSKFSLWKTGNLVLTDAGRHVWRTDTISTNSQLKLLDSGNLVLIQPDDQSYLWQSFSFPTDTILSNQRFTRDSVLVSSRSSTNLSSGFYKLYFDDDNVIRLVYDSYEITSVYWPKPWLRPWDAMRSTFNNSRFALLDSKGQFKSTDNLTFITTDYGQIHHRRMTLDVDGNVRVYTLNKGSWTVSWQAISKMCSIHGICGPNSLCTYSFESGRRCTCMHGYKAKNHTDLSFGCEPIIKLTGHRENYEFIRLPHFRKT >KVI11573 pep supercontig:CcrdV1:scaffold_7921:4631:6429:-1 gene:Ccrd_010015 transcript:KVI11573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MASFQVLVAVVFVSAVAFQSCLVHGNFYNDMYFNWGGEHSSIFGSGDDLNLVLDKASANWWTSPIYNQLNWDQQGKLKWVRDNYMIYNYCTDYERFNWQMAPECSKPQY >KVI11572 pep supercontig:CcrdV1:scaffold_7921:8260:10621:-1 gene:Ccrd_010016 transcript:KVI11572 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MASLCKTFILFLLLLPQNFAISVFAATGDGGGGGGGGAADMGDGAPGPNQLVGDGRSSDYAKAEIETNFVGEWVLDNPDVGVGAMQLQLMPNDQVVWYDATSVGPSARKLEPQGNCPINPDANNQPDCYAHALAYDWKTTKSRTIVVPSAGLRLSECCLKMISTQILLKKKMFLPTLDGTYSSNQILEDGSAIVVGGRGAFSYEIVPPQLEFEPKRIELPFLQQTRAPAKGPNQYIENNLYPFLFLLPDGNVFLFANDRAITFAPTTGEIVQQHPVCPGGSRNYPPSGSSAILPLKLGPDNSQPLNVEIVICGGNSPNAFEVVDGKNATEKEYVPALKDCHRIHPMNKGATWEEEQDMPSPRIMADLLHLPTGDLLMINGAKKGTSGWEDATDPNFTPLLYTPFKPMGYRFKELKPATIARMYHSTSALLPDTKILVAGSNPHQFYTFNVEFPTELRVEKFSPHYLDPTIDGQRPIIDEQGTDKVLKYGKPFKIAATLQSEAPLVLGEIKVTMLYPPFTTHGFSQNQRLIVPAVMDISDNVITALAPPSGKIAPPGYYILFVNNLGVPGGGIWVHID >KVI00444 pep supercontig:CcrdV1:scaffold_793:1197:5226:-1 gene:Ccrd_021302 transcript:KVI00444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAILMPRIIQAKQILRRSLSNGSSNTYMAVPKGHFAVYVGEQEKKRFVVPVSLLSQPAFQELLYRAEEEFGYNHPMGGLTVPCSEDIFTDLASPFLNKADCALLRTMAILMPRIIQAKQILRRSLSNGSSNKYMVIPKGHFAVYVGEQEKKRFVVPVSLLSQPAFQELLYRADDEFGYNHPMGGLTIPCSEDIFTDLASRLGAL >KVI00448 pep supercontig:CcrdV1:scaffold_793:14170:21388:-1 gene:Ccrd_021304 transcript:KVI00448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MDMKDKKLTVTGDVDPVTVVSKLRKICHTYIVSVGPYYNYQQQHQPYPYYNYQQQQQRTLFLYKLEDKTILYNKTDRALLRKMAILMPRIIQAKQILRRSLSNGSSNTYMAIPKGYFAVYVGEQEKKRFVVPVSLLSQPAFQELLNQAEEEFGYNHPMGGLTIPCSEDIFTDLASHCALLRTMAILMPQIIQAKQILRRSLSNGSSNTYMVIPKGHFAVYVGEQEKKRFVVPVSLLSQPAFQELLYRAEEEFGYNHPMGGLTIPCSEDIFTDLTSRLGAL >KVI00449 pep supercontig:CcrdV1:scaffold_793:25884:51914:1 gene:Ccrd_021305 transcript:KVI00449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) glycohydrolase MENREDLNSILEFLPVVLRSTALFWPSQVVKALKALSKGPEHSKVDSGEVLFLAISDIRRSLNLSAGDLAFSTADGFSLFFDDLFYGKSIIASLWDGGRILFHFLLLIPFSFLLYMHQLIHEQLISRAEANKWFREILPALADSLLRLPGMLEAHYQSADSISCIGARNGLKMGLRLLKSQEAGIVLLSKELIGALLACSFFCLFPNSCRGANHLQVINFDHLFASLYDSYNEKQENKIKCIIHYFERISSSTPVGNVSFERKVLAADHNLKYIFSPKTESWSKSVVSLCLFEVCSSGLIEDHSKEALEVDFANKYFGGGALARGCVQVCLFLIPDMISRRQVTLAQGVLAVACCECSWAIQKHGENLYGMISNMEYQSLKNLKWDYGGLSSSLFIVGVTVHIGVTVHSGTPHCRRNPSPPPSRLHAPAREPFSGLRRPSTFRVLFGIPVCCILVLLPGNWWDAVRDKYLIVEEIRFMINPELIAGMLFLPSMADNEAIEVVGAERFSKYTGYAASFQYCGDFLDKKDIDIIGRRKIRIIAIDALFNPGERQYEHEFLVREVNKAFCGFSDPCKSQRYQMLFEDNELSEAQLEQDLKSVNSKSDNAVEICQPVGFQDEIGIVTGNWGCGAFGGDPELKAMLQWLAASQALRPFMVYYTFDIEALQKLEQVTQWINFHKWSVGDLWNMLVEYSSQRSKKETQVGFFNWLLPLLSSDDPMMLDVP >KVI00447 pep supercontig:CcrdV1:scaffold_793:58544:69122:1 gene:Ccrd_021307 transcript:KVI00447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupus La protein MAQEPSSSTSQTLDDDLHQPPPNSTDSNNPSLTRNVSSSRLNAKAPEFVPRTTTTAASPSSSSATTTTTTTVTSRVDLSQPRLVISPPPTSPGIIHVYPSPNSPFHAPIPTHVPVSVPVQNHHPHHHHHHVPLQFHHHQHHNPNHQRPFNGATGFLDHKDVSVQVPRGDPDSKDGLTDEATQKILNQAGLVGSWLFGLDYMALCDSDIEVEYYFSDINLATTDHLMRFINKDPEGYVPISVVVSFKKIKALVSSNAQLASILRSSAKLVVSEDGKKVKRQHPLTESDMEELQSRIIVAENLPEDHCHQNLMKVFSTVGSVKTIRTCQPQTSNGGTSSSSRAAKADGMLFSNKLHAFVEYESIELAEKAVLELNKEGNWRNGLRVRLLRRPPQGKPAQGRGKKTGQEDEVVNKEDDTSTSEQHQLNEKHLDDTYQQSEVQSNENVQGEDHSNEKEGGHKKLRNRGRGKGRGRPQYNHHNNRGTHMVTPPSTNCVNTEHPVIGKQPPGPRMPDGTRGFSMGRGKAVAVPDDSSVS >KVI00440 pep supercontig:CcrdV1:scaffold_793:147771:149328:1 gene:Ccrd_021313 transcript:KVI00440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MSIRLLVALITIIVFLEETTAAATYNVKSFGAKPNGRTDSTKPLMSAWSAACGSSKPATIFVPKGRYVVGGLRFRGPCKNRAITIRIDGTLMAPSNYGVLGDADYWLLFHGVDGVTIQGGTLDAQGAGLWACKSSNSKNCPSGATSLAISNSNNVVVNGLSSLNSQMFHIVVNGCRNVRMVGVNVVAPWDSPNTDGIHVQLSTGVTILNSKLSTGDDCVSVGPGATNLWIENVACGPGHGISIGSLGKDLKEAGVQNVTVKRVTFKGTQNGLRIKSWARPSSGFVNGVLFQNAIMTDVQNPIVIDQNYCPGSINCPDQVRVPVLFASVNLHLLSVSGVKISNVKYEDIHGTSATKVAVKFDCSKKSPCRGITMQDVNLSFKNQLLSASAYCVNAGGKASGVIKPTSCL >KVI00442 pep supercontig:CcrdV1:scaffold_793:72350:79792:1 gene:Ccrd_021308 transcript:KVI00442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTRLLLLPLLTPTAAATIVAASRLSSSSSSSSSSVSFRVSGPQFPHTRKWLCGKRHQGIVSLKIEDGNEVHMFGSDDEIATQVPTQAQTIVEGSGAVLISEYKPAPDVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAERPELLTVILPQSLKKQPPESQELLSKVKNVIEKPHNDHLPLIEASRLCNMDIISQVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >KVI00437 pep supercontig:CcrdV1:scaffold_793:159279:161199:-1 gene:Ccrd_021314 transcript:KVI00437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MANHLLLAIIIFIFFKEATAAASYNVKKFGAKPDGRTDSSKPFMSAWSAVCGSTKPATIYVPKGRYMLGGLRFRGPCKNRAITIRIDGTLVAPSDYRVLGNANYWLLFSRVEGVTIQGGTLDAQGARLWACKSANSKNCPEGATSLAIYNSNKVVVNGLTSLNSQMFHMVVNGCNNVRMIGVNVVAPWNSPNTDGIHVQYSTGVTILNSKLTTGDDCVSIGPGSSNLWIENVACGPGHGIRVTFKGTQNGLRIKSWARPSSGFVNGVLFQNAIMTNVQNPIYQDIHGTSATKVAVKFDCSKMNPCRGITMQDVNLSFKNQLSAASSYCMNAGGKASGVSLVKGSEVSQLTEPVAGKL >KVI00441 pep supercontig:CcrdV1:scaffold_793:130319:132069:-1 gene:Ccrd_021312 transcript:KVI00441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGSQILRTAFIYFLLSLFQSSCNAASYNVVRFGARADGRTDSSKALEAAWRAACGSTASSTVYIPRGTYMTRPVVFSGPCRSRILFQIDGKLVAPPNYQAMGNSGFWILFTKVSRLTVHGGTIDARGSQFWVCRRTGSNCPAGVRSISFMWSNNVVVSGLKSLYSQTIHVAVSQCSNIRFEHMSIIAPSRSPNTDGFIIQSSTGVTIRNSLIKTGDDCIALGPGSKNVLIEKIACGPGHGISIGSLGNSLNELGVQNVTVRNTIFTKTQNGVRIKSWARDSKSYATNIEFRNIIMRAVDNPIIIDQTYCPSNRCPRETSGVEVSNVRYSNIKGTSTTVEAVRFECSLSNPCRGIRMRNVRLSTPNRATTTTCENVHGSASGLVIPRSCF >KVI00445 pep supercontig:CcrdV1:scaffold_793:9298:9594:1 gene:Ccrd_021303 transcript:KVI00445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAILMPRIIQAKQIFRRSLSNRSSNTYMAIPKGYFAVYVGEQEKKRFVVPISLLSRPAFQELLCQAEEEFGYSHPMGGLTIPCSEDIFTDLASRLGAF >KVI00446 pep supercontig:CcrdV1:scaffold_793:56067:56501:-1 gene:Ccrd_021306 transcript:KVI00446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A MNLVKGCGGSLRREKVIEVCCKKLVVIVDETKLVDYVGGSRLAMSVEIVSFCWKFTTQKLQSLFEEADCVPKLCVLPKNGKAYVIDNGNFIINLYFKKDIGGLKVVDDAISRPANVVDHGMMFIDMVTTLIVAGELGARVRNKC >KVI00439 pep supercontig:CcrdV1:scaffold_793:91721:95077:-1 gene:Ccrd_021310 transcript:KVI00439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEKQISFRMGKMEKQQSFRIAAMEKQKSFRIALGRQMSLRKPKDSPGKRGDSMLHLASRGGNLCKIMEIFQSTGLDDSNAITNNLLSKQNQEGETPLYVAAENGHSHVVIEFLKHLDLQTASIAANNGYDPFHIAAKQGHLAAAQGHIDVVNLLLDADSNLAKIARNNGKTVLHTAARMGHLEVAKSVLSKDPSIGFRIDKKGQTALHMAVKGQNIDIVVELIKPDPTIVKCLLSFEEISINAVNKAGESPLDIAENLKFSEMVDILREAGAVHSKDYGKPPSAAKQLKQTVSDIKHDVQSQIRQTRQTGFRVRKIAKKVKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEEKTDGFTVGQAHIAKNAAFILFFLFDSLALFISLAVVVVQTSIVVIEEKAKRQLMFVINKLMWLACLFISVAFISLTYVVVGTHERWLAIYATVIGATIMLTTIGSMCYCVVRHRLEETKMRNIRRAETHSHSFSMSMASDAEYSEKYKRMYAV >KVI00443 pep supercontig:CcrdV1:scaffold_793:82528:91193:1 gene:Ccrd_021309 transcript:KVI00443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MSGGLDDADADALSALANAPPPSTTGNRKTHSQQLRTNTGTNFKRHNKHQHRKHSLDESRISSVVDGFQSYSDDEDDHDFYPYATSSSRSFADVSYDSHHQFLFHGNNNSNSNNSSSSSSSSDEQHPFPEFSGSGGGSGIFKAPSRSAVHPGRPTALELRPHPLRETQVGRFIRTIACTETQLWAGQESGVRFWNFSDAFEPGLGIGGRARRGDEDAAPFYESSNTPPTTCLMIDCGSKLVWSGHKDGKVRSWRMDQQPTDDTPFKEGLSWQAHHRGPVLSLVTSSYGDIWTGSENGVIKVWPWEAVEKSLTLSPAERHMAALLVERASVDLKSQVTVNGVCNISSSDVKYLLSDNVKAKVWAAGSLSFSMWPRKQREDVYKRDMQQQEEGKGLHRGTPEHLPDVDARTRELLKVYNVDGQIENRVDMSSSQDQPLEEEVSVKAASKPKKEKQQGGSFLQRSRNAIIGAADAVRRVATKGTGAFSAEDAKKTEALLLAYDGMIWTGCSNGVLVQWDANGNRLHDFHHHHCAVLCFCTYGPRIWIGYVSGMVQVIDLEGNLIAGWIAHNGPVIKLVVGNGSIFSLATHGGIRGWYISSPGPLDNILRPELAKREHMYTTLETVKIMVGTWNVGQGKPCQEALISWLGSQASNVDIVVVGLQEVEMGAGFLAMSAAKETIGVEGSTNGQWWQDAIGKAMGEGSVFERVGSRQLAGLLIAIWVRKSLRTHVGDLDVAAVACGLGRTFGNKGGVGLRLRVYDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNITFGRSINNASGMAQYLFLCCSLAFTYLFWVLYSSFGLPWVLLILSAGVSSTAQMLRPATSVAINPDEGKPDLAEADLVIFCGDFNYRLFGITYDEARDFVSQRSFDWLREKDQLRAEMKAGKKKRIPAWCDRILYRDNRSSPTSECSLACPVVASIWQYEACMDVTESDHKPVRCKFKVQISHVDRSVRRQQFGKIFMSNEKIRSICEELRCVPEIALSTNKIVLQNQDTRSLTITNRSNKDDAMFQILCEGQTAVKDNEEAEYRHRGSFGFPRWLEVAPAVGIIKPGSSAEVSIHHDETHTFEDFSEGAPQSWRSEDTRDKVVILSVVIRSSRSTETRSHHCQVRHPFSPKTTTNPEPSKAHGSKKHQGGTHHRSFAKHSDRGDEHQR >KVI00438 pep supercontig:CcrdV1:scaffold_793:114852:117385:-1 gene:Ccrd_021311 transcript:KVI00438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MKMMKREGDGGKGEDRGFTGEEGRGRRWADEGCSWGCHNKAIEFRIDGTLVAPSDYRKFKSASNVWIKFEKVTGVSISGGMLDGZGAGLWXCKASSGSCPTGATTLGFYDSRNIVIGGLTSINSQKFHIVMDGCQNVNLQGITISAAGNSPNTDGIHIESSSGVTVLNSRIATGDDCISIGPGSSNLWIEGISCGPGHGISIGSLGWTAQEAGVQNVTVTRAMFKATENGVRIKTWARESNGFVSGVVFEHLTMMAVENPVIIDQQYCPGESGCPQAVSGVRISDVRYDDIHGTSXTEVGLKLDCSKEHPCSGIKIEDVNLSYNNRPAQASCINAVGAASVGAAVVHHSTTTSTSCFP >KVI00436 pep supercontig:CcrdV1:scaffold_793:174722:179395:-1 gene:Ccrd_021315 transcript:KVI00436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MEEVSNKQVVLKDYVNGFPKESDMLINTSATISLNLPQGSNALLVKNLYLSCDPYMRNRMTKTEDSYIPSFTPGSPIAGAGVAKVLDSGHANFKKGDLFWGMTGWEEYSIITAPESVFKIEHTDVPLSYYTGILGMPGMTAYVGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLLGCYVVGSAGTKEKNVGGKMLEAVLLNMRLHGRISVCGMISQYNLEHGDGVRNLFCLVSKRLSMKGFVVTDHYHLYPKYMEMVIPLVKEGKICYIEDIVEGLESAPTALVGLFSGRNVGKQVVVVA >KVH99393 pep supercontig:CcrdV1:scaffold_7936:7242:8880:1 gene:Ccrd_022376 transcript:KVH99393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGSLFDEEWENLSRMFSGDQDSDHFPSHGLFSSEHDDHGLNFETPSIVSSVMAECYNDNSSFIDHRIASENINPNFYHYFSQESNINGCAAYDGAVSLPYPSNSNITPLPTNGVCDDESVSLFDENNNNSSLLAQVFSEDSMEEILCLKEDVIMENSVSPSVSIADQRKHAPVKRKIETSESPKVVVDKVNNEIPNKKTRVSRSDNKNKKKVQPKNKQKVMAPATVNGNGNIDGLEDTNNNKGGGNAPTASSCSCSSEDDLSLSQDPNGSGGVNSNWKTRASRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDEMWMYAPIAYNGMDMGLYQKLQI >KVG11053 pep supercontig:CcrdV1:scaffold_7942:5278:15138:1 gene:Ccrd_026561 transcript:KVG11053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MADEVKLVKIALSLKGIKYENFEEDMSNKSADLLKYNSVNKTVPVLVHNGNPISESLVIVQYIDDVWKGVPLLPQDPYEKAIARFWAKFVDDMHLQVLENELTVKGKTFFGGDNINLVDISADLLAYWLEIIEEVTEIKLVTEERFPKITEWARNFINCQVVNEILPPRDHLLAYFRKRPNGTQDSSDVAISDPLRLSKFKRLCRSIDVAVSSQSVDWIMADEVKLFAIAISPFVCRVKLALNMKGIKYQNLEEDFPNRSTQLLRYNPLHKKVPVLVHNGNPISESLVIVEYIDDVWKQVPIFPQDPYQKAVARFWVKFIDEKVVVLLIVFFNKYVCMYRCDQSYCIPALHNVFGNNGGEQVVAEACENLQVLENEVGVKGKRFFGGDNMDIVDIVAGFIAYWLGLMEEATQIKIFTEDKFPKLTKWSDEFVNCQVVKEILPPRSLVLAYYKKRFTYWLGLMEEATQIKIFTEDKFPKLTKWSDEFVNCQVVKEILPPRSLVLAYYKKRFSKG >KVG11052 pep supercontig:CcrdV1:scaffold_7943:11337:12272:-1 gene:Ccrd_026562 transcript:KVG11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase subunit alpha/beta MGRSLSGDCSLCQHVWWPARSPWEDRLAVELGTGQLNPLIPKTYDVVKNIIKEMTTLFPDSFFHVEAADIIPGCWTADDTIQKYLASNGTLSQVLEIFINSTNPYILSLNRTVIHWEDVLLDAEIKVNPSILPPETTIMQTWNGGPNNTKKLVSAGYRTIVSSSDYYYLDCGHGDFVGNNSAYDQPPGFEQGKGGSWCGSFNSWQLIYNYDITYGLXETEAKLVLGGEVALWSEQADPTVLDSRIWPRASSMAEVLWSGNRDETGKKSYAEATNRLNEWINRMVSRGVKFEPIQPLWCIRNPSMCDTLNPF >KVG10860 pep supercontig:CcrdV1:scaffold_7945:7223:9165:-1 gene:Ccrd_026563 transcript:KVG10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MLCFRDEDGKCMEESDIXDKILGLLIGDHDTASSACAFIVKYLAELPEIYEGVYKEQQNLTTNGNLQNLKHRRVVEVGGCIKDEVLLECCMEVLRLAPPVQGAFREAITDFMYNGYSIPKGWKLYWNANSTHKNPEFFPEPQRFDPSRFDGKGPAPYTFVPFGGRPRMCPGKKYARLEILVFMHHLVTKFKWEKVIPDEQIIVNPMPSPSKGLPIRLYPRNI >KVI08129 pep supercontig:CcrdV1:scaffold_795:11057:13582:1 gene:Ccrd_013502 transcript:KVI08129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MENEDGETPPRTADTNNGARLSIMELANMISVPMSLNAVVRLNVADAIWQNGSNTPLSASEILFRIFSSGVGDPENLQRILRMLTSYGVFNEHVSSGEELKRRYSLTDIGKTLVTDEKGLSYGPYVLQHHQAELMKAWAVVHEAVVDSSTEPFVKANGGETAYGIYGKKPEMNDLMLKAMSGVSVPFMKAVLEGYDGFEGVERLVDVGGSAGDCLRMILQKHTHIMEGVNFDLPEVVAKAPKIDGVTHVGGDMFNSIPHGDAIFMKWVLTTWTDDEVKAIMINCFKSIPVGGKLIACEPVLPDHTDDSHRTRALLEGDIFVMTIYRAKGKHRTEDEYRQLGKSVGFLSFQAIYIDHFYTLLEFKK >KVI08128 pep supercontig:CcrdV1:scaffold_795:74393:78052:-1 gene:Ccrd_013503 transcript:KVI08128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MNGDLRFQSSICSCNHVAAGKRLSETREWRTKERARPIVEVNWLSLRRPQFARIHSTRFTIKNNCPYGIAPATLTGTGDSVSTGFELAPQVSNTITMPVPWSGRVWARFGCSNNGGKFHCNSGDCGSGQVGCNGAGAAPPATLVEFTLSPAGQNDFYDVSLVDGFNLPVLVVPQGGARCPTTDCPVDINAQCPQELAVKDASGGTIGCKSACLQFNKPEYCCTGNYNRPETCPPTNYSRFFKNLCPKAYSYAYDDTSSTFTCGNGADYLITFCP >KVG10403 pep supercontig:CcrdV1:scaffold_7953:11177:12539:1 gene:Ccrd_026564 transcript:KVG10403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSTNSDGNISFTSINVQPMKISSLYNYDNDASLSKPPREHYYPPSSSSFQLLSPHYITLEDETIFSDLLQXGNPFSHDHNHHDTNIVVHEQYSTHGIRASLQSAIGRCSNNNGKFVAKDGDGDINDGFDIQVGPKSSSTRRRASKKDRHSKINTARGPRDRRMRLSIDVAKKFFKLQDMLGFDKASKTVEWLLMKSKSGIQELLPQKLNLRSSFMAVSNSASSTPNCEVLSGNTDNQCMVTGDDQATPKFQEKSSYSSNCKEKKKSTGRGVRKSAHLLHSPLAKETRERARARARKRTVEKSNKIGSGGDDQSSKSRPCLDQVIDQYLHLIGPSPIDQPEQQISHLQFKQGISVDNSSLMTANWSPSFLFNNQHAGAPPHEHQFNDFQIFGNLWEGNN >KVI00921 pep supercontig:CcrdV1:scaffold_796:156164:165261:-1 gene:Ccrd_020819 transcript:KVI00921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDWDDEQLANVIWDEAGENEDHIVPYPDETYEKPSGPYGEHSKVSDQDAANVTEKTLVSITDSRVRSNIHYNTHGTVSTDGFGSLPDLSPSNATKLDLEHTDGKAQVDDGAQVFDNQPEDKEANNFVDYRWAHVGSFDDLDRILSNDDLVYGDANISNSEALWSSPKDVIGYPEKSELLSIDSPNLRLGDLKSDSHGKTNDHLCHSTTSLANLEYGGGKNENLPKEKISQFSQSASEIVGRTPTLVCSIRDVNVAIPDKIATDKHVQMGEQSQKMEGQKSETRQPQTSCVPWFSLGNQGKEFEGHYRQHIKYSSSKARTQQTESSTYKQCMHPLPHSFGYKLRENQHSSLPSLPQFHSGEEYQQVPSGSSYISDRTPKTALMPTSMMTPQEKVEKLRRRQQMRALLAVRKQQQQFRHQVSCNGYSNLGKYLHESQMQFTRGGNVEIDGNLSTLMSLDGSSPLEQSDSDETTLEYTILHQLQNVISKLDIEIRLCIRDSLFRLAQSASRRQYDGDTVDANRRSRDEASVIVEERLTSTKSSARTADGETKTNPIDRAVAYMLFHTSPGEFLHSPSSPKQTTTTSTNLPTGFLSENYEDDQLSCLFEQRNKETAGGSGDEIEASSRGAPFL >KVI00920 pep supercontig:CcrdV1:scaffold_796:30681:41615:-1 gene:Ccrd_020816 transcript:KVI00920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEMLKEKLHQREKGEKDSIPNEFSTEELDSNAQEPKPLLRVTEQSTVFEAQGLQFRNTPTVLTSAVAQMMFCFGSMTFRFQDGLLCPQALLALSLHPAPVVLSW >KVI00919 pep supercontig:CcrdV1:scaffold_796:65816:67768:-1 gene:Ccrd_020817 transcript:KVI00919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MRNLLITILIFFLPFIFCNSSQAARSGALVGGWTKITDVNDPTVVDIGKFAVDQHNKQDQASLKFSTVVNGEKQVVAGMNYNLTITAADGTVDKNYVAVVWDKPWSADQFIAAVVDYGDGDDDVVAVVDCC >KVI00922 pep supercontig:CcrdV1:scaffold_796:86848:96572:-1 gene:Ccrd_020818 transcript:KVI00922 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEENKQKCRRFSTHSSSRFSHVNNLDDGCLMHIFSFLSPIPVPWLKNYDQENRYNTALVCHRWLFLACHPRLWLRVDRAVKRLTEPGVFPSIEEAVSAARPGDTILIGAGGFHFASNIQINKPLCLIGGGELPDDTTLLCSRGSDSALEFRSTCKLAKLTVKAELGCCLLHRSGRLIIEDCNLQCESNPLDYLSYAIITTAGGCEPLPLSTAKRNGDSVSVSQTRIKGGAKAVLTSGTLALQQVRVIYAPTSILFWFDVVHRL >KVG09400 pep supercontig:CcrdV1:scaffold_7963:10963:13996:1 gene:Ccrd_026565 transcript:KVG09400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MNIKSHCDVGQEGTLWWHAHSSWLRATVYGAIIIHPKEGSSYPFPKPKRDSVIALGEWWDANPIDVIREATRTGATPNVSDAYTINGQPGDLYNCSSKGETNLIRVINAALNQQLFFTIANHKLTVVGADASYVKPFTTSVLMLGPGQTTDVLVKADQPPARYYIAARAYASAQGAPFDNTTTTAILEYKTAPCTAKCTTSKPIMPRLPAYNDTTTATAFTTSFRSPRKVFVPTEIDENLFITAGLGINQCPPKTRARNCQGPNGTRFTASMNNVSFVLPSNFSLLQAHHQGVRGVFTTDFPAKPPVKFDYTGNVSRSLWQPTPGTKVYRLKYGSRVQIVLQGTNIFTAENHPIHLHGYDFYILAEGFGNFNPKTDSAKFNLVDPPLRNTVSLPVKGWAVIRFVADNPGVWIMHCHLDVHIGWGLATVFLVDNGVGPLQQLEQPPPDLP >KVG09352 pep supercontig:CcrdV1:scaffold_7966:995:3215:1 gene:Ccrd_026566 transcript:KVG09352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MRPEDIDYERPVTVCSTCSDLAAEMAAALASASIVFKDNKDYSKKLVHGAETLWKFARNQRGLYSAGGAEAATFYNSSMYWDEFVWGGAWLYYATGNQSYLYLASHPTLAKHAGAFWGGPDYGVLLTRLRLFLSPGYPYEQTLKTFHNQTSIIMCSYLPYFTSFNRTRGGLIQLNHGRPQPLQYVVNAAFLATLFSDYLDAADTPGWYCGPNFYSSDVLRKFAETQINYILGKNPRKMSYLVGFGNHFPKHVHHRGASIPKNKIKYNCKGGYKWRDSKKPNPNTITGAMVAGPDRNDGFHDVRTNYNYTEPTIAGNAGLVAALVALSGDRTTNKVDKNTIFSAVPPMFPTPPPPPAPWKP >KVI08138 pep supercontig:CcrdV1:scaffold_797:25785:30834:1 gene:Ccrd_013493 transcript:KVI08138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDAAIEIDGQQQQLITALPDLSIHAQGCNSGEKKEVVSTSEERQHPKTLLADEDHHGVCAICLNKIALQETALVKGCEHAYCVICILRWATYKKEPTCPQCKHPFEFLNIHRSLDGSIHDYMFEESVCLLLRASWFHPLHVESHEELDDHHHVGEDLYPYSYEYEEEEDDEDLDEVYLGRSLNINIRIGNRRWGHNGYVRAGKQEARPIHQQPHSQDCDAGPSSREPKKKEACAARDVAAVGRRAKRTLKREAADKAAAAKHQQHLVRLGRN >KVI08137 pep supercontig:CcrdV1:scaffold_797:49784:83068:-1 gene:Ccrd_013494 transcript:KVI08137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear control of ATP synthase 2 MDDSNQHPESSPADIDASEPSSIISSSYEYYSTILRQQFHSLCSSSAYWHVLESISSLCKRTIVSSTPRRNRGTSLPLPVPSASLEPFKLSSESSRVYEVLEDIAEHTFLNMHSVQKNLQFWQARAEGSASQKAYFMALERGPRAFLDGSAQLIHYYVFQGTGMQHLSSLASIHISERISILTRLRYSLATFLAQIYLEVDKFGEQILKEPEKSLAPILASINGLFLNLEASIGHFSAVRLTGSSVDGSYSSPLLFEKLPDINQEESQWTDCEIRDTINLIYLNLNKLDVYLALLVAKHKKPRTITLHWLRYTFGAVGISVFSLWLLSHSRLAGSPDIDNWILEAKETITGFLSNHVEQPLIAIRDELFETFRKRHRGVMELEEVQLTSNSLHRMLLAFSEQTKGQKFPANASDQEMLEIVMGRYEQDLMHPIQNLLGGELARAMLIQIQKLKLDIETAMLELNQILRANEINFAILAALPAFFLSLLVLMLLRTWVKQDTRAEGKGRIARVQRRLLIVEVEKRIMQYQNCIDQGLDKDAQCMYGLVLYALDRLYRAVERHAKATGEWQCLRHDICELGKPNIQTAQKLMVTSRIERMYHCLLPSTRH >KVI08136 pep supercontig:CcrdV1:scaffold_797:148854:153252:-1 gene:Ccrd_013495 transcript:KVI08136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MMMISSSSSSSSPSSSPSSSSAVPSPLIPTIILLLLCLPALFYLAPHILPPPPSPPISFPDELDDLSLFRRATAVESHANNPSKPKSHLGATNSKPKIAFLFLTNSDLHFSPLWERFFKGNRSNRDLYTLYVHADPTVRTKINIPGGVFSEDRFIPAKKTHRGTATLVSAARRLLATALLDDPSNAFFTLISQHCIPLHSFNFFYDTLFEVKSHQAAELRHLKYKSFIEIISKDPNILKRYNARGKNVMVPEVPFDKFRMGSQFFTLTRRHALLVVSDRRLWKKFKLNCLTPQSCYPEEHYFPTLLSMEDPKGCTSYTLTRVNWTNSVNGHPHTYHPPEVSPELIYKLRRSNFTNPYMFARKFSPDCLEPLMNMAKEVIFRD >KVG09074 pep supercontig:CcrdV1:scaffold_7972:261:7765:1 gene:Ccrd_026567 transcript:KVG09074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 complex, subunit L MAAVGYDYEDGLQSSVDGGIPYDPSFVPDPVKSFVVHLYRHIREKNVYEIHQMYETSFHTLSDRMFKDTPWPSVEAVAQYVDNDHVFCLLYREMWYRHLYARLSPTLKQRIDSWDNYCSLFQARFLYVFLLNVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQHDQAWNVYGVLNFLQALMEKSSIIQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLTICLSLCPQVKLVDETVNSQLREKYGEKMLRMQRYDDESFALYDELFSYACPKFITPSAPSYEEPLVNYNQDAYRLQLKLFLYEVKQQQQLSVVRTFLKVYSTISLEKLATYMEVDESTLRTILMTYKHKTHSVGADGKTISNADIDFYIDDDLIRVVESKTPKQYGDYFLRQIVKLEAVIGDMDRIKLE >KVH93557 pep supercontig:CcrdV1:scaffold_7978:12679:14047:-1 gene:Ccrd_004391 transcript:KVH93557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFDVAARQVSLLIKSKMFLSSMFIAFLEVENPHEGFNKLWKSVFAANHHMSLDHHGVYLEQQDSKFSSNNDLLAEIKDVSDGMYEDTKLEFMYSNMNIH >KVH97283 pep supercontig:CcrdV1:scaffold_798:63772:68104:1 gene:Ccrd_000618 transcript:KVH97283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3133 MSESPAKVRLVRCPKCDNLLPEVTDYAVYQCGGCGAVLRATNKHIGSSISSEKSGDENDGVSNKIPKESVNLDFSRNSVQNMSLGSENGATYNGSSGRRHERDDDNNTEFEEPKPVIGNGKIGPRNSVRSSNRRYGETGLQEGSSSSYHYAPRHEYAELVQNSNDHLEVEDDRDELLRKLDELKDQIVRSRDKSKEKVPTHHPYANIHHNSHSLLPPSNQVQIFNDPFRPQNHVRTPIMPYEQQNPYHPYYSGQYVSQEMTDNRLFHHPSCSCLVCYNKHHQAPPPPPPPLPPIAPPVMQNDPNFYHHDYNNRRFSNLSLNSHGAESHTRWSNDLRRPPRGILATGGRRCHPIAGGSPFVACCKCYELLQVPEKSTKKMRCAACSEVMLISIVNKKLVLSVYVETNRDSEKPKDDLKTRGDAKWGGTEFSSEDYDNSGNYDFESMDKLQVGGPSLTSYKSAVSYTSEDEEKLGIREDENSVDAAMKAEKPSPPPSGSPLQDHFDYSTTYNRAGKGNRSQRMDIEPAKVAVDLGSHGSSMKDAAVASEIEISSNEYCINTGTSLESGDTNRETDHQRNSGGNVPFFMGMIKKSFRELSKSTEHVDHGKVHVTVNGHLIPDRLVKKAEKRAGPIQPGEYWYDARAGFWGMMGGPCRGIIPPFIEEFNYPMPEKCADGNTNVFVNGRELHQRDLDLLSSRGLPTDTDRSYVVEISGRVLDEDSGQELECLGKLAPTVERKKHGFGMKPPKAAAA >KVH97281 pep supercontig:CcrdV1:scaffold_798:42624:46258:1 gene:Ccrd_000616 transcript:KVH97281 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MDSRFASEKVIEEFESLTKNAKRVQIETLQKILKENGEAEYLKKWNLDGRFDPQTYSSCVPVVTHKDLEPYIQKIADGASHPVLTGKAITTITLSSGTTQGKPKEFPIDNGKALSFIYGSKQFTTKGGLLAGTATTNVYRSAQFKKTMRAMQTPCCSPDEVIFGPDFHQSLYCHLLCGLIFHEEIQVISSTFAHSIVHSFRTFELVWEELCSDIRNGVLSAKITVPSIRTAMGKVLTPNPELADKIHRKCLGLTDWNGLIHEVFPNCKYIYGIMTGSMEMYLKKLRHYAGKVRLLSADYGSSEGWIGANVNPNMPPERTTYAVLPNIGYFEFLPLREIDMASRDQDEPDFTFCPVEPKPVGLTDVQIGEELGDVVKVIGFHNATPELQFVCRRNLMLTINIDKNTEKDLQLSVEAAAKLLAAEKLEVVDFTSHVDIASEPGHYVIFWEVSGEASDKVLKECCNCLDKSFVDAGYVSSRKVKAIGPLELRVLRRGTFQKILDHYVGLGSALNQFKTPRCVTPVNHRVLQILCDNVAKSYISTTFG >KVH97274 pep supercontig:CcrdV1:scaffold_798:81213:82631:1 gene:Ccrd_000620 transcript:KVH97274 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEKAPHLAILPSPGMGHLIPLVQFARRLILRTQHNLSITFIIPNDGPLSKSQSAFLDSLPKPITYLLLPPVNFDDLPPDAKIETRISLMVTRSLPSLHQIFNTLIADKTIVALFVDLFGTDAFDVAIQFGVPTYIFFPASAMTLSLLLHLPKLDQMVSCPFRDLPDPVQIPGCIPIYGKDLLDPLQDRNNDAYKCLLHNAKRYMMAKGIVVNSFKELEGGAIEALQAEQPGKPPVYPVGPLIQTGMAESSRDVDKSNSLKWLDGQPCGSVLYICFGSGGTLSSDQVTELAMGLELSEQRFLWVVRTPNDKVTNATYFNAHSHEDHFDFLPKGFLERTKNQGLVVHSWAPQAQILSHGSIGGFLTHCGWSSTLETIVHGVPIICWPLYAEQKMNAQMLTVGLKVGLRAKTNESGIVDRVAVAGVVKGLFEGEEGKGIRIRIQELKEAAANVLSKDGYSTKTLDQLASILKNDV >KVH97282 pep supercontig:CcrdV1:scaffold_798:70966:73492:1 gene:Ccrd_000619 transcript:KVH97282 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MKKMKLDMNNNQIPSNLKQNQMFVKIAIGLILMWLAYHFYFSSSIQISPVVEADDDHRLPSSPPPVVANLTLSGEIPVNNTSIHEDEGSMECDVFTGEWVRDPTGPRYTNRSCNTIEHHQNCMKNGRPDSDYFYWRWSPVDCDLPAFDPKLFLEFMRKKSMAFIGDSITRNHVEEAVEVYHDDEYRSRRWFFGSHNFSLSVIWSPFLTKAKIFEDNDGHSSGAIELHLDELNSVWVDEFSNFDYIMIAGGKWFLKTAVYYENNTIIGCHNCNKANLSEVVLDYAYRKALHTTLDFITRSNHKVYAFFRTTTPDHFENGEWNTGGYCNRTVPFKDGEIDMRDIDTIMRDIELEEFANAKAATNGSVLRLFDTTRLSLLRPDGHPGPYRAFHPLDGKIGNAEVQNDCLHWCLPGPIDSWNDLMMNLLVRGERI >KVH97275 pep supercontig:CcrdV1:scaffold_798:90653:91888:-1 gene:Ccrd_000621 transcript:KVH97275 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MKGETSWVSHCVDDKSKDNEDFDSFAEVNDEVNKDNSPVISVDLILPDDLLERIVAYLPIASIFRVGCVCKRWHEIVSSRRFLWNVSPVLSQKPWYFMFTSSDEPIGYAYDPVLRKWYGIELPYMVKTSRWFIASSSGLVCFMDNDSRSELYVCNLITKCCFRLREPPGLRFCDYSALAISVDRISTNYTVTVVKSRQVPENYLQWDLSVHVYDSVTMMWTTIVTEMLMGWRGGDESVICNGVLYFLIYSIGSFQEHRHGLVSCNLSSRSSSSSSRNVLTRDFVSVPCSLTCGRLMNLKGSLVMVGGIGKQDRQDIIIGIGIWVLEDGKEWREVGRMPHKFFQGFGELDDVFASSGTDDLIYIQSYGAPALLVFDLKKKQWRWSQKCPVTKRFPLQLFSGFCFEPRLEISP >KVH97276 pep supercontig:CcrdV1:scaffold_798:135926:136771:-1 gene:Ccrd_000622 transcript:KVH97276 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAQTRILEINLISAQGLKIPPSAKMRRMHTYAIAWVHPTAKLRSHLDRVGGENPTWNEKFIFRVSPDFIYGDTSAVQFEIYACGYIRDYLIGNVRYLLSSSTLTSSKTGAVIGTPAFSAVHIRRPSGRVHGVLNIAATVYESSAFAAFTGKSAVCFRDLIGENDNDDKRRRERRLSWNLSRDGSRRSEQSSGAESCDFPIRESTDFSDGNDSTTSSSSSSRTTAAFKDLNGVRSSVKVAGKKELKSDGGGMLCGLMLQRRFSFCPSDQNLLTLAGFHGRKI >KVH97277 pep supercontig:CcrdV1:scaffold_798:140854:144607:1 gene:Ccrd_000623 transcript:KVH97277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF652 HREEPVFSYIRVLGFSSILFQHSSTGFRQKEMGRAKKGPKFAVMKKLASHKAIKQHKEEVLNPNKKDLTKEKLPRNVPYVSSALFFTYNTALGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALSSKNMTFGRSCMLSMIEFYVTRRTDLVEIAHYCHPERLLFFKNVLSFYLFM >KVH97279 pep supercontig:CcrdV1:scaffold_798:164756:168435:-1 gene:Ccrd_000625 transcript:KVH97279 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MVCEDLTMVSPSFPVIEVLNSMADGKKYITSEELGKHNKPGDLWISIQGKVYNVTEWAKEHPGGDIPLLNLAGQDVTDAFIAFHPGTAWKHLDKLYTGYHLKDYQVSDVSRDYRKLASEFSKAGMFDKKGHGVIYSLCFVSLLLSGCVYGVLYSTSFWVHMFAGALLGLAWMQIAYLGHDAGHYQMMATRGWNKFAGIFIGNCITGISIAWWKWTHNAHHIACNSLDYDPDLQHLPMLAVSSKLFNSLTSVFYGRQLTFDPLARFFVSYQHYSYYPIMCVARVNLYLQTLLLLISKRKVPDRGLNILGTLVFWTWFPLLVSCLPNWPERVAFVLVSFCVTGIQHVQFTLNHFAANVYIGAPKGNDWFEKQTSGTIDISCSSWMDWFFGGLQFQLEHHLFPRLPRCHLRSISPIVRDLCKKHNLPYTSLSFYDANVTTLKTLRTAALQARDVANPGPPQNLVWEAFNTHG >KVH97280 pep supercontig:CcrdV1:scaffold_798:46831:53503:-1 gene:Ccrd_000617 transcript:KVH97280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRLLVEVSRQHSQLRPLKNLFACSYHTSLKFGGNTGTISESLVPRASNNQECNFQHPTCSMMLSRALYSSDASTLEVGPTEAAKELYDKLLKSVIEQRTAPPNNWLWTLIEKCANREDIKLLFNVLENLRKFRLSNLRIFENFNDNLCREISKACVRVEAIEFGKKTLWKHNVYGLTPSVASAHSLLLYAKEHNDANLLVDVMKLLKANDVPLQPGTADIVFSICYNTERWDLMCKYAKRFVMAGAKLRRTSFDTWMTFAAKLGDVDNLWKIEKLRSGLMKTHTIGSGFSCAKGFLLEHKPEEAAAMVQVVTQTLLEAKRPDIMAELEKLVSEWPLEIIKRRKDEDKKALAAGLQQDISAMIHSLSGLGVKTNVKMDDLTKMPL >KVH97278 pep supercontig:CcrdV1:scaffold_798:145244:153135:-1 gene:Ccrd_000624 transcript:KVH97278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MGSLENGVLPLKRDSLLKSSSRNERNNSFVQRPRSRFTRFMVLKKLDYLQWICAVAVFIFFMLVFQMFLPLSTVEKDGGDFLKQKEDNFGGELKNFLKEIGGLDFGEGVKFEPTKLLLKFQRDNRDVYNVAFGGSRRVVRFGHRKPQLAFVFADLLVDPQQLLMVTVAAALKAIGYEIEVYSLEDGPVHSVWENVGVPVNIVEAGGDPKIVVDWLNYDAILVTSLEAKDVVSGITKTARHLYSPNNLRQCGHKLHKLNKFSCYSLLQEPFKSIPVIWIVHEKTLATRFKNYVSNGQVELIDDWKAVFNRATVVVFPNHVLPMFYAAFDAGNYFVIPGSPSGACKLDNSTNVLQESLRVNMNIGDRDFVIAITGSQFLYKGLWLEHALVLQALSPLLAEFPVDDSLSPRLRIIILSQDLTGNYSEAIKGIASNLNYPSGTVNHAAIDEDVYNVLSIADVVIYGSFLEEQSFPDILIKAMCFEKPIVAPDLSIIKKYVDDKINGYLFPKENIKALTQIMLQLVSKGRLSSLAYNIASFGKHTAKNMMVLESVEGYASLIENVLNLPSEVASPRAVSEIPSNIKTEWQWHLFEAIADRKYVNRTLRIYHFLNKVEKRWNRTLKESSADLRDRSEQPRGTWEDVYRSAKKADRYKNDLHERDDGELERTGQPLCIYEPYFGQGAWPFLHRGPLYRGLGLSTKGRRSRTDDIDAPSRLPLLSIPYYRDALGDFGAFFAIANRIDRASLSKAAEVALLDNIQARRHGDALYFWVRMDKDPRNPMQQDIWTFCDAINAGNCKFAFSDALKKMYGVKDNSTSLPPMPVDGGSWSLMHSWCMPTKSFVEFVMFSSARRMVYIDPTTGTIQEQHDFKSRRGKMWIKWFNYNTLKAMDEDLAEEADSDHRKERWLWPSTGEVFWQGMYEKERSQMRRQKEKRKQKSKDKIQRIKNRTHQKALGKYVKPSPENETEINSDSIVAATKLLR >KVG08650 pep supercontig:CcrdV1:scaffold_7981:1246:1766:-1 gene:Ccrd_026569 transcript:KVG08650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin II heavy chain-like protein EQKKILDRELAHANISANRVAVVVANDWNDTNNKVMTGKIPTAKNNTNCISKFWRKDLIHLVTVFLALPQKEP >KVG08651 pep supercontig:CcrdV1:scaffold_7981:536:2185:1 gene:Ccrd_026568 transcript:KVG08651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MLLLVFLLAIALQTLLCCWYLSSHYFVVGIIPVICHYNGNSICRNISMSQFTVQDLFLFLHNPILPDIILLYVFSITHAPRLEDMPMMPLERIGFMLQPHGFFICSPAIDVPSGACESNVKDSSSHVKDATAPKAVSNGLIATKL >KVH88540 pep supercontig:CcrdV1:scaffold_799:50954:83047:-1 gene:Ccrd_026570 transcript:KVH88540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMISSRIIEKPDVNSIKASYKMGSMMKLHIIKNFESQLLKLKLDYPQEHQTKIMMAALVSCLLVRLIHQDHGKVATVALQQLLSSVIQSFAATGLPFACMSNSLNKPTPLQLDVSLPSWHDIKWNFSRLAYLFDIQLERNVSTFLIVLIVACFSFVFIGGLLFYKYRRNTQSFEDCLWEAWACLCSSSTHLKQRTRVERVIGFVLAIWGILFYSRLLXTMTEQFRHNMQKLREGAQMQVLESDHIIICGVNSHLSFILKQLNKYHEFSVRLGTATSRRQRILLLSDIPRKQMDKIADHIAKDLYHIDVLTKSCSLSLTKSFQRAAANKARAIIILPTKSDRYGIDTDAFLSVLALQPLSDMESVPAIVEVSSPNTCELLKSISGLKVEPVENVTSKLFVQCSRQKGLVKIYRHLLNYRKNVFNLCSFPHLAGLKYGHLRNGFQEAVVCGLYKKGKINFHPLDDEVLDEDDKVLFIAPVHGNKKTQVAYFNSIKEEEVDSCNLQVVKVVGEAPHNGLQMAKARLDKIVKRPAKPGSKASDWSVGPKECILLLGWRSDVVEMIEEYDNYLGPGSVLEILSDVPIAEREKTYKFAGQRKLKNIQVIHKIGNPRNYDTLKDTIEHTQKSHKKGEEVPFSVVVISDREWLLEDPSGADKQSAYSLLLAESICLKLGVKVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEIMSLVTAQVAENSELNAVWKDILDADGDEIYVKDIGLYMKEDEHLSFSELSERARLRREVAIGYIKNKKKRLAYKLIASETRPRIQDFLVLKHDIKYKTVRIFISVKRKQKLRKQGSICFLLFQQTQNISRSTVNVHALVYNRRLDLHLINGLNENYDTVASSLSLSLSLSLKWILCRGSTCLISPSFENITTSRPEHLLQPFSINTMSTNIASMVLLLAIT >KVI08104 pep supercontig:CcrdV1:scaffold_7994:5115:6874:-1 gene:Ccrd_013528 transcript:KVI08104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKIMMAK >KVI00003 pep supercontig:CcrdV1:scaffold_8:572469:584747:-1 gene:Ccrd_021778 transcript:KVI00003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDQLPNDDEIECLLNIDDGINDDEKKIKNKLWQEGSNDRRDGVGVSSDMDVPIDSDMIIEEEQSILEAQTSSAVEELKLAVAFQGKGAVQRQVNALSELRRLLSRSEFPPVEIALRSGAIPLLAQSLSFGSQDEQLLEAAWCLTNIAAGKPEETRALLPALPLLIAHIGEKSSLPVAEQCAWALGNVAGEGEELRHILISQGALLPLAKMMLPNKGSTVRTAAWALSNLIKGPDPKAATELIKIDGVVDAILRHMRKSDDELATEIAWVVVYLSALSTIATSVLVKTDLLQLLIERLASSNSLQLLIPVLRSLGNLIAGDAYTTNAVLVVGHEITDNIIRALSKCLKSEHRVLKKEAAWVLSNIAAGSVAHKQLIYTSEASPLLLQLLSTSPFDIKKEVAYVVGNLCVAPAEGSGRSSLISDHLVSFVRSGCLRGFIDLVRSADIEAARLGLQFMELVLRGMPNGEGQQLVEKEDGIDAMERFQFHENEDLRNMANQLVDKYFGEDYGLDH >KVH99982 pep supercontig:CcrdV1:scaffold_8:854895:861192:1 gene:Ccrd_021795 transcript:KVH99982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MVFHCRCLSFSFHEDEYETTTQTKSTSTQTFYTASSDLNRSVSDSQSKVSIFSNLAQKTSNLRVFTFADLKEATNDFDQASIIGEGGLGLVYRGVIKSSDHPFDEIEVVVKDAIKASQGPKQWVGELSYYEVVEHPNLVKFVGHCFEENEGRIQRLLVYEYMPNKSVEYHLSTRSKTPLSWTMRLKVAQDVARGMAYLHENPVVQIISGDLKSSNILLDDQWNAKLSDFGMARLGTEEGLTHVWNVVAGTMGYTDPNYIKAGHLSVTSDVWSYGVFLYELITGRCPLDHSPPKKERELVKWVKPYIGSKRFEQIIDPRLEGNYSLKSAQKLSSIAQKCLSRNHKFRPKMGEVLEMVDHLIQVPLGAINPAPPPKTKNLVGVVATKLKNVFMCNSKV >KVH99973 pep supercontig:CcrdV1:scaffold_8:415677:420991:1 gene:Ccrd_021763 transcript:KVH99973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATEGLQIRVKKIKEVFSGGIKSLVGLATEFSGNSETALSNINSEAVKHSSALAKLIREASVKTLSKDIFGVIQMVEEARTMYYKKLSEFTKKFEVQTEVNDIQSYIGSKTYMLHHKVSDMHGFLSSTEDEYTSFLEKTKKHYIEDKIVTQNGQDDLLRINHEENEAINSLTKRSRDTMKDMETVHSAKIIEITKDAEKCLINDYMVDDSLFSTPKLCKLPSKTHTKELKTPPFEVLLDSFRKSRSEKQENADLNAVSFGTINGG >KVH99988 pep supercontig:CcrdV1:scaffold_8:83458:87039:-1 gene:Ccrd_021742 transcript:KVH99988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDFQLENHLRKGKQFIPINRTPKSAPDLNQIPIPTPNSLIWRHHGVSGTYNRSQATRPASIAHRRTLSGPPNRIQALADGKPWQDPPVVKESPIKGGAGGKKPPLSVGSGGRGGSSGGWDSDWGDNGFDERGLGSPDSMRRNQTVGDFRSGGGGGGMPARSRSTEDMYTRSQLEASAAGKEGFFARKIAENESRPEGLPPSQGGKYVGFGSSSNPMPRTNPQGDVLTSVTQGLGKLSVVAASAAQSAASVVQAGTKELTTKVRDGGYDHKVNETVNVVTAKTTEIGQKTWGIMKGVMAFASQKVEELAKENPTWKSDGWLRNETQENGVYQEFKQERKGWNSNGTSNRVPSSGGNGGSVSSSDRNFNSVESGSWDDWDNDGNRKNASTTGTAPANGDDWAGWDDAKDDGYDGFYKSASSDGKTASQTGKSDGNWSGAGFL >KVH99978 pep supercontig:CcrdV1:scaffold_8:827973:832937:-1 gene:Ccrd_021791 transcript:KVH99978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDGLKTEGRPWLSEERWPGETAGDWLGIGDVEEESLYHSLEEEAIVDCLLVEPRSDQVSVSGVWCFDEDNHGKCIKTEDAPYKLVGVENLLAANGVSACDDYLLDIGYVEHGSCIDYGSNEGLHVGNCSSESRFPMFHDKGSYGIRSSLTENIRGSKCDNYFIGKTMCDMHHSYNCNCIFGSSSESKTVDRFGDFSKSFIYEENKEDNPPPRFAQNRMEVSTICRDVPDQDHHGGVGSVTHKRSRKPTKRYIDESSNLDLRNCKKRREASSVSKVKISKIRRPKIQNEVEPKEKLPTSGISFGKAIQVPFISQGPTECQQNNSPPMIENRMESYSSDDSETMMKSESGGNPRKHHRLWSVSEVKKLIDGQMAKSFESKSCTKWKQQD >KVI00010 pep supercontig:CcrdV1:scaffold_8:542402:544928:-1 gene:Ccrd_021775 transcript:KVI00010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 LSSPSSSTPLYPSLFPSENPILPFCFWVLSTFMGSSERPKKKVQLWKKATVHFLLCFIMGFFTGFAPTNNPSFPTTHVITSNRSPEISPSPEEISGKVSEQESGNFDRSVLDESSSMKVHERPNLDRKQETDDKGETGNEVNLTPQRLVIVVTPTSDKDDLRGVLLRKMANTLSLVPPPLLWVVVESQSESTEVSDILRKTNVMYRHLVFKENFTDVEIEMDHQRNVALKHIEYHRLSGIVHFASLYNIYDLSFFDEIRAIEVFGTWPMAFLSANRQKVRIEGPVCDSSEVVGWHLKNLNNSEDDATRSPVHISTVGFNSSILWDPERWGRLSSAQHTSQNSIKFVKEEVLEEETKLKGVPQDGCSKIMLWNLHIPRIIKG >KVI00007 pep supercontig:CcrdV1:scaffold_8:501578:511457:1 gene:Ccrd_021770 transcript:KVI00007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRTDRFFFFFLLFFSVLVLNSMDITTAQIPGFTSLDCGGQSNFTDELGLDWTPDNQIIYGITSNISVTNETRQQYQTVRYFPADSRKYCYTLDVKSRTRYLIRATFLYGNFDSNNVYPKFDISMGPTRWATIVISDANIIESQELIFLASGSTISVCLSNATTGQPFISTLELRPFNGSIYLTPYPDDPFDRIWQSDLVKKANYLVDVAAGTDRVSTELPIDTGKDEQPPQKVMQTAVVGRNGTLTYRMNLDGFPGFGWAYTYFTEIEDLAPTETRKFRLVLPGAPDLSKPIVNIQENAQGTYRLYEPGFENISLPFVLSFKFGKTSDSTQGPLVNAIEISKYLKISDGSFDGAVAASLVSVYESLDWAQEGGDPCLPVAWSWWLDGNALTGPIPDFTGCPNLKIIHLENNQLSGDLPSSYVQNNLLSGEVPSGLLNKNFVLNYTGNVNLSKGSSGGRRNRNIIIGLAIGAIALFLGFITSLLVFYVLLAEPKHGMPVMSNAATEAAQCFTLSELRNATKNFEKKVGSGGFGTVYYGKLNDGKEIAVKLLESNNVYQGKKEFANEAKLHIENGDIQGIIDPALGNEYDIQSMWKIAEKALMCVQPHGNMRPSMSEVIKEIQDAISVERGAEAGARERSSDEISRHSILNMGSLDKCGNNDQDFSIDESITRPGPR >KVI00023 pep supercontig:CcrdV1:scaffold_8:994435:998215:1 gene:Ccrd_021806 transcript:KVI00023 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MGMLFDDVVIIKQAEKEDEATMITINCPDKTGLGCDLCRTILFFRLSIVRGDVSTDGKWCYIVFWVVGKPGTIWSLLKKRLLEACPSCSSANTLIFYPPESRPPKPADVFLLKFCCRDRQGLLHDVTHLLCELELVIQRVKVSTTPDGMVMDLFFITDTRELLHTTKRKEDTHDHLKAVLGDAMLSCDIEMVGPDFTACSHGPPFFIPPAITEDTFNLEMFDESKHGSQSLHSDSVSVTVDNSLSPAHTLVQIVCGDHKGLLYDIMRTLKDYNIQISYGRFTRKEKNVCEIDLFIVQADGKKLVDPSKQSALCSRIRMELFRPLRITLVNRGPDTELLVANPVELCGKGRPLVFYDITLALKMLNMDIFSAEISRHLIGGREWEVYRILLDDGDKLSVPTDKIEEDVRKMLMGWD >KVI00004 pep supercontig:CcrdV1:scaffold_8:531993:534723:-1 gene:Ccrd_021773 transcript:KVI00004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MGKTHGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KVH99991 pep supercontig:CcrdV1:scaffold_8:68071:73821:1 gene:Ccrd_021741 transcript:KVH99991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGDDDEEPLVYERWDSEFATKVYQEQVKALAEHQLMEEREMEAREKEQAESDSMKCFLLVLSRNETIVRKPKSKKKTKKTKFKSLKKEALSSDTKTTNVESPIEVDDELDDELILVKKRKKPVDDGEVKITKKSKKVKKNSEPSSLDVDSNSLAEQQDEPKEMKLCGSNLEVDHKPVNRSKTGVKISIASMPVKRVMTIRLEKLKKGSIWPNDCLPAPDSWLSLEDAVLCAVVHEYGVNWSLASDVLNGMTTGGFYRGILRHPVHCCERPQSQKMKFTNLSQISILVADALENSQNVPREERVSNFSERNEAQAIDQVGITLEFSSGRDDQSVPLPSAVSLSIDVSEPVSSEPITMGGNQHFRSSKDTAECRFRDASRATIEGGLSLPPSAFPISDIKSRPLAKSQLSGKHRLPNPEAPLKSSKSKLKKTIIDSNEILPPNLQPPSSSPNDSCLRFDSTSSVPDLVFDDFLSSSSLEMGNEVCLDAGSFDLVPHNYDPGFTLGLEDCSLSPEFTDIG >KVH99983 pep supercontig:CcrdV1:scaffold_8:849562:853611:1 gene:Ccrd_021794 transcript:KVH99983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin MMKSAFRTALVLTTTVCHRSLNPNPITLLSLRTATASPPPNSTTVTCRKIHCNSFSSSMAGGDSSPTVAAVEKQFDEFRNRLEESGSLRDRIRAVATEIESTTRMMYSSLLLVHQSRPIPEVLENAKTQIDVLKQLFSSLAEIVRERPGQYYRYHGDWRTETQQVVSLLAFMHWLETGSLLIHTEVEEKLGLNASEFGLDVEDYLIGICFMSNELPRYVVNQVTAGDYDCPRKVLKFLTDLHASFRLLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLASNKGESKEDQTIEES >KVH99971 pep supercontig:CcrdV1:scaffold_8:386880:391465:1 gene:Ccrd_021761 transcript:KVH99971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIFPERINSIKAILDDMKTQMVEEENTIRTKDQTMAELALLIPPLKENLQEAMDKAISAISQWEEIKMIAALFCEGSEKARKIKEVFSGGIESLVRLATEFSGNSETTLSNINSEAVKHSSALAELIKEASVKVGDILDDLQNGVKDEYEENLN >KVH99956 pep supercontig:CcrdV1:scaffold_8:775175:783287:1 gene:Ccrd_021788 transcript:KVH99956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDAMITKPQPRMTRRLLPAVLPVLFVAITYVDPGKWVAAIEGGARFGYDLILLMFVFSLAAVLCHYLSACIAVVTGRDLAQICSAEYDMITCIFLGVQVELSMIALDLSMNQGSTQVTKGDLCHDHLYAIACVFSGIFLVNYVLMNSAANVFYSTGLDLLTFQDALSLMDQVFRSFLAPFALILVLLLSNHTTALTWKFSGQAVLRNFFKIDIPGWCHHSTIRLIAVIPALLCAWHWGAEGTYQLLLFTQIVVALLLPSSVIPLFRVASSRSVMGVNKISQFLEYLVLITYIGMLGLAIVFVVEMVFGNSDWANNMRWTMGSGMSVPYIVVLVAAFFSISLMLWLVVTPLKSSSLRSDAQKWDVQEIVPEPESSFVKEPREKLESSAHEKPLECDSDCDFSLPEELLDYDNGSNLTTIEENSFDPVISSQPDEPATIVESVSSPVDTCLVSDESVLKKEDDDLVEKTLRIDGNMRIVKDHEREIWEPEEPPKMVSGTNQSPTSNGPGSFRSLGGKIDDIGSGPGSLSKLAGLGRAARRQLATTLDDFWKLLFDLHGEATQGAKTNKLDKLLGIDSKPNTKASPAALKTANLVDNEFNGYNPPMTSGLSDSVYGVPRGSSSLLSSYQQLLDAYAQNPALGAMDPEKRYHSLRLPQASGAPIDQPATVHGYQIKSYINQTKQRSSDYFHNQVEPVSPKLASLVSVNYKAPVSMTKTQQNGLRPAKPPGFPDPVVSRNSSMQPDRNNHNLHPPGPVENVHEKKYYSMPDISGLLPHRDSKMLPERDGATRYGTLGQSVYSSPLYRSGTISGYGGLSYSNLSRDAAAYQPVSSYGSGLVFGSGSDTWSMWSKQPSEQFGVAEKVNPRPGLNAQEAVISAVDAEANLLKSFRLSIVKLLKLEGSEWLFKQNGGLDEDLVDRVAAREQFLYEIESNESNRVARGGMKVDEAEYNKYLVTSVPNCGEGCVWRVELIKSFGVWCIHRILELSLMESRPELWGKYTYGIIEPAFSKPRMPTSPCFCLQLPDSYQQRSSPPKSLTSLPPPAKQSRGKCTTAASLLDIVKDVETAISCRKGRPGTAAGDVAFPKGKENLASVLKRYKRRLVAVGGEGHGRSP >KVH99969 pep supercontig:CcrdV1:scaffold_8:477401:479554:1 gene:Ccrd_021769 transcript:KVH99969 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L4, C-terminal domain-containing protein MAAAARPLVTIQHIDSDMATDGASLPLPDVMKASIRPDIVNFVHSNISKNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTRIWRRWHRKINVNQKRYAVVSAIAASSVPSLVMARGHRIESVPELPLVVSDSAEGVEKTQNAIKVLKQIGAYSDAEKAKDSVGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEIANVERLNLLKLAPGGHLGRFIIWTKSAFEKLDSIYGSFDKTSEKKKGYVLPRAKMANADLARIINSDEVQSVVKPIKKEVKRAPMKKNPLKNLNTMLRLNPYAKTAKRMAVLAEEQRKKAKKEKLDKKRKPITKEEATKIKAASKSWYKTMISDSDYAEFDVFTKWLGVSQ >KVH99997 pep supercontig:CcrdV1:scaffold_8:171198:181098:-1 gene:Ccrd_021749 transcript:KVH99997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAEADLFPSKKQAVEIPHPNSYTALLNSNISSWGNPSSFHSVAGHFTERLFDSETPRSTNFDDRSLPSMAIGSMSMGRKVVADPFGIDSTFGLSISHPLVEPRSGISYGGIRKVKVSQVKDAEDFTSVSLGHAYSTGDNSTMTDHAYSKVDDESITMGLSFDRGNDSMISMTDTFHRGDTNFISMAEPYNKADTSEMPMDLPYRENNGSISVGPSVSKDDSSITTMTQSFIKEDENNIAIDAFNEHNIALSMDQVFSKDDSNVTSLGKTFDEGIYNTISIKNAYGKKSAINWYAGWDSSEKELRAVIKGAAYLCGCQSCTFSKAINAYGFERHAGCKTKHPNNHIYFENGKTIYGVVQELRNTPQNLLFEVIQTITGSPINQKSFRIWKESFLAATRELQRIYGKEEGKVVT >KVH99970 pep supercontig:CcrdV1:scaffold_8:357807:364389:1 gene:Ccrd_021760 transcript:KVH99970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPFGFILTLSNINSEAVKHSSALAELIREASVKVGDILDDLQMNFFKTLSKDIFVVIQMVEEARTMYYKKLSEFTKKFEVQTEVNDIQSYIGSKTYMLHHKVSDMHGFLSSTEDEYTSFLEKTKKHYIEDKIVTQNGQDGLTAILQNCDALETKQAINTQLSSLASSTVEEIDIAQSRVNFIAKLQNCHKTLETGMALFPWEKTTELSEIVFSNSMVLANRSNKTLTNGMVEQKNAIKIKDETMAELALQIPPFQEKLQELEEMVKDKTRLVVGCAS >KVH99999 pep supercontig:CcrdV1:scaffold_8:1092810:1099672:-1 gene:Ccrd_021813 transcript:KVH99999 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASESISQLHFLLIPLLAPGHTIPMIDMAKLLAQRPNVVVTIVTTPLNAIRYGPNLQQHINSGLPFPAAEAGLPEGCESADALPEPFVLPGLPHQIEITKVQLPQEFNPVDLATREQLERVRETELRAYGLVINSFEELEQEYVNELKKLKNGRVWCLGPLSLTNDNNLGKAVRGNNASIDEQRILNWLDSKEPVFVIYACFGSSSQVIPPQLIELGLGLEASNRPFIWVIRAGDRAKEIEEWIKETGFEERTNGRGLLIRDWTWTPQLLILSHPSVEVLERETSSQSVGGWRGGWGSTSCALGYGRQIWDYGEKRTGFKCYKQSDGCRNPRKREKKESETTCEDSSYSGENGTKTLRQLQAEEDDEERFQADLLKAVCQSLGPRQIPLTPISYNLQCLQNLICPGATLIPDQLATRNLLYVQ >KVI00021 pep supercontig:CcrdV1:scaffold_8:971104:973571:-1 gene:Ccrd_021804 transcript:KVI00021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTEAMEATKTQEKPINRHGNLTTASSDENLKDIFHEIRTSRSPAVINFDIDECPETTQHIRYTPTFHFYRDGERVDAMFGAGFRFENLGGLPGEIRIFATKMAISSSLLVPVVATPLQVEINRHHPWPKVEILLDQDKDILIWDCSSFIRVHKHGKGLSHTNSVRNLNEAPPCKSRSYYTLSSLPNNISTTPVHFGRILSGECTSTVSSPSTIGVDDNLPAG >KVI00013 pep supercontig:CcrdV1:scaffold_8:312910:324709:-1 gene:Ccrd_021758 transcript:KVI00013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAIAGAVTITASRCPILSPPSSNLTATLTLLFRRHRPLRSRSRRLITTMAASSKPPPEQKIIAPYGSWSSSITSDVVSGAAKGLGGTAVDSHGRLFWLESRPTEAGRSVIVRGGTDAKEEAIDVTPVEFSVRTVAQEYGGGAFSIYGDSVVFSNYKDQRLYKQSIDSKDPPVPLTPEYDGPVVSYADGVYDTSLNRYITVREDRRESSLNSITSIVSIELSGNIIQEPKVLVSGNDFYAFPRLDPKGKRLAWIEWSHPNMPWDRSELWVGYISDTGDVYKRVCVAGADPTIVESPTEPKWSDEGELFFVTDRKSGFWNLHKWVESDNTVASVYSLEAEFARPLWVFGMNSYELIKEQKNLIACSYRQKGRSYLGVLDKEKSTLSVLQSPFTDLMNITSGVHCLYVEGASGVDPLSIAKVTLDDWATSVVDFQIVWSSSPTSSEYKSYFSSPEFIEFPTEVPGEKAYAYYYPPTNPIYQGSQDEKPPLLLKSHGGPTAEARGILNLNVQFWTSRGWAFVDVNYGYGRAFRERLLKRWGIVDVDDCCSCAQFLVDSGKADGERLCITGGSAGGYTTLAALAFKKTFKAGASLYGVADLKLLKEETHKFESRYMDNLVGGEKEFFERSPINFVDQFSCPIILFQGLEDKAENIKFTLEQQMVFFARLVGRFKVADDIVPIKVDNFD >KVI00009 pep supercontig:CcrdV1:scaffold_8:553953:555899:-1 gene:Ccrd_021776 transcript:KVI00009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPAMVVTFGPTGLTTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKGASNFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAQKKK >KVH99951 pep supercontig:CcrdV1:scaffold_8:711213:718123:1 gene:Ccrd_021785 transcript:KVH99951 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MVLQFDDAITPRAKGMSEGQSSSGQDKPELGTKAEAFRREGHTWQCTSGLPSDATIEIGEMSFHLHKFPLITRSGLMTKLIGDLSNEDEHVCVVHLDEIPGGSKTFELIARFCYDVKIEVTAHNVISLRCAAEYLQMTDDYGEENLITLTESFLIEVFATWADTMKALESCEEVLCDAEELFLVTRCIDSLATKACMGFNAAGNNQDTAPTSIVWNGISTSSKPETTHDDWWHRDVSFLGLHLYKQVIRAIASRGMKPETIARSLVGYVKRYIPLMNRQSSFNDANHCKTVSCPSEADQRYLFEEIIELLPHQKGIIATKFLIRFLRTAMILHVSPSCRENLEKRVGAQLDSAVLDDILIPNQGFNTVETLYDIDCFQRILDYFMSMDQAPSTLCSPCIVEEGSEGGPQSLASMTSVANLVDAFLADVAADVNMKLSKFQSVCASVPDYARPLSDGVYRAIDIYFKAHPWLCDNEREQMCRLMNVQKLSLDASTHAARNERLPLRVIVQVLFFEQLRLRTSIAGWFYVSENLENTKNESGGGEVVRSQEKERERGSRMTGMGEMRDRVLDLERDCETIKYEIRKMVKKTKRNWNFFCKRKTKKI >KVI00016 pep supercontig:CcrdV1:scaffold_8:927131:928585:1 gene:Ccrd_021801 transcript:KVI00016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 ISFVTSFPFYCKLSLSCSCFSLTLCFSRDDAVYDELKKTEGETVALPLDEDLPGMGQYYCLHCDRYFANVTVRDEHFKTKRHRKRLKIMAGPAPHTQLDADLAAGMGMPDNGPKLMST >KVH99949 pep supercontig:CcrdV1:scaffold_8:756574:759106:-1 gene:Ccrd_021787 transcript:KVH99949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MASSTASPHFLPLPSSKISRTTIPTSFHLSSSSLNPISKLFSISTSINVFEATPSSRFVPRVAFSLDLDLDDDLSIGRDEEQDHRFFPQLKLFVGNLPFNVDNAALARLFEGSGSVEMAEELDGRQLRVNYGPPPRKKSVVREPRIDGRGDNISNTVYVGNLSWSVDDQALETLFWEHGNVMKAKVSHDEDSGRSRGFGFVTYSSADEAYQADLDGRNIRVSVAKPQQRRQL >KVH99990 pep supercontig:CcrdV1:scaffold_8:59748:65127:1 gene:Ccrd_021740 transcript:KVH99990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAENLGDSSPRQHVHSSLVQEQPAIQYEDHTDINGCAEPNEDDEHTIEEDEALITEDERREELEALHNEVDLPLEELLRRYAVGEDNTESTPGKTDGVAELTQASEDDSRCNGNDSSDHILRRKSTQPARSRHCAESNGGLSVSKNHHSEVEKHGIRKRKGLQEGRKKYLELDFNDENDDETTLLEEEELAKAEADDTVDEIALLQNESEIPIEDLLARYRQDSDINRSTEEDSESEGASESVDLSDSSAHQETEVDLQH >KVH99975 pep supercontig:CcrdV1:scaffold_8:431315:440050:1 gene:Ccrd_021765 transcript:KVH99975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLASIIQNGMHPSIKFDVGLLNFASSSTSTQFPKWVHLQFTHSPNLSFDSAVCRNRWPRKLSIVRSKGVEQEEFSSSERETSSSNSYIDNAESKSHLIIDNDSEEKTKDLITYKTSARTVALCVLSAVAFGVGLGLKDGIGKASEFFAGYLLEQSLSVDNLFVFVLVFKYFKVPLAYQLFSAGDDDEEDLSDNFIVKTCQKIIPLHMMAIDFLQLKMELGKFKVSIYIDIRRHGRFGVPTTLHWHRSRLHWMQNDPRFLRVPCID >KVH99950 pep supercontig:CcrdV1:scaffold_8:673743:680215:1 gene:Ccrd_021784 transcript:KVH99950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISASNPNSMMSSSSTTGTNNAAGSGGQQAPGLKTYFKTPEGRYKLKYEKTHPAGLLHYAHGKSVTQVTLAHLKDKPVHALSSSSSSVGVGSGVRSAAAKFLGGGNGSRALSFVGGNGGSKSSSGITKVGSLGTSYTNNYTPNSNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSIHFSTSNPISHAFDPDAKDGHDLLIGLNCGDVYSVSLRQQLQDGGKKLVGGQHYNKDGCVNNRQSKDGSGDPSFPIIKDQSQFSVSHARYSKNPIARWHICQGSINSIAFSSDGAYIATVGRDGYLRVFDFKTEQLICGGKSYYGALLCCTWSMDGKYILTGGEDDLVQVWSMEERKIVAWGEGHSSWVSGVAFDSYWSAPNTDGTAENVVYRFGSVGQNGKK >KVH99979 pep supercontig:CcrdV1:scaffold_8:792919:796580:-1 gene:Ccrd_021790 transcript:KVH99979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAARSVFRSTSLRKAAARVASQPKAAPSPFRIPSRNSLSHRIFRCPVEMSACLESMQPFHNVTASALMTSMLTLSQNGYGWLPEAFFSKIGVFMCRFLVLGKSNSRTIFMYLAKRHRSPLDVLSIVSSGLQR >KVI00012 pep supercontig:CcrdV1:scaffold_8:335844:340490:-1 gene:Ccrd_021759 transcript:KVI00012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRWNSGVSIILLFSFPELLLSAVVTLDSLEIYKNHEWLGSKPKVYFQCSGEKKAALPDVKKTYTVYKFKGEESFQPLTEFSSKKCKRCGFYEEEHIKFDDVFDEWEFCPSDFAASDGRYIRTVDKEFNATFFVAASAIFVAGLVVAYKYWQKRKRQQEQARFLKLFEDTDDVEDELGIDSI >KVH99961 pep supercontig:CcrdV1:scaffold_8:171837:175646:1 gene:Ccrd_021750 transcript:KVH99961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MNKHVSSEAMSEIFRKGKPFLAVIFLQFGLAGMDVISKVALNQGMSNYVFVVYRHVVATIVMTPFAIVLDKKIRPKMTLSIFVKLMLLALLEPVIDQNLYFMGMKATTATFAVSMCNVLPAITFVMACILRLEVVNLKSIRSQAKVIGTITTVAGAMLMTLVKGPILELFWTKGRTNSNVINNGVDLHHSLKGAIMITVGCFSWSCFMVLQAITLKSYPAELSLTAWICLLGTAEGAIVAMIMERGKTAVWAIKWDTTLLATLYSGIICSGLAYYIQGIIMKERGPVFVTAFSPLSMIIVAIMGSIILAEKTYLGRVIGAIVIVVGLYLVVWGKSKDNKLSSLSIGEPIAPEKQIPVKESEENSCNKVITIKASDEVISTNYTVCDKHSQTADLC >KVI00006 pep supercontig:CcrdV1:scaffold_8:514042:515466:1 gene:Ccrd_021771 transcript:KVI00006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKSMGNRGHKRTETVDELPADKRTCSSLEFRPSSSTSPVQTPTTSVNQTHDHDMETSSSASGSGRTDEDKDSAYGSCDSDEMGDAEHIRNRHAILDYQRQRLSGDQVKFKRVLSNLNEEIEESAQQAALMELCEILSFCTDSSLSSLMADSLSPILIKLARHESNPEIMLLSIRALTYLCDIYPRSAGFIVRHDGVTALCQRLLAIEYVDVAEQ >KVH99959 pep supercontig:CcrdV1:scaffold_8:278585:295217:-1 gene:Ccrd_021756 transcript:KVH99959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIRSAMHRAAEARGGSKFRAAVRTYTDTVVQHAGYSVSEASKMFQDRTVPTDLFPLSSSNPRACYDIFVHSYKYTVELSCSISNAMKGYITVIVIPKAAKTLEEVSVSCKGEERVQLLKRWLVALRDFERLDGGLVDDDGKSYEDPYMSNDKNITPEKPTTILYYDPDLGRSPMNFRDVFLHSQALEGMTMSMNQEEGLRLCLTGGREVHNLVVKSIQDMAEAFSAYDGEMLVRKEELLQFAQGAITGLKVTADIARIDSEISQIQQSLDRMKCQKSAGEGGKNSSEAQSLASLKIEVTSTKEKIVEKGGYTRDSFSESKVHKLKLLLESLHSSVSKTEKRILEHREEKKEVLTFRVARTTDVSQFEKFPNLMLALKIKKICDVHYLANIMVFFMDLEAEISLLEEQRDKLEAELGKVNNTLAVAITRLQNAREERLQFDEDNNEFLLLLKAKEDELSNTIVSYKAEADTCNAFINFLEATWAFQSSYVEQRDKQEQLEPAIAKLKKLWEDLKGYEKTIDPDVEFLQDIRRRVILEQEYMDAEAKVINIFDAVESIKEHFYGAIDDASMEGVKVVGELCGAIEKMKGDFKTIKRPNLRIESPPEEEEPPATASPQGLVLSPGLVMPDFKSIFSQKLMIKSPKQKPYIPLGGLSENHPSSTTENDTKSAESEQHKTKVKESEKPSHPDKDASNLTLEFENETTGQKSRAKSDQGEPEKMSSGLDEESRRQSNGENLLHSNDGIPKVTLAAEAATSEPVLDKTSQLTTGNGKRSVEPDEQPPKSTSRSEEQGKVPTEEVVQKSVKLVNELKDDNLSS >KVH99958 pep supercontig:CcrdV1:scaffold_8:296665:297916:-1 gene:Ccrd_021757 transcript:KVH99958 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIPIN family MDLTIKFVLLDYACSVSGFGFGLVKLKGYQSTFSGRLQSVLCGGEVAISHRIDMKGKSYTSLHTLVNDMFPPTSMVEQEDYNSWNYWRMPLPDVDL >KVH99976 pep supercontig:CcrdV1:scaffold_8:442594:445103:1 gene:Ccrd_021766 transcript:KVH99976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 18 MDELKTAMNDHMDQMQEIVEKLSSELRIGLQPAMDNFIGFFHAIDWKVHLSTWIYSGQNYFDRHGVFLSVLWSGPLLVFSMIILVNTLFSLCQLIVKWKRAELRHRARLSTTKQD >KVH99948 pep supercontig:CcrdV1:scaffold_8:719333:724229:-1 gene:Ccrd_021786 transcript:KVH99948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MQTAFRLQLEMELNGEMKVSKFKRICVFCGSSQGKKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPELLEVITWAQLGIHEKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIVSAPTAKVLVRKLEEYVPCHEGVASKLSWEMEQQLEFTDYDDISR >KVH99968 pep supercontig:CcrdV1:scaffold_8:46607:52614:-1 gene:Ccrd_021738 transcript:KVH99968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Micro-fibrillar-associated protein 1, C-terminal MSVTAGVSDTVIAVRDKLRGKIGQTKVKRYWPGKAPEWADDGDEEGDIRMARSVALEKAFPSREDSDIMKRDDPRLRRLAENRLDNKEEIRADHRRIRQAEIISTEEEEQRRQERLEFEEDDEDALDERRRRIREKLLQREQEEAAMIPEEEEEEEEEEEEESSEYETDSEEEQMGMAMVKPVFVPKSERDTIAEREKIEAEERAIEELMLRRAEERKVETKQIVVEEIRKDMEIQKNLEAEANIADVDTDDELNEAEEYEAWKAREIARIKRDREDRDALVKEREEIERVRNMTEEERREWERKNPKPASAPKQKWRFMQKYYHKGAFFQDDPDDTAATVGSDGIFHRDFSAPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDTLRAKYNTKMAGMNAPIAKPKGKKIKDWESR >KVH99994 pep supercontig:CcrdV1:scaffold_8:105440:111050:-1 gene:Ccrd_021744 transcript:KVH99994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAKPENALKRAEELINVGQKQDALQALHGIITSKRYRAWQKTLERIMFKYVELCVDMRRGRFAKDGLIQYRIICQQVNISSLEEVIKHFMHLATEKAELARSHAQALEEALDFDDLEADRRPEDLMLSYVSGEKGKDRSDRELVTPWFKLLWETYRTVLEILRNNSKLEALYATTEWEICKFAW >KVH99996 pep supercontig:CcrdV1:scaffold_8:157594:161961:1 gene:Ccrd_021748 transcript:KVH99996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily VLVRVVSFYGSQTIRTVEIDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNAVPTSKGQALADEYGMKFLETSAKTNMNVEEVFFTIARDIKQRLSETDSKAELSIIV >KVI00017 pep supercontig:CcrdV1:scaffold_8:920548:925983:1 gene:Ccrd_021800 transcript:KVI00017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DP MVTAGSNNQDDVGEKNPSSVVSKAGGGATRSCGTTGSGQSVSTSGSVGSLSTRSELPMVGTPVSESTFLRLNHLDIHTDNAGSQGAAGNKKKKRGQRATGGDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPGEGGQTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEEIKRDRLAIRSRIEKKAAYLKELQDQYVGLQNLIQRNEQLYSSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMNLSKRDDVANNVSADGGEGSSISSMFRPPLPSGKPSVPGILKTRVKHEH >KVH99972 pep supercontig:CcrdV1:scaffold_8:410400:412281:1 gene:Ccrd_021762 transcript:KVH99972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MENTKSYQQRRVSLMPFSPTQMLWSAENGSGCNKDDKDKGVNVQVILRCRPFSEDDVRAKTPAVVACNEDKQEVIVTQNMGNKQIDKTFSFDKVFGPSSRQKDLYDQVVGPIVREALEGYNWTIFAYGQTGTGKTYTMEGEGGKAKNGEFHEDVGVIPRAVEQLFDTLEAQNAEYSMKVTYIELYNEEITDLLALDDKSKKPITLMEDGKGAVFMRGLEEELVRSADEIYHILQKGSARKHTAETLINTQSNRSHSLFTIIIQIKEHASDGV >KVI00002 pep supercontig:CcrdV1:scaffold_8:1051206:1056022:-1 gene:Ccrd_021811 transcript:KVI00002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MGSSSGQYDLSFKILLIGDSGVGKSSLLVSFISDSVEDIAPTIGVDFKIKQLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSEVWAKEVDLYSTNQDCVKMLVGNKVDKDTERFVSREEGTGLAKELGCLFLECSARTRENVHQCFEELALKIMEVPSLLEEGSTVMKRNILKQKPENQAVAADGCCS >KVH99995 pep supercontig:CcrdV1:scaffold_8:121038:130820:-1 gene:Ccrd_021745 transcript:KVH99995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MQAPVLVLKDSLTRESGSKVHHANIQASKAVADIIRTSLGPRSMLKMLLDAAGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKKYHATVICRAYNKALEDALAVLDKIAMSIDVNDRLMMLGLVKSCIGTKFTSQFGDLIADLALDATTIVGVDLGQGLREVDIKKYIKVEKIPGGQLEDSKVLKGVMFNKDVVVPGKMRRKIVNPRIILLDCPLEYKKGENQTNAELVKEEDWGVLLKMEEEYIENLCAQVLRFKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTRAGLFEVKKIGDEFFAYIVDCQDPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKHPKLVPGGGATELTVSATLKQKSSSIEGIEKWPYEAAAVAFEAIPRTLAQNCGVNHANGENAWTGIDGNSGVIADMKELKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGSTQPSKPTIEQEGDADTEQMIPE >KVI00011 pep supercontig:CcrdV1:scaffold_8:536258:539729:-1 gene:Ccrd_021774 transcript:KVI00011 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein MDPLAPIVNPSSYSFRISCFNSICSPRSVPLPIGSNPLTHHASFNYDRLEVMFVAGNDTVMGKKGSWLSSIKKALSPSSKGKKSQKSKKKGVADEKPSVPITSFEEVASGSRDSPSLPPPEVEEVKPIEVEDEQTKHADLVAAEAEASVSAATVAAQAAAESARLAELTRYSGMSKVEVAAIRIQTTFRGYLARRALRALRGLVRLKTLVEGPTANRQTANTLKCMQHLSRVQCQINSRRIRMSEENQALQRQILQKQAKEIESLQVSFYVIRWEKNGTIACSRKNKSKQSY >KVH99953 pep supercontig:CcrdV1:scaffold_8:653238:660100:1 gene:Ccrd_021783 transcript:KVH99953 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MMKVLKMVSAMDLVAWRGLVFVFILQFVFACQIIFPPLVSALDGNPIDAAELFERASHSIQMKRYSEAINDLNAALEAEPSLSEAYWSRASVLRYLCRYEESEKSYRKYLEMKPGNSVVEKELSQLHQAQSALDTAINLFESGNYTTKALDFIDKVVLVFSPACSQAKLLKVKLLLASKDYSGVILETGNMLKEDEDDLEALLLRGRAYYYLADHDVATRHYQKGLRLDPEHGELKKAYFGLKNLLKKTKSAEDNEKKGKLRVAVEDYKAALALDPNHHAYNVHLHFGLCKLLVKLGRGKDALNACTEALTIDEEHAEALVQRGEAKLLVEDWEGAVADIKLAAEKSPQDMSIRQTLMKAEKALKLSQRKDWYKILGVSKTASVSEIKRAYKKLALQWHPDKNVDNREEAENKFREIAAAYEILGDEEKRTKYDHGEDVEEGMNMGGGGGFNPFGASGFSSGGGGFTFRFEGGFPGGGFRM >KVH99981 pep supercontig:CcrdV1:scaffold_8:833183:841172:1 gene:Ccrd_021792 transcript:KVH99981 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MNGEKRVVIASWVGCLIIILVFVGYLPRCVWCQDDADDDAPRNNKRPRISPLFSNLISQRFNKSIRIYKASIQRTLHYCTEDVEKDINGAFNFKGKDEFFRNCQVKFIDLSNRLCTAAELRAYFTSFIKKVDDDTKSISQAHFLEPNRNCNLTSWTGGCEAGWASAVNPDQKYEFNETNPRKMPFRTTDSQPCCEGFFCPQGLTCMIPCPLGAYCPVAKLNRQSGFCDPYHYQQPAGKPNHTCGAADMWGPVQMGSDIFCQPGYYCPTTVDKIECPKGCEKGTDIPSMKVFGIMLIGLLTAILIVVYNCSDQLMSTRYERQAKSREAAARHVRETAQARERWQAARNMAKKPTGLQGLQQHLSQTFSRKASARHDLKSKIPNPFSTGSRRESDKLTEMLQSMDDSSSNNEDLNLEIGDKNLKKKKIKTKKDLQTKSQIFKYAYGQIEKEKAMQEKNKNITFSGLLSLAKRSGEDIRKRPKIEIAFKELTLTLKGKQKCIMRSVSGKIMPGRISAVMGPSGAGKTTFLSALTGKITGCTMSGMILINGKNESIHSYKKIVGFVPQDDIVHGDLTVEENLRFSARCRLSADLPKQDKVLIIERVIEALGLQGVRDAKVGTVEKRGISGGQKKRVNVGLEMVMEPSLLILDEPTSGLDSSSSSLLLRALRREALEGVNVSMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFAGLGITIPDRVNTPDYLIDVLEGITKPEGDVTAQQLPVKWMLHNGYRVPPDMLHLCDQSGNSSPPSASESKNKSYNGEIQRDVPTYNFFTTPDLSGRVTPGVFTQYKYYLERVLRQRTRDGRVQAADYLILLLAGACLGTMAKVTDDTFGATGYQYTVIAVSLLCMIGALRTFSLDKLQYKRESSSGMKSLSYFLSKDTMDHINTFMKPLVYLCLFYFFNYPRSSFGSNYIVLVCLVYCVTGIAYTLAISLEFSQAQLWSVLLPVVLTLIANQNNKSAASVITPFVFPKWALEAFIIANAKEYNGVWLMTRCAALKHYDYDIHNSNRCLWLLVATGVGTRIIAFICLLTRQR >KVI00018 pep supercontig:CcrdV1:scaffold_8:965210:968149:1 gene:Ccrd_021803 transcript:KVI00018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSALSFSTFSYTSLPSSSSSSSSPSTLVHRFSFDRYPPPSSSRSVSFTAKSSNFSGTFSDDDPFGFYPWESSGGDNGIEWEQQEMITLFTADGLVQIGGLMVPKRVSSAQKKQAKVKASPKSQQFKESNYMDPAQGLCLGALFDIAATNGLDMSRRLCIIGFCRSIEMLSDVVEDTVLEHGGEIVVAEKGSKGGLNEKLTMTVAVPLLWGVPPASESLQIAVRSGGGIVDKVFWQWNFW >KVH99946 pep supercontig:CcrdV1:scaffold_8:272881:277951:-1 gene:Ccrd_021755 transcript:KVH99946 gene_biotype:protein_coding transcript_biotype:protein_coding description:AmbAllergen MGNHYSAGRHHRRNRTDSETVVSHPDYKYGPSPYNAPQIPANSNKMAALSLPYANVDCNLRALAGQAEGFGRLAVGGLHGSVYHVTTLSEAAEFMDRSNGLRWKSQTVDGRGQRIKLTGKGLRLKECEHVIICNLEFEGGRGPDVDGIQIKPNSKHIWIDRCSLKDYDDGLIDITRESTDITISRCHFSNHDKTMLIGADPSHHGDRCMRVTIHHCFFDGTRQRHPRVRFAKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAAQKKVAFKYLTEKDGNLLHALLITHHPTSFILKQGQDGHISYTCVCVCRKEIIQYRVSLLE >KVI00019 pep supercontig:CcrdV1:scaffold_8:949649:950835:-1 gene:Ccrd_021802 transcript:KVI00019 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MNAEYFHNKEATQQTIDKEGWLHTGDLGYFDEGGRIFVVDRLKELIKYKGYQVAPAELEGLLVKHPEIMDAAVIPYPDEEAGEIPMAYIVRKVGSSITGEELQSFIARQVAPFKRIRKVAFIDIIPKAASGKILRRELRQKLKSRL >KVH99947 pep supercontig:CcrdV1:scaffold_8:252722:255220:-1 gene:Ccrd_021754 transcript:KVH99947 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MGTLNMLGLAKRIRARFLITSTSEVYGDPLEHPQKETYWGHVDGLMALMEGDHIGPFNLGNPGEFTMLELAEVVKEIIDSKAAIEFRENTADDPQKRKPDISKAKELLKWEPKITLREGLPQMASDFRSRILKEDEGEFDK >KVH99980 pep supercontig:CcrdV1:scaffold_8:844560:845882:-1 gene:Ccrd_021793 transcript:KVH99980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKTQRDFAATARPIIFRRRRFSSPTNGDLCIKLTSITFSLLLLYLLQTFLITVGCLSELHRASRSMVESEEGTFSSNTLHHVNKQMIEKDG >KVI00020 pep supercontig:CcrdV1:scaffold_8:977946:986360:-1 gene:Ccrd_021805 transcript:KVI00020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin binding domain-containing protein MEIDKAIRESNDQRLKTKYNNAINVIQRALALYPIEEVAFSFNGGKDSTVLLHLLRAGYFLHQVDCGHSNGDLSGHSSTFPIRTIYFESPSAFAEINSFTYETASSYGVQLDILRQDFKSGLEALLKTKPTRAIFLGVRTGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVPYCSLYDEGYTSIGSVNDTAPNALLCIKDSASGKEKFRPAYMLSDGRLERAGRARKLSPPNAGRITTVSNGLKSVESHQSNILTASIIAVGDEILFGTVEDQLGASLCRKLHSIGWMVSRIAVVRSDVDSVSEEVERQKSTSDMVFLYGGVGPLHSDVSVAGVAKAFSVPLDLNEEFEGHLRRLYGEKCTADLNKMAQLPQGITELVQHEKLLVPLIKCENVMILGATNVDELEQQWDSLIDLRCSNLVPPTEPFVSKNLTTSLSDLEVAQPLSKLSIEFPDIYIGCYRKSRSGPIKVTLEGKDEEMVEAAMEAVSRTLSSSSSSCFLGK >KVH99986 pep supercontig:CcrdV1:scaffold_8:916960:919323:1 gene:Ccrd_021799 transcript:KVH99986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MGVQKDDKKKGGGEKKLEDGGGAKKADAGSLTVVLKLDLHCDGCAKKVKKSIRHFEGVESVNADIAGNKLTITGKVDPTSVKERVEHKTRKKVELISPQPKKDDKKVDDKPSEKKTDDKKAGDKPSEKKSDGKKAGDKPSEKKSDDKKADAKKPEIQASTIVLKIPLHCEGCVHKIKRTNILLRVELVIPDVGKDLVTVKGTMNVKELTPYLKDKLRRSVDIVPSKTDEKKDDKKEKTDGTDKKEKVSGGDGEKKAVGGDVASRSIEVVNKLEYYGQNPYTYTMPTYNQGYYNQDYGVSTSSNHGYINDGYVNHGYAMQYPNEPPRPPPMYLHDPRVPDTGMFSDENPNGCSVM >KVH99957 pep supercontig:CcrdV1:scaffold_8:784515:791320:1 gene:Ccrd_021789 transcript:KVH99957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenase family MASQIARRLLRRRVTNEIFMTTTRSPSMGLFSSFDRNYSSCSSNLIRATLFPGDGIGPEIAESVKKVFSAADVPIEWEEHFVGTEVDPRTQSFVTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFYYAKTHGRKRVSAVHKANIMQKTDGLFLKCCREVAEKYPEIKYEEVVIDNCCMMLVKNPTLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSLNIGEGGIALAEAVHGSAPDIAGKVSIKDISSLKHLKCNLANPTALLLSAVSMLHHLDLTDKADMIQDAVLKTIAEGKYRTGDLGGSATTTEFTKAIIGHL >KVH99963 pep supercontig:CcrdV1:scaffold_8:198639:207155:1 gene:Ccrd_021752 transcript:KVH99963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein METQRILEFPHKNVDKRPRKRPRLAWDLPAPVPAPPKVLPALYCRQELINGAVPIFSYSSIYYKGIPHNGSPPWRPDDKEGHYMFAVGENITPRYRILSKMGEGTFGQVLECLDNEKKEPVAIKIVRSISKYREAAMIEIDVLQKLARHDVGGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREFGRQLLESVAFMHDLRLIHTDLKPENILLVSSEYIKVPDYKFLSRSGKDGSYFKNLPKTSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILIELCSGEALFQTHENLEHLAMMERVLGPLPQHMIMRADRRSEKYFRRGARLDWPEGATSRESMRAVWKLPRLQNLVMQHVDHSAGALIDLLQGLLRFDPSERLKAREALRHPFFTRDIRRCGYPL >KVH99977 pep supercontig:CcrdV1:scaffold_8:446965:463716:1 gene:Ccrd_021767 transcript:KVH99977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MTHSFFKDFDTRRDAYGFTVRPQYLQTFKEFDSIYKKEEEERSTKWRCFLEQHAELALANASVEESIAKSEVTEPKTNPVAESSGEGDVLSDRKPTNGNETESVPEKVDSGPKQTKKPVVRNWAEVRSSLSVIEDMMCRRVKSRINMTNDPKNSSQKHLQSIQEEGPADEDSKEESRNNERPYSSGDERAEDTLESSFPWKELESLVRGARRVEGYYQNLLDVCDAGGERFATPEKCRKQIEKDLPRTFPGHPALNEEGRNSLRRLLLAYAQHNPAVGYCQGINMESGKGYFNLHFFRTSMRCLITDDPSNTLRYSLKYFESIKTALIIYSNKSKLTVDQLVFEDLMRERYPKLVLRVWDVILFEGNRAMLLRTALALMELYGTTKDAGDAITLLQSLVGSTFDSSTLVVTACISFSYVTEDMLQQLRQKHRPDVVAANEERNKGELVRQNSKRLATKLYSFKRDPKPIAREPSIKKGLCDKNVHDNSADLVFPPKLDHSLDITTIDSEVDSLPDIQDQVVWLKAQLCLMMEEKRAATLRCIYIEHMEQEVAELQQVLGDKKEQEKAMLEVLMRVEQEQKVTEDARRSAEQDAAAQRYAVSEKYEKAMNSLAQMEKRVVMAESMLEATLQYNSGQVKALSPGVVQSLQRTTTVAAATTTIQTQLQKGRAYFHLDLVGVIGTRQNHHMWNQAKVKPPMRQWMQKLKPTVTKNPKNRNRRDQDRVFSSLGSSRRWQVAAAWLFLHSN >KVI00008 pep supercontig:CcrdV1:scaffold_8:559036:568946:-1 gene:Ccrd_021777 transcript:KVI00008 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MTDPSFLFGGITFNRKKFSRDFARFKEKMPSNDFEETLLENKDPEVEVVAKAVKKRKRKAAISDPVEGFSVFKSSKTEPVLEENEETENASQGKKELYRQMERDAIFRKKHNIHTSGKLGIKEPTPIQMQAIPILLSGRECFACAPTGSGKTLAFVCPMLMKLKRASKDGVRAVILCPTRELASQTFRECKKLAKGKKFYIKLMTKQLANSAEFSKVPCDILISTPLRLKFAVTKRKLDLSRVEYLVLDESDKLFELGLLKSVDAVVKACSNPSITRSLFSATLPDTVEELARTIMHDAVRVIIGRKNSASESIKQKLVFVGSEEGKLLALRQTFEESLNPPVLVFVQNKERAKDLYKELRFDDIRVDVIHSDLSQIERENAIDNFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPDSASAYIHRIGRSGRAGRSGDAVTFYTEADVRYLKNIANVMKSSGCEVPSWILNLPKLKWKKHRPKREFLSTKPHDEEDE >KVH99989 pep supercontig:CcrdV1:scaffold_8:102003:105265:1 gene:Ccrd_021743 transcript:KVH99989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan fucosyltransferase MSCVKTTDSPPTLLQDLLPSSSQCTTARIYSKWVDMRRLNKNSGDPPKYSDLGGDDVSRDQQTRFGLTPLKLMGGLVVCLMVLSVLFSISVIFRDSTLNSIWAVADARVLDMAPTKDLDEEDVPLESFVQHKKKLHSSGLEHDDRLLGGLLSSQFDDDSCLSRYQAASYRKESPYRPSSYLTSRLRRYEALHKRCGPYTEPYNRTVANLRSGKKTEEDSDCNYLVWVSFSGLGNRILTLASAFLYALLTDRVLLIDRGVDMPDLFCEPFPEVSWLLPLDFPITDQFNSFNQESAECFGNMLKLSTNSSSSLSPYVYLHLVHDYNDHDKLFFCDQDQSFLQKVPWVIMKSDNYFIPSLFLMPSFEQELLALFPEKQTVFHFLGRYLFFPTNPIWGLITRYYEAYLAQADERIGIQVRVFDTRVGPFEYVLDQILACSLDNSLLPEINPNKSFVTYPGNQKSKAVLLTSLSSGYFEKIRDMYWEHPTVTGEVIEVFQPSHEGYQQTEKKMHHRKAWAEIYLLSLTDKLITSSWSTFGYVAQSLGGLKPWILYKPENETTPNPACTRAMSIEPCFHAPPFFDCRKRTGTDTGAVVPHVRHCEDMGWGLKLVNPAINSIA >KVH99987 pep supercontig:CcrdV1:scaffold_8:889144:893311:-1 gene:Ccrd_021798 transcript:KVH99987 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSNQPESSDSKGTKRDFSTAILERKKAANRLVVDEAINDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCADVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFMEAYRPVRKGDFFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVRREDEDRLDEIGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLSDDVDLEKISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFSTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSQGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKSALRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKRRSENPEAMEEDMEDEVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETSGAGAAAGGSDPFAAAAGAADEDDLYN >KVH99962 pep supercontig:CcrdV1:scaffold_8:211055:213974:1 gene:Ccrd_021753 transcript:KVH99962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KAFYPKFLSQIIYSDYTFLFSTAQKTLSLSLSPKRNLREKGFWHIIMADSSASYIHMVHHLIEECLLFNMSKEECMEALSKHANIMPAITSTVWNELEKENKDFFEEYKNTRRNNQTQTVSSSSSS >KVH99967 pep supercontig:CcrdV1:scaffold_8:57371:59272:1 gene:Ccrd_021739 transcript:KVH99967 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAS subgroup MASKGPRSRLDHETRAKRQKALEPPKEPRRPRTHWDHLLEEMVWLSKDFESERKWKLALAKKIATRASKGMVDQATRGERRV >KVH99960 pep supercontig:CcrdV1:scaffold_8:183750:192243:-1 gene:Ccrd_021751 transcript:KVH99960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MTPDHSGKVGVGMVANPLPFLTPRPERRRPDPRSIDWNSSKPEKDRGEVNIQVLLRCRPLSDDDQKCNVPKVITCNEHKREVVILQNVANKQVDKVFTFDKVFGPKAQQRSIYDQAIFPIVNEVLEGFNCTVFAYGQTGTGKTYTMEGGMRNKIFQSGELPAEAGVIPRAVRQIFDTLEAQNADYSMKVTFLELYNEEIVDLLAAEDYSRALEERQKKPISLMEDGKGCVLVRGLEEEVVYSANDIYNLLERGTAKRRTADTLLNKRSRDSKLTRLLRDSLGGKTKTCIIATVSPSAHCLEETLSTLDYAHRAKNIKNKPEANQKMSKAMLLKDIYLEIEKMKQDLRATREKNGVYIPNERFAQDEAEKKMKNEKIEQLEIDLNISEKQVNSYRELYESEQEKRLSFESDLKDCKEKLENSNKALLDIQGKHREAMSMLKKKEFIISKLLDSENCLVEHAKGMRNKLQDASEDVTELFSKIDHKNKLEAENHQMLLSFGCQLDHSLKDLQKIVLSSVSQQQQQMKCMEEQVSSFLDIKHAKTQVLESRIKNITDTYTSGMTVLKDLVDTLQIRASSDLVHMKSRISDQIMAIFKNAVLEVKNATCDIQNSINDQKQMLALSAQQHEEGLHKSLMSAHEISRATIDFFNDLSQRATKLMAVLEENHKTRSHQLAAFEKKFKEDAAREEHLAIENISEIIRNLTAKQTSRVSEASRKIDHLSSQDNKILLQDISNMLQVSDDGKAEVGYSLLHWDNTQLAIDRLNESSMVETESFIREKIHENNLRGEEIVTKHVSMEASFKSEASGLESSVKDSLMVDQETGKELDSMSKICLDHLTCFEDKHGDRTRNMLNQAECLKKAYQVDHDSGPRKHEIDIPSVTSIEAMRAPAFADLLVSDNQHKRVENGSKPKVHNQRLSMGSPNRSPFADVN >KVH99964 pep supercontig:CcrdV1:scaffold_8:40868:45144:-1 gene:Ccrd_021737 transcript:KVH99964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNDQNSEVKDSPSVSASYLEVNCISSSKIRRFSVGTEAGFALNLINRKINDGLPLALYIEAVKEGEEPISFGPNSVLICHGHGWKLQTVTEPEGGARARASARRRDKYESSPPGLDDLHSTKGLLPSVIGVGYIAKVVLAFVLLFVLGAIFTLALENLPRLLLFINSSI >KVH99955 pep supercontig:CcrdV1:scaffold_8:613969:617492:-1 gene:Ccrd_021781 transcript:KVH99955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFKVGVQDEEAEGAKSGSVFTLSRAPGTLAYKPHSSSRTLQNGVSANRSLSNRILSGSILKSVSWITNRTFPIMFLRPSIDRGDSAKRSDKTLFTLYNLFLIVNESSNSKFGEKDVEAKGFNPGLIVLLVVGGLLITFLVGNYVLYMYAQKTLPPRRKKPISKKKLKREKLKQGVSVPGE >KVH99966 pep supercontig:CcrdV1:scaffold_8:7387:12082:1 gene:Ccrd_021735 transcript:KVH99966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MGNKNPAXVIRDALAKVLVYYYPFAGRLXEAPTQKLMVDCTGEGVLFIEAEADVTLKHFGVSLHPPFPCLEELLYDVPGSNGVVDSPLLLIQVTRLLCGGFIFAYRINHTMCDGPGVXQFLTALGEMAQGASSPSVLPVWQRELLFASEPPRATFAHHXYDVVGKTKAINDIVTKDLIQKSFFFGPNDVSTLRRRFVPEHLQRCSTFEVIAACVWRCRTIALQFDPNEEMSFLFPFNIRDKLNPSLPVGYYGNAFILPSVVSTAGDLSIKPLSHVLELVRKAKSLVSEEYVRSTIDLMAIKGRPLLTVPRSYILSNVARPGFSEIDFGWGKAAYGGPATGGLDAIPGLFYFYTHSTNEKGESGVVNEFAFAFSLS >KVH99954 pep supercontig:CcrdV1:scaffold_8:606763:611658:1 gene:Ccrd_021780 transcript:KVH99954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSCMITLFILVLLFAEPGSSKEGLDSEAYDIDYRGPETHSQRPPPKRTGGSRNFKNHPRSKRQITQNKLTKIIVLIQL >KVI00005 pep supercontig:CcrdV1:scaffold_8:519712:522558:1 gene:Ccrd_021772 transcript:KVI00005 gene_biotype:protein_coding transcript_biotype:protein_coding description:HECT-like protein MEFFTLVSNEFQKPGLGMWRGDNSSLASMQSLGNQDSVIVASPFGLFPSPWCPSISVASGIEFSEVIRKFFLLGQVVAKALHDGRVLDLPFSKAFYKLFFGQELTVYDIQSFDPDLGKTLIEFQALVQRRKYLESVRENSTAELDSCFRGTKIEDLHLDFTLPGYPDYILASGPNKEMVNATNLEEYIDLVVDATINSGISRQMDAFKSGFDQVFQMKHLQIFTDEELERLLCGESETWNSNQLSDLIKFDHGYTASSPPIIHLLEILQEVDYEQQRAFLKFVTGAPRLPLGGLSSLNPKLTIVRKHCDKLVDADLPSVMTCANYLKLPPYSTKETMKEKLFYAITEGQGALGSGGTEDMTQAVVVSGDWWRLVVVHDSGRWSNALEEKLYRKKMHGFKFDKHPLSPIASLCTVVFIVIPLYVMLGF >KVI00014 pep supercontig:CcrdV1:scaffold_8:467727:471902:1 gene:Ccrd_021768 transcript:KVI00014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQSKADFAKKQKIIEDKTGGLKNKSKNVRKYVHSLRQSVQPYAYPSKINAKKKEEEKELNDLLKIAVSQPKVPGCKHFLEAVEKKQYSWFWVCPNGGKDCHYRHALPPACISNCFNSYDSQTVHAIEEGKRSNREMQVWLLREARFMRMFVL >KVH99998 pep supercontig:CcrdV1:scaffold_8:1059813:1065896:1 gene:Ccrd_021812 transcript:KVH99998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin C-terminal domain-containing protein MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPHKRKVNLRKTVKIGRPGYRVTKQFDPEMKQRSLLFQIEYPEIEDNTKPRHRFMSSFEQRVQSFDKNYQYLLFAAEPYEIIGFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKTKPPEANKPSAATTNGTSAPGVPPPRPLAPPPQAPPPPPPAPQGPPPGSSIENSSRGPLPPPPPIGNGPRPMPPGGNLPAPPPPPFGGGGMPSFTPGNQIGRPMMPPPPQGFQGQQMQNQGMRPPPPLPQ >KVI00022 pep supercontig:CcrdV1:scaffold_8:1003356:1010704:1 gene:Ccrd_021807 transcript:KVI00022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MLNKFMKRGQKKPSKSDTDAYGYPPPGNRNSESVSTSNVVVNHASRGAAAPGPNNLGQQQPLAGGAAAAPPPTGTVEALPLFRDVPVSERQQLFYRKCRVCRFQFDFSDTLKMAREKEIKRMNLVELVDYVQSGSGKITEGNQEEMIQMISVNIFRCLPPSSHEHTGSENIETDEEEPYLDPSWLHLQLIYELLLRYVVSSDTDAKVAKRYINHSFVLKLLDMFDSEDPREREYLKTVLHRIYGKFMFVEKDNKLADTVIRGLLKYWPVTNCQKETLFLGELEEILEATQAAEFQRCMVPLFRKIGRCLNSPHFQTAERALFLWNNEHIVSLIAQNRNVILPIIFESLEKNVESHWNQVVHGLTVNVRKMFQEMDVKLFEECQKQYAEKEANAREAEEQRRLTWQKLADAGTARQTC >KVH99985 pep supercontig:CcrdV1:scaffold_8:861682:868465:1 gene:Ccrd_021796 transcript:KVH99985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MHNGSVADHLSTRSKAPLSWTMRLKVAHDVARGFDISTRRNGLSDRDVRRSGSGLNSQDVSDLSTESIRSTTFPSFSHRSSNLRVFTFSELKAATKNFSLSAKIGEGGFGCVYTEDDERGIQRLLVYEYMPNRSVEDHLSTRSEAPLSWTMRLKVAQDAARGLAYLHEEMDFQIIFRDFKSSNILLDDQWNAKLSDFGLARLGPEEGLTHVSTAVVGTMGYAAPEYIQTGHLTAKSDVWGYGVFLYELITGRRPLDKNRPKNEQKLLEWVKPYLDSKKFRLIIDSRLEGKYSLKSAQKLSMIANRCLSRNPKSRPKMTEVLEMVNQLVGGGTPHTTSPGTPLKSLAPMVAIEPNKVFVGSNQGAKSPENTKRKGERGSADIQHTEYACLSRCTVVNDVIVPIDQCTETGRPYYTLSPVL >KVH99993 pep supercontig:CcrdV1:scaffold_8:146469:150912:-1 gene:Ccrd_021747 transcript:KVH99993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MPPPNESSKKPADSHHSSHPPLNERILSSMSRRSVAAHPWHDLEIGPGAPTIFNCEPILPGCFLRAKAIGVMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVDDFLPADKAIEAVKHSM >KVH99965 pep supercontig:CcrdV1:scaffold_8:28766:30543:-1 gene:Ccrd_021736 transcript:KVH99965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MAQINTPLTFAVRRRAPELIVPAEPTPRELKPLSDIDDQEGLRFQIPVIQFYRRDPKMRNKNPATVIREALAKVLVFYYPFAGRLKEGPAXKLMVDCSGDGVLFIEAEADVTLKQFGDALQPPFPCLEELLYDVPGSGGVLDSPLLLIQVTRLLCGGFIFALRLNHTMSDAPGLVQFMTGLGEMAQGASRPSTLPVWQRELLFARDPPLVTCIHHEYDVVEDTKGTIIALDDMAHRSFFFGPAEVAAFRRFVPTNLQKCSTFEVLTACLWRCRTIALQPDPEEEMRIICIVNARAKFDPPLPKGYYGNGFAFPVAISTARDLTNKPLGHALEQVMKAKNDVNAEYMRSLADLMVLKGRPHFAVVRSFLVSDVTRAGFDEVDFGWGKAAYGGPAKGGVGAIPGVASFYIPFINHKGESGIVVPVCLPSAAMKIFVEELNTMLMPNKKAQVRQEHDQFALSRL >KVH99974 pep supercontig:CcrdV1:scaffold_8:426735:429997:1 gene:Ccrd_021764 transcript:KVH99974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MVKPCWNRGYGRVRGVQNGRTDGLLWYKDLGSHVSGEFSMAVVQANNLLEDHSQVESGQLDARKMGLFGTFIGVYDGHGGPETARFVNKNLFSNLKKFATEDQEMSEDVIRKSFLATEEEFISLVRDQWRTCSQIASVGTCCLVGVICNGLIYIANAGDSRVVLGRSERGGRGVSAIQLSDEHNVNRESVSRSIGDAYLKNPEFNRAPLLPRFRLPQPFTKQILSPEPSISIHEVTSKDEFLIFASDGLWEHLSNEEAVNIVHNYPRSGIARRLVKAALRVAAKKREMRYTDLKKIERGVRRHFHDDITVVVVFIDPSLTNLNSSLSMKGGVGLPGPNKFCM >KVH99984 pep supercontig:CcrdV1:scaffold_8:873405:879901:1 gene:Ccrd_021797 transcript:KVH99984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MCEPDSDVVKWGLHFLDVDQIFSSTYYGDSNQQDMNICHEQYNGGGYQENEFNNVENDEMLAHSLQQELLDFSITDENEPSNVVQDHLQVSNPVEHLQTSSDTQDWYGPSSDNYYYGDESRLQETEEMGPSSACSSPGNRSYDGDEYSYNLEITDESELDGEVGKRLNEIVPVPHVPKVNGDIPSVDEATTDHQRLLDRFSDIFYYIFRALSDQFYRSPEHHKFVRRQVVNQLKLHPEIYEGYVPMAYSDYLKRISKSGEWGDHVTLQAAADSYGVKIFVLTSFKDTCSIEILPKVQKSKRVIFLSFWAEVHYNSIYPEGELPPPEYRRKRRWWNFKHRHQAYLD >KVI00015 pep supercontig:CcrdV1:scaffold_8:1022578:1024765:1 gene:Ccrd_021809 transcript:KVI00015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase MVIDSIIGWLLLLLVVLHPISVVKAQEVEDEREFDYARGSEKGPEKWGTLHKEWSLCNKGKMQSPIDLSNSRVDIVQKSNKLSRNYKPTTAILINRGHDIAVHWEGNAGSIDINGTSYSLQQAHWHSPSEHTINGRRYSLELHMVHKTTDPTSKHQIAVIAVLYKIGKPNPFLSKLVSNITSLVKQENEHRHPGVIDPREIHMSCRRYYSYIGSLTVPPCTQNVIWTISKKVRSVSLDQVKLLRKAVHDHAHKNSRPLQRDHLRDIQLIVPKKRN >KVH99992 pep supercontig:CcrdV1:scaffold_8:140732:143819:1 gene:Ccrd_021746 transcript:KVH99992 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MNLRSRKIQSRRVKRAPCRFVVIKDEGVDIISRLPDALLTYILSLLPDADANRTRVLSNRWKNLWLFLPNLHFVMPFCWSIGEVKKFHDSVDQALALRGGMPIEKFFLYCSKNCDYDRVYGWLSTIVKCKVHELELRFPADRFRARFCWDLFKTCNTLVALTLRGEFVLDVPQAELLFPCLKKINLVSILYSGDESIVNLISGCPVLEELFVERQVIGQFDNLQTFKVSSPSLKRLRISFALCILGNYRVVIDAPKLEYIYILDVMSTDYSLTMPMSLVEANIKARTDSNTESIAQIVAILSPVKILTLTDSTLMGLVPFPRIQYAFNMNWVPPEYVPGCLRHKITEITICNREPVIQEEFGLIRYLLQHSTNLRIFRINGHRNDSKSRERLLSLPRGSNQSRVEFV >KVH99952 pep supercontig:CcrdV1:scaffold_8:637570:650308:1 gene:Ccrd_021782 transcript:KVH99952 gene_biotype:protein_coding transcript_biotype:protein_coding description:SART-1 protein MEQSDTRRGKSVEIRDQDDSPMGERWKGGYDHDGNDKSRDPKHRSKEKSRSSRREDKDHRSRDREKAKITDASKERDKESKGYVKDHNRDRRKEDGDDREKDRTRDKVKENDREKYRDKDRGKHKDREKEVEKDSELGQDKERGKEKSRDRERGTDKGKERTREKERDKNKDREKERETHADRDREKTRERVRDGDHDKDRSTGREKVSGKQHDDDHGGSKDLGKEDKLNSDSEDGQYRDTSKHGIGSHRDKDATKILKHEADAEGEYAGSQQSASELQDRIMRMKEERLKKKSEGASDVLSWVSKSRKLEDRRNAEKEKALQRSKMFEEQDNVTQGEDEDEVAACPHTSHDLAGFKVLHGLDKVIEGGTVVLTLKDQSILAAGDINQEIDMLENVEIGEQKRRNEAYKAAKKKSGVYDDKFNEEPGIEKIMLPQYDDPVVDEGVTLDERGSFGGEAEKKLEELRRRIDGASVNTRFEDLTSSGKVSTDYYTSEEMLRFKKPKKKKALRKKDKLDIDALEAEARSAGLGTGDLGSRADGKRQALKEEQERSEAEKRSNAFQSAYVKADEASKALRMEQTVSLQKEDEDNLVFGDDDDDLHKSLQRARKVALKRQDDGTSSGIQSIAVLASSTTKNSDADSGNRVSGESQENKVVFTEMEEFVWGLQLDEEAHDPDAEDVFMEEDVAPASSDQEQKGKDGGWEEVKDIVQDEKMADEEEEVKPDKTIHENALGKGLSGALQLLKDRGTLKDTVEWGGRNMDKKKSKLVGLVNDNDDKKEIRIERTDEYGRILTPKEAFRLISHKFHGKGPGKMKQEKRMRQYHEELKVKQMKNSDTPSFTVERMREAQSRMKTPYLVLSGHVKPGQTSDPRSGFATMEDFPGSLTPMLGDRKVEHFLGIKRKSEPGNMGPPKKPKT >KVI00001 pep supercontig:CcrdV1:scaffold_8:1025032:1026227:-1 gene:Ccrd_021810 transcript:KVI00001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MPIRAIAVGRHDELTHPDTLKAGLAEFISTLIFVFAGSGSGMAFSKLTTDGATTPAGLVAASLAHAFALFVAVSIAANISGGHVNPAVTFGAFVGGNITLLRGIVYVIAQLLGSTVACLLLKFVTNNMAVGAFSLSAGVGVTNALVFEIVMTFGLVYTVYATAVDPKKGDLGTIAPIAIGFIVGANILAGGAFTGASMNPAVSFGPALVSWTWANHWIYWVGPLVGGGIAGLIYELLFINQTHDHLPSSA >KVI07494 pep supercontig:CcrdV1:scaffold_80:134692:136125:1 gene:Ccrd_014188 transcript:KVI07494 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MRQETVVVIVGAGPAGLATSACLNVLSIPNIVLEREDCYASLWQKKAYDRLKLHLAKSFCELPHMPYPVSTPTFVPKNMFVKYLHNYVDKFEIEPLYQRSVENAWYDENERKWVVKAKNGVSGLVEEYVGEFLVAATGENSEGYIPRVYGLDNFKGSVMHSIQYENGKKFNGKNVLVVGSGNSGMEIAYDLYNWGAQTSIVIRSPVHVFTKEIVQLGMYLLQYLPCSIVDSIVLMCGKLLYGDLSEYGIQRPVKGPFRIKQELGRSPVIDVGTIGRIKTRDIQVMTTIEDIDENQIKFTDGTEKHFDAIVFATGFRSTVRKWLKDDGGLFNEKGMPMHKSPNHWKGDHGLYCVGFASAGLFGISNDAKNIADDIFRIVRGN >KVI07451 pep supercontig:CcrdV1:scaffold_80:186658:186924:-1 gene:Ccrd_014182 transcript:KVI07451 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPH3 domain-containing protein MFQRSIGHYTLLIVRSLPEESDSHIMNGSLRSMTVPSDWWVEDLAELKLDLYNRVLVNIRNKGLVHDEVIGEALKAFASRRLPGLTKA >KVI07478 pep supercontig:CcrdV1:scaffold_80:274883:283364:-1 gene:Ccrd_014172 transcript:KVI07478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MEKEDEKGRGGGDYHVQVDTGSDLLWVNCAGCANCPRNSDLGISLMLYNPKSSSSSKMITCDQDFCTSTLDSSNNECIVGMRCSYLVKYGDGSSTTGSTQQALDGILGLGQANSSIISQLAMAKKVKKTFSHCLSGSTGGGIFAIGEVVEPKVNTTPIIPKETHFNIELKAIEVGDEFLRLPRDIYDGRTRRGAIIDSGTTLAYFPNEIYNQLMRSIMVAQPNMKPHIVDHQFKCYKYLGDVDDGFPVVTFHFTNTLPMKVYPHQYLFQVQDGKDVILLGDLVLTDKLVTYNMEDQTVGWTEYNCSSSIKVKDEETGMVYERLDKFLTESEISFAASVVENRRRDAQKYA >KVI07484 pep supercontig:CcrdV1:scaffold_80:208445:221362:-1 gene:Ccrd_014178 transcript:KVI07484 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenase acyltransferase, catalytic domain-containing protein MALSRLRNHPVISRAPSLLRARLLSSTSTSRSLSRNSRLQNSILDDGGTLLRPKKISAFGGAHDQSKLKLLSGIRCYSSAEPPAHTVIEMPALSPTMSQGNIAKWKKKEGDKIEVGDIICEIETDKATLEFECLEEGFLAKILVPDGSKDVPVGQPIAITVEELDDIKNIPDSVLGGAEVKETQPAQSDVKTEDTVKESSSVKIDASELPPHDQGNIAKWRKKEGDKIEVGDIICEIETDKATLEFECLEEGYLAKILAPEGSKDVLVGQPIAITVEDAADIEMVKSSVSGNLAAKEAKPAQHATHDDKVKVQRSSFARISPSAKLLIAEHGLDASSIMASGQRGTLLKSDVLTAIKSGTGAAKSSSSERKTPSPTQPQTHTSLSLSSEIQQSNSYEDLPNSQIRKVIAKRLLESKQNTPHLYLSSDVILDPLLSFRKELKEKFGVKVSVNDIVIKTVAIALKTVPKANAFWDDKKGEIVLCDSVDISIAVATEKGLMTPIVRNADHKSISSISLEIKELAEKARAGKLTPNEFQGGTFSISNLGMFPVDQFCAIINPPQSGILAVGRGNRIVEAVFDEDGIEKPAIVTKMNVTLSADHRVFDGEVAGAFLGALKSNFSDIRRLLI >KVI07493 pep supercontig:CcrdV1:scaffold_80:518949:521768:-1 gene:Ccrd_014149 transcript:KVI07493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MCSRGHWRPSEDQKLRQLVQQYGPHNWNAIAEKLQGRSASYHGIVDWLRWFNQLDPKINRSPFTEEEEERLLAYHREFGNRWANIAKLFPGRTDNAVKNHWHVIMARRHRERSTRMYRRITATTDTDTNHLFTERYKKDQSVEFYNFLPVNTDSNKSEVIDHAKKDEVEVEQEATEQQRRDVVPFIDFL >KVI07456 pep supercontig:CcrdV1:scaffold_80:150182:152236:1 gene:Ccrd_014187 transcript:KVI07456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3475 MSKLVHQWNSLTDKQVMKLRDEISSSVGIKKLVSDDDDYIVDLICAEMLHNLKNSARIVTRLARKCRDPILKCFELAYDDLVKSGVDQYQWQFSWKKMNRKVRKMEAFVLVNANLYQEMENLAEIEQILRRMKSNDDHDSITVVDYTKKSNRKQQEVKRLKDISLWNRTHDYVVLLLARSIFTIFGRIGYVFGINHVLPRVEESSLLDSERNHSLSALLHSSIHLSENRITRFSSGPLGNISTKSGPTSRTNNVNKYHSGPIDNSITVSGSVSSKHRNITSFSGPLVRAARTGMKWWHTRDNYSSKIHGKTPTPNRLSTSGPFRGCMMGGNGSPMKNHHLNPVAACSAARWRTEETSNRSSKQKGFNPPPGTLGAVALALHYANVIIVLEKLVASPHLIGHDARDDLYNMLPKTIRTGLRVRLKPYAKSLGSSVYDTGLAEEWTEAMLGILDWLSPLAHDTIRWQSERSFEHQNLLSRTHILLVQTLYYANQEKTEATITELLIGLNYIWRFSREITAKALLECENGAGRKT >KVI07453 pep supercontig:CcrdV1:scaffold_80:171016:172297:-1 gene:Ccrd_014184 transcript:KVI07453 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MTAVDIAVSVLAKLLEYMVRPIVHPFGYIVHHNTNINGLRSQILCLDNTRFGVQQQVDVAGRNGETVIPVVQDWLAKANGLATESHNFLSDEVGKNHSCLSGTCPDLKLIYQSSRQAKKRRMAADELIEGGKFDRVSHPARRPPIWPSTGITATTVTGDLDCFESRKAQLRLIMETLEDDSVNIIGVYGMGGIGKTTFVEEVARQADALQLFDEIVMVVVSHKPNLRKLQGDLAEMLDLNLKEEGELLRTARLRERLNQVKRILIIMDDVWTPLDLRTIGIPQGNLHKGCKIILTSRSLDVCNAMNTQRNFYMDILSQVESWNLFRNMVGDTVDSMDLNPIATKVAKRCSGLPLAIVTVARALRHRSKHAWRDALRQLRSSTTNDIKGILASCYAACLKKTLTFQ >KVI07460 pep supercontig:CcrdV1:scaffold_80:346168:348864:-1 gene:Ccrd_014165 transcript:KVI07460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSRPGGVQNPGEFNPFAFLQNYLNTLRAGGANIQFVIENNMDGDPSGFRLPSNLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAVQNLPNIKITNELLESDYSDCAVCKDSFELDEEAKQLPCKHIYHQDCIIPWLELHNSCPVCRYELPTDDPDYENRSRQSSTGGSGSGAAASVGGSQDNPLTPRTAERRFRINLPWPFAYSTPAETSNSGAGNNNSGDANQGSGGQARQEDLD >KVI07469 pep supercontig:CcrdV1:scaffold_80:448804:454490:1 gene:Ccrd_014156 transcript:KVI07469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSCSLGLTHFNGFNPSGVSFPASRRRFNGGTRLGGALKIFASSDTKQQEPDLSVTVNGLHMPNPFVIGSGPPGTNYKVMKKAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWQNIELISDRPLEIMLDEFKRLKEEYPDRILIASIMEEYNKAAWEELIDRVEQTGIDAIEVNFSCPHGMPERKMGAAVGQDCDLLEEPARVALKSGCEGVAAINTIMSVMGINLKTLHPEPCVEGYSTPGGYSSKAVHPIALGKVMSIAQMMKSEFQDGDYSLSGIGGVETGGDAAEFILLGANSVQVCTGVMMYGYDLVSKLCSELKDFMRTHNFSTIEEFRGTSLQYFTTHTELVRIQQEAIRERRAIKKGLQSDKDWTGDGFVKESESMVSN >KVI07466 pep supercontig:CcrdV1:scaffold_80:299010:309837:1 gene:Ccrd_014169 transcript:KVI07466 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2404 MVIVALFVGFVFGALAVVGVEVLGVLFLIRKLSKKVDEEDVKITKSGSSGREELQFSFPNKQGWVWVLEKERIPKTSPPTDKGLRQQKRKIEILEVSPVRKYASIKDQSLVVTEPDGTLTKVSLLSCTVEAVSATNLPSRKWAKKYPVKVESKSSVIYHGSKLFYMYFETSSEKESWCKSLRLASSDDKEKLKWFDKLRLEFHNYLGSLNVEYPSFLKPTIGFNPDLGDKSIKIDGSSSKVRHFLKKLAKKTSKSGVENKTNWRSFSGREDKRVSERSSGVQESSSVGGSSRLSQTQKNSNYAVEEKIIQALTPRSTQSGSRSQGPLSSDTDSIDKITSDDGTLCCNLLLSRLFFDAKSNVELRKSIQARIQRTLSTIRTPSYIGEIICTGVDPGNIPPFIHGMRVLPTDLKEVVAMEIDIEYYGGAVLDIETRLEVQELENPESLDTNSDSKSVDDVTTDLLEGFEYFGEQLKLNEQKNQAMEQKGDEIRKLEEIKSFKGNEQVSSAVSKWKSVLNCVAKQVSQVPLSLAVRVTTLRGTLRVHIKPPPSDQLWFGFTSMPDIDFSLESSVGDHKITSGHIALFIISKFKAAIRETMVLPNSESVTIPFMLAEKNDWVPQKSAPFIWTNPEVTTEPTAESVIVHEVHRAQSSQETHPLEVQEARTSSARSTESIQDKLIDSLDDQKAVVSNVNLPDESKTALLESEEFPLQEAALQSIEENREVTSSNWQHPSPPQAMVAVEENDMMEGEDARLRRMGTRAKMLGLRKRMGEKLEEKRRNIEEKGRHIVEKMRGPGGI >KVI07467 pep supercontig:CcrdV1:scaffold_80:413648:423703:1 gene:Ccrd_014159 transcript:KVI07467 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4101 MAAVTDSSHILLVCCHVCPHKRFSNYYSLELGASSYPSRVSFDSSSRRRQKGFLLSVSGREISDVRVTDSSNNVHHTRSAFVEIPVTCYQILGIPDKSEKDEIVKSMNHLKFAEIEEGYTMDTVVSRQNLLMDVRDKLLFEADYAGNVRAKVPPKSSLQIPWSWLPSALCLLQEVGEEKLALDIGRTALQHPDSKPFVHDLLLSMALAECAIAKANFEKNKISQGFEALARAQSLLKSKPSLEKMTLLSQIEESLEELAPACTLELLGMPHTPENAERRVGAIAALRELLRQGLDVETSCQVEDWPCFLNQALNKLTAAEIVELLNWDSLANTRKNKKSLESQNQRIVVDASCLYVVMMAHMALGFSSKQIEMIKKAKTICECLLASEGIDLRLEEAFCLFLLGQGDEAVVVERLRQVESNSNLTSRTLISGKDIKDASNAKKMLSCGWVDQESWLNDALLGLFPDTRDCSPSLDNFFGGEKRVSENKHRKRAQTSASLGHRSLSTAFSSDRRTLDDHTSTSPRLEPAVKQLTPSDLHVPLTASSVKAESYPAVQLKRNLGVHYNKVWEVWLDPNSAVRYTSLATVMLCLLFATFKLMGVRFWGTRRSSSWVQGEPRMNTGSLSFATESSYGLWGSACNKGSLIADRLSKMLSMQNKRLNSGLDVGGLQDSSIAASSISSATVCRRSMHVDEAETLVKQWQTIKAEALGPNYKVHNLVNWKALAETAKDRCCFWRFVLLQLSILRADILSDGMGKEMAEIEALVEEAAELVDASHQKNPNYYRGKTMDHGDSVKVISKPHRDHCLELQEGVGSV >KVI07458 pep supercontig:CcrdV1:scaffold_80:324357:326196:-1 gene:Ccrd_014167 transcript:KVI07458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MPPRRYAIGRADEATHPDSARATLSEFLSTFVFVFAAEGSVLALDKAYGGDVLGAAGNLLVAVATALALFAAVASSLNVSGGHVNPAVTFGTLVAGRVSLVRALYYWVAQLLGAIVASLLLRPSTVGMVILTFGLVYTVFATAIDHKRGTLGTIAPLAIAFIVGANTLVGGPFEGGCMNPARAFGPSLVGWRWRNHWVYWLGPFLGAAIAGLIYEFGIIQPEVPVHTHHQPLAPEDY >KVI07462 pep supercontig:CcrdV1:scaffold_80:365959:369604:1 gene:Ccrd_014163 transcript:KVI07462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKPNILVTGTPGTGKTTTSAAVAEATTLRHINIGDLVRDKKLHDGWDDQLECYVINEDLVCDELEEMMEEGGNIVDHHGCDFFPERWFDRVVVLQTENSVLYDRLSKRYPEETVVAMKSDSIDDMNRNVATITEWVRSWRPAA >KVI07485 pep supercontig:CcrdV1:scaffold_80:455507:462694:1 gene:Ccrd_014155 transcript:KVI07485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:Projected from Arabidopsis thaliana (AT3G17820) UniProtKB/TrEMBL;Acc:A0A178VNQ6] MCDSYTPQGEPLPTNKRYNATKVFSHSDVVAEEPWYGPYYCGTGADKAFGRDIVDAHYKACLYAGINISGINGEWEFQVGPTVGISAGDQVWMARYLLERITEMAGVIVSFDPKPVSGDWNGAGAHTNYSTKSMRNEGGYEVIKKAIEKLEKRHVEHIAAYGEGNERRLTANRGASVRVGRDTEREKKGYFEDRRPSSNMDPYVVTSMIAETTIVWKP >KVI07483 pep supercontig:CcrdV1:scaffold_80:188552:220812:1 gene:Ccrd_014179 transcript:KVI07483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRQFTGKYKSHSGLNLPGSNRRLLIVPSKPRGLLSQLLEDIVDKRVHNPHSLARDSNVRMNLLQNLEDVDLSPPTSCSSSSSYRLLTHLSEVSSRLSASSRQELSRRRASSPPVSSLPLVPLKKNTKTLASTDLRQSLRACKLSYSLGTFRYRVFRQFTGKYKSHGGLNLPGSNRRLLVVPSKPRRLLSQLLEDIVDKRSPPTSCSSSSSYRLPTHPSGVSSRLSASSPQELSRRPASSPPVSSLPLVPLKKGVWFKVWREMDALYVGGRKQHVKYGYESWDGVPFIYDVKKTTYDFTITTAIMASDSKRLLQLTRVHKSELREKPTVLSGLETLLQPCFRLLASSHLLVMRLKRIDRDDILEIYVERVPSRHDMVVVHELDECLHARFLRRLLRRILSDHLLRVLGDSGNETVTIGTVTSSVIKLSYDHRFPSGETAVENHHRLVRLQKLHHFRRRSSLTHKCGKHLVTSLRIRGSLRWESSKPGGFGAGFSIPGRVNSSFTDHHSRQLFTEITYKKKSPSLPSSSNTASTIRLPLPTARMPDCTRETTGHVFLFKQYEDPRKNLTAGFQMIVIKKYVSEAELVRVFYVQMTNFLLHLCQILLRSKHPISGEYHVDQKPLLTKHPTHVLRLEIRSNTLNKFKPYPLPLDFSKKPPIQMQNFH >KVI07452 pep supercontig:CcrdV1:scaffold_80:177524:184843:1 gene:Ccrd_014183 transcript:KVI07452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRFKYLFVAFLFPQNFHISLSLSLCNNNNCYLSIIMNSNHLLLEEPIRMASILEPSRPSYFPAMTKIVGTLGPKSRSVEVISRCLMAGMSVARFDFTWGDAEFHQETLENLKIAIKKTKKLCAVMLDTIGPELLVVNKSDNPIPLEADSLVVLSPDEEKEATSSLLPINFSGLAKAVKSGDTIFLGQYLFTGSETTSVWLEVKEVKGDDVVCLIKNSAVLAGTLYTLHVSQVHIDLPTLPDKDKAVISTWGVKNNVDFLSLSHTRSGQDVRQAREYLSKLGDLNQTQIFAKIENVEGLVNFDEILQEADGIILARGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGADAILLGAETMRGLYPVESISIVGKICGEAEKVYNQDSYFKKTVKYVGEPMSHMESIASSAVRAAIKVKASVIICFTSSGRAARPTMPVLSVVIPRLKTNQLRWTFTGAFEVTYDPVVFGGFYEKARQSLIIRGLFPMLADPRHPAETTTATNETVLKVALDHGKAIGVIKSHDRVVVCQKVGDDSVVKIIELED >KVI07464 pep supercontig:CcrdV1:scaffold_80:397039:399131:1 gene:Ccrd_014161 transcript:KVI07464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGPITIGPIRKGKSRVGTDLIWIRPERWSDQTLLGRGPNRLLPSLVTRESTSFSRLHRSPTNNHRGMAKGGNEKNGRSSANQTNPEAEERKRLRKLAISKNLLSEFPAKASSLSLNPSKTVIKHHGRDILRKSNRKNRFLFSFPGLLAPVSGGKVGELKDLGSKNPILYLDFPQGRMKLFGTIVYPKNRYLTLQFSKGGKNVMCEDYFDT >KVI07468 pep supercontig:CcrdV1:scaffold_80:425039:437247:-1 gene:Ccrd_014158 transcript:KVI07468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MGSLTLTLACVPPKNPNCTATITRKNCVRNLTICSSYSIPVKFRRIKRIDIRLLACKCTSALSSSLISEPNWVRGDNKAEEDERVFIVVNFYRFVFIKDPEEEVSKHLEFLQGRDIHGRIYINEQGINAQYSGPSEDALAYVSWLKEDERFHDILVQISPPIRKHAFPRLKLRYKPSLMEGGVSHLPLIDSSMRATPLTPAEWRKRVEAANNIEVSSHLNPSRKVILLDVRNGKSVGNPNRISNDPKARMKLEPLLDQEREPPTKGVVTSNMSLFLLPGYEWDIGHFIGAQRPNVDCFRSTTFGLSGSEDVASDPLANVDKEKTDILMYCTGGIRCDVYSTILRQRGYKKLYTLRGGISHYLQCEGPVEWIGNLFVFDARLSLPPTSVKHDAKTDESLTHEVSDNTVFAKCYICGSQVSELRHRNCANLDCNLLFLCCSGCMDDLRGCCSSDCTSAARLRPVLPGHERYQKWHHYRDT >KVI07479 pep supercontig:CcrdV1:scaffold_80:248566:260285:1 gene:Ccrd_014175 transcript:KVI07479 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7-related protein MDAIRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLVGLCPHELFQLTKMDMGPCPKVHSFQLRKEYQEAKAKGQDNYDRELEDVIDRLIVECDRKIARALKRLSEEDAKAAIAISVSEVTQTPEILELSKQIKEKLKEADQYDLEGKADMKIRALEMVEELRTQRADKQSMLLLDAFNKDRASLPQPLANPPPLAPLPLATPDARTQELINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLSMQLPPRQETVTDSSKYTAADVRVTDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLADLQEERNNKRKPIEDDRRSKERSRDLEREGSRDHDRASSRDRVRDHDRRSRDRDRHHDRGRGYDRYDRDRERDRDRSRSYDSRSRHRSRSHSRERPRDYDRQRRYDRY >KVI07463 pep supercontig:CcrdV1:scaffold_80:400841:411196:1 gene:Ccrd_014160 transcript:KVI07463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVDQIRAHEPDHEFSDSENDPNDLIQTTATRHSSRISGKSLKAAVSSSADDAFDLDVKTKSSDGEENEIGGSTISGGKDAIETEVTKVSEQLSEISTSAIKLKEVSHSNHGSLVQATISTLFKKKEEKVSGARQKGKALEERKIATRSTPRKKQPKVSDDEIEDISDPSQDSSDEEWGA >KVI07472 pep supercontig:CcrdV1:scaffold_80:565791:567997:1 gene:Ccrd_014145 transcript:KVI07472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase/chloroperoxidase, N-terminal MPEIQTGNGAHTICSHGKELAREHSHDWMVLILLASIDLFLNIIEPFHRYVGEEMMVDMKYPFYEKDTIPMYAVPSYAVVLPCVVFFMYYLYRKDVYDLHHAILGLFYAVLITAVITDSIKDATGSFAGLGFLAWYLCGKLRTFDNKGHVASLCIVVSPYLFAALVGVSRVDDYWHHWTDVFTGAIIGWAPHAFFHVMEREHSVHTGTANARANDLEGQGGETRN >KVI07480 pep supercontig:CcrdV1:scaffold_80:264835:269495:1 gene:Ccrd_014174 transcript:KVI07480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MGFHLLSTIVSLFFILKQSSSSSSSPPSIYDALSSNGLPIGLLPKGITNFTIDPSTQRFQVHLNSSCNTKFETRVRFDWNFSGSLSYGQISNLSGIATQDLFLWFPVKGIHVDVPTTGIIYFDVGVVYKQFALSSFEIPRDCTELDDDGSGTELIVLTDHSRVIQDKNEKLLMQHGEGDEQRAAA >KVI07473 pep supercontig:CcrdV1:scaffold_80:539796:556021:-1 gene:Ccrd_014147 transcript:KVI07473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MVFKGRFFYSKKSSDISSPEGSNSPRSIGSNSSSPIRSDKKKAKSASKDELHPPTTTAGSSFRQNQVKDASNSTSVSKQQSRSKKDGVQGIESKVQNTNSITEKPNLGGGSSKLTRKGAADGPATVSPILASSLGLNRIKTRSGPLPQESFFGFSKDNNSKNKVSSSSSKMSSIGVSNLSKSKTSDGGIGSDFKEGFATDKKKMSCSLYNDDGSNSNSMSIECLPSRDHTPNVLGRSRLQNGESSSEAGQMNLRGCSEGLRSSDDCTPEMKTSFDCENPKESESPRFQAILRVTSAPRKRYPADIKSFSHELNSKGVRPFPLWKPRGLNNLEEVLVMIRGKFDKAKEEVDSDLHIFAADLLGILEKNAESEPEWQETLEDLLVLARSCAMTSPGEFWLQCEGIVQDLDDRRQELPMGILKQLHTRMLFILTRCTRLLQFHKESGLAEDELVLQLRQSLHSADRSIPPSIRRDDISSASRGSKTASTRKYYSQEQHGLHGLDWKKDQAKQPDNYFSSPPVELAKDLDSPTGRNRMSSWKPLPSPGGKVPNQVAPVKDVSDNKTDASKRLNDKKVASHVDLTNAMLPELPSAKDSHAQSTTPFKHQHKASWGWGDLSNTGDESSIICRICEEEVPTLQLEDHSRICAIADRCDQKGLRVDERLVRISETLEKLIESLTQKDFQHAVGSPDGAKVSNSSVTEESDLFSPKLSDWSRRGSEDMLDCFPDADNSICMDDLKVLPSMPYKTRFGPKSDQGMTTSSAGSITPRSPLLTPRTSHIDLLLAGKGAYLEHDDIPQMNELADIAKCAGNTPLDDDRSLSYLLTCLDDLRVVIDRRKFDALTVETFGARIEKLIREKYLQLFELVDDEKVDITSTIIDEDAPLEDDVVRSLRTSPIHSGKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVIGTSLLGEHESQSSLSENQQERRKKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELITIFDNILNRNIPWPAVPDEMSPEAQDLIDQLLTEDPNQRLGARGATEAAFVPSSESALDTSYFTSRYTWNTSEEHGYPASETEDSSDNGSMSGCSSCPSHHHDEIEV >KVI07448 pep supercontig:CcrdV1:scaffold_80:489936:500274:1 gene:Ccrd_014152 transcript:KVI07448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant transcription factor MNPRAMVKLSQLLNSRNKLSQHKLLGESIGNCFKLTSSAAGISTSRQSYGADGKISGRIFADYSIFKGKAALSAAPVLPTFSKLDSGYTKVERRGTIMLTFRPAIGERKYDSEKKQVHPQLAAASEWDR >KVI07481 pep supercontig:CcrdV1:scaffold_80:232401:243328:1 gene:Ccrd_014177 transcript:KVI07481 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MASRNLQVLTALDGAKTQWYHFTAVVIAGMGFFTKMLGRIYYHKPSSLKPGMLPPNVSAAINGVALVGTLAGQLFYGCLGDKLGRKKVYGITLMLMCLCSIASGLSFWRNPKLVMATLCFFRFWLGFGIGDDYPSSATIMSEYSNKKTRRVYRGAGGMFAIIMSSVFDARFKAPSYEVDPIRSTVPEADYVWRIILMVGAFPALLTYYWRMKMPETARYTALVAKQANQPAMDMSKVLQMEIPAEQQKIAPGYRPEKTRIDYWVQTDGGLPWQTYVRRKEIPNSRSQQHEEVGQNGKRKQQKHNVGTWLTQCPATKYRSLESSSESAGGGELGGNPQRVFENELNSGV >KVI07476 pep supercontig:CcrdV1:scaffold_80:290204:294353:-1 gene:Ccrd_014170 transcript:KVI07476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MALQIHHLRPPIPPAAALCSVGSLSSWPTKQSTIAGDLRRSFSLVKMIDSKTRKNKGLRYSVRVRSSLETSGSAAVVGQVTEVDKDTFWPIVNAAGDKTVVLDMYTQWCGPCKMIAPKIEELAEKYLDVVFLKLDCNQENKPLAKELGIKVVPTFKILKQGKIIKEVTGAKFDDLVTAMEDVRSS >KVI07475 pep supercontig:CcrdV1:scaffold_80:288829:289572:1 gene:Ccrd_014171 transcript:KVI07475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MSSSAYLDALRDVLGGVVEPFVPMITMTVYYGTNKLTNGRELNVSMVKATPRVLIGGNPDELYTLVMIDPDAPNPNEPEIVTNIPGGKSCAEGTEFVSYEGPNPHIGIHRYMLILYKQRASLNDIETLESRVHFRVRAFAREHNLGNPVGIAYYNVRRLTKKKTKA >KVI07470 pep supercontig:CcrdV1:scaffold_80:471659:483673:-1 gene:Ccrd_014153 transcript:KVI07470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein AKLHLPRTPFVAERPNKTTFVGRLCFSVHFIHRRSLRQRFRPPKSQPCKAHIIPKPSEEFRDKKFGIWTMDLDLWISKVKEGQHLSEDELQLLCEYVKDILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMVNLCCMHIILHIYCLRISLEDLRSCICILEVPCVSWQGDFVDRGYNSLEVFTILLLLKARCLSFLEMQEYPFCISYSIHCLTREFESNLCMPSCAANNGEVICGFYPSLLSFSVTYIFSCFTSACRYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSETMEREVKFFTETEENNQMRGPRTGVPYFL >KVI07461 pep supercontig:CcrdV1:scaffold_80:371817:394718:-1 gene:Ccrd_014162 transcript:KVI07461 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSASSRAFTSTRTLSSSPLFSPSITSSKSFTFFRLHFRQFIHRHSFIVISNISNFSSIMPHNQKRGGRTEQRWIQKPGSDKMDGPSDVPEVKSAASAESITNGINRLGIAENNAQPPVPVPQFGSISVDEIAPLHGQSNGQSHVSVGCSLVKNANLHGKQYVFKPKSYGTVSGATTDDAITTTTTATTRQENAAQTAALSKLFRQNLLENFTVRIRMIELVSKGLATLEVSLKHSGSLFMYAGHEGGAYAKNSFGNVYTAVGVFVLGRIFLESWGTEASKKQAEFNAFLEREHMSISMELVTAVLGDHGQRPREDYVVVTAVTELGYGKPKFYSTPEIIAFCRKWRLPTNHIWLFLTSWDLVEGPWHYKMIITAMCLFVSSRNSVTSFFAAYDALCEEGTATSVCKALDEVADISVPGSKDHIKVQGEILEGLVARLVSHESSKQMELVLKAYPSPIGEVHQDLGPGLREICAANRSDEKQQIKALLQNVGTSFCPDYVDWFGIEAADVHPRNADRSVVSKFLQANPCDNSTIKLKEMVRLMREKRFPAAFKCYHNFHKINTISGDNLHYKMVIHVHSDSGFRRYQKEMRYKPDLWPLYRGFFVDINLFKASKEKAEEIARISADIGKNDKDSDDPSRQSGLADEDANLMIKLKFLTYKLRTFLIRNGLSVLFKEGPAAYRTYYLRQMKIWNTSPGKQRQLSKMAVYIKRKCGHRQLSSSVYLSEAEPFLEQYAKRSPENQALIGCAGDLVRTEDFLAIVEGGRDEEGDLETEKDLTPSSPSPDAVQKKEGLIVFFPGIPGCAKSALCKEILSASGGLEDDRPIHSLMGDLIKGRYWQKIADERRRKPYSIVLADKNAPNEEVWRQIEDMCRSTKASAVPIVPDSEGTETNPFSLDALAVFIYRVLNRVNHPGNLDKSSPNAGYVLLMFYHLYDGKSRREFESELIERFGSLVKMPLLKSERLDSTKGTYVREWVTWEKQLRETLFGNAEYLTSIQVPFEFAVNQVLEQLKRITKGEYTTPTSEKRKFGTIVFAAIDVPVADILNLLSNVKAFLEDTNMANNLKTAHITLAHKRSHGVTAVANYGPFVNQTVPVDVAALFLSDKLAALETHPGSVDGQKISSKNQWPHLTLWTAEGIPPKEANTLPDLLLQGKANRIEIKPPFRISGVLQFH >KVI07487 pep supercontig:CcrdV1:scaffold_80:51698:64197:-1 gene:Ccrd_014193 transcript:KVI07487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MHYWVRASPSDFGGPHPQPRSGHTAVNIGKSKIIVFGGLVDKKFLNDISVYDIENKLWFQPECTGSGSEGQTGPSPRAFHIAISIDCHMFVFGGRLDIWQWSELTSFGDLPSPRDFAAASAIGNHKIVMYGGWDGKKWLSDVFVLDTISLEWTELAVTGTLPPPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEEDNEAPGWTQLKLPGQAPSSRCGHTVTSGGHYLLMFGGHGTGGWLSRYDVYYNDCVVLDRVSVQWRRLATNIEAPSARAYHSMTCIGSRYLLFGGFDGKVTYGDLWWLVPEDDPISNRLSASPSKVIHESQDASMANDFRSETKENHEEGSAISELQKRFDISVSFSGHGLQIVHESEDKEFLELASRMIRNRTFSNKEAAQALREHWRNSTPKSIPLKEIGLLLRDYQRLISRHHLAEFGSNLQSAESGFSEKDTYRFFHITNASQLRMSDIPNLLTEYKQLPLD >KVI07465 pep supercontig:CcrdV1:scaffold_80:310997:322443:1 gene:Ccrd_014168 transcript:KVI07465 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MSALEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSLETFVADINSGRWDAILPQVAQLKLPRKKLEDLYEQIVLEMIELRELDTARAILRQTQAMSVMKQEQPERYLRLEHLLVRTYFDPHEAYQDSTKEKRRSQISQAIAGEVSVVPPSRLMALIGQALKWQQHQGTAAMKQDVDDKYPTTLGHTIKFGKKSHPESARFSPDGQFLVSCSVDGFIEVWDHISGKLKKDLQYQAEESFMMHDDAVLCIDFSRDSEMLASGSQDGKIKVWRIRNGQCLRRLENAHSQGVTSVAFSRDGSQLLSTSFDGTARIHGIKNGKLLKEFRGHSSYVNDAIFTSDGLRVITASSDCTVKVWDLKSTDCLQTFKPPPPLRGGDASVNSVHLSPKNPDHVIVCNKTSSIYLMTLQGQVHEKDVIGICHHPHRNLVATYGEDCTMKLWKP >KVI07486 pep supercontig:CcrdV1:scaffold_80:502030:504801:-1 gene:Ccrd_014151 transcript:KVI07486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27e MVLPNDVDLLNPPAELEKRKHKLKRLDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >KVI07454 pep supercontig:CcrdV1:scaffold_80:161955:170797:-1 gene:Ccrd_014185 transcript:KVI07454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGYLDSPNQNKLSTSYAISAVLDDLEEFPIGIDCPKLQLWRLEGSMGLMKFSGNFFDGMKELKVVLMHHVSIPSMPSSFLALRKLLTLCLENCKLGDLSQIKELKHLEILSFMNSDIEKLPKAIGELSQLRLLDLTDCKNLTVIPFGVFSNLLNLECLYMMNSFVQWGFEGQNISQKQATVAELKHLSHLSTLEMHVPDVNLFPTDLLFGNLVRFKIFVGMDVSQVISYSYPKTLRLALYQGLNLHGGIYKLLKGAQHLILDYSIVNYLEDLHSIVYDLRKGFRHLRCLEVYGYTGVESLIDTAIFPVLEKLKVVSAADLRTICYDHLPDQSFCELRELMLSILPELTCLWMDPLGNVCLRNLRTLYVSDCHKLKDLLLQSTASGLSELQKLHVSSCADLKVILAKDQAVSLSQIVLSKLKSIKLEFLPSLQSFCPEADASLASDGSLEMLQNLEILSIEWCDSVEELSELNRLDFVDQNDAKLATLPSVRELNLGKLPLLKHLWWNIEPHAYSSLQNLNSLHIYECDALVHLFSIPAMKSLVQLQELKVRSCKNMKTIFAYEGGEDDIILLSELWSVNLEDLPELSSFCQGSISLEFPLLEMIEIKSCPKMKAFVSSGMHQEGNLGISLQPLFNDKMLEVIRITNCGSLEELFTVKHPQTHQNDASVSLIKLVELVLENLPKLRQIWWDRTPNKTHRFQRLASVDVAGCDLLDCIFPVSVARGVPRLHKLKVGSCLSVKEIVGKNGRESEPDDVILPKICSIELEDLPNLIGFCTRTSVLRWLSLKELRILNCSKMGSFISTSSMNGEGSGWFIEEKRSNSIQPFFMEKGFFPSLEKLRIDGLECVNALWHIDIVAESFSQLRVLEVRNCNSLSKLIPSSLLPRLQNLEEVYATQCCLLEKVLEQTEEDYVEKVILPKLHTVRLTNLPKLASFLSGHRTFEWPSLQILALEDCPSLKTFSSVLQVIPKLNAVEIGYESDDTNVQDKKAPVVAGCREH >KVI07482 pep supercontig:CcrdV1:scaffold_80:234348:243637:-1 gene:Ccrd_014176 transcript:KVI07482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTRSLMLLLLLVSSNSAFQSDELLVDDEEFGLEGGRSPNIDLTLSSSPPIPSRVHSPPKSTRKRSVDSESDSRVQFVLEHALGVSSEFSAAGTFTARLKASANGGQILTKLRFSRNDLTATEKEKFKKLLEDDDFYRIRLPSDVLNASGRDYVISSVKAEGVNILAVSYGSHGACQHPRQLRLPSKWSFNSHTLLKYSEQAPRTPTFSEDIGGVEIGDEEGVKPLERSFWAKYWMYLIPLGLIVMNAVTQAMNMPEEQASGAAGAQPQQAVAAGPRGQSAAVRRR >KVI07474 pep supercontig:CcrdV1:scaffold_80:557763:562765:-1 gene:Ccrd_014146 transcript:KVI07474 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MNTLLGLLRVRIKRGVNLAVRDINTSDPYIVIRMGKQKLKTRVMERHVNPVWNEDLTLFIYNPELPIKLAVYDHDFFSMDDKMGDAEFYIQPFLEGLKMNLKNLPSGTVIKRIKPSKSNCLAEESCITWRNHAVVQDMCLRLRNVECGEVELELHWINVPGCKLV >KVI07488 pep supercontig:CcrdV1:scaffold_80:67407:75634:1 gene:Ccrd_014192 transcript:KVI07488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLLTFSQHRTFLLLFDTISSKLTVTTPTNPTPFFIRVLNPQWKSPLEYDIPTDSHQNKNIMEESTAKTITFLRARLLAERSVSRTAKQRANELAKRVSELEKQLKFVSLQRKKAEKATVDVLAILENHGRNDLSEPFDSSSDQEEMSSDFKDGKHVETSKNVKVPESDTEGFSGSEVESSSVNGRSLSWKSSKNSSSRFLEKYMDASRRRRNSFASTGSSPRRVGKSCRQIRHKEHRSAADRSQNDATNAHHEDEGRTSSEGVQNSADVATETSIEEHNIYEEKDPPEISTSLCNGHISQNNGTERDMERALEHQAQFIAQYEAEEKAQRDWEDKDEIKTPPPSPAPPCSDDKFTPGGQETEVGVVDANFIEEPKIDTEPLLDQTDSDLKTKVLDDRNYPPDLQGTPGNVSRVQASSSGDCSLLCREERASDESYEPTLGTQENPDRLGSVLEALQQAKLSLKQNLNKFPLLESGPPVPTIPTYRSGDKFPLLESGPSVPTYKSRDKFPIPSSPAGLFRLPTDYEYGGATTRANSLTYDSRLSLTNYPTDPSGGQFISSPYRESGSRSAEGSQLISSPYQEPLSRSAASLDDRFRMVPTFPYQETRLETPTQPPSAFNPRSDMPPSVLDPRFSVYPSTLDSRLSLQPSATDPRSYVPPSILDPRSSLRPSATDPRLDASMRQSAYDPRLDAGMGRSAYDPRLDAGMGRSAHDPKDAGMGRXDAGMGRSAYDPRLDAGMGRSAHDPKDAGMGRSAYDPRLDAGMGRSAHDPXGLPMIQDRMRVWDGLPMIQDRMRVWGRLPQGCPLLVYIEEFLVLFRGATYLFEPARCTMIIADRICESKIGMKNRLVV >KVI07490 pep supercontig:CcrdV1:scaffold_80:104466:105891:-1 gene:Ccrd_014190 transcript:KVI07490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGRYGQPEFTAAGNSRLHFPVPEVPFYMHQMLNPNDPPQPPAHTFHDNATTVSPPTPPPPPYLAQTGWNAGGGGVINLNHRTNSYAASTTIRWPRQETLSLLEIRSRLDSYGKVGKHDGKHYRFFGQLEALFGDQQRTTVAYPISQSNYSSEYGTPSNEKNRRTDYEFETDEFIAAIQDSIDSQLSKLMVKQDEWGEKILCAIDRKDQERVVMDEEWRKREAARLAHEYESWANHVARIETRDKSLLDALQNLIRAWEKLCVDFNKSKMECEIVSKEVSSRTSNAVDDNDDRNSCLRAMTMNIDGER >KVI07457 pep supercontig:CcrdV1:scaffold_80:330132:333120:-1 gene:Ccrd_014166 transcript:KVI07457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MVLLTFQNFASRTDTVFCGVFDGHGPYGHMVAKRVRDSLPLKLSAHWDVNVKGSSDVLREVTLNSATSLRPEETTLTPDEDSRTSQDLELDKNSEKLQALKDLFLKAFKVMDRELRMHANVDCFCSGTTAVTLIKQGQDLIIGNVGDSRAILCTRDKKDSLVAVQLTVDLKPNIPAEAERIRKCKGRVFALQDEPEVTRVWLPNNDSPGLAMARAFGDFCLKDFEIFVIMRMCEKGLWQPNPYSLFVLKLQVWDVLTNKEVVDIVASAETRSCAARSVVQSAVRAWKFRYPTSKVDDCAVICLFLTPQQVDNIPTATATNLAEKVGTGADEDLVDDEVVRNEGVDSATMKTEAGIDWSALEGVSRVNTMLRLPRFVPEETEKPTPGQK >KVI07446 pep supercontig:CcrdV1:scaffold_80:440017:447131:1 gene:Ccrd_014157 transcript:KVI07446 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate deaminase/D-cysteine desulfhydrase MLNCNWSICRLNPIPPPPLSPFPLPITKTLKSFPNRPPYRFMASTTQQHQSTSDFLMLRPYHHPPWASNLNPIPSHAFSLGHFPTPIHKWNLPNLPKDTEVWLKRDDLSGMQLSGNKVRKLEFLLADAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDCFLILRTSKALVDKDPGLTGNLLVERLVGAHIDLTLTNLLKEKLIKEGRKPYVIPVGGSNSLGTCGGTVAGLSMASWLSELKTKAAYGMMKDMAQNPTKWESRKILFIHTGGLLGLFDKAEEIASSVGGWRRMDLDESIPRKDGTGKMF >KVI07489 pep supercontig:CcrdV1:scaffold_80:85520:87028:1 gene:Ccrd_014191 transcript:KVI07489 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFSSSTIVFTSLSLLSFIFIFRRFKSNRLNLPPGPPGYPIVGNLFQVAGSGKPFFQYVRELLPIYGPILTLKMGTRTMIILSQADLVHEALIEKGQVFATRPAENPTRGVFSCNKFTVNAAVYGPVWRSLRRNMVQNALSASKLRTFKDVRMTAMDKLVERLKMEAEMNNGVVLVLKNARFAVFCILLAMCFGVEMGEETIEKIDEMMKRVLITLDPRLDDYLPILRPFFAKQRRKAMEVREQQIAMLVPWIERRRKAIRNLGSDPTAAEFSYLDTLFDLTVEGRKDPPTNAEIVTLCSEFLNGGTDTTATAIEWAIARFIENPNIQSRLYDEIKSVAGEKKVDEKDVEKMPYLNAVVKELLRRHPPTYFSLTHSVIEPAKLAGYDIPTGTNVEIYLPGIGEDPKLWNNPNMFDPDRFLSGGESADMTGVTGVKMIPFGVGRRICPGLSMATVHVSLMIARMVQEFEWRSSPENTKVDFGEKLEFTVVMKTSLRATIKTRV >KVI07492 pep supercontig:CcrdV1:scaffold_80:529171:538766:1 gene:Ccrd_014148 transcript:KVI07492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sel1-like protein MRSRRKPPCAARRIIFLLCSLLLSTTVTARPFVLVLSQDDLKDGPVDDSTDDPSSIADWDEFGESDARSDDELDPGSWRLIFEPDESISHLDVVINPELEEYYSSVRKMVAAVSDGGSAMEDAASEIEATAATGNPHAQSLLGFLYNMGMARERNGAKAFMYHHFAADSGNMQSKLALAYTYSRQDVYDKAVTLYAELAEAAVNSFLISKDSPVIEPVRIHNGAEENKEALRKSRGEEDEDFQILEYQAQKGNAVAMYKIGIFYYFGLRGVRRDHVKALSWFTKAVDKGEPRSMELLGEIYARGAGVQRNYTKALEWLTLASKQQLYSAYNGMGYLYVKGYGVEQKNYTKAKEYFEKAADNEEAGGHYNLGVMHLKGIGVKKDVKLACKYFIVAANAGQPKAFYQLAKMFHTGIGLKKNLPMVRDYVFVVVYTILFITSLLCQLGLNLLHEDQPSLLSYSSLIVMADNIEASFTEWATSLYKLVAERGPWNSLSRWALESYLKGEVGKAFLLYSRMAELGYEVAQSNAAWILDKFGEASMCMGESGLCTDAERHQRAHTLWWQASEQGNEHAALLIGDAYYYGRGTERDYDRAADAYMHAKSQSNAQAMFNLGYMHEHGQGLPLDLHLAKRYYDQALEIDAAAKLPVMLALASLWVRRNYANSFLNVVMEEGNATILTLFVCLLTVLYLRERQRRQAAVAAGGLVPQPQPNDNNGVPAIN >KVI07455 pep supercontig:CcrdV1:scaffold_80:154078:162258:1 gene:Ccrd_014186 transcript:KVI07455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MASSTNVESPPIGEGSNNVNNSETPATAAAVNSPATTAAAVNSPTTPAAVNSPGTAEDVTKTSEITPNGRRSKKQKLDSSTGGDHRREREEWSDTAIAILLDSYTEKFMALNRSNLRGKDWEVVAELVAESGDKQPRKSIEQCKNKIDNLKKRYKLETQRMEINGSASSWVWFKKMDIVFGSLMGPKSGAGTGATSDDDKSVGASSPHRLRRSARLAPTSAPVRSNFKTTTNIKWKRVVFKVSGTALAGSGQSIDPKLCRLQKKWQQRPGMVWSMMATVMNSVLLQSALEKLGIQTRVQSAFLMPEIAEPYNRLRAMRHLDKGRVVIFVNADAVIKGTNVNGICDKHKVPLDQISFRDAVSRDCGSMDLMAIQFCEENSIPVVIFNMLERGNVAKALTGGQVGTLIDQ >KVI07477 pep supercontig:CcrdV1:scaffold_80:271919:276162:1 gene:Ccrd_014173 transcript:KVI07477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAITPALPTISLNPPIAALNLRSATSHLILSTHRRRRLYPPLRATEVSPDRITGNSEADKIVDGMEFGELCNEFECISSPSVEATARQLVRDILELREGNRALGTYAVSVKYKDPVRSFTGREKYKRPLWSSVALDKPTVHEELWDLSSSSTIAQAYFWASRRLFSTTEAAKDISDSVKNLSSRFSTKKENTGIYPDPTDPTKLALFLALIYFLVQFLRTTL >KVI07449 pep supercontig:CcrdV1:scaffold_80:205558:205965:-1 gene:Ccrd_014180 transcript:KVI07449 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MVKFLKPNKAVVVLNGRFAGRKAVIVRQFDDGTRDRPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKAFIKLVNYNHIMPTRYTLDVDLKDVVTVDALQSHDKKVTACKETKARLEERFKTGKNRWFFSKLRF >KVI07450 pep supercontig:CcrdV1:scaffold_80:188504:198584:-1 gene:Ccrd_014181 transcript:KVI07450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAPKAEKKPAEKKPAAEKAPAEKKPRAEKKLPKDASATDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGFREFAQVRLIYEFGGFNPKLSQAKFYLDGIDVCLFLSEIASIFFQTSNQTPFSMAPKAEKKPAVKKPAAEKAPAEKKPRAEKKLPKDASATDKKKKRNKKSVETYKIYIFKVLKQKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KVI07459 pep supercontig:CcrdV1:scaffold_80:354550:360451:-1 gene:Ccrd_014164 transcript:KVI07459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVNGVMPPYAAGAGVGFGFGGNVPRVSKDDWRFHATEFAKGVAELSVEFGKGCRDVVKQSVLRDDSFVVRNLGGPCKSACMKLRFLNEYLPEDRDPMHSWSVISVVFAVVIAVLIVTSESDTTAPLIQKLHIDPPNATRILLPDGRHLAYQEQGVPYERARITLVASHSFLSSRLAGIPGIKASLLQEFGVRLVTYDLPGFGESDPHPGRNLESSAMDMLYLSYAVHITEKFWVVGYSGGSIHTWAALKYIPDRVAGAFIVSPLINPYEPSMNKVEKYRTWEKWTSKRKFMYFLTRKFPAFLPYFYRRSFLSGNLDQIDKWLWMSLGKRDKAMIEEVKYQDFWKRDLGESVRQGNVKPFVEEAILQVSSWGFTISDLSVQKKRQGKGVIFWLKSIYKRPQQELTGFLGPIHIWQGMEDRVVPPSMSDYVQRVLPGAMVHKLLYEGHFTYFYFCDECHRQMLTTVFGNPQGPLPIKLDSAPIENNNSNEETGETKEEEEEEEEEEEEVQVTYSDTTFL >KVI07471 pep supercontig:CcrdV1:scaffold_80:506244:515480:-1 gene:Ccrd_014150 transcript:KVI07471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MASSSSSESNNDERETTTTTTSFKSNKTGLGWMEWLRGWFYLAYEMLFQRIMASHLQNPMPLPPLNDFTCIITGSTSGIGRETARQLAESGAHVVMAVRNTKAAHDLIQKWQDEWSGRGLPLNIEVMELDLLSLASVVRFSEAWNARSGPLHVLINNAGIFSIGEPQKFSKDGYEEHMQVNHLAPALLSVLLLPSLIRGSPSRIVNVNSIMHQVGFVDTEDMNVTSGKRKFTSLVGYSGSKLAQVMFSSVLHKKLPAEAGISVVCVSPGIVQTNVARDLPKIVQAAYHLIPYFIFSAEEGKITTPYADMQSCSSRSSLFAATDPQIPEYCEMLKADEWPVSAFISQDCRPTNASEESHNLETSYRVWEKTLQMVGLPSDAVERLIEGEDVDCKYGARDD >KVI07447 pep supercontig:CcrdV1:scaffold_80:464366:470700:1 gene:Ccrd_014154 transcript:KVI07447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MLAGMKLSTTASNPVIFGRKSPVVFHFSGNHVRPTFRRVNVSGFKEADSITNTGFRRFSSIQFNRRQNLSGELGFCGKGLRILGDNGFRVASTSSGGGSGINGGYGGSDSGGSGGSDGGGGGSGGGGGGKKWTFVSWYLSLLEAYPVWTKAVTSALLTFVVIDKAPSVDLKRVSLFTFLGLVLVGPTLHFWYLYLSKLVTMTGASGAFLRLIVDQFLFAPAFIGVFLSTSGCLLLLQIGSCGYLSNFSTSDSFPNNFRFKLLDLSHRGRILCNNMPPGVPICCGFPFLGVITNLASCTLSLQVSSPSGINRLISFVVFVFKLSCYTHLPVNDPYKISTSINTYVVFRHI >KVI07491 pep supercontig:CcrdV1:scaffold_80:51122:52652:1 gene:Ccrd_014194 transcript:KVI07491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin, RAB6-interacting MKNLATIGSGASVDENDEEEISKLAFASFQAREEEIEKKKMQVKEKELEVLTDPMRKEVATVRKKIDVANREVRSLGQSCQKKEKEYKEAMEAFHQKNNEKNQLTATLVELVKESEQVRMKKLEELSKNIDPSC >KVI07495 pep supercontig:CcrdV1:scaffold_80:125072:129333:1 gene:Ccrd_014189 transcript:KVI07495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVTVVPTPRNISPPPEPATLPKKLDAGALFVLKSRAPALLSLPFALALLGWESGVMVLVLAGVVTFYSYNLLSLVLEHYAYLGRRQLRFRDMAHDILGPGWGRYYVGPLQLGSCYGAVIACTLLGGQIYILVGKTKWKYAIIPFYCDIWKLYTHFSPNPIFPFLEAHQPCLPHLVLCLLCLYNCWCDLYRKLESRASQKLFFDGNRIVISNYFSVGISGYWAFGNQVQSSVLQNFMDNSNPLLPKWFLLMTNGFTVLQVAACTLVYLQPSNVVLERRFANPEKSQLSMRNFVPRLISRSLSVIIATTFAAMLPFFGDIMALFGAFGCIPLDFILPMIFYNLTFKPSKKGFVFWVNTVIGVVSTGLSLIGAVASVRQIILDARTYRLFADM >KVH89686 pep supercontig:CcrdV1:scaffold_800:96217:102466:-1 gene:Ccrd_008320 transcript:KVH89686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 MATSTLKPTSSTLCTTLVLVSILIMFIVFSFSKQRNSLRTDFFPVTARQSLPQPDTNISHIVFGLVGSTKAWTYRKSYIESWWRPNVTRGYLYLDTAPTNDLLPWSPNSPPFRISDNITKLLDETKHVAPIMVRMVHAVIEVFREEREGVRWYIMGDDDSIFFVDNLVDVLSEYDHNKYIYIGGHSESIMSNQIFSYDMGFGGAGLIMSYPLAKMVQKNIEDCFKRYPYLNSADLILMTCVNDFGVSVTTHQGLHQMDLRGDVSGFLSSHPKAPLLSLHHFDHIDPIFRSMDSFQSAKHLMKAAKVDQTRLVQQTICYNRQLKWSFSVSWGYSIHVYEIVIPRSILKVPLETFKPWLWNSQPPYYIFNTRPLSNDPCETPHVFSFDSVENVSENEVVTNYIRVASRGLPTCQFAGNHSADLVSRIQVVSPTAKPNK >KVH89687 pep supercontig:CcrdV1:scaffold_800:86327:88198:1 gene:Ccrd_008319 transcript:KVH89687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MAVREGGEKPSYNHLLKRCDVPMMMKKWSRSQRLISKYQFGAVPPATPPPAPSEPAEPRAIMLSFRDLQTATRGWRTDCFVAKGRTCEVFRGMLKNKQIVAIKRLRKDPAMVNRFLREYKSEKSFLHQLHHENIIELKGFCTELEELMLVLEFAPKGTLYDRLHDSNELLGWENRMRIALGAARAIDYIHHVVPGPVLHRDIKSTHIVIDEGYNAKLIDFGNAIYEPKETHYNHIVGTEPYTAPEYKRENRLTTKCDIYGFGVVLLELITGRKAAPEGDMRIMDWATVRLVATANWPTLVPGMIDERIRETVPPKDMVKMMKLATDCIRRDILDRPEASQVVARLLEISCLVRTAPSSSGGGISCLEHTIATNSIEGGNTVENSHGPVTTDET >KVH89685 pep supercontig:CcrdV1:scaffold_800:114959:131786:-1 gene:Ccrd_008321 transcript:KVH89685 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein MEFVGRTLKKEFRGFGVFSGVVKSYDSASEFFEIGYEDGDSEEIEFSELAALLDVDGVLRDHSPSLLANKPSRVGRRPKKRRRVLGSSNSGNENDFSSSLGNAVTIDDSNLDTVVDGELRNEAIIVEKLTKNMDFSGNNNNNINSSCKNANLKTGGLELNCGLNSNAKGNDDGIDLNLDLNEENRWESKKGDDLVDKSIMKERCFDLNMDFDDVHNKNQDVDPDGCLKGSHGESHKREHSGGEVEGTQIEGAALNDSHLEVSGGTDLQGNLSGTGDIAVESRGALGANFLNNQNSSDSQTNNVSIAGVSPVLEYHGTLGKTYPGGRRGRKRRKLSDSPNTAAGSVLRRSARRRAKFSAEDHDSNATGYDVADSAVPSPVASAVSEDQPTISGCEESEEPSILPRKLELPPSTGNINLDGLPVLDLFSVYAFLRSFSTILFLSPFELGDFVASIRSKSPSLLIDSIHVSLLHTLRKHLEFQSSESSTSATNCLRYSFSHFAPWHMHLFLYSRTSLCSVLSMDLNWNLLDLITWPIFMVEYLLMKPGFDLARLKLLKIDYYKQPEFVKVEILQYICDDVIEGEAISSELNRRTLVGEPTITMESDQNTTLVASKKRRATMAISGSSCMSEEAVDETADWNSDECCLCKMDGNLICCDGCPAAFHSKCVGIATNLLPEGDWYCPECLVDNNNPGMNVAKSIRGADLLGVDPHGRLFYSSCGYLLVSDLCDAETSFHYYHMNDLTALISALNLLNGPYRAISNTITKYWHLYKKCYVAKSKMDSANASLSVEPLLKVHMPNTYMATVPCESSEICAKEETLTEEKPGHDGSNMVNAGTLATKSAKLERPLAPSAGSSEISEAFVGTQKFLKSGLDSLNGSAGVPQNPASVGDPYSTPSKMNVEQRKKVASSNYGCDLSKTTTRKGMPQQLEIGYLNLYSFARVASSVAGEWTRKPSDKISQTPTKSLEELISAQMKAISRTSIDFCWSNIQNLSVDARKEKCGWCLSCKFPTDDGNCLFVMNNTDVLGSYTSNLLGFGSRISRKDRLVDVMCHILCIEDRLHGLLLGPWLNPHFPKLYRKRFLEASDIASVKDLLLMHFASDLCYFCVQLESNIRLLALSDEWLKHVDSVVTVGSASHFVTSKLRMPSRNVIGRKRSRSLDTEPHASKNASSGLGLFWWRGGRLTRKLFSWKVLPHSLACKAARQGGGKKIDGILYPENSDFPKRSKALAWRASVESATTVEHLALQVREFDSNIKWEEIENTSHLAKMDKESIKSMRSFKKSIIRRKCSEGAAVKYLLDFGKRRFIPDTVVKHGSKLEESSSGRKKYWLEEPYVPLFLLKAFEDRRIAFKSNKTSPGSLPVNRRMLMPSKKVGFSYLFSKAEKPENHWCAHCNKDVPLRDAISCQSCEGVFHKRHVKKTSEGLTAKCTYTCHKCHGGDTLKIIPKKGKMHSRKTKKASNIVKPVQSKTTKRAGKGKQLQGKGRGVAVPLRRSARTAKIVSLQSKKKRKKKQPKKKQVKSADKKKQLNSADDVKKPKGKRGRPRKIRKESFQKKRSQMQTTYWLRGLLLSRKPDDERVTNFRTRNHLVAFDQLASITNQPKCSLCHEPEFRSSLNYISCETCGDWYHGDAFGLQDEHIGFIIGFKCHKCRERAPPVCPHLHPIIDESQKDLLSDDASPKQPHAETKSNSKEDHGPESAIIEQSTATHNSEDVLQSSLTHNKPRVETVAGSKEANGPGSSIIPHSIALIGPLEDSFLKDFSETGSLSTHNLAKSGSFEAKESSADTTAVVQQEANADCGELVVDKLKLLV >KVG07507 pep supercontig:CcrdV1:scaffold_8005:2089:4411:1 gene:Ccrd_026571 transcript:KVG07507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGDLHSHHTRSCGYQVLWRPPSSPHQLGFTMVAGGGDEEEKRGRLVVGGEEITGEDALLGPTRTFVPKLLSVPERLFSATLTLSGSKGTAFKTVMVYGKTLRKDVVYSCKPMCVSFRVSFTPFNSTVGDTTTDSPKDLDFTTHHSAAIDDGFILKNFKAKKDKFFVYGSRIEASHALEVSGGEDPLVCVVQALDRMQYHRFVGRGSIIMGRVNRLSSKRWVICWASAEDK >KVG07501 pep supercontig:CcrdV1:scaffold_8006:3286:3864:1 gene:Ccrd_026572 transcript:KVG07501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MQQQTDMKLKLPEMTNTNNPSTDDNECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFVTGEANDRCQREQRKTITAEDVLWAMSKLGFDDYIEPLTVYLHRYREFDGGERGSIRGEPLVKRAADPGPYAFVPAAFHHHNGFFGPASIGGFFKDPSVAGPSGPTAVAGFEPYAHCKE >KVG07502 pep supercontig:CcrdV1:scaffold_8006:3959:9220:-1 gene:Ccrd_026573 transcript:KVG07502 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(9)-N(1))-methyltransferase TRM10 MTLDQNHAVNQPNHGGDHSSPPPPQPLSKNAQKKLLKQQRFEAKKAEKKAAIKEEKKLQAERKRKEWEEKLSSLPQEERLKMIESRKGMRKERMDQRTEERESKALRLSEAKKHGQNIVVDLEFSDLMAPNEIHSLIKQIMYCYAVNGRSXVPCHLWLTGYEGEIXSQFQKIPGFDKWIIEKXNGPYINTFESEKEHLVYLTADSETMLSELDPKKIYIVGGLVDRNRWKGITMKKAVEQGIETAKLPIGNYLKMSGSQVQVITVLTVNQVIEILVKFLETKDWKASFFHVIPQRKRGESEAQGSQEEAQVDENFDQEIKRQCIENTDSLLFHRTVQHRP >KVI08777 pep supercontig:CcrdV1:scaffold_801:86553:93050:-1 gene:Ccrd_012847 transcript:KVI08777 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MAGGQTDGEDKVSLELTEEIIQSMEVGMAFRDYNGRISSMDFHRTSNYLVTASDDESIRLYDVANATYVSCLTSIIFWKMEQASSKAVGKNENFNVGVGVTINSKKYGVDLVSFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRQDCTAQHFVKLILSGLSPLVYVLGQNTLSLGLLRVQGRPATAYDDQGLVFAVAFGGSIRMFDSRNYEKGPFEIFSVGGDLSDANVVKFSNDGRLMLLTTRDGRIHVLDSFRGTLLCTYNVKPVLTDSTLEASFSPEGMFVISGSGDGSVYAWSIRSGKEVASWLSTENEPPVIRWAPGSLMFVTGSSELSFWIPDLSKLAAYVGRK >KVI08779 pep supercontig:CcrdV1:scaffold_801:45547:51655:1 gene:Ccrd_012845 transcript:KVI08779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MACGTVVSKRFQNPSSLKRIPVPFKTPVFAPTINARNLKPSPAKTSSSSSSSSGDRLYKYIHTGRLVRRKEWEEAKEKKEIGSAVDVETETMPSDRSVTGVSSLDCSLIPKLLPILSGFLGLAIGSALVELFVFLCVCLVCDGLVGVRNLGFLFPLSGCVVGWCVWRWIKIGCTNNNYASREKCKKCGQPKEVAAMPALAMPGASLQTHPHYFARVQGLQHQRLNLGVGSLGNGALQQSLPLSSNWLLGGPDRFGIQPASTWPLGGNPTNTVPYANQVSQVMVPKGWRNGDWICTCGFHNYSSRAQCKKCNASMPPALGTKRLASEELVQDWDNKRLNAGQTMGLQQSYPTLGQISSSSYSAPGHMASSSANQTAGLYQSYPNGSSSAMQHLQLSLQLPQIVMMPTLPGKGAKQWRDGDWMCTNCNNHNYASRSHCNKCNTQREGHVLPVSVA >KVI08778 pep supercontig:CcrdV1:scaffold_801:58029:59435:-1 gene:Ccrd_012846 transcript:KVI08778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MKNTMETQQQQQQQTDIQDLGNKNSSSYVCRQSSTRWTPTSDQIRILKELYYNNGIRSPTADQIQRIAAQLRQYGKIEGKNVFYWFQNHKARERQKKRFTPAPPPPSSTTTLLPSPFSDHTHQHINHHLPTAAAMQIQSHHPHYHHQEPPHVYSHQHKLYTTHHIGVGSSSSSSQGVMAVGCGYGSVAMEKSFRECSISPPEESMATGGVGRNFGSRSRVGVDSCSFFDTIKPTTYDILENHNQDEEQEEGETSTQIETLPLFPIHGGTHHDFFSMKSADLSSDGGYYTGGNWYRSDGRASLELSLNSYGYYN >KVI08773 pep supercontig:CcrdV1:scaffold_801:152973:155064:1 gene:Ccrd_012852 transcript:KVI08773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MAKLRFSLALFTTFLISLFNPNQSSTFTLTNQCNYPVWAGLLSGAGTPPLPTTGFPLQPSQSNSISVPTSWSGRMWGRTLCSTDSTGKFSCVTGDCGSGTIECNGGGAAPPATLAEFTLNGANGMDFYDVSLVDGYNLPMMVVPQGGAGGNCNVTGCFADLNKDCPSALRLTGAGGDGDESVACRSACEAFGDPMYCCSGAYSTPQSCSPTSYSEYFKNACPTAYSYAYDDGTSTFTCSSANYVITFCPTPANSRKTTGAGQSEANSGGISLAKAFSISSVIVAAFILTPAWRL >KVI08774 pep supercontig:CcrdV1:scaffold_801:158131:162729:-1 gene:Ccrd_012853 transcript:KVI08774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MENSRKASSLRWRILRQALIPSTPSTNSDEQSETGIKSISRKANQGFSLIPFHLVDNGQPELEQVKPTSLLKPREACVCYTLPNHNATPLFLYQRVDTCANLDDFKICNTYDIDNTGLVCSWPSEEVLAYYCLSQLDLFRSKRVIELGSGYGLAGLLIAAVTEASEVVISDGNPQRNINVNSSVFGGTKVTSMMLHWNQEALDISNRFDIIVASPSEAILFGPKRGKSLDEFLTEVKESGLQFTIDEVYDTEVWRRHERFINSDASWPNYEKDHCYPLLVRITL >KVI08776 pep supercontig:CcrdV1:scaffold_801:94701:96162:-1 gene:Ccrd_012848 transcript:KVI08776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLVVRGRVEIDSRQPFKSVKEAVTLFGEKVLVGEVYSCHKLKEMGSVETGKSRCEIKFGAGEAKQTLKNVKNDGNSMACYLTALKQQLEETKSELHQLKLATEPCSYSSHCALLDTELEEIKFIENPKPAKTNPPVKDQYNLDADDDDFLFELKHENSVKSDDDPLTKVIVEVPKMQERNPSSFKIRKAKKKTLIPLLGGIFSKSKGQSRT >KVI08780 pep supercontig:CcrdV1:scaffold_801:36209:43016:-1 gene:Ccrd_012844 transcript:KVI08780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MPFPPFPSLSPLASFGNGQPAGDYDPPFHLLIISRNKCKILLFIMEAKTKFKSDSTEWHWWLLVAVIAVLVAGAVYITVWRNFHDLQNKLPHIRRPPRGVTQKYSDALRISTQFFDKDEVHTLKKFKYACDSVFDGVLICCFLFEPAAGRLENNQIEWRGDSGLRDGKEENIDLSKGLYDAGDLIKFGFPMAFTATILAWSILEYGQHMEAVKELKHAQESLKWITDYLINAHPSDNVLYIQVGDPDIDHKCWERPETTTEKRPAIQVNNSYPGSDVAAETAAAMAAASLVFKNHDAHYSRSLLKHAQKLFEFADSYPGIYSKSIPQIQDYYNSSGYLDELLWAASWLYHATGDGYYISYVTVMHGNAFANWGNPTWLSWDDKLAGMQTERMNLIDFQSTIFRILFDRELHIRSSAGGLIWVNEWDSLQYSIATSFLAADYVLGNNPMNMSYLVGYGLKYPQYVHHRGASIQVNATTGCEDGFKWLNSTKPNPNLAIGAVVGGPFLNDTYIDSRNNSMQAEPTTYNSAFFVGLLSGLVTSSSVVRSFT >KVI08775 pep supercontig:CcrdV1:scaffold_801:102755:131170:1 gene:Ccrd_012849 transcript:KVI08775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MSAIQNRLQMAMEVRDSLEITHTGEYLNFLKCYFRPFSGILYHITKPQFTDNPEHKLRNIIIEILNRLPHSEVLRPFVQELLKVAMHVLTTDNEENGLICIRIIFDLLRNFRPTQETEVQPFLDFVCKIYQNFRLTVSHFFESGALVAPAMPPPSSMSALPSLGSEDVKPMEISDQVGSSATTSTGAGQLNPSTRSFKIVTESPLVVMFLFQLYNRLVQTNIPQLLPLMVAAISVPGPDKVPPHLKTHFTELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVSIRKVIELLIALKHVLGTDFKRGLFPLIDTLLEERVLVGIGRACFETLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDLPSMDEARILLGRILDAFVGKFSTFKRSIPQLLEDGEEGKDRSTLKSKLELPVQAVLNIQVPVEHSKEVSDCKHLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTPPQMLPATPPNSSIGQPFKGLREEEVWRASGVLKSGVRCLALFREKDEEREMIHLFSQILAIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSTLLQAPKVFRPFADVLVNFLVSSKLDVLKHPDSPAAKLVLHLFRFLFGAVAKAPADCERILQPHVPVIVEACMKNASEVERPLGYLQLLRTMFRALGGGKFELLLRDLIPTLQPCLNMLLAMLEGPTAEEMRDLLLELCLTLPARLSSLLPHLPRLMKPLVMCLNGTDELVSLGLRTLEFWIDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKSLQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPETPFLVPLDRCINLAITAVMNKNNGMDAFYRKQALKFLRVCLSSQLDLPGRVTDDGLISRHLSTILVSSDPSWRRSDASDVKTDLGVKTKTQLMAEKSVFKILLMTIIAASAEPDLVDPKDEYIANVCRHFAIIFHLENSSANAPVSAIPLGGPLLSSNTSNSLKPRNGSSSNLKELDPLIFLDALVEVLADENRLHAKAALNALNVFSETLLLLARSKHADVLMSRGAPGTPMIVSSPSMSPVYSPPPSVRVPIFDQLLPRLLHCCYGSTWQAQMGGVIGLGALVGKVTVETLCVFQVRVVRGLVFVLKRLPVYAAKEQEETSQVLTQVLRVVNNVDEANSEARRQSFQGVVEFLASELLNTNATVKVSKIVQSCLALLASRTGSEVSELLEPLYQTLLQSLLMRPLRSKNVDQQVKTVLPVIKHAFCSIVFANSRVGTVTALNFCLALRPPLLKLTPELVNFLQEALQIAEADETVWVAKFMNPKVAMSLTKLRTACIELLCTAMAWADFKTQNHSELRAKIISMFFKSLTSRTPEIVAVAKEGLRQVILQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVALGGKLLEHLKRWLEPEKLQQIQKSWKSGEEPKIAAAIIELFHLLPNAAGKFLDELVTLTIDLEAALPPGQFYSEINSPYRLPLTKFLNRYPQAAVDYFLARLCEPKYFRRLMYIIRSDAGQPLREELAKSPEKILSSAFPEFIPKAETSTAQGSLETPSLGGDDNTLPQSNPPAPSVLPDAYFQGLALIKTLVKLMPVWLQSNRTVFDSLVLLWKSPARISRLQNKQEQSLVQILISPSSQMQVKESKWLVKCFLNYLRHDKSEVNVLFEILSIFLYHTRIDFTFLKEFYIIEVAEGYPPNMKKTLLLHFLNIFQSKQMGHDHLVVIMQMLILPMLAHAFQNEQTWEVIDTAIIKTIVDKLLDPPEEVSADYDEPLRIELLQLATLLLKYLQTDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQHMLTIFNFSTTMLKLHLMYSSVWLVVLLFNSEVAFHGYSGFFDLHHIGNLLIQKQILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDNSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTSENRRLAIELAGLVVNWERQRQNEMKTVNDGEGASQNNDGSNHGSTGVDSKRSTDGPTFSEDPTKRLKVEPGLQPLGVMSPGGASSIPNIETPGSTGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASLMYKQALELLSQALEVWPSANVKFNYLEKLLSSIPPTQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNIIHISQILEPCFKYKMLDAGKSLCSLLKMVFVAFPSEATSTPQDVKALYQKVEELIQKHLASVAAPQAAGEDNSASMISFVLYIIQTLAEVQKNAIDPFNLVRVLQRLARDLASAPGSYARQGQRTDPDSAVSSSRQGADVGVVIANLKSVLKLIGERVMLVPDFKKTITQILNSLLSEKGTDHSVLLCILDVIKGWIDKDFGMPGMTTTSVSFLTPKEVVSFLQKLSQVDKQNFSPSSLEEWDRKYLELLYGLCADANKYPLSLRQEVFQKVERQYLLGLRAKDPEMRMKFFSLYHESLQKTLFTRLQYIIQVQDWEALSDVFWLKQGLDLLLAILVENKPITLPPNSAKVTSLLAAGSLPELSGAQPMITDASEASEDTPLTVDALVSKHAKFMSEMSRLQVGDLVIPLRELAHTDANVAYHLWVLVFPIVWVTLCKDEQVKLAKPIISLLSKDYHKKQQGNRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALNLLESHVMLFLNETKCSESLAELYRLLNEEDMRYGLWKKRSITAETRVGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDVLAEFGKLVDNYEILLDSLWKQPDWAFLKDHVIPKAQVEETPKLRIIQAYFSLHEKNTNGVGDAENIVGKGVDLALEQWWQLPEMSIHARIPLLQQFQQLVEVQESARVIVDIANGNKLSGNASVGVHGGLYADLKDILETWRLRTPNEWDNLSVWYDLLQWRNEMYNAVIDAFKDFANTNSQLHHLGYRDKAWNVNKLAHIARKQGLHDVCVSVLEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFPVKHKAEICRIKGDFLLKLHDCEGANLSSHLARVLYLLSFDTPNEPVGRAFDKYVDQIPHWVWLSWIPQLLLSLQRSEAPHCKLVLLKVATVYPQALYYWLRTYLLERRDVANKSEFGRMAMAQQRMQQNMAGGSGSAGLADGNTRQGGGSSASDNQIHQGSQSVGAVGSHGGGSSQGQEPERSTVAEGSVLGGNEQQLQQNPSSVSDGGQNAIRRNYAMGLVASAASAFDAAKDIMEALRSKHTNLASELESLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVEFVREYKQDFERDLDPESTSTFPATLSDLTERLKHWKNILQSNVEDRFPAVLKLEEESRVLRDFHVVEVEVPGQYFTDQEVAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNRITFLEVYENHCSRNNKEADQPIAYFKEQLNQAISGQISPEAVVDLRLQAYNYITKDYVFDNIFSQYMYKTLLSGNHMWAFKKQFAIQLALSSFTSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNLQAFFSHFGVEGLIVSAMRPLGMPLAPVVGGGSLNPVEFRQKITTNVEQVIGRINGIAPQFVSEEEENSVDPPQSVQRGVTELVEAALTPRNLCMMDPTWHPWF >KVI08771 pep supercontig:CcrdV1:scaffold_801:133287:140063:1 gene:Ccrd_012850 transcript:KVI08771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion tolerance protein, CutA MAISTLTSIVSSSAVRRRLPIVGAFCILSLGFHNLHSSASLSFNPLTRLSFGSKTHGFHSSSIRMEASNQKTVPSIVVYVTVPNKEAAKSPRPDPPTEPSGIMTEVPKKPKYISHESAIKLIKREKDPHRVLRLFNMVGNQRGFNHNHSTYAVTLQKLARYRKFEDVDSVLHQMVYETCKFHESIFLDLMTHFSKSSLHKRVVEMFNAIQPIVREKPSLKAISTCLNLLVESNQADLARTFLLHTKKTLDLYPNTCIFNILVKHHCKHGNVESAFEVVKEMKLSEVSYPNLITYSTLMEGLCKKGRLEDAVNLFEEMVSKDKILPDALTYNILINGFCCGGKVDRAVKIMDFMRKNGCNPNIFNYSTLMNGFCKEGNVQEAKRVFNEMIGAGLHPDKVGFTTLINCLCRAGKVDEAMEFLKEMEKQNCKGDTITFNIILVGLCKEDRTYEALEMLERLPYEGVYLDKSSYRIVLNSLCKLGDLQKTTELLGVMLSRGFVPHFATSNELLVSLCEAGMAADADMVLMGLVQMRFNPEPRVWSYLIEIMCRERRLLATFELLDVSGFCSFAMLVVSTFLGLAQHLRIMNQDFVELNCKKLAASIVKEKLAACVNRVPGVESVYLWQGEIQNDSEELLIIKTRESLLNALTEHVKANHEYDVPEVIAMPIMGGSIPYLEWLKNSTRD >KVI08770 pep supercontig:CcrdV1:scaffold_801:165213:168470:1 gene:Ccrd_012854 transcript:KVI08770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSNSTALWAHKETNHLLLHESLFCYLTPSANSFFNKILSISNSQSHVAMNTRTSSGSEILNTRIEIKHSWIHIICLLLLLLISYSRSESQIITIDVHKANDLLRNGDYRYLDVRTVEEFEKGHTDFDDALNIPYMLDTSKGRVKNTNFMEQVLLLCSKDDHLVVGCQSGIRSAYATTILLDAGLKHVYNMGGGYLAWVEKGLPVANKTPKVEL >KVI08783 pep supercontig:CcrdV1:scaffold_801:5755:8758:-1 gene:Ccrd_012841 transcript:KVI08783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, PpiC-type KITLGSQIVTLPHVSSNSSASARTLSTITATTAASAATTDKPSESATIESDHKRKEPGDQAAAGDHRSKHNHKKSRMSSSSSSDKVRASHILIKHQGSRRKASWKDPEGHIISNTTRDTAVSQLKTLREDIVSGKSKFEDVASRYSDCSSAKRGGDLGSFRRKQMQKPFEDATYALKVGEISEIVDTDSGVHIIKRTG >KVI08781 pep supercontig:CcrdV1:scaffold_801:30300:30584:1 gene:Ccrd_012843 transcript:KVI08781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGLRKSHNKQSQAIALKRCSYFEDECPTDVPKGHFVVYVGERRSRYAVPISWLHHTGFQSLLRRAEEEFGFNHELGLTIPCHEQDFLSLFSVMG >KVI08782 pep supercontig:CcrdV1:scaffold_801:16336:20742:1 gene:Ccrd_012842 transcript:KVI08782 gene_biotype:protein_coding transcript_biotype:protein_coding description:YEATS-like protein MTRISLLSDQNDSHSHAGEESDSTPHQMIEIEEEDDKSPTQKIKDLEVCVPIVYGTMSFWLGRKATETQSHTWTVYVRGATNEDLGVVIKKVVFQLHPSFTNARRVVESPPFELTERGWGEFEIAISIFFHNDVCDKQLDLFHHLKLYSEVEHGPLSTKKPVIIESYDEIVFSDPSEEFLARVLNHPSVIVPQLPATLNLPPAPIEDVHEKKRGDTKDHPLGQWFIRFSEADELLKLAEARQQVQNYIVKLRRRLSMLEGSSPTLKTSVS >KVI08772 pep supercontig:CcrdV1:scaffold_801:143908:149186:1 gene:Ccrd_012851 transcript:KVI08772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGACLSTTKISGSNSNTHSTTAGKQTHHRRPPTATGKQVEGGSNVTSHQTKEIQQKQSTQQQKRKDKHTSRNGVIPCGKRTDFGYLKDFDTCYSTGKLLGHGQFGYTYVAVDKVNGDRVAVKKIDKNKMILPIAVEDVKREVKILQALSGHENVVQFHNAYEDASYVYIVMELCEGGELLDRILGKKDSRYSEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSKKGDSHLKATDFGKKFTDIVGSAYYVAPEVLKRKSGPESDVWSIGVLRNKPDFRRKPWPTISASAKDFVKKLLVKDPRTRFTAAQALSHPWVREGGNASEIPLDISVLSNMRQFVKYSRLKQFALRALASTLDEEELSDLKDQFHAIDVDKSGAISLEEMREALAKDLPWKMKESRVSEILEAIDSNTDGLVDFTEFVAATLHVQQMEEHDTEKWQHLSQDAFEKFDVDKDGFITPEELRMHTGLKGSIDPLLEEADIDKDGKISLAEFRRLLRTASMGSRMIT >KVH92084 pep supercontig:CcrdV1:scaffold_8011:11868:17287:1 gene:Ccrd_005886 transcript:KVH92084 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MQLLQSTYDTYHINPKQFSSYQATTINVNKIFKKSYARFHQGSLTAANRYCKVQCQNSPQETHLVESRERSLNQDSWKPPEGVVRGSVNYVPLSPISFLERAAEVYRNRTSMIYGSIKYTWEETHHRCLKLASALNRLGVSRGDVATLAPNIPAAQELHFAIPMAGAIICPLNTRLNPSMISKLLEHSEAKILFVDYQLLQIAKDALSLLNNTHSKAPRLFIISEPNYKSSLTLTHKYDYESLVESGVIEFSVVRXHDECDPISLNYTSGTTSSPKGVVXSHRGAYLNSLATMFIHGVREMPTYLWSLPMFHCNGWCFSWGMAIVGGTNXKFNWFVRGLVVLLSIEDGIQVDLFNEMMDYGSKLEARPNN >KVH89553 pep supercontig:CcrdV1:scaffold_8019:1172:9558:-1 gene:Ccrd_008456 transcript:KVH89553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MDQIKHNYVQVDGLKLHVAEIGSESSPAVLFFHGFPEISYTWRHQMIAVANAGFRAIAPDYRGYGLSDIPAEPEKTSFADLVKDTAIILHSLAISKVFVIGKDFGGMVGYIFALYFPEQVAGIITLGIPYMPPEALQQLQTLPEGFYMRRWQEHGRAEVDFSRFDAKTVVRSIYILFSKSEVPIASENQEIMDLVDPSAPLPSWFTEEDLETYAASYMKSGFLTALQIPYRSLSEKIAPPNQDPNAPIVEAPALFITGEKDFFFSFPGMDEYLKGGIKKYVPNLEVMYLPEGSHFVHEQFPDKVNQLLLNFLMVRKIYILFSRSEVPIANENQEVLDLVEPSAPLPSWFTEEDLETYGASYTKSGFLTALQVPYRSLLERVEPPNQDPNAPIVVAPALFITGEKDFFFSFPGMEZYLDNGIKEFVPNLEIIYIPEGSHFVHEQFPDN >KVI01316 pep supercontig:CcrdV1:scaffold_802:148488:153355:1 gene:Ccrd_020410 transcript:KVI01316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISRSFGRCLLLLLVFLVQKGYVAAKSFTGTYGINYGRLADNIPSPNEVVRLLKAAKIKNVRIYDADHSVLDAFSGSGLDLVIGVNNGLVKDMSNSADHALDWVRDNVQAYFPETHIVGIAVGNEVLGGGDMDLQAALYGAVKNIYNATQKLQLDGVVQITTAHSQAVFASSYPPSSCVFTENVSQNMKKLLDLFSQMGSPFCLNAYPFLAYMGSPDEIDINYALFNPTDGIYDEKTNLHYDNMLDAQIDAAYAALEDAGFNKMEVIVTETGWASHGDANEGAATFENAKTYNYNLRKRLAKRKGTPRRPKSMLKAYIFALFNENSKTGATSERNFGLYKPDGTIAYDIGFPALQSSSSISSLLSIKELGAQGWHWSYISVLSACASTLFLFLRS >KVI01312 pep supercontig:CcrdV1:scaffold_802:68432:69452:-1 gene:Ccrd_020414 transcript:KVI01312 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein MSSSGTICTDVAATSEQLYYIHCNFCNIVLAVSVPCSSLFDTVTVRCENCTNMWPLNVGMAATLQQSFSGSTSTFQDSSSPHNQQGSNYTSPNYRVDLGFSSDCNNMIMPTTLIRPHTPSTNNGKEINNHRSFIS >KVI01320 pep supercontig:CcrdV1:scaffold_802:24700:31075:-1 gene:Ccrd_020418 transcript:KVI01320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRAGSNLAKLALRRTLSQGGTYASRTRIVPSGRQYFHTTVSKSKAQSAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWENDPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVYGHMKAKLDPLGLEQREIPDDLDPALYGFSEADLDREFFLGVWRMSGFLSENRPVQTLRAILTRLEQAYCGNIGYEYMHIADREQCNWLRDRIETPTPMAYNSQRREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTRPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDIDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKSGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKLLETGQATKEDIDRIQNKITSILNEEFLASKDYVPQRRDWLSAYWTGFKVQPEILKNVGKAIATLPENFKPHRAVKKIFADRLKMIETGEGVDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSILHDQETGERYCPLDHVVMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQVRLVCGHYTMSDDNPFVIPEMEPTLRNQIQTCNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEKRKSTGGKDVAICRVEQLCPFPYDLIQRELKQIVWCQEEPMNMGAYSYIAPRLSTAMKALSRGNIDDIKYVGRAPSAATATGFYTVHGKEQSELVENAIKSDSITNPTLS >KVI01311 pep supercontig:CcrdV1:scaffold_802:53242:57255:-1 gene:Ccrd_020415 transcript:KVI01311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter LKNLPKGCPFKPLSINTEPDQTKQKDCAQLQKQMERLKSDQNQTERKDTYKIAYMIHFLLGAGNLLPWNALITAIDYFGYLYPDKHIEKVFSIAYMSSSLLVLVLMISRSNLSRKVGFRMRMNFGFTMFVISLMVTPTIDWAQNGSVLKEKSCIKFYTVVASVVICGLADGLIGGSLIGSAGKLPKEYMQAVFAGTASSGILVSLLRIITKASLPNDPQGLKTSAHFYFIVSAFVLMICIICCTLLYKLPVMEQYNNLLQHDHPTSRTKLWDVTRMIQWPALAVFIIYTITLSIFPGFLAENLQSKRLKDWYPIMLIITYNIADFVGKSSTAIYMVNSIPKATWGCVGRLLFYPLFTACLHGPKWFKSEEYVVALTFMLGFTNGYLTSVIMILAPKSVPPSEAEMAAIVMALFLGMGLSGYDNSKKSEGAMVG >KVI01314 pep supercontig:CcrdV1:scaffold_802:51419:52687:1 gene:Ccrd_020416 transcript:KVI01314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFSSSENLHSHFNKKLQAFPFLVRDFRLGFLTMLLKSSSNPALNSWFQQQSLIGISSPEPDFIHRNLRSPTISLYSSSSLNELPKKIIRASSESDLIGLSKPKRRNSLNSSNCLISSMVVEEDVEAEDSETRGLLFSSSGLDGDEGCRVQAMVDGSGGGDGGRKICGGGGGNGDYYGSDGTDLYYQNMIESNPANSLILANYAKYLKEIRGDFVKAEEFCSRAILANPSDGNALSMYADLIWETQRDSSRAQNDEEREAVSEMNVAASPGFFQEASQFPIAAAS >KVI01318 pep supercontig:CcrdV1:scaffold_802:119708:132954:1 gene:Ccrd_020412 transcript:KVI01318 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MVSETPVNEAQPPSSAATPPSTKRPREDANEEDQDSLTAAEVQPIKRRAVLGQDILFRILLPSKQIGKVIGRGGHRIQKIREETKATIKIADAIARHEERVIIISSKDSENTFTDAENALHQIGRRGDWWRASMALLIWRLKDDQNVEGLKVSTGHVAANTIRLLISGCQAGGLIGVSGQNIGQLRNSSGATVTVLAQNQLPLCASAHESDRVVQISGDVPAVLRALVEIGCQLRDNPPKQIISISPTYNLGFIHRPPQQYVDPSSGYLVHLTSSSLYFPLFLFAVVLNCNVIPCSIYLAAEYVTLEMMISETFIGGLIGRCGSNISRIRTESGATIKVHGGKGENKHRQIHLGGSAQQVALAKQRVDEYIYTQMMQQTGGQQPMGNDLMAAQAPPMFYPPVAPQATLPLAYYGAPNPYAPQL >KVI01321 pep supercontig:CcrdV1:scaffold_802:176297:176824:1 gene:Ccrd_020409 transcript:KVI01321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Blue (type 1) copper domain-containing protein MAGSKFMLVMVVASMIQLQTTVAQTNHTVGDTLGWTIPSAANAYATWASGQNFTVGDSLIFNFPTGAHDVAEVTEAAYGPCTITDTINTTTTGPATINLRTAGPHYYICTFGTHCQSGQKLAINVSATASTPPSSSTPPPAGNTTPPPSPSTASPTLTTVVPITFLVGSLAALFY >KVI01319 pep supercontig:CcrdV1:scaffold_802:14615:15550:1 gene:Ccrd_020419 transcript:KVI01319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFASNGMVLATAMAAVSGTVLLLAFRLQKQPTTAVAGAAKFSVTHHPRPCISIDGKKKVKKKKKKVHFAEDVMEPSGNGEEFRKRLQSKNFNKMRTSSSLFKDEGGKKKIVMPANRMALYAGILRDRGVQRLAYS >KVI01313 pep supercontig:CcrdV1:scaffold_802:41777:43768:1 gene:Ccrd_020417 transcript:KVI01313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MESYNSSFLWTLMVVFLLNISFLSVQGLGVNWGTRATHPLSPDIVVKLMKDNGFDKVKLFEAEPFVLDALRNSGIQVMLGIPNDFLATLASGVRVAEDWVTKNVSAYVSRGVDIRYVAVGNEPFLKTYKNMFTNATLPAVQNIQAALIKAGLGRQVKVTVPLNADVYESSSAGVPSEGNFRADIHDLMISIVQFLSDNAAPITINIYPFLSLYADPHFPIDFAFFSGTNAPVVDGSVSYTNVFDANYDTLVWALEKNGFSSMPIIVGEIGWPTDGDSNANLQYARKFNQGLMSRIIRGQGTPKRKTPPDVYVFGLIDEDAKSVDPGNFERHWGIFKYDGSVKYKLDMGKNRTLVPAKGVRYFQKQWCVLAPGASESDPNLADSISYACTHADCTSLGYGSSCNGLDARSNASYAFNKYFQTLNQQKGACDFHNLSVITKIQPKTGKAGCEFEIMIDLGKHEKARKTPASAAAGRLQGYGYLAIVAFLLSSIVGWVS >KVI01315 pep supercontig:CcrdV1:scaffold_802:138110:143213:1 gene:Ccrd_020411 transcript:KVI01315 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type MHLFSIPVFYLYRFSAQFRIQTPKFSYNKIQSEERERNIKFLPKEGGTPKKNEIVFTAPTGEEITGKKQLEQYLKSHPGGPKVSEFDWGSGETPRRSARIVQKVKSTPPWSEAEPVKKRSRTVSASKKGKKEKDEVPEEIRDKDVEMKEAGKGEKDEKNDKSAPEGTEGNEPEKTEKDSVDVKKDETNEETDVKADEEGKPVEEANKACEIPEMPLLQEETKEVNRETLADAIQDAEQAPVTEAEKDGSTATSADGQKGNLDGVSDQKVEAEGGGVAEYGNHMAASEATPLAA >KVI01317 pep supercontig:CcrdV1:scaffold_802:104479:113213:-1 gene:Ccrd_020413 transcript:KVI01317 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase, DevB-type MAPPTPLIQTFETEEQVAVALAIYILHLSAKFIADNGSFSVVLSGGTLIDTLRKLVEPPYVDSIEWSKWTIFFLDERVVPLDHPDSNYKLAYDGFLSKVPIPESNIYPIKDKLSPSDAADEYEQRLKHLVANNTLKTSPKTGFAKFDLMLVGMGPEGHVASLFGWHFQRFEKTRWVTFITDSPKPPPPRITFTFPLINSASEIAMVVTGEDAADAVKVALGKHASYGYPLPVQKVSPEGGLTWFLDMAATSELRKTDTVFVYMLFHSMESKTILGIKNLETRGQPPLRTLTTMAPLNIQKLQTEEHVAVALAKYIADLSAKFIDAHGSFSVVLSGGTLIDTLRKVVEPPYVNSIDWSKWLIFFLDERVVPLDHPDCNYKLAYDGFLSKVPIPESNIYPIKERLSPEDAADEYEQRLKNLVANKTLKTSPITGFAKFDLMLVGMGPDGHVASLFCWHFQRFEKKRWVTFITDSPKPPPPRITFTFPLINSASEIAMVVTGEDAADAVKVALGKHASYGYPLPVQKVSPEGGLTWFLDTEATSELK >KVI11268 pep supercontig:CcrdV1:scaffold_8020:6785:10421:1 gene:Ccrd_010324 transcript:KVI11268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRQPHQDQQSRVLYELSAMILNLLRYPPTSIQFSDEIMFFLGFLLMPWVLGLVLLFYVAGVVSSISMSGRVFFLPYPISIFTKKRHP >KVH89684 pep supercontig:CcrdV1:scaffold_8026:5385:6927:-1 gene:Ccrd_008322 transcript:KVH89684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSMMEDLRRGPWTVEEDFTLINYIAHHGEGRWNSLARCAGMSCTLCFTDLYFPATPMHIWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAATTTATTGGSSSSTTTTTTTSTATTTNSYPLNQSNNMDNIVTSQLVVPQGNNNNCGNICSNYGNAQITPSYTPENSSTTAVSPVSDLTDCYYPANQSQNQDFFQHNNPIGGGFADTIISPSGYFNPGMDFQAMVEQNNQWSDGGNDDFSDNLWNVEDIWFLKQQFNM >KVG06784 pep supercontig:CcrdV1:scaffold_8029:5110:9673:1 gene:Ccrd_026574 transcript:KVG06784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosducin, thioredoxin-like domain-containing protein MADYHFVYKDVEGASTQWDDIQRKLGNLPPKPPAFKPDPFAPAEDEDSKPKNKTWIDNKTEEELEDLEDDLDDDRFLEEYRRKRLVEMKQMVKIAKFGSVVPISGSDFVRESDPVLNDGQSEGEASREAVLEGVRKRFIEKVITHHENDDDDGSSSE >KVH92024 pep supercontig:CcrdV1:scaffold_803:123196:141476:1 gene:Ccrd_005950 transcript:KVH92024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRLKIRDLLIGYSWRRDYLQKRRMQPWSDPMKNFREKKGRVKKSKSGGREEWLIPCERYGQKPHLTKEERITKVTHSRTTETATPNSADRSDTGVPLTLSAAVSRGPLLLPSTEIKFLRLERGTKHEVKNKASKRRTTKLILGLDKRTKTRRASAKNKVTGYQIDLFDGSWFTDRDYNENRYFIGYGVAIQHYYKS >KVH92021 pep supercontig:CcrdV1:scaffold_803:77369:83250:1 gene:Ccrd_005948 transcript:KVH92021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIEVANKLLGFLSKGIGLEENAMKEGLGGEDLIYLMKINYYPPCPCPDLALGVVPHTDISSITILVPNEVQGLQVFKDDHWYDVAYIPNALIIHIGDQIEILSNGKYKSVYHRTTVSKEKTRMSWPVFLEPPPEFEVGPIPKLINQDNPPKYKTKKYKDYLYCKLNKLPQ >KVH92026 pep supercontig:CcrdV1:scaffold_803:150823:153124:-1 gene:Ccrd_005952 transcript:KVH92026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGEGESGDCRRSRAQGLCNYDLCGDRDSLRVSMKQYRVQDGDNFYHDLLWRTCPFGVEQLHVNSKSAYT >KVH92025 pep supercontig:CcrdV1:scaffold_803:176804:182446:-1 gene:Ccrd_005953 transcript:KVH92025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type METTTSSEEVLVCQTCGDGGYTNAFVYCVKCLGFVVHRYCLDVIPRTPDEFVPWFCEDCKPAVPYQFALPKLEPSRSQKEYATSSANVKRTHGKKKQTKKRKMAPLVAGKDVVSCQEEAIKPDRQCVSPANSPVKEASVKSDSGCNQIIPCVVSRLEKDVLQKQASESSELDNNRSPPKTSAETKINVTSPVQSDSGHNQTTLCVVSRLEKGEFQKQASESAHLDKGSSKRKGHATPLAVKAKEHKTRKTVHSVEPSVCDSSCTNLTLKDNEDMIRSVEEQRCEIQQSQTDHDKLVSESSSIELTKKTKDYDGQNETVNQTEYKQEMSASKAYADTYTSTLIENNEQYIHYPPARPVLDPVWRGSFNITETDYDLFEGFVGHLSTKACYKVREEATMLPSLLCLEMQPKMALWPKSFLESQPSDEHIALYFFPGDTKNERVFERLVIDMIDEELAMRATAKNAELLIFTSTVLPQSHWRFQGNYYLWGVFRAKLKDSSSHVNQDLAVSNNSVDHDLSENSLNEVTSGEKNQASVKLFDSRSPQSPLCNYR >KVH92019 pep supercontig:CcrdV1:scaffold_803:68984:70750:1 gene:Ccrd_005947 transcript:KVH92019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFEEMGFSGSFDFLSGPPFETATATEAAAMEEDYSEDDEMEIKELEKRMWRDKMLLRRLKDENKCKQGVDPAKKRQSEEHARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPENGKPVGGASDNLRGWWKEKVRFDRNGPAAIAKHQATHVGVVDEVSLELEDCKPAPPTFVLPLAGVKGEAVDSFNSDNFKRKQPSNDIDDHHQAPDVYACEYPQCPYNDVRVGFHDRSARNNHQLNCAYRPIVGGTLNFQTNNLEKLSVFNIPSFGQTKLTAASLPVNHVAPPPSYGGGGGGGGGGGGGGGGGGGGSISELGLPEDGQRMISDLMSFYDTNLQQTNKSFNPGNLDQIQIDNGFFGPNVETSTGFEFGNCRTPYESAFDSGCNPNVNDNNALDFRFGAQSTFSQDVSMWYL >KVH92020 pep supercontig:CcrdV1:scaffold_803:16096:26162:1 gene:Ccrd_005945 transcript:KVH92020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MAVLLEDVVKAVEAWLKILKKPEPYIDPNLDPVLIVPGIAGSILHALNGETGKEERVWVRIWEADHEFRAKLWCQFDSATGKTMSLDPNTSIVVPEDRDGLYAIDCLDPDMVRFLMLILESASLCIHYILVFVEFDTLLHPLHTPFLSIVGRDSVCYFHDMINEMTSWGYQEGKTLFGFGYDFRQSNRLKETMDRLAAKLDAIYTASGGKKINVITHSMGGLLLKCFMSLHSDVKSMLLSLLLIFEKYVKNWIAIAAPFQGAPGYVTSTLLNGMSFVEGWEAYFFVSKWSMHQLLIECPSIYELMACLDYEWEHDPMLQIWKEIQDGEGNSAAMLETFTPVEAVSIFTQALSNNELSYDGVDIPLPFNKAILHWANKTREILSSAKLPPKVKFYNVYGTGQDTPQTVSYGSVDSPVSDLCELPFLDATYVNVDGDGTVPVESAKMPFYLNGDKILQKARVGIPGDHRGILKDKHLFRIVKHWLKADHDPFYNPVNDYVILPTLFELKKHHEKGVEVTSLKEEWEFVSKDQDENNHQPVVGSISASRVADDRSSSEEARATFIVHPQSNGKQHVELNAMSVTAGGA >KVH92023 pep supercontig:CcrdV1:scaffold_803:141605:164385:1 gene:Ccrd_005951 transcript:KVH92023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MAPKYNNSSKASACSISTLFLSISVLFALLLSLFLLSSNRTSKLASSSCLISTAAIDLPNNKAIGNSINVYVSDLPRSLNYGLLENYWSLSHDSRLGSEVDNQIRLTHSWNSKNVPRYPESPLIKQYSAEYWIMGDLITPQELRSNSFARRVFSPEQADVIFVPFFATISAELQLGIAKGAFRKKVGNDDYARQKEVLSFVKGTEAWKRSGGRDHVFVLTDPVAMSHVRDEIAPAILLVVDFGGWYRVDSKASNGNMSDMIQHTQVSLLKDVVVPYTYLLPRLHLSEDQKRQTLLYFKGAKHRHRGGLIREKLWDLLINEPGVIMEEGFPNATGKEQSIKGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGTLDYTKFSVFVAVSEALRPNWLMNHLRSYTGLQKGEFRENMAKVQTFFEYDNGYPGGVGTIPVDGAVNHIWRKVHQKLPMIKEAVVRERRKPPGLTTTLRHSTTSPSTARHSPSSLSSSSRLTTQAFRLTTQAVGHSTMIISRCLAQPTALLNYSRISSLALADLQTAITLAGFTVAGIAYCRRYHLLPVSPPADPHGLTPLSLALSSSPSVPHAEMSSGPQVSSMAVGVPNEDLHGNEEQPKEIFIQVPHAPRLWHRNSNWWGRKVMLRDRDCDPAGSLRGSEGIAIVTLLGGRSRFGAVLMILGPRDGPATSTGGGVGTDDSTEVSRVAASLSSDGLVSPREAGKVTVIVKGVLRE >KVH92018 pep supercontig:CcrdV1:scaffold_803:29500:34700:1 gene:Ccrd_005946 transcript:KVH92018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MENGTRKLSDEYEVTTILGRGGFSIVRKGVKKSKGDFADGEQEVAIKTLKRVGGGGRGGGMVGFPSRKQVLISDALLANEIMVMKRVVEEVSPHCNVIQLHDVCEDPTGVHLVLELCSGGELFDRIVTQERYSEAEAAKVVQQIASGLAALHKANVIHRDLKPENCLFLDKSKDSALKIMDFGLSSVEEFTDPVVGYPPFSAQSHLQKQQMIMNGSFSFYDKTWNKISSSAKDLISSLLNVDPQKRPTAEQILKHPWVIGDSATERQIDPEIVSRLQSFNARRKFRAAAIASMYTSTIFLRTKKLKNLVGSYDLNQDELQNLRNHFKTLCADGENATLSEFQEVLRAMKMSSLIPLAGRIFDLFDNNRDGTVDMREILCGFSSLKNLKGDDALRLCFQMYDTDGSGCISKEEVASMLRALPDDCLRMDITEPGKLDEIFDHMDANSDGKVTFDEFKAAMQKDSSLQDVVLSSLRPN >KVH92022 pep supercontig:CcrdV1:scaffold_803:105024:128020:-1 gene:Ccrd_005949 transcript:KVH92022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein TIEVLGLTSACNILVALEAAYSNASVERIHSFHDSLRQLTEENCTGRQHTKFGLNHLFPIYRPPTITNDSPPPTFMAFDQTHQAHTPDPNPEHDPVAKAPTSPPTLSLNYLSYAREERITGLSQSTIEFFMLTINLAVNCFLIYPRSCKCNYRWTARNSGPEPKEKLKRDSLYIDKQGKLRSFNHKKVSRKRGGSLRGQGWKYGSGFVDGIFPVLSTDAQQILNFMKKETDLNRVCQALSSLSPTHTTWDDIISVAVQLRLNKRWDPIILKASVTCLMEKQGKNTPKRTYYIPYKEACALGRYFIQMMCEWILYRSTFQPDIICYNLLIDAFGQKSCADKAESTYLNLLEARCIPTEDTYALLLRAYCTCGLLEKAEAVFMEMRKHGLSPSAVVYNAYIDGLMKGRNSQKAVEIFQRMKRDCCQPSTDTYTMLINLYGKANRSYMALKVFHEMRSQKCKPNICTYTALINAFAREGLCEKAEDIFEQLQEAGLEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASFNIMVDAYGRSGLHEDARLVFEEMKRLGIEPTMKSHMVLLSAYSKVGNVSKCEELVTQMHKSGLEPDTFVLNTMINVYGRMGHFRKMEEVLAVMENRPYVPDISTYNILINMYGRAGYFERMEEIFRLLPAKNLQRDVVTWTSRLGAYSRKKLYTRCLEIFEEMITHGCYPDGGTARVLLSACSTKEQIFFISGRGRVKGIQAEEDNIG >KVG06458 pep supercontig:CcrdV1:scaffold_8033:8228:9163:1 gene:Ccrd_026575 transcript:KVG06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MMNLQLTQVQLHENQMNSQMQTVNLQSSMATMQQNNMRSLQQNSVSSNAQQNMMRSIQPNSNLDSGQNSTMNSWQQSGVEKGLPPKKETILKVGMSQIQKQYYKALLHRDLE >KVG06349 pep supercontig:CcrdV1:scaffold_8038:1139:9405:-1 gene:Ccrd_026576 transcript:KVG06349 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MMLEGEEIFRVSSARISSSNIWRNSGRDFFSRSSFEEEDDEEALKWAAIQKLPTNLRLERGILTEEKGLLTEINIKNLGLSEKKNLLDRLVRIAEEDNEKFLLKLKERIDRVGLQLPTIEVRFEHLNVDAEAYVGGRALPTIVNFLVNILQGSLNFLHLLPSRKKTFPILHDVSGIVKPGRMTLLLGPPSSGKTTLLLALAGKLGSDLQVSGRVTYNGHEMGEFVPQRTSAYISQYDLHIGEMTVRETLAFSARCQGVGANYEMLAELSRREKEANIKPDPDLDIYMKAASLEGQEASVVTEYVMKILGLEICADIVVGDEMFRGISGGQKKRVTTGEMMVGPARALFMDEISTGLDSSTTFQIVNSIRQSIHILQGTSMISLLQPAPETYDLFDDIILLSDGQVVYQGPRENVLEFFEHMGFKCPERKAVADFLQEVISTLKFLFLINFVLESELSSYDLPIRETVEAFQLFHVGRRLGDDLAAPFDRTKSHPAALTTKKYGVTRKELLKACVSREYLLMKRNSFVYIFKMIQLILLATTSMTLFLRTEMPKKTIVDGTVYMGALFFTILTMTFNGFSELALSIMKLPVFYKQRDLLFFPPWVYSLPTWILKIPVTVVEAATWVIMTYYVVGYDPDVGRFFRQLLVLICIQQMASAVFRFIAALGRNIIVANTIGSFSFLAILVLGGFILSRDDIKKWWAWGYWLSPLMYGQNGMAVNEFLGKSWRQVLPNSTESLGVAVLKSRGLFPEAKWYWISVALGKPQAIQSEETVAARNALKKGDPIELSVRASSEMKNEVRTSRSMSSRVGSIIEAHKKKKHGMVLPFVPLSLTFDEIRYAVDMPQEMKAEGITQQQLELLKGVGGCFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYTKGRITISGYPKKQETFARIAGYCEQTDIHSPHVTVSESLQYSAWLRLPAEVDSATREMFIEEVMELVELTSLSEALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPNIDIFDAFDELFLLKRGGEQIYFGPLGRHCSQLIKYFEDIDGVNKIKDGYNPATWMLEVTSEAQEAALGVNFGDVYRNSELYKRNKELIKENSQPIPGSSDIHFRTQYSQSFWTQCMACLWKQHWSYWRNPPYSAVRFLFTTFIALMFGTIFWDMGSKRDKQQDLFNAMGSMYAAVTFIGVQNAASVQPVVSIERTVFYRERAAGMYSAFPYAFGQIMIEVPYIFVQTIVYGIIVYAMIGFEWTLVKFFWYLFFMYFTLLYFTFYGMMTVAVTPNHNIAAIISSAFYALWNLFSGFIVPRTRIPIWWRWYYYICPVAWTLYGLVASQFGDMDDELESGQTVSEFVSSYFGFEHDFIGYVALIVVGFAVLFGFIFAYSIRAFNFQTR >KVG06274 pep supercontig:CcrdV1:scaffold_8039:7541:11162:-1 gene:Ccrd_026577 transcript:KVG06274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MDAKVIDICAIIPKGPLHTPTVHDDTVLSLATNLKNNDLALRLLDMVPMCDSHKLTFQNTGGHTMLHETGISNKTVAAAAEMLRRAPXLLSMTNRLGETALFTAAVNGKTKIFKFLHGEVCRTTQGPELKTFLQRDDKSTILHRAILSRNYCNPDFEDTSRTLPWLRRMQKEKHRYEWAMKLVKLLVKADTSWETTESWIKRVRSTVHLYGRNKSKAENELGDARKPDTPLLLATIHGCKEIVSEILKAYPQAIEHIDQDGRNLLSLAILHRRIEILDLVDNMKIQKQRVKRNIDNYGNTLLHLVGEKVDRPSEDLKGPAIVLQEDALLFERVKESCTAFDTMRLNLQGKTAEKVFFENNYKLRSDAKEWMSETAKNCSIVAVLIATVAFAAAYTVPGGPDSRTGHPVLKNQPLFLIFTIADAISLSSSLTSVIIFLNIVTSSFHFKDFEKSLFQKLYLGLTLLIISVAMMMVAFAATLILTISSGRKWTDITLYSVSFFPVVIFIFAYVRLYRQLVGAVYRAFKQMMRVGCLWYDGEAKPTASPYEPRSRHQHSGSRSLV >KVH92285 pep supercontig:CcrdV1:scaffold_804:39146:55131:-1 gene:Ccrd_005667 transcript:KVH92285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYYSRLEREEMVSRCKARKRYMKQFVKARNAFSAAHSMYLRSLKNTGSALLQFATAESALHHHLPPIHPSHSPPRTPTPPPPPPPPMSPTSETWTTSTANTSSTPLPPPPPPPPPPPSSTWDFWDPFMPLPERAETVRDDEWEEISTTGASETVVTTTIGAASVAAPPSAVSGFSKITVSNPTQSEMPVVVSSKSKDLMEIIKELDEYFLRAAESGGKLSALLEVPTCTFPDQTASGKIHGYGKSLNPFFCSWSSSLRFVKLGCDGMDGIGAVDGGHISGSHCSTVERLYEWEKKLYDEVKNAESLKIEHEKKTEQLRKLELKRGDYMKTEKAKKEVEKLESRMMVSAQAIESTSQEIIKLRETELYPQLVELVKGFVSLFIIAYKLAPLMCMWRSLYESHQVQMHIVQQLKYITAPPAPSTVATTEIHRQSTLQLELEVQQWHLSFCNLVKSQRDYIQSLTGWLRLSLFQFSKNPSSRNKQDSAIYTLCEEWQLAVDNAPDKVASEGIKALLTVVNAIVIQQGDEQKQKRRADSYFKELEKKTMEVRGLESKYGTGPYSGPLSRKTDRVADKWAKVEVLRAKAEEEKGKYEKSIGITRSTTLNNLQMGLPHVFQAVTGFANVWTHAFESVCNQTKGLEEDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGATPITAAQGEELQKTIGAPEYIECSSKTQENVKGVFDAAIKVVLAPPKSKKKKKAGQKACSIL >KVH92292 pep supercontig:CcrdV1:scaffold_804:69474:72832:-1 gene:Ccrd_005668 transcript:KVH92292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDDYEVEQKKQSAADVTFQYSNFVMACIGFQARPCDMRLHLMKEISGMPASLKRETSQVAASPDAMGETSSSGTARLEKADSFRAL >KVH92289 pep supercontig:CcrdV1:scaffold_804:9034:17335:1 gene:Ccrd_005663 transcript:KVH92289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLDSLLQAGSDNSTQTGGSFRGSGSVGGPEPQPKGGVNSLFFVHSDTGGTVASDTSLHVFLESFLKFRNRWYDFPYRGAKGMVAGVIVGEHELSRRAFMILYRISSNRDPGAKASDSLSAKDHADIHVVILQEKKLLDLPKLLDICAIYGHENEELTRLLAIKAPLCPQGVNTTKNERNEPKCVESSNL >KVH92287 pep supercontig:CcrdV1:scaffold_804:27797:28165:1 gene:Ccrd_005665 transcript:KVH92287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MDCEGCERKVRKSVAGMKGVSSVDVDPKKSKLTVVGYVDPNKVLNRVRHHTGKKAELWPYVPYDVVDHPYVPGVYDKKAPPGYVRDTSAVDPVAVDLGRASSTEIRYTTAFSDDNPTACMIM >KVH92297 pep supercontig:CcrdV1:scaffold_804:76668:97474:1 gene:Ccrd_005670 transcript:KVH92297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISASSSCRSPSFVITATSILSRILHYLAKQSVQYSEYRQRQTFMPLTLSKQIRESFGEPRVLKFNGRLLLHSEDDGVGPTNTDGGVTLAYGFQGVLNLEEMAIRRENRYSSVSGETVDRKSSINSNRLTNDVRSSWQAKKGHQRRNFIGFSKTFHRSSRFHITRKLLFFQNLHTYKLNTRSGSKCAPPPLTPPVLPPQHSRFHTRSGSKCAPPPLTPPVLPPQHSRFHVYHL >KVH92283 pep supercontig:CcrdV1:scaffold_804:171511:178150:-1 gene:Ccrd_005681 transcript:KVH92283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MKIATYNVNGLRPRISQYGSLRKLLDSLDSDIICFQETKLSRQELRADAVSADGYESFFSCTRSLEKGRTGYSGVATFCKVQSAFSSNEVALPLDAEEGFTGVLENSRGFANRKHDCVAGVEGLEGIDRDELLKIDSEGRCIITDHGHFVLFNIYGPRAACDDAERIEFKLLFYKILQKRWESLMLKGRRVIVVGDFNIAPSSIDRCDAGPDFENNEIDHIISAGSCLHENKDLDGHNFFSCHVKECDILTQFKRWKPGTTPSYRWKGGRSMKLEGSDHAPVFMSLREIPDIPQHNTPSLSTRYCPQVRGCQQTLVSMLSRRQSTEEFKAHEQSGTSLDEEIRVRGCEQLAKRPILDCNETGLVLDEFLTASSFVQADDVLGKDKCSQGSSSVFGGKKMLNLGSNHMKPAKCTEMKKKSKKNQLSQLSLRSFFHSSSTANNDVKSSSADLPFEHASNSVEGYGTEILKESEFNNIDPDQSQCESDACNSSQTDKSKIALLEWQRIQQFMQNSIPVCKGHGEQCVSRVVKKAGPTFGRRFYVCARAEGPASNPEANCGFFKWADSRSKHKQGK >KVH92298 pep supercontig:CcrdV1:scaffold_804:87077:97540:-1 gene:Ccrd_005671 transcript:KVH92298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MTTIVPESFATRWSLVGMTALVTGGTRGIGYAVVEELAELGAVVHTCSRNESELNQRLQEWSVKGFTVTGSICDLASQYTAEDYSMIMATNLESGFHICQLAHPFLKASGVGNIVFISSVAGLVHANAGSIYSVTKAAVNQLTKNLACEWAGDKIRTNSVAPWFVKTSLIDQLISMEVLEEKKIMSDLESRTPIKRFGEANEVSSLVAFLCLPAASYITGQTIAVDGGLTINESFAGMSLTRWSLVGMTALVTGGTRGIGYAVVEELAELGAVVHTCSRNESELNQRLQEWSVKGFIVTGSVCDINNVGMNYPKPTTEYTAEDYSMIMATNLESGFHISQLAHPFLKASGVGNIVFISSVAGLVHASVGSTYSITKGAINQLTKNLACEWAGDKIRTNSVAPWFVKTSLIEQLISMEVLEEKKFTSDVESRTPMKRFGEANEVSSLVAFLCLPAASYIIGQTIAVDGGFTINGFP >KVH92291 pep supercontig:CcrdV1:scaffold_804:76355:80820:-1 gene:Ccrd_005669 transcript:KVH92291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, alpha-subunit, N-terminal domain-containing protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKSTVKLQDSRSVRKIVSLDDHIALACAGLKADARVLINKARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTNVPSLYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGQETIKLAIRALLEVSIDKLPCHFITHLIWCSVIVVESGGKNIEVAVMTKEGLRQLEEAEIDAIVAEIEAEKAAAESAKKGPAKET >KVH92299 pep supercontig:CcrdV1:scaffold_804:101659:102462:1 gene:Ccrd_005672 transcript:KVH92299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLVGDCGVKANGTTMVCVLSAVSRLGYLETGISIHGHIVKTMCDIENDVYIGTGLVDMYAKCGCLDSALNLFMRMKHKNVLTWTTMVSGLAVHGKGKQALKIFDDMIESGILPNSVTFTSLLFACSQAGLLEEGLYLFHNMKRVFHILPLPHHYGCIVDLLGRLGHLDEAYEFIISEKVEGDEVLWKSLLHACRIHDNVVMGERVAKILFSIQPEMNLEIPCDNSEDYVALSNICASAGRWEDVAAVREVISVKGIETKPAVSTVFA >KVH92293 pep supercontig:CcrdV1:scaffold_804:113587:118915:-1 gene:Ccrd_005674 transcript:KVH92293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENPSSTPKTPLYPKIDTHPTPSAPPLPSSSDPNQPSSSSLYPSVDMKELPENLFPDSPHKPNSPYSPSPPPDSVEEVILSVPGAILHLIDKKDSVELACGDFSVMQLRQGNNVVAILARVGDEIQWPLARDEACVKLDHSHYFFSMRAPKEDEKDDDMLNYGLTFASKGQDKVLKELDELLEKCSSFSVQKVDEKNGPLDATMANEMDPSDLKSEVKKEEMEQTCSAYWSTLAPNVEDYSGTAAKLIAAGSGQLVKGILWCGDVTMDRLKWGNEVLKKKMEPGTEKEVSPETLKRIKRVKKVSQMTEKVAGGILSGVLKVTGYFTSSVVNSKAGKKFFSLMPGEMALATLDGFSKICDAFEVSGRNVMSTSSTVTTELVSHKYGKETAKATNEGLDAAGHAIGAAWTVFKLRQAMNPKSVVKPTALSTVAIKNATEEMKNKALKDVKAKNSPKSSPKKSK >KVH92290 pep supercontig:CcrdV1:scaffold_804:5585:8181:1 gene:Ccrd_005662 transcript:KVH92290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHQRYSSRGLPNKTHQQRFVPRPAALNSNPTLSDSLRQQQSSSSSSSSGQRRIQGGSGGGSFVNYLPQDEAVAAGLGAEEGGLDPVESQAVVDLLNTECSLLLKLNPKDFWREGSFYSGGGGALDPVNIAYSVVYQS >KVH92296 pep supercontig:CcrdV1:scaffold_804:135812:137056:-1 gene:Ccrd_005677 transcript:KVH92296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MGHSDMVLRFLLFFVFKGVLGTTFTFLNKCDYTVWPGLLGTPALETTGFELSKGKSRSLQAPAGWSGRFWGRTGCNFDGLGHGSCATGDCGSGQMECNGAGAAPPATLAEFTLGSVGTQDFYDVSLVDGYNIQMIVVVSGGSGDCATTGCVDDLNRRCPSELKVGGGGGCKSACDTFGTPEYCCKGAFDSPAACRPTAYSELFKSACPKSYSYAYDDATSTFTCTAAAQYAVVFCPSLHWYAI >KVH92295 pep supercontig:CcrdV1:scaffold_804:127757:129930:1 gene:Ccrd_005676 transcript:KVH92295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MALRAFTGNLQFKTCDLFGNGSLNFLPVQSTIVHIRPWSIEARARTRVESPKVRNRRLQKKYNGTQKKPRLSVFCSEKQLYAMLVDDQNKKCLFYGSTLQQSIRSIPGTTIVSTSIPSKGISTKSIPEAAQRVGEELVKTCTNLGIDEISSYDRNGFSRGARMEAFEIALSGHGFLFR >KVH92302 pep supercontig:CcrdV1:scaffold_804:152626:161674:-1 gene:Ccrd_005679 transcript:KVH92302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MADLVKQILAKPIQLADQVIKFAEEACNNKQECAELKSKTEKLAGLLRQAARASNDLYERPTRRIIDDTEQVLEKXLSVALKCRNNGFVKRVFTIIPAAAFRKMSSQLENSIGDVSWLLRVSAPNNSTDEYLGLPPIAANEPILGLIWEQIAILYTGTLDDRSDAAASLVSLARDNDRYGKLIIEEGGVNPLLKLVKEGKPEGQENAASAIGLLGRDPESVEHMIHAGVCSVFVKILKEGPMKVQAVVAXAVSELVRHYPKCQDLFAQHNIVRLLVGHLAFETVEEHSKYAITSNKPTSIHAVVLASNNTNSSTNSNGLHHNPNNKSTTPPNDDEDKHRVSHPSGNRQQPFKMHSVVASTMAMKEGLKPXVNQXTNGLLPNXNQKTENVVVKKQNVHHNSSLSLYAXGIHKGRELEDPATKAXMKSMAAXALWHLAKDNSTICRSITESRALLCFAVLLEKGPEEVRYNSAMALMEITXVAEZDSDLRRAAFKPNXPACKAVVEQLLQIIEKADSRLLLPCVKAIGNLARTFRATESRMIQPLVQLLEEREAEITXEAALALTKFACTENYLRLDHSKAIISAGGAKHLIQLVYFGEQMVQSPALMLLCYIAQHVPDSEDLAQAEVLTVLEWASKQSALIQNEKVEKLLQESKGRDEQKGPWGSDSITPPDDLSVMLFRSLFRPSFVMADLVKQILAKPIQLADQVIKVAEEACNNKQECAELKSKTEKLAGLLRQAARASNDLYERPTRRIIDDTEQVLEKALSVALKCRNNGFVKRVFTIIPAAAFRKMSSQLENSIGDVSWLLRVSAPNNSTDEYLGLPPIAANEPILCLIWEQIAILYTGTLDDRSDAAASLVSLARDNDRYGKLIIEEGGVNPLLKLVKEGKPEGQENAASAIGLLGRDPESVEHMIHAGVCSVFVKILKEGPMKVQAVVAXAVSELVRHYPKCQDLFAQHNIVRLLVGHLAFETVEEHSKYAITSNKPTSIHAVVLASNNTNGNTTSNGLHHNPNNKSTTPPNDDEDKHRVSHPSGNRQQPFKMHSVVASTMAMKEGLKPXVNQXTNGLLPNXNQKTENVVVKKQNVHHNSSLSLYAXGIHKGRELEDPATKAXMKSMAAXALWHLAKBNSTICRSITESRALLCFAVLLEKGPEEVRYNSAMALMEITXVAEZDSDLRRAAFKPNXPACKAVVEQLLQIIEKADSRLLLPCVKAIGNLARTFRATESRMIQPLVQLLEEREAEITXEAALALTKFACTENYLRLDHSKAIINAGGAKHLIQLVYFGEQMVQIPALMLLCYIAQHVPDSEDLAQAEVLTVLEWASKQSALVQNEEVEKLLQESKGRLELYQSRGSRGFH >KVH92301 pep supercontig:CcrdV1:scaffold_804:140913:141125:-1 gene:Ccrd_005678 transcript:KVH92301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEVDVIPVVSQDIWQGIILQAVVLVIRQAVEMVVATIVENRGTLLENALPILDTSTDYKYIIVAGQLI >KVH92294 pep supercontig:CcrdV1:scaffold_804:122756:126532:1 gene:Ccrd_005675 transcript:KVH92294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MESEPVIVQLHHDSSKGAEATSNLPLPDAFLQFLNQNGIDPAIYTAGDFTPRYIRFLLHVIFALLCAYLCFLVFERLKPGCEEQLEAIEADIRCKLEKVEWLPNFYSLPPHIQIASSKAYQEGKIYGIDAASGAAVSALNISTGDHVLDLCAAPGAKLCMVLELLGNSGSVTGVDVARHRLAACRTLLQKYELGDHCRLFVADGASFSLSPIRVHSDFKSLNNREFNMEGDDLLYKEWSSRRPWKERKKTQKGREKGSLQPVLHNQDPELIYYGRCAGVVGLSKSELFQTVSSHDISQHGYDKVLVDAECTHDGSIKHIQKFENWGWTTLERRVLDAERTDDLTVLQFRLLTNGFKLLKVGGYLVYSTCSLTYAQNEDVVEKFLSLNASAELLEIDGSKSWPCKSGRIPKTLRFDPLTCRTSGLFVAKFTKVAT >KVH92284 pep supercontig:CcrdV1:scaffold_804:169339:171287:-1 gene:Ccrd_005680 transcript:KVH92284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MALHLQCSVLDQRAWNKIIKKQVVEGNTKEAFLTYRCMQETGTVVPDNFTFPVLLKAVSNLSYGRIGLALHAQIVKTGFDNHLLVQTSLLNMYSSVQRIDEARKVFDGMQDKDLVAWNSMLDAYVSIQKMDFATQLFRTMPQRDLLSYNIMVSGYSKIAENTTSPDHLTITTSLSACAQLGLLEKGRQIHVYAQDSRLVSSPHVTTSLIDMYAKCGCVESFLTVFYKSKVRDVYCWNALISGLALHGYGVAALKVYDEMLQSTNPDDITFIALLSACSHSGLIEEGQTLFDSMEIDHGVARKMEHYGCLVDLLGRAGFLESAYTIIATMPFRAGKSVLGALLGACVNYRDLEIGEKVVKILVNDGFLNDGDYMMVSNLYASCDRWDDANCWRAMMNNSGIVKAAGSSSIEVANKMHKLIL >KVH92300 pep supercontig:CcrdV1:scaffold_804:104497:106700:-1 gene:Ccrd_005673 transcript:KVH92300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDYEVEQKKQSAADVTFQYSNFVMACIGFQARPCDMRLHLMKEISGMPASLKRETSQVAASPDAMGETSSSGTARLEKADSFRAL >KVH92288 pep supercontig:CcrdV1:scaffold_804:17375:25296:1 gene:Ccrd_005664 transcript:KVH92288 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MEIMMIKESLHRGGGHKGDGGSFLDLLTAVTNAIKVQPMIHDSFTKVMDFINDAIVSMDALVSAYKHAAVYFSCPVETSYGSDELLKILARLHDSLLPTLQQGFKIIFSAKKDGSQLTSSDMLPNIATSLKMLSSRIVDFCWKLLNLCYLGEELFGENNSLPSASKIFPAQVEDPIIRIDILVQTFREISEECSTVKEGGSVNSLLQSVDKKYHLMGRLELLCNEGWISMDNEQLQFLSRIMLVPASKEIPHLPSTTNKVEMDEDNAIIESKISQVKDLFPDYGKGFISACLEVYNHNPEEVIQRILEGTLHSDLLSLDTSLMVVPTKTTPPVTQKDKGKGILVELPTPTNVVPLVGKPQLEGPSSTSSSVGRFIRKSTTNVPDPQILDTKDETAKNFALQSLLEYEDEYDDSFDDLGLSVGDSGLDDLNEKVTSTDTSASDASRWGSRQKPQFYVKDGKNYSYKVSGSVAAANYNEASIVNQAQKEMIHGLGRGGNIPLGAAKKVMEVTEGKHGGHDLTGTGGNVNSARREDGRNSNSRKDHNAPMKSNDNVDQVGGRGSSMRGRGWGRGNVGKEQTTTESNEGEDNEFVGERGRGGRGRGGRRGGGRSNHYRKDQAMKKHFSGLSGF >KVH92286 pep supercontig:CcrdV1:scaffold_804:36505:37524:-1 gene:Ccrd_005666 transcript:KVH92286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MASRRIVKELKDLQRDPPASCSAGPVAQDMFHWQATIMGPNDSPYSGGVFQVAFRTKVFHPNINNNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKIDKVKYEAMARSWTQKYAMY >KVG05797 pep supercontig:CcrdV1:scaffold_8043:10230:14570:1 gene:Ccrd_026578 transcript:KVG05797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLAQMGGSQEVFSVAYNQALEVLISTQLLQSPSNFSEVQQQLVVFVCLQFQQQLFLHQLHSITADSYKVSEASTKVXILFGTTSSSVEHNKQTAMLHVCVCVFIQFNL >KVG05263 pep supercontig:CcrdV1:scaffold_8047:10043:14499:1 gene:Ccrd_026579 transcript:KVG05263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGINLHLMEEILGLPQGLNRIKRMEMEETVIILSRPNSDQKVKVDWKFPVLDALVMLHNLTHLDVGIIDNWELVSSILECSPNLQVLACEKVNPSSEALSCSLDLDYNEAASELDRIYKLSPTTIESDTEDHDDQVINRVQRGRRKISDANEKPENRTAVDIVRGQHKRLQRFSLEKRIQLKNKKDVAVITSNQKRKHGKVNEDEEVNRLI >KVH94540 pep supercontig:CcrdV1:scaffold_805:109596:124140:1 gene:Ccrd_003397 transcript:KVH94540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MGLFNRFVWFLPLLICLLPLMNNFNGREGVMAFHKVHLNYQTDNTVKVNPAHRTGYHFQPKKNWINDMINWIPLEPAIVPSKPFDKYGCWSGSATILPGDKPVIIYTGIINKKPEPGHQVQNYAIPANYSDPYLRKWIKPDNNPIIKPTHENVSSFRDPTTAWFNNGHWKIIIGSKHDHRGIAYLYRSRDFVKWTKAKHPFHTKRDTGMWECPDFYPVSSRGTDGLDTSALGNGVKYVFKASLDMTRYDYYTIGKYDLIKDKYVPDNTSVDGWAGLRYDYGNFYASKTFFDPVKKRRILLGWANESSTRNEDMAKGWAGIHADVDVIFTIQSLDKAESYDEKWAKFPPEDIAKTICGIRGATIQGGVGPFGFLTLASTMLREYTPVFFRVFKTADKKHKVLLCSDATPSSLNENEYKPSFGGFVDVDLAKKKLSLRSLIDHSIVESFAEGGKTVISSRVYPTLAIAGNAHLYVFNNGSETITIETPMYYKGFYHLFYQYNPKGAVWGNIVWAHSVSKDMVNWVHLEPAIEPSEPFDKYGCWSGSATVYPGDKPVLYYTGIIKEKPAPGYQVQAYAIPANHSDPYLRKWIKPADNPIIKPTSENVSAFRDPTTAWYNNGHWKFVVGSRHNHRGIAYLYRSRDLITWVKAKHPFHSKKDTGMWECPDFYPVSSRGTHGLDTSALGNHVKYVFKNSLEVTRYEYYTLGRYDLVKDRYIPDNSSVDGWGGLRYDYGNFYASKSFYDPIQKRRIVWGWANESSTSEEDIAKGWAGIQLIPRKVWLDHSGKQLLQWPICELEKLRCQKTQLHNVKVHKGEIIEIKEITAVQADIDVIFSFSSLDKAEWYEEEWGEFPQHDLGKSICGVRGATVQGGLGPFGFLTLASHKLEEYTPVFFRIFKIADNKHKVLLCSDATPSSLNEKEYKPSFGGFVDVDLTEKKLSLRSLIDHSVVESFAEGGKTAITSRVYPTLAVSKNVHLHVFNNGLETITIKRLNAWSMNTAYMN >KVH94539 pep supercontig:CcrdV1:scaffold_805:62528:62923:1 gene:Ccrd_003394 transcript:KVH94539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MFRKKICSFKKLAKKVAYRSLYLNCEVLYVKEERLLKYDVDEHVSAIATTPRGFLAVYVGDERRRFLVPTSCLSHPLFKILLAKASEEFGYEQKNGLTVPCSVAAFQEVVMVMKCCNGMFDFRQLVQEFVI >KVH94535 pep supercontig:CcrdV1:scaffold_805:18624:19799:1 gene:Ccrd_003389 transcript:KVH94535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCVSSKHIKKDLKKEMLLNGGGDFTHHVVSLTSSTYGALKLDKDQQPPPPAAPVTPTKQKNSPPRRSNQGPPEIINAWELMEDLEDEPQAPISIPAKKSPKLRRGFAEIDVKTPMKFLNQLGSSPKVSKRFSGKENKKVGSRKLDYSPKITIVKASTLPPNLRISKRGGSESPVESGLNSARRRNLGPLFDPDLIDPIGRKKFQEKEQIKKMISATPTIQKSRNSIESKSILETFENKFPSGGENAVVIYTTTLRGIRKTFEDCNTVRGIIESHNVRMIERDVSMDSGFKEEVRALLGKKQVTVPIVLVKGRLIGGSNEIMKLEEEGKLGILLDGIPTVAATGCKGCGGVRFVMCTVCNGSCKLIGGDGRRSIKCVDCNENGLVQCPICC >KVH94544 pep supercontig:CcrdV1:scaffold_805:52877:57548:-1 gene:Ccrd_003393 transcript:KVH94544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MSRSGQPPDLKKYMDKQLQIKLNANRTVVGTLRGFDQFMNLVIDNTVEVNGEEKNDIGMVVIRGNSVVTVEALEPVSRAQ >KVH94542 pep supercontig:CcrdV1:scaffold_805:32263:35937:-1 gene:Ccrd_003391 transcript:KVH94542 gene_biotype:protein_coding transcript_biotype:protein_coding description:4'-phosphopantetheinyl transferase superfamily MFPYSCKLCLKIKIHCVNQRMFCTSSLLSPLQLPSQMETHLWYILPNEVKSESLMKKYVDLLSPIEKEHVCSMRRDELQKNALLARALICSQVSPRSIEFKKNAHGKPEVCWQHSDDWHPPPLHFNLSHTSSLIACGITVGSPIGIDVEEKQRTMKNNILSFARRYFSREEVERLSAISDPEVQRQEVIKLWTLKEAYVKALGTGFAGAPFNTFTIRFGSANPNPNAKDSAMVIVPLENPSLLSTNWRFAQVELAGSHYAAICRENGGISEGAPMKLIVRKTVPLVEEHCVSEIDTVV >KVH94545 pep supercontig:CcrdV1:scaffold_805:40445:44311:-1 gene:Ccrd_003392 transcript:KVH94545 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding MTEILQSPLQISSSQSSLMSLDDNDGLLQQTHHQFPSSLINPCPNLYSVQVSVCNRSNTEERIGFRERRKRREREEEASLLDVVVTVFRKSLVDTCNSVKFEDFSSDNNSKSSKMEIGVPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASTSVFGVSTESMQLSFDSRGNSVPTILLMMQRRLYAQGGLQAEGIFRINGDNGQEEQIREQLNRGEVPENIDVHSLAGLIKVMQAQSEDECARLVRLLPPTEASLMNWAVNLMADVAQLEHLNKMNARNIAMVFAPNMTQTLIVKTLREREDPMVEPGPASRFDHFDENNEPHCSLTIDETNEVNMEDQDFSIKEPTLEKRYEDTFEDDLNSKHQSQTTMVEEGGARAAEGGTRVVEAAKSRNPQSSNSNHKKGLRKNVEKSKGSTIVSRLNSRSERIEAWR >KVH94537 pep supercontig:CcrdV1:scaffold_805:157760:166252:1 gene:Ccrd_003400 transcript:KVH94537 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S ferredoxin, iron-sulfur binding, conserved site-containing protein MMDAVSPVNREFTPDGDDEEIGVYLETLILQIDHVLNSNLCSLSSSILVISGKMKKDGIKECYFGGGEADDGYDAIVVGSGYGGSVAACRLSMAGIKCCLLEKGRKWEAQDFPTHTLRMLSSVRFENKSSGFGLGPNDALFQIHTEHDSLAATACGLGGGSLVNAGVMLPTPVRARRNPKWPREWESDWKACEASASSMLNIQSVPVKFSNAKIMEKLVGDEFNETSSLKLSINFDVEEQAAHLKKPKEVGSCMACGNCLAGCPYNAKNSTDKNYLFSAVEAGCTIKTDCQVQYVVKNPNNTNIHRKRARRWLVFLNETDYLTSDFVILSGGVFGTADILFRSQTRGLKLSGSLGEGFSCNGNNAAYLAGCTTPLGVSTYGWPTGFWFLHGLIDKLKHSFGIKSTQAMVLNAMGYDESDGKITFEKNIDKISFSPPNDPLLPCKINAFQKLTNKLGGILFMSRYRSTSVHLLGGCNAASDHFVGVCNSNGQVFDTKSPSSIHSGLYVCDASLIPCSIGVNPCLTVATASEHVSRGLVQDINSYMGLEGKCSIDEKDDKKPGSIGSWKLEGRSRCDVRFKEVMRGHIGGMPCVAHLKLKMNTKTSKDFDQKSLIVGKSHPLLRGIVGGYLEFNAVERDRLHIIDGEVDLCEVDIRTPYAQFMHYHLLLTASSGSRYILEGKKVMNPFLLGLYGWKESTTLQVTFKKVKKNSPSEEMVDLKGELHISTIALLGSLISMEGNNRMKFVWLLLQSLFRTYILQKPRGNYMGFAPPELLNRQWKIKKADSWRFEGEKSPYPVLLINGYSTESYRLPTEPTDLVRTLLDKGHETWLLQPSILPILETTKANPNQKLLKSIARFMPRYERCTCDECEVFSGIFGNTFWHNNITSTLHHWMNKKSLPRLPMAAFPHLRKICNEGFIVDSKGNSSYLIHPERMALPTLYISGGRTLLVTPKTSFLANKYMKLHHPSFRHERVVVEGFGHSDLLIGGESSKKVFPHILSHMGLAEKEENLEENGKEKKGFNGEDGFEDGKWVIGGWMSPSFTVWFLLFLLALGVMMKTNRP >KVH94543 pep supercontig:CcrdV1:scaffold_805:23099:31538:-1 gene:Ccrd_003390 transcript:KVH94543 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAMEALTFSTVASRHFSTTFPRKTTTHSSTPSSSSLSFRSNISSSSRELWGIVNSQRVITVRRERRGVIRAEMFGQLTSGLESAWNKLKGEEVLTKENIVEPMRDIRRALLEADVSLPVVRRFVQDVSEQAVGVGVIRGVRPDQQLVKIVSEELVKLMGGEVSELTFAKSGPTVILLAGLQGVGKTTVSAKLALYLKKQVDVPVYAAGTDVKPAEIARQGLQEAKKKKVDVVIMDTAGRLQIDKTMMEELKDVKRTLNPTEVLLVVDAMTGQEAAATEIPIFIFYHTDRGDIAALVTTFNVEIGITGAILTKLDGDSRGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEDLQKKIMSAKFDFNDFLKQTRAVARMGSMSRVLGMIPGMGKVTPAQIREAEKSLLIMEAMIEVMTPEEREKPELLAESPARRKREPTCCSAFSNACSNEEFDGCNGRRKRRSESRKQFAGSSGRPSVRGFGGGNGEN >KVH94534 pep supercontig:CcrdV1:scaffold_805:143490:149692:-1 gene:Ccrd_003399 transcript:KVH94534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNSISFKCYHLPSSIKGSNDSFSFDSSKSIIRFNFVNPNRRRISYENTISRSSVVCSSSDDAAASGSVPSGDNIPSNFCIIEGPETVQDFVKMQSKEIQDNIRSRRNKIFLLMEEVRRLRVQQRLKNIKLNESSSEDNEMPDIPSSIPFLPSVTPKTLKQLYLTSFSFISGIIVFGGLLAPIVDPIVASFSGGAVGVISTLMLLEANNVMQQEKKSGVCLNIEPISVSNASDRPLRAPTTRRCLSCSGAGKVMCPTCLCTGMVMASEHDHRIDPFD >KVH94538 pep supercontig:CcrdV1:scaffold_805:79029:79427:1 gene:Ccrd_003395 transcript:KVH94538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKMGSVKKLAKKVKVKVNSGTESSSSYHEYLLRDQDEACSSPSSSPSCTTPTGFLALYVGEERRRFVVPTGYLSHPLFKMLLDKASDEFGFEQKHGLVVPCSVAVFQQVVSAVECCNGKFDLSHLVQEFI >KVH94541 pep supercontig:CcrdV1:scaffold_805:87879:99355:1 gene:Ccrd_003396 transcript:KVH94541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MSGRHEKGVNVQVLLRCRPFSDDELRNNAPQVVTCNDYQREVAVSQNIAGKQIDRIFTFDKVFGPTAHQKDLYEQAVIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKVAGLLCCTLIFAVCFCTLLLLSGPNGELPSGAGVIPRAVKQIFDTLEHQNAEYSVKVTFLELYNEEITDLLAPEEISRVVEDKQKKLLPLMEDGKGGVLVRGLEEEIVTSASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEANPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKTTLIKDLYGEIERLKADQIEQMGVKIENQQKQFEELQTKYDARVRECSDLSYKLDSTQNNLNQTNKELASTQEELKKCRYAINERDFIISEQKKAENALAHQACVLRHDLERSLEDNAALFAKIAREDKLSAGNRSVVNKFESELTQDVTSLCNLVAASTSQQNEQLQCIEKFCHTFININDKAIIDIKKKVAASKVLYVSHIEALQNVVLLHKANSNASLEEVLSLVSSNSCFVEKRFNISVGHTTEISKFVHEFFDKLMKESKDLGTHAIQVDEIQTKSIDEFQKAYEENSKSDAEKLIAEVTSLVTNHICRQKEMVDARLASIKETAAGSKKVLDGHVSSFERVTSDAKRKWQDFSMQVESDATENADFAAAKHCRIDVDVTELAQKHSKKTHNSVTEMGRKHVTAVDSIIRSASDSNEHHDAEIVSLRANVEGDMLKNSEDISKHIDGTSEQEREAVSKILETTKSQAKSLKSLQEDHARQSSSIEQKAHDTFQQKYMDYEPSGNTPVRSETDVPSKVSIESLRAMAIESLVEEFRENHSFAVKESKISPTAQRSPLTQIN >KVH94536 pep supercontig:CcrdV1:scaffold_805:175698:175934:1 gene:Ccrd_003401 transcript:KVH94536 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD binding domain-containing protein MEKDGINECYDAIIVGSGYGGSVAACRLSMAGMKVCLVEKGRKWEPQDFPTHTFSFLSCVRFENKSFGFGLGPNDALFQ >KVH94546 pep supercontig:CcrdV1:scaffold_805:140806:143064:1 gene:Ccrd_003398 transcript:KVH94546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWLICYSSVDMVVSAAVLTWGMDAYTASKSLLSSGYLRCHHRCSGHCATEANIYCYGHSHASPQVGIDSYSWEELAVG >KVG05256 pep supercontig:CcrdV1:scaffold_8055:10159:10898:-1 gene:Ccrd_026580 transcript:KVG05256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MAGALVQATSFPSTVAGESMIRPKRSGNTKGEVKRMYNLQTPPMRTVIVMAYGQTGIGKTFTLGRLGDENTSALGIMVRAMEDILANICRDSDSVMISYLQLYMETIQDLLNPTNDNISIVEDPKTGDVSVPAATLVDIRSHQHFMELLRLGKAQRVTANTKFNTESSCSHAILMVHINSESSSSAFSSSSSV >KVI11212 pep supercontig:CcrdV1:scaffold_8056:1485:12218:1 gene:Ccrd_010380 transcript:KVI11212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MDQLMGKFGSYWLGNKANKELNSVGDDINSLQSSISGGATWLVNKMKGKMQKPLPELLKENDLPVGIFPRDATNYEFDEQTKKLTVFIPAICEVGYRDSSVLRFSTNVTGYLEKGKLTDIEGLKTKVMIWVKVTCVSSEGSKLHFTAGLKKTRSRQCYEVHRDGITVEKF >KVH93686 pep supercontig:CcrdV1:scaffold_806:50261:61550:-1 gene:Ccrd_004261 transcript:KVH93686 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH domain-containing protein MEEKGQQGKDRVSPASISALPLHGGFGNLGFLSIDYISCYHDVGLCYDLMWIELGGIGAQLKFGFEDTFVLVSDLAPPDPKFLDKLMRFSRTIANTIISLSCLLPCEGLVPFNYMDKPLSPKDERIVSPNPSPDAAITGPRRNISNQPEALNASGAQTPVNPSNIFTPPEQTYFYGASGYEDGSVNWGEYSNYVHANNLQIVPPAIYNDNASLLFHPAYGFDAQVAYGQFSPVASPLSPIMIDGQLFSPHQVPMSPSYYSQPASPGLPHVTSTLPTDLATPANSGQEALHDNVFLGPGSGYYLHYGTYGGGNPSGNNSLGFYKYPGDIGSGESVSNRSMSSDTGSYTSPITSGALYPAPVGILGSYEHVFGQIPQQQTAYGYGSVSGSSTRRYPQSGSFRGYNYGSFHGEASRLNRLVTDKGGKHRERDVISMISESHGTTSDRNRGPRASKPKENSACEQISPADGKNDLASRPDIELYNRPDFVTTYDNAKFFVVKSFSEDNVHKSIKYGVWASTPLGNRKLDAAYQEAKGAGGTCPVFLFFSVNASGQFCGVAEMVGPVDFVNDAEYWQQDRWSGQFRVKWHIIKDVPNSRFRHILVENNDNKPVTHSRDSQEVKLEVGIVMLKIFKDHDADMSILDDFSYYDEREKDLQEKRSKVTKSAPAAVNAITQLADKVAESLHVEEDKVVIELFPKQVYNSQQLRLLRFPSVARVKQQLRLLRFPSVECVKQQLRLLHFPFVARVKQQLRLLRFPSVARVKQQLRLLRFPSVARVKQQLRLLHFPSVECIKQQLRLLHFPSAVRXQTAATVLRFPSVECVKKQLRLLHFPSAVRVKRQLFECTKQQLRLLCFPPGIAVNRRPNEPVFPTARAASVLLVACSDSAACYCFESSVQTAATTSCCELFRPSVQTAARLLCCVMLESNQQPGCFFVDQEN >KVH93685 pep supercontig:CcrdV1:scaffold_806:29904:46132:1 gene:Ccrd_004260 transcript:KVH93685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAVSIDLVPLVTASHKLRLCRLPRVAASHELRLCRLPRVAASQSAVSISPPSYYSFLLLLVPPSASFRLLPVGDKSMDVLSIAVSPSVFGNFPTKISDCFSFPVRKRKKANNRRFLFDSANRFPNRFSLDPKIQAIHLDEVGADKPESITGLRLKDVDWPSPSDEIPFWKREFNTWDANSEVPVHIEKDSDLLHAIHVTAEMAPIAKVGGLGDVVTGLARACLLRGHKVEIMLPFYECIQKGNIQELSLMSTYNSYNDGNWIQTNAYRGIVSGIPVILIEPMNQFFKGDDVYRGSYNELEAYLFFSRACLEWMQVTGTQPDIIHVHEWQTGALPLLYWDMYHYLSLQKPRIVLTIHNMEHYGECRQEQLHKCGLDGSVYASIDKAIDDRTIGHNPERLSLLKGGIVYSNSVVTVSPTYLNETLCSGWLASALITNRDKYHGILNGIDTVMWNPACDVFLPANYHAQNTSGKKVCKQYLQKGLGLALEGNASNNHLSDRVPLVVCISRLVAQKGLHLIRHAINHIEEQGGQMIILGKAPDSRVEREFEALANLHNKGSTVRILLMYSEELSHMLYAAADMVVVPSIYEPCGLAQMIGMRYGSVPIVRKTGGLADTVFDMSDQSQPEMANGFVFEGIDEGSLCRALDRSFSYYREQPIEWENIVQKIMQIDNSWNKTAGKYIDVYNSIRVR >KVH93687 pep supercontig:CcrdV1:scaffold_806:116286:122027:1 gene:Ccrd_004262 transcript:KVH93687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MLEAPKFTGMMGLNNGHDNFIDLSQGFYHKLGEGSNMSIDSFNSLQMSNGGGSVAMSLDNSSVGSNESHTRILNHQGLKRNKNYTDAQSVNRGRVSQGLSDDALARALLDARFPTDGLENFDEWTIDLRKLSMGPAFAQGAFGKLYKGTYNGEDVAIKLLEKPENDIDRAQLMEQQFQQEVMMLARLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMEYVHALGLIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPTIPNDCLPVLGEIMVRCWDGNSDVRPPFTEVVRMLEHAETEIMTTVRKARFRCCISQPMTTD >KVI11271 pep supercontig:CcrdV1:scaffold_8065:13567:13938:-1 gene:Ccrd_010320 transcript:KVI11271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLGALQSAFIDTADGAINMYFGYDVLCSDVE >KVI11272 pep supercontig:CcrdV1:scaffold_8065:5051:9878:-1 gene:Ccrd_010319 transcript:KVI11272 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG domain-containing protein MWLRCKNPVHCLEAFGKYSKSRWILQTSGLSDTPFKKPKLAPLQERRMIDRRRLWAKGGDGGNGCFSFHRSRHDRRGRPDGGNGGRGGDVILECSSTVWDLSSLQHHIVRRPSLIVANKVDEVGAGEVYEELRRRVGGVKIFRVCAVLGEGVLELKDGLRMLVNGEDSNQLALDNIDVD >KVG04787 pep supercontig:CcrdV1:scaffold_8066:7783:8971:1 gene:Ccrd_026581 transcript:KVG04787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MDHKKREMALQNDEPSSDLFHSQAHIWNHIFSFINSMSLKCAVQLQIPDIIHHHGAPMLLSELVQALSVNKERSDFVHRLMRILVHSGFFLKQTISTTEGEEEKEVYLLAPASRLLLKGESISIRPFLLAVLDPILMDPWQHMSNWFQNNDDISPFQTTHGRSFWDFAGQEPKLNQLFNEAMASDAILVTDAILKHCRGAFEGLNSIVDVGGGTGTVAQAIAKAFPRLNCISFDLPHVVNGMEGSNNLRYVGGDMFEAIPKADAVFVKWILHDWSDEECRKILKRCKEAIPSKGNGGKLIIIDMVVKNHEVDSAESLQTQLFFDMLMMTLVTGKERTQEDWIKLLVDVGFSDCKFSPILGLRSLIEAYP >KVG04736 pep supercontig:CcrdV1:scaffold_8067:9478:10162:1 gene:Ccrd_026582 transcript:KVG04736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHLQYDLPPNLCYNKLRGFGFCVVLTLKKSFYDHYNYNNFPRFHADNCDGTSLVDDYRFIYGSNGIPKSDIIFFCFKNLAYYERKEAKNFVSFWVEGNDDVEVKECGFRLVFDEDIEEETNFSLMQELPTLTQEGGVVRMSRNDRHFYWSW >KVI03182 pep supercontig:CcrdV1:scaffold_8069:8766:13087:-1 gene:Ccrd_018523 transcript:KVI03182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACTFRDEFLGRWSEQEKGRIEVKYCIKYTSXXSVKRITCKKQTTLSNEATDAASQTKNDELLGRLINTLQQNSVASSIFDTLKDRLSFSSVS >KVH99945 pep supercontig:CcrdV1:scaffold_807:105764:109810:-1 gene:Ccrd_021828 transcript:KVH99945 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MAICPSLTSIDLIRFNSNPRTKQSGFASRSSSLKFSKIKAVQDSEGGRRRLIDIIRLVPDISRNYFKSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTRFYYSRPKVCRTV >KVH99929 pep supercontig:CcrdV1:scaffold_807:171232:172797:-1 gene:Ccrd_021832 transcript:KVH99929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSKRTQEKGLPPPKQESEGTXKDQRSSEIKYTYIRTMDTGGNNLHVVFIPFLAPGHMIPLVQIARLFAARGVRSTIITTVHNALTFRPSIDLDIAAGYPVDVHTINFPASEVGLPIGVENIGTATXXEAINAVFRGMMLLQTPMEQMIRELAPDCIFSDMFYPWTVDLADELKIPRLYFHPSNFLYQSVLHXLKVHAPHDKVKSESESFVVPDXPDKITMKRSQVSEHLKVKTRLGEIMEEIERSEKRSYGLVHNTFYEIEPAYVDHNNTFKGTKIWPIGPLFQFFKNDYHNGGVSEMHTSLSWLDNQKPKSVIYVCFGSSVRFPDDQITEIALALEESKQPFVWVVRKKGGEEGIDGMPEGFQARIEKENKGLILTGWAPQVEILQHRAIGGFVTHCGWNSVLEAMVAGVSLITWPLYADQFYNEKLVELLGIGVGVGADVWNSSVXISSPIVGKGSIIEAIGILTGGSAIAESIKSRSEAISVIAKQVVKPXGSSFNGLTTXIEELKLIKLASNRLP >KVH99927 pep supercontig:CcrdV1:scaffold_807:131333:139165:1 gene:Ccrd_021830 transcript:KVH99927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit, C-terminal MVVLAASITSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIESLYLLLVTNKQSNILEDLDTLRLLSKIPEFSASLDEEGVCKYAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEERLHKLVLQSKINETKDIERGKMDKGGFSSLQSMGSMGSIGRMDSGFGISSGNTFGSGSGFGLSSDVDSFSSKSKGRPSSAVSAPSKGMGMQLGKSQRANQFLESLKAEGEVIVEDVRPSANPSRASAPPPTDPITLTTEEKLNVTLKRDGGVSNFDVQGTLSLQILNQDDGLIQVQVESGGNPEIKFKTHPNINKELFSNENILGSKDPNRPFPAGQSGDGLGLLKWRLQSKDESDVPLTINCWPSVSGNETYVSIEYEASSMFDLQNVVISVPLPALREAPNVKQIDGEWRYDSRNSILEWSILIIDDSNRSGSMEFVVPPADSSAFFPISVRFSATSTFSGMKVASILPLKGGAAPKFSQRTQLVTESYQVV >KVH99935 pep supercontig:CcrdV1:scaffold_807:1960:4336:-1 gene:Ccrd_021815 transcript:KVH99935 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASESISQLHFLLIPLLAPGHTIPMIDMAKLLAQRPNVVVTIVTTPLNAIRYGPNLQQHINSGLPVRFLELPFPAAEAGLPEGCESADALPGLHLIPNFSAAVDMLQQRLEQRFESLDPRPSCILSDRFMLWTADTAIKYQIPRIIFDGMNCFKQLCTHHLYASKVLDGLPDSEPFVLPGLPDHIEMTKVQLPEEFNPGGLGTKEQRERVRETELSAYGLVINSFEELEQEYVNEFKKLKNGRVWCLGPLSLTNNKNLGKAVRGNSTSIDEQQIVNWLDSKEPGSVIYACFGSSSQVIPPQLIELGLGLEASNRPFIWVIRAGDRAKEIEEWIKETGFEERTNGRGLLIRDWAPQLLILSHPSVGGFLTHCGWNSILEGVCAGVPLITWPLFADQFLNEKLVVQVLGVGVAVGAERVVHWGMEDKFGVMVKSEQVSNAIRKMMDIGTEGNERKKKAKLLAKVANKAIEEGGSSYKNLTLLIQDIMELANSTVHHCCCLHRLQIQPKNKGQLKTL >KVH99934 pep supercontig:CcrdV1:scaffold_807:1383:4310:1 gene:Ccrd_021814 transcript:KVH99934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGHMIPMVDITRSLAHRGATVTIITTPTGSNPRLLELSKTKTSKSPLTEVGLPEGYESFDMLSSTALFLKFLAAAAILKEPSENMLRRQAVAMLKDYYIGTCCIKDYCCVEIQSMNLSDKIQLGRGSSDSNRCSSLCCNISTAAEKPGRASALSHPSGSPASAAGNGSSRKRTGSPELMCCWRLGPYRMALRGVVTMVTTTFGRCASSLAMSIMGMVWPGANKGIKRK >KVH99928 pep supercontig:CcrdV1:scaffold_807:156526:162178:1 gene:Ccrd_021831 transcript:KVH99928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein TauE like protein MIMGASASSFWYNLRVPHPCREVPILDYDLALLFQPMLMLGITLGVALSVVFPYWLITVLIIILFLGTSSRSFFRGIEMWKDETILKKEMAKPRETFVNSRGELLIDTYEPLLPREEKTPMQIFTFNLRWNKLMLLVVVWAAFLVLQIIKNDLVVCSTWYWVLTVAQFPATLAVFGYECVKLYKESKKRKSLGNTESVCEASIEWTVPHLVFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLDRFPIPYALYLMSVSILAGFWGQFIVRRIITFLKRASLIVFILSAVIFASALTMGVIGIERSIRMIHNHEFMGFLEFCSSK >KVH99931 pep supercontig:CcrdV1:scaffold_807:17510:21002:-1 gene:Ccrd_021819 transcript:KVH99931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MTTNKTICFWLSVITIALLSIILSFYTTNSAIPAFQLTFLKRVVDQTGIYDFFSFTAQISGLTRHGHHHHHHHPHHHHHHRRRKVSCDSTKWNSWLISEYGVLLVLTVDQKGCGNFSSLQSAVDANTAPPPSQGAVGAQAVALRIAGDQAAFYGCGFYGAQDTLHDDRGRHFFKECFIQGSIDFIFGNARSLYQDSTLNSIASDVPTGGGISGAITAQGRSSVNEKSGFSFLYCSIGGSGRVWLGRAWGAYATVVFIKTYMSEVVSADGWNDWRDPSRDQLWAF >KVH99938 pep supercontig:CcrdV1:scaffold_807:68394:73863:1 gene:Ccrd_021825 transcript:KVH99938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MPVKPGVVLLIVFLVAVTAVSVAYESRSEYSTRILTLARAFPANETVELEILKARDHVRHSRILQGFAGGVVDFNVFGTSDPYYGGLYFTKVKLGSPPREFNVQIDTGSDILWVSCSSCSGCPETSGFGFPLNFFDAPSSSTASMVSCSDSMCSSNIKTVDALCSDQGDQCGYEFQYADDSGTSGYYVTDLLYFDTVVDPSMITNSSASVTFGPHYNLDLQSISVGEQLLPIDGAVFATSDDQGTIVDTGTTLTYLVAQAFDPFVDAVSSRLTAIFPKVSLNFAGSASMILKPENYLVLGDPVKATNGVTVLGDLVLKDKIFVYDLSRKRIGWTDYDCSADVNVSIKSNKNEYVNAELNESISSSFTSLEALLMFILVASFWSLLW >KVH99943 pep supercontig:CcrdV1:scaffold_807:23287:34527:-1 gene:Ccrd_021820 transcript:KVH99943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MKRELAFALEARSQFACFYGRTRSSKSPSLQFSNTRRKNKVRSSIDLSENLIDEVHVSRRRKRAKTGIPDGDSINVDKSKGDDQSATVKVAKGEEESATVEGEEESPTAEVAKGVEKDTKLAQVYVKRKNRKKQVNDSTLKRFTRSAVKEKEENVEELGTPTRKKMELKMSKKIGLGRMPTNMRELLETGMLEGFRVFYDFDNEGRNLQGLIRGAGILCSCNLCEGTKVISPSQFEMHARKSYRHAIKHICLENGKSLLEVIEVCKASALETLEVAFQAVISSFPEKGPSMCTRCKGSIEPSSTGNLLICDSCVVQAGEKIAICGEVRTSSPVRKAFASTLIPISSNKKSRRGRKRKSSKADLKSSKSAASCKLLRNKIKVKILKRSSRSASLPRSIESATKCTSSQQKNRTKLTMKLVSNCNGIPSHSLHENNLFLGLLMLSRNQGMHWSVFEDGGLPDGTELTYKLLDGYKLGRGIYCFCCNTEFLCTSVAFTDFHVPNLGSYENIYISNGVSLHEYAVSLKKNRRCPVKFNDDLCRICGDGGNLLLCDGCPRSFHQECASELSIPRGKWYCKYCQHSLKFVGESVNAVAAGRVTGIDPIEQITKRCIRIVKNPENNDLVACVLCRCHDFSKHVFNDRTVIVCDQCEKEYHIGCLKEHNMADLKALPKGKWFCCMGCERIHSILKNLLTREPEMLPDALLALAREKQKDNGIDGIAKSEMRFMLLSGKNASRETRSLLAQTVDIFHECFDPIIDATTGRDFIPSMGYFQLLFTCIERLLSSLKIKKIVLPAAEEAKSIWTKKFGFQTIAPEQLGDMRQTCSAMMTFKGTSMLQKEVPEAENGVTDAGDGIFNLFVE >KVH99933 pep supercontig:CcrdV1:scaffold_807:10611:12092:-1 gene:Ccrd_021817 transcript:KVH99933 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASQLHFLLIPLLAPGHTIPMIDMAKLLAQRRNVXVTIVTTPLNAIRYGPYLQEPIKLGLQVGFLELPFPAAEVGLPEGCESGDAIHALDLVPKFSAAVDMLQQRLEQRFESLDPRPNCILSDKYMLWTADTAAKYQIPRIIFDGMSCFKQLCSHHLYESXVFDDLPELEPFVLPGLPDRIEITTLQLPPEFNHNHMAPRDQLKRVRETELSAYGMVINSFEELEQEYXNEFKKLKNGRVWCLGPLSLNNNNDLGKAVRGNSSSINEERILNWLDSKEPGSVIYACFGSSSQVIPPQLIELGLGLEASNHPFIWVIRAGDRAKEIEEWIKENGFEERTKDRGLLIRDWAPQLLILSHPSVGGFLTHCGWNSILEGVCAXVPLITWPLFAEQFLNEKLVVQVLGIGVGVGAQHVVHWGMEDRYGVTVKTEQILKAIQKVMDFGTEGNERRKKVKAIGMVAKKAVEPEGSSHKNLTLLIQDIMELXNVKSREPSS >KVH99930 pep supercontig:CcrdV1:scaffold_807:13482:16581:-1 gene:Ccrd_021818 transcript:KVH99930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MNTKRTLRFWLFATVAIALLSIILSFYTQNFGLHTGRSHRHRHHRRPREVTCDESKWKSPLISKYKVLLVLTVDHKGCGKFNSLQKAVNANTVPEAAGLQAVALRIAGDQAAFYGCGFYGFQDTLHDDAGRHYFKECFIQGSIDFVFGNGTGRGISGAITAQGRNSKNEKTGFSFVNCRIGGRGKVWLGRAWGLHATVVFSKTFMSEIVSPEGRREYGPSYLLDLTLLKSNLIGLIFFGQYECSGPGANLKYRVPYAKHLNLEQAAPYMNISFVDGKEWLAFS >KVH99939 pep supercontig:CcrdV1:scaffold_807:59424:65430:-1 gene:Ccrd_021824 transcript:KVH99939 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MADNDSTSTATATAAASPIPKSPEVRRWADEPDDVPEEPEAPSTSSDTATAELGSLSIDESKKVNNFLDDPEDSNIQAVTAGETPYTSAMRFEELNISPELLKGLYVEMKFERPSKIQSISLPMILTPPFKNLIAQAHNGSGKTTCFVLGMLSRVDPKLPYPQALCICPTRELAIQNMEVLLKMGKFTGITSELGLPADKANYRSTYKTPVTAQVIIGTPGTINKWIAIKRLATSNLKILVFDEADHMLAEGGFKEDSLRIMRDIVKSSSRCQVLLFSATFNDTVKAFVSKIVKDLFVQEYNQLFVKKEELSLDSVKQYKVKVPNEPSKIMVIKDKIMELGQKVGQTIIFVRTRRSAGMLHEELSKYGYEVTTIQGALNQEDRDKIVKEFKDGMTQVLISTDVLARGFDQSQVNLVVNFDLPIRHDFPSEPDYEVYLHRIGRAGRFGRKGAVFNLLCDNRDAMIMEKIERHFNHYVTEVPSWDSDEQFEDALKKAGLM >KVH99941 pep supercontig:CcrdV1:scaffold_807:44639:45520:-1 gene:Ccrd_021822 transcript:KVH99941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAMEEISSSTSQTLSTNSFFTPLLISIMGIGATALAILMYHLLVVRYCMRTHAARMASLQAFAGAGVPTGVDEKTLLNIPIITYIKTDSNSDQCECAVCLGDVENRDQVRLLPNCKHVFHVSCIDEWFVAHTSCPVCRVSVVAPDDEVRNCPICRSSVGASSDDRSVGSGPEQECDDQTDATIEVSDGDRNADGSVDESASGPRMVLRHCNSLVLPRETKGRLSGMELKRSLSMGESSCVTIDIHVDNNVGRDCSYYFSFRDEPVKRFQRVSSKVKQSISRMCVEQGSGILPY >KVH99940 pep supercontig:CcrdV1:scaffold_807:52136:55555:1 gene:Ccrd_021823 transcript:KVH99940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MQQLVQPWLEKLLSTEFFSVCRTHGDAARSERNMFCLDCNDEAFCFYCRSSRHKEHKVIQIRRSSYHDVVRVSEIEKVLDIGGVQTYVINSAKVLFLNERPQPKSGKGVSRICETCGRNLLDTFRFCSLGCKLVGIKRNGNASFMLEGVSSTTRGVMSSSTTRTRRRGMMMDEEEQHIYPATPPSSTRRRKGVPHRAPN >KVH99942 pep supercontig:CcrdV1:scaffold_807:35164:42042:-1 gene:Ccrd_021821 transcript:KVH99942 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MPSVLSSLSTTTLHHNRHRFSSVPSNSPIQFTNHRSLTIKSSIENKPKSKPGSIAKNGSWVSPDWLTALTRSLSTTQSDDSNIPIANAKLEDVSDLLGGALFLPLFKWMNEYGPIYRLAAGPRNFVIVSDPLIAKHVLRNYGTKYAKGLVAEVSEFLFGSGFAIAEGSLWTARRRAVVPSLHKKYLSVIVDRVFCKCSQRFVDKLKSLALNGTSVNMEEQFSQLTLDVIGLAVFNYNFDSLTTDSPVIESVYTALKEAEARSTDLLPYWKASSYSYHIKALCKIIPRQIKAEQAVTVIRETVEELILKCKEIVEKEGERINEEDYVNDADPSILRFLLASREEDPSSLMKAQEEVDRVLQGRRPTYEDIKNLKFLTRCINESMRLYPHPPVLIRRAQVADELPGNYKVNPGQDIMISVYNIHHSSEVWERAEEFIPERFGLDGPVPNETNTDYKFIPFSGGPRKCVGDQFAMLEAIVALAIFLQNMDFELVPNQKINMTTGATIHTTNGLYMKIRERQINSTTMSSM >KVH99936 pep supercontig:CcrdV1:scaffold_807:91351:104590:1 gene:Ccrd_021827 transcript:KVH99936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine dehydratase [Source:Projected from Arabidopsis thaliana (AT3G10050) UniProtKB/TrEMBL;Acc:A0A178VHF4] MAAISNLPPLPLLRAKTRLQPPVIFSVTAMSRPAANSYSPKATLGVVAAPAPPPPQTLLEFSPDSLQYEQGMVGAVPDGGVSESSVGPMEYLTGILTSKVYDVAVESPLQHATKLSARLGVDVWLKREDAQPVFSFKLRGAYNMMAKLPKEQLANGVICSSAGNHAQGVALAAKKLDCHAVIVMPVTTPRIKWESVKRLGAMVVLEGDSYDEAQAYAKTRGVKENRTFIPPFDHPHVIAGQGTIGMEIVRQLQAPIHAIFVPVGGGGLIAGIAAYVKRVSPQVRIIGVEPADANAMALSLHHGQRVMLDQVGRFADGVAVKEVGVETFRLCRELIDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCNYYKLKDANVVVITSGANMNFERLRLVTELANIGRQKEAVLTTFMPEQPGTIKLFCKLVGPMNITEFKYRCNSDRKQALCSVGIHTKLELEAMMERMKSYQLETIEFTNNDMVKDHLRHLMGGRSNVENELLCRFIFPERPGALLKFLDTFSPRWNISLFHYRAQGEMGANVLVGIQVLPAEMNEFRCSANDLGYNYEIECDNEGFQLLMR >KVH99944 pep supercontig:CcrdV1:scaffold_807:123394:125174:1 gene:Ccrd_021829 transcript:KVH99944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein LFVSTNQTVGFSVDTRINRKYTSLDKLEARLGRVRAVIKEAESGNQTHDADYVPYGPMYWNAAAFHRSYLEMEKQFKVFVYEEGEPPIFHNGPCKNIYAMEGNFIYHMETTKFRTKTPEKAHVFFLPMSAAMMVRFIFERDPNVDHWRPMKQTVKDYVDLVAGKYPFWNRSLGADHFTVACHDWGPELSKAVPYLFKNSIRALCNANTSEGFNPSKDVSIPEILLPDGTTRGILNGPSPRNRPVLAFFAGGVHGPIRPILLEHWQDKDPDVQVHKYLPKGVSYMGMIRQSKYCISPSGYEVASPRMVEALYTGCVPVLIKDHYVAPFSDVLNWKSFAVILAVEDIPNLKNILTKISTRQYLRMQRRGKQVRRHFEVNFPPKRYDVFHMILHSVWLRRLNIQIQGVSDS >KVH99937 pep supercontig:CcrdV1:scaffold_807:78466:83174:1 gene:Ccrd_021826 transcript:KVH99937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAAPLPPPTPTTVTPPPASLYVGDLHPQIDDLQLSNAFSEYKSLSSVRVCRDSSTARSLCYGYVNFLSSQDAIHAIESKNNTMLYGKMIRVTWSHRDPDVRRSGIGNVFVKECANAAIEKLNGTNVGGKQIYVGKFMKKSDRVLPSPDAKYTNLYIKNLDLDMTEDILEKSFAKFGKIVSLVIARDDSGVSRGFGFVNFENPEDARKAAEDMNGLNLGSMALYVARAQKKAEREQILRRQFEDIRKEQILKYQGSNVYVKNIDDDVTEDELQEHFSQCGTITSAKLMCDDKGVSKGFGFVCFSAPDEAIKAVNTFHGYMFHRKPLYVSIAQRKEERKAQLQIQYAQRMVGLVGPSTVIPGDYPPLYYTTPSGIISQVPARPGVMYQPMGIRPGWRANGFAPPTRPVFQPSPIPFIPNGPRPHRKNRGRMNGQMQQPPYMPHLQQPSQTSKDSFSNPQWAGQAKHAPNGRGRDINKGIGSSSGASNSGGSVGEGSEMLSSMLAAASQEIQKQILGECLYPLVNQHKMDNSELLLLLESPESLAAKVEEAVQVLKLSKTKVSTTQDSLHPNILSAGVAVN >KVH99932 pep supercontig:CcrdV1:scaffold_807:6012:10543:1 gene:Ccrd_021816 transcript:KVH99932 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MVDIAKILAQRGATVTIITTPVNANRFKSGIHRAIESNLKIQVLELELALAEVGLPEGCENFDLLPTSAHAVNMFLAMNLLEEPAEKVFRSLCPPPSCIISDGGFPWTSDLAERFNIPRLVFYGPGCFAFLCIHIVTNTSILDEIDSNSEYFVLPGLPDHIEVTKPQASTWGRGDTKETTEMFDRMQEAEKTSFGIVVNSFEELEPKYVEEFAKAKGKKVWCIGPVSMCNKSVQDIADRGNKAAINEHDCKKWLDAKEPQSVVYVCLGSLADSSTEQAIELGLGLELSNVPFIWFIRHTSDEFERWLVEEGYEERIKDRGLMVRGWAPQILILSHXAIGGFVTHCGWNSTLEGISAGIPMVTWPHFAEQFLNERFIVDVLKIGVKIGAEVPSIFALRDQFKPELTIKREDIKXAVEGLMSDEEEGXTRRKRAKEFGEMAKRAMEEGGSSRVNMTSMIQAITTEVTNRTKPIPDIVTTKTISSKPSMASSSLHFVLFPLMQQGHMIPMVDIARILAHRHLTVTIITTPVNSNRFRPAIDRAIQSNLNIQILELQLPLSKVGLPEGFENFDLLPSADLHIKLFAAMDMLEEPTENLLRRLTPPPSCIISDNLFPWTTDVARRLGIPRLVFHGPGCFTYLCLHIVMNTGVLDEIVSDSDMFVVPGIFDEIKVTKSQAGSWGKRETKEMVDMFRRMDEAEKGADGIVVWCIGPVSLRNQSLLDIAERGNKAGINEHDCLKWLNKKDPGSVIFVCLGSMSSVSTEQLFELGLGLESSNVPFIWCIRHTTEESERWLSGYEXRVKDRGLIVRGWAPQALILXHKAIGGFVTHCGWNSTLEGISAGIPMVTWPQXADQFLNERFIXDVLKIGVSIGNEEVVAVGDQDKFGVLVKKEDIKSSIGSIMDKEDEGEARRKKARELREMAKRAMEEAGSSHFNTTAMIEDIIERLGKLKXFKMLSRLVV >KVI01411 pep supercontig:CcrdV1:scaffold_8071:1234:8787:1 gene:Ccrd_020318 transcript:KVI01411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPATLSSKMTIHVQVMIHTLDDSKMITPLNEDTNQVVELEKRKHKLKCLVQSPNSFFMLFIVPC >KVI06688 pep supercontig:CcrdV1:scaffold_8075:4760:6124:-1 gene:Ccrd_014957 transcript:KVI06688 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MASSNGGVPPGFRFHPTDEELLHYYLKKKISFEKFDMDVIREVDLNKIEPWDLQERCKIGSTPENEWYFFSHKDKKYPTGSRTNRATNAGFWKATGRDKCIRNTFKKIGMRKTLVFYCGRAPHGQKTDWIMHEYRLEDVEDHHDPLNPIPISTSEDGWVICRVFKKRNLFKIGGNEASGGSGIGSERHNTTTNQPRSFPYGQDHHQYLPYHQPQSFDLGLNYTHQYPHLVPTHKPLGYGFLNLPSEDSPIVVKQLMSNPRECDSGSCENQLDIGYHACEPGLEVDTCEPAQSMVNANLRQDQTLNEWGMIDRLVTSHLGQPNEDVNLTKGALRYRNDATPSSSSMQEINHLSLRGEDFWGYEK >KVG04300 pep supercontig:CcrdV1:scaffold_8089:5570:9901:1 gene:Ccrd_026583 transcript:KVG04300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLLQGFQPFKKQPTSCLLSNKSSPSPEQEPLRAFHHSITGFEMVADKGKKPEIGEKIVEDNTEQIMSLFYPLQSCRRSKMNLKRYKWVILLHISQRNSKLFVCVIHHQVEGIEEILKPSRSESDGSSIELPAKGEENKSRNCASNNKQDDWSWSKEAIGEVNELKTLIVSSTRKKASTTSCCNVGSQLNECEEIAKNDCLVEHKTKCIPNIL >KVI06765 pep supercontig:CcrdV1:scaffold_809:17811:18518:-1 gene:Ccrd_014878 transcript:KVI06765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSFLASSSVPLPFHDSSSAPSSQLVSGVLGGKNARIHIPDELDDVVDDEEDEAWKEWGQKKKLTEEKFDPPPVEV >KVI06766 pep supercontig:CcrdV1:scaffold_809:34983:35996:1 gene:Ccrd_014879 transcript:KVI06766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEFHRHTEDDDEEEEEDDEETGIQRTSGRMNGGIAGFRYLECLKNHAVGIGKQAVDGCREFMAAGGEGTLEALKCAACNCHRNFHRKEPEHPQASFQHHQIPPPATYYYHHRPVGYLHVTTPSSSHPHRPPLALPSTSRDNLEEISNPNSEGSKKRFRTKFTESQKERMLSFAEGLGWRIQKEEEAAVRQFCEEIGIKRKVLKVWMHNNKHTLDSTDRNVINAEREREDDDDDDDEDEDDDEELGRRVRHANLPRFTSVT >KVI06764 pep supercontig:CcrdV1:scaffold_809:380:3710:1 gene:Ccrd_014877 transcript:KVI06764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKHKVLPATTFTSLQTLFAQEDDSGNFAPQDAIHRSLYSNHPCINLQSTALVLTLQSIAPSSSIFNLQSSTICPRRRLPILFRVLPPSSSSSIFNLPDFDGEQYGNDVHLLGGDSSIKRVKVEQEVESHAIGGPQSVDQRTDDVEQHMDAIFKIFTKISSMVQNSNSFSLKYICFMLKFIIAGSTSSNLSCLISFL >KVI06768 pep supercontig:CcrdV1:scaffold_809:96935:100022:-1 gene:Ccrd_014880 transcript:KVI06768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MAPSLDCLVSSLLCAEDNDSICYDDDDGDDDDGDVLWDHINHQNANQNQRFVNLLETEHSLLDFPLQTDECLNLLIEKECEQFVGFFDYLSKLKNGDLDLVARQEAVDWITKVHAHFKFGPLSVYLSINYLDRFLAVYELPAKAWMMQLLAVACLSLAAKMEETEVPLILDLQVGGSRFVFEARTIQKMELLVLTTLKWRMQAVTPFSFIDDFLGKVNDGQPTSKSLILRSTQLILCTIKEIQFLEFRPSEIAAAVATYVVGSTQISSLVQKDRVLKCVELLKVGCTMSLMSDTLTSSSMPKSPNGVLEAACLSYKTDDSDSAVGSCVNSAKRRRLNNSPFD >KVI06767 pep supercontig:CcrdV1:scaffold_809:168379:168826:1 gene:Ccrd_014881 transcript:KVI06767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIHIAYLGFFNIVANRRGFNHNHSAYAVTLHKLARSKKFEDVDSVLHQMMYETCKFHDMMTQFSKYSLHKRFIEMFNAITNLITHSTLMEGRLEEEINHFEEMVSKDKILLDALTYNILINIFRCGGKVDRVVKTMYFDRC >KVI11589 pep supercontig:CcrdV1:scaffold_8092:2255:12573:1 gene:Ccrd_009999 transcript:KVI11589 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRC domain-containing protein MEKGEGGGDLNFPPKKTQTEATAAAVPTTATDFPAAKKLARQLDFNSVGGSASVTATTVVLPEHPQRLVVAQMQQPPPTLSQPKLPPPQQQPPQPHPIPLMPSMQPSHSATTHQQPPIRPVKSESPKARPRQNVEIKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCHNNVENEPARRDAVETTLERNPNAFRPKIASSPHGNRDNREEAGEVVILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDSANNIAYLQQAANAAITGAIGSSGYGSPPVSRKRKAQELFFGGPLEKDSSVHRLAPFQQTNHIKTSAPSSSLSPIPGPRVANVAPVGPSKFTYRSLLADLIRPDDMKELCSVLVVYSNEAARMLADDQRENSDASALKDRIQSQKEPDTEKVVADEPSGGAQTDKMSPDESSSDGADASKGRPMSPGTLALMCDEQDTVFTTSTSNGLGADGADAPSHLPNGQVVTETYAVQEKMKHNALHWPEVIPEVKVKWKWSKATPSCTRVKPQVNASHSAMGSR >KVH93192 pep supercontig:CcrdV1:scaffold_81:112137:116167:1 gene:Ccrd_004758 transcript:KVH93192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLTTTEHVRNSSRRQMLTYSYLLIYIALSSGQIFFNKWVLSSKEINFPFPLGLTLLHMVFSSVLCFLLKVTKLLDVEQGMTFEIYTTSVFPIGAMFAVTLWLGNTAYLYISISFAQMLKAIMPVAVFILGVAAGLEAMSCSMLLIMSVISFGVLVASYGEIDINWVGVVYQMGGVVGEALRLIFMEILVKRKGCCLLVCSMDLPGDAKNGVMELSSFRSYAQFPLYLRSQSLGFPCHTTYKRLKKEACEGSPTGSKLSPTGRTASSPTSASV >KVH93201 pep supercontig:CcrdV1:scaffold_81:330380:330901:1 gene:Ccrd_004777 transcript:KVH93201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIHENEDQVFHNDDDDDNDNGRFCINDNLDLLIEVLQRVDGRSLGSAACVCRQWCSIVRNDSLWEHLCFRHVSPPPVGVRPVVSALGGYRRLYMVCVRPVLSRLKRRRIGGGGGESEVVRRVWNQHEVELSLSLFCVEYYERLLVGGGRGAAAAGDSPASSLKFLCKPVNV >KVH93158 pep supercontig:CcrdV1:scaffold_81:479506:485392:-1 gene:Ccrd_004798 transcript:KVH93158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing domain-containing protein MSDLDDIDLDPEDFLSSIPLKRVPYGDVFEASRAGDVGRLRYLLESGVNVNARDQWDSVALYYACLAGHLDAARMLLENGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQGALRETFLGSMANRGYVEQFDGQFQFTGSSPSYFPPDFVFYLHGRPIEAHRVILSARSPYLKKKFQTDWRGRKEIRFSKEKLSYPAFYSLIHFFYSDRLEIAVDDMEDLVRICKVCKCESLQKVIEKEVSHQKYADYKALQDIDNSQRRFILQGSSLPEDERLPAALSRLLQISLGNSTKERNLDDLVSRVASVKISEFEDDLADVSIKVDDKIFRCHQVILASRSEYFKTRLSRMEDFLEGKDDVDPDQAEEMFDAASRYLLFPLKRAVADALLPHLEMVPPAELCHWLILSDIAILLTLPPPSGDSSLRTTAPSAPGAEIKMTEGNILDDLREKWLEVEAGELDKRDESALLFDKRLEMLMLVAEQEQSVAAYDNL >KVH93166 pep supercontig:CcrdV1:scaffold_81:212683:217551:-1 gene:Ccrd_004766 transcript:KVH93166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MRASSATLLFSFLLFSSLLHRPTFATKRSYVIYLGLHSHSSQVSTTDFNRVTDSHYEFLGSCLGSKDKAKDAIFYSYTRHINGFAAILEDEEAAQIARHSKVVSVFLNRGRKLHTTRSWDFMGLEDNGVIPSGSIWNKARFGENTIIGNLDTGVWPESKSFSDEGMGPIPSKWKGVCQNGADASFHCNRSGYASVVGPLNSSFHSPRDHEGHGSHTLSTAGGNFVSDASVFGYGNGTAKGGSPHARVVAYKVCFPPVNGNECFDADILAAFDMAIQDGVDVLSVSLGGDAVPFFNDSVAIGSFHAVKQGIVVVCSAGNSGPDDGTVSNVAAWQITVGASTMDRQFPSYAVLGNKMRFQVSSIPFSSQDLNAINKMHSGESLSAKALPRNKFFPIISSLEAKAAKAQAKDAQLCKAGSLDPNKAKGKILVCLRGENARVDKGQEAALAGAVGMVLANNDLSGNEIIADPHVFPATHITYTDGLATKPAPFMAAFSSKGPNIITPEILKPDITAPGVSIIAAYTESQGPTNQDFDTRRVQYNCVSGTSMSCPHVAGIVGLLKTLHPNWSPAAIRSAIMTTARTRDNAVEPITNASHTKATPFSYGAGHVQPNRAMDPGLVYDLTTNSYLDLMCALGYTKSQIEKFSETPYSCPSKNISLTDFNYPSITVPNLNGSITVTRTVRNVGSPATYKVRVFKPAGISVQVQPKKLKFKKSGEEKRFKVSLKSKKGRGGGQYVFGQLVWSDGKHYVRSSIVVKTI >KVH93186 pep supercontig:CcrdV1:scaffold_81:306612:308168:1 gene:Ccrd_004775 transcript:KVH93186 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-AMP-activated protein kinase, beta subunit, interaction domain-containing protein MGNVNGRKANSEELDQDEQGHVQAQVSSSHHAHMVNPSSHMCTPQVPVDPCQNPNQIMQMEKIGSNEPSTQYRTAVIRWIHDGTRVAIEGSWDYWKTRFLTVQILGSLYVIREFLDGSSGSEFSIVKMLSVGVHLYRYNVDGQWTYAPELPHECDEMGNIFNVLNLKNNFQEPECPSSPISSYSNPAFTLDDLNEKLPELPPLLQQMPLNQAAASTNHLEEPLSVNLNHLYCQRGDRSQPTLALSSSLRFRSKFVTAVLYKPLKKVGN >KVH93172 pep supercontig:CcrdV1:scaffold_81:530470:531652:1 gene:Ccrd_004803 transcript:KVH93172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MAKIPMRFKRITEAFDDDARARLCQSSGSEHSANSVTDLSDLVDSFFEGDAGGRGKSCGENKVRDRDQEETKYQEAESFYGTDSETKEMLIDLIDDDAENEDDRSKCAIRSEVETVCRNLENSSSEGFKRHLMTLLRQRGFDAGLCKSRWEKTGRHPAGEYEYIDVLNSGKRYIVEVSFGGHFTIARPTKTYKSLLEVIPNIAVIKPNKLKQVVRLMCAAMRTSLKTRDMEVSPWRKNGYMQTKWFGSYKRTTNALPTRSTAVSAYGNGEFNGRSFKNFECFPAAVDGKNDCRKEVERMHVVGNLKALMFSGM >KVH93202 pep supercontig:CcrdV1:scaffold_81:301320:304227:-1 gene:Ccrd_004774 transcript:KVH93202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFLSRFRVKPKKEIQEKIENNGGKKVVKATDMGLPESYSENEVIGRFSPTSEEDSDFDSRSPDCSDEEGLIEIELPTGNYVHQKREEEKELCFQQFLPDISPASIYRWSEMNEEENLIEIDISMGSIKCTRF >KVH93167 pep supercontig:CcrdV1:scaffold_81:257443:258009:-1 gene:Ccrd_004769 transcript:KVH93167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MILKLFGSRNINVPQNPNPNPGFNGVAVGEKPLILQIIHAGGKIERYYMAFPAAWIMDKYPNFVLARPEIFRRPWDSVVRPEEMLVPGQKYFVVPIRTVKKLHRRIWKPSIEITNALVCQDKDTASSKPKPGNRCVRFRGIESKTRNDLGVAEDNVKKSLNGKGLRKKKNVRFSPSLTMIDETQDFQD >KVH93176 pep supercontig:CcrdV1:scaffold_81:394011:400654:-1 gene:Ccrd_004786 transcript:KVH93176 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MMDKPSDTLELTNDTDSRNQTSLSNRERNSESIIVKELHDMHQRQSPDGGIHVSHSNQERSSTSVILDKESDSQNYRQDLHTGCAVSESDQEGSALPVVPKKVSNTSQQTPPGAGVPASKPDQENAIVVLRPEKGLDKLPLRRNADSVSHASHSDQGISFSKLPEKPTGDGYNWRKYGQKLVKGNTFVRSYYKCTSANCPARKQVERSHDGHITEINYLWKHEHPKPNTLQKGSGFVLPVQSKGSDDPSLTTSEDHSSVHPATSHDPEASETDTLQLSVVPPSENSVEVAASQSNEIKNEVNNDISSDSKRQKRENSSINEGVSTKTNCEPRVVVQITSAVDIVNDGYRSYYRCSSAGCPAKKHVERASHDEKVVITTYEGRHDHDMPAGGRAATQNIPGTATGTTTSMDNNDGSRPQPEAQESNGMEMVLNVSAT >KVH93179 pep supercontig:CcrdV1:scaffold_81:354384:360970:-1 gene:Ccrd_004783 transcript:KVH93179 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHASE-like protein MSFPAVLEFSRPLLKIYKWVFLIMSLNCKISGLNGRFLTSSKMRKAKEPLRVSNGGWKRKRLLLFTWVFLFVTGFGLFLFSGVLRKKMEIKETCEDKSLVLVEQLNVSKDLLHELASSFFESNQVLPLNLLGFTITTLKCTKQSRYETSTKHEITCALKVPNLENHGVDEHDSYPAENVELWGQCSVQDDNTPVVHNWTTCKKALQTMILRKLAILKVCLLALFVIAVCCQIRCLWKNREGNMQKKEQPSKSAGKWMKKLLVLFMLAGVIASMWLFWYLNEGIHFRRKETLANMCDERARMLQDQFNVSMNHVHALAILVSTFYHGKEPPAIDQKKMLPQLLELSKLTNFLVFIQKTFGEYTERTSFERPLTSGVAYALRVRHTDREKFEKEHGWTIKKMETEDQTLAQDCDPENLDPSPIQDEYAPEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNAHFHQDATPEKRINATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNVSAAINMYGPNETDTGLLHISSLDFGDPARKHEMRCRFKQRSPTPWTAIVASGGVLIITFLLGHIFYAAISRIATVERDCREMMELKHRAEAADVAKSQFLATVSHEIRTPMNGVLAVMSLGLFYDKKISISGMLQMLMDTTLDAKQLDFAQTAHASGKDLIRLINEVLDQAKIESERLELEAVPFDLRTILDNVLSLFSTKSQEKGIELAVYVSNQLPEAVVGDPGRFMQIIMNLVANSLKFTHDRGHIFVSVHLADEVTRDSDMKDDVLRKILASGSNSNIVCNTLSGIPVVDRKKSWENFGGKDSMNEHEKIKILVTVEDTGVGIPINAQSRIFKPFMQADSSTSRTYGGTGIGLSISKRLVGLMKGEIGFVSEPGTGSTFSFTAVFMKKETCSLDTILQPYHPAISEFRGQKALVIDWKIIRAEVTKYHLQRMGISVEITSKDWDKEIGLAFLRNLKELRPTSSPKLFLLAHSMSPTIHDELKSANLVANVVAKPLTLSVLISSFQETLTVRNKSLVARRKPSTLGTLLRDKRILVVDDNVVNRRVADGALKKYGAIVTCVDSGKAALERLKPPHHFHACFMDLQMPEMDGFEATRQIRRLESKVNEKIESGEASIKMFANVGHWHTPILAMTADVTQATNEGCMQCGMDGYVSKPFEEEQLYSAAACFFESG >KVH93153 pep supercontig:CcrdV1:scaffold_81:467721:469104:1 gene:Ccrd_004796 transcript:KVH93153 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MGSLDRCSVEFSDQILTMDSGKIFICLTTLLFAYTQAATPAHSPPIILTPTPAPAPAPTYVNLTDLLSVAGPFSTFLKYLESTKVIETLQNQANNTDEGITLFVPKDKAFSSLKKQSLSNLTADQLKQLCLFHALPHYYSLSDFKNLSDAGPMNTLAGGSYTLNFTDISGTVRIGSGWTNTEVSSSVHSTDPVAIYQVNKVLLPEAIFGTDIPPPAPAPAPVPDIAPVADAPDADGGKGSGSAAKASSPSSSHRILGWRWLMMVVVSGGFVVTL >KVH93160 pep supercontig:CcrdV1:scaffold_81:205746:210996:1 gene:Ccrd_004765 transcript:KVH93160 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MGVFWMAILVGFVGFVFGLILNHFLPLLFLKDKKNGCLPRGSFGYMPLLGETLAFLNPHPSNTIGSFLQDHCSRYGKVFKSHLFFSPTIVSCDQELNYFILQNEDKLFECSYPKPIHGVLGKISMLAVVGDTHKRLRNVALSLVSTTKSKPDFLSYIEKTTLQILDSWKDKQQVIFCQEARKFTFNVIVKQVLGLTPEEPQTARILEDFRTFMRGLISFPLYIPGTPYANAVKARIRISSSVKQIIKERRRNNNNNNNNNIFNNKNEDQKRGSDFLEILLGVDTLSEDEKVEHENIRSKKKKDEALNWEDYKMMGFTQNVMNEALRYGNVVKFVHRKALTDIKFKDYLIPAGWKVLPVLSTVHLDPSIHSSPLEFHPWRWETQRQDQTGKKFTPWRIEDDDQPIAYPYVEFQRGLVLNVDHFTP >KVH93156 pep supercontig:CcrdV1:scaffold_81:437575:437796:1 gene:Ccrd_004792 transcript:KVH93156 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase subunit I MPTMIGGSGAPGMTFPRLNNISFWLLPSSLLLLLSSALIEVGSDTGWTSTRGSDARCVSRICEP >KVH93197 pep supercontig:CcrdV1:scaffold_81:297318:297881:1 gene:Ccrd_004773 transcript:KVH93197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MADSDNESGGHNAGGDLSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLAKYREMEGEKTTMGRPGEKEGGGDATAGGGGGYNGMYGGYQQMYNSGQYHQTGMGVGSPTGSLGRSGSFGRGGGAPSLKPGR >KVH93161 pep supercontig:CcrdV1:scaffold_81:180737:184268:-1 gene:Ccrd_004764 transcript:KVH93161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MGNADNLEIIGSNSHAEGWVFFLSLLGIIPLAERLGWATEQLAFYTGPTVGGLLNATFGNATELIISMYAMKHGMLRVVQQSLLGSILSNMLLVLGCAFLCGGIVHPNKEQVFNKSNAVMSSGLLLMAVMGLLFPAVLHFTHTELHYGKSELALSRFSSCIMLIAYGAYLYFQLTSQKNSYSPITEVGYGDGIYTLNRANLSFFFSLSVLVETLLHEASLDDGSSDDEESPAISKCESIIWLSILTLIISVLSEYLVNTIEGASLALNIPLAFISVILLPIVGNAAEHASAIMFAVKDKLDISLGVAIGSSTQISMFGIPFCVVVAWIIGRPLDLNFQLFETATLFMTVLVVAFMLQEGTSNYFKGLMLLFCYLIVGASFYVHLDPESIQDKP >KVH93187 pep supercontig:CcrdV1:scaffold_81:15294:17932:-1 gene:Ccrd_004752 transcript:KVH93187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGNKLGRKRQLVDEKYTRPQGLYQHMDVDHKKLRKLILDSKLAPCYPGEDDDSICDLEECPICFLYYPSLNRSTCCMKGICTECFLQMKTPNSARPTQCPFCKTFNYAVEYRGVKTKEEMGLEQIEEQRVIAAKIRMRRREIQDDEERMLRRQEMSSSSSIIDPNEVGNISVIGTGTIMNITSFWFFVTTYLSAVPCSSEGEEIVSTQQLGGSAITHPSGSTQNRDDEFDLENIMLMEAIWLSFQEDDKRHRSYGDATQLAKYAAEHRISAAMSPRAESTSSSSPSGGLACTIARQQVGGESSSNSRFSGREEGSEMVESGGYDDWSTVDDGGGRTTGAYPLHDDMAMEMESFEEQMMVAMAVSLSEARARTASPEIEWR >KVH93181 pep supercontig:CcrdV1:scaffold_81:351996:353225:1 gene:Ccrd_004781 transcript:KVH93181 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated domain, type 1 MDDSDPQQSRNKKIKLTHDHHQDGTLIDNHSSGFQILPRDVLLDVLTRLPISSLIQFRFVSHSCHALSHDPELPRLHHPTAEQADPILLFHCDYPIRNQLYFVGYDGGDKIVRKISTPFSVSMPEFNVVGSCNGLLCLSDSLYGEPVYVFNPFTRNHLELPKSQQFQDQEVVFGFGFHPVTNQYKVVRIVYYRTPRRLNRSNRSYPKSEVYVLTIGEPKSNGWRCLGKMPYHLDRQAKEVVVVNGRLHWVSRLGRLGGLPGRTIVSFDLKDEQFKLISKPVNRSNYHLTVIGGCLAAAVSCGYGKLEIWVMEKYDVKESWTKHFDIHGAYLAKIPSNDYGLWRKPMHEKMVRVLCVLKNGEILIEHRGGSLVKYDPKWKEFKDVVFHGMPKLFQTIVHVGSLNWTHTPK >KVH93180 pep supercontig:CcrdV1:scaffold_81:353540:354319:-1 gene:Ccrd_004782 transcript:KVH93180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSLGTSSITIDAGSGGTALPTRSTYGPWSSMVGAPATMGMESAYEPSFTASPWLKNSLRWPNLDRVHDSEIYTITRHKFLFEDSETSPYSE >KVH93152 pep supercontig:CcrdV1:scaffold_81:452181:452501:1 gene:Ccrd_004795 transcript:KVH93152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRILAVMRQNIENMKKSPKVADENMFGEGNGTEFPIIAHHTRQGRSGLSLIHSIVRAPLSLVSCLSSHPHINGATDGVWVSGELTRISEVNHLMVSDSMRYAILM >KVH93155 pep supercontig:CcrdV1:scaffold_81:426668:428358:1 gene:Ccrd_004790 transcript:KVH93155 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MAATFHPIFWISLSILISNVPVSYAQNCNTYTFSNNNVYATCVTLPLLNSQLHWNYHPTNATVDVAFRHTEVSTSQWVAWALNLQGSGMVGAQALVALINSNGSVQAYTSSVSGYGTGMQPTRLSFDVPRISAVMVNGDVVIYATLVLGGGRTSFNQVWQVGPVSDGAPAIHQMGLDNRNSVGTVDFVSGQASADGGKVGGSRPRRRNIHGVLNAVSWGLMMPMGAMAARYLKVFKAANPAWFYIHVTCQASAYSIGVAGWVTGLKLGSDSVGIKYNTHRYIGIALFVLGTLQAFALLLRPKPENKYRFYWNIYHHSIGYTVIILSIINVFKGFDILDPEKKWKKAYIGMLIFLGVNAVILEAYTWVVVLKRKKDDKKTHVGNGYSLST >KVH93173 pep supercontig:CcrdV1:scaffold_81:552905:553622:1 gene:Ccrd_004804 transcript:KVH93173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLYNHTKGKIHPSPPSSATTTDHHLPLLPFAIATLAAALAPEDQEVLAYLLSSSATTTTFSSGNKPTNKSGGGDHPPRFNCNCFRCYTSFWEEDGMLPETGHSIGLFGNRNSVPLVLP >KVH93157 pep supercontig:CcrdV1:scaffold_81:438148:443365:1 gene:Ccrd_004793 transcript:KVH93157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MFLLHRMASSSHPLFTSSLRLPSNPATSSSLLFNPISKQFYDRKFRSFYLFNKPQYHRFSSWPAAVATDPGIAIENNASDDVPKLEEKVVLPTNESSQTLLRIRHTCAHVMAMAVQKVFPDAKVTIGPWIEHGFYYDFDIESLTDKDLKRIKKEMDRIIGRNLPLVREEVTRDEAQKRITDINEPYKLEILDGIKEEPITIYHIGNEWWDLCAGPHVETTGVINRRAVQLESVAGAYWRGDVNKPMLQRIYGTAWENEEQLKAYLHFKEEAKRRDHRRLGQDLDLFSIQDDAGGGLVFWHPKGAVVRHIIEDLWKKIHTKSGYDLLYTPHVAKADLWSISGHLDFYRENMYDQMKIEEELYQLRPMNCPYHILIYKRKPHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDQIKDEIKGVLDLTEQMLLQFGFEKYEVNLSTKPEKAVGDDDIWVKATGALKEALNDKGWTYEVDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPQRFDITYIDSNSERKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPIQARILPVTDRQITEKMKASGIRAELCTGERLPKLIRNAEKQKIPLMAVVGPKEVETQSVTVRSRFGGELGTMDVHDFIDRINNAVETRTFV >KVH93183 pep supercontig:CcrdV1:scaffold_81:417154:418371:-1 gene:Ccrd_004789 transcript:KVH93183 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MIPFLSLLLLLLHPHSAFSAHCTTTTTTKTFEKCMSLPSQEASIAWTFHRHNSTLELVFSGTFISPSGWVAWGVNPSSPEMTGTRALITFPDPNSGQLVLLPYILDPTVKLQSGPLLSRPLDIHLLSSSATLYGGRMATVHNGATIQIYATLKLKPNKTKIHHVWNRGLYVQGYSPTIHPTTINDLSSTATIDVLSGISASGPKSNIKTLKIVHGVMNAISWGVFLPTGAVTARYLRHIQSLGPVWFYVHAGIQLSAFLLGTAGFAIGVHLGELSPGRVYGLHRKLGFAAFFLGSLQTLALLFRPKTTNKFRKYWKSYHHFVGYACVILGVVNVFQGFEVMGEGRSYAKLVYCLCLSTLIGVCVALEVNSWVIFCRKSKEDKLRREGVFVRGQEKACGSELGDRH >KVH93189 pep supercontig:CcrdV1:scaffold_81:71484:73229:1 gene:Ccrd_004755 transcript:KVH93189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MASASTSSSTIWVNPRTRVFSSPKMPSSFSISSAKKLNKVHCALQSPSVLQFPKQSPFQLPSSSPESWNLLQKLSAIALDAVENGLTAREKQYPLPKTADPNVQIAGNFSPVPEQPVCHCLPVAGKIPDHIQGVYLRNGANPLFEPTSGHHLFDGDGMIYAVKFDKGSASYACRFTETQRLVQERALGKPVFPKSIGELHGHSGIAKLLLFYARGLCGLVDNSQGIGVANAGLVYFNNRLLAMSEDDLPYEVRVTPTGDLKTVGRYNFDEQLKSTMIAHPKLDPVSGELFALSYDVIQKPYLKYFRFSPDGTKSKDVEIDLGKPTMVHDFAITENFVVVPDHQVVFKMSEMITGGSPVVYDKEKVSRFGVLDKYAADGSGMKWVEVPDCFCFHLWNAWEEPETDEVVVIGSCMTPADSIFNESNEELKSVLSEIRLNLKTGKSTRRAIISAENDVNLEAGMVNKNLLGRKSKYAYLAIAEPWPKVSGFAKVDLSTGETKKFIYGDEKYGGEPLFLPRDSNSEIEDDGHILIFVHDEKTWKSELQIVNAITLQLEATVKLPSRVPYGFHGTFISSKELATQA >KVH93199 pep supercontig:CcrdV1:scaffold_81:259811:269852:-1 gene:Ccrd_004771 transcript:KVH93199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site-containing protein MAEVGQPLPKFGEWDVNDPASAEGFTVIFNKARNEKKAGGTADSPPKSDSTHLSTLESPIADPSEASDC >KVH93191 pep supercontig:CcrdV1:scaffold_81:107643:111975:-1 gene:Ccrd_004757 transcript:KVH93191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MEVTNVTEYQAIAKEKLPKMIYDYYASGAEDQWTLEENRNAFARILFRPRILIDVSKIDMATTILGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAESAGFKAIALTFTVSIRYLYRFTLPPFLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVKWLQTITTMPILVKGVITAEDTRLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRPAEGEAGVRNVLKMLRDEFELTMALSGCTSLKQITRNHIVTEWDAPLARPAPRL >KVH93159 pep supercontig:CcrdV1:scaffold_81:486244:493603:-1 gene:Ccrd_004799 transcript:KVH93159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MYGTQSQRDLSLELPISRPSIHARRAKITVKFQDLYGFTVEGNVDDANILNEVREKVRQQGRAWWALEASKGANWYLQTHVSSALKSSLKFSNLVNAITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPDSYYNDLIIAVEGKVTPATKQIDHDLPRTFPGHPWLDTPEGHASLRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYSNNLSGCHVEQRVFKDLLKKKCPSHVMKEEELLMTQYVGDVINVVQNTSHHLFDPDDLLTVAFDKIGFMTSTNISKERKKQEPAVMAELDQRLRRLNSSNTNVDSGLT >KVH93190 pep supercontig:CcrdV1:scaffold_81:99444:101718:1 gene:Ccrd_004756 transcript:KVH93190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTPRFLDQSHKIGNSWWMQPRSITESRQTPSDWCLLFSNNEAWLPNLSDAILLDAMAMEVGEEIEIGNQGSKKNLLVEGEGRDTLEDGNGIKVYYARTLIES >KVH93177 pep supercontig:CcrdV1:scaffold_81:389953:391407:1 gene:Ccrd_004785 transcript:KVH93177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MSNTWYYQSTQEYEVLCKPQFQALDSISHGSNLYIKSEPYCPLDSSSASGSCTTIFNSPNGHESRSPVTESCVTDDLNEFRNKLRQLESVMLSDLYEDAENGKLLVGNGIVELPDIEIWKEMVEGVPKRDLKEVLIACANAVSNNEVSTARFLISELQQMVSVAGEPIQRLGAYMLEGLVARLSCSGSSICKEPASDDLLSYTNILYEICPYFKFGYMSANGAIAEAMKDEKRIHIIDFRIVQGSQWVPLIQAFAKRPGGPPHIRITGFHDSTSKLHIVGKRLCKLAKAYNVPFEFHTEVGIESFRAQPGESLAVNFAFVLHRMPDESVSTQNHRDRVLRLVKSMNPKVVTLVEQESNTNTAPFYPRFLEALEYYHAMFESIDITLPRQHKKRINVEQHCLARDVVNIIACEGNERVERHELLGKWKLRFSMAGFSPSPMSPVVNGTIKRLLNNYSERYRVEERDGALYLGWMNRDLVASCAWK >KVH93171 pep supercontig:CcrdV1:scaffold_81:516030:520771:1 gene:Ccrd_004802 transcript:KVH93171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MRKRERENPCGICGHYHKYEEGEVCGICGHRMPVGVEKTLIQVSAFPSEILQGFLYLGSYDNASRSELLKTLGISRVLNTVPACQNLYKNSFTYHCLPDSPTLAFNDAVEFLEQCEKDKARVLVHCMSGKNRSPAVVMAYLMKSRRWTLDQSYQWVKEYRPSVDLNPAVLQQLQEYAQNLQVAVEASGVALPPVPVGGAAPFSFGFTNPTSVPPPFPAFNTPATASIFTRADIPPPNEFTFGAAVQTQNTPQNLVSSGTSSVNPNATDVSMDGS >KVH93174 pep supercontig:CcrdV1:scaffold_81:559028:562149:-1 gene:Ccrd_004805 transcript:KVH93174 gene_biotype:protein_coding transcript_biotype:protein_coding description:AB-hydrolase lipase domain-containing protein MANSLVFTIAYVFILFCSSAFGNRMYLSWNKTNSVVAASNADGICKLMVEPRGYTCEEHKVTTKDGYVLSLQRIPLGRSGGKKGDRVPVHLQHADNGFDVWLASSRGTKYSRGHVSLKPDDEAYWDWTWDELAAYDLPATSQYVHDQTGQKLHYVGHSLGTLMAMAAFSKGEVVSMLRSAALLSPVAYTLKWLGLHEFNPKGDAVTKLLKKICAQPGVDCTNLLNSFTGKNCCLKPSIVDVFLDHEPQPSENRRHYGQSTPPAYNIESIPKNIPFFLSHGGADALSDVNDVKHLLQTLKDHDRDKIVVQFQEDYAHADFVMGTNARQVVYEPLMTFFKRY >KVH93185 pep supercontig:CcrdV1:scaffold_81:240671:243402:1 gene:Ccrd_004767 transcript:KVH93185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNCDYCGEARSMVYCRSDAAYLCLSCDRNVHSANPLSKRHMRTLVCDVCNSQPALVRCVDEKASLCQNCDWVGHNGASLGASTHSRQTLNCYSGCPTAVELSSIWPFMSESCEQEMGSMSIADNSQEPSENDNTQDSSMPVETSDLQIENNSNDWMGSLLQDDNRSQDIYRQGKPLSSSANKISCSGTKDSEKVADDGLYDDFTMDEVDMNIENYEELFGVGHNDPKHLFAKDGIDSLFGMRETTTKDSANAVEPACSNAASVDSLMSCKTEPNPCHARQLSFSSLTGDSSGGEYQDCGASLPMGEPP >KVH93198 pep supercontig:CcrdV1:scaffold_81:259402:262231:1 gene:Ccrd_004770 transcript:KVH93198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLMCCDVSFNSIPSSSLNYTFPRPRFLSSLPLINNHRLSLPILRAQQSEASDGSAIGDSKVDTTEWKVRNSQDEIAATQGIRIRRRPPTGPSLHHVGPFEFRLQNEGNTPRNILEEIVWNKDVEVAQVKGKKPLFTLKKAIDNAPPPRDFIGALKASYTRTGRPALIAEVKKASPSQGVLREDFDPVEIAKAYENGGAACISVLTDQKYFQCPLLCKEFIVDAWQLYYARAKGADAVSLIASILPDLDIKYMTKICRMIGLAVLVEVQDENEMDRILKIDGIELIGINNRNLGKKLSSFRTLEPPIMLSSFIVFPWASLYIFHATIAETFEVDISNTKKLLEGERGEKIRQKDITVRCGATI >KVH93169 pep supercontig:CcrdV1:scaffold_81:499939:508756:1 gene:Ccrd_004800 transcript:KVH93169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MSIVPKETVEVISQSIGISNLSSDAALALAPDVEYRMREIMQEAIKCMHHSKRTTLTTDDVDSALSMRNVEPIYGLASGDPLRFKRALGHKDLFYIDDKDVDFKDVIEAPLPKAPLDTSVFCHWLAIEGVQPAIPENAPVEVIAAPPETKKAEQKNDLPIDIRLPVKHVLSRELQLYFNKITELAVSMPDTVLFKEALMSLATDSGLHPLVPYFTCFIEDELHQLMPPVVTCVVAKRLGNRIADNHWELRDFTANLVATICKRFGHNYSSLQKRLTKTLLKSFLDQKRTLTQHYGAIQGLSALGPDVVRSLLLPNLEIYLGFLEEMLLENQKKEMTRHEAWRVYGALLRAAGRSVYDLLKLFPILPSPPANSIWRTNLKVIGISEINA >KVH93194 pep supercontig:CcrdV1:scaffold_81:334396:337131:-1 gene:Ccrd_004778 transcript:KVH93194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyl transferase, N-terminal MEALFGVCSNSLFPSIQNHKNTILLPFPNSAHLPFSSQLKSQNRVSLKPHDLPPFEASNNLLLKCISSDERLGTSVDEGDSLKDGVRIKNLAVFVSGGGSNFRAVHEAILRGEVHGRFVVLVTNKHDCGGAQYARENGIPVIIYPNTKGEPEGLSSNNLVAVLSSYEIDFILLAGYLKLIPSELIRAYSNSILNIHPSLLPAFGGKGYSGPTIHFVDENYDTGRILAQRIVPVLANDTAKELAARVLRQEHKMYAEVAAAICEERVIWREDGVPLIRSKANPEHYS >KVH93162 pep supercontig:CcrdV1:scaffold_81:155824:160555:-1 gene:Ccrd_004761 transcript:KVH93162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIFC3 MEGDRFDPLLMTDVPWQQQQQQHQHQHETWSHYPVASFQTSLTTDPYDLCFNKIEEISMRNRLQEETRAKDCMDPIGNDVLDEISWRNSSSDAIGSEDVLDYEIMEKGHCKLGFSLTSPDLVICSGSPDIPNRAHEDSPKLLNRSSIELSLENGITEGPKITDYNHTQTDPTEDLDNSEASFELLPLAPCDDNDDHKDEGEGCDEPQEDYENQREELMKAKREVEMMKLENERKSKECKEALKSLRELQNELMRKSMHVGSLGMNLLSKWLAKISEFAAFHFVVLAAFAVEGQVKEKSKWFSSLRDMSRKLKIMKMDQIKLLEEGEAYKKCAADMNEMSSIIQSRIDEHVKQHEDLKIRFSEGAKERKELYNKIQELKGNIRVFCRCRPLNSEEIAEGASMAFDFEASRDGELRVKSNVAFKRNFKFDAVFSPQANQVDVFEDTSPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTDEDRGVNFRTLEELFRVIDERKNQVQQDGEGLHHVPGLVESQVTNMSEVWEVLKTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLVNGECTRSKLWLVDLAGSERVAKTEVQGERLKETQNINRSLSALGDVISALATKSSHIPFRNSKLTHLLQDSLGGDSKTLMFLQISPNENDLSESLCSLNFASRVRGIELGPAKKQIESSEVLKYKQMAERYKHEMKSKDLQIKKMEDNFHGLDMKLKERELKNKNLQDKVKELESQLLVERKLARQHVDTKIAEQQMRQQQQDEPSSRPPLAPKLLKNFEETKDNPANIVQPPLVEKNTTTYKTLPPPLPPARDLVNLDDCIEKENNPYLPEPFTVPKRTGRASICTTTTQRVPVRSVVPRRNSLIPLPPPVSTKLCPLPSIEGDNENVDMESPLATDSPKRSNGGGKKLMSALRRSLHKKNQMKTPMMQQPQQIRRVGGGLNVAVERVRVSIGSRGRMAHRVVNNGRRGKQQNYMEKERRWNIGKGGL >KVH93175 pep supercontig:CcrdV1:scaffold_81:410890:412279:1 gene:Ccrd_004787 transcript:KVH93175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQSDLGKLFIGGISWDTNEERLHEYFSRFGEVLESVIMKDRATGRARGFGFIVFADPAVAERVIKEKHNIDGRMVEAKKAVPRDDQTTVSRNSSSLSVKGSPGFGQTRKIFVGGLASGVTESDFKRYFEQFGTISDVVVMYDHNTKRPRGFGFVTYESEDSIGKVLLKTFHQLDGKMVEVKRAVPKELSPGLSRSPISPYPYGLSQASSILNGYPNPIGGYDVRMDRTRSGHATYGSGFGMGLNFEPTTSGNYGNGVNYNNPLSYRGLSPYYVGNSSRFASPVGFDGGDGGNLSLFSSNPRNLWGNGGISSVGSGSGVIGGRLLGNAALNLGGISPVSPQDGGGAAQSGNLGGLGGGEYGRNTSGRGSYEVANGGYDVPSSDFYGCSVYGGDPTWPSEQEGSGPFGVYGLAGTGLDGQMKSSHGYVG >KVH93163 pep supercontig:CcrdV1:scaffold_81:139133:143223:1 gene:Ccrd_004760 transcript:KVH93163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MPTLMNLDLGKHFWAFTLLMLSIVILVHSINEEGAVLLEFKLSLADPSSNLHNWNPSDSFPCAWIGIGCTDDHKVNSINLHGLNLSGSLSSTICKLSFLTELNMSKNFISGSIPKGLASCQHLEVLDLCTNRFRDDFPTQICTISSLKVLSLCENYIGGQIPDDIGKLILLEELVVYSNNLTGMIPKSIGRLKQLKIIRAGVNSLSGPIPSEVGECENLQVFGLAQNNLDGPFPRELQKIKNLSSLVLWQNLLSGKIPPEIGNFSSLELLALHANSFSGSIPEEIGKLTQLKKLYLYTNQLNGTIPQELGNCLKLVEIDLSENHLTGLFDNHLEGSIPPLIGASSNLSVLDISMNNLVGTIPPHLCKFQKLMFLSLGSNKLSGNIPRDLKSCKSLIQLMLGDNLLTGSLPLEFSNLYNLSALELHRNHFTGPLPSEIGQLKNLKRLHLSDNYFFGHIPPEIGNLVQLVTFNVSSNQLFGDIPQELMNCLNLQRLDLSRNWFTGQVPSEVGNLVNLELLKLSDNRMNGPIPTSLGKLARLTELQMGGNFFSGNVPFELGQLTALQISLNISHNSLSGTIPQNLGNLLMLESLYLNDNLLDGEIPASIGQLVSLLVCNLSNNGLFGAVPNTPIFRRMDPSNFAGNHGLCILDSNSNHCQPPSIPRSSQHSGWFKDRFSKDKVVSIVSATVGFFSLIFAMGVCWAIKHRKPVCVPFEEDHIKPDVLDNYYFPKAGFRYQDLVEATHNFSEDVVIGKGACGVVYKAIMANGEVVAVKKLKSSGGGADVDRSFLAEISTLGKIRHKNIVKLYGFCYHQESNLLLYEYMENGSLGELLHGQNHAHFLDWDARYKIAHGAAEGLCYLHNDCRPHIIHRDIKSNNILLDKVLQPHVGDFGLAKLMDFSCSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELVTGRPPVQPLDQGGDLVTCVRRSIHGMVPVLDLYDKRLDLSCKRTTDEMSLFLRIALFCTSPSPLNRPTMREVVAMMIDAKEVTSNSLSSPSSETPLDDANSCKEPAEMGTSPWQSSSSLRHLDYLSK >KVH93178 pep supercontig:CcrdV1:scaffold_81:369346:370672:-1 gene:Ccrd_004784 transcript:KVH93178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MATFTIQQSSFSGQSAVKSQNELARKFGTFSGSRFTMRHTVKKSPKVLGSILRANAIIGEFPGDYGWDTAGFSADPEIFAKNRELEVIHSRWAMLGALRCAFPELLAKNGVKFGEAEPRFSQVALITLETQPGPCPKHPSNLGIWACQVVPMGFIKGYRVGGGPLGEGLDKIYAGGAFDPLGLVDDPEAFAELKVKEIKNGRLAMTDIWILCTSGSNRKMNPSRTCLTMLATCWLTMPGLTLQTLYPENESRPCKYD >KVH93193 pep supercontig:CcrdV1:scaffold_81:116451:117991:-1 gene:Ccrd_004759 transcript:KVH93193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAKQEEEVILLDFWASMYGMRVRIALAEKGIPYEYRDEDLRNKSHLLLESNPVHKKIPVLIHKGKPVCESSIIVEYIDEVWKDKAPLFPSDPYAKAHARFWADFIDKKLYQAGRNLYTTKGEEHEAARKEFISCLKLLEGALGDEPYFGGESFGYLDVSLIPFYSWFQAYETYGNMIIEHECPKLIAWVKRCIQNKESVSNTLPELEKVLGFVQHLRKRFGIDE >KVH93188 pep supercontig:CcrdV1:scaffold_81:23953:29272:-1 gene:Ccrd_004753 transcript:KVH93188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MEASSSSSTKLSLLKAQKRMKGLCFDSMAAGPEISVESPATTATASPAVVHPSSPPKTEVSIVKSVDSESVHFVNSDDDDGSVVTPKKGSDPDPRSEMKMQEFVDMLSNLKLNPMAKEFFPSSYSPVDRNRDQFAFNYFLQPAYYKNYPENGIEGYPNNRRRRNNYSNPRRLSNGRAFRAQREDSIKRTVYVSDIDHNVSRMHVLDCRVCGDPHSRLRFAFVEFGDENSSRAALNLCGTMLGFSQITVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVSQSEVKNFFETRCGEVSRLRLLGDNVHSTRIAFVEFVMAESAIMALDCCGQPLGMQPIRPSGPPQYNVHSRVLKIPTNQT >KVH93195 pep supercontig:CcrdV1:scaffold_81:338702:344587:1 gene:Ccrd_004779 transcript:KVH93195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF423 MNPLIWHKVAAISGKFVGVRGMAKSLFATQGMAALGLGTYGAHGFKPSNPTYKEVWNTASLYHLVHTAALVGAPITKNPNIFGGLLTAGIVAFSGTCYMVALFEDRKYAKVAPFGGFAFIAAWGSLLF >KVH93182 pep supercontig:CcrdV1:scaffold_81:344953:346636:-1 gene:Ccrd_004780 transcript:KVH93182 gene_biotype:protein_coding transcript_biotype:protein_coding description:X8-like protein SHDPTETTPPFLALASSFAVKLISLVIYINPICFSSLSLYPFLSLTIQAKMSSFLLSVLLLVFIFPHRSEGEFEQWCIADEQATDAELQAALNFSCGEGGADCSKIQENQPCYLPNTLKDHASFAFNSYYQKFKHNGASCYFNSAAMTTEKDPSYGSCHYDYTP >KVH93154 pep supercontig:CcrdV1:scaffold_81:472263:478711:1 gene:Ccrd_004797 transcript:KVH93154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MSTFEGVFVSDPWLQSQFTQVELRKLRTKFISARNQSGTVTIEDLPPVLAKLKPFNEVSSQEDVTRMLSESYPNMSKELDFEAFCRVYLNLQSRASAKLGTSKTFRTTSSFVKSSTTTLRHSISETEKASYVTHINNYLAEDKFLKDYLPIDPSTNALFDLAKDGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLAEGRIQLLSYVDVKKTPELAAMVEDSKEAEELMGLPPEKVLLKWMNYQLKKSGYKKEVTNFSSDVKVKDPVERANLILEQAEKMDCKRYVTSKDIVEGNGLKLEKTKCSLAEMMSDDESTSREERCFRMWINSLGVETHVNNVWEDVRNGWVLLEVLDKLAPGSVTWKQATKPPIKMPFRKVENCNQVIRIGKELNFSLVNVAGDDIVSGNKKLIIAFLWQLMRFCMLQLLKNLRTHGQGKEITDADILQWANKKVQLAGKSAHMESFKDKHLSNGIFFLELLSAVEKRVVNWGLVTKGETEEDKKLNATYIISVARKLGCSIFLLPEDIIEVNPKMILILTASIMYWSLLQKAKVDEEDRPPEETPGATEEGSAAMAEASTEEGVTQNENKEANTENVEGNS >KVH93200 pep supercontig:CcrdV1:scaffold_81:310032:320338:-1 gene:Ccrd_004776 transcript:KVH93200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRSRAVNHSAEEDPSQSRSKRKRTASNLENLEAATSGQGMSEGKKALYHCNYCNKDISGKIRIKCACCSDFDLCVECFSVGAEVYPHKSNHSYRVMDNLSFPLFCSDWNADEEILLLEGIEMYGLANWNEVAEHVGTKSKSRCIEHYNTIYMNSPCFPLPDMSHVMGKNREELLAMARGHGEATKGSGTVNASAGAGKRTSSIVQSDKGGDGIKIEEFEIEYDNDAEQLLADMEFKDADTDPERELKLRVLRIYSKRLDERKRRKDFILERNLLYTDPFEQGLSPEEKEICRRYRVFMRFHTKEEHEELLKTVIEEHRIRRRIEDLQEARAAGCRTSADAERYIEQKRKREAEENARRVKDNVQPGPSGKFLQRANHLKGDPDVGGATGKDSSSTCGGLGIGNVDDWDVTGHFGADLLSDAEKRLCTEIRVLPVHYLSMLEKLSVEVLNGHIAQKSDAHRLFNVEPSKVDRVYDMLLKKGIGQP >KVH93196 pep supercontig:CcrdV1:scaffold_81:270759:276350:-1 gene:Ccrd_004772 transcript:KVH93196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase MAPAAATNGSDSIKPRDVCIVGVARTPLGGFLGSLSSLPATKLGSIAIESALKRANVDPSLVQEVYFGNVLGANLGQGPARQAALGAGIPNTVVSTSVNKVCASGMKATMLAAQSIQLGINDIVVAGGMESMSNVPKYISEARKGSKFGHDTLVDGMLKDGLWDVFNDFKMGNCAEICADMHGFTREQQDDYAIQSFERGIAARDSGAFAWEITPVEVPGPRGRPSTIVDNDDDLGKFDPAKLRKLRPAFKENGGSVTAGNSSGINDGAAALVLVSGEKAIELGLHVIAKVAGYADAEQAPELFTTSPALAIPKAISRAGLEASQIDFYEINEAFAVVALANQKLLDLDPAKLNVHGGGVSLGHPLGCSGARILVTLLGVLKQKGGKYGAAGVCNGGGGASAFVVELV >KVH93151 pep supercontig:CcrdV1:scaffold_81:444840:446316:1 gene:Ccrd_004794 transcript:KVH93151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PCQNPIEVVAEGATLLAPTDPKAIKTESWFHIKNVNKMTLTGVIEKAIIDAPAESLNTDGIHIGRTNGFKIVGTIEVFESNCAYYHLVLTGTTIKTGDDCISIGDGSKNIHVEKTTCGPGHGFSIGSLGRYPGEEPVDGIFFLGCSISNSDNGLRIKTWPGSLPGVANQLHFEDIIMENISDVLFKGIRGTSMTKYAITILCSKELQCDKVVLQDIDLKYEGKEGPGAVSGCHNVKPQVIGNVVPQP >KVH93164 pep supercontig:CcrdV1:scaffold_81:174460:180503:1 gene:Ccrd_004763 transcript:KVH93164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKSLDHLPYYNSGQNPWQTLITYDACFRLCLNAWARGCPEAPVFLSDECRLLRNAFGLHKLLLQPRGFKSVTSSVRKIRIIPQRKLKDTYSQQSAIYVQAGVEYIRHVSSLVKSKINALGISSFSLPSEEPVSCLLHLRSSEEHTETESALGICLRPGTGDSHDFFPENQGDALLLENDRVKWWPIYHDDNECIGKAQLSISSTITSDETTHLKCGPVVETLAYDLLLEAAMRAQGFHARNLWVVEPWKWLLTEFSDYYGVSESYTKLRHLSHVMNVATPTKDCFELIYELLVPGLLALAFQNYKSLEESSSTGLADILSPIPEAAAPALAPAVQLYTLIHDILSPDGQALLSNYLQAAARKRCRKHMVDTDEFVAINIEGFLMDSIAITTAYLKMKNLCITLSDEIKTDIKIHNQHILPSSIDLSSITAGVYSSELCKRLKGFLAAWPPSSPQPHVNELLIATADFERNLESWNISVAQGGVDSRNLYHNYIMVWVQDMQLCLLDLCKAEKVPWAGVITNYSTSPFAEDLYDKIKETLSEYEVIINRWPQYTLILENAVANVERAIMKALERQYADILTPLKDSIPKRLGIQVQKLTRRQSTAPYSIPNQLGTFLNTIKRILDVLHCRIEDKLKSWASYLPVNGDKKSTYGEQMNAVTVLLRTKYKNYMQAVVVKLTSNMQASRNTRLQRILEETKETDGEAEVRERMQVLCSQLVESVSNLHEVFTNQIFIASCRGLWDKMGQIVLKFLEGRKENRVWYNGSYYALGILDDTFASQMQRLQGNALKEKDLEPPRSIIEARSILCRDTTNAADTSTYLYF >KVH93184 pep supercontig:CcrdV1:scaffold_81:416670:423418:1 gene:Ccrd_004788 transcript:KVH93184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MVVSLEGSKVILVAYMKQHVLNYHQWMQDPDLLKATGSEPLTLEEEYQMQLSWTQDPLKRTFIVLDKDLISGKFIHGDPHVEDSHMAEIEIMIAEPKSRGKGLGMESILMMMVFAIDNHKISCFRAKIGDSNEASLNMFRKLVTLELLVTDEKCEALVQLVGNMITHS >KVH93165 pep supercontig:CcrdV1:scaffold_81:167286:170529:1 gene:Ccrd_004762 transcript:KVH93165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MAMLFTSKGTCSVLLTYMVFLLHVCNGFYLPGSYMHTYSTGEEIFAKVNSLTSIETELPFSYYSLPYCLPSGGIKKSAENLGELLMGDQIDNSPYRFRMNINESVFLCTAHPLSEHEVKLLKQRTRDLYQVNMILDNLPAMRFANQNGVIIQWTGFPVGFTPPNSDNDYIINHVKFRVFVHEYEGTGVQILGTGEEGMGVISTADDKKNSSGYEIVGFEVFPCSVKYEPEKMAKLHKYDEVPSLNCPLELEKSQIIREQEKISFTYEVEFVKSNVRWPSRWDAYLKMDGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFREPNNSKLLCVMIGDGVQITGMAVVTIIFAAFGFMSPASRGMLLTGMIVLYLFLGTAAGYAGIYLWRTIKGTSEGWRSVSWSIAFFFPGIVFIILTALNFILWGSNSTGAIPISLYFILLALLFCISVPLTLLGGYLGTRAEPIQYPVRTNQIAREIPAQKYPSWLLVIGAGILPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCVEDWQWWWKAFYASGSVSLYVFLYSMKYLLFDLKSLSGPVSAILYLGYALITATAIMLSTGTIGFITSFYFVHYLFSSVKID >KVH93170 pep supercontig:CcrdV1:scaffold_81:509201:510633:1 gene:Ccrd_004801 transcript:KVH93170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MTINGDDFDRKSELKAFDDTKGGVKGLVDSGITEVPRIFIQPPEVFPQANNTDFDLPIINLHGFISDPVRRKEIVKEVGEASRTWGFFQVINHGIPVSVMREMKDGVLRFFNQDHDLKKEWYVTDITKKFFYNSNVNLSCTLPVRWRDSFLCRMAPDPPNLHELPPPFRDILIEYSDQVTELGFLLFKLISEALGLDSNYLKEIGCADGLATICHYYPVSPQPELTIGAQKHADNGFLTVLLQDHIGGLQFLHRNQWLNVPFVPGALLVNIGDLLQLVSNDEFVSREHRVVSNGVSPRVSVACFFTTGMVSTGKIFAPITELLSEENPAKYRATTVAEFAQYSHSKALDKSCMLHFRI >KVH93168 pep supercontig:CcrdV1:scaffold_81:254997:257041:1 gene:Ccrd_004768 transcript:KVH93168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGLSPYSNPCDAGVLCLILVNTAISISMMKEILRPILHLIGIRLASSSSSWSEEDDFSDQASADESIEHRGRPSETYMDEFRSRTPTLRYDSLSCTTKQECSVCLIDFRPDAEINRLSCGHVFHKSCLEKWLNYWNSWKGNFCIGKLSTSSWETCEKQNQLLEPTEAVFLFPNWERLEPTEALRWWRHLKEPGWVVGAQRQLILTLEDFRADKEGGVDVDSILVFFISSSRDSSPRDRLSCFSGCCAAVLVSSDIGSQEERLSSTQNRRKDQKWEIRKKKKQRDDLPFVVVAEAWLFFHLPLSIPGEDEDGKG >KVI08425 pep supercontig:CcrdV1:scaffold_810:89469:94898:-1 gene:Ccrd_013212 transcript:KVI08425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATLSEEPIDEDGEYKHHQSHSPWYSSSGKRSHKTWRNWLKTHLSLLPNKHKSINDLNILLSVLGCPLFPVSFLPPTPKSSSSQVSSSAQYIIQHFTAATGCRKLEGTVRNMYATGKVNMAMVDELNSNALTAISNVRVSQRGCFVMWQMVPNKWLIELVVGGHKVVAGSDGNVAWRHTPWLGSHAAKGGVRPLRRALQGLDPMAVAGVFSQAQYMGEKRIGDVDCFVLKLSADDADLAERSDNTAEMIKHVMFGYFSQRNGLLVHLEDSYLTRVQAPGSFPMYWETYMATKIEDYRIIEGVMIAHSGQSDVIITRFGDNLRAGPVITRMEEKWTIDDLAFNVPGLSMDCFIPPEELHKDSTEENLD >KVI08418 pep supercontig:CcrdV1:scaffold_810:23379:28143:1 gene:Ccrd_013204 transcript:KVI08418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGTTVLAEFSAVSGNTGAVARRILEKLPADVESRLCFSQERYIFHILRSDSLAFLCMANDTFGRRIPFSYLEDIQMRFMKNYGKVAPYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRSEVGEASILFRTRYKFTFYRVIWVRTIMVDNIDKILERGDRIELLVDKTSTMQDSAFHFKKQSKRLRRALWMKNAKLLALLTAVIFLLLYIIVAACCGGITLPSCRS >KVI08419 pep supercontig:CcrdV1:scaffold_810:31488:40254:1 gene:Ccrd_013205 transcript:KVI08419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission ELM1-like protein MKPIRLPEPPGSPPRGVPDIFEGGVYGVVKRAIIIGNGFQASENQSIGLVRALGFSERQTLYRVTRPRGGVNEWLHWLPVSLHKQIYYIIRLIYGYSRVLFTSRGKRVQSLAGENGGSVGLSSILEADVRSIVTMAKGTAEKDGPLLVVACGRDTISIASSIKRLASDNVFVVQIQHPRTQLSRFDLVVAPQHDYFALTPLAQEQVPRFLHKWITPDEPPNKNVVLTVGALHQVDSAALRSAAITWHDEFAPLPKPLIVVNIGGPTRRCRYSMDLAKQLTTYLRDVAAIVRKELKDLPKVYVWNGEEPNPHMGHLAWADAFIVTADSVSMLSEACSTGKPVYVVGAERCKWKFSEFHKALRERGLVRPFTGLEDMSESWSYPPLNDTAEAANRVHEALADRGWRLRP >KVI08421 pep supercontig:CcrdV1:scaffold_810:65363:67554:1 gene:Ccrd_013209 transcript:KVI08421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ricin B lectin domain-containing protein MDPYGHNHTTHRRREEPPYPPPPYVGGGPPLHHPPPPPHVDHRHHHIVHHHHEEPPYPPPPHVEVYPPPPHHPYTADYYRPPPPPQPQPIHHHTNHHKIPERYTDNKPTVRVYSKAKSDYSLTIREGKVILAPSNPSDPHQHWIKDEKYSTRVKDEEGFPSFALVNKATGQAIKHSIGATYPVHLTEYNPNKLDESVLWTESKDLGDGYRAVRMVNNIRLNVDAFNGDKNHGGVHDGTKIVLWEWKKGDNQRWNMVPY >KVI08415 pep supercontig:CcrdV1:scaffold_810:11094:15020:1 gene:Ccrd_013203 transcript:KVI08415 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNRLILSSLPVSVSNPIYTHHHHPPTHRCSVLTTTMNHRLPPPGYRFFPTEEELVSFYLPNELDARRDDLHRVIPVVNVYEHEPWHLPMEAGELCKRDTEQWFFFVPKQEREVQGGRPSRTTASGYWKATGSPTYVYSSTDKVIGVKKTMVFYHGKSSTSKKTKWKMHEYRAIKEELDSTNTCLIPKLQHELSLCRVYVISGCVRAFDRRPTGLGTHEIGGSSSLSS >KVI08416 pep supercontig:CcrdV1:scaffold_810:43466:49981:1 gene:Ccrd_013206 transcript:KVI08416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MTRVFVQRSSAAGSSSSTNNTNRSSLGPPQPQVVEAEQEPQEQVLCEDLPLENVETGKDEDLDVPISDKNEDETVVGSEGDVLRRVSALRVIDEGEEENDAIKNDDYALQVIRGSSYPPPPPAPPPKPSSNFNSKKSVPLRIGSSRRANVWPVVSTRTSPTGSRPSSPRSHGENEGYNSADEQSPRYGSSYDNTERERQFEIDIRRVQGFEVKKMLEDGNCLFRAVADQVYGDSEAYDLVRQMCIDYMVYGNNVEIQALSEMYNRPIHIYSYSSEPINIFHGSYNTDTPPIQLSYHHGNHYNSLVDPRRLTIGAGLGFSSLQGRNVDKDQVKAAIKAQQDQQIDNALLAEARFYSDVELTEKEIECMVMEASRAEYIANDRFKQQLGPRESSSSDAEPSSSGAKQGVADKSMQMVLSMGFSYMQAIEAYSIFGDDVDSMVCYLLETSSRRKGKATTE >KVI08424 pep supercontig:CcrdV1:scaffold_810:171437:172465:1 gene:Ccrd_013213 transcript:KVI08424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MEDFTELIPGLPEEIALQCLTRMYYGVHEVASHVCQRWRRLLLCKDFYYHRKQSGFTHKTACFVQSLPAAASNPKPENQPKYGLTVFEPSSGIWDQVDPVPKYPDGLPLFCQVESSEGKLVMMGGWNPGSWEPLRDVFVYDFTTRRWTQRTDMPSNRSFFAAGAYDGKIYVAGGHDESKNALKSAWVYDISADEWTELAPMSEERDECEGVFVGSEFMVISGYDTDSQGRFKNTAEVLDITTGRWRRVEEAWGGSRCPRACVTVGQNGNLTCWAESDPAIQVGACGVDLGDRTLVTGSAYQGAPQTFFVAEKLKQGQNRKLIKVGAPDEFSGFVQSGCFVEI >KVI08417 pep supercontig:CcrdV1:scaffold_810:51093:56796:1 gene:Ccrd_013207 transcript:KVI08417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MGVVKAAIGDAVVTFMWIFCASTLGAATSIIANKIGVEGMASLLITTSLIFLISFLFGSIADAFGGASFCATGTLAFYIAGAGGDDTLMSTAVRFPAQAVGAVGGLLALLELIPLEYKHMLEGPALKVDLHTGAIAEGVLTFIITFIVLLIIIKGPKSFFLKNWILSMVTMVLIVVGSSYTGPSMNPANAFGWAYVNNKHITWEQFYVYWICPSLGAILAALSYRFVFPPPAAPKKKTA >KVI08423 pep supercontig:CcrdV1:scaffold_810:69089:71056:1 gene:Ccrd_013210 transcript:KVI08423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MPPEKKQDSTKSFKLIQKSNVPTNISSIPNFEFPKASYSYTYRIINIDSQKPPLSESSTSFDFGGKMAEIIGKISSNYRFSASNHDYSRENLKKLSPVMIKMPAFSSLRASLTVRSSAGGEIFDPRVNVKMSNGIGKSVKWWEKGIKPNMKEVTDAEELVESLLNAGDKLVVFLLVNHEDHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKHTPDRCSLGPPKGLEEKELLALASNRDLSFTYSPNPNPNPNQPQEMTPAPVPVPVARSRSVSEPSLPLPRPLKPASEDKDRSLVS >KVI08420 pep supercontig:CcrdV1:scaffold_810:55440:58887:-1 gene:Ccrd_013208 transcript:KVI08420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med22 MNKGGAGGGTSGAAGPTAAAAAAAAQKQKSLQQRVDNDIGNPSLAASDIPLQMSSNFQVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRTEELNQQAEKTDRILARIGEDGAASLKEMESHYYSSVLRSNQHLQQ >KVI08422 pep supercontig:CcrdV1:scaffold_810:76069:77598:1 gene:Ccrd_013211 transcript:KVI08422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase TFIFTPSQHSIITFQVLSSQRVKTGKISFCRSIMRFLEILLVLTVTSMTCPTAYSRGGGWSNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLACGACFEIKCVNDRRWCRPGSIIVTATNFCPPNSALPNNAGGWCNPPQQHFDLAQPIFLRIGQYKAGIIPVQYRRVACKKRGGVRFTINGHSYFNLVLLTNVGGAGDVISVSIKGSKSRNWQPMSRNWGQNWQSNSFLDGQALSFKVTTSNGRTLISNNVTPPNWTFGQTYTGRQF >KVG03628 pep supercontig:CcrdV1:scaffold_8101:6524:7092:-1 gene:Ccrd_026584 transcript:KVG03628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold LPAASAATSAARNLTEEERRGHKEITWDDKEDEVMIAGFGRKGHAVGDISGVRFKVVKVSGVSLLALFKEKKEKPIS >KVG03581 pep supercontig:CcrdV1:scaffold_8107:12837:14781:-1 gene:Ccrd_026585 transcript:KVG03581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MSTTSCSDSPDSDPCRDDKTALILKFVAIAAILLAGIIGVAIPLVGKXWRFLRTDSNLFFATKAFAAGVILATGFVHMLPDATEALTNPCLPETPWSQFPFAGFIAMMAALVTLLADFVSTQYYESKQQVKQIQDVRVDSVDSGSEFLIGPMAVDEKVFGEEGGGGIHIVGMHAHVLELGIVSHSIMIGLSLGVSQSPCTIRPLLGALSFHQFFEGFALGGCISEAKLGALHSSVMACLFAVTAPLGVAIGXVICSFYNPNSPRALVIEGILDSISAGILVYMALXDLIXXDFMSKKMRCNPRLQMVSYFALFLGAGLMALIAVWS >KVH99283 pep supercontig:CcrdV1:scaffold_811:42590:44286:-1 gene:Ccrd_022482 transcript:KVH99283 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSSFPALCIPHTSSVLTFPVFFAVIVFVSVFAFLLAPGGLAWALSSKSRTRTAIPGPFGVPILGLVFAFTSSLTHRTLGKLAITFNATSLMAFSVGLTRFVISSHPETAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGDYWRNLRRISATHLFSPKMISSFGGFREKIGLKMVDQVSDSMDRNGVVEVKRLLHFASLNNVMMSVFGKSYDDFADDGGHGFELEELVSEGYELLGIFNWSDHFPIVRWFDFQGVRKRCRKLVSRVNVFVEAIINEHRERRSGNGGAATVHGGDFVDVLLDLESENKFSDADMIAVLWEMIFRGTDTVAILLEWILARMVLHPDIQAKAQAEIESVVGSGRPVLDTDLPSLPYLHAIVKETLRVHPPGPLLSWARLAIHDTQVGPHLVPAGTTAMVNMWSITHNAQIWVEPELFNPERFIDQEVSIMGSDLRLAPFGAGRRVCPGKAMGLVTVQLWLAQLLQNFKWVASGSHPGLVDLSECLKMSLEMKNPLVCKAVARV >KVH99284 pep supercontig:CcrdV1:scaffold_811:96502:103674:1 gene:Ccrd_022483 transcript:KVH99284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid domain-containing protein MQKLFSLKHISKNPRKFASQTLRSNPLPLHRATDVPQHHHHGFSRYTTSSQTLHQAPTNCLMKVLSNPVLLKRFLPNALLKLKFPSKFLVDHGEFGLLRAQFRRQSFQFNQPFSYQKTWQSQFRRRLTSDGVVIGLIVTNVAVFLLWRVADRKFMMQNFMVQLDNFQSGRIHTMITAAFSHKDVGHIIGQNFGPEFLLKLYLAGAFVGSAFYLLHHAFLASSSKDRRMFEPDRSRVPGLGASGAVNAIMLLDILLFPTKTIYLEFIIPGIFLIGHDMMRILEGDSQISGSAHLGGAAVAAIAWARLRKGRF >KVH99282 pep supercontig:CcrdV1:scaffold_811:19613:26745:1 gene:Ccrd_022481 transcript:KVH99282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MLSLQQLPCIHQTLLLNASTQLGVYANGRFQRGVPFLVRAEQISAPSTSLQVAAENAKGFLPVTDKTDGYSFIYPFGWQEIVIEGQDKVFKDVIEPLENVSVNVFPTNKENIRDLGSPQEVAEALIKKVLAPPSQKTKLLNATEHDVDGKAYYTFEFVAQAPNFTRHALSTITIGNGKFYTLTTGANERRWGKMKDKLSTVVGSFKIFNV >KVH99286 pep supercontig:CcrdV1:scaffold_811:124795:141466:1 gene:Ccrd_022485 transcript:KVH99286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MYNQGNYQQFGQRFPALPSPPLPPFQQGPPASQHPVTQQGHPSIPPHAGQAAPPPYAHASSASMQLGPPVSVPPSGMLSSSQSYLIPPPLPPTHARVSTSTPHSYSAAPQNSNWNQNQHISPPIPPPGHHLTPRIPPPHPQGQHFYRVPAPPLLPGGIRGIQQLPPLLPPPPASSYFTPAPFGSFVQPAHESSLGHLPPPPPPPPPPPPPPSSPPPGPPPLPPSSPPPSSPLCTSSKSLTTAAELGPTSRKPSGVDMVASGIIDRSNCDGHRGNETLRHDNSIKRTAPNDSATSVKGMSDIPLPPPRPRDEKIVRKIEVLCQYIAKNGHKFEDMTRQKEVDNPEFEFLFGGAPGSEAAISHEYFKWMKKNCSSSELLEGRHNRNISLEPSGVGSSVQPDGSMHAGKSHSPAGSDMDMEAKKDASFLDSLFICASGFQVIYSVQTFCLCYIAYHLDIYNILLAPCGASKPCLGVLVNILGWNVETSLYFFAVLLLDDITQPEEPGFGKSFGSAKSEPTFISNKVDITVEEHDEHACDLAHITPKDATDGNLSCPGSSGVIEQGANLSQDDMQFEKSSMKVGVSDSEWPLDSKAEQHNITLYQEMNQSGASDAAEVRSSEVPGDLIRGTSPFRLIQGYASDDSSENDSEPHLENLSPGAVQIKEGTTGLDALQTVIESKDPSEIDKGLRSLEGSLNTAFEFPEPSTRTEDLEGKTGSYIVREQVEQDGNDNSSEAFVDGGTSIIDFKQKDRLIDDTVGSKNGGTQKEDRNAKLEVDEFGRMVKAGASDSDSDDYTRRRGKRGRSKSRSRSPVGRRRRSPWRRRENRSRSRSWSPKKRSRSRSPYRYGGGAGGDWTRRNRSHLPVCFDFRRGKCFRGESCRYLHDSEKSEESRHHKNKQQYQEVPDRLKSYGKLVPEKDEVDGQEILLYQDVDKGSERVKEGFVEPASHSAEVVSPHETLDVDKLVGNVPAAVPTDVDGERLLGIGNLQSQANPSGPLLQNSNDQLQPNTEHPATDQRSAIPGQLSISARPSNQVSPAEAQLLLPPLSQGTHASSPSQLPREYNVKPTSNYSSHSASAEIYPPYQALLSSELPELSAPPASSWNPLPPPPPRPQLGGPLSQYQQTQLPPRMNYPFQGFVRPCPPEMPTHSLVGESHLGTYPPALESQRPLSHTEDFRPRTFPMNYPIPQQPGGPRNFGEENFPRIPSPNLVSSNSNSQGDAHPHSLRFSREPGESVPPGGIRVPSSEGQAYMSRYSLPNPVADSISMLGEPGKINLRYPTDFPAGGQVSGMSDFGRSRISSHYNPYASTFDQPLSTKFSSGAFSRDNSSNYGAFIGQNHIPDEGKGVGSISSRNMNISPTSMHYFARSIPRSGGDQYDPLFDSIEPSLNSFRKSDHGNKIEGTDIPDMLRLRGSNKLLDLEENSKHKEVAVAASTSVENDEFGETADAEVGAVENDSPISPIDLPDVAPGEIEIDQVKNPGKTKKSKDSRSMKLFKVALADFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSGAMKKHQLPKSQAKINQYIDSSQRKLTKLVMGYVDKYVKV >KVH99287 pep supercontig:CcrdV1:scaffold_811:125379:143032:-1 gene:Ccrd_022486 transcript:KVH99287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLLSADRPETRRFSLDSSLDRSQSLLVPHLDSSYEVAAESADTATRDHRVGGVAVVVAKGSRRKQVEQITQEVQKTTGLDTEDIFLQKQNGVQYLAEVGHWNFEAMDQKDWLVTADSQCQATFPHPHQLEQQQGHYQPAYQHPRSGLQKGSSQFSDEKEDRWSSKDTHGWIAGDATSFILAILLHIASEDITDEESIQTTNNCTWKSLFTMTLDLLTSSFLRCATINKCFTGVVITILFNLLPHNELCWNLLNMLAAKCHERHSCPSKSVHGTTTNNASQPASTKSCQASTRHAMNLGIEPIPFLSVKGCAHDICLVDLEAIIVIADLGVLNQRRGRGSERREAYSIPDAGIAGPIHLRFQCCMPSNKDFKKKEKENYYHLLHVRIETITATKAPKRILKKATMSTPEGFLEVGPSSAAVVRDLEDVQRGELGGGEEGGRGGGPGGGDDGGGGGGGGGGGGGGR >KVH99290 pep supercontig:CcrdV1:scaffold_811:17823:19403:-1 gene:Ccrd_022480 transcript:KVH99290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLRPSPPLMAAQLRILLRRRYFSSPPPPPPPLQSIYRHQRFLNPQKPSIPKPYSLFIPFSLSFSKFFSSQTQIETSLQDSLDIAQTLSYELLKDPNDQSLPLNQRLDLSFSHITLTSTLILNTLNLSSKSGRTALDFHKWVSERTDFNLTDESLAHFIDYFGRRNDFKATHEVLVASKGVSGVKTFESSIDRLVRAGRPTQVVAFFDKMESDYGFVRNMDSLKLIVSKLCEHGFASYAEKMVTNVANEFFPDEFICDTLIKGWCVDGKLDEARRLSQEMYRGGFEIGPVAYNAILDCVCKLCRKKDPFRLQSEAEKVLIDMDVAGVPRNVETFNVLITNLCKIRKTEEAMNLFDRMGEWGCHPNEETFLILIKSLYQAARIGEGDEMIDRMKSAGFGGALNKKVYYEFLKILCGIERIDHALTVFVKMKKDGCEPGIKTYDLLMGKLCGHGRVDKANLLFKEAEKRGLAVEPKAYKLDPRFIKKPAAVKEKKRETLPEKMARKRRRLKKIRLSFVKKPKKAQRRAY >KVH99288 pep supercontig:CcrdV1:scaffold_811:164646:171439:1 gene:Ccrd_022487 transcript:KVH99288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLTDDNPDLQKQIGCMTGVFQLFDRHNMVAGRRFSCHSPKRLPPGSPQFDNGTPESESSSSYQRPYIVIVQDKHRASTESSRDSFSSMSRSSSFSSLDNVNRTTHPEPDHLVFPETPSRDSGIRQSCGSQMSRQGLDLRDVVKDSMYREVRGFSHKSLTKDEAPEHLVNYRESNDAHWYYNEPIELSRSKSYQFRDGSSFSVPKDCPRFSYDGRETNRLSFQSRDNAKTMTSKQLEELPRLSLDSRESSTRSLNSFSRNPKTDSVISVDRGPIQARPPSVVAKLMGLETLPDSGSASHKESVVGPIRTGPAEDGNSLSKSSQATDFFGPIKMQNSARSSLREPTSPCWKNSGMKPISRFPMEPAPWKQRDGARSPQKPGSRGMKSPTKIHSQYSSVYSEVDKRLKHLEFTESGKDLRALKRILEAMQSMEARKEGTHMVKRHSITTQNERFLGGGGRLSGDHQNPPSVTRGSNHLRAYESPIVIMKPAKLVERSGMGGSSVMHIEEFPIDTRKIFTNSKTERDPNPKSARGQTAANITDMKSGGRHTRTPPVSTKQQQFAKENTSGKSLGSISPRLQSKRLELERRSRPPTPPPESGKSRKPPSKQLSELSSPGGRRRPKYSNIQQNDDQFREVGSESKKLSYRETQEFNYDMVSKIDTVKSSLLLREDESVDPEYPSPVSVLDDAVYMDDSPSPVKHMLKTLKGPNEKFVKDQWEAQDDDSISLSVTSEINRKKLQNIEHLVQKLTRLNSSHDEAHTDYIASLCENTKPDDRYISEILLASGLLLRDLGSSLTTFQFHSSGHPINPELFLVLEQTKFRNLQKQDPDTPEKLLKKEKFHRKLIFDTVNEILAGKLALVVPSIEKWSRKPFKLTKKTLNAQKLLRELCFEIEQLQIGKKRESICLEEEDDGMKSVVWEEVLNSEESWTDYDDELPVIALEVERLIFKDLVNEVVLGEAFDGRRIKPGRRCRQLFSK >KVH99285 pep supercontig:CcrdV1:scaffold_811:106754:110723:-1 gene:Ccrd_022484 transcript:KVH99285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSTYLTLKVFCFQLKNSIIYSYHFWCHLETDSPPRSSLRSRSDPKTTLQGELTNMGRGVSSGGGQSSLNYLFGSGGEPKPTTVSTGNAEAPTLAPLGQVHVTATTEPPPKPAAAITPPDIAKQIPAGIQSSKLNNYTRADGQNTGNFLTGAGLPWVTFLVAVATDIVVVKYMNQVLCAVGLDPGRSKYSAFIFFNYRREAKFIH >KVH99289 pep supercontig:CcrdV1:scaffold_811:1992:13694:1 gene:Ccrd_022479 transcript:KVH99289 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MDNNNNLEHTLQEGKLYRYVNNLIVAHLRDNNLTQGLAVDKDDTLRGVSSSTLFDSSTALPATYGSIPASRAVSVDFSAAHDTKGSSKSFAKHETRHVSEHKVAKIKQMMLPEGRDGPVRPVVRTFYDHLQPVNDVDFHPQNTILISGAKDHTIKFFDFSKTVAKRAFRVIQDTHNVRSVSFHPSGDFLLAEWKRAAGTDHHVPHLYDINTFQCYLSANVQEIGVNGAINHVRYSSTGGMYVTASKDGAIRIWDGVNSNVVRSILSAHGSAEATSAKFTKDQRYVLSCGKDSSVKLWEVGTGRLVKHYLGATHTQLRCQIVIWDALSAEKVARWPSNHAGAPRWLEHSPTEAAFASCGTDRSIRFWKETP >KVI10931 pep supercontig:CcrdV1:scaffold_8114:7239:8254:-1 gene:Ccrd_010665 transcript:KVI10931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 CLYYLFYGVPNELCFIDIFSTSGIHFKSCKEAAFYLRDHSLSNVAEEKASEEMKQMEDACHKVPKSVNEVGSSSVPEGNVNLPSTDNLFDVKVASLIDCSSCGIAFEDIQGLEKHLASVHKKTTRRFDLPTTEGAGHRASGKQHAAMDIDEPNLDKPYAQQNGEGSPSSRKIGETQESVGVINGRFKTNCTWCNKGFLCEPVDAETMADATGFMCPQCKDKICGGFERSLSRSHQP >KVI10932 pep supercontig:CcrdV1:scaffold_8114:518:1247:-1 gene:Ccrd_010664 transcript:KVI10932 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site-containing protein FTTQKQPANSPSTRKKGTWFSAIKRLFTSNSKLNNVSNSMFNKQVFGLNLNFILLLLLLQEPKENKARFKHGSFFPRFREPSSIEKILGEIDQQHNLHLLQQSQPPSSTPTITSLTLVHHEITEPPLQHLSATRIQAAFRGYMARRSFYSKPLTTGILRLQGVVRGQHVKRQTVNAMKQMQLLVRVQTQIQSRRIQMLENQPLKPQPNKQLNIL >KVI03276 pep supercontig:CcrdV1:scaffold_812:120023:127312:1 gene:Ccrd_018427 transcript:KVI03276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MRCYWFVKRVMKVDAVITVPAYFNDSQRQATKDAGHVAGLNVLQIINEPIAATIAYGLDMKDDITCEINVLIFDLGGGTFDVSLVTIDEEGKIEVKAVAGNTHLGGQDFDNEMLDHFIKEFNRKRKVNINENLKAVGRLRVACEKAKRALSSTIETAIEIDGLHQGIDFSTRITRAKFEHLNADFFSKCIETVESCLKDAKMDKTDVDEVEISKRIHVDEAVAYGAAVLAAKLRGETSQKVKNLVFVDVTPLSLGVEKYDGSMCVLIPRNTSIPAKMGNIFHTVEDNQSIVNFPVYQGERLRGKDNNWLGSFQVAVLLEPRGQSTVNVIFDIDANGILTCSGEEVTTGLKKKMRVTHDKGRLSKEEIDRMLKDAEMYRLLDQEYKKKVSARNALEDYIYNVKRNIKTIGSTSKKKIHKKDMKKMENAIEAATLCLDRMELVDVNEYEKTLNQLENSSVLGFRTKPAYQGPERNPNLSLFLPNSFFSQIEPPCPKKGIWGEIERIDPKPRKCLSDIRVYTKIKSKQKLFQFLNAQNQKYHPIKREIL >KVI03274 pep supercontig:CcrdV1:scaffold_812:170106:176256:1 gene:Ccrd_018429 transcript:KVI03274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGSARSDHGSGKERLKWTQELHDLFEKAVNQLGGPDRATPKGILKAMGITGLTIYHVKSHLQDEDRRPNRRQAREKKHTGNVSKLWRNIVQKNLKLKIEAQSKFLEKLTEAHKTRPNIAKISKIVTSPTSLPSLCDVSESTMKDFESDSEVDTNETRYKQDLRNAKRGRVDHDDDFALTQRFKRTSLNTASVLLPKGGNSFPPQDDIFPWGLAFCQSPLIPASFNSFG >KVI03279 pep supercontig:CcrdV1:scaffold_812:55893:56700:-1 gene:Ccrd_018424 transcript:KVI03279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MEIQVNHVHSFSLKQPPFSAYPHHRTATTVKVHAVSGNPVKLIQSGTVIAIHPKDAAAAINDDQGYKLLDIRPEWEREKSRVTGSMHVPLFIQDMDNGPLTLLKKWVHFGYIGLWTGQYFTMMNPNFIDQVEKMVPDKTTKILVACGEGCGEEYE >KVI03278 pep supercontig:CcrdV1:scaffold_812:72126:76800:-1 gene:Ccrd_018425 transcript:KVI03278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal MGVDYYKVLQVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKTISEAYDVLSDPQKRQIYDQYGEEGLKGQVPPPGAGGFSGMPSDGGSTTFRFNPRNADDIFSEFFGFSSPFGGMGEMGGSRGGPGGQFPRSMFGDDIFSQFRGVGGGGESSASMQPRKGAAIERTLPCSLEDLYKGTTKKMKISRDATDATGRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHSVFKRDGNDLVATQKISLAEALTGYTAQVTTLDGRNLTIPINAVISPTYEEVVKGEGMPIPKEPTKKGNLRVKFNIKFPTRLTSDQKTGIKRLLTAS >KVI03280 pep supercontig:CcrdV1:scaffold_812:40743:54208:1 gene:Ccrd_018423 transcript:KVI03280 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSKQSYKFCCCFRRRFKLGEVEPPADIKELFSRYSENGIMTAEHLQRFMAEVQGDDEVTTEEAQAAVDSTIKELKHLPIFHRRVLNLDAFFRYLFGDCNPPLPFPSKVMHDMEAPLAHYYIYTGHNSYLTGNQISSDCSDVPIIESLKRGVRVIELDMWPNSTKDDIDIVHGGTLTAPVKLDKCLEAIRTYAFVASEYPVIITLEDHLTPALQAKVAKMVMETYGDVLYCSESDSLTEFPSPELLKKRIVVSTKPPKEFLDTTKSMKESDVKTKTSGEDAWGAEISTHFKKQKSVDEDDQDFQYEEETVKHNVEPEYKQLIAIHAKKLKGGVKDWLHDDPTAAKRISLRETRLEKAIENHATDVIRVFPKGSRVDSSNYNPLIGWTHGAQMVAFNMQGHGRSLWLMQGMFRANGGCGYVKKPDFLLKNGPDGKLFDPKSTLPVKRTLKIGIAGVEADSVMKKTKIIQDAWAPKWEEEFEFPLTVPELALLRLEVHEYDMTEKDDFGGQTCLPVSELRTGIRCVRLHDQVGNIYNSVKLLLRFEFV >KVI03277 pep supercontig:CcrdV1:scaffold_812:111137:114293:-1 gene:Ccrd_018426 transcript:KVI03277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3067 MIQAFLSLPKMTIHSNHHHNILKRGSMFSSIFHRSIVLPSFNPSPTPGTRKLLTDDDGLLSSLVDMVTCLQKRIQVNWTIVFPGRSYDVQLIKKEFMGKNLLALNVMWKYMEHRSFSLTEEEYVLKLDDVANTLK >KVI03275 pep supercontig:CcrdV1:scaffold_812:152701:160502:1 gene:Ccrd_018428 transcript:KVI03275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3594 MEMASISSSPRTVEEIFKDYSGRRGGIVRALTHDVDEFYGLCDPEKDNLCLYGHPNENWEVTLPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSVAFYLGARLNRNERKRLFSLINDLPTVFEVVTERKPVKDKPSADSGSKSRGSTKRSSDGQVKSIPKVAEESYEEDEDEHGDTQCGSCGGNYNGDEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPYCSMKRARP >KVI06641 pep supercontig:CcrdV1:scaffold_8123:13046:13300:-1 gene:Ccrd_015007 transcript:KVI06641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MRRAPYEQPMTVDQLKGLLKKFDTNGDGKLSRKELKEALKSLHLRFAGLKAWSAIHHADVNKDGVISDEEINELAKHIFKWLKI >KVI08869 pep supercontig:CcrdV1:scaffold_813:61319:64456:-1 gene:Ccrd_012753 transcript:KVI08869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSRDQPASPMTITFTTKLAANIGTIKPLPIFPTSIVNWIRGVKKAGEVPRESTEYVYQEKGEPTIHPANLRTKCEQHPTTKPDVNNPCVLQQMFINRRQFPFCVELAASIKNLTQRFTYRSKSPLIGGLSTSTVCISVIIKRTWRRLISIILGFPKILVFTYISEFRR >KVI08867 pep supercontig:CcrdV1:scaffold_813:120731:122359:-1 gene:Ccrd_012759 transcript:KVI08867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDERREAAIASSACLNPNFRPSSAVSRVQLSKFQELHKRRLQIKEKSKIKKKSKGKSSGPSSSEGKDHHADGCTSENPCKTVQDTTKYASLDSHQNNTSPMPKDVAASKKPEKLHWGLDTKERWERKSNM >KVI08871 pep supercontig:CcrdV1:scaffold_813:48319:53448:-1 gene:Ccrd_012751 transcript:KVI08871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, active site-containing protein MIRLFKVKEKQKQEAENATARGPLKKQSAGELRLHKDISELNLPKSCTISFPNGKDDLMTFEISIKPDEGYYMGGKFLFSFNVSGIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLYHLFTEPNHEDPLNHEAAGVLRDNPKAFRSNVKTAMAGGRVGDTNFARCI >KVI08868 pep supercontig:CcrdV1:scaffold_813:115192:120414:1 gene:Ccrd_012758 transcript:KVI08868 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MQAINFGCSGFHLQNRSSRVLSTSAGFSNKKGTACIIGTPRDSFFGSRLSSGHMGLELGRFGAAADVGSVFHSSVKSRSIKAQASDGEVAPLKSQPKSSGSVLPYVGVASLGAILFGYHLGVVNGALEYLAKDLGWVVSSLLAGATVGSFTGGSLADQFGRTKTFLLDAIPLAIGAFLCATATNVQTMIVGRLLAGIGIGISSAIVPLYISEISPTEIRGTLGSINQLFICVGILAALVAGLPLAGNPLWWRTMFAIAVIPSVLLALGMAFSPESPRWLVQQRKIGQAEKAIKTLYGEGKVAEVMADLSASGQGSEEQDAGWFDLFSGRYFKVVSVGAALFLFQQMAGINAVVYYSTSVFRTAGVASDVAASALVGAANVFGTMIASSLMDKQGRKSLLMTSFSGMAISMLLLSLSFTWKVLAPYSGPLAVIGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFVIGLYFLSVVTNFGISKVYLGFASICLLAVMYIAGNVVETKGRSLEDIERELSPAI >KVI08875 pep supercontig:CcrdV1:scaffold_813:68173:71818:-1 gene:Ccrd_012754 transcript:KVI08875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MAVNTTAVIAWGSGEDGQLGLGDNEEKEWVSSITSLNSVTVRSVVAGSRNSLAICDDGKLFMWGWNQRGTLGHQPSTKTENIPSQVKALDDVNIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEELEKKGDSGRTLRRDIVIPQRCASKLSVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQISTPVRVQGLERVKLIAVGAFHNLALVEDGNLWVWGNNEYGQLGTGDTQPRSQPVPVQGLSGLTLVDVAAGGWHSTALTDEGEVYGWGRGEHGRLGFGDDKSSKMVPQQVQLLAEEDIVQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGHPSEVPIDLPPPEDDESGSEVGRWCATYVACGGRHTIAIVEWQTTGPNPLI >KVI08863 pep supercontig:CcrdV1:scaffold_813:128842:131991:1 gene:Ccrd_012760 transcript:KVI08863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDYLGLFVKETSFYNQIVLGSLLPEKLWTPLPHFVQGWLRNYIAATLLYFISGVLWCFYIYHLKRNVYLPKDAIPSRKAMFLQIYVAMKAMPWYCVLPTISEYMVENGWTRCFSRISDIGWASYIWYLGLYFVIVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFLVPTHFTTHIALLFIEAIWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLRDPLEDEAKNI >KVI08859 pep supercontig:CcrdV1:scaffold_813:23116:24143:1 gene:Ccrd_012748 transcript:KVI08859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSCERERGRGKNKIYWNEEEGEALVNVDGGFKNGYMIEVHKRLVQRLPNFDKEVIPHIDSRIKYLKNKYNPLSEMLMQSGCQWDDVEHKINCEKQWYDDWCKTHKNASGLWNFKFSYLRKLDLVWGRDRATGPKAADIAQACEDSSNNKNVFLCSSDSEGEQEADAEGSPNSSTTIKSKKHKGLSPRREIYKNKKSPSLQSTLDTRLDEFNSKFESICGQMMSQYTATANVLTDATKSNSLSDEKMQEVMNELLNIGISTGDVGKAFEICYNEPTKVKVLFTLPTHMRRSYVLGFLYPVNE >KVI08873 pep supercontig:CcrdV1:scaffold_813:110974:114237:1 gene:Ccrd_012757 transcript:KVI08873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MLKVEELTPELQETLNANMDDVKARRRAREAFKDVQLNIDHLLFKTSCDGLKTKESYDVNSRGLEIFSKSWLPEKRSPKAVICFCHGYGDTCTFFFEGIARKLASSGFGVLAMDLPGFGLSEGLHGFIPSFSSLVDDVIEHYSKIKELRDLPRFLLGQSMGGAVALKVHLKQPEFWNGAILVAPMCKIADDVVPPWAVKQFLIGVAKVLPKSKLVPQKDLADMAFREPKKKPLVSLPLLILHGKADIVTDPSVSKALYEKARSKDKKLNLYDDAYHALLEGEPDDMILRVFDDITSWVDERSGMK >KVI08861 pep supercontig:CcrdV1:scaffold_813:136581:140277:-1 gene:Ccrd_012762 transcript:KVI08861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIAAKLAFFPPSPPSYTVAVDASGDGRLIIPEVPRRDGVDVLKLQTKRGNQIVTVHIKHPKARATLLYSHGNAADLGQMLELFVELTHRLRVNVVGYDYSGYGQSSGKPSECNTYADIDAVYKCLKDIYGVKDDELILYGQSVGSGPTIDLASRVPDLRGVVLHSPILSGLRVLYRVKRTYWFDIYKGTADEVVDYSHGKQLWELCKEKYEPLWLDGGGHCNLERYPEFILHLKKFSLAVGRPKSVKNGSKDVENQKKGASDLPEFPRSSLDGRVKKSNKCDKSRKSIDGFRRKKGLAW >KVI08870 pep supercontig:CcrdV1:scaffold_813:61126:65435:1 gene:Ccrd_012752 transcript:KVI08870 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like protein MALVPVCFKMCYEDQIVNKGACFIPCTTDQDVFGGISPVSNHRVKTVRFCYNSVGNLMKPKYTGMVKSANHLRSISASASERERLSRFAIGSSSREKQLRSLDSYFRKSKNDRNQPSPSSFYDDGDAHSRSAQSTDQRGLGPVGESLGQVLDRSGQFNAERELSSVDEHLKLDRDLVSSATKEETSHPTLNLVKNGQGTQAEVDDQGGSKSDYDETSGLYIISTMASINIAVYLFEIASPVRNSDLELFSIPALYGAKINHLILYGEWWRLLTSMFLHTGIVHIGLGCWVLLTFGPQVCRVYGRFTFFLIYILGGLSGNFTSFLHTPDPTVGGTGPVFAIIGAWLIYQYQNKDATGKDVYENMYQKAILATALGFVLSSFGPIDEWSHFGSVFTGIAYGFFTCPTLQIDDSSSQKTGKDDGIRLIGRNFRSTQSFLSLQFDIKVSEIEDLTMEVGKIGRGLMVPMLAANFVVNVIVIGLAGWSLDKYIDGEQNHPHLGGNPSTTYMLEFGLLGGAIGMCSLAMGLMHHRAWRSASLASAASSAFISWAITAIAFGFAWKEIKVGGRRGKRLQTLELFITISTFSQMLYLMLLHAGIFSRKYGPDYLSYRDDVVIHRVPTHSPSRPTTSSAD >KVI08865 pep supercontig:CcrdV1:scaffold_813:156094:160614:-1 gene:Ccrd_012764 transcript:KVI08865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MSSPNSIAITIPIPSAFISTSNTAMSTSDLAVLLPRVIIVSRRSLRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVTGVHSLLHSFEPIHGVLLCEGEDIDPSLYEDEETNLSPEELEEIRRVHVSDTAIDKEKDTIELSLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDIGKELTKTCPKENKVIHMDYDNYDGHRHEVSIVEDTPLHQWFKDSLEDKMEIQVNSYHHQGVKRLAQRFKPMAFAPDGLIEGFYDPDAYNPKEGKFIMGLQFHPERMRKPNSDEFDYPGCTAAYKEFVKAVVAYQKKINNTTKVPKSLKLDKELEQKRKAIARSFSLARNLYEGGSSIRQPKESDLKPGAEFLESNTALSLQQENRLKQMGATVRNASSYMERLKLNEEREKLARAVMGKMTIEQLSDLNLFYHMMGQICSEMLEKKQIQNNHMILGKKDPSFALAVTTSPKISLVKLDIDLHEFNNGVITLLLPIVESQANSSRLHESISQDTCTASFTCKTLSGIQYPFSRREDPIPCGYPGFVLDCNGSNSPTIDINNMTYHVLSIDQSSQILKIVREDVMESICPHDFVNTTIDDQLFDHFSTYTNLTFLYGCPESFNLPGVHLNQFSCDENGIDKVLVLPGEEGPGICKESVIIPVPATAIGSTGLVNSTGLSQVLRGGFEVRWKLDATACSRCTQSGGSCFYNYDTNRTSCACPGSPSITNICTMANTTRASSSPKERSLTIGGILAAIGIGLGLFVCRQRKKRQAIREDETAENKESVTAVSIKGLATDFPATITSYTSSTTTEFGNSSYFGTRVFNYNELEAATDGFDDSRELGDGGFGTVYYGKLRDGLVRRMATLVAELGFRCLQHEKDMRPTMREVVENLRGIQKEELNVQKQEVVDIVVDDGSNPPSSGSGATGNLVET >KVI08866 pep supercontig:CcrdV1:scaffold_813:164936:165223:-1 gene:Ccrd_012765 transcript:KVI08866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MKLLPIVALPLLAMALFVGVTQIKAATCDPTQLVSCLDPIVKGTTPSSKCCSKLKEQKACLCRYIKDPNFGKYVNSPGAKKVTAICRVPYPKCKS >KVI08864 pep supercontig:CcrdV1:scaffold_813:132967:137693:1 gene:Ccrd_012761 transcript:KVI08864 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding, CRM domain-containing protein MAPPCTWDKNFHPNSSSKHLFSADSFGTITVSATMFLSPTMLSNALNLPKKIHSSNPQIHHSSLSVPTPEIHDKKHEFNPNPSNSATKTAPWMKGPLVLEPNQVVDFSKPKHRNKSSDNKDEKSLTHKVSGGRGKHAMKKILYSIDNLEQESQELRDTQNNLQQIEFDLSLGKLDEDSDGGDKRWFRRKLPWEREERMVFRRMKKEKVTTAAELSLDVRLLERLRGEARKMRNWVKVKKVGVNEGVVEQIRLIWNTDELAMLKFDMPLCRNMDRAREIVEIKTGGFVVWSKKDTLAIYRGCNHKEMHTSFDGGQELNSCKTSYLGGVPFLRDKFGMDSTYQMVAGNDGKEETMVVQGSLYEREADRLLDGLGPRFVDWWMPKPLPIDADMLPELVPGYKPPSRRCPMNARSKLTDDELTHLRRLAYPLPTHFVLGRNRNLQGLAAAILKLWEKCHIAKIAVKWGIPNTRNEQMASELKVLTGGVLLLRNKFYIILYRGKDFLPPGVADLVADREIALKSFQLQEEAARTHTIETFHYIDEPLSDSSTVGTLQEFQSIQSKHQGFKPRTSEAEVQVETEKLKLEKEIRNQERKYFILKMKIDKVSKELEKLNSSWEPIEPNEDREIITSEERECLRKMGLKMDSTLVLGRRGVFDGVIEGLHQHWKHREIVKVITMQRAFSRILYTAQSLEAESGGILVSIEKLKLGYAIIMYRGKNYKRPLKFSRNLLSKREALRKSLEMQRIGSLKFFANMRQQAIYELKCKLDVLKHRDMNEEMAR >KVI08862 pep supercontig:CcrdV1:scaffold_813:151845:152306:-1 gene:Ccrd_012763 transcript:KVI08862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMQKFKMLATQCAVAGSPTRSPSTSPVIHLRRRKTLRMLLSRGGGGTRRLPPTNEFVDRRGSDVDSSEDEKDSGARRKLKDLFVSSSSPPPPSTLGESGRDGGEETNRWSGDDADLIGRRGGSRGLRALPGTLRQRLLRRAWRPVLVTIPE >KVI08872 pep supercontig:CcrdV1:scaffold_813:42404:44258:-1 gene:Ccrd_012750 transcript:KVI08872 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MTGGGSSGRLPSWKERENNKRRERRRRAIAAKIYAGLRSQGNYRLPKHCDNNEVLKALCAEAGWVVEEDGTTYPKGCKPTQNEMAGMSTNISSCSSIQPSPMSSSFPSPAPSYQASPTSSSFPSPTRCENPPNHSSYILPYLCNLSSLPPLRISNSAPVTPPLSSPTARGTKRKPDWEMLSASALQTFRHPLFAASAPTSPTRRHRVPPATIPECDESDASTVDSGRWVSFQTMAAPTSPTFNLVKPLSQQGFFQNGSVVQNGGGFEFEFESSRLKAWEGERIHEVGADDLELTLGSGKTA >KVI08874 pep supercontig:CcrdV1:scaffold_813:85361:86368:1 gene:Ccrd_012755 transcript:KVI08874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MATMSLSQRSTNFRSPNHHSTVVEEIGGLIRVHNDGHVERPLVVPHVSCMVPLELEVAARDVTIDQFNTNLWARVYSPINRRFSSKLPLMVYFHGGGFCVGSASWACYHEFLTNLTRVTSCVVVSVNYRLAPENRLPAAYDDGINAILWLKQEALKGTINELKWCDFSNLFLAGDSAGANIAYHVASRLVSRHVSTPKGMILIQPFFGGETRSMSEKKSSQPSNSALTLSASDTYWRLALPVGAMRDHPWCNPLARGAPRLSDLKALKTMVCAGELDILKDRNLELAASLAGSGSRVQSMVYKDVGHAFQVLKNYPMAQTRTHEMMIHIKAFINQ >KVI08860 pep supercontig:CcrdV1:scaffold_813:26681:28117:-1 gene:Ccrd_012749 transcript:KVI08860 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTLKKLHVAIISSPGIGHLFPALLFGHRLVNHHNLRVTILAVTTNTSPAESKLFNPFTSNLHLSVIPIPAADISSVVAPDAKVLTRICVMMKETVPAIRTTISSMDPLPDVLVGDIFGAETWVIAKEFRMPKYVFITGNAWFTALFAYSPVLDKEVVGQFIDQVEPIEIPGCKPILPEELVDPMLDRDEESYQVHVDQSILVTLADGMLINTWEGLEPQTLHALRDNDIMRSMLKNKPVYTVGPIHKKYEPTGLKGEVVEWLDKQPANSVLYVSFGSGGTISAEQITELAWGLELSKQRFVWVVKSPNKHCVDGSFLNAGQPSGPVDFFPLGFLTRTQNVGLVVTCWAPQVEILNHISVGGFLTHCGWNSTIESIASGVPMIAWPLYAEQKMNATMLTEELKVAVRPEVLPTKKVVGREEVEKMVRGLMEGEEGKAMREKVKALKVGAEEATSMNGSSYISTCKFVQDCVSQIDQYSI >KVH99446 pep supercontig:CcrdV1:scaffold_8136:10134:13877:-1 gene:Ccrd_022320 transcript:KVH99446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf19-like, C-terminal domain-1 MPQQHAKNKMRVPRPDDSLSWGKQKSQSMSVKDAGVISSALSSLQKFTNDGSFLKEFKSQQDDDSYSDRDGKVASDVSKSEKPSRVDEGHIKPALSANQLAAKVMQLRMKGKHDEAEKLLKEAEVHXGESKAGTITTNPQGDGTMSRYIMHGIKAREKMKEEDADMHVARLIVQNKKYSISGQADDEYDYDEGPKRKKRGKGDDVPKSMGYTRFEKRILTQQERCNFCFENPKRPRHLVIAIANFTYLMLPQWKPVVPGHCCILPMQQEMDVIFLETVMGLAKQSRHCLVECIPLPPEIAKQAPLYFKKAIDEAEEEWSQHNAKKLIDTSEXGLRNSIPKDFPYFHVEFGLKKGYVHVIDDESQFKSSFGVNVIRGMLRLPAEDMHRRQKHESIDTQKEAAANFGRSWEPFDWTKQLD >KVH99412 pep supercontig:CcrdV1:scaffold_814:35422:54499:-1 gene:Ccrd_022353 transcript:KVH99412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYGRSWPATKGQATSIGKPMTRWMDFHHDGVSLSLFLVTRLEPEPKNTMDLDMNIIPIKPCWDKNKKNVKRPKYPQICSSHTQQGLHKYCMINCLKGTPEVARNIRLRFGGEAKPKARNTWKYAITMDADMMGSMSQIERIPESNNPAFKLDASGTSILAETGKKAECRGNYFYGSFAFMEIQLIYGF >KVH99414 pep supercontig:CcrdV1:scaffold_814:139495:154055:1 gene:Ccrd_022357 transcript:KVH99414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKRSVVLRVFDWSREGDKADGSHRSTAFEQPGPSLQTSTVQSALPPIDGLKPIQVAAARGNRGAVEILFPLSSPVESVSDWSVDGIIEYMQSEVAKEQILLLLKTDFGLRGQQEQHEATDQQNENLPTVPSYVLLWLMLIPGVSITLSIWPSFSTVVLSSEVLVTPAELAFDRNSFVPRILFPVALLPLPVLPTKTRVLSPFKGAANSQSSSIELPPII >KVH99413 pep supercontig:CcrdV1:scaffold_814:92470:133148:1 gene:Ccrd_022355 transcript:KVH99413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGKRWLNDSGNSRSNLLWLRLNNSNNNGFTKRTSIYFLYNGIFANSRKWVENANSRKRKRIQKAKPYLREIARALKKLWRNQNLQSLVTCSDEDFLGTAICASGRRSEKGRILESHRQKSRRRGMLRQHQIDKPYIDFWSRSIASNPTKDKSIHGVSMTLSIWPSFSTVVLISEVLVTPAKLALDRNFFVPRILFPVALLPLHVLPTKTRVLSLFKGAVEQSSKSSLIEPPPIM >KVH99411 pep supercontig:CcrdV1:scaffold_814:33839:47182:1 gene:Ccrd_022352 transcript:KVH99411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MASTRGGTMAGRIPEMEKMSVEQLKAIKEQTDLEVNLLQDSLNNIRTATTRLDLASTALQDLSLRPQGKKMLVPLTASLYVPGTLDDAEKVLVDVGTGYFIEKTMEEGKNYYERKINLLKSNYDQLLEVASKKKSIADEAGMVLQAKMKQLAAASS >KVH88538 pep supercontig:CcrdV1:scaffold_815:52993:110714:1 gene:Ccrd_026587 transcript:KVH88538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILARFSRNEFSSTIGVEFQTRTLIIKHKSVKAQIRDTAGQERVEIEGIENPNSYNNLEKFGVKVEAFVEGGFSVYHENFQGGCEFWRL >KVH88539 pep supercontig:CcrdV1:scaffold_815:36673:39278:-1 gene:Ccrd_026586 transcript:KVH88539 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MALRKAMGAVKDQTSIGIAKVASNMAPELEVAIVKATSHDDDPASEKYIREILQLTSYSRGYVSACVHAVSKRLSKTRDWIVALKCLVLIHRLLNDGDAVFQQEIMYATRRGTRLLNMSDFRDEAHSNSWDHSTFVRTYGFYLDQKLDLIAYERKENNGGMEVPDRLREDRWRSPPYHGNDYGNNEFPNEPGYGGSMRRSRSFGDVREGSIGSSQEKKNVTPLRDMKPDRIFGKMGHLQRLLDRILSCRPTGLARNSRMVLAALYPVVQESFKLYADICEVLAILLDRFFDMDHQDCVKSFDAYVSAAKQIDELIGFYNWCKDMGIARSSEYPQVQRITAKLLETLEEFVRDRAKAMKSPEKKPEVKEQVREEEPPPNMNDIKALPAPETYTPPPPPEPEPPKAEPRHAGDLVDLREEALTADDQGNRFALALFAGPAANNTNGKWEAFGSNGEPEVTSAWQNPAAEPGKADWELALVETASNLEKQKAAMGGGLDPLLLNGMYDQGMVRQHVSTSQLTGGSASSVALPGKTATPVLALPAPDGSVQTVGGDPFAASLSVPPPSYVQMADMEKKQQLLVQEQGVWQQYASQGMQGQGSLTKINNGGYIAPGQPPVMPYGYPPVNGAGYYYPTSY >KVH88537 pep supercontig:CcrdV1:scaffold_815:111359:115663:-1 gene:Ccrd_026588 transcript:KVH88537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MELELSLSPPYQKTPSIINKSFHGGDDDRCSSTVTRFPRVSWNQDEDDDNNKRFFNGEYQQDMDGDGEGLIGWPPLHSWRKRFMEENHSGGEGFNGRVDEEGENINVMNNNYNELLFVKVKMDGVGIARKIDLNAIHSYQMLTSTLIHMFHKYVENDEDGASYKLMYQHKDGHWLLAGDIPWEYDVHSDSTTYTNGKKVMLMDHL >KVG00611 pep supercontig:CcrdV1:scaffold_8154:4743:10304:1 gene:Ccrd_026589 transcript:KVG00611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHDSKSKPNLAKIGADAFALLDDFSGGNKSKPKPWLSKVSVPPRRTPNKLFHYQYQPEEAYVIREQVYVAPVEEMRIETVVDCYEAAKSSSSKTCYIEQLEKANKMYERFDMKRLVPMMSVPMVDVGGEWGEETVDLASF >KVH88534 pep supercontig:CcrdV1:scaffold_816:164023:165729:-1 gene:Ccrd_026593 transcript:KVH88534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MASKSTTTPPHSTVKSPASPPSYMGASGLKHHVVVDVVLRVMLFATTLVGVFVMVTSKQTKMIPVAPGLVIPLDAKFTQSPAFIVITGVLSILALIKRKGSSAEVLFHFVILDALLLAIMASATGAAGAVAYIGFKGNSHTRWNKVCDIYDSFCGHVAASVVLSALPSVALLLLVWLSVFVLSKKITRQ >KVH88535 pep supercontig:CcrdV1:scaffold_816:18100:19289:1 gene:Ccrd_026590 transcript:KVH88535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MASTDTRAPSQVTTQSSVKWASQLSGYLAGRGAVAVKNHARVDVVSRAFLSVTGLVSVIVMATSKQTTILPVSPVMTVSVAAKFSHSASFTYFVAALAVACLYGIITGIVSYLALKKQGGSSTEQLIHMVILDSLMLAIVAAATGAAGGVAYEALKGNPHIRWNKICHXYDIFCRHLGIAVFTSLLSSMTLLALVWLSVCVLAKNSGRR >KVH88533 pep supercontig:CcrdV1:scaffold_816:104984:106203:-1 gene:Ccrd_026592 transcript:KVH88533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MSAIDITAPPPHATIDMEIPIKSSAPPPPEYKAATGDGGIKGHGHGVVEGILRALLCVTSLAGVIVMVTSKQTELIPISPTMAVPLDAKFNHSPSYTYYVAALSVAFLYSILLFGIVASAVGATTGVSYIGLKGNSHSRWHEICHEYDSYCRHLKGSIALSLMSSIELLLLVWLAVYVLSKKIRVSSKY >KVH88536 pep supercontig:CcrdV1:scaffold_816:67427:69937:-1 gene:Ccrd_026591 transcript:KVH88536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MWHSNTLARNTSFTLQFSLRSLAMALKDTTATPHATVNVELPLKSSAPPPLEYKLATGAAGVKGHDHGVFEATLRVLLCVTSLAGVIVMVTSKQTKMIPISPTMAVPIDAKFNHSESYIYYVAAVSVACLYGIITGASSVLALKKTGGSSGKLHFQTVILDSLMLGIVASATGATTGVAYIGLRGNSHSRWHEICNAYGSYCHHLGISIVLSLMSSIELLLLVWLAFYILFKKIRGSPKY >KVI02914 pep supercontig:CcrdV1:scaffold_8165:9598:14420:-1 gene:Ccrd_018795 transcript:KVI02914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MECHDAGATPPATLAEFTLGSGSTQDFYDVSLVDGYNLQMIVEVNGGSGNCVTTGCVDDLNRWCPTELRVDGGGGCRSACDAFGSPEYCCKGAFGTPETCRPTAYAQWSKHSSVNFMPSTILQAKPKAIVVT >KVF99584 pep supercontig:CcrdV1:scaffold_8168:17904:18215:1 gene:Ccrd_026595 transcript:KVF99584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase, C-terminal-like protein MADEVKLYAVGGSPFVCRVKIALNLKGINNKSADLLKYNPVHKKVPVLVHNGKSISESLVIVEYIDDVWKGVPILPQDPYEKAVARFWAKFIDEK >KVF99583 pep supercontig:CcrdV1:scaffold_8168:3597:12784:1 gene:Ccrd_026594 transcript:KVF99583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MADEVKLYGYAEGSYVCRVKIALNLKGIKYDNLEEDLSNKSADLLKYNPVHKKVPVLLHNGKPISESLVIIEYIDDVWKGIPILPQDPYEKAVARFWAKFIDDKCMPALFKVYSSNEGEQAMAEACEQLQILENELSVKGNKFFGGDNINLVDITADFIAYWLGVIEEVTEIKLVTKDKFPKLTEWADNFFIPAIFKALSSNGDEQAIAEASEQLQILENELAVKGKKFFGGDNIGLVDIAADFMAYWLGIIEEAAGIKLVKEDKFPKITEWADNFANSPAVKEILPPREHLLGFFKAKYGKA >KVI01661 pep supercontig:CcrdV1:scaffold_817:134029:159982:-1 gene:Ccrd_020061 transcript:KVI01661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDTARRWGSLNPARAAAIYLQIMKKTHDPKRDEQKEESDYLKTFELVETWSAETKLTTLEAVPPGQQESNIRPTERDEVGFGFLSDDGGGFGEPEEGCKEQIWATDGGESAMVTDCDKAKHCSALGFKHSDEKEKVRAIVYLMKEKVRPKSIHTQSVRWRQSSMEAEHGVRWRVSPMEGVADGGSRCDGGSRRWRQSMECDGDTSSKSLFLSDGGVGGEEDGLTEIVSGRGGKAGLMEMVSGRGEGLFPHRWWKENLKVFVYRRGWFSAKKVEIFVEFVRRMFRHISSPNHIDSKQEQVWITSRHMGRESSHGKARPNDTILKLPRTTPFYKRNEPLICSFFIRGECTRGAECAYRHEMPVTDELSRQKIKDRFYGVYEAVALKLPNNTGDMPSLKPPEDESIRTLYVGGIYGRVSEQDLRDHFYAYGELESVVMVPQRACAFVTYTTRKGAEKAVNKLSNNLEIKGLRLKLMWGRLKIPKAESEVVSNEERQKSIAHNGYLPKAVISQQQKQMIQPPGTAASQDQQPPPRIHYVNIPPTSPQLRRPFYASTDPERTGVIAFQERSAVSGSGENRTGGSVLADSGYPFFCFVLMAHRILRDVEADGWERSDFPIICESCLGDNPYIRMTKANYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGLDYESSYGKARPNDTILKLQRTTPYYKRNRAHICSFFIRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGYEDESIRTLYVGGLDARVSEQDLRDNFYAHGEIESVKMVLQRACAFVTYTTREGAEKAADELSNKLVVKGLRLKLMWGRPQAPKAEGEVVSDEARQQSIAHSGLLPRAVISQQQNQMIQPPGTSAGQDQQPPPQMHYFNIPPPPPQHGRAFYPSMDPQRMGAVIPSQDGSGASGLGENRSGAGSSDQRQQGGPHYAAYPPPPPPPQGGGQYYQQYYPPAPYGYAPPPPTQPYQQYPPQSHPSAMPPPGPSGEQAYQQKAPPPAAAAGPPPPSPQQQ >KVI01660 pep supercontig:CcrdV1:scaffold_817:133749:136667:1 gene:Ccrd_020060 transcript:KVI01660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVALLLLLVVVLSADMLVHHLVLTSSCLQQKQNNYKKKKNAMVSTLVVNSISQTQQAEWKVTYSTIMLLCKVFPVHITLWNGIIRVDTERSITNLNWQAIFKIEKAHLANVFQLAASLANFTFLVSCIPTRSPPEDCEGPSADLALLIIICFCLH >KVI01668 pep supercontig:CcrdV1:scaffold_817:6054:7865:-1 gene:Ccrd_020053 transcript:KVI01668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MSTSGDAESTSSSVPNSAPGSPRCRVKFLCSHGGKILPRPADGHLKYVGGETRVISVPYNITFTDLMKKLSSLIEGDVILKYQLIPEDLDALVTVKSDEDLRLMFEEYDRHELIGTPRLRTFLFPANPIVLENQMGPMDHHSLEQRYINSVNGIVFHPTPTPSYRNFRPPAINTGQPIFSINSACSSPGTPPETTIPTTVPEVTNPEITVFRNKLSAMPRARSSPNLCNLGGNSQSNHMNPTPISTLNLSIGNQQHPHHYPHHNQNHRQPPPSPQSQPQPHHLHLHPHPHPHSSSPKPPLDPHPHKNSGQDHLLRQRSNDYYRHPTEHNQHAPPNHYGRPNRGTTGHMGYQRGSPYDQYYGNNRHDRTESPPGSPLARSPLQNNYISKWDSFGGRT >KVI01665 pep supercontig:CcrdV1:scaffold_817:24810:30804:1 gene:Ccrd_020054 transcript:KVI01665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLPAAEAANVAIQSIGCGYDISLDLRLKYRKGDYFSNDGNNRNRNCRLIEIDEAEGRDIVLPGGLLIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISLTGKIPSGLFNTMFEFSGSWQKDASNTKTLAFDGVFISLYTVALEKSQMVLCDHVKKAVPSSWEPVSLARFIEKFGTHIIVGVKMGGKDVIYMKQQHVSSLHPADVQKKLKAMADKRFLGSDGEYIDSEHMPQNDKRAAAKDLVSICKRRGGSDDRNLKHDEWLHSVQLEPDVITMSFIPITSLLNGVSGSGYLSHAINLYLRCEQIFFLLSETDKPPIEELHQFLEFQLPRQWAPVFSDLPLGPQRKQQSTSSLQFSFFGPKLYVNTNPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPNVFQLEDDVNGNFNEESYDRRYYEKVQWKHFSHVCTAPVESEDELSIVTGARLQVGDHGFKKVLFLRLRFSTVLGAAAIKNPEWDGSPGLARKSGLISTLISHHFTAVLKPQPQPAEVNINSAIYPGGPPAPVQAPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVDKGKISLRVKYSLLTVILPDEEETDEAF >KVI01666 pep supercontig:CcrdV1:scaffold_817:89768:102338:-1 gene:Ccrd_020057 transcript:KVI01666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MEMLKQGVTKRGTGFSGRSQKPIHLYVLDDTYFSHFLTSSPSRRENPSRHIRMKRKRASGEQKLKRSWGKKTLQKDAALVAEFDVVNDEAEEFETSMSCPPLRKSVSDEKTEENVNSLHIETEIPRTGTIMSGADLGIEKAVYGRMENYPNSLREMIVSIIKEMSKEAGGLANLSRSLVDNPTSNGQMGQPHVGMDLEENESRLRQDPEYKQQELNAALTVIKKTMKLDAADPFNRPVDPIALEIPDYFDVINTPMDFGTICNNLENGLKYMNSADVFKDVQYIWYNCLKYNKKGDYILELMKRVKTFFMKYWIASGLYTEQSPAIVESGLQVQPSMHNEDQSVSPVTPIAGKVLPSQVHSRSTSLNEHKPRLSTNEHHHAPECTDADAGAAESSMILRHNKREKDYPLAPLEDNFAHLQQNVPGSNSNQELENQPWPINMQAGQPHQSSSHPQSSPQRNEPNTVTPDSLSIQKKRQGRGPTRCVKLLNTVGRIRIATNELGQPVGPEASQLTSFLGLTARDGNLAPLTYSSWSKVPEDNKENMWQKVLTKFDIDPCSRSWVLMSLGTKWRNFKSLLKSTRYDTHATDEERLADRDERVLADQWSVLVSQWSSQKWQSISAQNKANRARQKFSHTSGKKSFARIREEERAKRADGQEPSRAELFILTRTRKNGQPVNEATAAVISQLRESATQKEETLINKDEPQDDAYSRVMGQDRKGGVSLYGLNANPSRPGIELPTRAEALKMVTDKNAEVLEMKEKLALVEQTCSQMAAQMSVMVSMMATMQKGSPVENFPSAAEGSLVPVGMAYQSEPISTSHDEVPAKQVDDSKDPGKAISPPVYHNVQLLVRFDNLLKGYPC >KVI01664 pep supercontig:CcrdV1:scaffold_817:108655:116083:-1 gene:Ccrd_020058 transcript:KVI01664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MGESSAQNLCLKILVAKDENKVIMAESDKDFIEVLFSFMVMPIASAIRCTRNCLSGGIGCLSNLYGSVENLDMNLLEDERFQDVLLNPRSAAEIYCKNLEMNLIERSCYDFYVCHNNECKVISYYKLGKCRCGEAWKHRLEIAPGSRFFPEVEGGFLKSTVRFIITDDFKVMPVATMADLTLLSELGKYAKVEERTIKIGRDEVLNLLKRSLISKTPLSETFLKPSIDKSNIEHLNMKYRPGGMNPGLKVTDFWNGKPRICLKLFFDKVNDIVLYAVVEEDFVNMLCAFLAFPLGYIFNQFPCLSFEGCMGNLHKSIQGADINLFSHEERKEMLVNPKLSPGLAYTSNLIDIEEATVPSYSTFSPLLEAKYKILRSNPNLGNQKVFDGFVKGPATFLVMDNLEVKPLSPISVKLLIDKLMVPLSDIGEQAVILDKNKAMRLLAASLASKHALTSTFLHKETNLDCFDFLRVMWGGKRFGSKHY >KVI01663 pep supercontig:CcrdV1:scaffold_817:129446:130096:-1 gene:Ccrd_020059 transcript:KVI01663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 MSTSLRARPVTAASSDDEAEVEVVDSPKPPPPPPTMSQWAISQTLASTAQLANLLPTGTLLALQTVAPIFTNHGSCDTVTRPLTAILIGFLTVACFLASFTDSFKSSDGRIFYGFATSKGMWVFDSQAAEASASGLPDLRKYRLTAVDWIHAFVSAFVLVTVAMRDRSVVSCFYPRPSHEAEEVLNIVPLGLSLVCSLVFVIFPSKRHGIGYPVSH >KVI01662 pep supercontig:CcrdV1:scaffold_817:156748:160915:1 gene:Ccrd_020062 transcript:KVI01662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter MDGITRALQCLALSQSVTMALSPPSVAQIYTKTRTKKDSKNTMSPALCIPPPVLRTHHHHHSRIQIPLLRSNITTKPANPIFQNPKQLRSRSRISTVRSCQQNPGESLPSNTRLETLLSAAASLYPVYVTVGGVVAFLKPSTFSWFVERAPDSYSFSLGFIMLSMGLTLEFKDLLNLFMQRPLSILFGCASQYTIMPAFGFVISKLLGLSPSLSVGLILLSCCPGGTASNVVSFVSALQVSTSSNVTLLAQGDVPLSIVMTVCSTLAAVFLTPLLAKVLAGTYIHVVVAPVLLGSYLQSTFPKAVKTALPFAPLLAVLTSSLLACSVFSENVARLKSSMVLTSLSSDISPLVYAQAFLSSEMGMIVLSVLLLHFAGFFVGYIAAALAGFKEPQRRAVSIEVGMQNSSLGVVLAASHFSSAMVAVPPAVSAVIMNIMGSSLGFFWRFVDPSDPQCSSKD >KVI01667 pep supercontig:CcrdV1:scaffold_817:78795:88573:1 gene:Ccrd_020056 transcript:KVI01667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, catalytic domain-containing protein MMDDDQSQPLVVNHSPDKTPESPRRPLKIAKFSQEDENKTMGQNPSTIQRYLVAVEYIGTRYSGAQQQSPKFRTVAGVLESAFHKFIGQPVSIFFSSRTDTGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVKKAVNHFLQKYEGDIMITDVRCVAADFHARYKAQERTYFYRLLSGPESLSTFEKGRAWHVEEELDLPAMQEACKVLVGHHDFSSFRASGCQAKSPIRNLDELNVIEVSPSPYFPSAKERETHNSLSCSSTQNKAEWSIGEDSRGFGMMRRHRSFVVTARARSFLYHQVRLLVGAIKSVGTGDLMVSDVERILEAKTVTANGPMAPAQGLYLAHVKYDLP >KVH95022 pep supercontig:CcrdV1:scaffold_8170:6820:8278:-1 gene:Ccrd_002909 transcript:KVH95022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPIWPESLPINRKKAIQELTQGQEWTDKLREILQQSENIESDSASLDGVVDRILGMFDNTLSIMGSRTSNEPLQFLTNYLQTSYSFDEQKSKTSDLQSLCSLELDERKLRKSDETFSLQTSYLESPRSSDENKSKKYDETSRMVIPVKMKRGCHKRDTSILLNFKAKELTGNKQVNHFFQPMKHEPNEGSPSLGNQRDNQSTPSDDCLLWDLIMKVPQVPLESVSMSVGLDHEIYLWSEHGDMTSSYSSMASTERHEMDYMFESNDFKDFSF >KVI06784 pep supercontig:CcrdV1:scaffold_8171:8231:9103:-1 gene:Ccrd_014861 transcript:KVI06784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEERIASPPLTPLPEKPATKVDPIMVIVLVCFLSAFFMICVVSAYFRHYAERQLILAASTTNGSETGSMRSVVHGLDPAVIATFARFSYSSVKGIKIGHTALECAVCLNGFQDHETLRLLPKCSHLFHSDCIDTWLASHITCPVCRADLMPRPGELNHMTQSLHQPIDPDIDCGSTEFLLPSESKHDISTTLEMPRSHSTGHSVVVRPIENVERYTLRLPIEARDLFVNPITSLPTSPHTVFPMESSQKMSFRSVSVGSTRRLDYVRRDRRQDGTSRDGSSGSHVSKWWK >KVF98641 pep supercontig:CcrdV1:scaffold_8180:2075:3221:1 gene:Ccrd_026596 transcript:KVF98641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 DNVTLIAPKESPNTDGIDISATNGVHVNGGTIQTGDDCIAINGGSSNIHIDGLFCGSGHGVSVGSLGRNGKTDIVRNVTVINTTFTATQNGARVKTVPGGSGLADDITFSNITMVAVENPIILTQFYCPHKQCNDIAPVVHVSDVTFKDIHGTSSKPDAINILCSKSPNSCAGITLEQINIGAANPAQXVVSNCHNTQVQTIGVVSPPIVCTPASFISMDTVTHEQPXKDII >KVF98617 pep supercontig:CcrdV1:scaffold_8183:7127:11845:-1 gene:Ccrd_026597 transcript:KVF98617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MEEVSNKQVVLKDYVNGFPKESDMLINTSATISLNLPQGSNALLVKNLYLSCDPYMRNRMTKTEDSYVPSFTPGSPIAGYGVAKVLDSGHANFKKGDLFWGFTGWEEYSIITAPESVFKIEHTDVPLSYYTGILGMPGMTAYVGFYEICAPKKGEYVFVSAASGAVGQLVGQFAKLLGCYVVGSAGTKEKNVGGKMLEAVLLNMRLHGRISVCGMISQYNLEQGDGVRNLFCLVSKRLLMKGFVVTDHYHLYPKYMEMVMPLIKEGKICYIEDIVEGLESAPAALVGLFSGRNVGKQVVVVARE >KVH93851 pep supercontig:CcrdV1:scaffold_8184:2784:10386:-1 gene:Ccrd_004093 transcript:KVH93851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MPTITTTFRKPLMILDCKKKGLELVSDIGVGSLVKDGHELKGFVKLYHWELEHLFQISYRGDVIQIDTWISAFGKNGMSTNWMLCDCKTGDILMRASSTFVMINKETRRLSKIPLEVRAELEKYFVDTAPIIEEITSNFPKLDKNNVYVRNELTPRWSDLDANQHVNNLKYVGWILQDVPERILENYELASMTLKYCRECTMGSVVHAYTSILGNNNGGIAYYSHVDCQHQLQLDVAGGDGEIILEGRTRWRPKNTTTSFFHKGSKDDDDVDEES >KVH88532 pep supercontig:CcrdV1:scaffold_819:32080:56686:-1 gene:Ccrd_026599 transcript:KVH88532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGLLLSAYPNTGPQWSLKRILRGTATSNSRYMINRNVKSLSSSSEAETGVSGELRREILEQVDKELSKGDDRAARSLVKDLHSKPGGLRCFGAAQQKGHDATDNGSEAQLQFAPASSRGLFGEEDTSCQRLHVPQRLYSLNELKLNGIETSYLLSPKDNTLGAIERNLLIAALLGFISAWSVFDLGPEELLYFSLGLFFLWTLDSVCVLKLLAVSFGGGFSSLVLDTIGHKISQKYHNRVIQHEAGHFLIAYLLGILPKGYTLSSLEAFQQEGSLNVQAGTTFVDFEFTDEISAGRVSATMLNKFSCIALAGVATEYLLYGYAEGGLADVSTLDGLLNSLGFTQKKADSQVRWAVLNTILILRRHEQARAHLAKAMSEGKSIASCIDVIEKSIDETCI >KVH88531 pep supercontig:CcrdV1:scaffold_819:7939:31142:1 gene:Ccrd_026598 transcript:KVH88531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast carcinoma amplified sequence 3 MRRNQNNADNDKHDNANGLIPNSFRFISSYIKTVSSNVRSAGASVSGSVSGDSSDELRKDQVVMWPLACGMYALCAMAIAIWLSSHKQLELSPSTIKNVLLLGYANGFQVLDVDDGSKFSELVSTRDDPVTFLQIQPMPEKSEGHEGFKMSHPLLLVVASEEARLSGLSYNERDESQLENSTYSSRAVRFYSLRSHSYVHVLRFRSTVYMVRCSPRIVAVGLASQIYCFDAHTLENKFSVLTYPISQLGGQGLSGINIGYGPMTVGPRWLAYASNNPLLTNTGRLSPQNLSPSPGVSPSTSPGSGSLMARYAMESSKQLATGLINLGDMSYRTLSKYCHELLPDGSSSPISSNSSRKAGRAVAHSTENANAGMVVIKDFVSRAVVSQFRAHTSPISALCFDPSGTLLVTASVHGNNINIFRILPSSQNESGSRNFDWNSAHVHLYKLHRGMTSAVCFRSFFLCFNYSQWIAIVSSRGTCHIFLFSPFGGETGIQLQNSKSIGRTLSAFVSVPWWFTSSFMKDQSLSPPAPAAITLSVVSRIKNNFGWINTVSGAASGRVSAPPGVIAATFHSSVRHNAEPAVSNADILKHLLVYTPSGYVIQYELLPSIGREQGEYFLRVGTASQGQAQDEDLRVKVEPIQWWDVCRRTGWPEREEFIGGAASRGHNPAATVMTSSDDEDITVEKDSIKHQERSRWIPHAEVQMRSGRVPVWQKSKIYFFVMAPQLSEELNIGGEVDIETIPVHEVEVRQKDLLPVFDSSSVVHSGWTDGRVVAAGRYSASSSNNDSSDEKFPQDSTVFRGSSLAPANMQNMEQMDPRKSYPILASTVNNNDSVNRISSFARVDGVLTSALEEIMNSPSPGVAIAPSSESIVTSDASNPGSNRSDLSMNMVDEVPMHEDMLEFGHDFQQEYCKPSTEEEISPKLREKADVDGNNSRHQRSKSEEDGDSDS >KVF97774 pep supercontig:CcrdV1:scaffold_8193:1015:8905:1 gene:Ccrd_026600 transcript:KVF97774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24, helical domain-containing protein MAVRATVSRFPVDADQLDTSGVLWGVAVTPFATKDENGSSPMYGSNGHLIPRCENCWAYYNTYCDQEQWAWTCSLCGTLNGLSAETIARYSLPNSAPENMSSFIDLELPLEGSEEEDMQARPVYVAAVDLACSEEFIELTRSALLAALEALAPGSLFGLATFSHKLGLYDVQGPIPVIKNVFIPPDSDGTLPVELEDAMPLFSFLASVETCKDRITNALETLRPTTSWERNAGAGQGLGGMQQGGRGFGLAMEALITYLGSEYGNTFALGLMILVIFVPVCLSFTISETCIYCSRNSGRIFGFLSGPPDYGPGQLDTRRYSEQYASKGEDADHALLPEQMPFYKDLASVAVQAGVCIDIIAVTNGYTDLASLKFLSIESGGSLFLYPNTDDSTLPQDMYRMLSRPYAFNCIMRLRTSSEFKPGNSYGHFFPDPQYENVQHVICCDSYATYAYDFDFVNDTGFSRHTAEPPTLQLAFQYTVVVPPQELSSSQLGLSSRSKYMLKRRLRIRTMQFGTANSINGIYDSVDPETVLVILVHKVILASLNEGVQEGRILLHDWLVILTAQYNDVCKNVRNEYVNSASSLIDVTFAQCPQLQSLPRLVFALLRNPLLRFHGEGVHPDYRIYIQCLFSGLEPSSLQRAIYPMLTSYATPDKQAYPRHSLSRAALLTSGSPIFFLDAFTTLIVFYSSTADPMLPYPPPHDCLLRRTINKLKQERCITPRLMFIRGGQDDATPFENHLIEEQDVDGSGITGDVGFVSFLEEVSQSVLE >KVF97773 pep supercontig:CcrdV1:scaffold_8193:9951:14576:-1 gene:Ccrd_026601 transcript:KVF97773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MVTAATPTAGEKVVADADELPKAIVRRLVKDKLSQLSQDGGEIQVLKDSLLAFSESSRIFIHYLSATANDICKESKRQTINAEDVFKALEEIEFPEFVASLRTSLEGNSGADSCDLRGIVWWALLSSSSLSCNDLEFKRKNAKRKSESAKSKEAKKSKTEEPPMENGEAQENGEEDRNDAATAAVVVVVDSE >KVH90321 pep supercontig:CcrdV1:scaffold_82:68752:77226:1 gene:Ccrd_007640 transcript:KVH90321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKSNSSSSSGKLIIVVVFLVGFSAWAYQAACPPPPKKLGSVDITSPRIKLRDGRHLSYIESGVPKEKAKSKLIYVHCFDCSKYHNPFAVSASPAVVEELGVYIVALDRPGYGQSDPDPKRTVKSLALDIEELADQLNLGPKLVGAVLISPAVNYWWDNIPSNLRKEAYSGQLEQDQWSMSVAHHLPSLTYWWNTQKWFPSFSVIAGFSPAIYSTSDVQVLSNMVARMDPDQWEFDPMDVENPFPNNNGSVHIWMGDGDLIVPVTLQRYIAQQLGWIKYHEVAGGGHMIPFADGVTDTILKTLLNREGDGDTHKRGKIPNWKSGVNEMANPRRNSYSTINEIPISIPEINTAPFQSQTLAARLSRFKIFLKKPQAFPFLLSIFLLLTWVFLRIQQRNSQFLSKPNQSNDISRRFSIDDDQDRDANLIRFTSGFPSPITKDNRGWMLDPVSIAIDSGIPGGAMVCASIHAGEIRPGGLRGNHRHHTCNETLLIWGARTMFRLENNALGKGYAQVTVDADEVAVAVSPSGTAHALKPSSEWHMVRVILKGCFLVLWLMYVYFSYFHLCFKFLAVDLHLFI >KVH90325 pep supercontig:CcrdV1:scaffold_82:379578:384199:1 gene:Ccrd_007660 transcript:KVH90325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-8 [Source:Projected from Arabidopsis thaliana (AT4G32880) UniProtKB/Swiss-Prot;Acc:Q39123] MMAVSSACKGDTMGMDNGKYVRYTPEQVEALERLYHDCPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQAVNKKLSAMNKLLMEENDRLQKQVSNLVYSNGYFRHQSQPAVATTDTSCESVVTSGQHHLTPQHPPRDAATPAGLLSIAEETLTEFISKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVASRACGLDRPSWFRDCRAVDVLNVLTTGTNGTIELLYMQLYAPTTLAPARDFWLLRYTSAMEDGSLVICERSLNHTQNGPSMPPVPHFVRAKMLPSGYLIRPCDGGGSIIHIVDHFDLESARVPEVLRPLYESSTLLAQRTTMAALRQLRQISQEISQPMAVSWGRRPAALRAIGQRMSKGFNEAINGFTDEGWSLMESDGLDDITVLINSSPDKVMGATPMYADGFPSISNGVLCAKASMLLQNVPPAILTRFLREHRSEWADSSIDGYLAASVKAGPCGLPLARSGNFGGQFMEVIKLENMSNYRAEDMLVPGDIFFLQLCSGVDEKAIGTSAELIFAPIDASFTDNAPLLPSGFRIIPIDNTAIYPSQNPTRDLASALEVGPLVNRASADYLGQRGPTKSVMTIAFQFAFESHLQENIAAMAREYVRSIISSVQRVALALSPSPFGPRNLQAPSGTPEAQMLARWICHSFRCFLGEELFKTVDERSDSMLKTLWHHSDAIMCCSLKAVPDFTFANQAGLDMLETTLVSLQDITVDKILDGGGRTNVCSELPQILQQGFACLPGGICLTSMGRPVSYERAIAWKVLNDEEIPHCIAFVFVNWSFI >KVH90337 pep supercontig:CcrdV1:scaffold_82:297707:298801:-1 gene:Ccrd_007652 transcript:KVH90337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MERLGDFELSSIFKRIHNPDDRRSFFQVSKQFLKVACTFLLKSHISSPDFLYEILPASPNLVAFECSKPLSNTHMKLLAQSCPKLQYLKLSLEQNSHPDQMDSESGEFDFDDDGLCAVSNACCHLFEVILCRRLHVGDVGVASLIRSCKDLAILDLSGCVGVKDESLKAIGEASRLSILILHGCSLITDLGLEYLANGDVKNCLEELVLAECDRISDGGIIFLKQMVRLTDLNLSKCGANVTDFGLMALFQIGNFERLNLSWLLNVTDISLFDIATWCLKLTAINLTGCEAVTGGGLCAFANHRTLEEVTLFSCHNFSWEDVELVALTCVRLKYLGISRTAKMSMPEVIQDGFYVINNCWIDCE >KVH90345 pep supercontig:CcrdV1:scaffold_82:81334:84684:-1 gene:Ccrd_007642 transcript:KVH90345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MGVAGKAALAYHVLPMLVFVGLVAAGEYVRPPAGRSLDVSLSNNYTPTSPQQVHISLAGDDKMRISWITHIPTPSTVKYGTSQGMYNASSTGTSSSYRYIVYRSGQIHDVVIGPLEPSTTYYYKCGYDSSPEYRDLGQTVWTRSTLQHIGKSGYDVLLNPGDLAYADYYQPFWDSFGHLVEPLASQRPWMVTQGNHEVERIPVIHNERFTAYNARWHMPFEESGSKSNLFYSFDVSGVHVIMLGSYTNFELNSDQYKWLESDLRKVDRTRTPWLFVLVHAPWYNSNVAHQGEKESTRMKDTMETLLYNAHVDIVFAGHVHAYERFVIRDYNHFSPFSGRHACTKTKLINVVRSISRLEMASFGHGQLRVVNGSHAQWTWHRNDDVQPDSVWFTSLASDSGCVV >KVH90339 pep supercontig:CcrdV1:scaffold_82:354417:355368:-1 gene:Ccrd_007658 transcript:KVH90339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MEFEQFIVDNLLHFPTDDLHQEEQETDVTSTAVNTCNSTTLAGDLSCRSFVDTQFSNLCVPYDDLAEFEWLSNFVEESFSNEDLQKLQLISGLKARSENGTKNRQFQIRPAINRTDYNPVFNINMMVPGKARTKRSRAASCNWASPLLINSPTVTGPIPTTSLNFTSTSIEKKNVKKEFDDNSNNEDGRKCVHCATDKTPQWRSGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFILTKHSNSHRKVLELRRQKQMQSVPQFIDHQNINDDYLIPQHIGPDYRQLI >KVH90362 pep supercontig:CcrdV1:scaffold_82:51933:52340:1 gene:Ccrd_007639 transcript:KVH90362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLQHDTYKEHAASIKENVKEEEEEEEEEEELFEIDLEAVGDLAPPYYWESYLTATADYTLFANCLVPVADVSSAIPMGNPKQSWLGPGSGRVIWVREAQPLQKFVGISYLDALSNLLQKKLIDVSASLKLEK >KVH90333 pep supercontig:CcrdV1:scaffold_82:346485:347586:-1 gene:Ccrd_007656 transcript:KVH90333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLPERFTERLIRSLEHRIRLLHRSNSHFFILGATGNVYTVTLSAAPSCNCPDPTTPCKHILFLYIRVLSLSPDDPCLRTRTLRPCQLTRLLSAPVSSETVAGAAIRQRFHELYLRRIATTPPVITVEDNSTCPICLEEMGVGGRRLVACATCKNPIHEECLMAWKNRRSRTCVICRARWRNIDEEDRYINLSAYVSEDDEVAHNSDYDYEICY >KVH90329 pep supercontig:CcrdV1:scaffold_82:449678:459764:1 gene:Ccrd_007667 transcript:KVH90329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSLAQVKSQSDPSFPAKAKSSVVFPELGGPKSNVILYKPYVLRLFVMAALDSAVGTDSGFTASQRRKRKKLVSHSSFLACSAQGIIFVGKRN >KVH90349 pep supercontig:CcrdV1:scaffold_82:134827:136375:-1 gene:Ccrd_007646 transcript:KVH90349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MAKIAIGSIHEVTKPDCIHALIVEFIVTFIFIFAGVGSAMTTEKLVGNEVVALFFVAMAHALVVAVTISAGLRISGGHLNPAVTLGLLVGGHITVVRSLLYWIDQLLASVAACALLSYLTGGLALPVHTLAAGMDSLQGVIMEIVLTFSLLFTVYATLVDPKKGSLDGLGPLLTGLIVGANIMAAGSFSGASMNPARSFGPALVAGDWTDHWVYWVGPLIGGGLAGLIYENFFIVRTHVPLARDEESY >KVH90353 pep supercontig:CcrdV1:scaffold_82:541146:543453:1 gene:Ccrd_007675 transcript:KVH90353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase FISEETCVVCGLGYRYFHTQNLNQLPYYITPHSNSSLLRLHPAPSRQRRAFEMALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQFDLAAQQLHEAELAQAASQPLPDDDDDAFE >KVH90328 pep supercontig:CcrdV1:scaffold_82:398519:400869:-1 gene:Ccrd_007664 transcript:KVH90328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MAAAVLLLNIMAQNNTTNATDDVKPTILHDFLGRGCAPATKFAADASSPSASVSGGGARGGGPVSTTSDLGSERQVGTHFEGVPFFGQKGDFSGSKIRYSGNKRSNSDSVFMAPSRDGIPQLRPDFPETSHLMKMLRNVGAERPRWPHEDESFMGVHQMRPTASSLMVQPATGSKTDANASKWERAIPVNVGPVLQYPPRVGQVVSYGYQAVSNRFKDANVGSSVISQSAADEGSRTGIKGSGILSSVNVMAEPSSSKQKSLNSNTEPGSSAPLQRQGSTSASRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTSFSPNSAVKPSGGEAIPVSGENDTIMAAKTALSSKDNLGRLYSPGNSSHGLGSSDRMLMLSGGQSMKDARGAMQDQKTEEKQ >KVH90357 pep supercontig:CcrdV1:scaffold_82:485733:493162:1 gene:Ccrd_007671 transcript:KVH90357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase RRNTNSRPQRVLELKTRQETPLLPTAIESSSSCGYEQRDQSERNMGAGRAVSISLDGVRDKNLMQLKKLNSVLFPVRYNDKYYADALASGEFTKLAYYSDICVGSIACRLEKKEGGAVRVYIMTLGVLAPYRGLVFMERGSVRNDHGHLFPEFFLPHRFTPMVPTSKKRGTKLLNHVLDLSCKQNIGEIYLHVQTNNEDAINFYKKFGFEITETIHNYYTNITPPDCYVVTKYIAQPQSKK >KVH90348 pep supercontig:CcrdV1:scaffold_82:160473:165104:1 gene:Ccrd_007648 transcript:KVH90348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYTSGIKSWRKLTNRSAMAAAAAAAALQQDPCVSRYQLFKCIITMSQELQYLLETKRLVSLVAVVFALFMMFQYFEFPYGDVVSSLFSASKAQTLGNITRFDSSNYTKPAGILGKDNRTIYKGDDVGSGNFESERNADMDEFMETGDDVSREGVEEVEKAEVLAPEKATESGADFLIGNVSNSNSVQDIEEPGGSQKSSVPSDNKSSINEVPPVKGTHERPMGEVVTILEMHDMLVNNRASSHSMKPRWSSTVDQELLDAKLQIENAPAIDNDHTLHPSLYVNISRFKRSYDLMEKILKVYIYKEGEKPIFHQPQAVLKGIYASEGWFMKHMKASKHFVTKKPKQAHLFYIPFSSRMLEEKLYVLDSHNHKNLVKYLKDYLDLIVGRYNFWNRTGGSDHFFVACHDWAASETKKHMDTCIRALCNSDVKKEGFKLGKDVALPETFVRSAKNPLREFGGKPPSQRSTLAFFAGQMHGNFRPILLQHWENKDPDMKIFGKLPKSKNNKNYVQYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWESFAVFVQEKEIANLKNILVSIPQKRYLVMQERVKQVQQHFLWHVKPVKYDIFHMILHSIWYNRVFRINPS >KVH90322 pep supercontig:CcrdV1:scaffold_82:476495:476764:1 gene:Ccrd_007669 transcript:KVH90322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTIPECDEPDWCTTGSFQRLRFQDCDPMMVDPNSPTFNLVNPNARVVATNDPIREKGKGVKAWKGERFHDVGFDDLELTLGSGTAKI >KVH90350 pep supercontig:CcrdV1:scaffold_82:545705:549109:-1 gene:Ccrd_007676 transcript:KVH90350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MRFKKGSKLEVMNKEVPASWHVAEIVSENEHAYNVRYDGCQGVEKVSRKFIRPCPPANGSKRLVSGDIVEVFDKNSWKIATVSKVLRGGRLLVRPHGFTHEMSVNKKNIRARQSCRDDQWIPVGKISGSYEDLKFGKAIEPNCSVKMDIQALLAGSKICQEEDDCLPVYIDARLQESYAVSKKLKRTSPFSSSLLEGPRKAKKFKAAEKGCSQRHVSGHSFNQVDAFAYPGDTLVTCQGTDILSSDGESFNTSRDEEGKCSPPEQEVAVSIHRLELHAYRSTLEALYASGPLSWEKEALLTNLRINLHISNDEHLTELRHLISSGAEPQLEACISCFQGSKQDSGSAAFLLEKAVGHIQHPFATDKTASLHLSSLAGDDMLIKGVFA >KVH90360 pep supercontig:CcrdV1:scaffold_82:4145:14037:-1 gene:Ccrd_007635 transcript:KVH90360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MDIDLIDQGIKSERPSAGFQWLLCNCATIIAAAFRTPKTKDCGWKKNSHHINICNMPKASADEKILSYNDVVLRQSDLGILNGPHFLNDRIIEFYFSYLSSSHPSEHILLVPPSIAFWTLNCPDINSLKDFLQPLNLPSKKLILFPVNNNDDVTEAEGGSHWSLLAFEKTTNLFVHHDSFGGLNKNHTKRLYKTLVPYMGVSESGYMECSCSPQQANGYDCGLYVLAIAKEICCWFDRNVHKNEDLWFSFVEERVTPSTVSGLRIKILELIRSLRGE >KVH90330 pep supercontig:CcrdV1:scaffold_82:441551:445502:-1 gene:Ccrd_007666 transcript:KVH90330 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MGSFGKDQVLMGSTQKDEIFIKVHGPIIVGAGPSGIAVAACLKENGIPSLVLERSDCIASLWQYKTYNIVKLHLPKKLCELPLLGYPKNFPTYPTRDQFIAYITAYADHFKIKPMFNQTVESAVFEFERGVWRVKTQDSGYESRWLVVATGENAEALVPEIPGLETFEGPLLHASEYKNGYEFKDKRVLVVGCGNSGMEISLDLCNHKAIPFIVLVDKIILSITKHILGDIDKFGIRRPKTGPMELKLTSGRTPVVDVGALSEVVEQGVKEISKNGVKLMDGQELECDCIVLATGYKSNVPSWLKGCDFFTDKGIPKTPFPNGWKGENGLYAIGFTNRGLFGAAYDATRIGKDINKEWWAMDDFEFEFPSY >KVH90324 pep supercontig:CcrdV1:scaffold_82:385449:390640:-1 gene:Ccrd_007661 transcript:KVH90324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-like protein MDHRLRSRGEKKVFSGFTTAETEKMERVLKESGEHPLGPEVFKNLTKGFNRSAGRAGKPVLKWTEVQSWFLDRQQGLMSKDTSLTGMYKLPASQEACALKNMNETSDMSKGEKVLDLSKLEFEAKSSDDAWYDVETFITHRFLSSGEPEVLVRYIGFGTEEDEWVPVKNVRLRSVPLEHSECNKIMIGDIVLCFQEKTDQARYYDAQVIDIQRKLHDIRGCRCIFFIRYEHDNSEESVGLKRLCCQPEY >KVH90326 pep supercontig:CcrdV1:scaffold_82:397658:398058:1 gene:Ccrd_007663 transcript:KVH90326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme, NAD-binding MRIVFLRFMVTDVTNKNFRTAQGLASQVTDEDLAKGIIYPSFSCIRKISANIAAYVAAKAYDLGLASYNPRPEDLVKFAERCMYSPTYPNYR >KVH90327 pep supercontig:CcrdV1:scaffold_82:411571:424523:-1 gene:Ccrd_007665 transcript:KVH90327 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif-containing protein MSQTHWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIEQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGAHLLNGTSNRLVGNEPLMRQNPGVNAIATKMYEEKLKVPLPRDALDDVAMKQRYGENVGQLLDPNQASILKSAAAAAGQPSGQVLHGTAGSMSPQVQGRSQQLPGSTPEIKTEMNPIMNRAGAPEISLIGIPGSNQGGNNLTLKGWPLTGLDQLRSGIMQQQKSFMQGSQSFHQLQMMTPQHQQQLLLAQQNMTSQSANEESRRLRMLLNSRNIPGSVGDISNVGSPMTVQPRGDPDVLLKIVGASSVTGDGSMSNSFRGNDQASKNQTGRKRKQVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISGALPHNNDGSSKPLIMFRPDGPTTLTSPSNQLWDDNDIVQADMDRFVEDGSLDDNVESFLSHDDTDMRDTVGRCMDVSKGFTFTEVNSVRASVSKVVCCHFSSDGKLLASGGHDKKAVLWYTDSLKAKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPGFSLRTFMGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCARVFKGGTAQVRFQPCHGRFLAAASENIVSILDVETQALRHLLKGHTKPIHSVCWDPSGEYLASVSEDSVRVWSLMSGNEGECVHDLSCNGNKFHSCVFHPSYASLLVIGCYQAVSGAMEHAGEQDDDSSGPRWIDCWFGVINGHGVGSVMISFSYCHRLFCDFVGGITYKYSSQILASSYDDGYSHLQLEDLRIVENSVVKLKATMTELEQQITETLKKMNALREGAENAGATGSVQSLLSLLETLKVLEKQEAEVELNYSEECARLQLEVSELEDLIQNNDHEGSFERCVDRSLANAVERLNLAKTDLASKLRKIISLKRQCDDVPTQAELIQRSGKLRQTRKYYATYNALLEIKELMLKETSLLNSMSSQFHDALNSPAGRATLTSSMDGISKSIQQKACESLKEKHGAANLEKRRCYSLLKAFQEECARNERLRNQTL >KVH90331 pep supercontig:CcrdV1:scaffold_82:325935:336740:-1 gene:Ccrd_007654 transcript:KVH90331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup85-like protein MPGLLTVYHPSGHDESSALVQFSSDSNTPIVYSLHHGLKSPIAPRLSISWSRGNSLRVSVFRSPETTETVLDEVEVGGKVVELKLSGEYVDSEINDAARWRRIAYGSVSPFAHLQNKKNAMAALSELRHSAPYDVDWSKYVLEYSKEINSLLGSRGSSPTSLIEDPKTVLENVEEPSSLKAAWELLEIFYADKQSQAWIPEQLVDWSAVMIVKVLPLFFSKTCNWRRGGEHLSVYDSSLQAVEDEPAYWQAISSALAVGWLDIVVKLLRLHGSYQLNQLGNRETENGLVEAVAVLISKMPRMRAHLPDGKLGECYYNKSDFIKAWEKWRAQITKLDCSAFWLQCSHNHTRENLKLLLQIMLGNTGTLSSATFHWVELYIAHFLYISPFTSGLESMHALAQKCMQLKPTSSPHKLMRLIIGILGENTEVVLAECSRSFGSWMIAHATELLTAGSIQAEILLKEERHNLGGVSIEESHRLVYAQVLSSHALTWQIAPIYLISCVKHGVGLLEILLYKQPVYHSQVLLKNIEICRLYDLDSVSANAMKIAGVHHWKHGRNGAGVFWLQQAGDEVRLNRIAQKLFDFVGKSISDESFEQWEGLIELLGSESRTAAGLEFLHKYRDFKKSLQQVQDGILTDAARKAGEALILLMKNPSTPQRFWLPLLYDSNSNPKGRRIEEVLNHFCDLQLKLLNWQGRPLLNVSQTNLLLNKLQELSVARLRPDFVEVGMPAGALSSVRVALATNLGRAILEE >KVH90346 pep supercontig:CcrdV1:scaffold_82:77207:79023:-1 gene:Ccrd_007641 transcript:KVH90346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKISAAAARSHTRKSKSNSNSFAGILKKLLVVFFAGFAAWAIRPPPPTKLGSSDITTPRIKLRDGRHLSYVEAGVPKDKAKFKVIFVHGFDCNKYFNPFAVAASPALVEELGVYHVSIDRPGYGESDPHPNRTVKSLALDIEEFADHLNLGPRFYVAGYSMGGQVMWSVLKYIPHRLAGAILIAPAINYWWPNLPSDLTNEAFSRQLPQDRWAMRVAHHLPWLTYWWNTRKWLPPFSAIVGNPVIYSPSDVEVFTKLYAATAEDPNKAQKMRSGPRQQGEFESLHRDLNIGSGKWEFDPLDVENPFPNNDGSVHLWMGDDDRIVPVSLERYFAQKLEWIKYHEVAGAGHMMAFQGEVVDAILKASFNLKN >KVH90341 pep supercontig:CcrdV1:scaffold_82:153998:158203:1 gene:Ccrd_007647 transcript:KVH90341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MVGCSTAIQPFIGDLQKNPIKIPLPRAIIKKTSMELLDILTNSVFQFIDHPSLSSQSNFAPVEEMGGAVYVDGTIPDGFPEGVYIRNGPNPLFGGFKSTKSIFGRSSHIWVEGEGMLHALYLKKENDGKWSVSYNNKHVETDTFKLEKQRNRPSFLPAIEGDSPAILSAYLLNLKAPGSGELVTMGVNAMKPFFEIGIISADGNKLVHKADLKFERCSLCHDIGVTLRYNVILDFPLTIDLKRLANGGPLIKYDGEGYARIGVMPRFGDSDAVRASDSIIPGPDLGLNKFEWFSSRFKRECNTDFDSDESFFSRAYEWRLNMKTGEDKREEDYVEMEYHKFPENTFCSEFI >KVH90356 pep supercontig:CcrdV1:scaffold_82:479034:483333:-1 gene:Ccrd_007670 transcript:KVH90356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAIVIGASGSWARAMLKISPYTFSAIGIAVAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPGSQMYVPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPSKV >KVH90358 pep supercontig:CcrdV1:scaffold_82:18029:27448:1 gene:Ccrd_007636 transcript:KVH90358 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, subunit 116kDa MELLKNLPEMDLMRSEKMTFVQLIIPVESAHRAISYLGELGLLQFRDLNDDKSPFQRTFVNQVKRCAEMSRKLRFFKDQIHKAGLLSSALPTLQPDVDLEALEIQLAEHEHELIEMNANSEKLQQTYNELLEFKMVLQKAGGFLISGKSYETAEGRELDENVYPSDYPESASLLEQATQPGPSNLAGLRFISGIIPKSKVLLFERMLFRATRGNLLFNQAPADDLIMDPVSSEMVEKTVFVVFFSGEQAKTKILKICEAFGANCYPVPEDMTKQSQITQEVLSRLSELETTLDVGIRQRNAALHSIGFHLTIWMNMVKREKAVFDTLNMLNFDVTKKCLVGEGWCPIFAKPQIQEALQRATFDSNSQVGIIFHVMDAVESPPTYFRTNKFTNAYQEIVDAYGVAKYQEANPAVYTVITFPFLFAVMFGDWGHGICLLLGAMVLIAREGKLGSQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPYHIFGSSAYRCRDAACSEAHTVGLIKYRDAYPFGVDPSWRGSRSELPFLNSLKMKMSILFGIAQMNLGIILSYFNSIFFSSSIDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPFDDLGENELFWGQRPLQIILLLSALVAVPWMLFPKPFILRKLHSERFQGRAYGILRSSEMDTDSEPGSARHHEEEFNFSEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYENIIIRMVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFAAIADDED >KVH90323 pep supercontig:CcrdV1:scaffold_82:450214:457005:-1 gene:Ccrd_007668 transcript:KVH90323 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEGGDVFRVSSARISSSNIWRTSGRDIFSRSSVEEDDEEALKWAAIEKLPTNLRLERGILTEEKGQPREIDIKNLGLVEKRNLLERLVKIAEEDNEKFLLKLKDRIDRVGIQLPTIEVRFEHLNIDAEAYVGGRALPTVFNFIVNIMEGSLNYMHLLPSRKIPLPILHDVSGIIKPGRMTLLLGPPSSGKTTLLLALAGKLGSDLKVSGRVTYNGHEMHEFVPQRSSAYISQHDLHIGEMTVRETLAFSARCQGVGASYASLEGQEASVVTEYVIKILGLEVCADTIVGDEMFRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSIRQSIHILQGTSIISLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLEFFEYMGFKCPERKGVADFLQEVTSKKDQEQYWIRREDPYSFITSKEFAEAFQSFHVGRRLGDELSTPFDRTKSHPAALTTKKFGVNKKELLKACISREYLLMKRNSFVYIFKMTQLIFMATITMTLFLRTEMPKNTLIDGTIFMGALFFTLVMITFNGFSELALSIIKLPVFYKQRDLLFFPAWAYSIPTWILKIPVTIVEVATWVIMTYYVIGFDPDAGRFFKQLMLLICIHQMSSALFRFIGALGRNIIVANTFGSFGLLTIFVLGGFILARDDIQKWWIWCYWFSPMMYGQNGMAVNEFLGKSWNKVLPNSTETIGVSVLKSRGIFPEAKWYWIAVAASVGYIFLFNICFTLALEYLNPFGNPQAVLSEESLAERTAMKTERKSEVRRNASSMSMSSRVGSINGTDQTKKRGMVLPFVPLSITFDDIKYAVDMPQEMKAQGVSRDRLELLKGVSGAFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYIDGRISISGYPKKQETFARIAGYCEQTDIHSPHVTIYESLQYSAWLRLPPEVDSATREMFVEEVMELVELIPLREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKRGGEEIYVGPLGRHSSQLITYFEEIEGVNKIKDGYNPATWMLEVTSAAHEATLGVNFAEVYKNSELYKRNKETIKESSRPIPGSADIQFRTQYSQSFWTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTIFWDMGSKREKQQDLFNAMGSMYAAILFIGVQNATSVQPVVSIERTVFYRERAAGMYSALPYAFGQVMIEIPYIFVQTIVYGIIVYAMIGFEWTAVKFFWYLFFMYFTFLYFTFYGMMTVAVTPNHNFAAIISSAFYAIWNLFSGFIVPRTRMPIWWRWYYYICPIAWTLYGLVASQFGDITDKLDTGETVAEFVHSFYGFEYDFIRYVAVIIVGFTVLFGFIFAYSIKAFNFQKR >KVH90340 pep supercontig:CcrdV1:scaffold_82:361909:368869:1 gene:Ccrd_007659 transcript:KVH90340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTLEIIGKKGKNITNSESTVDPIKYYDNNEHFIRDGIGYLYLFHFLTFFSYFFIRFSTSQLFSLQFAGSFLYRMVKEETWEGFVADMLLFAKVVSLFFNSINIPAVGSLLTEGGTSRFWLVEFRSLVSSTCIRTSRIFPKLSIAFSNKNIFFRVVDLWIFPNTASRYGISLGTPDQLSTYILFENAEEVCRFPGMNCVTKASDVMKLQKHLCRHFELDKHLLDYVSCK >KVH90344 pep supercontig:CcrdV1:scaffold_82:88846:94004:-1 gene:Ccrd_007643 transcript:KVH90344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHRSIVFLVFLFLVAGETVYGNEKHQGLKKLNLHTYRAFRPTKLFVFGDSYSDTGNNPKSLASSWKNPYGVTFPGKPAGRYSDGRVITDYLARFMGIKSPLPYQWRKIGGNKLRSGINFAYGGTGVFDTGNFQPNMTTQIGYLQELINESVYTKRDLESSVALVTVSGNDYGAYTASGGSDQGLPAFITRVVSQMAINLKVIHNMGIRRVLVTSLQPLGCLPRATVLSSYQQCNATQNLSVDFHNQLLQRVVATLNNNTNDSRSLKFDAPLLPCCMGISVDANCGSVDENGKALYTVCKEPESTFFWDMVHPSQAGWRAVYLALRPTLTQIFY >KVH90343 pep supercontig:CcrdV1:scaffold_82:120032:120352:-1 gene:Ccrd_007644 transcript:KVH90343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding lysin domain-containing protein MARLHSSTTLTETLSGYCSLFLAVMLVLSCCESFVTENERMVIQVRTNDMSYRPCDEIYVVREGETLHTISEKCGDPYIVEENPHIHDPDDVFPGLVIKITPFTNR >KVH90332 pep supercontig:CcrdV1:scaffold_82:338653:341119:-1 gene:Ccrd_007655 transcript:KVH90332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MIEAGTYVDSGSISRKLIAITAGDAHTLVLTGDGSVYSWGRGTFGRLGTGSELDQLFPAKIEFNSTDRRDRVKIVGVSAGAYHSLALSDDGSVWSWGSNTYGQLGVNVENSLVPSLVEVFQGLSTPSSMADGSITKSETGLKISSVKAGGMISLAIDDIGSLWMWGNCPSQDSPTEGEFSFVTTSTPIPVWDFHGHTVVKVACGNEHIVALVSAGETHKSGADLVCYTWGNNNHGQLGLGDTEIRTSPQMVETFSTESPWAAYEIACGAFHTSLLAYKKGDGDILKSVCWTFGLGENGQLGRGTTKKALLPEMITTLPVNVWLISVDCGLFHTSVVSSVGDVWSWGMENGLGLCPEGTSIEGHSGDALTPRVIPFDGQNFQEPVQVACGAAHTVLLADSGYKLWSWGRGRSGVLGNGQLNDSFAPNLVLWPPLEEDFKEKRSETETIGTKSSDKIDAKRDSKEMEKELIAAMEEMNLLRLKLSEMERYASILHGSIFGKPFEEDKDITVSLKKSAGSFDIGKEWEKMLDCCDDGELLRLEMFHRNMIAGVKDKIMKRRIKEMIKECLQSSTRSDTMI >KVH90335 pep supercontig:CcrdV1:scaffold_82:277227:279471:1 gene:Ccrd_007650 transcript:KVH90335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MASMIPISIAKIHVFPSSMVVSGDTTVLSSFRKLPMVSTNRTTKTTIVNAATSSSTIGQSPLRKEMAGDQKLVAWTSVRQERWEGELVVEGEIPKWLNGTYLRNGPGLWHVGDYDFRHLFDGYATLVRLHFDNGHLIMGHRQIESDAYKAAKKNNKLCYREFSEVPKHGNFLAYIGDLANLFSGASLTDNANTGVAKLADGRVVCLTETIKGSIVIDPNTLDTLEKFEYSDSWGGLIHSAHPIVTDSEFITLLPDLLNPGYTVVRMESGTNERKMIGRVDCRGGPSPGWVHSFPVTEHYVIVPEMPLRYCAQNLLKAEPTPLYKFRWYPESKGFMHVMCKASGKIVASVEVPLFVTFHFINAYEEKDEQGRITGVIADCCEHYADTTILDNLRLQNLRSWSGEDVLPDARVGRFRIPLDGSENGELYAALNPEEHGRGMDMCSINPSFLGKPYRYAYACGAQRPYLIDGKAKNWYDEGAVPSEPFFVARPGATEEDDGVVISMISDKNGEGYALILDGSTFEEIARAKFPYGLPYGLHGCWVPKT >KVH90359 pep supercontig:CcrdV1:scaffold_82:30773:35359:1 gene:Ccrd_007637 transcript:KVH90359 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MESGVNVNSKGSSQHEILEVFKREMGFNPPRKFSRRISASEALVKRIGLAGKLDGHEGCVNTIEFNYCGHHLVSGSDDRRVMIWNVATRSLVLSYASGHVRLGQVAENGHVETKKLGKHHGRVHKLAVEPGSPHIFYSCGEDGLVRHFDLRSNSSTKLFCCSSFTENNQHSSSNSLRLNTIVIDPRNPNYFSVGGSDKYARLYDIRRFQLPSSNLDQPVNTFCPKHLIETQDVHITGMAYSATSELLVSYNDELIYLFQKNMGLGPDPMAVSHEDLDNLEEPQTYSGHRNSLTVKGVSFFGPNSEYVMSGSDCGHIFIWNKRGGGLVRVMEGDRRIVNQVESHPDIPVLASSGLEKNIKLWVPMSDDILPLPHDLQEIVESNRRGREDHSRVTLTPDVIMHVLRLHRRQALAYIERRHNRDDLASDDEEDENDAYILGLPDGDGSIEDGNSSECNIV >KVH90342 pep supercontig:CcrdV1:scaffold_82:123561:128093:-1 gene:Ccrd_007645 transcript:KVH90342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MRKREKEEEEKRERNLLRLSLLWPGNPTSLASDLLIIRRERWQMNFQEHGLLRLPSVGSPGSPAPSTPQSSQPHNQPWLSSGSQGKPPLPPPSFRPQMSSQSLQQRSHIPSQQQSTMSTPTQQPQTSSSLQSQSSSLSQQPQEHYSLPPSRVPQTLTHQQQLARNRGLGNQRPFAPALGQPSSVAPPPAFNRTPAVVEASESCNRIISKRSIQEIVAQIDPAERLDPEVEDILVDIADEFVESVTTFACSLAKHRKSNTLESKDILLHLERNWNMSLPGFGGDEIKCYKKPFVNDVHRERVAAIKKSISAAETSNTKSSGGQAGGGAKGHPAKAPGVVIGSPNPKVRDAT >KVH90334 pep supercontig:CcrdV1:scaffold_82:348242:351280:1 gene:Ccrd_007657 transcript:KVH90334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQSPLKPFTPFVTLTVLPSTAMASRYRSISRPASILFKSMSTPSPKPTSIPSLAPRSSSTTTVSRSFSRIGCVQSLLPLHTAVSSARLTSCLGIDSKGSRSLSQGMLSSANPGV >KVH90354 pep supercontig:CcrdV1:scaffold_82:493728:496038:-1 gene:Ccrd_007672 transcript:KVH90354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWLSSSSSLFSKPFNGNTKSVTSRFRASADVPDFLSVDWLESRRKRPFGPRLSFSAEDSVQYQLDALMFNDQPRPDYGVEVMYRFAGFDPFERSLYFGPSFDLGQFERFRRIFHHSTYRVLLNHKERKILSTLNVEERVGGFWDGYWLTESLLHDGDSFSGGVAY >KVH90361 pep supercontig:CcrdV1:scaffold_82:38087:39573:-1 gene:Ccrd_007638 transcript:KVH90361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAKWMMDGGDDGKGSVVATNPKPSLRLKTEMERLNSKLYMENCLIMQENEKLRKKAQLLNQENQALLSQLKQRLTMGDQNVNNTPNSFTDLNLSGTTNHASSSSRKP >KVH90352 pep supercontig:CcrdV1:scaffold_82:535314:538248:1 gene:Ccrd_007674 transcript:KVH90352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein MNRVSIMEAATVLSVLLLCATTYTAVAITDGLLSNGNFELGPKPEDMKGTEVLKHDAIPGWKISGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEATIEQTINVTKGMYYSITFSAARTCAQEEKLNVSVAPDFGVLPMQTLYSSSGWDSYAWAFQAEYSTVTILLHNPGVEEDPACGPLIDSIAIKTLYPPRPSKDNILKNGGFEEGPYIFPNTSWGVLVPPNIEDDHSPLPAWMVESLKAVKYIDVDHFSVPQGRRAVELVAGKESAIAQVARTVVGKTYQLFFAVGDANNACEGSMIVEAFANKETLKVPYQSKGKGGFKRAVLKFVATSTRTRIMFYSTFYTMRSDDFSSLCGPVIDDVKLLSVRNPRRLA >KVH90336 pep supercontig:CcrdV1:scaffold_82:279644:286435:-1 gene:Ccrd_007651 transcript:KVH90336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMKAFGALVYRFSTGVSLKSPNSFSIRGTVRLNKNLSSSSLYSSCAKWVAPVELQSVNSVRKIWTRGPLCMGRRSCKIAGRKGAQDAKKAKLYAKVGKEVVSAPVSNTALAAILEKAKELDVPKEILERNIKRASDKGQEAYIEKVYEVYGYGGVGIIVEVSTDKINRSIAAVREVVKDCGGKTADPGSIMFKFKRARVVNVKVTDADRDQLLTIALDAGADDVIEPFIDEDDTEEDKSEKYYKIVSSSDNYVEILSKLREEGISFEPDNGYELLPLSLIEVDDEAMELNKKLMSSLLDLDDVDAVYTDQK >KVH90347 pep supercontig:CcrdV1:scaffold_82:213024:213767:1 gene:Ccrd_007649 transcript:KVH90347 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MTATLSPFTYALMKNGATICAKLSIENSISEPDDHCSSNSCTTSPSSLGSTQPLPNSQNPETRTVKRNRDSTSKHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSAMLNFPELVGSLPRPASCSPRDVQAAAAKAAAMTEFDFPSSSIETASEELDQIIELPVLGSSFDSLELKNDFVYTDLVADGWLYPPPWMNRGGDQEDGYVVSGQENVISTAFESFWWNY >KVH90351 pep supercontig:CcrdV1:scaffold_82:567654:568559:-1 gene:Ccrd_007677 transcript:KVH90351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLFMLLASFTFFFFLFLLHGFDLHGRRRLPPGPAGFPIIGNLLDIGSKPHESLAKLSQKHGPLMTVRLGSITTVVASTPEAAREILQLNDEACSGRIVPDAVTTFQNHDMAVLWISTNEEWRSIRKALNTCLTHQHKLDTVSDLRQKVVDEVLEFLRESGRKKEAVDIGKLAFAVALNQMSNTCLSQSVASYDSDDIGGFKTAVKTVMEVDGKFNIADIFPVLKPLDPQNIRRKAKAAYGWFDEVTEGFISERLKHRELKLPRLGDMLDSLLDYSEDEEANFNLLHIKALLVVNPPLYI >KVH90355 pep supercontig:CcrdV1:scaffold_82:504409:506892:1 gene:Ccrd_007673 transcript:KVH90355 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MLQELPSSVILCSRSQSVDWNCISAKMSEVTEVKPLISQSSSRKLPDFKKSVKLKYVKLGYHYLITHGMYLCLSPLVVVLAAQLSTFSLQDVYVLWDHLRFNLISVVVCSTLLVFLSTLYFLTRPSPVYLVNFSCYKPEEERKCTRQIFMERSTATGSFTENSLEFQRKILERSGLGESTYLPEAVLRVPPNPCMEEARKEAELVMFGAIDELLAKTSVKPKDIGILIVNCSLFNPTPSLASMIVNHYKLRGNILSFNLGGMGCSAGLVAIDLAKDLLQVHPNSYALVMSMENITLNWYFGNERSMLVSNCLFRMGGAAILLSNKTSDRKRSKYQLVHTVRTHKGSDDKCFSCVTQLEDPVGKVGVALSKDLMGVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFRMKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLQLSDWHMEPSRMTLNRFGNTSSSSPWYELAYSEAKGRIKKGDRAWQIAFGSGFKCNSAVWKALKTVNPAKEKSPWIGEIDQFPVDVPNISLHNNLAMAAQMFSKVLLSKLPIFNFRLDELPEEILKNAVKLDAIKMAEKHHKSVL >KVH90338 pep supercontig:CcrdV1:scaffold_82:316138:317235:-1 gene:Ccrd_007653 transcript:KVH90338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MERLGEDELTAIFQRIHGSDDRRSFHQVSKQFLSVACIRLLKLYSSVPDFLYEILPASPNLESFECSKPLSNTHMKLLAQSCPKLRSLNLNSEVNSNPDQADLVPGEFDFNDDGLCSVVNACSNLYAVLLSQRSYIGDVGVASLIKQSSKSLTYLDLSRCVNVTDESLKAIGKASCLRVLSLQGCRLITDLGLSYLANGNVRNCLEVLNLAECDKISDNGMFCLKQMLRLTDLNLSKCGVNVTSKGILSLCQLPNIERLDLSWLINITDMTLTVIASEFVKLKALYLTGCKAISGDGLCHFAFHGTLEELQLFSCNNISGENVELLVLTCKKLTYLGVNKTMIKMPMLESVEDRFYMGNCWIDWQ >KVH88530 pep supercontig:CcrdV1:scaffold_820:132506:133435:1 gene:Ccrd_026602 transcript:KVH88530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPPEEEVEEEGEMALPISSTYGDGQLIHHDHILPLSLQHHQQQQPPPSATSMEDISKKMAVVVRYKECLKNHAAAMGGKATDGCGEFMACGEEGTLEALTCSVCNCHRNFHRKEIDDGHHHHHHHPIRTTSYDCSNYLTAPPHLKRFELGNGRNAIHPKGFLGTESFGYNHNNAGALVPSIKGPPPKIMSYNMAMGSFPSESDYQEDGGGFMSRHPLAAGAAPAPHMAVKKRFRTKFTHEQKEKMLVFADKIGWKMQKQEESMIQDFCQEIGIKRRVLKVWMHNNKQNLAKNTCCNSISINPPQDPN >KVF96799 pep supercontig:CcrdV1:scaffold_8208:3409:7032:-1 gene:Ccrd_026603 transcript:KVF96799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MEKQNLKKAHETSILIQWLWCLLFIVSSFSSLLPVSATEDRITTTNSINKNQTIISAGGKFALGFFSPHNSTSSYLGIWYNTIPKLTIIWVANRASPIPKSSPAVFQLSGDGNLVVLSGTNEVVWTSNVTLNSLNITSSTVAVLLDDGNLQLKSGEGGCLWQSFDHPSDTYVAEMKISSNRRTGQELHLTSWINDEDPSLGIFSIGIDPNGHQFYIWKGHQPYWRSNVYATTFSYASPFVNYDRGFSAYISWVVEEDEIYLVSSISTSSISTRFTLVPSGRIELLVWVKTRWMVLWQAPLGKCDFYSHCGPFTICQKNESIPLCKCLTGFQPKSHNEWIVGNWTGGCVRNKTLRCDKGDRFLKFEKMKLPDHAVIVGNGSVSDCEHKCFQNCSCTAYAYANVTDLTIVVCLNWFRELVDIVNNYDTVDESQEHSSTHTRKRLIAVAVAIVSIGLLLISIFGYFRSRKRQERINSELLGFDSMSTTSGDGHSNAELISFSLRSVLAATDSFSVRNKLGEGGFGPVYKAWDNWMEGRALDLIDPSVRDTYNPLQAVKCINVGLLCVQEIMSERPTMSEVVVMLSNETATIQSPKNPAFIIHRSYQMSSRCSNNEITMTDVEPR >KVF96798 pep supercontig:CcrdV1:scaffold_8208:13048:14372:1 gene:Ccrd_026604 transcript:KVF96798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVISSQLFFCFFLFFFFIPHLSSGADTLDVNEYLSGDSTLVSLDGNFELGFFKPGEPPNYYIGIWYKKVKPTTVVWVANRDTPISDKLSSKLKIIDGNLVLLDESSTQIWSTNEVSTTSSYATVVLLDDGNLVLRYGSSSSSSSSSSASPPIWQSFNHPTYTFLPGSKIGYDKRTNTKQVITSWRSNEDPAVGLFSLEIDQNEMTYELMWNKSVSYRTSGPWDGRISSLVPEMRLILDVSGQIQ >KVI03453 pep supercontig:CcrdV1:scaffold_821:6252:8753:1 gene:Ccrd_018238 transcript:KVI03453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFGGFKLLFIWVLFLLCLSPSFSQFNPADKYSIDCGSPSNTSIGSRVFLSDNLASSYLSTPQNVLVDTSANSIPQSEYSQLYRTARIFSQPSTYTFRIIQSGRHWIRLYFFPFSASNYDLTSATFSVSTRNHALLSDFKANGASVKEYSVNITSGDLEITFTPSGTSFAFLNGLEVVSVPDPLIADDATSVKPPTNYRGLLNQALETVARVNMGGPIVTSRNDSLWRTWVPDRSFLKNPNLATNKSNIPAVRYPDGGATPDDAPRSVYGTAARMASETDPKSNFNVTWEFPVDRGFRYLVRLHFCDIVSDTANKLYFNVYIDDSSVLSDFDLSTKVEGNLATAYYADFVTQSIDDDQIRISIGPSGIRDVYPNAILNGVEIMKMNNSDGSLSGGDIPSSSSGSKKKNVGVIVGVVVGLAAVLLMGLVLFFVYKRRKQERINQSKMWTPLSTNGLSQTMGSKCSNGTTISAGSNFNYRCPFAAIQEATNNFDESWVIGIGGFGKVYKGVLSDGTKVAVKRGNPKSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKSEMILIYEYMENGTLKSHLYGSGFPSMSWKQRLEICIGAARGLHYLHTGYSKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQHLTEKSDVYSYGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKKGQLEQIIDVTLKGKIRPDSLRKFGETAEKCLADVGVDRPSMGDVLWNLEYVLQLQEAVLQNDPDENSTNVIGELSPQIHDFNHADANTGTAQFEMSSGDDISGVSMSKVFSQLVKSEGR >KVI03455 pep supercontig:CcrdV1:scaffold_821:79359:84199:1 gene:Ccrd_018243 transcript:KVI03455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys/Met metabolism, pyridoxal phosphate-dependent enzyme MAFSSLGLSMFAVAPLELNNRSGVVSSWCKSSSASTRSSALSCKNLWLGCSRDCKMDVRASAVADRIAEYSDETYSTVETDIKEPSVSTVLMNFESKFDPYNAMSTPLYQTATFKQPSATESGPYDYTRSGNPTRDVLESLMAKLDKADQAYCFTSGMAALSAVTHLVKPGEGIVAGEDIYGGSDRLLSRVIPNRGIVVKRVNTTDLDEVASAIGPQTKLKIAKIAHANGALLLVDNSLMSPVLCQPLELGADFVMNAATKFVAGHSDVMAGVLSVRGERLGEELYFLQNSEGAGLAPFDCWICLRGIKTMALRVERQQESAQKIAEFLASHPRVKKAKGAGSVLSFETGSLAFSKHIVENTKYFGITVSFGTVKSLISMPSFMSHAAIPAAVREARGLTEDLVRISVGIEDVDDLIADLDNALKTGPI >KVI03459 pep supercontig:CcrdV1:scaffold_821:171529:176805:-1 gene:Ccrd_018247 transcript:KVI03459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Achaete-scute transcription factor-related protein MYVFDCSSPIVLDKLLNPSLTQQLIILTFLSHHTTPDPATRIFPTSRKKEKMLALSPPVFSTTYGWPLEDLITPNLHQQDCNEANSYYNSLTHFPTYDQMKNDFPPESVSLNNGGAGDHAMMVVKKLDHNASERDRRKKVNDLYAFLRSLLPVSSDHKKKVSIPGTVSRALKYIPELQKEVETLKSKKKRLSSSSSTDKTSKDYHVIKSSKDAMVVSSVNALSDKEAVIQLISSADHMSKNKEIGFLSKVLEYLEQEEDGIVLLNATTFKCSGEGMLLNTLHVQVQGDYRIEAEKLKEKLCVFHQ >KVI03461 pep supercontig:CcrdV1:scaffold_821:107178:109157:-1 gene:Ccrd_018245 transcript:KVI03461 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MGVAADSQFHVLAVDDSIIDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHEEDEDMNSNQPSVSPNIHQEVEVNLIITDYCMPGMTGYDLLKKVKNSSSLKDIPVVIMSSENVPSRINRCLEEGADEFFLKPVRLSDVNKLKPHMMRMKIKETDHQEQNQESKTRDKEEEIESQQQSNGNKRKSMEEGDITRQNKTQIQWWPYCRFD >KVI03462 pep supercontig:CcrdV1:scaffold_821:84517:95945:-1 gene:Ccrd_018244 transcript:KVI03462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved hypothetical protein CHP01589, plant MSTGEVKKVSPQDLQLVQNLIERCLQLYMSQKEVVSTLLHQAKIEPSFTELVWQKLEEENQDFFKAYHLRLILKDQIMKFNKLLQTQAELMRQISPAAGAPSVPISMHQNPSCYSPDNTGQAMKLENMHQSTVSKLPNALTNGGSSLHHCMQSAVGLSSMSRREDVSPNLLMAQNSNMVLMQGINGDGMIKSESGYADEPAFMFNAANNVLERHPAMADVPLPSFIGEESNPKPVNEPIVDPDTSSYGFLGQIPRNFSLSDLTADFSISSDILDSYSKSPYLATDTDFLNPHGNGDIQAGEYSAQSDTSFW >KVI03456 pep supercontig:CcrdV1:scaffold_821:64166:69437:-1 gene:Ccrd_018242 transcript:KVI03456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGVYGEQSRRDKPGRRNHGLTQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDFDEFVHMMTAKIGERDSKEELTKAFHIIDQDKNGKISVEDIKHIAKELGEHFTDAEIHEMVEEADRDHDGEVGAEEFMRMMKRTSYGY >KVI03454 pep supercontig:CcrdV1:scaffold_821:10715:11932:-1 gene:Ccrd_018239 transcript:KVI03454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCSASRPSQPYTFTTTTITTSAASPFPDHQHPPSNSSTSSPSPHHFYSPFSDQYYNSSSTQTPVSRTMSLPTPLIHHPPLRKGDSNHFVSLTSTTYALSSRHLGCKEPKSVTQSVSEITVADDPTDNNLGFRLSGSEDRIVLYYTSLRGIRKTYEDCCEIRLILKGFRVFVDERDISMDSDYRKELQGVFEGKGFSLPQVFIRGKLIGGADEIRLLHEEGKLFDFMKGFPVIDPGFVCEACGDARFVPCPNCNGSRKVFEEEEGRSIRCPNCNENGLIRCATCCC >KVI03458 pep supercontig:CcrdV1:scaffold_821:37974:51771:1 gene:Ccrd_018240 transcript:KVI03458 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyisobutyrate dehydrogenase MSIYRARSLIRLAKSKSNFNFTSPHLPVPCSIRRISSSIIPSHLERVGFIGLGNMGSRMASNLIKAGYNVAVHDINLSVIKMFSDKGISVKGSPREVAEMSDVVITMLPSPSHVLDVYTGPNGLLNGGNLVRPWLFIDSSTVDPQTTRKLSSAVSNCALIEKKDSWETPVMLDAPVSGGILAAETGTLTFMVGGPEEVYKATEPIFSSMGKNTIYCGNAGTGSAAKICNNLSMAVSMLGVSEAFVLGQSLGITASTLTKIFNSSTARCWSSDTYNPVPGVIDGVPSSRNYDGGFASTLMAKDLNLASSSAKELGLKCPLASEAKDIYAKLCEDGHGTKDFSCAFRHFYSGKDEL >KVI03460 pep supercontig:CcrdV1:scaffold_821:158672:167674:1 gene:Ccrd_018246 transcript:KVI03460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MLDSKLLLIASMVVLLVSAVLLSVRFPFYSRSTDDLYDGDVEVIPIGGGAIGPESFDFNPVDGTGPYTGVSDGRIIKLEASERRWTDFAVTSPERKSCGGPEMEQICGRPLGLKFDKETDNLYIADAYFGLLVVGSNGGLATSMVSKAQGLPLLFTNSLDLDPIDRLIYFTDSSQRYTRRDHMLVVLTNDKTGSLMKYDLESKEVVVLLHNLTFPNGVALSQDGNFLLVAETTNCRILRYWLKTAKAGTLEVFADLPGFPDNIKRNQNGEFWVAMYSRRLKILRWIHSLPWIVNALMKLPIDPVKLSSYIAKAGGEGLAAKLGVDGEILEILEDVNGKIWKYASEVMERDGSLWIGSVENPFAVKLKVQD >KVI03457 pep supercontig:CcrdV1:scaffold_821:53693:61944:1 gene:Ccrd_018241 transcript:KVI03457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPWSMTLWMANMVWMALSGWVISCLTVADGIAASLRTGDIGPFHVFDDLSLCGCRYYYIALGGLVYNFLCHEVEPFEKVSIDDKGSTYKRLDTMIDRYKFDDSNSWLDDPSDGDRVDPNTDHEKQATHRLIGDRVEQDSDSDSRDSQGPFFSGSEKEGGECVFEALGVEEEDDEVESDDGGENVYAGIVEKVGVLLS >KVF96501 pep supercontig:CcrdV1:scaffold_8215:10502:10876:1 gene:Ccrd_026608 transcript:KVF96501 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S globulin MVSTQGTAPKSDLFCEYIGVEFNDVKFSDIPVNPNVKFHYIFAFAIDYTTSSSSSPTDGEFNVFWDTDNLTPSKVSSIKNQHSNVIVALSLGGDSVGGGSCYFDPSSVNPLVSDAVSSLTKIIN >KVF96502 pep supercontig:CcrdV1:scaffold_8215:6575:10618:-1 gene:Ccrd_026606 transcript:KVF96502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase MEFDIRVDGKKKENYGHKKLNMGSSYASNVREFGLVLGVGGHMQELMRVRYVIMGEKDNMITMHDIIDAQWVYDNYRDETYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENSIENGEEVVLMTTKWEAIAIGIAEMTTAVMATCDRGVVAKIKRVVMDRDTYPRKWRLGPTASTKKKLISRGKLSKHGKPNEKTPAEWSRNVVLLLGGDSMVASLAATPKKKKKKKGIEDGEEGKKRKLEEDDVTDTPLASKKQKIEEDIEGETTEKKKKRKSKDGDEAEAILSDGDKSEKKKKDKENGDATVTPSAVVSEDEASKSSKKKEKKKKKKDAQEE >KVF96503 pep supercontig:CcrdV1:scaffold_8215:8722:9733:1 gene:Ccrd_026607 transcript:KVF96503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, superfamily MFYMTQLVGGQLITILKKSQTIKFASIAPYEDNGPLQSHYLALWKKYGYVIDYVYAYDKLSVSPFIYHFNHQQDSSYVGCQLLASFISKGNLDLPPNDGFFEACRELKRQGKLGGIFVWSLMNQKPLVLNMNKNLRLWL >KVF96504 pep supercontig:CcrdV1:scaffold_8215:3807:5524:-1 gene:Ccrd_026605 transcript:KVF96504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MQIPCVTADILQRLYGDFVTLAMNKYASNVVEKDIVLGLAYLHEGMDFQIIFRDFKSSNILLDDQWNAKLSDFRIGSVRILRIAFARLPRLYRQ >KVI04356 pep supercontig:CcrdV1:scaffold_8218:5362:8413:-1 gene:Ccrd_017332 transcript:KVI04356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MDQPSRARVPLVLFILVIVSLFVIFIGSNQARSGSFVAITHKFDIPRPMSFMPLSSSRAVDDLDEALAGAATGFKTVILTVVNKAYVEGDKAMLDIFLDGFWAGENTRSLVDHLLVVAIDQTAYERCMFRRLHCYKLKTDGVDFDGEKMYMSEDFIKMMWQRTLFLGTVLKRGYNFIFTDTDILWLRNPFPLLNLNDNIDLQISVDKFNHDNQLSEKDQLINTGFYMIKSNNKTMALFDEWYARKDSSTGMKEQDVLCELMKQGAFQRLGLGVRFLDTVLFSGICEDSRDVRAVVTVHANCCRSIKAKVQDLQTIMHDWQRFKASPIDQALQFTWSNHSACLDSWNE >KVF96162 pep supercontig:CcrdV1:scaffold_8222:4055:9429:-1 gene:Ccrd_026609 transcript:KVF96162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLKHLKSLSLYDCELLEKLPEDLGRLECLKMLKLARCLVLRDIPNSICRLKRLKYLSLHDSIRVEKLPEELGRLECLEELDIRGTSITNLPPSISLLGGLKIVRSEDGTTTTTTTGGCCTVLDVMFMKLSYKNGLSSSKKGKEISREFTEVTAKEKKKEKERRGCGKNTGLGLEGSTFGQIEGTKIEGLSSGFICTENRGCWGNVNRIIWNYHDEAAAQEAAQKQQPRLAVFWDRLEKLSSGRRGREPEDRNRKAEPETKRE >KVH92250 pep supercontig:CcrdV1:scaffold_823:132859:137063:-1 gene:Ccrd_005716 transcript:KVH92250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold MFLKHHVVVDNGIFSITIASPEGTVTCIKYNGINNILDDKNEKTDRGYWDVNWSDPDGKDNLDKLTGDRLDVIMNTENQVELSFKRYWTFSRKDNDVPLNVDKRFVVLRGSSGFYSYGIFERLKGWPDVDMYQGRIVFKLQDKLFRYMAVSDERQRVMPTFDDRKRGEPLDYPEAVLLTDPSNSLLKGEVDDKYQYSAEDKDNRVHGWICSDPPVGFWMITPSNEFRTAGPIKQDLTSHAGPVTLSVICETVKFLITLRNSIFVDRTHYAGMDLRIKFRDGEPWKKVFGPVFIYLNSVTTEDNPISLWSDAKEEMFEQTESWPYDFLNSNDYPLAHQRGLVTGRLLVHDVEVSRANSAFVGLAPPGDVGSWQQENKGYQFWTQTDDEGYFQIKNVRPGVYNLYAWVPGYIGDYMHHSVVKVLQGSRIRMGVLVYEPPRNGPTIWEIGVPDRTAAEFYVPEPNPTLMNQLYATQRTEAFRQYGLWDRYTDLYPDRDLIYTVGASDYQIDWFFAHVNRNVGNKTYLPTTWRVLFDLEDVTTGNYTIRLALASANVAEVQVRINDPRGMSPFFTTGLIGRDNAIARHGIHGLYWLYSINVMHSQLRAGRNVMFLTQTRGLGPFRGVMYDYIRLEGPVQSQN >KVH92254 pep supercontig:CcrdV1:scaffold_823:52689:60832:-1 gene:Ccrd_005712 transcript:KVH92254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKGPRRLSRSIMRSQKSPEIESDESSKNKRDQDNESDDQTSPRGVLEVVGSGSDSDNSSYISRSVSENSYDYASRSCLSFKAPAAAGCDGDGENNSNSNMNPVSPTSEIVQAEAVPWRNLIDNLKWKSFRRLSAAPLVAGYELSRKSFMKKLGRNHSSEATINEFYVPKPSWRNFSFEELTAATNSFSSGKYLFLPSVLTFTTPLMHVRVCLPSFTGGHAEVYKGCLPDGQIVAVKKITKKEKKDEDRVGDFLTELGIIAHINHPNAARLIGFSSDNDLYLVLQYAPHGSLATLLHNSEEIVEWNIRFKIAIGIAEGLEYLHYNCNKRIIHRDITASNILLTEDYEPQISDFGLAKWLPESWAQQIVSPIEGTFGYMAPEYFMHGVINEKTDVFAFGAKPLLEQGDGKGLVDPRLGNDYDLVELKRAMLIASSCIHHMPDMRPNIKRVLQILKGESPAVELRQKTFDGRSLIADTCDLEDYTCKTYLKELNRHRELVME >KVH92256 pep supercontig:CcrdV1:scaffold_823:19236:37245:1 gene:Ccrd_005710 transcript:KVH92256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, conserved site-containing protein MWKLKIAEGGSPWLRTTNDHVGRQIWEFDPKLGSLDELADIEKVRQAFHDNRFEKKHSSDLLMRSQFAKENSLSVFPPKVNIKDAEDITEDKVTNVLRRAIGYHSTLQADDGHWPGDYGGPMFLLPGLNRDGGWGLHIEGHSTMFGSALNYVTLRLLGEGANDGEGAMEKGRKWILDHGGATSITSWGKFWLSVLGAFEWSGNNPMPPEMWILPYFLPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITSTVLALRKELFTVPYHDIDWNDARNLCAKEHTASDLRPKPKALGLIMPYPTLIPQEDLYYPHPLIQDILWATLDKFVEPILMRWPGKKLREKALCTVMEHIHYEDDNTRYICIGPVNKVLNMLCCWAEDPNSEAFKLHLPRIHDYLWLAEDGMKMQGYNGSQLWDTAFAIQAIISTNLIEEFGPTLKKGHMFIKKTQAVLMLSKLPSEIVDEPLDAKRLYDAVNVILSLQNANGSFATYELTRSYSWLELVNPAETFGDIVIDYPYVECTSAAIQALVAFKRLYPGHRREEVQRCIDKSASFIEKIQASDGSWYGSWAVCFTYGTWFGVKGLVAAGRNFSNCSSIRKACNFLLSKQLASGGWGESYLSCQNKVYTNLEGNRSHVEIMGVFNRNCMITYAAYRNIFPIWALGEYRCRVLQAPC >KVH92252 pep supercontig:CcrdV1:scaffold_823:86637:94836:-1 gene:Ccrd_005714 transcript:KVH92252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MELEVDLSMEKKRASTVMEKKRAIGPIRIANIMSSRTGDKGVDVWLQIKATESDDEPQQQQTAIVYRRKKPQKESAPTTKQLSCSQKNRVSLVPEKRISWNRSLFTRGRTSIAVTNCAQYGPEKEKRLKKHKPPLARAKGVPLQNYDRECAYFQEVDAFELLEESPSPQKKTWLMGTKNGVAASDISSVLKKWLLTRKLIRNSCEPSASLSRILETPGDSIRDDVLEFPSLKTCFKTTSGATAGVKSNHSKYNSRLIGDDVLERHTSSIKRIEEIQTMSEEDSVIEVAIRNLSLSSQDSLLDEQRWDPLLALLAVCGQSSPSTFSDVLSDYCDLQSITKVGEGTYGEVFIAGEAVCKVVPFNGDLLVNGEIQKKAEELLEEVMLSLTLNQLRGRDDHIHNICPTFIETLRLRVCTGAYDDAMIRAWEQWDKKHFSENDHPREFTEKQCFVVFVQEHGGQDLESFVLLGFNEVRSLLVQVTVALAVAEAAFEFEHRDLHWGNILLSRKGCETLQFILDGKKMHVKTYGVDRTSRDERELRSLKKRLNGYGSAKEAVNDPFFTDLLLVCE >KVH92251 pep supercontig:CcrdV1:scaffold_823:105898:106992:-1 gene:Ccrd_005715 transcript:KVH92251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MSLDNITPSKQPNKDETQTSAGGGGGGGGGGRKASILKPPEQTLKCPRCDSPNTKFCYYNNYSLTQPRYFCKTCRRYWTRGGALRNVPIGGGCRKNKKTRVSSSRYVNGDSSSKDSSLDIGGLSLLSGPSPPAMDFQFAGINNNINIPPRLNHFSSSFGDLSNNPPPFINLDSLGFNFPSMKQDHHHHQPHGGLSNFQGMGVADNLHLNPTTNLASSIESLSSINQDLHWRLQQQRLATLLGGTGSDDGGGGGGAGGEPDQQQQQNQIAIESQSQKLQPILFHNLEIPKPSMSSDVRKDGSGSGGGLETEWFFDNNYAPVNVNPSTEMAPADINTAGNYQIGSINNWNIGMQAWNQFNQYSPLP >KVH92249 pep supercontig:CcrdV1:scaffold_823:152582:153727:-1 gene:Ccrd_005717 transcript:KVH92249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIRHLILGYSSRPCHHTLLSSTNTSEQGLETSTYPGDTIFSIALAISGLILFALLIGKMQVLGFGRWVVHRCESTLMGRVTVIVSCNYYFLCNWKSKH >KVH92255 pep supercontig:CcrdV1:scaffold_823:41359:45226:1 gene:Ccrd_005711 transcript:KVH92255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRLRVCDGGSPIETLARPTTDVNTAVVESLRLRLAETEAQLQRARAREAELSKKLFEMKRFVSVMEILQTYLKQRFLDQQHQLSLLVSQSPWIELDITNGRKETMKKNRSYIKESIGSKKGSRDRGFTLKHSVPPIAAACFLPFLEAESKSLTPILIFFPSSAGTFSSPSLSVLQAIP >KVH92253 pep supercontig:CcrdV1:scaffold_823:80336:84822:1 gene:Ccrd_005713 transcript:KVH92253 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU MWLSSSSFPQSSPLFLCKQHLHSSLSLIQPPKSQNGDPKEATPSKILPFHVKSITSTSNPFVKHCVKLRNSSSYRHSHGSVLLVGTTPLRTLDGVFLLPGCCDPFNEKALRASRGAALQLPIVCGQWSHLQSFVDGIQMKIIAGHPGGNDEQKSVSFLSHEFASSLTDTKLCLVLGSEGSGLSEKAKRVSELLRVFMWIDFLCNSNKNISEGVLK >KVH92248 pep supercontig:CcrdV1:scaffold_823:2909:6440:-1 gene:Ccrd_005709 transcript:KVH92248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSILCSITSGVISHRKSFEFLLAPRFCQASSLNRNNLNPLCHRIHVEEVYANGLKCLANGFEKSLISESQTEYTTGEELSSELSNRYICGLCEAGNIDKAMAFLSQMEALGFRLSYGSYTCLLISLASVGRTLEVEAIFQEMVSSGFQPRPKVYNVLLKCFLGKGLLTVADRVMESMNDMGVCKNRETYEILLGYHVRSGRLEDSWEVVAKMRKDGFEPNTFVYSRIIELYRDNGMWKKAINLVGEIRENGVSLDKKIFNSIIDIFGKSGELGDAIEVFDKMQQEGITPDISTWNSLIGWHCKHGDLMNALLLFDKMQTQGLYPDPSIFITIISRLGEQGKWDIIEKNFEQMKHGGHWKSGIIYAVLVDIYGQYGRFEDAEECINTLKLEGVPLSARIYCVLANAYAQQASYYSISRFLDWIFTLSPGLCEQTVKVLQLMELDGIEPNLIMLNVLINAFGIAGRHLEALSVYQHIKESGVSPDVVTYSTLMKALIRAKEFDKVPVIYKDMESTGCSPDRKARELLQTAMMVLQRRH >KVI01416 pep supercontig:CcrdV1:scaffold_824:64536:65490:1 gene:Ccrd_020307 transcript:KVI01416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGKRRRRSRSRSRTSSSTSSSSSATSTSSSSSSFFSGVLQNSDPQLLFGVMLAALSNREPGKPTLQGNLVIQKALDHLLSLLSNPPNLILHSQRTLHISLISLLPVLLSSKCSEVACSGLEVVGAASLFSIEMNEQIAFDEEIVKGLITGVASSRKSVSVAACNALLDLLTTSVGRSRLLEFSAIDNLIGINSIGCVYSGDNWGFYSFNREGSLLSTSAYQLMQELRLKKYKCS >KVI01421 pep supercontig:CcrdV1:scaffold_824:152678:161951:1 gene:Ccrd_020313 transcript:KVI01421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MTDEMSTSDNRSVEIIDGVNGLQKVVLHQHHGSSVEVYLYGGHVTSWKNEHGEELLFMSSKATFKPPKPIRGGIPICFPQFSNVGTLDLHGFARTKFWSVDNEPPPXPANAINRVFVDLIYKSTEEDLTTWPHRWLIPKLIMFCILSILFLEEKLNLFTSRSIQTRFCSFCSFEYRLRVSLGPGGDLMLTSRIRNTSIDGKPFLFTTAYHTYFSVSDIRSLSSFSEIRVEGLETLDYLDNLQKRERFTEQGDALTFESEVDKVYLSTPTKIAILDHEKKRTFVIRKDGLPDVVVWNPWDKKAKSIPDFGDEDYKHMLCVVAAAVEKPVTLKHGEEWKGRQELLAVPSSYCSGKLDPRKVSESS >KVI01425 pep supercontig:CcrdV1:scaffold_824:166046:170404:-1 gene:Ccrd_020315 transcript:KVI01425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MEGTFLPNRPALPIQLTKPTQSNQQQQRLGFNPTTLPPQPPSFSIDPLLQHLIHLSSPPPTTTHNQKPIRSSNTHFPSIRNSSKKHVVSRTHFKKTYSSSLLTLEDPKVHVADVDGDGDGDGDGDGALDFLSRECKFMLDSILEQPLSSLNLFFYSVKLELIEVDLVSLLKGLDLLGNWEKALCLFEWILEDSKTNPGFNLDNQAIELMVKILGRESQHTVMSKLFDQFDAIDNSLDVRAFTTILHSHSSSGKYEKAINLFQRMKAIGLSPNLVTYNVMLDVYGKMGRSWDKILTLLEELKAEGLEFDEFTCSTVISACGREGLLEEAKTFFAGLKAQGYKPGTFTYNSLLQVFGKAGMYLEALNILKEMEENNCPPDLVTYNELVAAYVRAGFHEEGAAVIDTMTQKGVKPNAITYTTVIDAYGKSGKEDKALSLFKIMKKSGCVPNVCTYNAILGMLGRKSRSEEMIEILRDMKANKCSPNRVTWNTMLAMCGNKGMHLYMNWVLKEMKSCGFEPDRDTFNTLISAYGRCGLELDANEVYREMIKVGFNPCITTYNALLNALARKGDWKAAESVMLDMRGKGFKPSETSYSLMLHCYSKGQNLKGLDVMAKEIYDGKIFPSWMLLRTLILANFKCRSLSGMEKAFQELQKHGYKPDLVIFNSMLSIYARNKIYDQARKMLRLIYNSGLQPDLVTFNTLMDMYARTGECWEAEEILKSVQKLGRKPDLVSYNTVIKGFCRQGFMDEARRVLSEMTSKGIRPCIVTYNTFVAGFAAQGLFSEVNNVISYMIEHNCRPNMLTYKTIVDGYCRAKKYQEALEFVGNIRKSDGSFDEQSLQTLASLARANVVL >KVI01418 pep supercontig:CcrdV1:scaffold_824:71916:72941:-1 gene:Ccrd_020309 transcript:KVI01418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSNIIPLFLIFATLGLYMFTQITNSSTHHTLISLQARRGLRTPQDSNPDITKVDGNARRSKMQSNSMAKAYDANSNEAAEIVYNIDYHGVTTHPSPTPKHP >KVI01415 pep supercontig:CcrdV1:scaffold_824:4794:19111:-1 gene:Ccrd_020304 transcript:KVI01415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MTGAETKEAPENIGKLVHLQSSTPKSYAKRSRKLKPHGNTLSSPMSKKKLVDLLTNSKKKEFKRRNASNKASKSLSKPSLVSCQNQKKQLAATGSNENEKCEKGDPTSEKFKQRRKRKRTNNKVEVDEASRLQRRTRYLLIKMKIEQNLLDAYSTEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDALRQLDLLSSDGCIDESVIAPDGSVHHEHIHCAKCKLRDAFPDNDIILCDGTCNCAFHQMCIDPPLLTENIPPGDQGWFCKYCICKTEIMEAMNAHLGTSYPHDSNWQEIFKVEATLPDGGNTLLNQEEWPSDDSGDDDYDPDRVEKRDSSCSRVCSEGESCDDDASSSYSLQSLDVKALDDESQKLDMGLESISADLIGAVSGSGSDCEFVSGRRQRQAVDYRKLYDEMFGKDALANEQASEDEDWGPTNRKRREKESDAASTLMTLCETEEKSVKDVPDTSKVDTNLSCKETKRSFFRIPSEAVEKLRLVFAKNELPSRAVKEDLSKQLGLDLEKAGEENPTQNDGISISKESGSEPAKNEAIDEILSEDMPTTLAHTPGNGHMKKFRRRKNPQSPTSTAKQQQVEREFNLTTSTNKVDGNEDLGDDDLSLKMLRENVKKEKIRAVDIGGSSEGDDQQAVAAAESQMEKLCFLKTKMEKLKQVLLLRTPNRRAKTTATSIDHTNTIFVPVAHLKEKH >KVI01419 pep supercontig:CcrdV1:scaffold_824:67957:71804:1 gene:Ccrd_020308 transcript:KVI01419 gene_biotype:protein_coding transcript_biotype:protein_coding description:JmjC domain-containing protein MEEVGTLTCFRIGFMEDEYPILLLHIAITLLNECTLEQLRKVPRELSKSLLTYLEKLWTEVRKHILVDAVEESERFSYLSNIRTNNIAESLFRLSMEGIFAMPSKFQEVKRSIFHLGQISFESFVVNHWEESPLLITRLSNALLHDNVFSSFLQYIRSKETVPSFLACLLQNHASALPISSDELDIISFLKEAREHIGCPIVYQQDIRVLKTLDSKGEMHFFHGSSDSHGSQVSHFLSAHDILRCEEAYNDGYTFALRGMEFHFQDIATISEGLAILFGQPSTAVNMYLTPPNSQGLARHRDDHCVLVCQIRGVKHWKIFPNPCPRLPRLYEPVDDLRDLESENELIDGCKEFLLREGDILYIPRGFPHEACTIIDDAKPNGNAESSLHLTLAIEIEPPFEWEGFVHVALHHWGRNYNLANRISCESSRDIDDVAVHLMHIAIKLIGDVDPMFRKACLIGAISFPSVTEGWLRTHQQSTFNHLLSRINANNSFNDVVSNVEAAIRKHEDLFEKLRWLRHLDQKISSMGMEDIFHVLVHEKDKVEASFMEVKSEFCNGVVLDDVIPHYSMILEKYRRTRKQYINGMLALNCI >KVI01414 pep supercontig:CcrdV1:scaffold_824:27129:40886:1 gene:Ccrd_020305 transcript:KVI01414 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MGNLMGTFESFRKAFGALKDSTKVGLAKVNSEFKVAIKTLIVFHRTLREGDPSFKEELLNYMHRSHVFQISNFKDDSSSLGKNNMNPSFRTIHCIFCNENTDLIVIDYDFVKAYSRTRLMSGEELLEQLPTMQQLLHRLIGCQPEGAAYYNYLVQYALALVLKESFKIYCAINDGIINLVDLFFDMPKPDAVKALNIYKKAGKQPPPSFLTTMEEYIREAPAVASVLMKRLEYEDIGEDDQQAGDDNSHESENNNEQVEDKEAVQAKPELEIVKDEHGLREINQKAAELDERNAMALAIVENGSHPQSSSIDWDEIKNTPGWELALVENNINTSNNTSQAQTCGGFDKFLLNSLYEDDAARRQIQLQNAGYNVGYRYDSRYNPFNQGPLPPPPHQLQDPFAMSNGVSPMMNVQMAMMQQQQQMTMNQQPPYQNQYQQLYHQQNMMVPYNNGYPMGTSNPFADVYEYPQSSTQPHGNHGLI >KVI01417 pep supercontig:CcrdV1:scaffold_824:44479:59134:1 gene:Ccrd_020306 transcript:KVI01417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDVERHARDFMEAAKKLQLYFIGLQREDQPTKEEILQKDIAMMEEEVKTKTDLIKKQERLIQGWRKELKDQLEKHNAELERV >KVI01423 pep supercontig:CcrdV1:scaffold_824:107833:114396:1 gene:Ccrd_020311 transcript:KVI01423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MSVSMRDLDPAFQGAGQKAGIEVWRIENFKPVPVLHSSNGNFFTGDSYVILKTNALKSGALRHDIHYWLGKDTSQDEAGTAALKTIELDAALGGRAVQYREVQGHETERFLSYFKPCIIPQDGGVASAFNHTKPEEHKIRMFICQGKHVAHVKEANYLNLNYWGQVPFARSSLNHDDIFILDTAHKLFQFNGSRSSIQERAKALEVLQHIKDTYHDGKCDIATIEDGRLMSDAETGEFWGFFGGFAPLPRKTATDDTITTEALPAQLFWLHSISHEFKVLSQVPLSGNNDNFHVAKGQTEPVDADPLTRELLDTNKCYLLDCGVEIYLWLGRSTSLDERKAASGATEEYVRSKDRRKSRIIRVIENFETVSFRSKFDSWPQSTASAVSEDGRGKVAALLKRQGVNVKGLLKAAPSKEEPQPYIDCSGNLQVWHVNGQEKILLAVPDQSKFYSGDCYIFQYLYPGEDQEECLIGTWFGKQSI >KVI01424 pep supercontig:CcrdV1:scaffold_824:163766:165679:1 gene:Ccrd_020314 transcript:KVI01424 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIF system FeS cluster assembly, NifU, C-terminal MGAIATQTRGLRSSPSPSSSSTSICCYSSDHKPSPPSHVIVFLSRKNPVSDHSSCLRKRNSFLRGDFQINQQFLRLDSSRRLRKRAGPVCVLPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMDILAEIRPYLVGTGGGILEFVEIKDYTVKVRLSGPAAGVMTVRVALTQKLREKIPIITAVILIE >KVI01422 pep supercontig:CcrdV1:scaffold_824:91574:96895:1 gene:Ccrd_020310 transcript:KVI01422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLEAASPAPLVLNQESSPSPPSSNSSSALFFFLGGLVVLLILLILVFVFRKFIRRTPLKKLGKRKDSNKGTKDYFSGNLRTISHFSFQALKKATKNFHECNLLGKGGFGPVYLKLALDKSQQGEAEFLSEVKMITSIQHKNLVRLLGCCSEGPQRLLVYEYMKNKSLDLILYGKSDQYLNWNARFQIILGIARGLQYLHEDSHLRIVHRDIKASNILLDDKFQPRIGDFGLARFFPEDQAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLMLPSEMQYLPEFAWKLYERSKLIDLIDPRMRNAGFVEKDVMQTIHVALLCLQAHANTRPPMSEVVAMLTWKVEMVKSPSKPTFLDRRQRWKDEKNSWDTISADFPSNQSPSLTPPPNSRDFNASQSFSGTMGKV >KVI01420 pep supercontig:CcrdV1:scaffold_824:125108:136140:1 gene:Ccrd_020312 transcript:KVI01420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin domain-containing protein MVESQKYLAAQSRIYEGSEPVLFFAIFQSFMVLKVCLNLDARKKNIRIILFSYRPQMSYACALTTIIKFWISQGGFSDGYKNYISEKESPNRTCTQDEVALFRVQGSGPENMQAIQLEPVASSLNSSYCYILHSGSFVYTWIGNLTAPQDQELVERQLDVIKPNMQSKPQKEGSESEQFWKLLGGKSEYPSQKIAKVTELYNFDQDDLMTEDIFILDCHSSIFVWVGQQVDSNIRTQVLVIGEKFLECDFLLEKRSHQAPLYIIMEASEPQFFTRFFTWDSTKSAMHGNSFQRKLSILKNGGRPTLNGKPKRRAAVSNGGRSVADEKPQRSRSVSFSPDRVRVRGRSPAFAALASTFENPTARNLSTPPIVKNIYPKSDASDSSKPASRSTAIASLTASFEQPPQEKLIPHSVKVMADSPAKSEPNSKENSMSSKIETLAIQEDVKEGEVEDDEGLKIYPYERLTTSSADPAADIDILKRETYLSSAEFRMKFGMTKNSFYKLPRWKQNKLKMTLQLF >KVH93604 pep supercontig:CcrdV1:scaffold_8240:3646:8978:-1 gene:Ccrd_004344 transcript:KVH93604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MDGLWRKTSDMSVVVICLLFTSIFTNTDSLTNEQEKDRIKALPGQPEVAFSQFSGYVTVHKKHGRALFYWLTEAATHNPLENPLVLWLNGGPGCSSIAYGASEEIGPFRINETASSLYLNKYSWNKPRDALTFLKRWMSRFPQYRYRDFYLSGESYAGHYVPQLAKKIHDYNKNHSNPFINLKGFIVGNAVTDIKYDNIGTVAYWWSHSIISDSTYKSIMSSCKFTSAKYSQNCDDAINYAWNYEFGEIDQYSIYTPSCNKSSRNLTTNISSRVKNTLVRISGYDPCIENNAEKYYNRPDVQHAMHANSTGISYKWTACSGELLDNWKDSEFSMLPTYKKLIAAGYRIWIFSLSHLNLTVKTRWYPWYLNGQVGGWTEVYDGLTFATVRGAGHEVPLLQPQRGFLLFQSFLAGKNLPRS >KVF94946 pep supercontig:CcrdV1:scaffold_8242:154:9586:-1 gene:Ccrd_026610 transcript:KVF94946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MNPATFSLTVDAAEVTKRNKNRQEEGKAIEKRKWEGPSTGYRGPKFVKYGNRPIQRVNEKACPRCHQIHQVTRKQQRAHLTLQRLKMTVQSDDHITFTFDKFLSSHIAASAVFLAKWTLDQIEHPWNPTLEHYTNYKASDLKATILALQDLQLNDAAPLRKIRQKYKQKQ >KVF94866 pep supercontig:CcrdV1:scaffold_8248:8819:12992:1 gene:Ccrd_026611 transcript:KVF94866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MQQAGGGSETEVTWEDQQNINKFGRLNNRYHELEDEIRIAKNFEDASNELILTDEEVVRFQIGEVFAHVPKDEVEMRIEQMTETTTKHLEKLKDEKDAVVAQMADLKKVLYAKFKDSINLEED >KVH93721 pep supercontig:CcrdV1:scaffold_825:39234:55146:1 gene:Ccrd_004222 transcript:KVH93721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA polyadenylation factor Fip1 GLEITLKESNPNSTAARRASLRLVCAYKTIVTDLMEDDDEFGDLYTDVLQPLQMSSASPQPQIRSTPQPPTRSIDLNDRISSDDEEILYGAKTSGKLKFDQPDSNLNLNKRDGAAEKKESVWGEEAAPAADSGGRVFGSSSGLVKAEEKGLEQDPNFVHDVKGEFSQIKEEALEENFGIEDAGEEEEFLIPGLSSSGARVLERSGKEGEGGDDWDDSDSEDDLQIVLNDTTGAGMMGMDGGGGQDEEDDEDGDNLVIVAGNADANHLHHHPPMEELQDWGEDASQAAEGGGERKDLLGGDAGKPEGGGVGGAVVAQKVGYGSHGYHPFHSQFKYVRPGAAPMPGAGAVATGGTPGQVRPPASMLPFAGRGRGEWRPAGIKNVPPMQKNFHPGYGMQGWGNNGAGRGFGSGLDFTLPSHKTIFEVDIDGFEEKPWRLQGIDISDFFNFGMNEESWKEYCKQLEQHRLEATMQSKIRVYESGRTEQEYDPDLPPELAAAAGIHDISSENRNIGKTDLQSDLAKGSARSRMHLPTGKAIQVETGFGERLPSIDTRPPRIVLQGSADDESVPENDGAEQPEDVPSKENPRANLEIADDIGSEDDHFDRPQAYNGRKRDVSGRRAPFMGSMHDMTSTGDRGSRFKETEVEDHFESRGRTSSYPKKLSPHNLVERPAKRTAADRSPHLTDSGTLQDPKFVDNQKEESTESVGHKKTPSSSPPTLGSAEDRSFDQNDAIKDEAVVADGISGMEREGQGLDTTAIDTFKEENSRRTMKKQKLIARAEHSSVERGEDREDSKAGRSSENSKAKSGSSRDQQNMRDSMEQEVIQAGGSMCSGNIRRSINEDERTVRSRGREERQERERHPTALKGMEDQYSHRKWDSSLGHRSHVKSENFDRKKGRDSEEVWQDEDPHVGRMRIEDMRKRSHEEEMASRHRHKVRENERSDKNEHRSRKVLENGGWKGDHDRDVVFQQKRDDSLKTRHNISDGMHSKRSNEELHARRGDRAEREEPLHAHRESTSRRKRERDDNLDQHKRDEQARLKDDDQHPFRYKEEGRLQREKVERQRERDDWKERDGHRGVGSGRPAEDKAWVGHSRLKEDYRSSDKEYQFKDTVREQLSRRDRVENEGISRHRGREDAYTHGNKLNNEEKISRHERGYAGTDRTASTKDMHGLQEKKHKESLRKGKETDGIHNSLAASRRNREENSSQRSERASSRGMLEQRSGEQNLLTRRSLKKHKENGSSEDEQQESRKGRSKLERWTSHKDRDFNLGIKADPKEIDRSNDNGVAMKLAEESSRPQETVDNSKPLAEEKDSSSIKTEDAKPAEDKHLDTVEKLKKRSERFKLPMPSEKEAIAIKKMENEPLPCVQPETRPDSEVKPERPAREVKKMKISREAFEEVLKGNSSE >KVH93724 pep supercontig:CcrdV1:scaffold_825:131605:135626:-1 gene:Ccrd_004225 transcript:KVH93724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MQDMIKELFFGFLLIHSSIAKHGRSGNNKPARQVQTRRLQAVPGPGPGPGPGPGPGKKWLTLNGKEPLVIAQGGFPGLFPDSSEVGYAAAIAMGVVGTLKYCNLQLTKDNLGVCLADLKLNNCTDAPIKFPKQQRRYNVNGHDIDGWFPVDFTIDEIMKEVHLMQNIFSRASVFDFVFPALPPDSVFGLEPKGKLWLNVQNDGFWSQHQLNAIQYIDESKEFFVADFISSPEIGFLKAISGSKTFDKTITKLVFRFLSPVEAEPTSKETYGALVKKLNMIKTFASGILVPKDYIWPVGKDGYLRPATTLVEDAHKDGLEVFASGFASDVFSSYNYSYDPTVEYLQFVDNSQFSVDGVITEFPGSASNAIACLAQNPNSSTKIAQILIISHNGASIDYPGCTDLAYKKAISDGADIIDCNVQMTKDGVAFCLDSADLLGKTNAAMAFMDRSTSIPQIQPKTLIQNPFQSGGLQRNPKSKNAGKFLTLLEFLELANKNTETGHAAYLAAKKGLDIVRTVTGALKKATLDPKKQVLIQSDDTSVLAKFKASAPTYQRVLLLTKPISNVPKPVTSDIKKYADAVNVVKKSIIQENKIFITTGSTKIIDEMHTANISVYVSGFSTETLSMMLDFYSDPYTELITFLMEGIDGVITDNPKTASAFMRSPCVDPNSAASFVFQPVKPGDYLAQVQPEALPPASPPLPPLEESDVVDPPLPPVNAAARKEDSKSGGGSSGDGKDGSSESGQPRLVIDVVVTILLLVGLVSLIGC >KVH93722 pep supercontig:CcrdV1:scaffold_825:56168:62343:1 gene:Ccrd_004223 transcript:KVH93722 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MLVGCLWQNDHIVTVSLGGTIYLYSASDLDQDPVILCGHMKNVNSLVVLKTSPETILSSSYDGFIFKWIRGVGFAGKLERPDKNQIKCLAAVDEEIISSGFDNKVWRIPLTGDECGEANIVDIGSQPKDLSLAIRDHELALISIESGVVLLRGTQVLSTINLGFTVTACSLAPDGTEAIVGGQDGKLHIYSVKGDSLTEEAVLEKHRGAITVIRYSPDVSMIASGDANREAVVWDRDSREIKLKNMLYHTARINSLAWSPDNTMVATGSLDTCVIVYEISKPAASRTTVKGANLGGVYAVSFVDQNTVVSSGEDACIRLWKITPQ >KVH93723 pep supercontig:CcrdV1:scaffold_825:116159:118243:1 gene:Ccrd_004224 transcript:KVH93723 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSLRRRPLPRLLLNNVSCMRNAQQILRHTNISIHDGGALVLTGANGSGKSTFLHMLAGFSKPSSGEILWDGHDITESGVFHQYKLQLNWLSLKEAIKSNFTVLDNVQWFEVLEYKQGKSLPALEFMGLGRLANEKARMLSMGQRKRLQLARVMAMDRPIWLLDEPSVALDDEGVKLLEQMIADHRSQGGIVIVATHLPIQIQDAMILRLPPSLVWSSSELKPGLVVVAAQAFRLCLPSVASLPLLPSPSVASLPPLPSLRRQSSASAFPPSPASIDCSGDQQEGGKERRPL >KVF94474 pep supercontig:CcrdV1:scaffold_8250:9911:10212:-1 gene:Ccrd_026612 transcript:KVF94474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSVLELTRKTLKSCTRRCI >KVF94473 pep supercontig:CcrdV1:scaffold_8250:10773:11159:-1 gene:Ccrd_026613 transcript:KVF94473 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MLFAAATGCQPTGMTFLHDMMSLDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYYVYLQ >KVH95050 pep supercontig:CcrdV1:scaffold_8253:6320:7358:-1 gene:Ccrd_002881 transcript:KVH95050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MGGSVVNHLFFTAIFILISTTTFCRSEDDPDCIYSVYIRTGSIWKGGSDSIMSLTLYDAAGYGIRISDIEAWGGLMGSGYDYFERGNLDLFSGRGPCLTGPPCEMNLTSDGTGSGHGWYCNYVEVTATGVHSQCAQQQFEVEQWLATDTSPYELTAIRNYCEYSATRRRAGAGNVILESGSSYVVRMAK >KVI06783 pep supercontig:CcrdV1:scaffold_8258:5785:6090:-1 gene:Ccrd_014862 transcript:KVI06783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin PKSITINRTQGHLSLQIVRKIVSEADGYQPHLIAPKQGYRCLIDESLNYFRGPTEASLDAVQFVLKELVRRSIAETEELRRFPTLQSVFTAAAGVGDQHFQY >KVH99551 pep supercontig:CcrdV1:scaffold_8259:7632:10360:1 gene:Ccrd_022214 transcript:KVH99551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MWKVTPSKSLVIRINLVFLSCFLVIYATLLLRPSTNMYHQNATAYVRCSLRDCHHKVETGSKMKAVLLEDAVELKENGGRKMVRRERPSFLNGSGFMGRGMKMGMVNMAGEDLSDWNVVGKIIPVTFEKVSDYFEWKDLFPEWIDEEEEMHGTLCPEIPMPDYKKYGYLDMIVVKIPCKFPAEGWGRDVFRLQLHLMAANLAVRRGRRSWNRRPKVVVLSKCRPMVEIFRCDDLVVHDGDWWYYEPDMKRLEQKVYLPVGTCNLALPLWEKGIDEVYDVKKIQKPHPRSQSRKRQAYATVLHSSERYVCGAIMLAQTLLKTGTKHDLILLIDTSISLAKRHALAAAGWTVRIIERIRNPRAENNSYNEYNYSKFRLWQLTDYDKIIFIDSDIIVLRNLDIIFTFPQMSAVGNDNSIFNSGIMVIEPSNCTFKHFMEQTNEIVSYNGGDQGFLNEIFVYWHRLPRRVNFLKNFWSNTTVESSMKNQLFGADPPKLYAIHYLGLKPWLCYRDYDCNWDIGDQRVYASDVAHGTWWKHHDAIDESLQGHCKLTEKRKIELKWDRMQAKKIGFPDEHWRINVTDPRRRG >KVI04447 pep supercontig:CcrdV1:scaffold_826:154919:166746:-1 gene:Ccrd_017240 transcript:KVI04447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MASTIANMPLNVGTIKGLDCRSYGNFKPNALPIRPSVSTLPYRCMFVVKASTSDGPMKKLGRSDAECEAAVVAGNIPEAPPVPPIPSSPAGTPVVPSFEISRRPRRNRRSPVLRSAFQETSLSPANFVYPLFIHEVSFGFAGEEDTPIGAMPGCYRLGWRHGLLEEVSKARDVGVNSIVLFPKVPDALKTPTGDEAYNDSGLVPRTIRLLKDKYPDLIIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRSALDAEGFQHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIELRADESEGADILLVKPGLPYLDVIRLLRDNSPLPIAAYQVSGEYSMIKAGGVLKMIDEEKVMLESLMCLRRAGADIILTYFALQAARSLCGEK >KVI04443 pep supercontig:CcrdV1:scaffold_826:47399:53113:-1 gene:Ccrd_017237 transcript:KVI04443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mandelate racemase/muconate lactonizing enzyme MGSIGFSLFSPKLYKTPGYGGGATAVATMAYPAVGATGCATKSNLGFKSLMESFTVDIHRADGRQLNVPLIAPFTIATSRLEGVENVAVRVELSNGCVGWGEAPILPFVTAEDQNTALKKAGEACEFLKKSEAMSFGDVLREIGQLLPGHEFASLGMKLVSALSSLGYFGMSCDQRFNHVIAALFWVRAGVEMAVIDAVATSIGTPLWRFFGGVSNTITTDITIPIVSPTEAGQLASKYHKQGFKTLKLKVGKDLNADIEVLQAIRMAHPHCQFILDANEGYTSSEAIQVLEKLHEMEVTPILFEQPVHRDDWEGLGHVTRVAKTKYGVSVAADESCRSLADVKEIVKRQLADVINIKLAKVGVLGALEIIDLAKDSGLDLMIGGMVETRLAMGFAGHLAAGLGGFKFIDLDTPLLLSEDPVFEGYEVSGPTYKFTNARGHGGFLHWDNIA >KVI04444 pep supercontig:CcrdV1:scaffold_826:30760:31047:1 gene:Ccrd_017236 transcript:KVI04444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MRSTTYTAVCAVAILVMILAGMQVATAVTCQATELAPCASAISSSSPPSKQCCVKIKEQRPCLCKYIRNPSLRGYVTSPNAKKVAKTCGVPIPKC >KVI04445 pep supercontig:CcrdV1:scaffold_826:105356:105583:1 gene:Ccrd_017239 transcript:KVI04445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREWWNMADGGNHGIGGVLGFWTSVIMFALVIFGVIIFSCAEGAESKDKSDANADTMAYGGGGCVAGCGAACGG >KVI04446 pep supercontig:CcrdV1:scaffold_826:75625:79990:1 gene:Ccrd_017238 transcript:KVI04446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MSKLTQNVDYSIPQITRLYGRKRPIHKILGGGEDILLWRNKIISGVTSIVVLTIWFLFEFAEYNLVTFLCHLTITVMLIIFIWTNGAKAFGWTPPNVPKILLEESTIYQGFCVMGTLPYLYEKHEEKVDYLFHKLNQKVFKIYKMFDRYVVSKIPRWPMRSKKSN >KVI01580 pep supercontig:CcrdV1:scaffold_827:111528:122066:-1 gene:Ccrd_020147 transcript:KVI01580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin C/H/T/L MVPSTDGTMSLARPHHAEHSQVPGDFRSSFGRNYHHMYGSASSAFTTNRRYINNNHRRSYNNSHDFSNESGQQYNVYGYIEPEVLPSLKRRKFSATNWESYGTSYNQSCTYEYSPSSSKITSLPPVVSDANAKACTSTSCKRDRTRFEDEDAEFMSRDEIERFSPSRKDGIDVLQETHLRYSYCAFLQNLGIRLELPQTTIGTAMVLCHRFFVRRSHASHDRFLVATAALFLAAKSEETPCPLNNVLRVSSEIFHKQDFNLLCYLLPMDWFDQYRERVIEAEQMVLTTLNFELNVQHPYAHLTSILDKLGLAQSLLVNLALSLVSEGLRSSLWLQFKPHQIAAGAAYLAARSLNMDLTSCQNVWQEFYTPPSVLKDVVQQLMELF >KVI01579 pep supercontig:CcrdV1:scaffold_827:29954:31582:1 gene:Ccrd_020142 transcript:KVI01579 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b6/f complex, subunit 5 TYHVNWQFLVIEIHGLIPITLAGLFVTAYLQYRRVFNARSKNISFCGTGTKYSMVRFFSRINGHFQIYYSKEPAQYA >KVI01577 pep supercontig:CcrdV1:scaffold_827:123185:171847:1 gene:Ccrd_020148 transcript:KVI01577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDGGTPTTNTSILENEEHISSCEITSLTVSDPHALTKEGFRLQPDYLVFPKEDNELRLVPAYLVFDLSIEHSSSYTTSDGSMVKDFFDHLSSETPGYLIRVLATLEATTPVESIENNQELTCDKRDSSLTVLICFDDANVQAETQDDPTQSLQQLKQQHMTYHLQWHRQLDFDMAADAMAGWQQRSSAGAATAMAVGQQRSSAGAATATAVSNGSRQRRSSYELGDWRLEFDEL >KVI01582 pep supercontig:CcrdV1:scaffold_827:47916:49102:1 gene:Ccrd_020145 transcript:KVI01582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MPLYELAVFDPSDPVLDSMWRQGVACFGFGAFHVTGLYDPSIWVSDPYGLTRKIQFVNLSWGVEGFDPFVPGGIASHHIAAGTLGILAGLLHLSVCPPQRLYKGLRMGNIETVLSSSIAAVFFAAFLVVETMWAGSMDNGDVIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAKSKYSVEQVGVTVEFYSGEINGVSYSDPVTVKKICKTHSIGENF >KVI01583 pep supercontig:CcrdV1:scaffold_827:45351:46720:-1 gene:Ccrd_020144 transcript:KVI01583 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MRNQEVVSEVSNQLTGFMIYLSIEDDTQDLYLFINSPGGWVIPGMSLCDTMQFVQPDVYTICMGSAASMRSFILVKREITKLSTGYIILEVGELLKLRETLTRVYVQRTGKPLWVVSEDMERDVFMSATEAQGYGIVDHIAVK >KVI01581 pep supercontig:CcrdV1:scaffold_827:55883:68396:-1 gene:Ccrd_020146 transcript:KVI01581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLGSTGGRLTGKQGSCVGLYFNNRPEWLLVDHACSAYSYISVPLYDTLGSDAVKFVVNQAAVQVIFCVPQTLKNLLSFLPEIPSVHLIVVVGASDDQMASLPLQTNVEIITYRKLQIQGNNYPKSFCPPKPEDIATICYTSGTTGMPKGAVLSHKNLIANVAGQSLRMTFYPSDIYISYLPLAHIFERATQIILAYYGVGVGFYQGVSNSSLQASDSFLLLIVMSSLPGGALKFCIRYAVGFHSNLLRRKFPPTSAVVLYAILRIQLSCRIRALDFNPGELYMFHGCSNGRMKEHKGKLSQGFPNQTIPSTYFFQSSYLLLLPSPSLAASSSLVIGNWHHDSLKLMDDMAYLRPTIFFSVPRVFNRLYAGIMNAVKSSGVLRERLFNAAYSAKKQAILSGKYSSSIWDSLIFNKIKGMVGGRIRYMVSAASPLSPDVLEFLRVYGFMVLFSEIKLVDIPEMGYRSADQPHPRGEICVRGPTVFQGYYKDEAHTREMIAEDGWLYTGDIGVWLPEGRLKIIDRKKNIFKLAQGEYVAPEKIESIYVNCKFVAQCFVHGDSLKSSLVAIVSLDQDVLKAWAAAEGIKIKRPQAKAYFSKAIAEMYTEISKSPEMQRV >KVI01578 pep supercontig:CcrdV1:scaffold_827:44249:45146:-1 gene:Ccrd_020143 transcript:KVI01578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNPPRFGMPSVSRNITILYFEVKCLEKIKNRGWEELDGDISLGDVEQKFVYLHQAFGGLIQDLLELLLNKK >KVF93362 pep supercontig:CcrdV1:scaffold_8275:13758:14054:1 gene:Ccrd_026614 transcript:KVF93362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MARLDSQGILSLVNGDDTVIWSSNSSASGTDIVNPIMQLLDTGNLVIKDENHVSNENFIWQSFDYPGDTFXSGMKLGKNLITGRDVYLTSWRSADDPSP >KVF93360 pep supercontig:CcrdV1:scaffold_8276:1015:2004:1 gene:Ccrd_026615 transcript:KVF93360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase, central domain-containing protein MDFQNSASADYTCVQQIGANLTLMYKQMITNSPNADTFFGGVFRAGDDPIDIQHSTGPIESGVHTAVHIWVGDPRMPNNEDMGNFYSAGWDPLFYTHHANVDRMWALWKDMQGPDYPDHXDPTDPDWLNASYVFYDENKELVRVYNRDCVNVENLSYVYEPSPLPWLRSRPVPRNTNSNVAAKSFGTVKKVEETKFRVKLDQTVKVLVKRPATKRSKEDKKKSYELLYVNDIKLDGEKFVKFDVLVNDLDDGTPSTPTDSEFAGSFSQLAHLHGHKMVMRSGATFGLNELLEDIQAENDEYILVTLVPGEGCEDVTVGEIKVELVSSAX >KVF93361 pep supercontig:CcrdV1:scaffold_8276:9216:10876:-1 gene:Ccrd_026616 transcript:KVF93361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyphenol oxidase, central domain-containing protein MQSILVLIGCYFGYPDKELQVHNCWLFFPFHRWYLYFFERILGKLINDPTFGLPYWNWDNPSGMSMPGFFEGKTLPSNDPAISPPLNPAFDAFRNVDHLPPAILDIDYNNTASADFTCVQQIGSNLSLMYKQMITNSPNSETFFGGKFIAGDDPISIQNSKGPMESGVHTAAHIWVGNPRMPNNEDMGNFYSLGWDPLFYTHHANVDRMWKIWKDLKGPDYPDHTEPTDPDWLNASYVFYDENKELVRVYNKDCVQMEKFVKFDVFVNDLDDGTPCSPQDSEFAGSFSQVAHLRGHKMLMTSGVKFGLNELLDDIETEADEYILLTLVPRDGCQEVTIGEIKIELVPSSANSG >KVI02651 pep supercontig:CcrdV1:scaffold_828:22657:23796:-1 gene:Ccrd_019048 transcript:KVI02651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKKRRGVDQVEVAMTNGYSSRKKVDKREGQPILSPLLSPSPPPPPSIPKPSTTATRVCPTPPSTPPSFGRSGGCNYYTLPLSTSQGPYYTYPPPLYNNNYPTQEPPNLIMSYVLYCYYSLPPPASSATVSIPRAITFVLTQLHFALILLVS >KVI02654 pep supercontig:CcrdV1:scaffold_828:11160:14243:-1 gene:Ccrd_019047 transcript:KVI02654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 MARMSDRAAEPLATTPVTVNPRNQEMNSDYSTPKVSSDYEKCREERIKQNLERMQKLGIYDLSLKLRSIKPNTNRKNNKPLSTNQNKTPNRCIPPLPSSVPARRSSRLQNTPTVSYTEAVKSKKDKDENDHLIRENSRPEVYTEEHEKRLGDAKMEWTLFVDGYGKDGKKIYDQVRGKTCHQCRQKTLGHRTHCVKCNLVQGQFCGDCLYMRYGENVLEAMQNPDWICPVCRGICNCSLCRQAKGWAPTGVLYRKISSLGYKSVAHYLIETRQSDPNSEKTEPPICAKRSLPFSNEEVESEDGLKTSEKIEPLHDNVKLESGQEPENKNPEVEVKSEMMEDEKPSELQSSDEPSCNNLKLESGQELKDKNSEADADVGENENPKKIKESDESSCNNVKLESGQESDDKNSEAENENENQKKVNVELKNEKAENEVENSVVTPETRPISQKKRRRVVEPVLENSIAGRLRSRRKLI >KVI02653 pep supercontig:CcrdV1:scaffold_828:1248:3770:-1 gene:Ccrd_019046 transcript:KVI02653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferase MHISLWKPIISHCASLISDKKSRRKYGSDHTNEEIKRSPSVRRKLQENKLREALEQASEDGSLVKSQNMDFSESFDREDKGLGRSRSLARLQAQKEFLKATSLAADRTFEDKDSIPEFDEALLKFLTMYPKYKSSEKIDQLRVDEYSHLADTISKVCLDYCGFGLFSFLQTVHFWESCTFTLSEITAHLSNHALYGGGERGTVEYDIKSRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVNWMAQSAKDKGAKVHSAWFKWPTLKPCSTHLRKLILNKKRRKKDSSTGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGDDPTGFGCLLIKKSVIGSLQNQSGHAGSGIVKISPVFPLYLSDSVDGMPGLAGIEDDEVVKGEGISESHAGALLPAFSGAYTPSQVRDVFETEMDHGNSPDRDGASPIFEETGSFSVGEVMKSPVFSEDESSENSMWIDLGQSPLGSQSEIVNSPLPPPSWFSSKKSNEKEIDSQPHISKVLSFDAAVHNVKKADNFQESFQEKSQETERKLEISEIQEEPESKKLKESAVIRRETEGEFRLLGRMEGSRRVSFGLEDNNKHCEDFYISDEEYCEGQESERREPEITCKHLDHVNMSGLNKTTLRLRFLVNWLVTSLLQLRLTGSDGEQDSVPLVHIYGPKIKYERGASVAFNIRDRVRGLISPEIVQKLAESNGISLGVGILCHARIIQSTKQNHAAIDLADTTLCKPMNNDGGGFVRAEVVTASLGFLTNFEDVYKLWVFVAKFLDPSFIKENGDSTEMEGEEQTG >KVI02658 pep supercontig:CcrdV1:scaffold_828:26523:28758:-1 gene:Ccrd_019050 transcript:KVI02658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MPQRTIVSYNALIAAYSRDRNSTHMAFRLLTQLNLEGFIPNGPTFTSLLQASSALGKLGSALHSQIVKFEFLSDTLVQTALLGMYSDCGDLESSKKVFGFMVQKDAMAWNSIIVGHMKNDKIMEGLRFFRAMVKAQDFPTQFTYSVVLNACSKLLNLEIGQLVHAQVIVSGIPSDLPLRNALLNMYCSCGDPKTAARVFREIENPDLVSWNSMLAGLLGNGDGEESMVMFIDICKVSFVKPDDYTFATIISATRALAARSYGKPLHGQVTKTGYEMNVYVGSTLVSMYFDNGDSESAQKLLNSMPVKDVVFWTEMITGYARMGDGENAIKCFHEMSKEHKIDSFALSIALSACADLAAHNQGEMIHSQAIKLGYDLEMTVSGSLIDMYAKSGDLRSSESVLSQIGTPDLKCWNSILGGYGHHGKGDEAFRILDEILNQGLIPDEITYLSMLAACNHCGWVEKGRFLWSSMKRNGLIPRSKHYSCFIGLLSRAGFLEEAEEMIMDSGDSGESNLELWRTLLSSCLDRRNIEVGTRVADRIMNINEEDGAAFVLVTNLYALTGRWNDVAEMRRKIRVLGEKDAGLSWIELSSNTYVFSSGDKNHPEIDAMQEELSSLQKNLAKSEEDNCRPATDDI >KVI02659 pep supercontig:CcrdV1:scaffold_828:53169:58398:-1 gene:Ccrd_019053 transcript:KVI02659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATGDHQKHFVLVHGACLGAWSWFKLIPLLEAAGHRVSAFDLSASGTDTKVIQQVTTLSDYTLPLLEFMATIPADEKVVLVGHSLGGMNIALAMEKFPERIAVAVFFTAFMPDSTHKPSYVLDTYNEKTPPEDRLDAKFLPYNNEKDSETSILFGPKFISHKLAQLCSDEVDRELLKILVKPSSLFLKDLHNAPKFTKEGFNYVQRVFVVCNEDQAIPKDFQQWMIDNNPVAEVKELKVVDHMPMLSDPKQVSVCLLDVALQYA >KVI02657 pep supercontig:CcrdV1:scaffold_828:32788:35206:1 gene:Ccrd_019051 transcript:KVI02657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFEVLLQLANMPFGCEQRKVTNDSCVHQESSSESVSDEIPVSSKTDLTNQETCKQPFYAAIDCLLLLKKSVENLHQKNLFPYNPEVLLRRNFAKACTVSQQTFNDELSENR >KVI02663 pep supercontig:CcrdV1:scaffold_828:130956:134999:-1 gene:Ccrd_019057 transcript:KVI02663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MNMDRPAAAVVNLGMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKQTRELVAVKYIERGEKIDENVQREIINHRPLRHPNIVRFKEQVCHRDLKLENTLLDESPAPRLKICDFGYSKSSVLHSQPKSTIADVWSCGVTLYVMLVGGYPFEDPNEPRDFRKTIHRILEVQYSIPENIPISPECRHLLSRIFIGDPTQRITMAEIKAHEWFLKNLTADQMEEEKMIKSLFEEPDQPMQSVNAIMQIISEATIPPVGHYDLEMMDDDLDLDLDLLDDFDSDCEEPNIDSSGEVVYAI >KVI02664 pep supercontig:CcrdV1:scaffold_828:128596:129894:1 gene:Ccrd_019056 transcript:KVI02664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLQLTLVIVAILLSTFCFPGATATTGGLTNPSTLDLASSPTSATATPQDVHDSFAPTSIFEPILANLGFQELSMAVPSLSDDSAFTTWNGPTTLFAPTDSSIRSCSSCSVVRLLREHIVPGLFSYEYLRKLAFGTKIETMDPGRCITVTSSTDANNYTKIFIGGVEITRPDLFNNGLVVVHGLQGYVAPLSPFSCNIERMTSLSFPSQTDNRHNVAHQSVHYPTYIMRLMLRDAMLRLRNSGFSILALAMKLKSAELMNIQNMTVFALDDVSIFSGSHSYVNNIRFHVIPNKLLPISDLEKISSGTVLPTLETGQSLMVTTTAGGFTPMRINYVRIKVPDVMRNLKIVVHSIYLPFPHLHPSAVSYEETGSSTGDASPPFDRKDMINLTAASGSCDVVDQVSGSCAVGTGIGTTAEVKPMVVRTGHQGL >KVI02652 pep supercontig:CcrdV1:scaffold_828:24819:25508:1 gene:Ccrd_019049 transcript:KVI02652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MPSDSADHRRITTGKHHHLGVAAPPPEPEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKACRRYWTHGGTLRDIPVGGGSRKNAKRSRPSTAVSSSHNINSSAVSSGLDYCHILPTATVPVFPFAGDHGGAAQFVGDVKPGVGMCGSFTSLLSNNQSPGLFGLGVGGFDQELSFGLGRTIWPFSDGVGGGHSAAGGGSGNTWQLESGDGGGDCFVLPDLAISTPGNGMK >KVI02655 pep supercontig:CcrdV1:scaffold_828:168814:169728:-1 gene:Ccrd_019059 transcript:KVI02655 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKILNELNTTQREDAVNSLAYEAEARLRDPVYGCVGLISILQHRLKQVQSDLHNAKLELANYIGPSAMLPILNPGFIPQIPNMPSSSVPVLPYNMQPMMGMPGPGNFRDSQHHPQILEAQQQQLVAVANPREQQDMLRNYEQQQHPQQQPQQQQQSSADLVRFSGGFEAPGGSGQVTGGGFCPMTAQAAMSPSLALGSSYVNNMYQIQQQQPQQEQNHHLHHHLQPHQLLLPHESQQPPPAQRAASEEDRNVAPSC >KVI02661 pep supercontig:CcrdV1:scaffold_828:111924:120027:-1 gene:Ccrd_019055 transcript:KVI02661 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFVTIVLGFFLLYALIQATFSVFGIGRSKNLPPGPTPFPIIGNLHLLGDQPHQSLAKLAKIHGPIMFLRLGQINTLVISSAAAAKEVLQKQDLAFSTRHIPDALTAHNHAQHSTIWLPVGPRWRTLRRFLNNNIFSGDSLDANQHLRNQKVQELVAYCRKASRCNESVDVGRAAFRTSLNLLSNTIFSKDLTDPFQDSGKEFKEVVGNIMMEAGKPNLVDYFPVLRKIDPQGIRRRMTRHLGKIRGIFEELINERLVINRLNQDDVLDKCLKIYQENPDEINRPHIMSLLSDLFLAGTDTTSSSVEWAMTEVIRKPHIMAKAKLELEEVIGKGKIVEEVDLKRLTYLWCIVKETLRIHPPAPFLGPRKIENEVKLNGYTIPKDTQVLVNAWAIGRDPTSWEDSLEFKPERFLTSKIDVQGRDFELIPFGAGRRICPGLPLAIRMIPMMLGSLINNFDWNLDHGIGPEDLDMTDKIGITLHKADPLSPQLARLEIQHETISYASHSHPTKGEDPTSMEFVTIVLGFFLLFALIQATFSVLGLGRPKNLPPGPTPFPIIGNLHLLGDHPNQSLAKLAKIHGPIMFLKLGRINTLVISSAAAAKEVLQKQDLAFSSRQILDSLHAHNHAQNSTFSLPVGAQWRTLRRILNNNIFSGDSLDASQHLRNQKVQELVAYCRKASECNETVDIGRAAYRTSLNIASNTIFSKDLTDPFEDSGQEFKELIGNIMMEAVKPNLVDVFPVLKKIDPQRIRSRLSHHLGKVLEIFEELIKERLVMKKRLKQDDDFLDNSLEWALTELIRNPCSMAKAKEELETTIGKGKILEEVDLMRLPYLRGIAKETLRIHPPAPFLVPRKLETQVKLYGYTIPKGTQVLVNAWAIGRDPTTWEDSLEFKPERFLTSGIDIQGHDFELIPFGAGRRICPGLPLAIRMIPLMLGSLLNNFDWNLDNGIRPGNMDMTEKFGLTLQKANPLCVVPKPLH >KVI02662 pep supercontig:CcrdV1:scaffold_828:64941:99973:-1 gene:Ccrd_019054 transcript:KVI02662 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSEVLLKPYVMAKAKEELEAVIGKGKIVEENDLSRLPYLSCIVKETLRIHPPIPLLLPRKVVNQVKLYDYIVPEGTQVLVNVWAIGRDPSIWEDSLEFKPERFQTSGLDVRGQDFELIPFGAGRRICPGLPLAIRMIPMMLGSLLNNFNWNLSNGIEHEELDMAERFGITLQKAKSLCVLVTTVLGFLLLYALIQATFSVFGFGRSKNLPPGPTPFPIIGNLHLLGDQPHQTLTKLAKIHGPIMFLQLGRINTLVISSAAAAKQVLQKQDLAFSIRHIPDAVHAHNHSRGSVAWLPVGTLWRTLRKILKNNIFSGDSLDANQHLRNQKVQELVAYCRKASQSNESVDIGRAAFRTSLNLLSNTVFSKDLTDPFEDSGKEFKEVVGNINLEAGKPNLVDFFPVLKRIDPQGIKRRMTRYFGKVLDIFEELSKERSVMKQDDDVLDKCLKICQEIPDEINRTQIMGMFLDLFVAGTDTTSNTVEWAMAELLLKPYIMAKAKKELEEVIGTGKIIEEKDLLKLPYLWCIVKETLRIHPPIPFLLPRKVENEVKLNDYIVPKGTQVLVNAWAIGRDPSIWEDSLEFKPERFLTSGLEVRGQDFELIPFGAGRRICPGLPLAIRMIPTMLGSLLNCFNWNIDNGIGHEGLDMREKFGLTLQKANPLCVKLFMPFISEVTGMRTRSTLDEVRLPKRLMLTELVEACKVGKPKNLPPGPTPLPIIGSLHLLGDQPHQSLANLAKTHGPIMFLKLGRITTLVISSAAAAKQVLQKQDLAFSNRHIPDALTAHNHSHDSVVWLPVGTRWRTLRKIFNSNIFSTNSLDANQHLRSQKVQELVAYCRRASQCNDPVDIGRAAFRTTLNLLSNTIFSKDLTDPYEDSGKEFRELIGNVMVEAGKPNLVDFFPVLKKIDPQGIRRRMTRHFGKLLQIFEELIQERLALKSLKHDDVLDVCLKINRDNPDEIDQTHLKGMFLDLFVAGTDTTSNSLEWAMTEVLRNPHTMTKAKEELEQVIGKGKIIEEADIMRLPYLSCIVKETLRIHPPVPFLIPRKIEHEVELNGYTVPRDTQVLVNVWAIGRDPTLWEDALDFKPERFMTSGCDVRGQHFELLPFGAGRRICPGLPLAVRMLPVMLGSLLNNFDWSLDAGISPKELDMTEKFGIALQKADPLCAVPKLLN >KVI02656 pep supercontig:CcrdV1:scaffold_828:153061:161856:1 gene:Ccrd_019058 transcript:KVI02656 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK, C-terminal MVSDSELVGRLREFLSTSDLNTTTTASVRRQLEQDFGIDLKNKKAFIREQVDLYLESQQQNEDDNEGNDEEEEEEVDEEEEEETSNGKSGGSRKRGSKKENKEVKKKGGGFTKLCSLSPQLQQFTGVPELARTEVVKQLWIYIREHNLQDPANKKNILCDGPLRELFGVDSIDMFQMNKALAKHIWPLNSDGAPVSLAPKEKPQKKEREEDSEEPKRKEKRQKGAGGSGILAPIPLSEALVKFLGTGENALARSDVDPSDKRRILCDDKLKELFDVDTFNGFTVSKLLTTHFIKAER >KVI02660 pep supercontig:CcrdV1:scaffold_828:45152:46830:-1 gene:Ccrd_019052 transcript:KVI02660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATRDQQKHFVLVHGACHGAWCWFKLKPLLEAAGHRVSAFDLSASGTNTKLIQQLTSLSDYSLPLLEFMATIPPEEKVVLVGHSLGGMNIALAMEKFPEKVSVAVFLTAFMPDSLHKASYVIDEYNERTPAEAWLDTQFLPFDENDVESETSMFFGPEFLSNKLYQLCSNEDRELGKMLIRPGSLFLKDLRSGLQFTEEGYASVQRVFIVCDEDKAIEKEFQRWMIDNNPVAGVKELKNVDHMSMLCDPKQLSVCLRDIGGRYA >KVF92774 pep supercontig:CcrdV1:scaffold_8285:6673:7202:1 gene:Ccrd_026617 transcript:KVF92774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIVLLPSFLKLFREAKGHSNSTKQSCSSLFGF >KVI01993 pep supercontig:CcrdV1:scaffold_829:42551:48230:-1 gene:Ccrd_019718 transcript:KVI01993 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MSESGPERPVRPSLEKIDSDNSEEDKKARRNSLKQRAINASNKFRTSFSKKSRRNSKVMSVVVEDEHDAEDLKAVEALRQALIAEDLLPEKHDDYHMLLRFLKARGYDLEKSKKMWTDMINWRREYGADTIMEDFDFKEKEVVLQYYPQGHHGVDRDGRPVYIERLGQVDATKLMQATTLERYIKYHVMEFERTFIDKFPACSIQAKRHVDQSTTILDVQGVGLKSMNKSARELIQSLQNIDGNNYPETLCRMYIINAGSGFRLLWNTVKSFLDPKTTAKINMVRNGEHKCSARTNIPDEKTISEDQSANKADDEPPGMQREQIKHPQLPPVHEEVNYYNMNDAYKAAGEGLGNHIFTGMMTFMMGVMTMVRMTRNMPKKLTETSHYSNVIYEEPIKQRPEPYQLQAPGISTAEYLSMMKRLGDLEEKVIILTNKPVEMPPEKEEMLNNALKRIESLEIELSATKKQASEAEERRM >KVI01997 pep supercontig:CcrdV1:scaffold_829:70263:70922:-1 gene:Ccrd_019721 transcript:KVI01997 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4408 MKSFDVKMEKANAIFKYRRLHKITTLFRFMEVFAFLVMISRFSYQLPFAVKVSGDYFRGFTFTVLSPKFVFVIGNVIILILFLKSRVTENSDGNGKADLCYDYVRSCERSLINAPVVTSTSIVTVPSNKRMICRSRSENPIRAKCKENKTHRELRRSVTEISRSKSFGHGCDDTAATEKRCEKEELSSEDFRRTVEAFIARQQKILRDEEFSPMVYIGS >KVI01995 pep supercontig:CcrdV1:scaffold_829:101587:105052:1 gene:Ccrd_019723 transcript:KVI01995 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase, conserved site-containing protein MDYCCSTLKGNTHLPKLSGFDGGLRNVDQGFLKGGMIRGSLNDSVWVNHLQKSLKFHKRVSKITNPGVAFSVITSDNGKETLTLAAPRFERRRVNPKNVAAIILGGGAGTQLFPLTSKSATPAVPVGGCYRLIDIPMSNCINSTINKIFVLTQFNSASLNRHIARTYFGNGVNFGDGFVEVLAATQTPGEAGMNWFQGTADAVRQFTWVFEDAKNKDIEDILIFRAPDFGLLKFDSKGQVIQFAEKPKGDDLQAMRVDTSLLGLSPKEAEESPYIASMGVYVFKRDILLKLLRWRYPTSNDFGSEILPAAVTEHNMQAYLFRDYWEDIGTIKSFYDANLALTDEVPKFQFYDPKTPFFTSPRFLPPTKIEKSKVKLVLGCQTD >KVI02000 pep supercontig:CcrdV1:scaffold_829:130450:134511:1 gene:Ccrd_019726 transcript:KVI02000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 SLHGPDEASYYSVQICELSANSFFSPSDASHLATRIPFSPPPTSPPTDLSDSFTVIGGGDSASAATLDQWRQFSRLFQFYLDKTTPHAVYRWIATGALVFLYVLRVYYVQGFYIVSYGLGIYILNLLIGFLSPLVDPELEPSDGPLLPTKGSDEFKPFIRRLPEFKFWYAITKAFIISFLMTFFSMFDVPVFWPILLCYWFVLFGLTMKRQIMHMIKYRYVPFNIGKQKYGGGKKSSAGSSSSSSGSRAD >KVI01992 pep supercontig:CcrdV1:scaffold_829:32833:41138:1 gene:Ccrd_019717 transcript:KVI01992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MSGPLDRFARPCFEGSSGNDERSDRRERRSDFENSEDERRTRISSLKKKALNASSKFKHSLKKKSSRRKSDGRVSSVSIEDIRDAEELRAVDAFRQALVLDELLPEKLDDYHMMLRFLKARKLDIEKAKHMWADMIHWRKEFGADAIIEEFEFKELEDVLKYYPHGNHGVDKEGRPIYIERLGKVDPNKLMQVTTMDRYIKYHVREFEKSFAYKFPACSIAAKKHIDSSTTILDVQGVGLKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQNKLLEIIDTSELPEFLGGTCTCADVGGCLLSDKGPWKNPEILKLALNSEARRARQVVKVLNSEGKIVAYAKPQLPMLRSSDTSTAESGSEAEDIASPKAIMNYSHLRLTPVREEAKVTGATSYVGQFSGYDEYVPMVDKAVDSVLKKQASMPKPSIPRGIPLESAAQKAPERLYARVFVFLMAFFVTMFTLFHSVVNRVTKKLPYISSEDAQNTPNLSLDTSTRQELHPLSPTPSFVEAELVSSVLKRLGELEDKVGTLKAKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDSQEEKKFKKKKKFCW >KVI01991 pep supercontig:CcrdV1:scaffold_829:17712:20438:1 gene:Ccrd_019716 transcript:KVI01991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MAVLIVLLVLLLIEPGTAQSNDLDNRTNIPILSFCGSIAPRSLSNLIKNRDSTLDDLRTQLSRRVLYARAQALSAGDSVFAVAQCRNYLSTDQCVACFDAGVSVLVNCTTGNGAFVFLDDCFIRYEDFADFYNNPKVSQDGTGTPFSSCGNQPASQPTTTFNQTVDKLLSDVRDATPKTSNFYVASTTQITSENATMYAFAQCVENANATSCQTCMDTAYNRLYNCLPSTEGRFFDLGCVARYSETPFFSDNQTTNVINVLKGHSSMVAIIAGAVGGVVLLLLILGLWLLYRLRMKSKKTEEGAKKGSLDWSQRFEIIFGIARGLAHLHNEFHVKIIHRDIKSSNILLTDDFKPKIADFGLARFQPEDQTHVSTKFAGTLGYTAPEYALRGLLSDKVDTYSYGVVILEIISGRRSTEVKSDSPSAYLLEHAWKLYEEETHVKFIDETLELNEYQREHAMKIIEIGLLCTQSPASKRPTMSEVVVMLQDGQSLGKRQLTRPTFVNNHDRRVNIGSANSNGAPTVWKKPKELEVNEQIHSEKADDKV >KVI01994 pep supercontig:CcrdV1:scaffold_829:60161:65892:-1 gene:Ccrd_019719 transcript:KVI01994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDRKSNISWQLHRKENILTSNFTESELLSDSKPLVLIGVSTAEFLTRTYVVKQWRRPFSTKGNDEYHGWNSEASIAGMKVSQSMSPSISMKRCEIGLLSPKQILLHLSMSVG >KVI02004 pep supercontig:CcrdV1:scaffold_829:159316:167750:1 gene:Ccrd_019728 transcript:KVI02004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein MLFKALKLKNIETYKDNKNLEKGKNISKELIEAIEDSIFYAFSSWCLDELVKTMECQKTHTERRQDCLPYLL >KVI02001 pep supercontig:CcrdV1:scaffold_829:112646:114073:-1 gene:Ccrd_019725 transcript:KVI02001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEREARSLHVNNGKSVQPVNPHQSAWLTHWTGTRFESTTHDHLTQLGRSEVDDQDIKKHLPGIEIASDNFGSSKGIRDVDLAMSLKKPGSQSYSGSRLSKEKFANSHTTHGPENFRQLTSAFASKEHLPETNFLEQQHPSYCSHSAALVGERNLNNFPKHEKSEMPPFLRQNKAAVMKNDPSTSRSPAFIEEQYKRMQKHIGMGFFPHQTGTSETTKSGRPCSFQDVPQFIHDVEMARMSAPLSSVQGLAGGLHSFSRTTHSLLITKQTDGKLYQENQIFRESTVSSQLKGDGLRELNCIPPLVTHGQRGVKLQLLDSSDHESQENVEDVKAVGEVQKNESSADTDAMDMESFKENCVSGVHLISPN >KVI02003 pep supercontig:CcrdV1:scaffold_829:168427:170494:-1 gene:Ccrd_019729 transcript:KVI02003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYPNLVQSMVVSSTVVELTESLSRESCKRFDGSSWTELLLPDTVEGFKRMLTVGAHKLPWLPNFIYRGILETMFNNRRERSELLKAMVVPDKDAITDTNYSQRILMLWGENDKIFNLEIANTMKTRLGAKTTLESIKNVGHLLSLEQPFAYNRRLKHFLASSMYN >KVI01998 pep supercontig:CcrdV1:scaffold_829:60273:66663:1 gene:Ccrd_019720 transcript:KVI01998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase/thymidylate synthase MSFMLNKETTQVYAFGQHKSISAQEAQRVIDQIHGCSYEEAFMILERMPYQGCYPIFKLVYSAAAYASFNMGSNEANVVTNKLAFRSFLSLIGAKSIPALNIFMASEALVGACNGTVSTQPDPQRTYQVVVAATRTMGIGKDGKLPWRLPSDLKFFKDVTMTTSDPAKKNAVIMGRKTWESIPLEHRPLTGRLSVVLTRSGSFDIATAENVLMCGSLISALELLASSPYCLSIERVFVIGGGQVLRESLNAPGCDAIHITEIEGDIDCDTFIPAIDASEFQPWYSSFPLVENGLRHCFTTYVRVRNSAVETPIKTNGLLSDSSSDSVKFDVRMFSFLPKMIFEKHQEYLYLRMVEDIISNGALKDDRTGTGTLSNFGCQKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDALAWRIEKKVTWVQCMGFSGDTLVQGFDQLLDVIDKVKNNPDDRRIILSAWNPSDLKQMALPPCHMFAQFYVNQGELSCQMYQRSADMGLGVPFNIASYALLTSIIAHVCDLVPGDFIHVLGDAHVYSTHVRPLQDQLQKLPKPFPILKINPKKKDINAFVADDFELIGYNPHQKIEMKMAV >KVI01990 pep supercontig:CcrdV1:scaffold_829:6319:10973:-1 gene:Ccrd_019715 transcript:KVI01990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MTKWQTMVVAFLFKLFLANSVTSQPQTKILINSCGQINASNPLNFFHNLNETFGNVRRQLSNNKTYFVTAEQTRNSEPVYVLCQCRKYMSTRDCVACFDFAVRSIRSCAPAKGARRVLDGCYLRYESNSFYDETTNDGNVGLCGNRTSSRQGVFETAVDGLLSDLSIATPRISGFYAAATAPVVGTNTTKVYAIAQCVERVTRNGCKDCLHVAYANIKICPTVVTDGRAIDSGCFMRGYTAPEYAIHGQLSEKVDTYSFGIVVLEIVSGKRCTDVRNESAGEQYLLEHAWNLYETRMHLKLVDETLDPSKYREDDIKKVIEIALMCTQSPVSVRPTMSEVVMLLSDRSRVQNPPSRQNVNLTNIRTQTDNSTSTTLSMSNNDATITELTGR >KVI02002 pep supercontig:CcrdV1:scaffold_829:105092:106257:1 gene:Ccrd_019724 transcript:KVI02002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like protein MDCFCGLNLHPCHFLAVMVSSNFLLPSLIFQIKNAIISHGCFLRECSIEHSIVGERSRLDSGVELKGVEESDRGGEGFYIRSGITVILEKATISDGIVI >KVI01999 pep supercontig:CcrdV1:scaffold_829:152413:154563:-1 gene:Ccrd_019727 transcript:KVI01999 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MAEKSKILIIGGTGYIGKFIVEASAKSGHPTFLLVRESTLSDPSKSSLVDSFKKSGVTFITGDLYDHESLVKAIKQVDVVISTVGHAQLGDQGKIIVAIKEAGNVKKFYPSEFGNDVDRTNAVEPAKTAFAIKAQIRRATEAEGIPYTYVSSNCFAGYFLPTLAQPAATAPPRDKVVILGDGNAKVVFNDEHDIGTYTIKTVDDPRTLNKIVYIKPPANSYSFNELVSLWEKKIGKTLERGDQTNFEIEPSFGVEASTLYPDVKYTTVDEYLTRFV >KVI01996 pep supercontig:CcrdV1:scaffold_829:90246:90657:1 gene:Ccrd_019722 transcript:KVI01996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma thionin MAKNTKVSAFLFVFLFVFFLVVHSVTAFAIRFKCFDTDMLLKVIADMVVGMKGIEKVCRRRSKTWSGYCGDSKHCDQQCREWEGAEHGACHHEGLGRACFCYFNC >KVH99522 pep supercontig:CcrdV1:scaffold_8291:1741:3289:1 gene:Ccrd_022243 transcript:KVH99522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MKTAVMEKSREQVNVHSRLTVVSSTPTEPMGLACGLSPIDHIMGSHTGHIIFYYRTSPFLPKGRFSMDLDNFRVSLAELLSEYPRITGRLVRGHDGHGNWLVKYNDAGVRMFKAEVGVTVDEWLGFADESDERNLTVWEDMPDGDPTSWSPFQIQISEFVGGGLAVGLSFTHLLADPTAATQFYKAWTDAERGETIGNNPPVFNLPLLDSRPAPATTNGNTCTTTKYLQRHSKLVPTDPPMKMATSTFKFSNKMIEKLLSEIADKCPNATPFDYLTTLFWSRIIKLKTPASPSPIQSISLCIDARKLLDVPIPNKFFGNAISFSQLSLENEMLTGDSGLAEAVESVHRHVTGIKKDDILSTVDWLETCRNELNGMYPKPVQMYGPSLTCVSLEHLMIPKDEPKGEFESLVYEAKFRNNEKPVHVSYHVGKVEGEGLIVVGPSAEGGVARTVTVTLPAEEIGKLCRDPVIIEMEPAMILSGRRE >KVF92237 pep supercontig:CcrdV1:scaffold_8293:4920:11540:-1 gene:Ccrd_026618 transcript:KVF92237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular solute-binding protein, family 3 MEHQNHIVSLVFLVAMLXVCXMVXFVQASILVTXEKGLTEEKYTKLRSTKTMTSTAIKDASSRKRRSIQEQGDTGQVDVCAGPEWKIKKQGKGPCPTLVVWVPKKTGFTEFVKVNXQLKVEGGFSVAVFCHALQLLPFNIKPIFKTFINDKGESGTYDQLLHHIEGKTCXAVAGDVTVRGARAQYVDFTIPYLSSEVYMLVHATHEWNQTLWTFVRPFTKRLWITLLGACIFIGLAXAILEYRVSNPKFTSPFYQKLIMVIWFPISTFFFHEGKILNRCSKIVLVIWLSMIFIVVQIFTATLSSWLTLDQLRPRLPSSFENVGYQDGSFLKDLIAQKSNXSGRNIVPLXGVEEFKXALSNGSVNAIYDELPYIQLFLAKYGSDYMKFGPINQESGIAFAFPRGSPLLQNFSRAVINVTESELMMEMKTKYLGFSTPDKSQQNQALPQSLDVQSFIGLFIFMGSVTIVAVISSEISLRRRNNKILPLTLTDN >KVH93011 pep supercontig:CcrdV1:scaffold_83:417999:420176:-1 gene:Ccrd_004936 transcript:KVH93011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAKKLATIISFTASRIFFFLIFFQIPLFRFPCRIGTCRTPMELTSSQLIATEVVPSGVVKALLYPGAIGKSILNKPIPKYNKLLDAYKLKSLRRIPSTTDLQYIEVLAGSYLAVAGSIIGLFRSRRLGLFGMLLLCWGLSKEPYGHAAEYKAHRNAISVYYPTMSIAVLSAFLSIRDDVKKIVSCFRWTFSKSKYK >KVH93014 pep supercontig:CcrdV1:scaffold_83:456514:459382:-1 gene:Ccrd_004931 transcript:KVH93014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formylmethionine deformylase MEGIQRLSHRPPPLPLAGKCRRTASFHTPMIPVIRFQYNPNVNPQFCPSKSAIKVHFITKKRGLDSSSIAPLRAGWFLGLGEKKKNTTDLPEIVKAGDPVLHEPAREVSPDEIGSERIQKIIDDMVKAMRGAPGIIVLEDTEEYIGYAPKEDIKSQDRRPFPLLVIINPNLQKKSNKSALFFEGCLSIDGYRALVERSLDVEVTGLDRYGQPIKVTASGWQARILQHECDHLAGTLYVDKMIKRTFRSAENLQLPLANGCPKLGVR >KVH93013 pep supercontig:CcrdV1:scaffold_83:464123:464383:1 gene:Ccrd_004930 transcript:KVH93013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF761, plant MKSSSTKKPNLSHNRNNLPENRRTKKLPEVLPVKMAEEKSKPAAAAAAAADIDQSAEDFIMKFRNQLMIQRMESIDNYHKMLARGT >KVH93001 pep supercontig:CcrdV1:scaffold_83:90393:106029:1 gene:Ccrd_004957 transcript:KVH93001 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase A, auxiliary subunit MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKQEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLAGFVETRQQLLTLKPNHRMNWIGFAVSHHLNSKYHYLIVILDACLLWHIDTGYGDVTCMVYCYASASKAIDILEAYEGTLEDDYPPDSERCEHGEMLLYKISLLEECGFLDKALKELRRKEFKIVDKLSYKEEEVSLLLKLNCLDEGEKLYRVLLTMNPDNYRYYEGLQKCVGLYSETGQYSSDEIDKLDALYQSLGQQYTWSSAVKRIPLDFLVAEKFRDAADNYIRPLLTKGVPSLFSDLSPLYDHSGKADILEKLVLDLEESLKKTGGYPGRSGKEPPSTLMWTLFYLAQHYDRRARYDMGLAKIDEAMQHTPTVIDLYSVKSKILKHAGDFAAAAALADEARCMDLADRYVNSQCVKRMLQADQVPLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGDSYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYIEMLRFQDRLHAHAYFRKAATGAIRCYIKLYDSPPKSSTEEDDELAKLPASQKKKMRQKQRKAEARAKKEAEVKNEEANVSGVSKYGKRNVKTVDPDPNGEKLLQTEDPLMETGKYLKLLQKHSSDYLETHLLSFEVNMRKQKILLALQWYVQLEDPRK >KVH93023 pep supercontig:CcrdV1:scaffold_83:351589:358355:1 gene:Ccrd_004943 transcript:KVH93023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF642 MQKLSAILLVVLLCATSNGVLSFTDGILPNGQFEYGPKPSQMKGTRVIDPKAIPNWELTGFIEYIKSGQKQGDMLLVVPEGAYAVRLGEDASIKTKVKVTKGLFYSITFNVARTCAQEEKLNVSVSPNSEPNDWGILPMQTMYSSNGWDTYSWGFLAESNNIEIVIHNPSVEKDPACGPLVDSVALKALFPPRRTNANMLKNGDFEEGPFVLPKTDVGGVLIPPNIEDDHSPLPGWMIESLKAVKYLDAEHFAVPKGKRAVELIAGKESAIAQVVKTTPGKVYALSFVVGDANNACEGSMIVEAFASKNTLRVPYESKGKGGFKQAVLKFRAVTTRTRIRFLSTFYQMKTDGSLCGPIIDDVRMIGVRYAKHA >KVH93018 pep supercontig:CcrdV1:scaffold_83:330743:339944:1 gene:Ccrd_004944 transcript:KVH93018 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MDKKKVVVPLVCHGHSRPVVDVFYSPITPDGIFLISASKDSTPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFSAKLWDALTGDELHSFDHKHIVRACAFSEIKVFANHAPSGKQLLEVDVWLWDVRTGKIVRTLETKSPVTSAEVSKDGRYITTTDGSTVKFWDANHFGLVKSYDMPCNMESASLEPKFGNKFIAGGEDMWVRLFDFSTGCNKGHHGPVHCVRFSPEGDSYVSGSEDGTIRIWQTGQKENVPNGSSKDEVVSKMQDLHVGSHSQGQKNRPAC >KVH93027 pep supercontig:CcrdV1:scaffold_83:466283:469087:-1 gene:Ccrd_004929 transcript:KVH93027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase-like domain-containing protein MKEIAANPLNLKSMNHFSLLCQSVEDSVAFYTKILGFVPIQRPGYLDFDGAWLFSFGIGLHLLQSEDPHMISKKTEINPKDNHISFQCENMGTVEKKLKEMGIEYKRQRVEEGGIYVDQLFFHDPDGFMIEICNCDILPVIPIAGEMVRPCSGIRAPATTTNTKICSLVNRLDNKDLELSKGR >KVH93016 pep supercontig:CcrdV1:scaffold_83:440562:453769:-1 gene:Ccrd_004933 transcript:KVH93016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEQGTFVGNLILNKGMKPKKSNISPFEGKMYADLRFVDAGSIIDYLDCYSGHWLTAIALWHCCVVLCVYVDQDDTIDDIAVSLPAAVFWINMSSTACFMIISRNDIPIYEAEVGTAPKILLNPLYLPGSRVTSSHFDTKVRALARKYL >KVH93005 pep supercontig:CcrdV1:scaffold_83:32372:48336:1 gene:Ccrd_004961 transcript:KVH93005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPEPQWILTSLYSGTVCIWDYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVYEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKNWYCTQIFEGHSHYVMQVTINPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWSVAYMKGSRRVVFGYDEGTIMVKIGREEPVASMDNSGKVIWSKHNEIQTVNIKSVGADYEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSKVKIFNKSFQGVLRLIDSLSIYSCGMQLPFSNLDCRFLGLVNQEKKSIRPTFSVEHMCGGSLLAMCSNDFICFYDWTECRLIQRIDVTVKNLYWADSGDLVAISSDSSFYVLKYNRDVVSAHLDSGIPVDEQGVEESFELLYEVNERVRSGLWVGDCFIYTNASWRLNYCVGGEVESVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLTLIEYKTLVMRGDIERANGVLPSIPKEHLNSVARFLESRGMIEEALEVATDPDYRFELAIQLGKLDIAKDIALVAENESKWKQLGELAMSAGLLDMAEDCLKHANDLSGLLLLYSSLGDAEEIAKLASLAKENGKNNVAFACLFMLGKLEDCLQLLVDSNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNQKAADSLADPEEYPNMFEDWQVALEVEARAAETRGSYPPAAEYVNYVDRPHVNLVEAFRNMQLDIEEPLENGGLDHEVIPVLLMFLFDKEGLEQNGKVGEFVDSQEVIQNEGQKDVAIDNDSTDGAVLVNGNEADEE >KVH93012 pep supercontig:CcrdV1:scaffold_83:410369:416091:1 gene:Ccrd_004937 transcript:KVH93012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACSFGASSLRLGPARIELPNLQQNLHGVKLPNSRRFEGLVLSQRSGKVYDRCLCLSAKNAQSGTDLEDEEEKSSESTSRLTPNASEVESLLTEICDTTSIAEFELKFGGFRLYVLRDLTGESTSSPPPVSAPIISTTLETTEQNGSASSPSLAITKAVPSSGGIQTFLDSAADEGLAIIQSPRVGYFRRSRTIKGKRAPPPCKEKQIVKEGQVVCYIEQLGGELPIEVYTFFPLLNAVGYGDALIAVLPSFPGIKKLQ >KVH93025 pep supercontig:CcrdV1:scaffold_83:263741:272135:1 gene:Ccrd_004949 transcript:KVH93025 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MTVFLRTEMSRDTLEDGWIYMGCLFFGVVMIMFNGMPEISMTIAKLPVFYKQRDFLFYPSWAYALPTWIVKIPISFVEAAVWTTLTYYVVGLEPNITRFFRLYFILFGVNQMSSALFRFIGALGRNMIVANTFGSFALLLVFVLGGFVLSQEHFPCYRRRTKVVVMGLLVISDDKVTGIGSRSWRCSGSSFSTISVSVCASLFSTNGNDTGATELSPTAGSPDRSNGTKKKGMILPFEPHFITFNDVKYSLFLLKRGGEEIYVGPVGRHSCDLIKYFEDIDGVSRIKDGHNPATWMLEISTSAQEMALGVNFSEIYMNSELFTRNKALIAELSIPPPGTKDLYFPTQYAQPFVVQCIASLWKQRWSYWRNPSYTAVRFAFTTFIAFMFGTMFWDLGRKRKTPQELNNAMGSMYAAVLFLGVQNGSAVQPVIDVERTVLVEIPYIFAQTIVYSLIVYAMIGFEWTATKFFWYTFFQFCCLLYMTFYGMMTVAITPNVNVAFIVAAAFFGFFNLFSGFIIPRPKIPVWWKWYYWCNPLAWTIYGMAASQFGDYEDRFTNGETVKGYLERYLGYRHDFLGQTVAGNIGFVLFFGFIFAYCIKTFNFQKR >KVH93009 pep supercontig:CcrdV1:scaffold_83:435682:436053:1 gene:Ccrd_004934 transcript:KVH93009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSAVSFRRQGSSGLIWDDKLFSGDLKRFSEGNPSLSDSDQVQTAENDQSMCSKTTIGSINTIRRNRSNNSGERPHRTGKASPATEPPSPRVPVCGFCSAFVKVNKTQTQTASRKRSLA >KVH93030 pep supercontig:CcrdV1:scaffold_83:480572:496525:-1 gene:Ccrd_004926 transcript:KVH93030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MHALALRRPCNSLRLNGLTHVNSPRVHVTITACNNVIISNLRIIAPETSPNTDGINIASSTNVKIRDSIIGTGDDCVAISGGSSNIMISGIMCGPGHGISIGALGNGGTDVVENIEVRNCTMVKTLAGVRIKSWQVGLVTLVLVLLTCMISSAFGSTGLSRINLESTTTFNVMKYGATGNGKTDDSPAFSEAWKAACQAQPNDKSILVIPAGKTFLLKPISFNGPCKPSRIYVQVSGTILGPTKKTDWTGYHIDTWLLFSMVDGLTVSGNGRIDGQGPIWWENACIGSPAPLISNQLFSSSCITLGDDCIAIGGGSSNIKISGIMCGPGHGISIGALGHSGADVVENIDVRNCTMIKTLTGVRIKTWQGGSGHAKQISFTGIKFDAVYNPIIIDQYYCPNRKDCLNSTSAVKLSDITYKGIAGTSSMDNVINLSCSESVACTNIVMDSVYISSATPGRKVFGSCINAHGRLSHVKPFLKCLQP >KVH93006 pep supercontig:CcrdV1:scaffold_83:54146:61084:1 gene:Ccrd_004960 transcript:KVH93006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 MGKLGKKARKFAKKNLPSVLKQRRKNKIVFKKRPSKKDTKDAVEKEVVKTAELSNGRDSEREVTVTTSLDVLFAEDDNIIVSDDSDSDGYLSEDPNCEKLSGNDNDVPLEDDRDERALSPHNQKIYEELAKQKNSLEKLKKKDKKFVKFLESYNKGEISQNQEMYSDEDETGEDLMMLDDSTTTKHKMLTCSTINLWSKLVTEEHSESALIGLLNAYRAACHLGPEETGFIDAASCPVIQDSDTFCNIYTFILSEADNIFRGLLKIPSSTCKKESILELKNTPRWKKAKPMIKSYLRSTLFLLKQVTDSEILAFSITRLRASIADQGTLSASSSLVIQDVVSVFGSNYFDTCFIKTYKAYIARCRSMETVDAKHIEFLRDSFVNLCSLDVEKSCKKALVSIQQLANILQHARQKDNKEAIRKIYSWQYAQCIDIWVLFISANVHECDIQPLLYITIQLINGVAHLFPGPRYLPLRIRCIHWLNKLSSSCGIYIPIASLVLDMLDFKVSKEGGKSRKSAKLASNLKLPKFWLKSQNFMEQCVYSAVELLAVHFMQWSYHISFPELGTTSLIRLRKFHEKTSVESLRRVVKRLMDQVEQNVEFVQKKRDEVAFSPKDHESVDQFLQFEKGTVNSPFMQYYRSVVEKAASKMST >KVH93031 pep supercontig:CcrdV1:scaffold_83:506772:507584:-1 gene:Ccrd_004925 transcript:KVH93031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific 3 MKTAVCFIVLCALISASSQARSIIKRPLPNPGFKINSANNQNEETCSFTVRIVTSCSSVPYTRDRISISFGDAYGTQVYAPRLDDPSARTFEPCSADTFDIYGPCTDQICSMYVYRSGYDGWLPTRVEVYGDTTRPVSFDYDEWIPAEMWYGYDYCSPYAVTTAARSSKTKINNEVKPEEV >KVH93024 pep supercontig:CcrdV1:scaffold_83:361112:365165:1 gene:Ccrd_004942 transcript:KVH93024 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase, subunit Vb MWRRASSKLLTLRSVARPNRVVTSSLSPVTIRPCSTIVTRHYSGNVSKRVEDVMPIATGHEREELEAELQEAPAVVKSYYDQRIVGCPGGEGEDEHDVVWFWLKKGEPHECPVCSQYFVLEVVGPGGPSDGHGDDEHHH >KVH93015 pep supercontig:CcrdV1:scaffold_83:447472:454142:1 gene:Ccrd_004932 transcript:KVH93015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETVSVSSSALSFVYLIIYWGFLYSNFANARGFDSLLQQLPQLGAFRNIFESKIVLNVKDYGAKGDGIKDDTKVFAEVWDMACSSKVKQARIIIPDGSHCLVRPISLAGPCISKITLMAITFHRCNNLVVKNLMIINGQQMHIAFTTCDGVAVSRLGVFAPSGSPNTDGIHISASTNVEVKDSTVGTGDDCISIVSNSSKVKIRNIVCGPGHGISIGSLGKSGSCDQVSDVSVRGAFLSNTENGLRIKTWQGGSGFVSNVKFEDVLMENVSNPIIIDQYYCDSSKACPNKTCAVNVENISYVNIKGTSASQEAINFACSDVAPCEGLYLEDVQLVSATGGITTSFCWEARGSTSGTKDA >KVH93008 pep supercontig:CcrdV1:scaffold_83:377896:380215:-1 gene:Ccrd_004939 transcript:KVH93008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1644 MPKDRRSSSFDRCRVSPYSRCCRNADKGRPKSPSLPVGNENEWEEARCPICMEHPHNAVLLLCSSREKGCRPFMCDTSSRHSNCLDQFRKSTMNADTTSEEGTKLVCPLCRGQINGWIVVDPARHFMNSKTRSCSSGTCDFAGNYSELRKHARREHPFVRPTEIDPAQEFEWRNLEEDMEQQDMLNMQFEFDDDDEDGIDDELMDGIYELASPIWDYDLDFQNFLNTFGSEFESVFPELDWDSGDGSGILDDWDDLLSFASPNESENTEFGRSRGTTRTQNRLSARPRENPATSRVRTNTHRRQRSNAERRQSHRGRPSSHNRQEQITTLVDFHELRSNLP >KVH93028 pep supercontig:CcrdV1:scaffold_83:472756:475737:1 gene:Ccrd_004928 transcript:KVH93028 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MEEITDGVNNINISSDSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGFAVEKNIMYFTDRKKETIDQAITKIEIVLGKTANFDELMAAAQEERELGYAEEQS >KVH92997 pep supercontig:CcrdV1:scaffold_83:136820:153780:1 gene:Ccrd_004953 transcript:KVH92997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MGSLKFPKLLVVDFTNENLKPSSSSWSSTCNDIRVALENHGCFIALYDGVSSNLQESVFLAADELFDLPIETKIKNIVAKPYHGYVGQMPIVPLHEGLGIDYATDLSGAQMAKLDHMVIRMLFESYGMEKKDSDSHIDSTTYLLRYLKYRAPEMNETTMAFPSHTDKSFLTILHQNQAWSNNRVLSPNHKVTMDNEGKETRYTIALFSFLSKPVKVPDEFVDNEHPLQFKPFDHVDLLNFYVTENGRKSQDILKDFCGKIPAMAPKSKLQTITFNTQTLNRSSDGWRSTSDAVRLALEDDGCFVVATDKIPSDLRDAIFELSKDLFNLPNETKIKNTSEILGFGYGNYSSMPLWEYFGIENGATMEATRRFTDLLFPSGNNAFCESAFEYMKLLSEIDHCVMRMVFDSYGVDAKQCDDMIESSLYLARFMKYRAPIEKEVEVGLPPHSDKSFLAILDDNNAWSNGRIYAPVHQVVMRAHPKEVVRYSLGIFSFMRATVEVPDKLVDDDQHYLRFRPFNHLDFLKYVLTEEGKASKCCVESYCGVMVDPTKLKNRSLKPFHGYVGQYPMVPLYESMGIDDAPVLEKAESFTKILWPEGNPKFCTTIQEFSENLSKLDQMVRMMVLESLGLEKYMVEHMGSTNYLLRVMKYKGPETNEPELGLNAHTDKNTLTILHQNQVDGLEVQTKSGDWIKVQPSPNSFIVMIGDSFYAWTNGRLHSPYHRVMMSGEKARYSLGLFSIPKAGYVIKAPEEVVDEQHPLLFKPFDHVDFLQFYYTEAGQRAQSALKTYCGT >KVH93020 pep supercontig:CcrdV1:scaffold_83:316199:318690:1 gene:Ccrd_004946 transcript:KVH93020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MSHFTEGNTNVAEEIAFSWGRKERLGGKKLDRQYYGSFTYDGVEYFLYDSVYLWCEGQQEPHIGKLVEIYETHHLDKKVKVVWYFRPSEVQQWLRGTRALSNELFLGSGVGQGLFNINALETISGKCNVVCKSKDKRNPQASIEELKMSDFIFYRTFDVGNCILSERFPDKIAGVEVGHFFNQRKYMETGTPPKPKGNSKVAGISNSSSQPACSSSSASDSYHLKKRKLQDLEVDEGKIIEADSLSIKPKKRSKLDSSEWFKKQPWEERMKKAQETGSLVLLENLDPSLTSIEVE >KVH93007 pep supercontig:CcrdV1:scaffold_83:393573:401991:-1 gene:Ccrd_004938 transcript:KVH93007 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MSLTSVKMAEDVWLTCLTHALSTETEEIMGLLLGDIQHSKNGSVTALIWGALPQPRSDRQKDRVETNPEQLTAASVWDANSEYDVRTQAMYQLLDSGFIGLIFSCFNEDQYKVGRIQVTAFQSLDGKQNHVLRPVPISPIHKSSIIDLESSVSSTEHSLTNSGSTRVECLELDTGDSKAAAGASKGGGKSSALKGFFANADTNYTGRVGGNYPTGNMDSAVVDIDPMDMSDSMQEAMHRSNMEMRYAYAYFSDRHICIGAEYIRKEVPLHVMPTLSLLNLESPLTSFSDLQSVLYEEERTAYHQAIIQNMSLSPAINSLQDRISENEIRLRILASEAKILEKESTKGTGIDSSSSPRSVAQRGGGKDVYSPFERSVSGSSSRSRRGS >KVH92994 pep supercontig:CcrdV1:scaffold_83:78539:85227:-1 gene:Ccrd_004958 transcript:KVH92994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRGGEDLNEVKISMDARRRRPEISRRKVSYKHHDYSTNSESAMLSSQEILQEDIRNPPTQDSEQESVILNDSQDENLAGPVTKKENRLNELFDELISSDIHRLDGNEALSLLKDRLKIKPVDIDNLDLPDFHDVGRFDFVSHGKNLLKDQNRLSGICTLPEGLISKTPGKQKEPSTSPHHLLSSPTPPRHPLLTTSTFGKRSPNSISVIDQLPACDIDSSPITRSLEITGGQLDHGSKGTEFPASGTFNLLAKDKVMSSPVVAVSPKMSTGAAVNSSQKSPHNKMHEPFGTRLAGAMIGLEDHDQCDMTVDKEMDADTDTRIQPNEGSSTEGNSWHCCYGIRNMQVEDIVQKAASSTQSNFYMEGYTDNVQLHIIGDIEENVEDEHQKARSSMSPEVNTEVSKEENLERNSCQPGIKSTEDNAAITTSQISDIDPENQHEVRNGAKELEVDLLNIGRANDSYMGEYITVSISFRYFVSGLPTVIGLKYMPSNKNGKPDFKVESFVSNEHKELVDLVALH >KVH93003 pep supercontig:CcrdV1:scaffold_83:131605:134387:-1 gene:Ccrd_004955 transcript:KVH93003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSFEMSSFDMFFISSRVFPAILRRIPFNEASRHTFVRSSPLYPSVRRATSSMSTSQNHHDFATAIFISSRALARSNKHRTIRSLSPRHFEAKLAEDTLKNVVPHSVATALASSVFPVPGGPNIRTPFQGLLIP >KVH92996 pep supercontig:CcrdV1:scaffold_83:156663:183515:-1 gene:Ccrd_004952 transcript:KVH92996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MHTFKSHPIIYHKHLDLNSMKELPESHAWLSPDHGSPSKASSNPDSVPVINLQDPNSMKLVGHACKTWGVFQITNHGVPANLLQDMESAGRKLFALPIQQKLKAARAPDGVSGYGVARISSFFPKLMWSEGFTIIGSVYEHARKLWPKSYTTFCNLIEEYNEEMNKLAEKLMSLMLGSLGVTMEDVQWANSNGSCPALQFNSYPACPDPERAMGLAAHTDSTLLTILHQNNTSGLQAHRDGPGWVTVPPIPGALVVNVGDLLHILSNGLYPSVLHRAVVNRTQHRLSIAYLYGPPSNVQISPLSKLTDQIHPPLFRPVTWSEYLGTKAKHFNKALSSVRLCAPLNGFVDSNDHNGLKTHNRDDRFIWESIRTDVFNAFRDYGCFEASSFVSIDLQESVHDALKQLFDLPLETKLRNTSEIAFHGYVQSPKVPLYESMGIGNPFISENVASFTDLMWPHGNAKFCESIKTYSRKLHELDVTVKKMVFESLDLENYLDEEMQSTGYLLKMMKYRAPEPNESDIGLHTHTDTNIMTILHQDEIGGLEIQTKDEEWIRIEMGSDAYIQLPVIDFSMLSYNQNPEATDHLLHWDSMKTLVLESLQKYGCFQASMDGVPPELHKSVYGAMKNLFDLPLEMKAKNKLTEMERMVKKMVFESFDLGKHLNEHMELTRYVLKLMKYRAPEPNETNLGLHAHADSGIMTVLHQNEVEGLEIQMEDEEEWLKLPTILFSDISKKDRGTLIWDSAKNQVFKALQEYGCFEASFDGVSPDLRESVFASLKQLFDLPLETKVRNVPDKIFNGYIGLAEEVPIYESLGIEDPEIFSNIMWPDGNRQFCDSMQAYTKMLTEVDEIVRMMVLESLNLEKHMDEHMELTSSLVRVNKYRMPEKDESDMGLLSHADKNVVTILHQNEVGGLEVQTKDEEWFKVKFSPNSFVVMAGESFN >KVH93022 pep supercontig:CcrdV1:scaffold_83:375472:375789:1 gene:Ccrd_004940 transcript:KVH93022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVRALDRSCGTECNFRLVHPMIPIHMMISSPQISLRILESLKDIVEQDVKDNHVMIYMKGDLERPQCGFSVE >KVH93019 pep supercontig:CcrdV1:scaffold_83:305416:311549:1 gene:Ccrd_004947 transcript:KVH93019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKGGLFEKIRRFVRTIYVMVVMVASLLLLWLPVLVAIGDVLVPCVLISSFTCVRCYSFKEHLHRYSFRSSLTDIPVVSAIRSLVITCAYIICDGPLLSHGQYLGTVTFCSAFSILLLSIKVCIFTVNSQLEAEASSSPSRQRLHLKKSWGMPVLFLSSVVFALGHIVIAYRASCRARRKFMLHRIDPESVLSSKLLFTSGYSKVPRSPTPTAGRTPKSDSEIRRKPFGHGRNDGELPVRLLADVDSLFMGWQGVTVHYKLSMPSPPSRTLSSTLLERPLLNAMSKTQYNLRRSFSNQMHTSLYAPLLDGTTSSIRSEEIPIFSLDEGIDDNVISKPFSHLLEQVPEVNGQFGIVLVHGFGGGVFSWRHVMGVLSRHLNCTVVAFDRPGWGLTSRPRREEWEANNLRNPYMLDTQVDMVLSFCKEMGLSSVVLVGHDDGGLLALKVSQRVKASANLVNVEIKGVVLLTTSLSREVVPGFARILMRTSLGKKHLIRPLLRTEISQVPLCVEGWDEALHEIGRLSSETVISQQNESSLVKAVEGLPLLVIAGAEDALVPLKSVQAMASKFVNSRLVAISGCGHLPHEECPKALLAAVLPFISQVLNSRDCEKQ >KVH93010 pep supercontig:CcrdV1:scaffold_83:425073:428160:-1 gene:Ccrd_004935 transcript:KVH93010 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex, subunit Psf1 MYGRKACQLVKEFVSSDSGQLIVFNNDLFAQVIEECDGHLLQLQALLRKMQVEGSDNQTTKNADHFGALIHHLSLVRNKRCLMAYVHNRAEVIQNLGWTIERVLPEEIEEKLSSSEKEYFKNHSATLQSYMSDLDLDLAVDMVPPKDPYIKVRVLEEIGNVVLSDQLANLARHAILFLRRTDAEQYISQ >KVH93021 pep supercontig:CcrdV1:scaffold_83:366872:372706:-1 gene:Ccrd_004941 transcript:KVH93021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin KEKSSFSSCSTVVKSRESQRERAKDHHRWTVNSSYIDKIYLKYLNRLSNTMARALSNMLFKGFRSIESTRIAASASGPFLRHGMQFSTSVPNDPDTHDDFKPTNKLENSGITLKDIVEQDVKDNHVMIYMKGDPEQPRCGFSSLAVGVLSEYRVPIHSKNILEDPELKNAVKAFSTWPTFPQIFINGEFIGGSDIILNMHQNGELKEKLKPTSK >KVH93002 pep supercontig:CcrdV1:scaffold_83:127638:134656:1 gene:Ccrd_004954 transcript:KVH93002 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein SFFFFFFFFFFVFPPTTGYLKKQTLGYREVSPMAGTSLAGLQDHLKLAREYALEGLYDTSVIFFDGAIAQINKHLSSLDDPMVCSKWTNVKKNLLEETEVVKQLDAERRSLKDTLVGRRPSSPPISAKKSSFVFQPLDEYPTSSGAPMDDPDVWRPPTRDTSNRRSARAAPGGTRKASQDGVWARGSTTKGGATARGGKVGASSKVNSGVRASTTGKKGTGSGKSNTGKDDSGNGDTEEGKSKRGQYEGPDADLAATLERDVLDAAPGVRFDDVAGLSEAKRLLEEAVVLPLLMPDYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSASLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSEVLVQVDGVNNTGTNEDGSRKIVMVLAATNFPWDIDEALRKELIKINLRTVEVASDVDIEEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTREEIKNMSKDDISNDPIAMCDFEEAITKVQPSVSAADIERHEKWFAEFGSA >KVH93000 pep supercontig:CcrdV1:scaffold_83:112526:123241:1 gene:Ccrd_004956 transcript:KVH93000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MSYMAIREEGFELDITELRLGLPGGTNGVDRVERNEKKRVFLDIRGGDDRKSDENIREVVVVGWPPVCSFRKRTMTKIYVKVSMDGAPFLRKINIGGFKGYSEFVMALENLFGPISECEYIPIYQDKDGDWMLVGDVPWEIPTSPNKMSSETSKITSELTTAADYGLNLNETELTLGLPGESRGRKLGTKRRFSDMFDLKLSGDEDYGGDQSDPECSDATKPPPEKEQVVGWPPVRSYRKNVMNSNFNFVKVAVDGAPYLRKVDLESYAGYQQLLYALEDIFSCFTIEIVFNEKKLMDPVNRIEYIPTYEDKDGDWMLVGDVPWKMFIDTCKRIRSNNAFKMLILELLKNV >KVH93017 pep supercontig:CcrdV1:scaffold_83:325950:327990:-1 gene:Ccrd_004945 transcript:KVH93017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGKGAKGLITGKTSNSAVNGSSNKDKDKKKPVTRSSRAGLQTRVAANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRISPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >KVH93029 pep supercontig:CcrdV1:scaffold_83:476863:484180:1 gene:Ccrd_004927 transcript:KVH93029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol-disulfide oxidoreductase DCC MALIPAIPTLAASGARLSVLPPIIHVRSSSRRRSAVLANLSSPPRGGEALDWVEATSSFFEQDTRPIMLFDGVCNLCNGGVKFVRDNDRQRFLFMFLSAKSELQTANWIKLHRRIRFEALQSDAGKNLLRRSGRAPDDISSVVLVEKNRSYIKSEAVLKIMEYIDLPFPQLAFFLQFVPLFIRDIMYENLADNRYAVFGRSESCEI >KVH92995 pep supercontig:CcrdV1:scaffold_83:67378:76970:1 gene:Ccrd_004959 transcript:KVH92995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVGEEEEYESDPEQAKLSLKMRRRREASDDEEEEDEDDDGDVRVIDSRVSDCESDGQGAAADYDDEYDLVDEEEEELLEEAEDEEFGEGRGGSEVQDVVGQVVREDLDGVIEGSSVGVKRENDHVDGDEFYVPENGDQFDDNVNGRVGEGDGEGGQEEKKETEPFAVPTAGAFYMHDDRFRDSAGGRHRRTLGGRKLWELRDDRKWGHDKFEEMTMQERHYDEGRRVSRGRNRARGRNRGEDHGYVRGNRPKAYNNNNQTNAPKSVRGRGPRRYQPSTQNSFEAPGQSRQPAKSMEKASHASSGRASAAMSVSESSQVPVRKNVVASNLNSASPPFYPSGSSNKETNLTQKKEAQTGPIHRGARPPVMGENYPASQSNNLRGRNVSDSLGMDKLYIDDSVTSLAGKPVNNLPQPASGPSFINSTQPSQTRAEGRGPISFGQVTYQPVPPHNQVNRVSSPNQVHSFQRAPVPNQLHSNPQPSGQQFGPQSASGSRASSPPKSSGSIHLLESTDMESPAESNDSKTALVGKGKGSIQSSGMSSFSYAGAQLIGASGSLNGNHGDQNFPGAPTFLPVMQFAGQHPGGLGVPAVGMAFPGYVAQPNGMGNSEMTWLPVLAGAAGALGAAGALGATYCSPYITMDGAYHARPSGQTSSLAPTSKDSNTNKPGSEVKPSQRPELANDELRQRQNKARRQLAKVVGGLPEKLYLGKSYKKTRDIVQWLCVKI >KVH93033 pep supercontig:CcrdV1:scaffold_83:536978:546112:1 gene:Ccrd_004923 transcript:KVH93033 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAEILLHGTLHAAIYEVDKIHGYGGGRNIFGKLMDNIEETVGLGDGTPKIYATIDLEKCRVGRTRIIENEPENPKWDESFHIYCAHSASNVIFTVKDDNPIGATLIGRAYIPVEDLLEGEEVDRWVEILDEDKNPVESGSKIHVKLQFFDVTRDRNWARGIKSSKYPGVPYTFFSQRQGCRVSLYQDAHVPDNFIPNIALSGGKNYEPSRCWEDVFDAISNAKHFIYITGWSVYTEISLIRDSRRQKPGGDLTLGELLKKKASEGVKVLMLVWDDRTSVDVLKKDGLMATHDEDTENFFQDCDVHCNLCPRNPDDGGSFIQDLQISTMFTHHQKIIVVDSEMPNEGSERRRVVSFVGGLDLCDGRYDSPFHSLFRTLDTVHHDDFHQPNYAEAAITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDVLVNFRELDDILIPPSPVMFSDDQDSWNVQLFRSIDGGAAFGFPDTPEEAAKSGLVSGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFGWECDDIKVEDVGALHLIPKELSLKIVSKINAGEKFSVYVVVPMWPEGIPESASVQAILDWQRRTMEMMYKDIIRALQDNGLDDDPRDYLTFFCLGNREAKTSGEYEPSEAPETDSNYHKAQEARRFMIYVHAKMMIVGSANINQRSMDGARDSEIAMGAYQPHHLATRTPARGEVHGFRMALWYEHLGMLDDSFQHPESTECVKRVNQIADKYWDLFASENLETDLPGHLLRYPIGVTSDGNVTELPGTEFFPDTNARILGVKTLSIHYSRTLYKDSANESSSGFSKVG >KVH92998 pep supercontig:CcrdV1:scaffold_83:258827:260644:1 gene:Ccrd_004950 transcript:KVH92998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENPHQIPTVLEEFVVCLTSKTRKTHKDFGFFQQFLGFLIRVFGVRMEGSDIYKASSSSRGSSSGGRLSSLRAASTSLWRHSGMDVFSKSSRDEDDEEALKWASLEKLPTFDRLKKGLLFGSTGQSYEVDVENLGFEDRKQLLDRLVKXADEDNEKFLLKLRNRIDRVGIDLPTIEVKFEHMTVEADINTGSRALPSFINFHIDIFEVGLYQ >KVH92999 pep supercontig:CcrdV1:scaffold_83:210711:245329:-1 gene:Ccrd_004951 transcript:KVH92999 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MEGSDIYKASSSLRGSSSGGRLSSLRAASTSLWRHSGMDVFSKSSRDEDDEEALKWASLEKLPTFDRLKKGLLFGSTGQSYEVDVENLGFEDRKQLLDRLVTVADEDNEKFLLKLRNRIDRHPAALTTEKYGLSKKELLKACTDREILLMRRNSFLLIMSLVTMTVFLRSEMSRDTLEDGGIYIGALYLGVVMIMFNGMSEISMTIAKLPNESDTGATELSPTAGSADGSNGTKKKGMILPFEPHSITFNDVKYSVDMPQEMKEQGVNEDRLLLLKSVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTMFVEEVMELVELNLLRTALVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEDINGVSKVKDGHNPATWMLEVSTSSQEVALGVDFSEIYRNSELFRRNKALIVELSVPGPGTKDLYFPTQYSQPFVVQCLACLWKQRWSYWRNPPYTAVRFAFTTFIGIMFGTMFWDLGGKRWIFAISIWEFRMDGSDIYRASNGIRLGSLRANSGRTGSLRASSTSAWRNSTMDVFSKSSREENDEEALKWAALEKLPTFDRLKKGLLFGSTGPSNEIDIDNLGMPERKRLLDRLVNAADEDNEKFLLRLRNRIDRLLQQKVKKLILSQIIRSRYAITILIRYLKKTDQLDFENKLFFEQILGLDICADTMVGDRMIRGEMIVGPSKVLLMDEISTGLDSSTTFQIVNSLKQYVHILEGTAAISLLQPAPETYDLFDDIILLSDGKIVYQGPRENVLEFFESMGFKCPQRKGVADFLQELTVMALITMTVFFRTEMHRRGIEEGGQYVGALFFGVVMVMFNGMAEISMTIAKLPVFYKQRDFLFYPTWAYALPSWIVKVPISFLESAVWAILTYYVIGFDPNFWRERKEMVDLGILGITNDPFFGTTLGKAIVKSRGLFAESYWYWIAIAALLGYMVVFNLCFMMSLQFLNPFGKSQGNTSNEDHDAAATELSSANQNNQPKKKGMVLPFEPHCITFDDIKYSVDMPQGLSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGIIDGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLIYSAWLRLGSDVNEETKKVMELVELKPLRDALVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSVDIFEAFDENLNSIFTPINQWTFSVNQDIEGVSKIKDGYNPATWMLEVSTSSQELALGVDFTEIYTNSELYSNPPYTAVRFAFTTGIGLMFGSIFWDLGSRRKTVQNLSNAMGSLYTSVLFIGINNASSVQPVVDIERTVFYRERAAGMYSALPYAFAQKIPIWWRWYYWGNPVAWTLYGLVVSQFGDYEDVLTEGETVKGYLRRYFGFKHEFLGAVAGVHVGLVILFAVIFAYCIKSFNFQKR >KVH93032 pep supercontig:CcrdV1:scaffold_83:513663:514178:-1 gene:Ccrd_004924 transcript:KVH93032 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MCRKKRVWLGTFETAEEAARAYDEAAVLMSGCNAKTNFPILKAESYASPSTATSALSAMLSAKLRKCCKAPSPSLTCLRLDTESSHIGVWQKRAGVHSDSNWVMTVELGKKKTDHKIEVEADDPSSRGIDDDVQQTMMSYGSGRYGILSTRSSEEEDKIALQMIEELLNTN >KVH93004 pep supercontig:CcrdV1:scaffold_83:13015:27715:1 gene:Ccrd_004962 transcript:KVH93004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family VDSSGIDQPQVNKAVRSFSDPDLQQDLKALPFSVSEGPDGFPLILACYRGKDISLTPTEVMETVFSNMRKLAEKKLKAAVVDCCIGIPVYFTDLQRRAVIKAATLAGLRPLRLMHETTASALAYGIYKTDLSENKQLNVAFVDIGDASVQVCIAGFKKGQMKILAHSFDLYLGGRDFDEVLFQHFAENFKADYKIDVFKNAYACFTLRAACEKLKEVLRSNPKAPLYVECLFDNKYVRGFIKRDEFEQICNPLLERIKKPLEKALVDAQLTVDDIYALEVVGSHSWVIKILTEFFGKKPRGHKVYEPPLLLNNILKNSTQTPASRPHLCTSPPQKAFTKVFGEFQKVSFFLTRRPFLDLRPPEYFCLCKSYRFSRYNSAKMSVVGFDLGNESCVVAVARQRGIDVVLNDESKRETPALVCFGEKQRFLGTAGAATSMMNPKNTISQIKRLIGRLFSDPELQQDLKALPFSVTEGPDGYPLIHARYLGETKSFTPTQVMGMVFSNMKTIAEKNLNAAVVDCCIGIPIYFTDLQRRAVMDAATIAGLHPLRLMHETTATALAYGIYKTDLPENEQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDRCLGGRDFDEVLFQHFAEKFKAEYKIDVFQNARACIRLRAACEKLKKVLSANPEAPLNIECLMDDKDVRGFIKRDEFEQISTPILERVKKPLEKALSEAQLTVADIYAVEIVGSGSRVPAVIKILTEFFGKEPRRTMNASECVSKGCALECAILSPTFKVREFQVQESFSFPIAMTWKGSAQDSQNGNTENQQSTIVFPKGNPIPSVKALTFYRSSTFTVDVVYADVSGLQAPPKISTYTVKIGPFQSTKGERSKVKVKARLNLHGIVSVESAQLIEEEDIDVPVTKEPSKEAAKMDLDKAPADVPTTNESDVNMQDAPGAENGAPETGDNPAQMETDAKVEATKKKVKKSNIPVSELVYGGMLPADLQKAMEKEFEMALQDRIMEETKDKKNAVEAYQGDPIEQRYKEHSERESFVEQLATHVNWYRQAAASADPKYEHIDLSEKQKVLNECSEAENWLREKKQIQESLPKHADPVLLSSDIRKKAEALDRYTQGVQADNVETKAGPTKGSYS >KVH93026 pep supercontig:CcrdV1:scaffold_83:273334:275558:-1 gene:Ccrd_004948 transcript:KVH93026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPNFPPLPPPPPFFWGETPEEEYYNSQGVRNSKSYFQTPNGKIFTQSWLPLAEDQPIKAVVFMTHGYGSDSSWCFQKICIAYAKWGYAVYAADLIGHGRSEGLHGYIGDMDKAAATSLSFYTSVRRSEEYRNFPAFLLGESMGGLITMLMYFQSDPDMWTGLIFSSPLFVIPEGMIPPKLHLTMYGLLFGLADTWAVMPDSRMVAKAIKDVEKLKIIAVNPKRYAGKPRVGTMREVVRVTNYVQNNFDKVTAPFLTLHGTSDGLACHSGSEMLYEKAATAPEDKAVKLYEGMYHSLIQGEPDESVDLVLADMKAWIDEKAKKFGPGN >KVH93034 pep supercontig:CcrdV1:scaffold_83:549683:553116:1 gene:Ccrd_004922 transcript:KVH93034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPEHVPSLGLFKKQSSSSGEMDECPYEFNWRNSKHQEGEKVKNKTTKRQGQDCAHQLFDEITVRVFKSMNPDQTKLISLPSCLTPNGTYKTLLFWFEADNWISRMQQQKKTLGSRPPGCVNKCMNCTPCRATLVIPPHHRMTDYRDQSSHREDDCYYLLSWKCKCGDKLFQP >KVH91268 pep supercontig:CcrdV1:scaffold_830:126535:128094:1 gene:Ccrd_006720 transcript:KVH91268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MMFTLSHFRFVSSFSCSTFDPKEVVAEAMTKPSLPSLESSRDADLISQILIQHHNPFHSMESSLQLNGIKLTPNLVHQTLIRLKNISKIALAFFSWAKEQAQYSHDPPAYNLMIDILGKVRQFDVAWQLITEMDQNGVNPTSTTFYVLIRRLIAAGLTRQAIRAFDDMGCFVVNDADQQPIDDFYFLFDTLCKYSYPKVATEMFNKWKSWRFQPDAKMYTILIYGWCKENKSKMAERFFREMLDSRIEPNVVTYNVLLNGICRRSSLHPDDRFERTLQAAENLLDEMHQRGIDPDVTSYSIVLHVYSRAHKPDLTLEKLKMMKEKGIRPTLTSYTSVVKCLCSCGRLEDAEKLLDEMVCNGVTPSATTFNCFFKEYRGRKDVDGAFRLYKKIKDDSVSLPDSHTYNILLGMFTRLNRFDLVKVIWDDMKVSGVGPDLDSYTLLVHDLCEKQKWREACEYFVEMIEKGILPQKVTFEMLYRGLIQSDMLRTWRRLKKKLDDESISFSSEYQKYHIQPYKR >KVH91267 pep supercontig:CcrdV1:scaffold_830:132706:156646:-1 gene:Ccrd_006721 transcript:KVH91267 gene_biotype:protein_coding transcript_biotype:protein_coding description:GidA associated domain 3 MATAAVSLLQFTRIPRHFSLFPLLVPRSLSSLSFRRSCKPRLCSSLFCADSRRHFAVTASSSSGSKSAGEKSEAERLGKFSLYLTLGNYTSHSFSRCFFVNTILTQSTSFWKILPLDQNSHVAAVEETYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADSYMQAAALCYLQKRILNISRGPAVRALRAQTDKREYAIEMKHVVERQRIYSEDLDKVTNGILWSTPNLSIREAMVTDLLLGTNDNVKGVCTFFGMNFYSHSVVLTTGTFMSGKVWVGRTSMPAGRAGEAASYGLTENLQSLGFESDRLKTGTPARVDSRTVDFSLLEPQHGDEECIAALSLGYVRRQKKCEEFSRIEQFNKINSEDMLGFVAARGPRLGRQAFRVSFYNLQLSIETHYPVSSELHVSFIAEEGKLPFRVVRVGEGIGYPLSLQVNWFSFDPEYHIEREQMCCYLTRTTKVTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFHDKDSHQIFLEPEGRSVPDLYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRVLTRVRKSAYASISYENAYSPFSRSEYRLLLRSDNADSRLTPLGREIGLIDDRRWTAYQDKQARISEEKKRLKTVRVTSEELADEITLLSGQPVKDHSTLESLLKKPHIEYKVFDKHGFGNDDLSRIEKECIEIDIKYEGLIARQQSQLQQMVHRQHKPLPADLDYHAMKTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIVMESNRRKAQELKTHQILASVIAADSA >KVH91261 pep supercontig:CcrdV1:scaffold_830:50924:57963:1 gene:Ccrd_006718 transcript:KVH91261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpp10 protein MAAIPSAGVEALHRLKLTEPPMYLTPSSELATAARIASEHLFASLKPYTPKSPFDRLLVDEKFDAEQIWQQIDLQSQPLISAIRRQVNKFEKDSQELKDIFKSGESDKEQKRELVLEEKEEEEDDDEEDEDEDDELDDESNEEEDEDEIEEDESRDGERERKERGGVGVEDKFLNIQEMKEFMEDDEAREYGVNKKKQVVKKMSRKFGEDDEEEDDDEDDDDELGVLELAGEEDMSDAEDARYEDFFTSTKKRDQSKKPKVSEKVEDIDISDEEETEDSDTGDEDDDGMGSDDEMKIDNLSTHEKQLLEQRAKIEEVERENLEAKSWTMQGEVNATKRPKNSALEVDLDWERNAKPPPVITEEVSQSIEELIMKRISEGHFDDVQKAPSLPSKAPREMKELVKHLSITWQYLISAPSLFSIDGFIYVSGGINVAMLTLQDENQSKKGLGEIYADEYAQKTGLVSQALSFSDEQKKEASLLFKKLCLKLDALSHFHFTPKPVIEDMSIQTNVPALAMEEIAPLAVSDAAMLAPEEVFSGKGDIKEEAELTQEDRKRRRAHKKRKFKAENAKTIAKKPRERTETAADGKEES >KVH91262 pep supercontig:CcrdV1:scaffold_830:91174:117919:1 gene:Ccrd_006719 transcript:KVH91262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MAEESSKKPMSLNQLHNRFLSASHSKSNAYGFLSEIKTLPKMGDEDKASSAERVDDGENGASHDESDIIDFGSSSSDKLPTAEQCEISASLGEPASSIVDDLASRNAGGKHQKVKIHGRRRLCKVVARDDEEEDDKFHDVESDFSAMVSEFDSPSPVKNTGGNLDSGDEIRDILSNLSSRLQCLSIEKRGRPVKQLPSSSNSVIKEKLDQQKPVETSEFLDVENTSFSVSSNRSDSSAKAIPXSMVGHSAGMMPNGLIKNNVCPPSRKENVNGEYVKDQVGKVVSTESFVCPRKNEDDDEDDCVVTSGHKFXQKGESRHGKLSPKSDGSNKXHMLNDETBDSTSGKEIPFSLSNPKFSFXLPSKIANMLYPHQREDLWLFGWAIPLKFDKEGIGCCSQDAITSLDERTRRGWSFAKDKRVSLLGQQGYFGTCAKARQYELQYILQDKGVLLTTYDIVRNNVKSLSGDYEDLDEDDVTWDYMILDEGHLIKNPSTQRAKSLLAIPCAHRIIISGTPLQNNLXELWALFNFCCPELLGDKKCFKDKYESAILRGNDKNASDRDKRIGSAVAXVMDLRTCIQPYFLRRLKSEVFRDDDATNTAKLSKKNEIIVWLRLSACQRQLYEAFLNSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGXESVLNQEDHGVAERLAMHIADVADRYDIGENHDILSCKISFIVSLLDDLIPKGHNVLIFSQTRKMLNLIQDTLTARGYKLLRIDGTTKATDRLKIVNDFQEGVGAPXFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVIVYRLMTCGTVEEKIYRKQFFVISECLSFMRQIYKGGLFRSATEHKEQIRYFSQQDLRELFSIPKQGFDVSPTQQQLLEEHDCEHKMDPSLKVHTKFLESLGIAGISHHSLLFSKTAPVPVVQDDELTRLPDKGEKIQKQMAELNAELQKLRMPKGGETETIDLDDVVDDFNRIYSFEHHGHLISGFDFAIQE >KVH91266 pep supercontig:CcrdV1:scaffold_830:7864:14627:-1 gene:Ccrd_006715 transcript:KVH91266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MKNTRCSGRKVVPKWILIISICSFVFGMFFTNRVWDPLDSDGKDNDLLGAIHKSDEAIRSLGNSISKLRMELPGAQSSLEKGYHGNLQANKTISEKDMRRKKAFVVIGINTAFSSRRRRDSVRETWMPRGEKLIQLEKEKGIVVRFIIGHSVTSKSILDRAIDSEESQHKDFLRLEHVEGYHELTAKTKTFFATAFANWDAKFYAKVDDDVHVNLGMLASTLDRHRSKPRNVSGKQKQAMCALLRSTGVAVVFADPWKESKKFTRNAAKKV >KVH91265 pep supercontig:CcrdV1:scaffold_830:3727:5069:1 gene:Ccrd_006714 transcript:KVH91265 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin domain-containing protein MFEEKGGDPTQIRFSRRKLSGLCAHISEDHPSFVTNDLHKNKADLELKCPMNMHISAFKFASYGTPTGACQSYAIGDCHDPYSTSVVEKLCLNKNECKVGLTEKNFRTEICPGVMKKLAVEAMCS >KVH91263 pep supercontig:CcrdV1:scaffold_830:19894:21391:1 gene:Ccrd_006716 transcript:KVH91263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAAIHAPVNVYITVIVIKCCQMSCTSEAFAIVAYGFKHVMYNTMIKGLCKFGNNDTPIALLRLMNERGCKHDVMTHNTVIDSLCKEKMVDDALKLFNEMILHKGILPCKNAHELFNDMQVHNQIPPDACTYKIVLEGLCNNHQVDEALYLFCLMGENKLDLDIVVYNILIDGASKCGKPDIAKALFCDLSVQGLHCNVWTYNVMVNGFCREGLVREAKELFLKMEERGCPPDCVTYNVLLQGLLKNGQHDTIEMLLEKMDEQSFMVDASTLSVLLDHISSRSLNVSVLKLIGKLVLNEGKEAPCIKSI >KVH91264 pep supercontig:CcrdV1:scaffold_830:50010:65388:-1 gene:Ccrd_006717 transcript:KVH91264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKKTGGKRRRRLVSILVSGSDERWLMREGEPKEEATWSNITCLMYSCYGEESSREVGADDDRSSSASSLISPLPENTSSGASMAASETAKVTTSSSDPMPSSSSSPVSESSVSSSSLMSISSTFSLTLGFLLWSRFFVDVKKSSYLPKKFKLRSRLFLKPIILLRNVLTSSSSSSSSSSSSSSSPNFLDIFFTTCFFLLTPYSLASSSSMNSFISCIFKNLSSTPTPPLSLRSLSPSLLSSSSISSSSSSSLLSSSTLVNPSQTCLLDGGWRISTAEIEDQFAAKSARRRTSRLQGGGRMGLSEANKCSEAIRAAVASSDDGVRYIGGSVSFRRCSASTPAEGRLMT >KVI03111 pep supercontig:CcrdV1:scaffold_8301:6976:10476:-1 gene:Ccrd_018595 transcript:KVI03111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVAANWGGSVEKHPSKNQRCFWRIFGVEFGDYSRISPSILHLHLLQPLTTYEYEKKLYNBHLESLQVMEKNYMTMASEVEKLRAELKKHAEIDRTAGPYVGFVGYSDKEASGHYPVGQNTYDDAYGVPRRYGDCIYLDFFPSEEAAYSLGVTAMIASLDNTTLKSQYMDVLIFFKVYDKHEAVEIEKDMEVELRIWTWNRAIWTWN >KVF91923 pep supercontig:CcrdV1:scaffold_8303:9207:10294:1 gene:Ccrd_026619 transcript:KVF91923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLEIGGSPNFEIDQALQRIREQLNKAEHKLIVARICVGEYGTAHQYQQQSKGSTASSTKKRSIGRKCTHLPVVGNHHSSQQLKGRFRIEPRTLLNTQHLTRNHLLNTQPYF >KVH96093 pep supercontig:CcrdV1:scaffold_8306:7327:10319:1 gene:Ccrd_001823 transcript:KVH96093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MPKKSEIKLLGTTASPYVNRVQFALNLKSIEYEFIEENLVCKSELLLTSNPVHKKVPVLFHANKPPICESLIIIEYLDEIKSDVHRILPSDPLERADNRFWANYIDNKFFPLYEELRMTIGKEGKEAIKKQIIEGSVLLEEVFVKFSNGNDYFGGDDVGYLDVVLGCFIPWRKLIEKYNEFTAFDEVRTPRLAEWTKRIWSHEAFKDVIPENGTIVNFYMILQKYKPPRVV >KVI03317 pep supercontig:CcrdV1:scaffold_8307:12373:13891:-1 gene:Ccrd_018385 transcript:KVI03317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate decarboxylase-like domain-containing protein KNPIVWEILQRILDDHPVLLNRAPTLHRLGIQAFLPVLGEVCAICLHPLVCKGFNADFDKDQMDVHVPLSAKARSEARLLMYTNMNILSSTIGDPISAPTQDMLSGLYVLRSENRQGVIHVIVETTKMKIITITIKKNPFCDTYDVIGALAKQINLGSPLWFQWRLDQRARGNASQVHQLVGMRGLMSNPQGQMTDLPIQSNLREGLSRDPQRPILRSSSTHCCTSNRVWHRSRYFCKSLKWYDDG >KVH96415 pep supercontig:CcrdV1:scaffold_831:93750:95775:-1 gene:Ccrd_001499 transcript:KVH96415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLWMPRLAERIQAASTTTTTTRASPSTITTTNTTAYPLNQNNMDHTGTSQLVMPHSYNSDVGNNQINPGYATENSGTTAVSPVSDMTDCYYPIHPTQNQDFLQNNNQLGDEFDGSLISPSGYFNQEMDFEAMVEENNQWSGRNSGDFSDSLWNVEDILFLQRQFNNM >KVI11288 pep supercontig:CcrdV1:scaffold_8312:9246:10913:-1 gene:Ccrd_010303 transcript:KVI11288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLELGGMWRIFRMVVWLFASFSISRCFVKGMHTCTSQLKRI >KVI02723 pep supercontig:CcrdV1:scaffold_832:59651:63052:-1 gene:Ccrd_018985 transcript:KVI02723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVIVHPGYKVERIHWSVNARDVMNSNPGHYVAQVLRSPVVRSDNGLPVKQLKLLRPDDTLLIGQVYRLITYEDVLKEFAAKKCMKLGKLLMERGVIELGKKKAVVDAPVPVAVPNTSSVKRHSSRRQRQEHQSHGGGQWKPALKSISEIGN >KVI02725 pep supercontig:CcrdV1:scaffold_832:25700:27322:-1 gene:Ccrd_018987 transcript:KVI02725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDTLFRLVSLQKQPSHHHHHHHHPLTNSTSRTNSNSSKSSNHHTTTPPFHFNYQQEEDQEECFNFFMDEEDFSSSSSRHHHHNQYYSSQQTPFHHQSSNTPTPTTSTTATPPPLSHYGAFSSSEHQQSSDPNINYSYSPARDVTMEFSNSFTVNSWASDVLLEAARAVAEKNSGRLQQLLWMLNELSSPYGDVDQKLSSYFLQALFSRMTDSGDRSYRTLLSASDKMCSFESTRKLVLKFQEVSPWTTFGHVACNAAIMEAFDGESKLHIVDVSNTYCTQWPTLLEAIATRTDETPHLRLTTVVATKLGGGATVSGSAVQKVMREIGNRMEKFARLMGVPFKFHVIHHTGDLSELNLSQLGLQDDEALAINLNGTLRSVSNHRRDYLISTFRSLNPKIITIVDEEADLEVGTDGFEFVRGFQECLRWFRVYFEALDQSFPKTSNERLMLERAAGRAVMDLIACSPAESMERRETAARWSSRLHASGFGPVSYSDEVCDDVRALLRRYREGWSMNPSEAAAGIFLTWKETPVVWASAWKPI >KVI02730 pep supercontig:CcrdV1:scaffold_832:111888:113968:1 gene:Ccrd_018981 transcript:KVI02730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDIVKKSLDYTQRRKKLLLLVAAIGFSTYGAIRVYNLPSMVKKRERLTKLLGALASIAELLGDSAETIGVVSKDLKEFIKSDSDEIPNSLRQLSKIMRSDEVSESIVHVTRAFTVGILRGYRVGVRKGDPGNSFPDRALDKLFSPAGSGFVSVVVGSFAKNMVMAIYADRGVSEGYHINGSRSSESSVQKWVDVIAEEKCRKLIGDCIQQFVSTLVTVYLDKTMDVNPYEQILSGLTNPKHEEKVRDLLATFCNGAIETLVKTSHQVISNSDGNELILNGMKSRKSRSNGFVSKISSTLAVPSNRRLVLDMTGRVTFATVRSFLDFFLDQLSTGMKRRVDVVHEEAVGKGREVYSSHKSPVEEDEH >KVI02724 pep supercontig:CcrdV1:scaffold_832:43720:51603:1 gene:Ccrd_018986 transcript:KVI02724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLTGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRTDQDNLSISKSELHDLLSKPALSGIPDLKSITDREVCCFMISCKNSTNIDSVIDWLIKHSKSKS >KVI02728 pep supercontig:CcrdV1:scaffold_832:4374:7435:-1 gene:Ccrd_018989 transcript:KVI02728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGRDSSSSGEEDGDAEWRAAINSVASTAASNRSTVANGTTTSSVASSNREKQADTKNIKLYHIKAQKLLDDLLEKSMEIVRQQPTEVAENHSMINGGGVRLFRDAPPGILFDHVDELQGPLKRPRIIPNVEVNEKSKKFRHQLKSVVVEGHDIIVSAKEACQRSLAKIEARAAAAKAKAKKEEERVAELKKIRGERWLPAIAREMRFK >KVI02731 pep supercontig:CcrdV1:scaffold_832:80648:84501:-1 gene:Ccrd_018983 transcript:KVI02731 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding domain-containing protein MMLMPVRTRLFNLRISSLLPSSSSSSLPFALPFRSSLSHSAPPHHVSATKTPKISISDTAKFVRTILFIPPGTDPEEVTEDMILPGSNIVLGPYVGDAKVKEVEFMGSSVRPKDCPKDDRPEFAMLGRSNVGKSSLINSLVRKKEVALTSKKPGKTQLINHFLVNKSWYLVDLPGYGFANAPESARMDWSSFTKGYFLNRETLVGVLLLVDANVPTKKIDLDCANWLGRNNIPMTIVFTKCDKSKNSKGQRPDENIKSFQELIKENYKIQPPWIMTSSATGLGRDELLLHMSQLRNYWDN >KVI02727 pep supercontig:CcrdV1:scaffold_832:7580:14436:1 gene:Ccrd_018988 transcript:KVI02727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein MGKKQHSKDRMFITKTEWATEWGGAKSKELRTPFKRLPFYCCALTFTPFEDAVCTKDGSVFDIMHIIPYIRKYGKHPVSGAPLKQEDLIPLTFHKNSEGEYHCPVLNKVFTEFTHIVAVKTTGNVFSYEAIKELNLKTKNWKELLTDEPFKREDIITIQNPNTLDSKALVDFDHVKKSLKLDDEELKKLEEDPAYNINVSGDIKQMLKELKTEKGRELALHGGGGSKAQNERAAALATILAARERIKDNPKSNDEVKAQQAFSIVDAASASVHGRSAAAAKAASSDKTAARIAMHMSGDRTPVNAKMVKSRYTSGATSRSFTSTSYDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNIELHCDIAPRACENFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGKGGESIWGKPFQDEVNSKLLHSGRGVVSMANSGAHTNGSQFFILYKSANHLNFKHTVFGGVVGGITTLSVMEKVPVDDDDRPLEKIGSWFSNPGTGADPEASGGGVGKYLKARSGKTESTAAVDGGGHAGPAVLKKRKLGVSTQELKDFSGW >KVI02726 pep supercontig:CcrdV1:scaffold_832:85224:88302:-1 gene:Ccrd_018982 transcript:KVI02726 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR1 [Source:Projected from Arabidopsis thaliana (AT5G65890) UniProtKB/Swiss-Prot;Acc:Q9FHP1] MEHTTLEMTSTDRPGLLSEISAVLAELKCRVSAAVAWTHNTRAACIMHVQDDSNPGSIMDPHRADRVQAQLVTVVDAHHTNRQRWSLRLTGPTTGQTHTERRLHQLMMADKDYEETTYGTKGYETVVTIENCREKAYLVLNITSRDRPKLLFDTGLRLDIRSRDRSRLLSDVTRVFCENGLSIARAEIRTHGERVVGSFHVTDAHGHNVDHGMVEAVKKEIEKLGGMVLVASXSSSNWLATGPSSNNDREEERPARFSLGTIDPSIVPSVKTGDLNRLTTGDKTKGNRRDRRTKGLN >KVI02729 pep supercontig:CcrdV1:scaffold_832:123434:124269:-1 gene:Ccrd_018980 transcript:KVI02729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine MPRATALLLIITLILFSFTFSSTSARPEPAIGATTTTRNKGVEVEEGCEGVGEEECLMRRTLVAHLDYIYTQKKNP >KVI02721 pep supercontig:CcrdV1:scaffold_832:701:4276:1 gene:Ccrd_018990 transcript:KVI02721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylylsulfate kinase MIAVGNWSLIFESSLGFDFVEAQSTKVGFANFPARLLNCRTSPSKNLVPIKAKEASRMSSLKSDIEVGSNEHERGIINNSDDSNGSIRHEITTKGRRSTNIVWHKCSVEKIDRQELLQQKGCVIWITGLSGSGKSTLACALTRALHSRGKLTYVLDGDNVRHGLNRDLTFKAEDRAENIRRIGEVAKLFADAGIICIASVISPYRKDRDACRSLLPDGDFIEAGIQRVCTSLHALERLKIVLEEEGDVCPPPEVMADKVISYLEGKGYLQA >KVI02722 pep supercontig:CcrdV1:scaffold_832:74791:78540:1 gene:Ccrd_018984 transcript:KVI02722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGVELIDNHQRIDGSLTREEGKGKKLWKKVKYQLVEYHSLPAYMKDNEFILGHYRAEWPLKQIFMSIFTLHNETLNVWTHLIGFFLFLTLTIYSAKMIPNVVDLPTLKNFPDVLRKADLHQLREDLLTCIPSLPNMPDLHKLRSDIKTTFPASSDLLPLSNWHIVELLTNCLPERFSHSNHTDVCVLRSMKEDVANIIAPLMIRPITRWPFFAFLGGAMFCLLASAACHLLCCHSKRLSYIMLRLDYAGIAALISTSFYPPVYYSFMCHPFFCNLYLGFITVLGIATILVSLLPVFDQPEYRNIRTGLFLGMGLSGAGPIFHKLILFWHQPEAVHTTGYEILMGTFYGIGSLIYATRIPERWMPGKFDIAGHSHNLFHVLVVAGAYTHYRAGLVYLKWRDIEGC >KVI02720 pep supercontig:CcrdV1:scaffold_832:139425:140000:1 gene:Ccrd_018979 transcript:KVI02720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEVFPTAGDHLSSDGAGFFETGGFTPWDTQENPFLFTPQEPVFSFSGSGSDNSTPKTNSSDDGEMNPPEEDVVVDERKRRRMISNRESARRSRMRKQKHLENLRNQLNRLKTGNKELTNRLRVVNLHGQLLREENQSLRSESVMLQQKLGDIRQVLLVQQLHHQLIPSAWPCNNNVTTIYEQNPPSLIT >KVF90855 pep supercontig:CcrdV1:scaffold_8325:849:2783:-1 gene:Ccrd_026620 transcript:KVF90855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin QEGTLWWHAHSSWLRATVYGAIIIHPKEGSSYPFPKPKRDSVIALGEWWDANPIDVIREATRTGATPNVSDAYTINGQPGDLYNCSSKGETNLIRVINAALNQQLFFTIANHKLTVVGADASYVKPFTTSVLMLGPGQTTDVLVKADQPPARYYIAARAYASAQGAPFDNTTTTAILEYKTAPCTAKCTTSKPIMPRLPAYNDTTTATAFTTSFRSPRKVFVPTEIDENLFITAGLGINQCPPKTRARNCQGPNGTRFTASMNNVSFVLPSNFSLLQAHHQGVRGVFTTDFPAKPPVKFDYTGNVSRSLWQPTPGTKVYRLKYGSRVQIVLQGTNIFTAENHPIHLHGYDFYILAEGFGNFNPKTDSAKFNLVDPPLRNTVSLPVKGWAVIRFVADNPGVWIMHCHLDVHIGWGLATVFLVDNGVGPLQQLEQPPPDLPVC >KVH88528 pep supercontig:CcrdV1:scaffold_833:111670:113792:-1 gene:Ccrd_026622 transcript:KVH88528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGEHHLLSTEIVNRGIESSGPDAGSITFSVRVRRRLPDFVQSVNLKYVKLGYHYLINHAIYLATVPVLVLVFSAEIGNLSREELWRKLWDSTAGYDLATVLAFFAVFVFTLSVYFMSRPRSIYLIDFACFKPTDDLKVTKEEFIELARRSGKFDEDSLEFQKKMLQSSGIGDETYVPKAIMSPENISTMKEGRAEASMVIFGALDELFKKTRIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSFNLGGMGCSAGIIAVDLARDMLQANPNNVAVVVSTEMVGYNWYPGRDRSMLIPNCYIRMGCSAVLLSNRGRDYRRAKYRLEHIVRTHKGADDRYFRSVYQEEDEQRFKGLRISKDLVEIGGDALKTNITTLGPLVLPLSEQILFFATLVKRYLSGSKGIATTTNGLQTVAPSSSSGTKPYIPDYKLAFEHFCVHAASKTVVNELQRNLGLSDANVEPSRATLHRFGNTSSSSIWYELAYLEAKGLVKRGDRIWQLSFGSGFKCNSAVWKSVRRIKKPTSNNPWLDCLERYPFEARS >KVH88527 pep supercontig:CcrdV1:scaffold_833:86580:87132:1 gene:Ccrd_026621 transcript:KVH88527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERXGNYTFLGEDISLQIQQQQLEIDQFIAHHKVRLDVEERRKRNSRRIIAAVEEGISKRLRAKEEEIVKIAKLNWALEEKVKSLCVENQIWRELAQTNEATANALRNNLKQVLEQVVHDDYRHRTTTTCVNDAGALAEDAQSCCESNNEEN >KVH88529 pep supercontig:CcrdV1:scaffold_833:112967:117155:1 gene:Ccrd_026623 transcript:KVH88529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIADSDGVGLKMLQFTTSTPTSFGRIRVFLKSSSSAPNITIEASALPSFMVEMFSETNKSGSTDLQIVGGFETGEINEIDRSRARHEINGESEDENSKKSKNSSQSFRHSSSRLRFPISALNTRTSTGTVAKLDKIRQPTANPDRESDGTGVRTRGFNTTVDDLRGEEVVFSGRGHGSLSDLCPLPDLIGVLFQIYLLHVILVQYISNLRPGPDLICVPPDLVCNLDLFYTSGSIFSFNES >KVF90604 pep supercontig:CcrdV1:scaffold_8330:2354:3364:-1 gene:Ccrd_026624 transcript:KVF90604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MIYPRGNQYRFLHLTLIWSSRSSKLAINTSNLVVQLLDDGNLVLKDEISGNLIWQSFDPPGDTLLPGMKLGVDLLADTHLNLTSWKSSDDPSP >KVI04053 pep supercontig:CcrdV1:scaffold_8334:2586:4075:1 gene:Ccrd_017642 transcript:KVI04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MATENKEKRIPSXEEEATAXQEIWKYILGFVPMALVKCSIDLGIPDILENHETPMTLAELASKLECSQSVLYRIMRFLIXYKIFQEQPLSETSVGYTQTPLSRLLTRHGENSMVDMVLLHTGPIMLAPWHKLSAWVLGNENSPFEATHGKNLWEXNAENPGHSKLFNDAMACFTRVEVAAVIEGCPEVFEGLGLKTMVDVGGGDGTSLRCIVEACPWIKGINYDLPHVVSVAPTSIGVEHVGGNMFDYVPKADAAYMLKVLHDWTDKKCIDILKNCRAAIPQDTGKLIIIDTIIGHKEDDEFKEMDCCLIC >KVF90373 pep supercontig:CcrdV1:scaffold_8336:8165:9236:-1 gene:Ccrd_026625 transcript:KVF90373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNPLVYLDVYQSIDSAHLMAYGLFGHTSNKFENRSFDMFIPLVFWKVGAGGSMHYIEVQN >KVH88519 pep supercontig:CcrdV1:scaffold_835:39249:42720:1 gene:Ccrd_026628 transcript:KVH88519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQISLRFLLLLSLISAQFFSGFSDDSNSKNGTKVDAHSSSSRTGSIILIICIALVAVVGLSFFLFRLWQKKKRDEQYARLLKLFEQDDELELELGLRVNDEDPE >KVH88524 pep supercontig:CcrdV1:scaffold_835:127500:130758:1 gene:Ccrd_026632 transcript:KVH88524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTIKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADANIVIMLIGNKTDLKHLRAVAVEDAQSFAEREGLSFIETSALEAINVEKSFQTILGEIYRIISKKSISAGEPGPASIKQGETLVVGAQDANTKKPCCSSS >KVH88523 pep supercontig:CcrdV1:scaffold_835:136371:142881:1 gene:Ccrd_026633 transcript:KVH88523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MGNVGVNQKSWNSQVPSFPSLLTLCDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLSEIDRYANESVCKLLVGNKCDLAFADELGIPFLETSAKDSVNVEQAFLTMAAEIKKKMGNQPTGDKKSGNTVQIKGKPIEQKSNCCG >KVH88518 pep supercontig:CcrdV1:scaffold_835:32998:36453:1 gene:Ccrd_026627 transcript:KVH88518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal YQSIVRAFGVNARNYQIGIQKRSFVPLSDGAVFDVKDISGTLFLYTWPSFDLLFPIMHSGSVNKNVYKALIAAEYLGVDIKMADNFQMGVSNKTPDMLNKAPELLKMNPIGKIPVLETPDGAVFESNAIARYVAHLKPGNSLVGSSPIEYGQIEQWIDFSSFELDTNFRGWIMPRLGYATYIRPAEEAYIAGAKRGLEALNTHLASHTFLVGHAVTLADIILTCNMLYGFKMLMTKSFTSEYPHVERYFWTMVNQPNFSKIIGEVKQADAVLPIPSAKKPEQPKEAKPKPEPKKEAPKPKPEATAGEEEEAPKPKAKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCNYKYNDENTVSFVTMNKVGGFLQRMDLARKYAFGKMLIIGSEPPFKVKGLWLFRGPEIPKFVMDECYDMELYEWTKVDLSDEAQKERVNQMIEDQEPFEGEALLDAKCFK >KVH88517 pep supercontig:CcrdV1:scaffold_835:10868:12341:1 gene:Ccrd_026626 transcript:KVH88517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFNSERSKPWNMYTDSPHSPPQSGGDGEGPWKNFGTSMNAISFGFVATAVLISMFLIMAIFEHLFRPNASFPLPERDTGPGDLRPMHKLVDPQPHVQSTYASDFSVLMPGQEYPTYIAHSTPLPCSREGVHWPPHHHVFP >KVH88521 pep supercontig:CcrdV1:scaffold_835:154490:156126:-1 gene:Ccrd_026635 transcript:KVH88521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MKRVEGLLFYASEEGNVTLLQTLLQEDPLILDRVTINRCDDMPLHVASMLGHFDFVNEILSRKPQLARESDSQRRLPLHIASAKGHVEIVKALLSAHPDTCLARDRDGRNPLHLAAIKGRYEVVKELLQAQPHAARAMVEQEQETILHLCVKHNQLEVLKLLVESMGDHEFVNSKDGDGNNILHLAVADKQIETINFLLLNTTIEVNASNTNGETSMDILAQGPKDVKDQQIIRSLTRADAVKPKTEGLIEKNPQKWISKTCLDYKKLFPPISQKKREDWLDKKRNTLMVVASFIATMAFQVGTNPPSGVWQEDNPDASPPRNAGYAVMANNHPVLHHIFLVSNTVGFLSTLSIILLLISGLSFLKHRGSTWIMMVIMWIATTSLSITYYVSITVTTPKEQAETIRPLSVIIVFVWIGLMTLVVGGHMPRLMAMAKEDQKA >KVH88522 pep supercontig:CcrdV1:scaffold_835:146935:151670:1 gene:Ccrd_026634 transcript:KVH88522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial distribution/morphology family 35/apoptosis MGFLRDKRNSSSSSTTTSPCADLRAAYHNCFNRWYSEKFLKGHWDKEECVSEWEKYKDCLSKHLEDKNLSRFLEAEGVKRIPASISK >KVH88526 pep supercontig:CcrdV1:scaffold_835:56950:66217:-1 gene:Ccrd_026630 transcript:KVH88526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MPKSAPNSLRNSSRRLWFVIFSGTYVCGWNSHRSVCRHQRLLEFVVLIWHNMASASLGHGGVGSSRTASGFNESSSAVDRLGRGILEMRLRDKVERDDDKVTDSEPEIVHGAGTEAGHVIRTTIGGRNGQSEQTVSYIAEHVIGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNVVALKHSFFSTTDKEELYLNLVLDFVPETVSRTARHYTRVNQRMPLIYICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFRFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPNTRLPNELAGVSRETVHRLIPEHARKQNLFMALNIQ >KVH88525 pep supercontig:CcrdV1:scaffold_835:109559:116348:1 gene:Ccrd_026631 transcript:KVH88525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRGGSRKKVMEVKLIHSLLLATCFMLGLESLNAVSNDSFLAASSGNESHSEVSLSTAPCPSGWVMGPSKTTCFKYTGDSQSWNESENICRGYHGHLAALTSFAELRFAQDLCSKAMADNECWVGGRSMNTSTAMDWTWSDSTYNWNETLVNVVTQDLKSSCTNSSCFYNYKDDSASVCTILTNRTMSLVANRCNVSHPSICMLVTESRCQHMHCHKEYLIILAIVSGLILFTTFAVVIWLLVYRRIKRRRRSRKLSNPAESALVPPSWKIFTREELRSITKNFSEGNRLLGDAKTGGTYSGLLPDGSRVAVKRLKRSTFQRKKEFYSEIGRVARLCHPNLVPVKGCCYDHGDRYIVYEFVIHGPLDRWLHHIPMGGRSLDWTMRMKVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEDFSAHLMGVGLSKFVPWEVMHGRTVMAGGTHGYLAPEFVYRNELTTKSDVYSFGVLLLEIISGRRPASQAVDSVGWQSIFEWATPLVQSHRYLELLDPVISASSSTSPSRIPEAGVVQKVVDLVYSCTQHVPSMRPRMSHVVHQLQQLAA >KVH88520 pep supercontig:CcrdV1:scaffold_835:44885:50004:-1 gene:Ccrd_026629 transcript:KVH88520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MASSTAQIHPLGATPFATTNNSTKSLLFGQGLRNSVSFSQKISSFKLSKTRRNRGNGSLRVVAEKVVGIDLGTTNSAVGAMEGGKPVIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYTVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAASFKKDEGIDLLKDKQALQRLTETAEKAKMELSTLTQANIRLKAPVENSLRDAKLSFKDLDEVILVGGSTRIPAVQEVIKSLTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKVPVAVKEKVEEKLGLLKEAISGGSTQTIKDAMAALNQEVMQLGQSLYNQPGGAGGPAPGDAPGAESSESSSAGGGGKGPEGDVIDADFTDSK >KVH93423 pep supercontig:CcrdV1:scaffold_836:49911:53203:-1 gene:Ccrd_004519 transcript:KVH93423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEEREQGRGNERWSAAIANLSEISNNLDSLGNILIKKAVYVDEDTFNKASLTSDQARNIKVLEQRVETLERELDAAISAAAHARTEKRQAEAGQKAAELRAQEITKELENTTKVFELHMEELRSKQAEISKRDNEIKLLEAIIQTLGGKESASL >KVH93421 pep supercontig:CcrdV1:scaffold_836:8660:13471:1 gene:Ccrd_004517 transcript:KVH93421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSFQTGQQAQSSSSSEPLQPCRRFTISEIQIATQNFDESLVIGSGGFGKVYKGTVANEGSLLDVAVKRLEATSNQGAVEFLAEIETLSKLRHCHLVSLIGYCNDGQEMILVYQYMPHGTLAHHLHKLGTPLSWVRRLKICIGAARGLHYLHTGTGINHGVIHRDVKSSNILLDDCWEAKISDFGLSKIGPTNQPCTSVSTLVKGTFGYLDPDYVFTGRLTRKSDVYAFGVVLFEVLCGKQAVDRSLDEEQWSLARWAQDSIKEGMLKQIVDTNLKGRISPKSLKEFARLADWCLQSHVKQRPTMAEVLVGLESVLALQEKSNIMLQPAGMTIFGRKVPKLIFPSNWENSVEGRSLKSLDIYLYTVGGENRILRRFDFDTINVATESFSKLMFRQSVGDMYKGRLQNGQDIAILERSGSFSFSNYECEMNEASALVKLEHKNLVQLLGYCIAGTKVYFLYDIALYANLNDLIHGRAPLDWVEQYKILLGVAHALVYLHKHASIRVMHADVNLHNIFLDERLVPKLSGFWFSRCSKVNEPDCIAVHAICGTCRFMAPEYALHGCLSTKADVFNFGFLVLQVVFWSTSLWRLVEVPHQLGERDWIDSLAAMSPRVGVANLSSISRFIRVALLCIQEDASDRPSMDAVIGMLLNNSSHSIPLPGRPPSLWWTRGFSYNTEYDAGAVEEFEFEPDDYDVEAVEEFKSELIPR >KVH93428 pep supercontig:CcrdV1:scaffold_836:56488:64864:1 gene:Ccrd_004520 transcript:KVH93428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLYGVSIKISPPSLLYENNKIGGVLLCEQQYHMEAQPSRKRPHADDDGGGDGDADEFNRKPAAKRVRFPKGKKVKPEDEVVAAIVPPVAEDESKELQDTQVVAKARLKRRHQDKAELINEENGDLLDVVAAAEMQYEAILVAVYFSGTVATDNETLVDDGIQLEPFNLEQERKEGFFDKTGNYVEYVTNDIKDAWLDSIDADPNLAERHSMITDDVVEAADLSSEDIGNIKRRIADVLEPGETVLQALRRLKGSSNSRKEKMSAETKVVFDQLTEDAMKLMEDGDYNVYDEKQEVFQREAGSNSTYGQLYFFLAHLAVLSFLINFFPSSEGFEKLAQARGAGTSSSSGNKQPDSSGTADFILNGIQGADNTSNNEDDFDMFAENDDGNATVDPLAGTGPTQNDYVFDESSGYSYNQETGAYDELPAGEANTN >KVH93432 pep supercontig:CcrdV1:scaffold_836:98489:101946:-1 gene:Ccrd_004524 transcript:KVH93432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase RLGSVSSTARNWYCVERSITKSTFIKSNLIPVLFRSSTMNRLVVRSVLSRCLINNGRNFNMLQSPELAAAVVSNLRLYSQTQTVIDRDHDFGSFRWRRMMSSAAEPASKAPSVKQKEAGAEKKEGGGQVVPSSYWGISRSKVTREDGTEWPWNCFMPWDSYQSDLSIDLSKHHVPKNFVDRFAFRTVKLMRIPTDLFFKRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFQQSGGWIKALLEEAENERMHLMTMVELVQPKWYERFLVLTVQGIFFNLFFVVYILSPKLAHRIVGYLEEEAIHSYTEFLKDIESGAIENVAAPAIAIDYWRLPKNSTLKDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPIGYH >KVH93427 pep supercontig:CcrdV1:scaffold_836:63890:71277:-1 gene:Ccrd_004521 transcript:KVH93427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSHFGRSGPPDISDTYSLLILNISFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGREVDGREITVQFAKYGPNAERIHRGRIIEKSPRSRGRSRSRSPRRSSRYRDDHYHDRDYRRRSRSRSRNRYDRYHGRERGYRYRSRSRSYSPDYDRSRGRGHYDDERWSRSLSMESASPRRSLSPRRTPPRGRSPDSRSRSPSRSLSPNGRHLDSSSPSPRVTVNHMSWNSELISLVAILMLFSDVFPSSFGRTGSLHDFSIISLTVCRLFERMLFAAAGGEVDFYMSYSPGAWSIRYSSLTLVVDRWVVSELTRDHPYQ >KVH93431 pep supercontig:CcrdV1:scaffold_836:105043:111940:-1 gene:Ccrd_004525 transcript:KVH93431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MGATGSKLEKALGDQFPEGERYFGLENFVSLLMQISTQKKKTGVIAPKRFVQRVKKENELFRGYMHQDAHEFLNFLLNELVDILEKESHATKGTKEHSSPPEKITNGIHVAQANGVRKEPLVTWVHKNFQGILTNETKCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCRFRFKYMEQLGRYKKLSYRVVFPLELKLTNTMEDADCEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTSFGSAQEYASNTDHGYILLYESLATNNTS >KVH93430 pep supercontig:CcrdV1:scaffold_836:119602:121421:-1 gene:Ccrd_004526 transcript:KVH93430 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1084 MVGGSCLSPQKKNILIFLSMTLHFFLIFMVFVSSHRLFVMLRRFPIESRGRQKKMHEVNISTYLFDYYNFGACCVINITLGVGFVTGICCSCFLIRCIMVAIAAFDKNADIHRRHECGITGYGTCYSFVIRTTVLKELYLLWITFCFLLH >KVH93429 pep supercontig:CcrdV1:scaffold_836:133037:135649:-1 gene:Ccrd_004527 transcript:KVH93429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSLSTKGFIWFKYIADAQSFTGGVSLLNGWWDRINESQEWQEDTFYALCAAYGLVCLIALVQLVRIQMRVPEYGWTTQKVFHLMNFVVNGCKVLDMILLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPTYFIINGIVYFLQ >KVH93426 pep supercontig:CcrdV1:scaffold_836:73736:85565:1 gene:Ccrd_004522 transcript:KVH93426 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MERRIKQGYRMAEEEGSVSVSTGKGNGGLTSNDSVESFRWVFQDKDDSEIGDDGEEDLPWRNGMDSEDEDNADHRLIRTGPRIDSLDVETLEVSGAQRNDLEDVTFRKSIKLAFQTLGVVFGDVGTSPLYTFTVMFNKAPIDGNEDIIGALSLVIYSLILIPLIKYVLIVLWANDDGEGGTFALYSLICRHAKVSLLPNQLASDTRISSLKLKVPSAELERSLRIKERLEASLGLKKLLLILVLAGTSMVIADGVVTPAMSVMSAVRGLKVGLPMVSCFASTADHVVMISVAYLIVLFSVQKIGTSKIGLAIGPALFLWFCSLGSIGIYNLVKHDSSVLKAFNPVHIYYYFKRDSTKAWYSLGGCLLCATGSEAMFADLCYFSVRAVQLIFAFLVLPCLMLGYLGQAAYLMDNNGDAEQAFFSSIPNSTFWPVFLIANIAALIASRTMATATFTCVKQSIALGCFPRLKIIHTSRKFMGQIYIPVINWFLLAAALLLVCSIASTEEIGNAYGVAEIGVMMMSTILVILVMLLIWQINIILVLSFSVLFLGLEFVFFSSVLWGIKDGSWLILVFAITVFFIMYIWNYGSKLKYETEVKKKMSMDVMRQLGSHLGTVRAPGIGLLYNELAKGVPTIFGQFLATLPAVHSMIIFVCIKYVPVPFVPPSERFLFRRVCPRSYHIFRCIARYGYKDVHRENHQIFEQLLIESLENFIRREAKERSLESDGDDDTDSEDESSHVLIGPSGSVYSLGAPLLSKYRNKTSSKRPIISEASTSQDPSNSVQDKSLENELAVLQMAKESGVVYLLGHVSIRARKDSWFIKKLAINYFYAFLRKNCRRGIATLSVPHTRLMEVAITHMV >KVH93425 pep supercontig:CcrdV1:scaffold_836:94418:96493:1 gene:Ccrd_004523 transcript:KVH93425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSSGGNTHWCYQCQQPVRIRGRNPVCPYCSGEFVQELSEVIEAGQHDIGLTHAHGASDYGFMEPFPDPRNRIMDAFAELIRQRMAGRNPNFDIRRRSGLVPEHGVVPWFIFDGQAPARMSANDRFEFFFNGAPPGPRRSNVSNIFMGPGLQELIEQLTVNDSREGPPPATRSAIDSMPTIRITNRHLNTDSHCPVCKDKFELGSEARQMPCNHIYHSGCIEPWLVQHNSCPVCRLELPAQGTSRGSSSRSDGGSEENGGHSRGRRNPLSRQEKQLLHRLNRN >KVH93424 pep supercontig:CcrdV1:scaffold_836:164590:168715:1 gene:Ccrd_004528 transcript:KVH93424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGNLCFNSVSKLAGAQSSNGSGKGRGHQGTVRYGFTLVKGKANHPMEDYHVAKFIPYQGRELGLFAIYDGHLGDSVPAYLQRHLFSNILKEDEFWTDPNRSISKAYERTDQAILSHNPDLGRGGSTAVTAILINGRKLWVANVGDSRAVLSRRGHAIQMSVDHEPNTERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKNHLRSDPDIKHRDVDADTEILILASDGLWKVMTNQEAVDLAIKIRDPEKAAKQLAIEALNRESKDDISCIVVRFK >KVH93420 pep supercontig:CcrdV1:scaffold_836:4121:5446:1 gene:Ccrd_004516 transcript:KVH93420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKAQLVGIRERVSSDAGNNIINASSTQSKVSSNAIGGSVKRVATATNPNAEDAADLLRMCLQCGIPKTFSNARGMVCPVCGDRPVAETDEFSKKKGSMIKDKEKNKRMKGQSSHATWKSETEMQLRQQFD >KVH93422 pep supercontig:CcrdV1:scaffold_836:24847:27839:-1 gene:Ccrd_004518 transcript:KVH93422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKDDEELEMLLGEIPHAIHHHHHPSYANGLHHHHHVVNGNVHGNGSIAPQTVNRMRYGMYDDDDDDSSCSYHQNPCVSPATVFPSMFSNGHSSSDTSSSSSSSSSTLHPFHDPIPKPHIISRNPHSQLSESSYGKEVDSNLIDELDLSCNLGRIAHSCLQKPKSNMNHDPLRFHHTATGGSSSVRVHRGGLEGLAASEDSLIIQGEDLSRIRTIGFGGARGPNKRGAQSWLEPSCYEFMDPCVNGRTKVHLSCSSHLAEAQRYIFLMAKDQHGCRLLQKIFDDGNPQHVQIVFNEIIGHVVELMINPFGNYLMQKLLEVCNEEQRMHILMAITLEPRELVQISLNTHGTRVVQKLIETLKTRQQVKLVISALEPGFLALIKDLNGNHVIQRCLQCLSNEDNKYILELQIPSAVSKLTSQFEGNYVHLAMQKFSSHVVEKCLAVLDSQVRSTIIRELISATHFEQLLQDPHANYVIQTALRVSEGLLHNSLVNAIESHKAMSRNSPYSKRIFSHKLLRR >KVF89346 pep supercontig:CcrdV1:scaffold_8362:12133:14069:-1 gene:Ccrd_026636 transcript:KVF89346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGQDLILALKETIRYDIDGQEDMKLIYGTIVVEESGNQEKDVKVGDVVRHKGGKPLLKQQSHSVILSL >KVH88516 pep supercontig:CcrdV1:scaffold_837:48825:51968:-1 gene:Ccrd_026638 transcript:KVH88516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MWKLKIAQGNDPYLFSTNNFIGRQTWEFDPHAGTPAERQEVENARQYFLDRQKQGFQASSDLLMHIQENGIDVLGVPPVRLGENEDVKYEAVTTAVRKAVRLSRAIQAKDGHWPAEHTGPLFFTPPLLIVLYISGAIDTRLTREHKKEIKRYIYNHQREDGGWGFHIEGHSTMMGTALNYIALRILGEGPDDAVVSRGRKWILDHGGVVVIPAWGKIHLSVLGVYEWEGCNPIPPEFWLFPKAFPFHPGTHISYSKQETKQNDSINYCFQIHLYYVYIEWKKF >KVH88515 pep supercontig:CcrdV1:scaffold_837:46222:48779:-1 gene:Ccrd_026637 transcript:KVH88515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MWCYCRTTYMPISYLYGKKFHGPITDLVLQLRQEIYRTPYDEINWNKHRHNCCKEDLFYPHSTLQDLLWDGIHYFSEPFFKYWPFTKLREKALERAIKLIRYSAEESRYITMACVEKSFQMMVWWAENPNGDEFKHHLARVPDFLWLAEDGMKMQTFGSQLWNCTLVTQAIIATNMVEEYGDSLKRAHFYIKESQIKNDPAGDFRKMCRQIRKGAWTFTDQDHGWPVSDCTGEALKCLLLLSQMPDEISGEKVDNQRLYDTVNFLLYVQSPTSGGFAVWEPPVPQPYLQMLNPSELFADIVVEREHVECTTSVMQALIAFKRLHPGHRDKEIEISIAKAVSYLEGTQWQDDSAGKTYNNSESVRKGVKFLLSTQNEEGGWGESYKSCTMEVYTPLDGNRTNIVQTSWAMLGLMSAGQAERDPTPLHKAAKLLINSQMDDGDFPQQEFTGASMRNCMLHYPLYRNTFPLMALAEYRKLLWPKNSSSNLE >KVF88366 pep supercontig:CcrdV1:scaffold_8371:1965:9137:1 gene:Ccrd_026639 transcript:KVF88366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MMTIKGRVVLMKKNVLDINDLSASVLDRVDELLGKSVVIQLIGPHGSHLHSSEGSLRGKVGKPAILEDWITTITPLTVGESSYKVSFEWDEGMEVPGAFLVKNHHHSEFYLKTLTLEDVPGHGQLHFVCNSWVYPTKRYKKDRIFFANKSYLPSETPTYLRSYRDEELEILRGDGTGMLQEWDRVYDYAFYNDLGDPDKDVADVRPVLGGSSEYPYPRRCRTGRPTTKSDPKTESRLPLLMGLDIYVPRDERFGHLKLSDFLAYGLKSIVQFLVPEFEALCDSTRDEFDSFEDVMKLYEGGFKLPEGPLLDHIRQNIPLEMLKILLETDSDEDKSAWRTDEEFAREMSFPLRASSTFKSMALKANRLFILDHHDSLMPYLKRINATTNKIYATRTLLLLQNDGTLKPIVIELSLPHPEQDNLGAISEVYTPAENGVEGSVWHLAKAYVAVSDSGIHQLISHWQLSVLHPIHKLLYPHFRDTMNINAFARQILIYGGGILEKTVFPGKYSMELSSVLYKDWDYPYAVDGLEIWSAIKSWVEDYCKFYYKTDHMVKNDKELQSWWKELREEGHGDKKEEPWWPKMQSCQELIQICTTFIWVASALHASVNYGQYPYAGYLPNRPTLSRRFMPKPNTPEYDELKENPDKVFLKTITPQLQMLLGIALIEILSRHSSDEVYLGQRECPEWTMDAEPLKAFEKFGKKLKETEERIVQMNNDERLKNRVGPVKMPYTLLYPTSKEGITGRGIPNSTSM >KVI04332 pep supercontig:CcrdV1:scaffold_838:46461:52890:1 gene:Ccrd_017354 transcript:KVI04332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDSPSHSQHQQHDDADGFLNKDPNPTEPQEAESSPQQQPPHSETLETLDPPNPLQSAVDDQGAQNLQQPDEDTFFVLDGDDQQLEQKIDHQSGTTHATLPEPIILTDDTTNPSPPPAPPLTSPINPQRRPNKRKKATSRNLYKRKNSLKKVQNLIDILSPISFIPTKALAFEKHKEVLKRLGLLDFSKIEFDRSIRTDLVAQLIVNYDPKKRCSYVNDFRINVNRADLARALKLPATKQEKGSSNVEEVDLDSEVFSDEAIGFIEDFVSNWMLLHDDSWVMPTEIVNWTRCIKDGHPEKMDSASLIWNMVEKELNQRDKLVNCYYASHLQYLIRSQRVEIFEPEMEVEEVKDDDDGDGVADDVVADDGEENVKAEEKEEKEEKEEKEENEETGEKEEKVSVVQEHNIELTLGPDVEEIVHGNVTKDDELMIDAEECKEEEMEEQGNWLLDEKNDLGEHFLQRCQSSDLNGYEERKPEDVEDEQIEQVEDEDEEEEEAGERAEDGFDMEANDDSLDRDGLTDNFLQGVETSHIPFGSQAELRGMSSMDLFGSRDGSFMAHGGPSFFGNGGKRVMEPEEDIHHLDGNNKRLKTDEMWDQKQNDFGFCMEQMQQWMEKAKILYESKEQSYENSHYNQQLAMNQLQERLNMMEIYMKSKDEEIEKKHAEVFRLERELYLMGDLLAGYRKALNDTRFKFSEYRKRHALHEEPLYKDAGPGGLVLSTRELEKQRIKQEEDRARFLMLAKTLEEEFVYKLGMHHEKVSAMVDKLVSIENEVKKLKEMSTQRKETRKPWDEHELSAKPEENLKPRDEHESSAKPEENLKPQDEQVFSVEPEENLKHGVEQEFSAEPEETLKSPGEQRLSAEPEENQKPLDEQELSAEPEENQKSQDEVREKDTIMESVSPDTTIMESVSPDTDGPKEKGGGED >KVI04335 pep supercontig:CcrdV1:scaffold_838:142557:146053:1 gene:Ccrd_017357 transcript:KVI04335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MAAARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVGESTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSYENISKKGEELKKAIGAAVYLECSSKTQQNVKGVFDAAIRVVLQPPKLKKRRSKQRLCVYL >KVI04331 pep supercontig:CcrdV1:scaffold_838:28063:28809:1 gene:Ccrd_017353 transcript:KVI04331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSDGQDDEDSQFFPRGSSPDLNSRILLTAIISLSVVVVVVTMLHVYARCILRRQARRRSAIRDIGLIARIHSDEQPKRGLESSVITSLPILVFKGIDHHGRPDDAGVSQECAVCLSMFEDGHMIRVLPNCKHHFHAECIDKWLGSQSTCPICRHEVEPGPTILPLPREPGTGLGSVRWDPPSAPPIQHTGSISIAVEGTSDDQMVQSSEKASGTNSRLSSFRRMLSWERSSQHIRSRTEDDGIEDLER >KVI04336 pep supercontig:CcrdV1:scaffold_838:152799:171746:1 gene:Ccrd_017358 transcript:KVI04336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, III-V domain-containing protein MVGPLVRALWSTTRKSISASSSSSPSSYLKPFLHARAAGISRSFSVATATAASNSAAPGGALDPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERALDSNQLEKERGITIASKITSISWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALRYGLRPILLLNKVDRPSVSEERCDEVESLVFDLFANLGASEEQLDFPVLYASAKEGWASSTFTKNPPDDTKNMSQLLDAIVKYVPPPTANLDEPFQMLVSMMAKDDYVGRILTGRITSGVVRINDRVHGLHSTDSGVVKIEDGKVVKLRKNRGMISYDVDCAGAGDIISMAGLKSPSIGHTVANVEVMTALPTVELDPPTISMTFGVNDSPLAGLDGSQLTGGKIGDRLLAEAETNLAINVIPGLAESYEVQGRGELQLGILLENMRRENFELSVSPPKVMYKFENGVKLEPIEEVTIEVNEEHVGLVMEALSHRRAEVTDMGPVPGNFGRSRMTLTCPSRLVIIFC >KVI04333 pep supercontig:CcrdV1:scaffold_838:65751:80586:1 gene:Ccrd_017355 transcript:KVI04333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase-like, alpha/beta/alpha MAVTEWWKNTSCGGRRSAFMVFFLVLLLHCIAILLFTSGFLLTRTELPHHSQCSDVSQSPCGLPDRSPQGDHDGVTCWTKPAVDRLVIIVLDALRFDFVAPSTFFEEKKPWMDKLQVLHKFASESESAARIFKAIADPPTTSLQRLKGLTTGGLPTFIDIGNSFGAPAIVEDNLIHQLVQNGKRVVMMGDDTWTQLFPHHFNKSYPYPSFNVKDLDTVDNGCISHLIPTLYDDDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLQQYNEQLEKVVEVLQSQAGRGSLHENTLLLVMGDHGQTINGDHGGGSAEEVDTSIFAMSLRKPSITVPPEFDASSLHLQLDFAATVSALLGIPFPYGSIGRVNPMLYALVAGTWNLEDYDMSSSQSQLKLEEWMHNYAKVLCINSWQVKKYIDVYTASSVIGFSVDDLKHVADMYAQAVNWSDSLHDVASCKDEACRTSFDALKKQIDLYSNFLASVAELARTKFTEFNLRMMGIGFGILLISIFIHVLVIKRVDDITVFPSRETSSVSFGLIVSCTIVTARACSFLSNSYILEEGKMTNFLLASIGMLGLRHSIMTKKMIFEATAFVFLISILRFTIELGLSKPQEVLDFHSWMYVADLMPIVPLIILACLLYKSIACSSCNRLLKFVITGSIFSYILLALHWTLESNLSSMTPLIFDGIKGNFIPRAIYIMGIAQLLSLAVLQFFEEVEISKWGEMAVMKAVAILSVWSSTLMILSGKQGPWIALASVVGGWLISRLKGLEQDNKNATTGLLALYSSPVTQWSLLASCLFFCSGHWFDEFTIIPQAILLTIETYGFSHILPTLGLPILVAYKYSSNEAKQTRQSLIQLFQVYLIYGLIMAVTTTFTVLCVIIQKRHLMVWGLFAPKFVFDVVGLILTDALICVSSVFYFIQT >KVI04334 pep supercontig:CcrdV1:scaffold_838:82297:85292:-1 gene:Ccrd_017356 transcript:KVI04334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MAHLPPKVPQNWSENYQHHQKPLSTDHLPPPTAATAAAATQMDPSWVDDFLDFSSTKRGSHRRSVSDSAAFLEIPMLGEDNCRRSSAPGSRSGNGGSAATEFDRFDEEQFMSMFIDDETATGPTVSCSNPSSPSDHNSMNEQQQMEEEESSWKSETQFNPHATTMDNSDKIFDPKRIKRILANRQSAQRSRVRKLQYISELERSVNSLQAEVSVLSPRVAYLDHQRLVLNVDNSVLRQRIAALSQDKLFKDAHQEALKGEIERLKHMYYQQKKMENGSNGATRHDSPISDHSNAPQEQLMIN >KVF87089 pep supercontig:CcrdV1:scaffold_8389:11780:13123:-1 gene:Ccrd_026640 transcript:KVF87089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase, C-terminal MHWAFLNGGESDEIILSAGALGSPQLLMLSGIGPREQLEALKIKVVLDQPLVGKNMSDNPLNGFFVPALVDVEASLVQNVGITDFEAFANYKYPNMTTQDILDLNARLPYNQNPNNLTFSTFEQYCKDSVXTXWHYHGGCQVGRVIDNEYKVIGVEGLRVMDGSTFLNSPGTNPQASLMMLGRYMGVTMLAQRFAVEKAYADM >KVI04673 pep supercontig:CcrdV1:scaffold_839:88166:95087:1 gene:Ccrd_017009 transcript:KVI04673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPWVEETENPSPTQWLDRFGSALDGNDLNTRQFSNSIFGPNDHIHDVATIRPSDYNRPRKDEPSWGNTPNARKQEITKSRVEIRQPAVHKLVNQIRSSPFRNRTSLDSQSGSAFYSSSAVSIKCNGKNFQPLPPCSDAKNTVVAMAMAMAMAHPLIVSNQNFGSETTRFDLFNLIVKRTGNKRIMSQLGSSHLSH >KVI04671 pep supercontig:CcrdV1:scaffold_839:89638:95163:-1 gene:Ccrd_017010 transcript:KVI04671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MAQMAATKLTHNSFVSGSLNNQIEKIKPSGFASKVLIANNQRMSHSHSHSHSHHRVLSVTARRQGLEVLPVTPEDVPKVIGEQNYQSREVLQQGDTSVRMWSRPVVKRKTKIVCTIGPSTNSKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPVNLASGQEFTFTIKRGVGTADCVSVNYDDFVNDVEAGDMLLVDGGMMSLLVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNQVDFYAVSFVKDAEVIHELKSYLKSCGANIQVIPKIESADSIPNLQSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVSLRTEASIVGGVTPSNLGQAFKNHMSEMFAYHATSMSNTLGTSIVVFTRTGFMAVLLSHYRPTGTIFAFTNEKRVQQKLALYQGVCPIYMEFSNDAEETFADALTTLKNEGMMKEGDQVALVQSGRQPIWRFQSTHNIQVRKVM >KVI04676 pep supercontig:CcrdV1:scaffold_839:69858:71739:-1 gene:Ccrd_017007 transcript:KVI04676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVNINNDFLFVALVFFATLFSLVATEPIQDKKTLLHFIQNIPHSRVINWHINSSACSNWTGVTCDHHRSSIISIRLPALSLRGPIPPNTLGRLSNLQILSLRSNGLSGPFPSDLVNLRNLTALHLQCNGFYGPLPSDLSVWNNLSLLNLSNNGFNGTISRSISSLTHLTALSLANNSLSGEIPDFSTASLRLLDLSSNNLTGTVPHSLKRFPSSAFLGNNLAPESSLLPTFSPTGQAPRKSSKLGEPAILGIVIGGCVLALGLLALLMVLRYSKKEGRNKDLQGSDMKEKGTEKLRSRSQNGNGNLVFFEGSNLAFNLEDLFRASAEVLGKGSFGTTYKASLEDSSAVVVKRLKDVNAGRRDFEQQMEIVGSIRHDNVVPLRAYYYSKDEKLMVYDYFNQGSVSSLLHAKRGASRTPLDWESRLRIAVGAARGITHIHTQASGKLVHGNIKASNIFLNSHRYGCVSDLGLAVMMSPMVAPVMRTGGYQSPEIIDTRKVYQASDVYSFGVLLFELLTGKSPTHATCGNEVVHLVRWVQSVVQEEWTAEVFDVELLRYPDIEEEMVEMLQIGMQCVTKSPEQRPKMAEVVKLVENIRTGARRL >KVI04675 pep supercontig:CcrdV1:scaffold_839:64902:78515:1 gene:Ccrd_017006 transcript:KVI04675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin tail 2 MSSFPRRKVQIEYKLSDTGDEDKAKVDLGYKEGSDEPALFDGDVRKDHDPFSGGKVRRKASMARTCRGDYIDVRSKPYLMKILEKQGDRGVIFSDKVLKFTGLGKMKRRILLITDFAIYIVDPEARALKRRIALAAVERLCLSELSDNFFAVIVPTEYDLLMASTRKTEIVTLLVESMKTTSNYELEVHLSNSFEYNASSDLVKEIQFEEVEDGTRTRIVNK >KVI04670 pep supercontig:CcrdV1:scaffold_839:139591:155560:1 gene:Ccrd_017011 transcript:KVI04670 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease MFVTASPCCRALSLGPPLHSTAGVKNKLSKPYVSFKFKRTTRLLKVHSSKTSRNNWRFSCFKHDESSLEYSVSETSEDVLPGEMVEPERKQPNVKHDWISNIRKVADATFSSKPWTVPWTAKTILQVMLFWIASFWLVGSWIIPFVAHMAGFRKESLTYRGQALYSLLTDVVEGIAGIAILKLCLARFRPLSSDWFRFSFEGNWQFDVGLGCLMFPLVNRLSQVNLNLLPMPPPTPVTISNVEQSIVARDPMAMVLYAIVVSVCAPIWEEIVFRGFLLPSLTRYMPVWCSILLSSLAFALAHFNLQRMLPLVFLGIVMGTLFARSRNLLPPMLLHSLWNAFVFVDLMR >KVI04669 pep supercontig:CcrdV1:scaffold_839:160923:162258:1 gene:Ccrd_017012 transcript:KVI04669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSESLSIESFSYSWLVNLKPSFESPKGSFRFSFDESNFIEMDPKLSASKRFLVFHQDFDFDLPTLQPSVHADELISNGFLVPLFLKPLDVGSNSTPASPVSSSAVQENVCSYRRSRSLSFRRYKRLPKRVVQKYLNLVRLIWLRMRRGRCDSSDLQRTKNWEFSGTGKTTFSSESYPVDNWRRSCDSETTKIWGFAGPSSPRTNEAYSSDNWPQSCEVYAADNWRRSCDSENSIYEAVLHCKKTIGMRKP >KVI04674 pep supercontig:CcrdV1:scaffold_839:11602:19473:1 gene:Ccrd_017005 transcript:KVI04674 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase ITVVGDGGNDEGDELIEKELSWHSHHIQTSAKSTLLPAMAAKNTILSLLFGLVLLGVARLNVINALSSNYYDQTCPQAESTVTSVVKKAMLNNRTVTTALLRMHFHDCFIRGCDASVLLNSTGKNKAEKDGPPNISLHAFYVIDKAKKAVKVVCPKTVSCTDILALAARDAVTLVSNVLLDKLYVTHFMYYDVKIWNVMECSLEGRHGMCRKEERMEEFPRQQKHDSYQLQLSTFPSCNRVFSQRGLSMEDLVALSGGHTLGFAHFSSFQNRIHNFASKQSIDPTLRSSFAASLKRSIFSSDQSLVTMANTKALVSKFANSRQEFEKAFVKSMIKMSSINGGQEKPQQLLLLYIKHYYNKDFSSPDLLTPSCMNIYPYNTLSFSLQIPKLSLHLLHNIQESPKSTLRPTMAAKNTIFSILFGLVLLGIARLNVINALSSNYYDQTCPQAESAITSVVKKAMLNDRTVPAALLRMHFHDCFIRGCDASVLLNSTGKNKAEKDGPPNISLHAFYVIDNAKKAVEASGGPTWDVPKGRKDGRISKATETRQLPAPTFNISQLQQSFSQRGLSMKDLVALSGGHTLGFAHCSSFQNRIHNFASKQSVDPTLKSSFAASLKRVCPAQNTVKNAGANLDSTPTTFDNRYYKLLLQGKSIFSSDQSLVTMASTKAMVSKFASSRHEFEKAFVKSMIKMSSISGGGQEVRIEVYYFKCNCQPLHLEFSAREET >KVI04672 pep supercontig:CcrdV1:scaffold_839:82609:87805:1 gene:Ccrd_017008 transcript:KVI04672 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF659 MVVREKDVCWEYAEKLDGNKVKCKFCLRVLNGGISRLKHHLSRLPSKGVNPCSKVRDDVTDKVRIILASKEDGKEVIPTKKRKQPEPRSPVSNSITTNRSLVTLETVSPIAKIFPNITQTGPSHVSDQENAERSIALFFFENKLDFSIARSSSYQLMIDSVAKCGNGFKGPSFEALKTNWLEMIKSEVSLQSKEIEKEWTTTGCTIIVETWTDNKSRALINFLISSPSSTFFHKSVDASSYFKNTKFLADLFDSVIQDFGPENIVQIILDNTLNCTSIVNHILQTYGTIFVSPCALQCLNAILKEFSKIDWVNRCILQAQVISKFIYNHSSLLDMMKKFTVSEEIIKTGITKYVSHFLSLQSILKQRSRLKHMFNSPEFTNNPAYANKPQSMTCIGILDDNEFWRAVEECVADR >KVI04668 pep supercontig:CcrdV1:scaffold_839:161276:162583:-1 gene:Ccrd_017013 transcript:KVI04668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRECNPDGRIKVAINTGCNFQAYSSPAQPSFQPKPFPNGFLLFRIEEQLHKSNSQNRRIFANYLQHRLRRIVANDGPANPQILVVSESHDLRQLSTGYDSLENVVTRITPSSSHSEPNQPNQIQILLNDSFRIKNGFCDKNRRFLGRLTTTLVKLESN >KVF86496 pep supercontig:CcrdV1:scaffold_8399:8479:9229:1 gene:Ccrd_026641 transcript:KVF86496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTFNKDDDVIEATPFEVITTLRDVLKTSSLWRDHVQTYNQ >KVH99034 pep supercontig:CcrdV1:scaffold_84:280953:282830:1 gene:Ccrd_022735 transcript:KVH99034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MLKNDLYIEAADIAYTFGLEDKCRPQTILTTFLHNKINDGQHGSSAEAKQLSYLKSVRKCLEAHNVDLSKPLADYKINERIHQLEKEINELDSKSVEKNPSRKRKVKEAESSRNEKQHETKRTRLSSHKNLPQEPKPVNYYAKSPYNQLTRSGSSSYFDRKLPSDCIGAYPTSSVLSAPGLSENVASSVAIESESGLAGGGMLIGSYGSGLAVESGGGLSSCYGGTYPDQVMNGRPYGWHEDSLLVERYLGQPYSRIGQTYDLQPSTSGLIGLYGRQLGRPSLETFPGLPSSSGSQGFSGQGAPGSDLYRFADTVVQNESYRGSYASGGGTIRSPHLY >KVH99021 pep supercontig:CcrdV1:scaffold_84:3019:4247:-1 gene:Ccrd_022712 transcript:KVH99021 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF862, eukaryotic MLCGGRSAKRGKDGSAPVYLNVYDLTPMNGYAYWLGLGVYHSGVQVHGVEYAFGSHEHPTTGIFEGKPKQCEGFAFRKQILIGWTEKNRREVKGVMTELASDYKGVSYNLITKNCNHFCNDACVRLTGNTIPSWINRLARIGLLCNCIIPASLSSIKVGIEDNKVYNERATRKKLKSRSRTFASCSSSSLSSEKPPLASPPVARIRSLKGKTTLPPSSPSILHSSSTSSSSSS >KVH99027 pep supercontig:CcrdV1:scaffold_84:230526:243928:-1 gene:Ccrd_022732 transcript:KVH99027 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MAFYCNFNSTDLSSFTLCKSPKFNCRTSSLFPKFQSIHKLSPFVVRSIATSSDSNGDGGTSPEVESKKKRLSEQSSWEAKDAEGNDYLYRLGKESENMNIAVGARAGVIDDLFIGDFLGKDSDIVFDYRQKVTRSFEYLQGDYYIAPLFMYFFNHKFLSPHSFLVAYVLTCAVVHIVKNYIAHLLNIKVPLILGIWGGKGQGKTFQTELIFQAMGILPVIMSAGELESERAGEPGRLIRDRYRTASQVIQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRIPIIVTGNDFSTLYAPLVRDGRMDKFYWQPNLDDIINIVNRMYEKDGISKNDVISLVKTFPNQGMYTFFAIFYWHAASSQSAYFITTSCIRYRHTWVHDIGGPDNLGSKLLKRKKDEKLPVFVPPKQTVEALLESGYSLIKEQQLIMESEYGAEAK >KVH99041 pep supercontig:CcrdV1:scaffold_84:137240:142518:-1 gene:Ccrd_022725 transcript:KVH99041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMMKTTKVSPESQFQQRGKMAPVKREMAEEFIQYDEQYSHLNKRSKFNPLDEPSPLGLRLRKSPSLLDLIQMRLAEGNASSKIGADGKKGTKIIASSAASDKLKASNFPASLLKIGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIMALKATRHYLQCPQGLLGKHFEKLIQCDPRLNFLSQQAEIELESPYFEPRTSSVFDEPNESNEYDLSREGPAIFDLRRTASPSGGQSSSSRSELHDPAVMDTSAIEEIRRAGTEGPKGLDLWDQIKVPGIQPSMSMSDLVNHIENRITGQRTSGNHHPLSREEQESLEILEDISRCLFNDAQYGTSTSDENSVMSKVNSLCCLLQKDPTTFQDLEGKTVSDNNRISYETKPVSENPVIEGENDDGKKVSGMSRKDSVGELLLNLPRIASLPRFFYNM >KVH99020 pep supercontig:CcrdV1:scaffold_84:72788:80293:1 gene:Ccrd_022719 transcript:KVH99020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MAATTPSSLLPKSIPPFFTNPTTLSSTRFPNLSFPTNPTDRQPHSTTRTPTVRPNAKKRNEWLDPFDTGEDPDMEYGSQYSEGKQSEDPRPPENPDNPYGFLKFPMGFMPEVASLGLKIRGDVRRCCCVISGGVYENLLFFPTIQLLKDRYPGVQVDVLSSARGKQTYEMNKNVRWADVIDPDDDFPEPAEYLDLLGLIKNRYYDMILSTKLAGVGHASFLFMSTARERVGYIYPNVNSAGAGLFMSETFTPDRLNLSEGGYHMYHQMTDWLARPGRGVPRQTVPPLKVSMSRKLKDTVAAKYKDAGVEKGKYVVIHGIQSDSKASMQSRGDVDSLLPLHIWAEITKSIRGVKPVYVIPHEKERENVEEIIGYDANIVFITTPGQLAALINDSVGVISTNTAAVQIARAREKPCVALFGSEEKAKLFLPNLEDNNKFIIASSKTGKLVDIDTDAVKNAVQIFEMPLAIA >KVH99055 pep supercontig:CcrdV1:scaffold_84:356886:357314:1 gene:Ccrd_022741 transcript:KVH99055 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MPPEDYGRQLEDVDNLLEELIDATMTKFLEQVKDGTWTSEGWSQTNTDYSLSKIAVNAFTEVMAKKLSEHPEGGKIYINSYYPSMVKTAMTGWAGQITPEEGADTAVWLSLLPDQAVNGKFFAERRDTFFKTVFSAGYAELV >KVH99053 pep supercontig:CcrdV1:scaffold_84:372045:373099:1 gene:Ccrd_022743 transcript:KVH99053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYHRSRSQTLPPSPSMTKLHSKLARIISRQNQLKLSFNHLKSQIKIGLLEAEDVFSSLAVPLMKLVGLKSAEMAEEGRSSTIFMKIRSQSQGKCEDMIRVDSHMSLSSAEREYDIHKLELISSFTGPFIDNRGRL >KVH99042 pep supercontig:CcrdV1:scaffold_84:128605:129897:1 gene:Ccrd_022724 transcript:KVH99042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MKIAVRESTMVRPAEETPMIKLWNSNVDLVVPNFHTPSVYFYRPTGAGNFFDPTVMKDALSRVLVPFYPMGGRLSRDEDGRIEIDCRGQGVLFVEAESDGMIDDFGDFAPTLELRKLIPAVDYSLGIESYSLLVLQVTYFKCGGVSLGVGMQHHAADGASGLHFINAWSDMARGLDLTLPPFIDRTLLQARDPPVPVFEHVEYQPAPPMKLAPKSASDETVVSMFKLTRDQLNGLKAKSKEDGNTINYSSYEMLSGHVWRSVCKARGLKDDQDTKLYIATDGRARLQPALPAGYFGNVIFTTTPIAVAGELQSKPTWYAASKIHDALAKMNNDYLKSALDYLELQPDLKALVRGAHTFKCPNLGITSWARLPIHDADFGWGRPIFMGPGGIAFEGLSFVLPSPINDGSLSIAISLQSEHMKLFSKFLYDI >KVH99043 pep supercontig:CcrdV1:scaffold_84:168521:169942:-1 gene:Ccrd_022727 transcript:KVH99043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNVCLCNLCLVFGIVPSNHKLLNREIPGRPLLLYLVMYATALKIPFFCAIVFD >KVH99026 pep supercontig:CcrdV1:scaffold_84:49463:54719:-1 gene:Ccrd_022717 transcript:KVH99026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRNHKARTYTHTHTLSLCFRRCILRRQLVYLAGGSDLRTTPVVAPPSPSGQQSNNNLSRRRTASSSSSSSRPSPSGPTRIIYRETLRPSSSSESDLDLPVWQRTWFIVLLFAMALSFFGLAIVLFLTLDSDYTSSSVYAANSEGVEITYGSVIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNIVRPMLETSVKQGDNIKGGTIIRLQHMKTRKWLHSHLHASPISGNLEVSCFGDEGNSDTGDYWRVEIEGSGKTWRQDQRIRLRHVCGVREKRADNVWLAAEGIYFPTAESKATM >KVH99030 pep supercontig:CcrdV1:scaffold_84:211353:218754:-1 gene:Ccrd_022731 transcript:KVH99030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKKYPIGAEHYVLYEEIGQGVSASVFRAKCITNNEIVAIKVLDFERGNCDLNNVSREAQTMILVDHPNVLKSHCSFVNDHNLWVVMPFMAGGSCLHMLKASHPEGFEEAVIATILREVLKALEYLHHHGHIHRDVKAGNILISDQGAIKLGDFGVSACLFDSGDRQRVRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSKSFKQMTASCLVKDPSKRPTAKKLLKHHFFKQARSNDFIVRKLLEGLPTIGDRLQALKKKEEDMLAQKKIPDGQKEEMSQVGFREHNEEYVLCFIWWSWAVRCCATKCLNEYKRGISGWNFDLEDVKAQASLIHDEDGVSDKYQLGSMNSFPGTGASERKLLHQLSSLSEASETTEVEGAAPNIYSNPSFNIGKYEKSEDELSIASSTNGQLVSQNSSPRADNLGEFDLSGKRNMEINGKPFDGIASHSHQRAASSGCIASLDSEKLQSQFAKAKSNSFSNNTDETANGDEQDEKAKCPVVQQKGRFKVTSESVDLDKAAAAPTPILQKSQSM >KVH99037 pep supercontig:CcrdV1:scaffold_84:509938:513593:-1 gene:Ccrd_022750 transcript:KVH99037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MLSRLNNTSIMWMNDDDDDDERDNNQVNRNEESHEINSISAFKSILEVEEDNNNNWFMQHQNRHESTLSFSQNNILLQPVDSSSSCSPTSASVFQNLDPTHVHYFLPPKPTIASILNPISNNPLDGTFDLGCGTGFTGILPGFCDMGSQTQNGVSNLSSDPQFSATHLLQLAGNGFGSPAFPGFDDGSTGTSLVLNRSKLLKPLDNFASTGAPPTLFQKRASLRRNLSDINSGDAGGGGGGSLGVLGEGMNEKKRKSSGGGSWDDVDNLSLDGSGLNYDSDDFTVNTTGNFEENGIKISGGNSSNGNSTVTAAGGGKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLNHELESTPSGSSLTPTAAAAATTMAAAGFYPLTPTPTSLPSRIKEELCPSAIPSPTGQPARREGRAVNIHMFCSRRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDQCKEGQDVHPDQVKAVLLESAGYHGVA >KVH99045 pep supercontig:CcrdV1:scaffold_84:86581:89317:1 gene:Ccrd_022721 transcript:KVH99045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTNNGGGGFRAKMEHYLYSGEKKHVMAGMAIIGVIFGVPWYLMNRGTKHQSHQDYLEKADRARNARLHSAPSAPK >KVH99047 pep supercontig:CcrdV1:scaffold_84:104209:114656:-1 gene:Ccrd_022723 transcript:KVH99047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGIYLSSPKTDKTSEDGENEKLRYGVSSMQGWRTSMEDAHAALLDLDNSTSFFGVYDGHGGQAVSKFCAKMDEMMCGQRGWRELAILGNKMDQFSGMIEGLIWSPRGSDLKGLNDNWSTEEGPHSNYDGPTCGSTACVAIIRNNQLVVANAGDSRCVISRKGQAYNLSKDHKPDLEAERERIYKAGGYIHYGRVNGSLNLARAIGDMELKRDKTLPPEKQILTANPDINTVELCEDDDFLVLACDGIWDCMSSQQLVDFVREQLKTIAECCLSVDFDHSGAALNCTLCFGSGNLNLVVFRIENGDNPNAGCVEFVTESYLIIFTFIGTIVVCIQNGVLILLIGT >KVH99051 pep supercontig:CcrdV1:scaffold_84:389023:402992:1 gene:Ccrd_022745 transcript:KVH99051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFSGGGHGQFAVGGTSSVPDTHGSNDAVDSFLKSRGFPGPFSQIELSLSASNLRDRDVLSKSDPMAVVYTKGKDGSLQEVGRTEVIQNSLNPQWITKVKITYYFEMVQKLLFHVYDVDTQLHGPEVKTLKLDDQQYLGEGTCTLSQIVADPKRSRTLDLVSIAESTESSSRKLGQLTVHAEEETVSKTTAELIFKCTDLENKDFFSKSDPFLVISKYVESGATVPICRTEVLKNDLKPVWKPLFLNISQVGSKDSPVVIECFNFNSNGKHDLLGKVQKSLAELEKLSSSKQGENLFLPITIGKDPQTKAIQEVGDVLQYYDYDRQFPSWGFGARPIDGPVSHCFNLNGSSTNPMVAGIHGIMTAYERALSNVSLAGPTLFGPVITSAATIASQSLAANEHKYFVLLIITDGVITDLQETKDALVKASDLPLSILIVGVGGEVSVVQSLLAELPSQFLTYMRNRGIQPKPHPPTTL >KVH99019 pep supercontig:CcrdV1:scaffold_84:56387:67014:-1 gene:Ccrd_022718 transcript:KVH99019 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MSEPPRVVKLVMDCADSESRPVLGPAGNKTRSVVELRKPAAKPKSKVGKPGEVKKSPSPVTVIANSALHSKAITPPPPSILRQKDSKLLKSNLPMNASCSSDASSDSSHSRASTGKISRRSVTPTPFRGKQSAVSRTGKLENALKTVSKTGKVESFPRGEKLENVVVETDSSLEASSDASPGRKRCAWVTANTDPCYAAFHDEEWGVPVHDDKKLFELLSLSTALAELTWPTILNKRHLFREVFHEFDPVAVSKLNDKKIAVPGNPATSLLSEVKLRGIIENARQVCKIADQFGSFDKYIWGFINHKPIVNKFRYSRQVPIKTSKAESISKDLVKRGFRGVGPTVVYSFLQVGGLTNDHLISCFRFSECVQEKSENGSGSGSGLGSDDGNGLGRVMDELSLSGE >KVH99052 pep supercontig:CcrdV1:scaffold_84:373662:376889:1 gene:Ccrd_022744 transcript:KVH99052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKELIQKQKLQLIQLMQLLKQVENCVNSSQKSMFQTIDDHRDYIHTIFQKAVTYNISIFQQSSHDGSALTITIKLLKAIYDRVSAVLNSVEGGVDNLVNKLTEQMCMPMTEYVKSFKAEMTIGMCPRLLVALEDMREAAKDGRLELEQTRKKVRVAEERKIEALNMLKESEDRIKNMKQHLGFFTDDKKESAGHYTRNKLLAPQEDQTKDDKLLWELLKKKRTCQRPESPFGPDELLPVGTSTKHQKPTRGKPSMVTQRTITRSYTKNKMHSLDALLPLNSSPSVTTKRLARKHVAR >KVH99049 pep supercontig:CcrdV1:scaffold_84:435145:444801:-1 gene:Ccrd_022747 transcript:KVH99049 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MASTASGDEKTDREEQEEALVALIEHRAKEVDHLRTRVAYYKSELDESEKRLEETQRKLARLRSRGNAVSSTKFSENGIKVKEERRSCSPLKISEHSLPNHADRGNANSYKSYTPDHPRIAKHEQRPQLVIPAVDPKQSALTKMAETSSKPSSDFSSRPSTSVPTYGKSMVKEKGYRIPPEQEEQREHRDIIQMVNSSSSPRTINCRSSNHISCQHKRKLRSLALCPTNDQLFVTRSSASLLSTADCASTKHRRWPEDIAWHPHGGSLFSVYSADGGDSQVAVLNLNKGKEKKRVTFLEDKPHVKGIINNIVFMPWEDVCFVTGGSDHAVVQWTEKNGEDSWKPKVLHRSMHSSAVMGVAGMQQKQMVVSVGADKRIIGFDLQTGRADYKHQIESKCMSVLPNPCDFNLFMVQTRLRRTEIHEFGWKQESSESQSALINQTWSPDGFYLTSGSADPMIHIFDIRFNANKPSQSIRAHQKRVFKASWHHSLPLLISISSDLNIGLHNIV >KVH99029 pep supercontig:CcrdV1:scaffold_84:200617:204604:1 gene:Ccrd_022730 transcript:KVH99029 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MENFEDGAREGLLSVNVNINENGGFNGSEIKIQVKDLTRSLDKGVTILQNVNLDIPKGKIMGIIGPSGSGKSTLLRALNRLWEPPSGTVFLDGNDITGLDVLELRRKVGMLFQLPVLFQDNIRYGPQLKGKKLKDEQVYKLLTIADLDSSFFDKQGSELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNVEDVLVKLKSRGMTIAMVSHSIKQIQRIADVVCLLVGGEIVEVLRSEDLSQAKHPMAIRFLQLSS >KVH99056 pep supercontig:CcrdV1:scaffold_84:350011:354950:-1 gene:Ccrd_022740 transcript:KVH99056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin/calnexin MEESKRRMCTLQLCCFLLIGCLISQIYASSDAIFYESFDESFEGSWIASEKEDYSGVWKHSKSEGHDDYGLLVSEKARKYAIVKELEKPVELKDGTIVLQFEVRLQNGLECGGAYLKYLRPQEAGWTAKGFDNESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKFPPSVPSDKLTHVYTAILKPDNEVRILVDGEEKKKANFLSSEDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDATKPDDWDEDAPMEILDEEAEKPEGWLEDEPEEIDDPEAVKPEDWDDEEDGEWEAPKIDNPKCEAASGCGEWRRPLKRNPAYKGKWHAPLIDNPAYKGIWKPQEIPNPSYFELETPNFEPIAAIGIEIWTMQDGILFDNILIASDEKTAETIRETTWKPKFKVEEEKQKAEEPAGFDGLKGIQKTVFDLLYKVADLPFLGDHKIKVLELIEKAETQPNITIGVIISIVVVILSVLFKLLFGGKKAGKVNNVAAAAAAAAKKEEGEGGSSTQTVGEEGEEEEEKKEEDAAAAPRKRSTRRDN >KVH99023 pep supercontig:CcrdV1:scaffold_84:24455:27699:1 gene:Ccrd_022714 transcript:KVH99023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLLKYDWNHLISFVFHCHLLHMFRKCNPGASIAPSTTLLSNTLPCMAVAASGGLTWVLDHLLKLCCGGLLGSLPSSSGSFTAV >KVH99038 pep supercontig:CcrdV1:scaffold_84:534080:538980:1 gene:Ccrd_022751 transcript:KVH99038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MENSRQSLIPSFLYSSFKNGFGFEHLTENQRSLSDSSPSSVSASSPVARSGFVVPAPSEPGRIKMFSPAYYAACTAGGTLACGITHMAVTPLDVVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFYKGWAPTFLGYSLQGAGKYGFYEFFKKTYSDIAGPEYATKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKIVKAEGVAGLFKGLDTMMKFASFETIVEMMYKYAIPTPKDQCSKSTQLGVSFAGGYVAGIFCAVVSHPADNLAVKKLGVWGLFTRGLPLRIVMIGTLTGVQWGIYDSFKVYVGLPTTGGAPPPAATE >KVH99031 pep supercontig:CcrdV1:scaffold_84:286697:291338:1 gene:Ccrd_022736 transcript:KVH99031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MVRLTADLIWKSPHFFNALRERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENFPNLNRLGTLLFNNNRITRINPNIGEFLPKLHSLVLTNNRLVNLVEIDPLASLPKLQFLSLLDNNITKKPNYRLYVIHKLKALRLLDFKKVKQKERIEAASLFASEEAEEEAKKESVKTFVPGEVPTEEPKEEEPSKPVGPTPEQIIAIKALKSGQVPADLNIGNTNKKANNENTTNDMETDGDKEAPVDASKDASSKKETDGPADMEQE >KVH99028 pep supercontig:CcrdV1:scaffold_84:246263:251282:-1 gene:Ccrd_022733 transcript:KVH99028 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein PTTEPPPTSTTHISVFPHERYPTTIHKFQKPVFSLPKTQIYFPMEAKSETIFRSRLPDIYIPKHLSLHSYCFENISAFSDRPCLIDGATGDVYTYADVELTSRKVGSALHKHGINKGDVIMILLPNSPEFVYSFLGASFIGAVSTMANPFFTSAEIIKQAKASAAKIIITQSEHAAKVKDFASENSIKLVCIDSAPEECLHFSELISGDEEELPEVEISSDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLWIHSEDVLMCVLPLFHIYSLNSILLCGLRAGAAILIMQKFHIVPFLELIQKYKVTIGPVVPPIVLTIAKNEEVVDKYDLTSIRTVMSGAAPLGKELENTVRMKFPNAKLGQGYGMTEAGPVLAMCLAFAKEPFEIKSGACGTVVRNAEMKIVDPDTGVSLPRNQRGEICIRGDQIMKDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLTHPDISDAAVVPMKHEDAGEVPVAFVVKSNGTTVTEVEVKQFISKQVVFYKKLYRVFFIDKVPKSPSGKILRKDLRAKLAAGVPN >KVH99057 pep supercontig:CcrdV1:scaffold_84:494022:499677:1 gene:Ccrd_022749 transcript:KVH99057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MTSSEVSSKGNRDTFSSSCFSDRNDGAADSRSGLAISGAVDADLALYKDLWRACAGPLVTVPRDGELVFYFPQGHIEQVEASTNQVAEQQMPMYNLPAKILCRVVNVQLKAEPDTDEVFAQITLMPESNQDENAVKKEPAPPPDSQFRVHSFCKTLTASDTSTHGGFSVLRRHADECLPQLDMSRQPPTQELVAKDLHGAEWRFRHIFRGENGDLRVGVRRAMRQQANIPSSVISSHSMHLGVLATAWHAIKTGTMFTIYYKPRTSPTEFIVPYDQYMESIKNNYSIGMRFNMRFEGEEAPEQRFTGTIVGIEERDPKRWPESKWKCLKVRWDETSTIPRPDRVSPWKIEPALVPPAINPLPVHRQKRPRSSMLPSSPDSSVLTREGSSKIPATDPSPASAFSRVLQGQELSTLRGTFVDSNESDSCDRPIHWTPSVIDDEKADAVNASRRGRTGESSFADLLSGFGSNNCSANEFSTPSNEGKFNLHHSNPWPIMPSSLSLSLLGGGMKNGLQDVRYSAFDQYSVHPNQRGGRMPPPLSSYLQMSSSHLSEVMPKSQSVKQNEIMRPKDGNCKIFGVPLAGNTNISDAAAAAAVGQVQSQRYLTFDCDQKFEQSKRLKVADHSAVGKEQHLQAKVQGVSTRSCTKVHKQGIALGRSVDLTKYNNYDELIGELDELFEFNGELKRPGNRNWLVVYTDDEGDMMLVGDDPWPEFCGMVRKMFIYTREEVQRMNPGTLNDDNSSVAEGMDEKDTRKNENA >KVH99036 pep supercontig:CcrdV1:scaffold_84:341618:348624:1 gene:Ccrd_022739 transcript:KVH99036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MLEIEKGAKELNIPVYKANRKIVATTNGGLKYPSPLVFNSEWKHEEPHDDAKRFNYPSVFGVTRPENDEDIAFMTILELGHLIQTEKITSEELVGIFLKRLKRYNPVLEAVITITEDLAYKQAKQADQLLAQGVYLGAVLIGKLVTGSLAYDDIWFGGRTRNPWNIEEFTTGSSAGPAACTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGAVGRTGVLSLSESLDKLGPLCRSAVDCAIVLDVIRGKDPDDLSSRKILLDDPFSVDITKLTVVDVLKSQGVKMVPFKLGYTVDSAQGILNFTMDVDMLAHFDTWQRSGEDDEESFTVDAFVGNATDWEKVCVSNLVGMPVMVVPVGFEMIPDPPTEKTRRRSTALALTMAYQTITNHHKRRPPIDDLGLDDKIPNPPKAVIPPRKLGG >KVH99046 pep supercontig:CcrdV1:scaffold_84:79818:84927:-1 gene:Ccrd_022720 transcript:KVH99046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine N-methyltransferase/Methylene-fatty-acyl-phospholipid synthase MGILACFGVVTPFPFYYWLWNHPQTWVNLCGKGRDPCKIMAMVSHFLKLVQFLSLFSVSTFSWPPPLYFWPLFLLGQFLNLRVYQLLGESGTYYGVRFGKNIPWVTEFPFGTVKDPQYVRKKQFRFLFRTEQCHTDMSIQEPVSLYCSGVL >KVH99044 pep supercontig:CcrdV1:scaffold_84:165350:168025:1 gene:Ccrd_022726 transcript:KVH99044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MSLLLLPVFLLSLLSLHAPPPATATATAIAAATGVHGYGGDKQVLTLNKFKQATQLQTNTPNCFPQRSKKSATILELYHRDYCSRPRSDWNQRFLEHLLSDQIRVRSLQSRIKIAFSKGSDQELSQAQIPITSGAKLQTLNYITTIRLGGRNVTLIVDTGSDITWVQCQPCGSCYNQQEPLFNPSESPSYKPIFCKSTTCQNLEYATGKSGICGFNSTNCNYYVSYGDGSYTQGDLASDDLVIGTVPIKGFVFGCGRVNSGLFGGASGLMGLGRSLLSLVSQTTDVFGGIFSYCLPSATDSGSGSLILGNGTSDYKNFSPISYTNMLSNPMMPTFYFLNLTGISIGGVSLQDPSFGKKELLIDSGTVITRLPPSIYDVFKSEFLNQFSGFPKAPAFSILDTCFNLSGYKEVEIPTIKMHFTNDVKFTIDVSGILYFAKSDASQVCLALAGLSDEEEIGIIGNYQQKNTRVVYNTKELTLGFAKETCSSD >KVH99054 pep supercontig:CcrdV1:scaffold_84:361189:368527:1 gene:Ccrd_022742 transcript:KVH99054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CpcT/CpeT MGPPNGWSRARGLVVKTLVLIGGALLVKRLTKSTTRWDHARIVSQSITGEKFSKEQASRDPDNYFNLRWLSCPAADMVDGSKVLYFEQAFWRTPHKPFRQLSTYAIRDAEEYKNFCDRLRDQRPQPEEVIGDVAEHLTTIYLKRCDRGKRCLYEGSTPPDGFPNSWNNEIHTWDRGYDDNGNQPAPGPAPGPASTSVDMLSPLNFPPLIGKRIEGSFVLQE >KVH99022 pep supercontig:CcrdV1:scaffold_84:11603:12259:-1 gene:Ccrd_022713 transcript:KVH99022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNMESMPSEPCVLRHDINSSHPEEEEDDHGNPKLDLRLSLEDSDRNPNPELNRDKFLSGNRMEVVTFDKDRKQKRFSCNYCGRKFYSSQALGGHQNAHKRERTVSMRKNKVQSHVEDGCTQRYPTNMSSLGVKVHSMVVHKPTSVPTFSPFSNAGKYLGGQPTIGRFMSPEHHHVGSSSGWDTRGSAMRTRRDSGAIHSQNGQDDQLPKLDLSLKL >KVH99025 pep supercontig:CcrdV1:scaffold_84:43929:47061:1 gene:Ccrd_022716 transcript:KVH99025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 MAGEEAVIPVEAPAPALGEPMDIMTALQLVLRKSLAHGGLVRGLHEAAKVIEKHAALLCVLAEDCNQPDYQKLVKALCADHNVSLISVPAAKTLGEWAEGKARKVVGCSCLVVKDYGEETEGLHIIQEYVKSH >KVH99048 pep supercontig:CcrdV1:scaffold_84:91430:101090:-1 gene:Ccrd_022722 transcript:KVH99048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MKETSPMEDIKARLLPAKPSPVLNPRDASYRASPFARQPFQGVDVLGLKKRGQGLRSWIRVDAATGDSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITSDEVLLLNSLDSYVLQYVVELQRRLKAGGADDVWQSESSDFNRRRGGRTFRDMFGGTSADYLPFEFKALEVALEAACFPKYKQGFPYLDASELEIEAYPLLDELTSKISTANLERVRDEIEQLMDDDGDMAEMYLTEKKRRMDSLFYGEQSIPGYRSVDGGLSISAPVSPLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTGTFVVAIFGVVAGIFGMNFQITLFDSPSAFKWVLIITGVSGAILFGSFLWFFKHRRLMPL >KVH99040 pep supercontig:CcrdV1:scaffold_84:172234:177363:-1 gene:Ccrd_022728 transcript:KVH99040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGVITSPHRRTQQTAFSSTSSRKNYTTGNELGNFSTVIRRHRFLLTALVLLAFLCTVYLYFAITLGGNDVCSGLTGSQKAFCHVQHAKESVAKGKLKFF >KVH99032 pep supercontig:CcrdV1:scaffold_84:293626:302217:-1 gene:Ccrd_022737 transcript:KVH99032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MKNSDYSLQNPIKRLRSDGNLCNSSVSPIKKPKPTISSTASIHIKAFCTPLISKSTGSSVDLIHLQPCKPCTIGRDPRRCDYTFEDRQVSKEHCQIYFDVYRKKVFVVDGVLFLRSGEGSRVRASLNGVFVDGIRVGNGEIKEVGAGSEVSVVCGDESVCSVGGRIGFSVERIVFMEEVIDRNLSNSKQDYLFPYNVTGELGSGKLANAGLLSSECKQILSSDDPVLYIRECFTNPCKLRAKYVSRNRVKGNSCFTPDNFLSHSPCVGRELHSCEGLAINNSSNSNNNNTNFLSYVPLLDLDTSFRPNCIASESNAELLHCRVNGGHLQESVKTSDPLGGGISIDKRENYICEEKIIQASQLLKPKKRGVCVPPPGNKFYLNRLHFMDDGQSDEVNVTLPELLYPVETLERVFIATFTSDLSWFLSYCEIPPHLPVSIACHNAERCWDPSPDKRTLNPSSDFPNLVVIYPQFPEVISFSKDRKKFGIACHHPKLFVLQRDDSIRVIITSANLVAKQINTFFCHLLSLFSISLKTPVLLNTYLLIMQWLGVTNTVWWQDFPHRNVPDYTSLFAQSSAEEVNLDLKSDFAAQLAGFMATLLVDAPNQAHWILELAKFDFSNAAGHLVASVPGIYSPQHPYISKSLHYLTGDCCMPRSLGCMLLGSVEASVVGINHLFRTSRDSNGSLIKKLAGVLGNCRVNAYGMSEVILRRNVNIAADANAVGVAISRSEEFPEGGCIQLGFLPRDVAKWVAPLSDVGWFAFSAYINPNEVLACALEGSNNKVHLILYGPKFLNISKLSPPVLASAMCSLILGHYKWPEHCETDFTFGSSSVGSINAPFLAAFSAATGKRSMMFSESEESDPDWGCWSASQELRNPSIRIIFPTIERVKTASSGILASKYILCFTQKTWLRLKHMGILHDAVPRPLDRVGHPMHVKPNEDARSKSVLGSRLHICNYEFGIVFVVPPSERKDEKHLNLDNISLPFVVPAPRYRANDTPATKLAMKEALIEVGEKEIAKTVDFEEEIADEEEEEVLEVGNLIVEEKEEEKTYAEKLWVSS >KVH99024 pep supercontig:CcrdV1:scaffold_84:27390:31526:-1 gene:Ccrd_022715 transcript:KVH99024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MDHEAPATPGTPGTPGTPGAPLFGGFKPDRSHGTHAFRKSLLNSFKCFNVETLATEEGTLPTVTCYLPPPPISLARKVGAEFIGTMILIFAGTATAIVNQQTQGSETLIGLAGSSGLAVPVYIGAQVLASICAAFTLKAVFHPMMGGGVTVPSVNYVQAYALEFIITFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGTLGPAMAANNFKGIWIYLTAPILGALAGAGIYTAVKLPEEDGSDPNKPPQHSFRR >KVH99039 pep supercontig:CcrdV1:scaffold_84:190666:191886:-1 gene:Ccrd_022729 transcript:KVH99039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator Hfi1/Transcriptional adapter 1 MQPPQQHSWNNLAEIKGQLIKKLGFERSKQYLDYLNRFLSLKLSKTEFDKLCLRTVGKDNIRLHNQLIRAVLKSACTGKLPIVIHDDSSRTVGNKKPSDGVYHQNGSIPVVTHVTSPLSLGNGDILPPSPRKARTGSRERRGGDRRSALGPNGKTNYGSLTSSIPQSADFSSLENGDSSSSDTRRAVHQQELIQEAENGGGSPDGFVGVHHKEKTESLGRKKDGKSVSDRISLHAPLGVPYCPVSIGGAYSAIPLAASSSSRCVGVLHSDGLLETTTLKDHMEQICGAQGLQGVSMDCANVVNSGLDGYLKGLIGSCMELNRARSVHELTKSGSIKNPTHSRPLNGVISHHQIQNSSWPLNAMQENEPKQLVTLLDFRVAMELNHKKLGEDWPILLEKICTHAFEE >KVH99033 pep supercontig:CcrdV1:scaffold_84:256758:263293:-1 gene:Ccrd_022734 transcript:KVH99033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMASCGCTIGAYTKLVAFEKQSISKTAFFGCSSGSDPVKHLCTSSRSRLSYSLSTMIPKASATAIEGGSYQDTDVVPTPKVIIDQDSDPNATVVEITFGDRLGALLDTMNALKNLGLNVVKANVHLDSSGKHNTFSITKATTGRKESSAQLAMGEAFGVEAPKEKLDVDIATRIQVYDDGPDRSLLSVETADRPGLLVDLVKMVTDINVAVESGEFDTEGLLAKAKFHVNYKGKALIKPLQQVVANSLRYFLRRPSTEESSF >KVH99035 pep supercontig:CcrdV1:scaffold_84:321584:328045:1 gene:Ccrd_022738 transcript:KVH99035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGTDDGREGFVEMGSNRHSDTEVVGADRRAFSGPLNKRGTRKSARFNIPEDGGGSSAGGSVKSRNSDDYVEITLDVGEDTVAVHSVKTAGGGDMEDPELTLLAKGLEKRSSLGSTVVRNASARIRQVSEELKRLTSYSKRPQAGHFDRNKSAATHALKGLKFITKTDGAAAWAALEKRFDELTAATTGLLPRALFGECIGMNKDSKDFAGELFDALSRRRNITGDLINKAQLKEVDKDADGRITEAEVREIIGLSASANKLSNIQKQADEYAALIMEELDPENLGYIMIENLETLLLQSPTQTVRGESKNLSQMLSTKLRNPNDQSIVRRGYDRFKYFLQDNWQRCWVITLWIGAMAGLFTWKYLQYKDRAAFDVMGHCVSVAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIAVGIMFGVGLHAISHLACDFPRLISATEEEYEPMIQYFGEQPDSYWHFVKGAEGYTGIIMVVLMAIAFTLATPWLRRGRLNLPKPLKKLTGFNAFWYSHHLFIIVAVYPGNVLTLHMSKPQGFKYKSGQYMFVNCKAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSQLTGVDFGLFQVCQPPANGKSGLLRAENQGGIANIVKDIVNNMKAKKEEEEALENGGNGQLPPGSPLSKKNTTNFRTTRAYFYWVTREQGSFDWFKGVMNEVAEMDQNGVIEMHNYCTSVYEEGDARSALITMLQSLNHAKNGVDVVSGTRGYFIVEHRHQ >KVH99050 pep supercontig:CcrdV1:scaffold_84:418096:419346:1 gene:Ccrd_022746 transcript:KVH99050 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MENSVFYENWNQRRRHYRGVRQRPWGKWAAEIRDPQKAARVWLGTFETAEAAALAYDEAALRFKGTKAKLNFPERVQGRTELGYFITRPQLQIPVPPTNFLPNSQLPAAHHLLRPPTQSTYPNLLDYTQFLRGANEHGMPTNVLNCTTSSSSMDMGSSSSAGPSQFWQDFDPTNQSRDQ >KVH99058 pep supercontig:CcrdV1:scaffold_84:457894:460417:1 gene:Ccrd_022748 transcript:KVH99058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MASETDEVCDNGGSAEIQVGVEDQETPVTVVAAEAVAAVEVVDDKSKSPRHPRWTRQETLTLIEGKKVAENRGRRGRRSSSVFGSDQLEPKWDSVASYCKQHAVNRGPVQCRKRWSNMVGDFKKIKAWESEVKQESDSYWVMRNDSRKENKLPGFFDREVFDVLDGKAFTKAAYKLALVTVSADAKDENVVTMVAGEDDEDEDTDVVFDSGRRATSTDGLFPDSDKMEDEEANDEGREKDDIPTKKIPDPMPISGAVREQQTNSVSWKETMSQEGSKRRRVSTDECNDRNFDARLIDVLEKNANVLNARLEAENTNCQLDRDQRKDYNDSLVSALNKISDALTKIADKL >KVI08999 pep supercontig:CcrdV1:scaffold_840:148099:155805:-1 gene:Ccrd_012628 transcript:KVI08999 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MWDLNGSPDRRKYHDDQERCSSPVVEKEEYDDNNKGKGVGGSVSNSSSSVKVFDQDDGSDEDDQDSDKGGFKKRTTTGDSRLFGFSMTDNSSWDYNPPVTHQFFPLDDSEVGSTTSVGEMKFCHQSSEQPPFRAVVAGGYLGKVTDIPQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVDLTQHMQLHENPYGCSAYDRAAIKFRGVEADINFTLHDYEEDLKQTNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKDAVTNFDSRTYENELHSSDSKTSSDHNLDLSLGGSSSSNEPRSIKFGDNHQIPSSHDHSKAIQFDFSRPPASGWGWYDGRKNESYNEMETVEVLSQTHLHSNSPTTGSLMGNNGYGHFVRPSHPTMLHRFNSAPINSLTHQLSAHGGGSDSSGQD >KVI09002 pep supercontig:CcrdV1:scaffold_840:52663:56575:1 gene:Ccrd_012621 transcript:KVI09002 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MAATGETQPAKHQEVGHKSLLQSDALYQYILETSVYPREPQSMKELREVTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLSTALALPDDGKILALDINRENYEIGLPIIEKAGVAHKIDFKEGPALPLLDQMVNDVKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPPDAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRPRKILHGSMAKISEKIAQLGWFCGSSITTAITGRTFIPACKTTVKLKNPRFLFPRTQPLYRCCRAMSKSKGTLSSPSLLVVRENINLTDEERQIFERLLQVVRHFNLETQLRVAGGWVRDKLLGKECYDIDVALDNMLGKEFCEKVNDYLIHAGEETQGVGVIQSNPDQSKHLETARMCLFDVWIDFVNLRSEDYTENSRIPTMEFGSAEQDAYRRDLTINSLFYNINTCSVEDFTGRGLDDLKSGKIVTPLPPKETFLDDPLRVLRAIRFCARFEFEMVEELKVAAADNDVKCAIADKISRERIGHEIDLMVSGNQPVKAIACISNLGLFWIVFTLPPNFEPPISEELDRICVSYMDEAWTLMHGIGSCTFSDEQRRLYLYAALFLPLRKTIYGDNKKRIVSAVNYIYRNSLKLKGSDADNVIRLHNAVEKFSSLIPLVVSNEGMQPAEVDWKSDMIDVPVSLKLRILLGLLLRDIKDFWRAALMLSILLNKGSSVERVVDNVRLFKKVEEEILKLSLEKVWEVKPLINGRDIMKVLEVKNGGPVVSEWQQKVVEWQLAYPSGNMQECIDWMMRQKQLNHPTT >KVI09000 pep supercontig:CcrdV1:scaffold_840:63751:73574:-1 gene:Ccrd_012623 transcript:KVI09000 gene_biotype:protein_coding transcript_biotype:protein_coding description:CXC domain-containing protein MAMSSSKVHPHSTDAGRSESPDVPTYSNGLDLMHTNTALQESATTPEISLVIDSLKLKVDADRCAYIMKRMEENRNKLAEITRTHHKLSTERRNLGIINGDKVDNLLTRRQKEAIDMQSRVDISFGSSSNSQEDEYASVILLGSGIAVKNSVRPIHLPKVEKLPPYTTWIFMDRNQRMTEDQSVVGRRRIYYDRNGGEALICSDSEEEIINDEEDKKEFVDSEDNIIRGCCLVALVKLEHPILSLIYWPSACLESLVKSRLVIVQSPAVSPFLALAYGEMKYHQHFIQARHEALSSRQNVIESFDFNSFLDKDLEAAQDSFDNLFCRRCLVSVIVICVMFLVLVLYFLQPLNLLFLLWQIFDCKLHGCSQDLIFPAEKLTWDGPDEESVPCGPHCYLQVQKLEATPSMQLNTERTPALSSDASGVLVSRGKSNGPSLRRRSKPCKNGSIASNTQNVTESSDSETRPVHDVIPYHSPLPHKNKRGGKSGTHQRNSKHVANHVVTAMKRTQRNVASDSDSVASGSPGSRDREFLSNSLKESKEASSWSPKAKSSSMRRSRRKDSLVPDDHKSLQVQVPYPLVKKEDVSTCRKEVTDFKSWRTLEKALFEKGLEMFGRNRLVHHFDDLVHEGSGLHISSFFSLGSLKMELVIFYYSLLCLIARNLLGGLKTCSEVFHVLKCYENKPSSKGSDSTNSLGDGCRVDSNENMGLAQRRRSRFLRRRNRVRRLKYTSKSAAYHSMRKRISDKKDMPCRQYKPCGCQSACGDDCSCLKNGTTCEKYCGCPKTCKNRFRGCHCAKSQCGSRQCPCYAANRECDPDVCRHCWISCGDGSLGTPGRKGDNYECKNMKLLLKQQQRVLLGRSDISGWGAFLKNTVPKHEYLGEYTGELISHYEADKRGKIYDRENSSFLFNLNDQYVLDACRKGDKLKFANHSPVLMVAGDHRVGIFAKERISAGEELFYDYRYEPDQAPAWAKKPEDSGSKKEDAAPSSGRAKKLA >KVI09005 pep supercontig:CcrdV1:scaffold_840:108500:109472:-1 gene:Ccrd_012626 transcript:KVI09005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEEKITEKTMIAADKVNRENEDEANLADKVELQRNLKISDEKLSAAIFLFVLMLVAHPFVLLRDKYQRNFHHFVAKIWASMTIVPFFRLKIQGSENLPPKDSPAV >KVI08993 pep supercontig:CcrdV1:scaffold_840:32318:33155:1 gene:Ccrd_012616 transcript:KVI08993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFREDPALLLFEQMINNVKFHGSFDFNFVDVDKDNYLNYHTRLIDLVKIGGVIGYDNTLLEWVFDAPLRKYVRLLPCGSSSSEQSLSVLQYPDLTSLVDL >KVI08994 pep supercontig:CcrdV1:scaffold_840:34173:36379:1 gene:Ccrd_012617 transcript:KVI08994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGDFAGETGWELPELWVNEKAFGDFIEEAGCNVVHREGLVAGQQSAREEKEVGTMVGRCYCGCWCCYDDEVVKESYDTSADEGQFLNLLLKLINAKNTMEIGVYTRYSFLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFLPLLDQMINDVKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPRDAPLRNHSICAFEPLGYLVSQHAWTLKREKRNH >KVI08995 pep supercontig:CcrdV1:scaffold_840:1272:4320:-1 gene:Ccrd_012614 transcript:KVI08995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix leucine zipper transcription factor MPSLLYKMANMCDIPATCSSSSPPATTDDISLFLRQILLKSSSSSSSWPSFATKQLQCEIPPLQPVYSSGLPVPDRIPTFSTSSGAYLPANAVAYVSSSSVGTIDNDPDEYDCESEEGFENLMEEMAEKSNPPRNPSKRTRAAEVHNLSEKRRRSRINEKMKALQKLIPNSNKTDKASMLDEAIEYLKQLQLRVQMLTMRNGINLCSMSVPSGVIQPRQLPRSRIGLNEGNKPADMAPLNQEMHMNPMISLPMHCSNQSQPSIPDFSHIINPGPSSRHESSFGTQHGSFGFPNPPTEFCREGVFSCHRTDINSNESNSLVSQMGGKAKASSHSAKNLRGGYEDVKRYKNTMNLSFPLTLDLKYDVGA >KVI09006 pep supercontig:CcrdV1:scaffold_840:94114:102424:1 gene:Ccrd_012625 transcript:KVI09006 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase MDNGNPTLFTHHNDRIPFLPSLQTRISIGQILLHSFICLGDGGLALSAHPRRRTPLRRCSSLFVGNRYFAGDASTSFSGSWMGLYCSPYKDPVRRHSGQSGKDLTSHFQNANLTLPLTKKDGIRDCVISKRKYPCTSRCFFHPQERFSGMSDRYKWRNQYKNWRSVMVRSEIAGAGSPRASYQLSGFQVGSKIRGICFYTVTSFIAMFLFVLMLVXHPFVLLRDKYQRNFHHFVAKIWASMTIVPFFRLKIQGSENLPPKDSPAVYVSNHQSFLDIFSLLTLGRNFKFVSKTAIFLFPVVGWAMFLMGTIPLKRMDRKSHLQTLKRCMELVKNGGSVFFFPEGTRSRDGSLGTFKKGAFSIAAKTGVPVVPITLMGTGKIMPAGMERTLNSGMVKVVIHQPIKGDNPDKLCSEVRNVIADELVHAISRCVASS >KVI08996 pep supercontig:CcrdV1:scaffold_840:21938:23212:1 gene:Ccrd_012615 transcript:KVI08996 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MAATGETQPAKHQEVGHKSLLQSDALYQYILETSVYPREPQSMKELREVTAKHPWNLMTTSADEGQFLNLLLKLINAKNTMEIGVYTGYSLLSTALALPDDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDQMVDDVKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPPDAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRRVS >KVI09001 pep supercontig:CcrdV1:scaffold_840:57977:61519:-1 gene:Ccrd_012622 transcript:KVI09001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like-Sm (LSM) domain-containing protein MSGAGAGAITGEKGSSTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLAEGA >KVI08997 pep supercontig:CcrdV1:scaffold_840:37070:38336:1 gene:Ccrd_012618 transcript:KVI08997 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MAATGETQPAKHQEVGHKSLLQSDALYQYILETSVYPREPQPMKELREVTARHPWNLMTTSADEGQFLNLLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFREGPALPLLDQMVDDVNFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPADAPLRKYVRYYRDFVLELNKALAVDMRVEICQLPVGDGITLCRRIS >KVI08998 pep supercontig:CcrdV1:scaffold_840:39860:40602:1 gene:Ccrd_012619 transcript:KVI08998 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MLHRLFTSLLPSLPGDGKILALDINCENYEIFALLRKLVLLVKFYGSFDFIFVDADKDNYHKRLIDLVKIPGIIGYDNTL >KVI09003 pep supercontig:CcrdV1:scaffold_840:41172:42619:-1 gene:Ccrd_012620 transcript:KVI09003 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MAATGETQPAKHQEVGHKSLLQSDALYQYILETSVYPREPQSMKELREITAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLSTALALPEDGKILALDINRENYEIGLPIIEKAGVAHKIDFKEGPALPLLDQMVNDVKFHGSFDFIFVDADKDNYLNYHKRLIDLVKIGGVIGYDNTLWNGSLVAPPDAPLRKYVRYYRDFVLELNKALAVDPRVEICQLPVGDGITLCRRIS >KVI09004 pep supercontig:CcrdV1:scaffold_840:109672:128336:-1 gene:Ccrd_012627 transcript:KVI09004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF869, plant MVGEIGGIERGESQEKQGWQLAVAFSPPFSPSVFTFLQVFPSSDDASSVSSLVLSFKSQSREKLPKKAAREDITITVFGLSKVMEHKSWLWKKKSTEKTMIAADKVNRENEDELSAALAEINAKDDIAKKQTNIAREAIQGWEKAETEVLALKQELEKATQQRVADEERLHGADAALKECMLQLRFVREEQEKRIHDAVMKTSSEHEKRRLILEEKLAESNKRLSKLGLENTQLNKTVSAKEKMIDELHSVRAQVDYDLSAVVGKLESTQRENASLSYEVRVLEKELEIRNEEREFNRRTAEVAHKQYLGSVKKIAKLETEGQRLRLLVQKRLPGPAALAKMKNAVEVLGTEPAEITRRRSNPFPIASNVVDNASDAPSKKITFLTEQLCVFEEENRLLKEFLNQKTSELSISQTAMGPPRNITTSHEFSMATSSSDMGSDEKVSMAESWAPSCNTVGTSDIGLMDDFVEMEKLALVSVDKPFASSSLVQENHLHWLDNILKVVAEHARIMRRSSNDMLEDIKAALAGKSNYNLNMCVDKKDANGYISEEIKTPEKTLGKDPADEVVNHEIPPTDLSNELLHSGVNKSIQRLIELIEGIRLSEKDDNSSPYKTSETPTGYTVHIFQWKTSELRAILDAFLQSCNKFLNGKVGMEDFAKELTSALEWIVNHCFSLQDVSSMKDEIKKHFEWDETRSESEVEGGIINHLSEADKLNFPKDQLPGWPMASSWNIRNKIFHFEELRPNVREEVRKLKDDLAHMESINKDLEGKLQSESSKCASLIIQLQESEKXIGSQQTGVEALNEQKGTLKDQIEVDITVKELDKHPIKVIAECNEAHQESTSIKEQENSTNSDEPLDATHLHPESITEDEVKICDLDQDEGTVRSAQEITAASEKLAECQETILNLGKQLKALASLPTDTSLYDSCEITTSPLRNENSNQRTSLLDKMITEDATGAPRTQKTKEMTRNLTSPAVLEGHLNPIMSPRMFVSVNGVKDEKDEEDLVNFLSVVTKKKKKSSGVMTVSAGGDVEHERKAKRKQVERDDVLESESEEDDSSSEGQEDQMEDGSDSESVGGSEDDSSSEELDETTGLISEDVIDTSNKQPPEMQPINDVKEASSNLFQKKFGFDDEEKLLQVMIREGDTHGTQGFWRGQMDSMRLSITVNEVQLYDKTRRLKTWYSKRLRNDSNTLLNNQQFKVFQLCDKIWGKEERENFKDVQSEKKQAVVDHQPHVKKLKKEKKKKEVEQSHVKKVKGKRIASEVTKTRDMEYKHKYYKFVIEYVKKNVVDFPFDEAMEAVPVSIRPSLEKKWWDMNEVMMESYKSLVHEIFEAAKPSSSHLAESNMRLSKLGLENTQLNKTVSAKEKMIDELHSVRAQVDYDLSAVVGPSAREELEIRNEEREFNRRTAEVAHKQYLGSVEKIAKLETEGQRLCLLVQKRRPGPAALAKMKNAVDVLGTEPAEITRSDEKVSMAEFWAPSCNTVETSDIGLMDDFVEMEKLALVTVDKPFASSSLVQENHLHWLDNILKVVAEHARIMRRSSSDMLEDIKAALADKLTTILTCKDPADEVVNHEIPPTDLSNELLHSGVNKSIQRLIELIEGIRLSEKDDNSSPYKTSETPTGYTVHIFQWKTSELRAILEAFLQICNKFLNGKVGMEDFAKELTSALEWIVNHCFSLQDVSSMKDEIKKHFEWDETRNESEVEGGIINHLSEADKLNFPKDQLPGWPMASSWNIRNKIFHFEELRPNVREEVRKLKDDLAHMESINKDLEGKLQSESSKCASLIIQLQESEKVIGSQQTGVEALNEQKGTLKDQIEVDITVKELDKHPIKVIAECNEAHQESTSIKEQENNTNSDEQLVATHLHPERSVSSLVLSFKSQSREKLPKKAARELFLVVEVMATSLSCNADIVEFEFT >KVI09007 pep supercontig:CcrdV1:scaffold_840:78593:83758:-1 gene:Ccrd_012624 transcript:KVI09007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSSPPKVNPLSTAAAGRSESPDVPVNAAQQEADATEISMVIESLKLQADADRREYVMKRMEENRIKVAEITRNRHQLSIERTNQMNMNGNKFDNLLSRRQQEAIDMQKRGEIRFGGSSSSQEDDPVIPLGPGIVLNSSVRPIILPKVEKLPRHVTSVFIDRNQRRIYDDHNGFSDEEEEEREFAGPEDNIIRMTVEEVGASDTVFDLLAKRLSREPCEVKARYEALVSRKNATESSKPGETDSAMNALLDKRLEEAQAPFDYLFCRQCLIFDCKFHGHGQELVYPVTSPSPSSPAAFPTYFPLLLSIFLISFPMSVPEAKAVAKKKM >KVF86493 pep supercontig:CcrdV1:scaffold_8402:6253:8501:-1 gene:Ccrd_026642 transcript:KVF86493 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDQQKDAKTPHVLIFPMPFQGPVNCALKLAELICLSGIHVTFLNTDHIHRPLLHHTQVLSRFSRYPNFQFLTIPDGVEHEKPVSGDRFMEVMSAVDAVSKPILREMMISGSLSRKSERPVTVMIPDACFSFAVDIAIESSTPVVCFETVSPCCLWTCYLNLPTLIQAGDVPFKGKDLDELVKSVPGTENFLRRRDLASFCRSDDISTPVIELILKEARAVPRAQGLILNTFXDLDALILTHMQXLCPNIYPIGPLHSLHKARLTTPSLPKSTFSNSVWKEDETCLSWLDKHPPKTVVYVSIGSLATMTIDQLLEIWHGVVNSGKPFLWVRRPGSIIGDESLVPHELLERTKEIGCIVDWAPQEEVMAHRAIGAFLTHSGWNSTIESIKEGVPMICWPYFVDQQVNSRFVGEVWKVGVDMKDSCDRLIVEKAVRDVMETSRDMFAQHADNWANLAEKSITEKGSSSMNLARLIDDIKAMSSIIGHEVRS >KVF86399 pep supercontig:CcrdV1:scaffold_8406:8134:10506:-1 gene:Ccrd_026643 transcript:KVF86399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MAALFHVLLLAIIIPSSSSSLSHSLTRGSSLSVNNKDDLLVSLNGLFAAGFYGVGENAYGFAVWFRKQATSQNRTVVWMANRDALVNGKHSKLSLQEDGDLVLIDAGQYVIWSTNTXSTSSSVELKLLGTGNLVLCSGEQPVWQSFDYPTDTLLPNQPFTENTQLVSSRSSTNHSLGSYKFFFDSENILRLLYSGLGTRTIYWPNPVSKDWNAGRFNYQYTARASLDSDGQFNSSDGFSLKSADFGMGPQRMMKIDTDGNVRVYSMVEHGSIMKWEVQWQAFSHPCKIHGVCGPNSLCTYSQDSGRKCTCLHGYKMVKPEDWSYGCEPEFRPCVQDECDFIEIPHAEFFSYDMQMNEYHSFDACKTDCLQNNACRGFQFGWRGDKRSFFCFTKSSLHNGYQMDFKFSMYMKLPKKLVSSFHQKTISQASFNCPDPVRMPIIRSYEKEYDNKSLGLLLVFGCVIGIIEIICILICWYLSRENSSTTKETNFLSATTFRKFTYSELKKASRNFSEEIGRGGACIVYKGRLSDNRIAAIKRLQNTTHQGEAEFQGEINTIGRLNHMNLIETWGYCAEGKHRLVESWVEKIVDPSISGKYHQITMEKLVRIALHCVKEDKETRPSMSEVINMLLH >KVH89229 pep supercontig:CcrdV1:scaffold_841:93697:96631:-1 gene:Ccrd_008782 transcript:KVH89229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNAQKSKMAREKNLEKAKAAGKGSQLEANKKAMSIQCKVCMQTFICTTSEVKCREHAEAKHPKADVNACFPHLKK >KVH89228 pep supercontig:CcrdV1:scaffold_841:35939:37337:-1 gene:Ccrd_008779 transcript:KVH89228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGFWVLFVVFGTALLCVYLSPISDSEDVQDESPMNLTKPSRKLKESNIHEENGYGNVGVDDYLPNDPVPSSEAAALRPGPIEHGTPLMPYIPTPTPPGPNVGFP >KVH89231 pep supercontig:CcrdV1:scaffold_841:134060:142076:-1 gene:Ccrd_008785 transcript:KVH89231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVGSKTEAQTIYLILRPLTTVIAKLKDFGGAPRPLPIRHRFRHQDALTSSLMLFFVLFKTQSQQLFLLQSSDLITFSKEFTLVDLQQYYNILYNNHTQLKAIGLFTTYFPPAHVRLAKLVVPDCIEPAVNVTVYAFFSFCLIKVNVSGSTVIFTARIVDDIETVYVVGVPTLVRILVYLTMVGALLPPPSPEAVSNGTANDGAASPLTCGHDIEVPDRMLKSTRRGSSFRPVGPILLVQPAIIFTPGAMKSGLSISGVMGFGPLELNAATTGDGSMPNWVPPGVISAVGL >KVH89234 pep supercontig:CcrdV1:scaffold_841:56144:63069:-1 gene:Ccrd_008780 transcript:KVH89234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMGLGAKDEDGWAPRSETDEAVESATDDTEAEVTGDGEDGGDNRQPVQGDDLGEGDDERGWLLKLVDEDVRDLLVAASFVLAASEAVADRRSSSQRKWWEAYGRLYKKVEYMRQLFACQIIFRYKMNGGVNQAMGIGVLFWRSIHSIVMACTIRWYALYLVHSKICQLAAKDMGQDNYIGPVEHVGEQGNDTVGTLHVCCAIIASAGVCTEC >KVH89232 pep supercontig:CcrdV1:scaffold_841:132672:134969:1 gene:Ccrd_008784 transcript:KVH89232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MSPKNLVFMAVMVVCCYRYVAADRSRHRKNTYIVHMDKSVMPLPFSDHLQWYETSVRSVSKSMEMLYAYNKVIHGFSTRLTIEEANLLEQQHGILSVQQEVVYELHTTRSPEFLGLERNDVDGLGSRSGSDVIVGLVDTGVWPGSKSLDDTGFGPIPIRWKGECESGTGFSTSSCNRKLIGARYLLKAYEAIYGPIDEKVESRSPMDDDGHGTHTASTAAGSSVNRASLFGFANGTARGMAPRARLAIYKACWLGGCFGSDILAAMDAAIADGIDVLSLSIGGSLSEYTHDVIAYGAFKAASNGIFVACSAGNGGPSPLSLSNVAPWITTVGAGTLDRDFPAYVTLGNGKKFSGASLYSGKPSSDAMVPLVFAGKISNTTSGNLCLPGSLPPRSVAGKIVMCERGMNSRVQKGIVVKAAGGAGMILANTETFGEELVADTHLILSVAIGHRAGDVIKSYIVSDHNPTAEITPGGTQLGIEPSPVVAAFSSRGPNPITPEILKPDFIAPGVNIIAGWTNKIGPTGLKEDPRRVDFNILSGTSMSCPHVSGLAALLKAAHPEWSPAAIRSALMTTAYRTYKNGDGLQDVATGMPSTPFDHGAGHVDPVSALDPGLVYDASADDYLGFLCALNYSSTSIRMFADKNFKCSNGKKYRVEDLNYPSFAVPLLTASGEGGGSNAPTIVKYTRILTNVGTPTTYTVSISSTIRAVKITVEPETLTFIKQNEKKAYTVTFTAGSMQSGTTSFASLTWAGGKYVVNSPIAFSWV >KVH89233 pep supercontig:CcrdV1:scaffold_841:111320:114110:1 gene:Ccrd_008783 transcript:KVH89233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MLHQIFFTAIAAVLFFILITKIAFAVINGDKYDNQRTTAHDLSKVIGEELNPKTEKSSSSKRKGKKKVRFAVDHDAFMVNKVVAGPSETKQVVFEKDHDEELISLNDVGSPVKSPEEGFVGGIETENADYDGVIDYDQTKQGKIELIYEENVANHDDEGLIRLKLMCDDGIDEDQKEKEGLISDEDDSDDWEGVERSDLEKVFAMAADYGKMDDNLGNLASDIQMQLYGLHKVATEGPCYEPQPMALKVFARAKWNAWQKLGNMNPDVAMEQYVTLLSEKVPEWSHSRSVDKQ >KVH89230 pep supercontig:CcrdV1:scaffold_841:62150:81275:1 gene:Ccrd_008781 transcript:KVH89230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold PRSSSPSPKSSPCTGCRLSPPSSPSPVTSASVSSVALSTASSVSLLSGNLSPSATFESNEKQIIDSGLQTQTTCFSGDLLYCRPAISLLSSLFPSSLFNFSADEPSRPSHRDRSSNPTPSIDPLSSETVKKLKNGKLGRQAFNPVLATEANKLAIQLAHSRSRSRSNTGAPSHLHLWLRVPSPSSEFTDETMHSGADVEAFTAALNRDIEGDNTSISQPPTGTDAGSDGKNALESSKETRCKWPPWERASLSQGTNHTPSQLFQQWQSSSREGNASYSSQEEVKAQIQEQQSSAMEVNRQGSGSESQHLPNDTPREMNHIPSEPSRPQDDLAQQQADQHNVHMSQTTNIQNADKNHGHRQEPNIARNPDNESQLQRMHRMGNQQAMATGQAANTMNRPSGKQVPFALLLPVIEPQLDKDRAMQLQGLYVRLRNNNINKEEFVRHMRSLVGDHMLKMAVYKLQQGQALKMENASNQISNPAQLSAKHNIQKPSSYVPPSSAHQSSDSSSLPMDSNAQKSRLLEHQSDSHGIQASHMSSNINAIKQDREQPFPLQGLGKQQQQQQQHMHFPQSSFPTYGNTGGNYHPGSSANMNMSSQSFKQQSHDLQMRQGPVHPSMGASQAMSLPERQNAFSDIKRMHGGGLTHFTSNSGHWQPSMHKDQSLLSSMAYVKPEPVDQMNDQQHKSQLSAQQGTSSFSPGQHEQGSAISGPSKDETFEMLSSRPNFSTSMSKPEAMSITAQLERQNVLSSLIQLQSAANSSLASGSNAKTPPKKPSVGQKKPLEAPVSSLSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGSKEDTRVSEASRKVVQEEEERLILQKTPLQKKLAEIMAKCGIKNRSNDVERCLSLCVEERMRGLISNLIRLSKQRVDIEKPRHRTVITSDVRQQIMSMNQKARDEWEKKQADAEKLQRADEASPEGSAGIDGDDGRGKNSKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGADAASTSQPSIDVGPKPVSTSERNFKDNPDMERSSSAVTSTPGFYIAPNRNDGSHPLEIALGGFTPTDEERELFRATHKGKSPSDPKNLQKQRDYQEHKIEEMGEILRVVEGKKTLFSDLIIGEGTRLKIVETMFDEAFRKFKWVPYGVKRIRCSNHGGPAARMKKSDFGESDAITIARSISVKDVISVLGREIQMSRSTLIYRLYERLRSDSE >KVH89227 pep supercontig:CcrdV1:scaffold_841:12452:18646:-1 gene:Ccrd_008778 transcript:KVH89227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANVSSTTVTPNPKVASRGPSPAKAKRAPLLPSEADNNGPSLPRRPKSRDVGSRYLSSTLSSASVTTTMTSTSSSSSFSSTTTSSSSNSMCTPRRRFPSPLVSSSNLMTPASTVNNKRAQSAERRRPGTPRAGEMSATAKMLTSTPARSLSVSFQGGSFALPVSKPSKPAPTASNNGSRQSTPERRAVTPTRKSGNSKPIDQQRWPGRSRQGSFMTRSVDFTNENMKLSGSVTASAVRALQKSMICETKLKPIKPEIPELNRSINKLVSDCDKSDRAVSDAESVSSGSTPGTIRGGTPRAVVVPARFRQGTVNHLRRVQPEPVSPPLSRNSKLPSGNRYLKDGPTLSPRGSSPSPVRGAVRPASPSKSVLLSSTSSPSRGMPSPTRARNGIGSNNNFVNTPSILSFAAEARRRKGGENAIVDAHVLRLLHNKYLQWRFANARADAAMLIQSATVQKSLYNAWVTTSKMRQSVISKQIEIQQLRQNLKLHSVLKNQARKKFSSSSFXIPCLKVWDQGERDHSVSLSGAIVSLESSTLRLPLVDGAKADVQNLKDAICSAVDVMQAMASSICSLVTKVEHVNGLASELASTTTNERCLIDGCKDLLSILTHMEMQNCSLRAHTLQLQRLPPHV >KVH89235 pep supercontig:CcrdV1:scaffold_841:157604:165707:1 gene:Ccrd_008786 transcript:KVH89235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin 6, N-terminal MTSHFERWEKDPFFPAAEEVQESADRMESTYRTLLHALKEPSAWKTEELRRDLRTALGTAKWQLEEFERAVDLSYGKSFSDNAKTRHGEFISAMESQISKVERCLDESAVATGKPPRPWVRLNEGESKELALFLSGSASYGNEKLAEVSFNDDQRQKQEVLEQSTPECSKNLSHPVGRGSVDSHANKFSGHRRTASAGADLGALKIVIADDVSLHGVDGKTEQPPRKIPSFSGFLNTLESASKLKWSKNGYRKLKLIDHRHEADIKLPETQTGSRGTNACYERSKSCLESGDDCYRKQLYGWYGAIQRQFQRSQYYVQYNRPTQMVLWALVLIFLFGKFAFLWQNLLVKCHPSSTLTKIDMSHSISYSACHLKQKQKQKRYGLNRQETKGCF >KVI03157 pep supercontig:CcrdV1:scaffold_8410:2783:4853:-1 gene:Ccrd_018548 transcript:KVI03157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLYLGIERGNIACHKSEARFGTLLRERRLASRAFRDEAFWRSQVNFGPPNPATDEKSLWHGVKGSVYVVTLPAFQRCLEDGPDAAKRRPRSGFPTGRGGGRRRPSQGTSRPTGNTGETWEGNPIGSQRIHSTCSLPDFIFIIFESRGRDLFLQRKKNEADLTRHNLTIYPIPMICAXNALLDLYSRKLYRKQRARPVHSSESTIDSLDHPKNDTAGLRGDWVRRGARALDNPRSATGEDSLENLFRILEDLNDTGPLSYSFWELRDKTDAQKTKGPSKSFDGLAGQLTFIGSSDDPIQCNGKIVVLDIDSKSAPHHQLLSADLVVRSKGEGVETSLITPHWARGAPNPPTHTSIT >KVF85895 pep supercontig:CcrdV1:scaffold_8414:819:1259:1 gene:Ccrd_026644 transcript:KVF85895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIDEKKEKVVFRAVSADEEGRKRVEKTEVETHNLDTLKHIEKKLIDKGLHRLDRHPRDGAPIDKQPKGGRGGKYTWDGPGGMVSDELDPVPAAVDEKDPNYESDDDEEEKVVGRGGGRLMIGRVEVEVGKGKVAEERRLGSKSS >KVH96209 pep supercontig:CcrdV1:scaffold_842:35925:39633:1 gene:Ccrd_001698 transcript:KVH96209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MTKSKNFFRSIIKPFTFTSNQESQQEDLGAITAQDQKVFSFQTLVSATRNFHPDNKLGQGGFGPVFKNSKFCYDFMILSYKIGTFSWDFDGDRPLFIEVNLGKLENGEEIAVKQLSRTSNQGKKEFVNEARLLARVQHRNVVSLLGYCVSPEKLLVYEYVPNESLDKLLFKRLMVRLIGDECDFVDIESENRNVLDWKRRYDIITGVARGLLYLHEDAHDCIIHRDIKASNILLDEKWVPKIADFGMAKLYPEDQTHINTRVAGTNGYMAPEYVMHGKLSIKADVYSFGVVVLELISGQKNYTFNLDPECQNLLDWAYKMYKKGKGLEILESILASSADPDQVATCIKLGLLCTQFDHHLRPTMSRAALMLTRKPGTLEEPTRPGYLGSRQRRAHGIMTSSTDGMSNSQTRSHSNYSTSSTTTTAMAASNRGLSARASSDRHHRHRGSTSRSAVSDPYGKRPMQE >KVH96219 pep supercontig:CcrdV1:scaffold_842:146122:165331:-1 gene:Ccrd_001706 transcript:KVH96219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSAIPAAVLRNLSDKLYEKRKNAALEVEGIVKQLTAAGDHDRITAVINLLTHEYTYSPQANHRKGGLIGLAAATVGLSAEAAQHLEQILPPVINSFSDQDSRVRYYACEALYNIAKVVRGEFIFHFNKIFDALCKLSADSDPNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGKRFHFKTFMDLTNMVIFPGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRWTAITWINEFVKLGGDQLVPYYADILGAILPCIADKEEKIRVVARETNEELRAIKAEPAEGFDVGAILSIARRSSLALKQLSSEHEATRIESLHWISSLLNRHRSEVLSFLHDIFETLLKSLSDPSDQVVLLVLEVHAAIAEDQYNFRQLVVFLVHKFRMDHALLERRGALIIRQLCVLLDAERVYRELSKILEGEADLDFASTMVQALNLILLTSSELSDLRDLLKLSLAYQHASSVIQSLTEEDINVRFLVQLDKLIHLLETPIFAYLRLQLLEPGRYIWLLKSLYGLLMLLPQQSAAFKILRTRLKTVPSYSFNKEQIRRTSSGNPSAHTGYMSTGIHFSEDGSMNEDSHNVHNGINFASSLQQFGQMQQQHRMHSKSQARLRNSSISSKEVKDVEKAEEVRGGSGGGEMSRPPSRSSRKGPGQLVL >KVH96212 pep supercontig:CcrdV1:scaffold_842:17893:20163:1 gene:Ccrd_001697 transcript:KVH96212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MVDRNSNKIARSLIYAVLEWTLILLLLLNSLFSFLIIKFSQYFALKPPCLWCSTLHRYLEPQKINSHRDLLCEFHSKEVSCLGFCPNHNKLAEIKDFCQDCFSSHLGFQSEVKQSDGDEQKFECSCCGLKIRKKSVSRTRSCWDVLEYTENGDLVVGIERKIGSNDQIGSDLINGNLEIQSRKENRIKFEGKDVSDSNSFEIETHLNQFEKKDDSTSGLLSGDLEFFFDYSGSQLVPIELVDSSTEESQNVSEADEEHQKTELESMELEETENSLVFNAKIIELIHEKSAIVEETRALFVNSQEHEKDAAETDKFDPDAHSVHEEAEVSIGTWIPVLDSCVDELSTKSHELHLKIENGEDIKLNGNHSEIEEEKIPDTPGSVLSLGQLHTKLLTIERKESGAEESFDGSVMSEIDGGDPTTTVEKLKSALKAERKALHALYAELEAERGASAVAASETMAMINRLQEEKAAMQMDALHYQRMMEEQSEYDQEALQLLNDLMIKREKELESYRKKVADYEVKERMRFSNSTSCSHSEDGDKMMIETNNESNGNRESRHHSIPVPNDSGSSFLGLESSLTDFEKERLSILEQLKVLEVKLFALSDEEDPHFADVRPIEDFYDKNGELSGNGFNTIDLAENTLAPLFDIGIKVDDKVTESNGFHPDELENDKKRFEIEEEVDQVYERLQALEADREFLKHCVGSLKKGDKGMELLQEILQHLRDLRTMDIHQ >KVH96210 pep supercontig:CcrdV1:scaffold_842:65879:66848:1 gene:Ccrd_001699 transcript:KVH96210 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook, DNA-binding motif-containing protein MAAEPPTDSTAPVTYPVLPPYPELILSAIEAVDDKNGANKSSISKQIEATYGSLPAAHSTLLSHHLNKMKASGQLLIIKNNYVKPDPNAPPRRGRGRPPKPKQDLPEGGTVASSPRPRGRPPKPRDDLPPVSAPKEAAPSVTGRKRGRPPKLGKPATTAPAAAAAGGERRGRGRPPKVKTPTAAPVGA >KVH96216 pep supercontig:CcrdV1:scaffold_842:117134:119496:-1 gene:Ccrd_001703 transcript:KVH96216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gnk2-homologous domain-containing protein MLQQTLWLSCFIFLLFSHLSSSATDSFIYGGCSQPRFTPGSPYESNVDSILTSLVNSASFSSFNNFKISVPGSTQSDIVYGLFQCRGDLSTSDCRDCVAHSVSRLGIICPDATGGAMQLDGCFVKYDSAPFLGVEDKTEVFKKCGPSIGYNSDVLTRRDAVLAYMTSGNGQYFRVGGSGSVQGVAQCIQDLSLSECQDCVAEAGGRLKSECGASAWGDMYLGKCYVRYSERGFHSRSDDDNGDVDKTLAIIIGIIAGVAMASKRLRSMNIIFPLSRTLLKLWYHQDQVALCFPSFFYEVLSWWTM >KVH96215 pep supercontig:CcrdV1:scaffold_842:108366:114196:-1 gene:Ccrd_001702 transcript:KVH96215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVVLGYAAAAEAVMVLLLTVPGLGPLRKGLVAVIRNLLKPFLSIVPFCLFLFMDIYWKYENRPSCASDSCTPTEHLRHQKSIMKSQRNMLLIVSALPVSSATERSMPPEITWHVPLRLDASELLYFDLLMERSITKVKVYTKQFFLRALMSQADWLKFFATGYRVSVVHIATKYNPCIFGQLNTRLSLSR >KVH96213 pep supercontig:CcrdV1:scaffold_842:75749:96769:1 gene:Ccrd_001700 transcript:KVH96213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAPTASGGGGVPLTTITTQSPNPADMGDQSHHRAAAARAFQFHPARPAIIDLFNLYLGRSAREKSDDLVREPPVFLYVDVDDDINYRNKTQKRVTALNRDLPPRNEQFLVDFEQLLNQFPDREQLRTVSESVLISLVIQCSGHAPRAEFILFALRNLYNIGYIHWDTFLPSLLSSVSSAEMSVGISSTASPQPAVSSTSLSQTGMLPSSTTIPSSSNFQALSPASPLISVHGIGSPSQSANEPSSTALSPVKSSDINTGQHSAARSNTSTRDNAISSLRQLCCKIIFSALEVNLKPCTHADIFNHMMNWLVNWDQQQQWSDEVDGIKSWKRDKALFEWLHSCLDVIWLLVDDNKCRVPFYELVRSGLQFLQNISDDEALFTLILEIHRRRDMMAMHMQMLDQHIHCPTFGNQRLLSQSTTNISGEAAINMRYSPITYPSVLGEPLHGEDVALCIQRGSLDWERALRCIRHALRSTPAPDWWRRVLLVAPCNRQHPQGPTPGAVFTSDMIREANIDRIVELLRLTNSGNMVNSGKFLKNVFQALTLLLPHIDAEINCWQEWLIYSDVFFFLVKSGCIDFVDFVDKLVLRLAEDDHQILRTNHVTWLLAQIIRVELVMHALNADSRKVETTRKILSFHKEDRSSDPNNPQSILLDFISSCQNLRIWSLNSSTREYLNSEQLQKGKQIDEWWRQATKGDHMMDYMNLDDRSIGMFWVVSYTMAQPASDTVMGWLTSAGHAEVPGPNLQSNERMSMMREVHPVPISLLSGFSINLCLKLAFQMEEAMFSGEIAAHSTSFVVPFAFKCKLPPLLPEIHVNSIFFMALLTVNHLSRIETSNKNHLHQRNPSILNKPSATLLVLEILNYRLFSLYRYQGKSKTLMYDVTKILSTLKGKRGDHRVFRLAENLCMNLILSLREFFFVRKEGKGPTEFTETLNRITVVNLAIIIKTRGIADAEHLLYLQTMLEQILATSQHTWSGKTLRYFPPVLCDALIGRIDKRGLAIQAWQQAEETVIIQCTQLLTPSANPTYVMTYINTSFPQHRQYLCAGAWVLMHGHPENINSANLGRVLREFSPEEVTANIYAMVDVLLHNMQLELQHGHPLQDLILKACANLAYFIWNHELLPLDILILALIDRDDDPHALRIVVNLLERQELQQRIKFYVGNHGKPEHWLQTGIFKRIELQKALGNHLSWKERYPTFFDDIAARLLPVIPLVIYRLIENDAAEAADRVLQFYSTFLHYYPLNVTFVRDILAYFYGHLPAKLIFRILNVLDIKKMPFSESFPQHVNSSNAMCPPLEYFASLLSNLVNNVIPQCNSKVDASSNGLRTIINRNQTPGQPGSTNAFEGQKAFYQIQDPGTYTQLILETAIIEILSLPVSAAQIITALVQIIVHIQPTLAQSNHGFHGSSTSSGQGSTLPTSPSGGSTDSLGRNRQTSVLGLNSSNFVSRSGYASQQLSCLMIQACGLMLAQLPIEFHKQLYAEAARVIKESWWLTDGKRSTSELDSAVGYALLDPTWAAQDNTSTVIGNVVALLHSFFSNLPQEWLDKTHLLVNHLRPIKSVAVLRIAFRIIGPLLPRLANAHNLFTKTLELLLNMMVDVFGRNSQPSTPVEASEITDLIDFLHHIIHYEGQGGPVQPNSKARPDVLALCGRAIEDLRTDVQHLLSHLKTDANGSIYAATHPKLFQSPS >KVH96211 pep supercontig:CcrdV1:scaffold_842:1116:2792:-1 gene:Ccrd_001696 transcript:KVH96211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor DELLA, N-terminal MKRNFSGGGGGSTSAAGGGFPKLRSEVTGKSKMWDEDEEQDTGDDELLAVLGYKVKPADMADVADKIQQLEEALVNDDELSQLASDSVHYNPSNLSTWLETMMVELNPATQPLVIDDSTMNTVNLPPTTVATVDPTSAFMENLVPDDEIHPPAKKVKPLSASASTSTSNNSNQAILVDSQNNGIRLVHTLMACAGAVHQENFQLAENLVKHAGILAVSQAGPMRKVAAYFSEALARRIYRFKSETPQESPAINNILQMHFYEACPYLKFAHFTANQAILEAFAFKKRVHVIDFSLNQGIQWPALLQALAVRPGGPPSFRLTGIGMPPSDSSNPLQESGWKLAQLADSIRVKFEYRPLVVESFADIEPAMLDLRDGEVVAINSVFELHKLLARPGAVERLLSAVRNMKPEIVTVVEQEANHNGVDFMERFNESLHYYSAVYDSLESSCNGGGAGGSPVGTTNKDKIMSEIYLGKQICNVVACEGEDRIERHETVTQWKTRFDSAGFRSAHLGSNAFRQASMLLAIFAGGDGYKVVENDGCLMLGWHTRALITTSAWKLR >KVH96218 pep supercontig:CcrdV1:scaffold_842:135964:136557:-1 gene:Ccrd_001705 transcript:KVH96218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGLKNLFNRKKKSGKDDGSHNDNVPTTNVSRVVSRTSSFNSRVRMEEELEQVFKKFDVNGDGKISSSELGSIMGSVGHRPTEQELEDMIKEVDADGDGFIDLKEFIELNTKDIDSAEVLENLKDAFSVFDIDKNGSISVEELHNVLGSLGEECSIAECRKMISGVDRDGDGMINFDEFKVMMMSGTRFDGIGSQRHV >KVH96217 pep supercontig:CcrdV1:scaffold_842:124337:132828:-1 gene:Ccrd_001704 transcript:KVH96217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVVETFVRELLRPVFDRLQCLAGDRNPRGVPSNAARDELGGIKSYRSFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPSNTVHEGRIYQLKLFCGHEYPEEPPSVKFQSRINMSCVNQETGVVEPSLFPMLADWQREYTMEDILTQLKKEMTSPQNRKLSQPPEGNEEGRVDPKGLVLRCCIL >KVH96214 pep supercontig:CcrdV1:scaffold_842:94510:99106:-1 gene:Ccrd_001701 transcript:KVH96214 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO/COL/TOC1, conserved site-containing protein MERDFMGLNSRATVVKEEALKEPVFSISSDVRWPFSNGFEAFNNHQLGEIQSANFLQLHHDTKQAVPVSMSSPFFRHHLAGASVNPQLFGGVPVMSPHLVLPSTGSFFAPTTESWDSSKAASASAQLTIFYGAGKGAFVAAANGPQPRGQAPTNPAGDAVYMSQPISTQPCSAVSSPMSVSSHPVPQSTANKSEAAKRIGGSTTPVTQMDTPRVMSSFRQARQSAVPQARKASLARFLEKRKERVMASVPYRLGKNSIGSVANSTAVIAASEQRV >KVH98531 pep supercontig:CcrdV1:scaffold_843:8587:9520:1 gene:Ccrd_023240 transcript:KVH98531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKAMALSTRFLVFILGFSLLVSTFDAIPISRIRNLISEEHSPDVSGNIHSGSLEDSWDESIVSRRMDLTTNDYQGSGANDRHTPSP >KVH98526 pep supercontig:CcrdV1:scaffold_843:103643:126112:1 gene:Ccrd_023250 transcript:KVH98526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MQPLEVGTRVLCRWRDGKHHPVKVIERRRLHSGGPNDYEYYVHYTEFNRRLDEWVRLEQLDLDSVENDVDEKVEDKVTGLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLNLYFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKVIYLPFAEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILATLQALELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >KVH98528 pep supercontig:CcrdV1:scaffold_843:97326:101833:1 gene:Ccrd_023249 transcript:KVH98528 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II, heptapeptide repeat, eukaryotic MLLSPLTDCSIQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKIKKGEFDRLFRYEIDDENWNPSYMLPDHVEDLKTIREFRNVFDAEVQKLEADRVQLGTEIATTGDNSWPMPVNLKRLIWNAQKTFKVDLRRPSDMHPMEIVEAVDKLQERLRVVPGDDQLSIEAQKNATLFFNILLRSTFSSKRVLSEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPDVSKTKDRAKNVQCALEYTTLRSVTQATEVWYDPDPMTTLIEEDVDFVKSYYEMPDEEIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKSGKVNKFDENEGFKPEVEWMLDTEGVNLLAVMTHEDVDALRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGDCALYLNEQMLQQAIDVQLPSYMDGLDIGMTPGRSPITPFHDGAMSPTNYLLSPNLRLSPINDAQFSPYVGGMGFSPASSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGAAPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQMSNKDNGSRP >KVH98524 pep supercontig:CcrdV1:scaffold_843:143714:149705:-1 gene:Ccrd_023252 transcript:KVH98524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0136, Transmembrane MVSTGELLAISQSSFLLPQYHPRVRTQRQNCLSCYKVGTTDSTIITNPLLYRPVDIKQQSRNRFSSVIRFNINVVPEKVPTAFSVDFVEGTEVPLDSTGGGGDVGGTGGGGGDNNWGGSGGGDGEGASDDSEKEPKKMAMSMSQKLTLGYAALVGFGGLMGYLKSGSQKSLISGGISAALLYYVYTQLPVNPVYASCIGLGLSAALLGVMGTRFKKSGKVFPAGVVSVVSLIMTGGYLHGVMRIFQLTIRAAIVVETAKKMAMSMSQRLTLGFAALVGFGGLMGYLKTGSQKSLIFGGISAALLYYVYTQLPMRPVYASCIGLGLSAALLGVTGLRFKKSGKLFPAGMMFIVSLIMTEGYLHGVMRSLHSN >KVH98522 pep supercontig:CcrdV1:scaffold_843:161026:161928:-1 gene:Ccrd_023254 transcript:KVH98522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MHHIKFSLIEIIKFRYEIKWVALVVVLLLANGCAAAEPANEPPPIAQPGQKTGTKISLPMAVVLACLLSAFLLICFLFYLFHRYAEHQFALAATTGNGGERSLGKQVAARGLDPAVVATFTSFTYSVVKEIMIGQQALECAVCLNGYQDHEALRLLPECSHVFHRDCLDEWLALHVTCPVCRASLVPKPDPLSRETESWHSTKETTKGYVSIQLTELKHDLPPVRKISRSCSMGERRSKNVERYTLRLSEEMQNVLNNLTVNPSTNSNVAFSMGSDTKLINLRSASVNSIRGSDCFNHII >KVH98534 pep supercontig:CcrdV1:scaffold_843:53094:70153:-1 gene:Ccrd_023245 transcript:KVH98534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 3/MiaB/NifB MSPAHPEDNYHRRATNSPLPSLPPSSHTYSPQTSVAGDMEDIEDLLVGGGAAPPGFRLPITAAVGINPMKKKKSNKLEPSSLLQNSSTCQVPGTQTIFLKTFGCSHNQSDSEYMAGQLSAFGYAISDNAEGADLWLINTCTVKSPSQSAMDTLISKCKSAKKPLVVAGCVPQGSRDLKELEGVSVVGVQQIDRVVEVVEETLKGHEVRLLNRKTLPALDLPKVRKNKYVEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVGRVKSVVNDGVKEIWLSSEDTGAYGRDIGVNLPILLNAIVSALPTNGSTMLRIGMTNPPYILEHLKEIAEILCHPCVYSFLHVPVQSGSDAILTAMNREYTVGEFRKVVDTLTELVPGMQIATDIICGFPGTPAARMKKVPSHIVKKRSRELTSVFESFTPYDAMEGNVERIWITDIATDEMHLVGHTKGYVQVLVVGPESLLGSSAMIKITSVGRWSVFGDIIQILDDRSGVTSPSDKKDTNNKAKCVEDTCGCSLAKPEPCACESDACEPNGCCNIAENDSYPEVENGRNLGGLLLRKKPLRPMVELEDNGNHKLAVSNVRDRDWGLLDNVLVGGIFMSLLTIVVLVLYVGS >KVH98527 pep supercontig:CcrdV1:scaffold_843:132579:135553:1 gene:Ccrd_023251 transcript:KVH98527 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MEDLNQPTTTTAPLPEKVPPAAKKNLLILHCILLSIGNCGGPLILRLYFIHRGHRIWLITIIQTVGWPFILIILIILYFHRHRAAGIINNRPTTFIYMRRRLFFAVAFIGVLTGIDNYLYSYGIAHLPISTYALINATRLVFMAVFAFILVKQKFTAYSINAVVLLTVGSAVLALQSRDDRPSGEKKLEYVMGFVMTVAAAALYGLVSPLVEVTYNKAKQVITYTLVLEVQMMMCLFATVFCTVGMIFNNDFKVISREAEDFGVGKIKYYVILSVSVVLWQCFFLGAIGVIFYGSSLLLGVIVTIQIPVTEILAVIFYNEKFKTEKGVALVLSLWGFISYFYGEYKYMKPVKDDAQSVREVV >KVH98530 pep supercontig:CcrdV1:scaffold_843:12042:16522:1 gene:Ccrd_023241 transcript:KVH98530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSASALSIGSTISNGSKATPLMQSNTFGVTFNSQNHIKSFTGLKAAASVSCESSFLGKESTTALHYSFARKSQNQHQTSRNVLQVKASFKVAILGAAGGIGQPLALLVKMSPLVSDLHLYDIANVKGVAADLSHCNTPSRVQDFTGNDELANCLKDVDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLIEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNVKLIDVDVPVVGGHAGITILPLLSKTKPSVAFTDEEVHDLTVRIQNGGTEVVEAKAGAGSATLSMAYAAARFLESSLRALDGDSDVYECAFVESQVTELPFFASRIKLGKKGVEAVIASDLEGLTEYEKKGLEALKGELKGSIEKGVAFAQKQTVSA >KVH98537 pep supercontig:CcrdV1:scaffold_843:77247:87701:1 gene:Ccrd_023246 transcript:KVH98537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRRSCWTSVIVFLLISPTILKPSMSEVDYGLSAKRRRMSEKVRRMFYHAYDNYMVYAFPHDELKPMTKTFTDSLSELGNLKLENLPQGYNGSALTLVESLSSLVILGNNTEFEKAVIWLSENLSFDVDARINLFECNIRLLGGLVSAHILATDSTNRLIQGTYNNQLLVLAEDLGRRFLPAFNTPTSLPYAWINLKYGIMEDETTETSTSGCGSLILEMGALSRLTGDARFEHAALRALRKLWGMRSSLNLLGTTLDVATGEWIEYSSGIGAGVDSFYEYLIKAHILFGKEEFWRMFQTAYLGVQKYFRYGSWYHEADMRTGRATYWQLTSLQAFWPGVQVLVGDLAAANLTHREFFHVWKKFGVLPERYLLDHQIVHPTEKYYPLRPELAESTYYLYQATKDPWYLEVGEAIVDSLNLHTKVDGGFASVKDVTTMQLEDHQHSFFLAETCKYLYLLFNDSALMGRNYVFTTEGHPLPVLSDWHERLPDTYIPSNWTSIKVETQKKQASAMSMQVCPANLLNPGHDGQQIESICHVPDLRHYHRCLTDDDCGIDSTNCRRRSCSMAGYCGLWLFI >KVH98529 pep supercontig:CcrdV1:scaffold_843:96636:97304:1 gene:Ccrd_023248 transcript:KVH98529 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb1, domain 3 MQDVFMNILMWWEDFDGKVPTPTILKPRPLWTGKQVFNLIIPKQINLIRTAAWHSETETGHLTPGDTQVRIEKGEVITGTLCKKTLGASTGSLIHVIWCVFWTTGIFSLEVGPDAARKFLGHTQWLVNYWLLQQGFSIGIGDTIADAATMETINETISKAKNEVKELIRAAQDKQLEAEPGRTMMESFENRVNQVPGFVL >KVH98523 pep supercontig:CcrdV1:scaffold_843:171280:172167:-1 gene:Ccrd_023255 transcript:KVH98523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEINHRKLLPEPESNQNSTCSDCGPTCPYKCSYPEFFWPPQPPPQPPHITTATHLSPYVIIVAALLGSAFLFISYYLIMVRCYTRFRRPPATQISGEAEDFSDEDHTFEVDHPIWYITTVGLQPSVINSITIIRFKKDDNLIDGTDCSVCLSEFQDDETLRLLPKCNHAFHIPCIDTWLRSHTNCPLCRAGILSNTLSAVLSPNDRSFSHRSESNQNTQIENSEDDVEIDWNLVPESEICEEIEDSKTEHESITAARISISMDSVAEINLGEFEGGFDDSTRDFQRSDSGGDSRT >KVH98533 pep supercontig:CcrdV1:scaffold_843:17591:19855:-1 gene:Ccrd_023242 transcript:KVH98533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MAEEAVAAAASAAPPETTVVETVEDDSSAAPQEMDVETVEATNGGKRGREEEVTTESTENGNDAKKAKVDEEKSVEEQRLEKEAAKTGPVAVGYKSFETSVQIFDYFYKFLHFWPPNLNVNKYEHGMLLDLLKKGHLEAEKKIGVGIQAFQVRYHPQWKSRCFFLVREDESADDFSFRKCVDHILPLPENMMNKGLGGGGGGGRGGGRGNWRGRGRGGKPRN >KVH98536 pep supercontig:CcrdV1:scaffold_843:92215:96619:1 gene:Ccrd_023247 transcript:KVH98536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate decarboxylase-like domain-containing protein MDIRFPYSPAEVAKVRLVQFGILSPDEIRQMSVVHIEHGETTERGKPKVAGLSDPRLGTIDRKMKCETCMANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQAQKIRNPKNRLKKILDACKSKSKCEGGDEIDSQNQDTDEPVKKSRGGCGAQQPKITIEGMKMVAEYKLQKKKSDDSEQLPEPAERKQQLSAERVLSVLKRISDEDCMLLGLNPKYARPDWMILQVLPIPPPPVRPSECLSTMFNKQKAKPCKRLVNPNSLPNTLLDFISLVIFYSFLKQDDLTHQLAMIIRHNENLRRQERNGAPAHIISEFAQLLQFHVATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYLKKSSDHHLEFGYKACTFFVFVVLVSVDLSHSNTYHCRLVIPNALVNIQVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKVTKRDTFIEKVIITSLSILCWGAHQALLSFHCIFP >KVH98535 pep supercontig:CcrdV1:scaffold_843:41698:43827:-1 gene:Ccrd_023244 transcript:KVH98535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MTSVPEKGVFISRSLACLFAVSVLFLILSSLILFQFGDNSLVPRSIYSLILAKNIKLDETGLPIEPKSPPFVPKSCKESCFKSDPIEPATSCDRNRALLKVFMYDLPPEFHFGLLGWKGDRNRVWPNVSNSSEIPSYPGGLNLQHSIEYWLTLDLLSSIAPNVARPCTAILVRNSTQADVVFVPFFSSLSYNRHSKIHGTEKKSVDKVLQERLVEYLKGRDEWRRSGGRDHLIMAHHPNSMLLARKHLGSAILVLADFGRYPVQIANIDKDIVAPYKHVVRRLDANHSPSFDDRPVLVYFQGAIYRKDGGMIRQKLYYLLKDEKDVHFMFGSASSYGVRKASRGMASSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPFEDVLDYSKFAIFVRGSDAAKKGYLVKRLRGVRKEKWSEMWERLKQIAPHFEYQYPSRDGDAVDMIWQAVFRKVSSVNDKIHRRNRYQMSQKFLNWYKRV >KVH98525 pep supercontig:CcrdV1:scaffold_843:157142:159508:-1 gene:Ccrd_023253 transcript:KVH98525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRTTTQLANFLQFCIDKKSHINGKLLHAHILRTRLFDDTFLSNRLVELYHKCGRLITARRVFDKMPHKNLFSWHALLNAYCKVGDIDEAHKLFVKMPERNSISWNTLISALVRDGSEQKALNLYYDMNRAGYLPTNFTLASVLSACGALVDLKCGCACHGFATKIGLDKNIFVGNALLGMYAKCGSIGNAMKAFENLPEVNEVSYTAMMGALRETDHVEEAFHMFRSMHRIGIQVDAISLSSILGVCTRSGIDGSTVNGKQFHGLTVRLGFERDLHLSNSLLDMYAKDGDMDSAEMVFNNLPEVTVVSWNVMIGGYGQKYQIMKAIECMKRMQSFGLEPDEVTYINMFSACLKSGDTETAREIFNRMSCPSISSWNALLSGYSQIGKHKEAVWLFRDMQFCNVKGDRTTFAVTFSLCASLGLMKGGRQVHAVSIKTLVDDDIYVASGLIVMYSKCNKIEVAKFIFDRIREQDIVCWNSMMAGLSLNNLDNEAFMLFKNMLENEMLPTQFSYSTVLSCCAKLSSISKGRQVHAQASKDGTVNDVIVGSALIDMYSKCGDVDEAILFFDTMPTKNTVTWNEMIHGYAQNGRGDEGVSLYEDMINQSGEKPDAITFVAVLTACSHSGLIDDGIRIFNSMIQEYGVAPLPDHYTCIIDSLGRAGRFHEVEVLLDRMPYVNDPIIWEVLLSSCRVHSNVSLARRAAEELFRLNPRNSAPYVLLANMYSSLGRWDDVRNIRELMIEKQAVKDPGYSWFEHKDGIQEFNDDDNLRMVAKFEAETDEPYCLSGL >KVH98532 pep supercontig:CcrdV1:scaffold_843:25695:38238:1 gene:Ccrd_023243 transcript:KVH98532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3675 MKGDVGSNNYVYFLYSIHNINIEGEPTLFAISSSRLFSPRLVHLQALLIDHIHHTAFEMEDHLVLRVDQLVSNKSLHSSESVDAPGLSPDASSSHATGASISVTDTKEDAEYDESGEEEPLIQSIECRICQEEDSIKNLEVPCACSGSLKFAHRKCVQRWCNEKGDIICEICHQAYSPGYTAPPQSEDTYKLDTCWYSFRPE >KVF84910 pep supercontig:CcrdV1:scaffold_8437:640:2005:-1 gene:Ccrd_026645 transcript:KVF84910 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP domain-containing protein MDRVAEVGLNKVGEFNSQNVANIAGAFASMQHAAPDLFSEISKRASNIIHTFQSQELAQPLWAFASLVEPADSIFASLDDVYKDAHQYRCSLDKRTLKFTEPRGAESIKVEGFPPVLDFNRDQLGNISWSCAVLGQMDRTFFSHVWKTLGYFEEQQISEQYREDIMFATQIQLVNQCLKLEYPHSLLSLKSDIEDKIIRAGKTARFNQKITSSFQKEVDHLLVSTGLYWTREYVVDGYTLDAALIDLKVALEIDGPTHFSRNSGNPLGHTVLKRRYLEAXGWKLVSVSHQKWEELEGSHEQLDYLREILQDHTADEAGR >KVF84909 pep supercontig:CcrdV1:scaffold_8437:2172:3185:-1 gene:Ccrd_026646 transcript:KVF84909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGWDSPNKGMELEVESPKVDVVDDDEDGRGEEPTQSNLDWEDEFLGEIHPMSKRKQKEKSELLQETDSTDWCVRARKFALRSIQTRGLTSALEDPVTVNLKKKNKKKSHNVKKKPVVNKEKLTKESLDFDSDGEDEELNIEDLLYDKDQLKTSVSIMAGGMFKERKEKTMETFVERLSHFSGPHDRRKEINLNREIVEAXTADQVLEGTAEMIMXVAKGLSPSPLSPLNLATAIHRIAKNM >KVH96229 pep supercontig:CcrdV1:scaffold_844:11617:16605:-1 gene:Ccrd_001680 transcript:KVH96229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQEKERLNQILIHHLNSIHETFQVLDQSPPSSLEKVSWDDVVKMGQQLYKQATTVGMLWSGEGPDAKSLEESMGAYSNLLQGFLLLSHGSMIGAGTTLSSCIHASVKQVIDCSFMLLKESVASYGNSSKAHKLSIPQIVGTVWEACSALKKTPGTNITAIGRAMTQIAVSVKDVHREMKELKPASSDPSVEISDKVPKPENDTHDSDNSSDEELGSDLSPEEMKVTELAIDVVSETLSTIKEIIRSITGLLKNAQTPTESNQMVDSLERLLTSCRNMGLQIDEIGACLYPPQEVSAIKTASEKMMSFVGEMQVELENIKGSSDAFVHASNGLMSSLRQLESGLGCSSDDELASEMKNLDVDG >KVH96224 pep supercontig:CcrdV1:scaffold_844:135495:135914:-1 gene:Ccrd_001692 transcript:KVH96224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILPHSPFLSSRPILKTRNPKPHTGLLVFASKRDANGHMVDESMIVLRKRIHEMKMIEQDYEPPSDWMGWEKSCYTSYDAYICEVMGVLQSGLMNTRPSLALGLMALIMLSVPVSTVVVASNAVEVAKMVLNGLLH >KVH96226 pep supercontig:CcrdV1:scaffold_844:122791:123585:-1 gene:Ccrd_001690 transcript:KVH96226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A MALAYLGSKKVDAAALMASTSPSPPQRILTQDELKKIAAYKAVEFVESGMVLGLGTGSTAKHAIDRIGELLRQGKLANIIGIPTSTQTHEQALSLGIPLSDLDTNPVLDLAIDGADEVDPDMNLVKGRGGSLLREKMIEGCCKKFVVIVDESKLVDYVGGSGLAMPVEIVPFCWKFTAQKLQSLFEEAGCVAKLRISPENGKAYVTDNGNFIIDLYFKKDIGDLKAAGDAILRLAGVVEHGMFIDMATTLIVAGEMGVRVRNKC >KVH96228 pep supercontig:CcrdV1:scaffold_844:18310:20922:-1 gene:Ccrd_001681 transcript:KVH96228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNLTNVSVLNNPAPFLSPFQFEISYECLLPLKDDLEWKLTYVGSAEDETYDQVLESVLVGPINVGNYRFVFEADPPDALKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYEDEHLREEPPQKVLMDKVQRNILADKPRVTKFPINFHPENLEQSDHPHDSPDIAAEQEQPDFSPNHASETLLGQEVNPANCETKTEHLPALLST >KVH96227 pep supercontig:CcrdV1:scaffold_844:128408:129724:-1 gene:Ccrd_001691 transcript:KVH96227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 2 [Source:Projected from Arabidopsis thaliana (AT1G71090) UniProtKB/Swiss-Prot;Acc:Q9C999] MKPIPQDLLSAVVPLLKLLSLTIIGLILAHQKTQIIPKSTFKLLSKLVFALFLPCLIFNDLGRSITLHNLALWWFIPVNVLISTVLGFLLGIVVVLLCRPPPQFVRFTIVMTACGNTGNLPIAILGSLCHNKDNPFGPHCHQRGVAYVSLAQWVSVVLVYTLVYHMMEPPMEYYEIIEEENVENGMDTPCRPLVVEAEWLGGIEETEVSRTPVFANTFKSFFSGSKSSPNPPDDEEIQAHENETNTESIRCFAEPKVVQKMRLVAERTPIKNIIQPPTIASLLAIIIGSIPQAKAFVFGQDAPLGFITDSLAILGGAMVPSVMLILGGMLAEGPNDSKLGLKTTIGVTVARLLVLPLLGIGVVALAGKMHLRVATDAIYGYVLLLQYATPSAILLGAVARMRGYAVTESSALLFWQHLFALFSLSFYIFIYSKLLPLV >KVH96233 pep supercontig:CcrdV1:scaffold_844:48918:53749:-1 gene:Ccrd_001684 transcript:KVH96233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3675 MGDHFVFLVDRLLTESTLEAAIESRNPSKQLDPLGNAETVVDCSSDHVVFTPRKLVECRICQDEDFDLNMETPCSCCGSLKQFKPGYTAPSPVFRLGGIPLNLRYAFYSSSIYDLEGSRRGHWQIARRDMNNNPRLIAVVSPDRSFLNQEYDEYADSTSRSVSCFRSVAAIFVVLLFLRHALPVVANGGVNYSFPMFMASNASTSTLSSSDEGEAGMTPLPHVTRVR >KVH96234 pep supercontig:CcrdV1:scaffold_844:87968:93685:1 gene:Ccrd_001687 transcript:KVH96234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase MEAKVSQSLRLTTWISSDNAVPTVSNSMRLCTRFEKKKRLNMLKCNHLGTIKPAIGHRQAGLKASCTSQNSPASLVESGTFTSEEDLVLKMFELQKKSKEIEPYLNGRCIYLVGMMGSGKTTIGQILSEVLDYSFFDSDKLIEQAAGGTAVADIFRLHGESFFRDNETEVLHKLSLMRRLVISTGGGAVVRPINWKYMHKGISIFIDVPLDALAQRITAIGTASRPLLHHGSGDAYVQTFKRLSMLWEERSIAAKLGQADVCSVTPTQIAIEALVQIEEHLKE >KVH96225 pep supercontig:CcrdV1:scaffold_844:142332:144972:1 gene:Ccrd_001693 transcript:KVH96225 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MEGGWRTTRPGITRAGSDQDWEMGGGGSRRNLIVKRAHMENENLTQVNIIFVLSRLRHIPSPLSTTYHRYSSMAVQLTVILLVALLMAIISFTVALPSETISDATDTLSNSGYYAMSLTLNLVSTSLLSNTSSVTVFTPPESIFADHGEPSVSLLQLHFSPLVFSLSGLRSLPSGTKVPTMSSDTYLMITTPPSSDHVSLNNVKKGYYVMASFLNLQLLGFMSQPSLTLFAPVDEVMIDYSDRFPDYPLIVFTAYVALINVTRSDANLKVNEVPITFPDMYYSDWLVIHGVQELLSFPKPADNLDNSDGDSFNTIHFSTAGNQPTVPDASSRTQFKLLEILSNKIRKERFDINVEIIENQSPVF >KVH96235 pep supercontig:CcrdV1:scaffold_844:78236:87120:1 gene:Ccrd_001686 transcript:KVH96235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRETRSTEEEGASDLMEKAAEAADDLYRIRDTYFPPDPNDKISKLQTQSQVALNLLDSIPPEQRRVPTQRATYEHLKGKILDVFPEYTKKAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLPATRNCFNLALSKEEIVAESIKHAKEAIALDVKDGNSWYNLGNACLTCFFVTGAWDHSKLQQALKAYQNAEKDESMKSNPDLYFNCATVNTYLENYERALSGFEAAGLKDPCLNAAEEVQKMVNLFNKLDSLLKGQIKAKRLASLASSLTEVRLNPSYKRASVNNLLDGLNKTIAIVGKVLFFVKHENITPLYYLVCDSNQISFVLTVYSISNDARYQFRSVRVDFVEQVHVNGKAVSPHHSVRSSIYAQHKP >KVH96232 pep supercontig:CcrdV1:scaffold_844:60908:61948:-1 gene:Ccrd_001685 transcript:KVH96232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF4228 MGNYASACNLMISPRMKSNKAARVIFPTGEIRQFQESVKAAEIMLDCPNFFLVNSQSLNINRRFSPLSADEELESGNFYIMFPMRRLNSMITPADMALFWMSANSAAKRIESKKINGDAKAMEEDEVEQLRMAVEELPEFGYRMAE >KVH96223 pep supercontig:CcrdV1:scaffold_844:169061:173112:1 gene:Ccrd_001694 transcript:KVH96223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MFVDEIPDMPKIKGYHLLDGVPVSSSLKITMFPKYWKFHRDLPPTKVFAFGETKRTATVPGPTIEAVHGVSTHVRWQNRLPSKHILPWDPTIPTAIPRTNKGVPTVVHLHGGIDEPQSDGNSNSWFTARFQERGPTWTKKTYHYHNKQQPGNLYYHDHAMGLTRVNLLAGLFGAYIIRHPEVESPLGLPSGDEFDRPLIVFDRSFRTDGSIYMNSTGNNPEIHPQWQPEYFGDAIVVNGKAWPYMTVRRRKYRFRIINASNARFFKFFFGNGLRFIHVASDSVYNDQSVLTKEVLLGPSEIADVVVDFSKSKANSAILHNGAAYPYPGGDPADENNGKVMKFIINHHREVDSSRVPKKLIEYPMPSLSEVTKTRYIAMYEYTSATDEPTHLYINALSYEDPVTEQPKVGSTEIWNVINLTEDNHPLHIHLGLFRVLDQTNITNIEEFKECMTKINNAKKCHIEKYARGRKLSVVPYEKGWKNVYKMNPGYITKILVKFAYIHTNASYPFDATAEPGYVYHCHILDHEDNAMMRPLKMVK >KVH96230 pep supercontig:CcrdV1:scaffold_844:34737:43779:-1 gene:Ccrd_001683 transcript:KVH96230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr MYFESLDRLPIFNRNYVSPPVNLFRRCTTPIYLQPFSPSMSSVFKLLTLLLWSFMSLSLISSALLINPAAGSRSLLRAINGGGDQPDFAVDLNVTNFDAVLKDTPATYAIVEFFAHWCPACRNYKPQYEKVARLFNGANAIHPGVILMTRVDCANKDFSCCSFLFEVDLIAIPLRMMLILILRILLILFLGWYRSVIIEVNYTQVNINLCDKFSISHYPSLFWGPPSKFVGGGWDGKSEKSEIHPIDDGRTADRLLKWINTRLGSSYSFEDEKYKNDALLQSNVSDPGQIARAVYDVEESTSVAFDIIMEHKMIKPDTRATLIKFMQLMVAHHPSRRCRMGSADILVSFDDLYPSRMWSASKHEYENGTRHGLQGKFNICGKEVPRGYWMFCRGSKNDTRGFRVSTPFSSTRDFVLWLWTTHNEVNKRLKKEEASLETGDPKFPKAIWPSKRLCPTCYASQNQNEESSSIDWDLDEVFKFLGNYYGKTLVSLYKEKDKEILSHTQKKTNAVSEDMIQSTNALVVPVGAALAIAVASCLFGALACFWRSQQKNRKPRRSWS >KVH96222 pep supercontig:CcrdV1:scaffold_844:93839:96700:-1 gene:Ccrd_001688 transcript:KVH96222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MIIRFLHVPPIPQSSQNPTKIHHNTLKKTSVSPKNGVLTATAPPPLVTTDSGLRFREKILYLKSLKVNPAKALHRNPNFRSTSLQSLKSVEKCLSSMGIERSEFGRIFDMFPQLLTCDPDTDLYSVFGFLLDDVGLHFFDIRKSILRCPRLLICSVEDQLKPTLWFLRKLGFMGSSKITSQTTMLLVSSVKSTLMPKLDYLMGLGFEYEEVANMVLRSPGLLTFSIENNFKPKVEFFLNEMKGDLGDLKKFPQYFSYSLEGKIKRRHRLLVDQGVSVPLWEMLKVSDGEFTARLIEARLRLGDKR >KVH96231 pep supercontig:CcrdV1:scaffold_844:22637:26585:-1 gene:Ccrd_001682 transcript:KVH96231 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MASRRLLASLLRSSLHRSPSRSPFTHSSRIARTRSQSHPSPTGYFLNRAVNYATSATAAPSQPPPAAPAKGSETGKITDEFTGAGAIGQVCQVIGAVVDVRFSEGLPPILTALEVLDNSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDHRGDIKTDHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMMESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESIVSFQGVLDGKYDDLSEQSFYMVGGIDEVIAKAEKIAKDSAAAS >KVH96221 pep supercontig:CcrdV1:scaffold_844:98941:103017:-1 gene:Ccrd_001689 transcript:KVH96221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPTLSLSLHLYIQFLDRFLAFSVINFIQELISRIWGSLDFIYGVRLSFANINSNCRMKKNPFFLLFFIVFITSVSSLSSHSQDQSKFILGEDSLGHWRNGILSSSAAAEAPGPANDPSSTLVLAGSRTRRLDVLNHFKRYRGGWDITDKHYWATSKAKNPVVLKGYASFCFLFSHVLQLGQDEFHGEAIETLNYVVNQSDYTVQTLINITGYLSLAKSVNVAQVFLPSDVKDDIDKLNVDLANVAGTLRQKTDQNSQKIRTVFDAVRSSMIAVAVVMLLVSLLGLFLSILGHHNAIYIFIIGGWLLVMVTFILCGVFVIINNAISDTCMAMGQWVDNPHAETALSNILPCVDQGTTNDTLYKSKLVVNDITNIINGFIGTFANSNAPPGVNSNYYNQSGPLMPYLCYPYDSRLQDLECPSQEVSMANASVVWQKYTCSVSESGFCTSSGRLIPEMYQQLVGAVNISYALQHYAPPLLSFQDCNFVRETFRIITLDHCPALEDRLRMVNAGLGLVSVGVMLSLALWIVYANRPQKEQMRWEVKLEDVRI >KVF84623 pep supercontig:CcrdV1:scaffold_8442:2480:6378:1 gene:Ccrd_026647 transcript:KVF84623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MEEIVKDLKEEVRKDLVASLNVPMEHTNLLKLIDAIQRLGIAYYFEDEIEKSLQHIYDTYGDNWNAGRHSLWFRLLRQQGFYVSSDIFSNYKDENGCFKESLTNDVQGMLELFEATYMRVQGEVILDEAYVFTTSHLDEISKDPLRSNSTLSSLIQATLKLPLWKSFPRLEALHYIPFYQKQASHNESLLRLAKWWKDIDVSNNIPYTRDRIVECYFWALGLYFEPQYSSSRVFLTKMLAIITIIDDTYDAYGIYEELKLFTEAIERWSITCLDMLPEYMKLIYQVLMDTYKEMEEKLAKEGKAYHLSYSKEFVIKMVKSYMAEEKWVNDGYIPTTDEYLSVALVSSGINMIVSSSFVGMGDLVTDDSFKWILTKPLIFRQSNVIGRLLDDIVSHKEEQERKHVASCVETYMKQYDVTEQDAYDYFNKKVEDAWKDINRESLIIKEVPRPLIMRVINMTRTTNYLYRDGENFTHPGEEFIEHVKSLFIHPMDI >KVF84624 pep supercontig:CcrdV1:scaffold_8442:10487:13245:1 gene:Ccrd_026648 transcript:KVF84624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSSGSNSLKEYLKRYGNNEEEEKKKQKKQKKKKKSRPDMNGFLVVDEDPVWQKPIEVEEEDDESQDEEKPQVDEDIEVKRMKRLEQLKTRRPFGAISEDGSGWVSVPDTAKNLTLDELNSGISPLRKRSAHDDTSSPEHELGLSIKTLNSDSSPPRKRRARNDTPSPEAMESDRRNADDISPPRRRXKPMSPEVRRRSPLKTTQDAKHGHDIDISPPRKRRPRCDTPSPEPNMKPFRLGREDSDTTKPRHRTKHAHTSSPEPEMKLTZSFKLEPDLSPPRKGRPQELVNSDLSPPRRGHHKSSNENRPRASVVGDLSPPRKXREARHQILDDPHRQSVSVSDLSPPRKPVKESGSLXELRKTGLVSGKDIKEEIAKTKKEDWLR >KVI06094 pep supercontig:CcrdV1:scaffold_845:105740:112260:1 gene:Ccrd_015564 transcript:KVI06094 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI biosynthesis protein Pig-F MKNKKKGHNIKDITRGDAVLIEVPVVVLLYSLFRKDMNQSSYLKAVARGLLGLPVGAVVHALGAIVLGAPVGTQYFLRTLNWSLLMSTFTFVPAASVYGSSWVDWHRIFAQTKPIGSIDYMICLPAHGAVIGGWFGAWPMPLDWERTWQEWPICVTYGAIIGYLVGMLASFCSAVFRVSSQHVKGD >KVI06089 pep supercontig:CcrdV1:scaffold_845:71956:73882:1 gene:Ccrd_015561 transcript:KVI06089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MKIEVRESTMVRPAEETPRINLWNSNVDLVVPNFHTPSVYFYRPNGAANFFDPKVMKDALSRALVPFYPMGGRLKRDEDGRIEIDCQGQGVLFVEAESDGVIDDFGDFAPTLELRKLIPAVDYTLGIESYSLLVLQVTYFKCGGVSLGVGMQHHAADGASGLHFINTWSDLARGLDLTVPPFIDRTLLRARDPPQPAFDHIEYQPAPPMKTAPTPTPTDDESVPETTVSIFKLTRDQVNALKGKSKEDGNTINYSSYEMLSGHVWRCVCKARGLPDDQDTKLYIATDGRARLRPSLPRGYFGNVIFTTTPIAVAGDLQSKPTWYAASKIHDALARMDDDYLKSALDYLELQPDLKALVRGAHTFKCPNLGITSWARLPIHDADFGWGRPIFMGPGGIAYEGLSFVLPSPINDGSLSIVISLQAEHMKLFSNFLYDI >KVI06102 pep supercontig:CcrdV1:scaffold_845:152904:155177:-1 gene:Ccrd_015571 transcript:KVI06102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPSESLNSNSEKSLSSDCGLWGGNESHRVPKRHINDTTQYLKLISQLPSLTLSLWCESRIKDKIIDFLSSPALFSSDLLFTAMVLDGIITSPHRRSQTAFSSPSFKKQYTKEDELGSFSTVIRRHRYLLTALVLLAVLCTIYLYFAVTLGAGDVCYGLNPTQKALCRVQLAKESIAKRKLKL >KVI06100 pep supercontig:CcrdV1:scaffold_845:157868:163375:-1 gene:Ccrd_015572 transcript:KVI06100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock chaperonin-binding MKLTVKTLKGSHFEIRVQPSDTIMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLADNKVTEEGFLVVMLSKSKNSGSGGASSAQLPSTTAPASIPTSAPDAQPQASKTSTSSSGPATAPPAPAPAPAPAPANSDTYGQAASSVVTSTGVEQTIQHIMDIGGGSWDKETVTRALQAAYNNPERAIDYLYSGIPDTVEVAVPVTQLPATQAGIASATGVAPLSGGPNASPLNLFPQEITSGAAGGNLGSLDFLRNNQQVFQSAFQFQALRSMVQSNPQILQPMLQELGKQNPQLLGLIQENHAEFLQLINEPVDASEGDLFDQPDQEMPHAISVTPAEQEAIERLEAMGFDRTLVIEAFLACDRNEELAANFLLENAGDYED >KVI06096 pep supercontig:CcrdV1:scaffold_845:118482:124343:-1 gene:Ccrd_015566 transcript:KVI06096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HHFTRCVIVRRTKPFSPGQLDSVSAHHHHPRKHRFQERDKKTNCNRLENLKGFQLTVSSESQSEPCGQMAPVKREITDQSSQHDNEHHPPNKRSKQQDFGVGGFPVSQAQVNPLDEPSPLGLRLRKSPSLLDLIQMRLSQGNASSKAGVHTVKEHKITAASAASAATDKLKASNFPASLLRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIMALKATCPDDGPGTLDIVLTRQPLFFRETNPQPRKHTLWQATSDFTGGQASLHRRHCLQCPQGSLGKHYEKLIQCDPRLNFLSQQAEVTLESPYFESRRSVFEEPSESIEYNLSSDGPTTLELRGTTSPSGGQSSSSRSELHEPAGSRHTELLRETPSPSSVMETSASEEMRRAGLKGLNRWDQMQVPGLHSSMSMSDLVNHIENRITKHRTSGDQPLSHEEQESLQILEDISRCLFNDAQYGTSTSDEKSIMSRVDSLCCLLQKDPTTIQDLKTDGSNGKLTTETESVSGSKVAEDSSSILEAEGEADDSKKGMPRNDSVGELLLNLPRIASLPQFFFNLSG >KVI06088 pep supercontig:CcrdV1:scaffold_845:138195:142181:1 gene:Ccrd_015568 transcript:KVI06088 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAKISQKKNAASNGNNETKPVIGKPKRTRKTVQRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQGFLLSDSKLNRAYDDEKAAAHAYDLAALKYWGQDTILNFPLETYKEELRGMEGLSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLRPNQTDPNNSNGIQINPSQHPGSSSLSNNQNQQPESSSSGETTTSIPPPPSSALGLLLQSPKYKEMMEMTSAVDSPSTPPESDRPRRSFPDDIQTNFYCHDSNSYAEGDDNIFADLNSFSAPMFESELDA >KVI06091 pep supercontig:CcrdV1:scaffold_845:96053:97637:-1 gene:Ccrd_015563 transcript:KVI06091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MELLLTEENGKKRSLITDENSERKRRKQEHSNYGDGMAWFRGSLIGKGCFGSVFLANLKKPKSRYSSYPPIMAVKSAEVSVSSSIQKEREVLNNIRGCRNVIRCFGEEITTGENGQMVYNLLLEYGSGGTLADLIKKSDGNGLPEFDARRHTRSILRGLRHIHHCGYVHCDLKPENILLVASSVDGGFTAKIGDLGLAKRAKQSKKSKSVSYWRGTPMYFSPEVVIDGVQEPPSDIWTIGCVLLEMLTGKPPWDSKPETNGDEILSRIGENNESPSIPTTISREARSFLKGCFSRKAMYRWTAEMLLAHPFLEGIGDDEDDDHKVEEPEVLDINAISSSMMSESEDDDEISFSSFSDGSEEELYFWSEEDADGMENEISSCFSVEESLKADRSTTAPLNEINYGFRSSLLRVFFKHLWQNTYLDSCLSETLNTLHLRSSLVIK >KVI06099 pep supercontig:CcrdV1:scaffold_845:33212:39830:-1 gene:Ccrd_015559 transcript:KVI06099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MNSRKLPTTKNEAQQRFELLSSAWLLAVDDGDEGRQAAAVQGGGRQQSPAMRKKQQQLCRFQSSELISGSGHHTKVQLAMHKVQDIAKKDNTEVQTNKRDVLHRHLSIISEDATSRIVSLTPRTFQMGDLRERLLPNSASAVDLGDASYGPSASSRQPFQGFVDVLGLKKRGQGLRSWIRVDAATGDSQNIEADKFTVMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSIDSYVLQYVVELQRRLKAQGAGEVWQSQGSYSNRSRGSRNFGDISGNSSADYLPFEFKALEVALEAACTFLDTQAAELEIEAYPLLDELTSTISTINLERVRRLKSRLVAVTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESFSSGEQSMMGYRSTDGVQSVSAPVSPVSSLPDGWKLEKTLSMARSRHDSMRSSESAKQNIEEMEMLLEAYFVVIDSTLNKLTSDNVRNQLIQFELLLTTATFVVAMCGVVAGIFGMNFPITLFDSPSAFNWVLLITGVIGAIIFCSFLWFFKRRRVMPF >KVI06092 pep supercontig:CcrdV1:scaffold_845:77871:78623:-1 gene:Ccrd_015562 transcript:KVI06092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTIIAYLLILFLSDQARSCSVVDNSPSIQQRFHGVDCLRSNENELKHGSEYNIKQGQKARQIPTGGSVNMNRHRHAPRNKAPLKLKRSFTISFGPCFLLLFAF >KVI06087 pep supercontig:CcrdV1:scaffold_845:143536:147265:-1 gene:Ccrd_015569 transcript:KVI06087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby, C-terminal QSPLSFQIQTYHLWHWQQPPTSSFSKPSSSLPKWQIPTLLTEIKHNRSSSDPIHDPQIIANLSDDKENSEPNKMKNNNCKPDDKENVDSNIVVSKQPPIAKTRLKDRAWKPSSLQLCMQLNDPNPTFGSNFYEPIGSNKNNSGNIWDHSDSEAAPASSWSTLPNRSLLCRPLPEGQGRQNRKLAVAHHRRHNGRSEFIIAQNTKGILCCADDSYVGSVTANLMGSKYHIWDQIFPYNYAFTELNQFPFPQNSFVPTIATWTGSYRSMKIWLPKHQSMQLKNTTQVSKQYELDFRNRGKAAGLKIQSSAKNFQLTLEKNGRQTILQLGRIGKSKYVMDYRYPLTGYQAFSICLASIDSKLCCTM >KVI06101 pep supercontig:CcrdV1:scaffold_845:151031:155162:1 gene:Ccrd_015570 transcript:KVI06101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYKRVALSTQSAQRNTDETNLIHGTESTMASLTTLSLSSTSSLWYHCTKPDSLFNLRYSRTITCMAAATKVIPSIIVGGGRVGKALQDMGGGDDLLVKRGQPVPIDFPGPILVCTRNDDLDAVLQSTPKSRWSDLVFFQNGMLEPWFESKGLGDADQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGKWGSAVAARLEAGGLSCKVLEKEPFEKQMLEKLIWIAAFMLVGARHPGATVGIVEKDFRSEVGSLIEELASAAAAEKGIFFEEGIEDRLCAYARAVSHFPTAVKEFKWRNGWFYSLSEKAIAQGKQDPCPLHTSWLKDLNIVQ >KVI06095 pep supercontig:CcrdV1:scaffold_845:127088:131186:-1 gene:Ccrd_015567 transcript:KVI06095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MDEEVVKIGAREEDNFVRRKEGANGNLIWRPVNWFRMLSDELSWRFVLAVVIVYGINQGTSIGLYRVSVQYYMKDVQKLQPSEAQFYSGIIQIPWIIKPLWGLMTDIVPIFRLRRRPYFIFAGLVGSISMLVPAFSTDLSLVWAILSFMTASAGVAIADVTIDACVTENSISHPSLAGDLQSLCAISTSIGQLVGFAMSGVLVHIIGPKGVFGILSIPAGMVILVGMMLQEPLVHSYSHKRVSQKFLDATKTMVTALKCPDVWRPCLYMYLSLAFGLNIHEGMFYWYTDAKAGPSFSQEVIGSIFSVGAIGSLLGVLLYQNVFRNHPFRHVLFWTQLLFGASSLLDLVLVLRLNLQFGVPDYIFVVMDEAVSKMIARLKWMPLLVLSSKLCPSGIEGTFFALLMSIDHVGLLSSSWAGGLLLHALNVTRTQFDNLWTVVLIRSFARLCPIGLLFLVPRNDPDSSILPSELLLTKKGDDVLESEDSEMISLVNNHV >KVI06097 pep supercontig:CcrdV1:scaffold_845:26835:29584:1 gene:Ccrd_015557 transcript:KVI06097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFLRHLNPPQVVVIREYKEETDKAAVEALESRCDFRQRRKPSLVTNLLGDPLCRVRHFPLHVMLVAEHGEEGEIVGGIRGCIKTVSRGEKHPVYIKLGYVLGLRVSPTHRRLGIGTKLVQRLEEWCMKNGTEYAYMATDSQNEASLNLFTLKCDYIRFRSPIILVQPVHAHNKPLISGVVIKRLDPQLAGSVYRKVLANSEFFPKDIDVILNNKLNLGTFMAIPKGYLDNWDPKMTILPPSFAILSIWNTKEVFQVQVKGVSPLTYAECAGSRVLDTLMPCLRLPSVPNFFEQFGVYFLYGLHMRGYGASRLMKSLCGFAHNMARDDNICRAVVAEVGHNDPMREVVPHWRKFSWAEDIWCIKKLGPAKEDINKNCGPHDWIKSRSSPPVIFVDPRDF >KVI06098 pep supercontig:CcrdV1:scaffold_845:29870:32293:-1 gene:Ccrd_015558 transcript:KVI06098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFSAYLARTVNQPTHSYRFLPFILRSIAAQHGSSYSHSTYTFSQAFRQTLGIRSPRLDYSYFSTLQPPHVHNHYETINFTQLTECIDESKKLGVIELVKLLRSNFDSEGVESKDFLDGSGIKPSEDLIVSVIWALRDEWKLAFFVFKWGQKWRCDDEKAWSLIIWVLGNHKKFNNAWCLIRDLYQSSMDTQRPMFILIDRYAAANEPAEAIRTFQIMEKFKLSPDQKAFYTLLYTLCKHGNVEEAEEFMFLNTKLFPLETEGFNIILGGWCNIFVDIFEAKRIWKEMDKCRVIPDETSYTHLISCFSKVNNLFDSLRLYDEMKKKGWKPNREVYNSLVYVLARENCYNEALRILHKMKEANLKPDSSTYNLILRPLCESGKLEDARSILSRMLEENVSPAIDTYHAFLEGTGVSFEERLELLDRMAKSGNGPNKDTFLILVGKFFQLDEVENALKIWVKMKEFEVSPDSAHFMIMVEGLVRHGLTGKARELYADMISIGITDDPKLKKLLKDSSQDDERRKIKKPGMRNAKYGDRGGRGRRMKKWVRGK >KVI06090 pep supercontig:CcrdV1:scaffold_845:46727:48184:-1 gene:Ccrd_015560 transcript:KVI06090 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MASAAGIINGCVSSSSSSWGQLRNLHKKKAVNGGGGERRFRVRCVSTSPVASDPYKTLSIRPGASESEVKKAFRQLALKYHPDVCRGSNCGVQFHQINEAYDMVMNNLRDETSVSEMDVYGPSDAGIDEPMRGMDDPEWDMWEEWMGWEGAGIRDYTSHINPYI >KVI06093 pep supercontig:CcrdV1:scaffold_845:114611:119433:1 gene:Ccrd_015565 transcript:KVI06093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKKEVQISLVNGFSPVSSTPVFWKSRKRSDKAMKRFEPRLYLCRASMKNLYKNVTDEADKTSEKKEENSSDEKMEETTPPTVLSEKRKALFEPLEPIMDLNGRRPSAESLLPPPDFDSASYPRGWLIGKKRKLVNVDVVESMRRIADREIDGLNEQLDEDSRVLEHLQLQLLNERSKRADVERQNAMLQGQVDMLMNMLHEPENMDDQEGPQDP >KVF84298 pep supercontig:CcrdV1:scaffold_8450:235:12210:-1 gene:Ccrd_026649 transcript:KVF84298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTAGVNLSFQGNYVVISNGIVKVTLSNPQVYVTGIQYDGIDNLLDVINEETNRGYWDVVWSDWGATKTTGSMERLEGTHCDVIVQTKEQVELSFSRTWTYSNKGKLVPLKIDKRFILLHGSSGFYTYAILEHLAEWPSFNLDYTRLVFKLRRNKFHYMVVSDYRKRDMPSAIDRTPERSQILDYPEAVLLVDPIEPRFKGEVDDKYQYTSELKDLGVHGWISNDPPVGFWHITPSNEFKVGGPTKSELTSHVGPTTQTLFSSAHYGGNDMVLKFGRGEWWKKVLGPIFIYLNTVPNRKDLHMLWDNAKNQMSTEVKKWPYDFLASKDFQSASQRGAVTGRLLVLDRFITGGRSVPAKRAYVGLAPPGDIGSWQRENKKYQFWTETNEKGHFSIPNVIAGKYNLYAWVPGFIGDFRNSEIIDIASRVNINLGDLLYEPPRDGPTLWEIGVPDRTAAEFFIPDPNPKYLNKFLLIYGPNSVTVFLLTATEPIGRNQSSELFFPLATMERLELVDATEFVAAPHCCWKRCSHHQENSDYERKVRINDLHKLPLFSTGIIGRGNAIARHGIHGLYWLFNIEIPGTNLYTNGVNCIYLTQANNGSRFQGVEIRGGGLGYAQFKHVPNVEHAPVDKHGEPGITTKDIGESSRHNQESDDGMTKEECIRSMWKEIDDLCTAIEEANLHLLSLIDRFPGDVEIEEFKYKLAQVLKGSKWYTQPTEPTVYEQSQPPTADATSPTTGHVPTLAIVEVTPAKVATISDADLLSPLSQFWTSPTVIAEVDRASNEKSAHLTRYNMRSSKQADHTGQLKMPSFNSQVDKVGIVRNRTIDQSKS >KVF84113 pep supercontig:CcrdV1:scaffold_8457:6672:7088:-1 gene:Ccrd_026650 transcript:KVF84113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEKQMLFDLQEKYGNKWAKIATYLPGRSVVHVKNIWYNHQEEEEEEEEEEDDDDDFKVGCIICYEQTRPKESRCFFVVVDDDHCVKVDETLHRIVNEQSYTNYEDSGVQVCCWCTLLIMEKGRIFLAMLTQLLQTPLV >KVH88512 pep supercontig:CcrdV1:scaffold_846:36629:46576:-1 gene:Ccrd_026651 transcript:KVH88512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAGRSVHSGDVVKKKSSSGCLIIKKKVADGFGGVKGFSDSASRNLFNPKEKKRPRVVRSDSESSDEFSEPYPRKVDKFHNGSVFSNRGFMENREFETENRVKNGIDVFEFDDYDERRIRQDAHDHRHGLKLHWQNGDRKESASGSSRRIDDKRRDIFRDNRSTILGAKSRRPTHGVKSRYEIEDDESILPFSVLSDKYQEVSDEREPIRLQGKNGVLKVMVNKHKQMGISRQTYDPAEAEEWEESRPAILKKKQLNVPHRSHDRWEAVDRQGSRSEDADKEKDTKGSSLYSALKHPGRQIPSKRREKDELELKKPISSKEMDDSDSSLPLKSSSVHQCTTARMVKNEQRLSPPTKNVTAVKGKESKVKRGSGTEKQLLREKIRSMLLGAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYEALQKEEGDHSQAGGDFTPLPVETLSKLTRQTRKKIEREMKKKRRDEGNSRNGKRDRTEVSAEAAESDQQEEKLGSYMKHSRKTTKRRLEEASQDSGDDSNDNDDRGTAKQDRATKATVGHNSHMVQGRKSRKLGRCTLLVRSSDEGKSSTNDRFVPYSGKRTLLSWLIDTATVQMSEKVQYMNRRKTRVMQEGWITKDGIHCGCCSKILTVSKFELHAGSKLRQPFPNIFLQSGQSLMQCQIDAWNKQEELELKGFHTVDVDGDDPNDDTCSLCGDGGDLICCDGCPSTFHQSCLGDWHCPNCSCKYCEMASTDFTGASVRTRSPLLVCCLCEKKYHESCSLEMDEKPIDPTDPNLSFCGQKCLELYSHLQKLLGVKHELDSGFSWSLIRRSDISSDTSSIELSQRVECNSKLAVALSVIDECFLPIVDRRSGIKLIHNVVYNCGRLNYSGFFTAILERGDEMICAASIRIHGTQLAEMPFIGTRHIYRRQGMCRRLLSAIESVLSSLHIEKLIIPAIAEHMHTWTGVFGFNSLKESHKQEMRSMNMLVFPGTDMLQKSLGNTISDKDKRSIEIEGSMPKAEKSEPDVKELSEKIEDPTVPLDDASDVTLSVGSDKDDIPLSSLARKSENVCGSTVQFDADAASSDIRCETEIQSVFLNVRSDANSFPLQDPDFVLHDAETSDNPLLAKVSVSGSNVEEFVAKTTDAGGLFQPQVQTVPSSDVSCESKLQHMGKEFISVEEIAFQTGIGTNLHSLQVPVVPSDNPCELTGKESVADSDSEVDGRRADAIPHEAHVGVSSDIHFESAQQFSGLESLPHF >KVH88514 pep supercontig:CcrdV1:scaffold_846:62396:68500:1 gene:Ccrd_026652 transcript:KVH88514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase A MKEVILSSLSLNFSFDIVHPLNLQDGMKKRDFFLKFMKRSYISEIMAKGKIAKDVTELIGNTPLVYLNNIVDGCVGRVAAKLEMMEPCSSVKDRIGYSMISDAEAKGIITPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSMERRIILRAFGAELVLTDPAKGMKGAVAKAEEILAKTPNAYILQQFENPANPKIHYETTGPEIWKDTNGTIDAFVSGIGTGGTITGAGKYLKEQNPNIKLYGIEPTESPILSGGKPGPHKIQGLGAGFIPGVLEVDIIDEVVQVSSEEAIETAKLLALKEGLLVGISSGAAAAAAINIAKRPENAGKLIVVIFPSFGERYLSSVLFESVRREAENMTFEP >KVH88513 pep supercontig:CcrdV1:scaffold_846:128071:138458:-1 gene:Ccrd_026653 transcript:KVH88513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine/uridine-preferring nucleoside hydrolase MAIFLALRSPEIKVIGLTTIYGNVYTTLATRNALHLLEFAGRTDIPVAEGSHVSYMKATKLRVADFVHGVDGLGNQNFPQPKSKPIEKSAAEYLIKQANLYPGEVTVVALGPLTNIALVSFATLFPFGIRNFYFIGTPAIQLDPAFAKNIGQIVLLGGAFAVNGNVNPAAEANKVLTCLCDHNRSSLELCAKNFVMNSHFISPLGLDKQIFGDPEAADIVFTSGADVLAVGINVTHQVIMKDDERDKLAQSDGMFAKYLCKILDYYFSYHRDAYSMKGVYLHDPTALVAAINPSLMTYTEGVVRVQTTGITRGLTLFFNKQKRFEEATEWCNKPTVKVAVTVDAHAVIKLVMERLMNS >KVF83407 pep supercontig:CcrdV1:scaffold_8469:511:3157:1 gene:Ccrd_026654 transcript:KVF83407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A MASLSFLSCRPTSSLLRRHHHHHHHNAAITNPTHLPAITSPRFLTIKAFSAPSPVQPLTQDDLKKLAADKAVEYVTSGMVLGLGTGSTAAFVVSKIGELLASGQLKDIVGVPTSKRTQEQAASLGIPLSILDDHPKLDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFVVVVDDSKLVSGLGGSGLAMPVEVVQFCWKYNLVRLQDLFKGEGCDAKLRLDGDGKPYVTDNMNYIVDLYFKTPIKDAWAAGKEISSFEGVVEHGLFLDMTTAVIIAGKDGVSVKSK >KVF83406 pep supercontig:CcrdV1:scaffold_8469:7337:12472:1 gene:Ccrd_026655 transcript:KVF83406 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKDSNLDLFDPRTAVMDASDYTIEVARGDADFGFAFNDSNFSDRILRIEIISESAETRTDGEGCTSLADWDRNRKRRREDIKRENAFTAVDMAAAGPEEQILNQPDNIPDDGDTENQEEEAAAMIEEPQSGDEGANDVDSNWSMECSTVLRVKTLHISSPILAAKKEAALMELLNFMYSNTLTVTTAPALLDVLMAADKFEVASCMRYCSRSLRNLPMTPESALLYLDLPSSVLMAEAVQPLTDAAKQFLAVRYKDITK >KVI12379 pep supercontig:CcrdV1:scaffold_847:2580:24395:1 gene:Ccrd_009200 transcript:KVI12379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MEIKEDKDLRWFDVEFVPEDYIFSKEERPRNLDIPVCDLIPVIDLAKAAASSGRTQPIEAVLTASQEFGLFQVVNHGVPEKTLNNAMCVVKEFFDMPSKDRTGIVPHMKNWIYTNSTDFAKDGVHLWRENLKHPCHPLEKSIPLWPQKPTRYQEVIAAYVAEIQKLSSRILEMICKGLGLDPGYFNDKSEVQLLSTNFYPPCPDPSLTLGILAHQDPSLITLLYQGNSTGLQVLKDGEWINVGVIPNAFVVNIGNQLEIISNGKLKSIKHRVVNSMHETRRSIATFVNPSPDCIIEPAKILVNELEPIRYKACQYKEYVHRNKAFGDYTVALQNAVHAENSMEMKEGKDLRWFDVKNVPEPYIFSKENRPQNLDSPVCDSIPVIDLEKMPVDEILKASQEFGFFHVINHGVPEKTMNDAMDVIKEFFDMPSKDRSGIIPNSKNWIYIDSTDYNKDGVFLWRENLKHPCHPLEKCIPQWPQTPTRYQEVIAAYVVETQKLSSRILEMACKGLGLDPGYFTDKSEVQLLSTNFYPPCPDPSLTMGILAHYDPSLITILNQGGSTGLQFLKGDEWINIISNGKFKSPKHRVVNSRHETRRSIAIFLNPSPDCVIEPAKVLVDGSEPPRYEANQYKDFIGRNKSFGDYTATLLTQSDN >KVI12365 pep supercontig:CcrdV1:scaffold_847:111193:112341:-1 gene:Ccrd_009210 transcript:KVI12365 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAPSFDDHTTSSSRAAAALTAPPYHPLLPGLPDHVAPLCLSLLPPSTLFSVCRSWRRLIYSDSFPAFLSLYTLSSDHNSTLQLSSFDPISSQWTVVAPPLSTTHLCRFCLRHPSFISRNLSIQSVSVSGHLILLAGTSSDLLPALSHPLVFNPLSKTWSFGPPLSTPRRWCATGSSRTAVVVASGFGSHYTQTVARSVEKWVFRETDFSDRKREDSKWVWKNMRSLRDGRFSREAIDAVGCKGKLYMVTCAKEGVVYDVNSDGWSEMAEGMLGGWRGPAAAMDEEMIYMVDESKGVLRKYDDLMEMWVDVLENDMLKGAEHIAAGGGRVCVVRGGGGGILVVDVVSSPRRLWLLDTLAGHQVLALHILPRMCSPEFQSPVVI >KVI12373 pep supercontig:CcrdV1:scaffold_847:94098:97855:-1 gene:Ccrd_009208 transcript:KVI12373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPKLLLGLFLCLLGTTALATTRSPRLGGMEMCSLQRLTASQPSQRYEYEGQGIIGVHFPGCAETYDTGVQQQQQQQGARRMGEGGQFQQTDSHQKVHRFYPGDIIAIPAGAVHWTYNDGNQDVVAVSINDLNNPANQLDMQPRPFYLAGGSASQSSTPWQQGKGSQQQGSFNFQNIFSGFDTELLAEAFNSDPQIVRAMQESRNRGLIVTVQQPMQFITPDEQQQGQSRQQQAGRGGGLNGLEETICSEKLLYNLDSQREADVYSRQAGKLNYVNQHKLPVLAYLDLSAEKGYLQPNALFSPHWAVNNHAILYVLRGNARVQIVSNSGQTVLDQQVNRGDIVVVPQFFATTAQAGESGFEWVAFKTNRSPMKSPVAGYTSVFRALPLEVITNAYQISPSQAQNLKTNRETESMLFSPQWTSQRS >KVI12370 pep supercontig:CcrdV1:scaffold_847:144829:147974:1 gene:Ccrd_009214 transcript:KVI12370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho termination factor, N-terminal MLPASHLLSHNVPGYGSSDVRCLKAFVASPCFSCNDYRRFQKGKMVPFGCSSRGSMSFICNANSSGQRRNPDFSRQNRQGFSRNKNRQNEDRDGIDGFEDSEVFSSKNGPSFLSGPASPKFQSTATPGPREREIVEIFRKVQAQLRERAAQKEEKKVEESQGHNKQNETVDSLLKLLRKHSVQHGKSSSSSSRSNRDFVLDHQPEQNNSFIEDKTLKESNNNRVKHEVQYTETQSVRPASNFQRRSPVPRFKYKPILSGEESFTPGSLSRTDGKRKEVVHEPDSTPEIKMDTEPEPQIESKAFRGFDESSDDETSDIEDTADEVDDSEEANVIENNDLSGMKLPELRAVAKSRGIKGLSRLKKRELLDLLTN >KVI12380 pep supercontig:CcrdV1:scaffold_847:62893:64473:1 gene:Ccrd_009203 transcript:KVI12380 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MATAGNLKVLNALDGAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKMLGRIYYTKPGAIKPGSLPPNVSATVNGVALVGTLAGQLFFGWLGDKLGRKKVYGITLMLMCLCSIASGLSFGSNPKMVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGGFIAAVFAMQGFGILGGGVFAIIVSSVFDARFKAPSYEVDPVKSTVPEADYVWRIILMVGALPALLTFYSRSKMPETARYTALVAKNATKAASDMSKILNVEIESDQQKLDEKSRNNDFGLFSKEFVKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFTAIGWIPPAKTMNAIEEVYRIARAQTLIALCSTVPGYWFTVFLIDRIGRFTIQIIGFSMMTIFMFALAFPYNHWTRPENNIKFVVMYSFTFFFANFGPNTTTFVVPAEIFPARFRSTCHGISAASGKLGAMVGAFGFLYLAQNRDPTKTDAGYPAGIGVKNALIVLGVVNFLGTLCTFLVPESKGKSLEEMSGETEKETTDSPL >KVI12377 pep supercontig:CcrdV1:scaffold_847:75392:80301:1 gene:Ccrd_009206 transcript:KVI12377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF647 MHKNEPRNALIEDVAVSVSWIETSDTVSRHFQFQPDGQLSVSIQSILKVLDDSRPFVHKITESFVNKFFPSGFPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLYAAGLRPTPAQATAILKDGMQHVGKLICSNLGAQMDSEPKRWRILVAARATRLTIYSSFAKEGNLSDLFAKGEAISTVFNVLGLGAGIQLASTVCSSIQGKMIAGPLLSIIHVYSVCEEMRAAPVNTLNPQRTAMIVEDFIKTGKVSSPVDLRYKEDLLFPGQLIKDAGNVKVGRDFHKAIKRSRLAELKEIFPDEKFLLSCGNRWTDMVLEHNATGEDALRGWLVAAYASKMEKSISEWNSRTLQEAYEKMKLVMPTLLPEIQAKGWHTDRFLDGSGKRFGF >KVI12374 pep supercontig:CcrdV1:scaffold_847:69924:73793:1 gene:Ccrd_009205 transcript:KVI12374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGQQNSSNPSAVIAALLCKRAKHHEDLRTIEKQVYDMETAYLQDPSQCGNVLKGYEGFLSASKSTSLYKRSRKFQPEDRLFSLSSVTSPATEEHAAMGAASGLGPGKPKKGRPGPRDVRRIRQYSEPDFDYEDDPDLI >KVI12368 pep supercontig:CcrdV1:scaffold_847:135126:143978:1 gene:Ccrd_009213 transcript:KVI12368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C MDIRWPYDGMTQQGFNDDEEKGNTNIKTNPSDLSDDDLHNATMVSHTTWLRYLARRFEYSVALSYKSYKHGKISNTEVGDNVWKTFFQGKLTFLHWNKGEEMAPTIAPEGGTLLVRKLPAADPQRVFVGDVVIMKDPEKSDNYLVRRLAAIEGYEMVSKDEKDEPFVLEKDQCWVLADNDKLKPKRLIMAPFKTVNSVCRKTCRCWKWNWMSMKWRKTTKLSKVFANQRRRL >KVI12372 pep supercontig:CcrdV1:scaffold_847:106354:108127:1 gene:Ccrd_009209 transcript:KVI12372 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, conserved site-containing protein MVAPKLLLGLFLCLLGTTALATTRSPRLGGTERCSLQRLTASQPSQRYEYEGGSIELWNVYEDQFQCVGVEPVRKTIHPNSLSLPSFHPYPRLVFIEQGAVHWTYNDGNQDVVAVAIRDLNNPANQLDMQPRPFYLAGGSASQSSTPWQQGKGSQQQGSFNFQNIFSGFDTELLAEAFNSDPQIVRAMQESRNRGLIVTVQQPMQFVTPDEQQQGQSRQQQAGRGGGLNGLEETICSAKLLYNLDSQREADVYSRQAGKLNYVNQHKLPVLSYLDLSAEKGYLQPNALFSPHWAVNNHAILYVLRGNARVQIVSNSGQTVLDQQVNRGDIVVVPQFFATTAQAGESGFEWVAFKTNRSPMKSPVAGYTSVFRALPLEVITNAYQISPSQAQNLKTNRETESMLFSPQWTSQRS >KVI12375 pep supercontig:CcrdV1:scaffold_847:64696:68388:-1 gene:Ccrd_009204 transcript:KVI12375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MSFRFRGSPNASSGMGVAEHCKGTFLELQRKKAHRYVIFKIDEKKNEVVVERTGSPAESYQDLTSALPDNDCRYAVYDFDFVTSENCQKSKIFFIAWLVFPIPKICAQFNSLNRSPASSRIRAKMLYATSKSRIIHELDGVHYEIQATDFTEMDLEVLRERVY >KVI12369 pep supercontig:CcrdV1:scaffold_847:163208:166486:1 gene:Ccrd_009216 transcript:KVI12369 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1 DNA binding domain-containing protein MKNNGKNPIDSSIHVNSDEDRVYVNSHEENVDVMKVVTWEASEEFDVGLSPFTDVQRNCEEIGLVDDIETHVIDDSTGYTSNNEIQNDESPVVEEVIKPVIGYVYPSLDVAESIYQKYAESAGFEVRRSTQKINPDGVIQNKYFVCSRFGLPLKKSFDSMVRRKHQRELRNSNIKRIGCTTSVKFRLMKGTTTYECYGFEEDHNHSLLRHDDMDLTRKGRQMKFSDQRFFSYDLLNNTTFKKQNFKLVWNIHISPDEFESRWMVQTEIHRAAWTCSIKSVNSNEEAETYLIEHLDKRDEKIAEYKVVRNLKESTVVCSCNHHRHVFKVLLNAGVETIPEEYILRRWRSDLIPIELQNSRQRICDVGEDQRRIINDTYEIVDDVLDILRNDKEKLESFVVKLREMRDDVAKDRTYEPSMKRKERGIEQILGFTRPDNIEIHPATGIRNKGCGTSKRLIGAAEKAAVNSSRPKRMCRGCNMLSNHDIRNCPNKKK >KVI12381 pep supercontig:CcrdV1:scaffold_847:48434:49078:1 gene:Ccrd_009202 transcript:KVI12381 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MDASVALHRSSDDSRKSPWSPEDDLLFRQDSLPFNSNDSEDMLLFDILAADLADQSSESNSSTIADEVSSQSKPVNHKSYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDSAEEAAMAYDEAAFSMRGSLAVLNFPVERVKESLKKMKYGFEEGSSPVVVLKKRHSQRCKREEKVVAGDGDGEKVTMVLEDLGADYLDQLLSSSETGKNTSMA >KVI12376 pep supercontig:CcrdV1:scaffold_847:86412:87682:1 gene:Ccrd_009207 transcript:KVI12376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKMGLKKGPWTREEDNILINYVNSYGHDNWRALPKLAGQFLSASESILFLWSTIAARLPGRTDNEIKNVWHTHLKKRVKEPENRCRLSSFQQKITKLKEEDTESSLSSSEINFGGYDRTSYSQLHSPQHSYSEISSAIIMDKYDSQAVRKIQNVDEDFWSDIFSSENSSNFTTVERGYKYKSSMFDDMQFWFNVLTRGDELSGIYAPK >KVI12378 pep supercontig:CcrdV1:scaffold_847:29933:30379:1 gene:Ccrd_009201 transcript:KVI12378 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNRGGASRGNHNDSRTTGGPTEEVIKYRGVRRRPWGKFAAEIRDPNRQGTRVWLGTYATAEEAARAYDRAAFDMRGHMAVLNFPSEYPPTFSAAAYNASSAMSAASSAAESSSGSTTAAHGREVFEFEYLDDQLLEDLLDYDNNKTKK >KVI12371 pep supercontig:CcrdV1:scaffold_847:154092:154649:1 gene:Ccrd_009215 transcript:KVI12371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFSETCYNNLHHQDHSFATVESGSSSTNFPATAATTPSRYENQKRRDWNTFCQYLKNHHPPLFISQCSGANVLEFLCYIDQFGKTKVHNLVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFGVRSVRLYLREVRDVQSKARGISYEKKKKRKRLAHQADDRHDHET >KVI12366 pep supercontig:CcrdV1:scaffold_847:113223:115758:-1 gene:Ccrd_009211 transcript:KVI12366 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MEEESPSESFHDTVDEETFKKNEEQEGSIATELNKKKRKALSDLRSRLEESILDFSLIGEQYKACENLKDVELWGVPLLPSRGSDKTDIVLKKFLKAKDYNVQNALEMLGNTIMWREDFNVDKVFDEMFGSDLDDIGYIEGTDKVGHPLCYQMYESIKERDILRRLRSNDFLRWRIKLMEGCVRKLDFKPDGADSVIQIIDVKDISRPFLNEIFQGSKKYFSILQDNYPGIIYRFYKVMYVKPSAITETLLKFIDPEHLLAQYGGLGMKDGEFSLNDQVLEEKLKGYATEHLTIVTPEVGMTVYWDVTVTGSDVSYKEEFVPDDEGSYNVLVQKGKQIGRLNSNSFHVAEPGKILITFVNYTSKNRRIFYRYKIKPTLPILPFSR >KVI12367 pep supercontig:CcrdV1:scaffold_847:117235:132897:-1 gene:Ccrd_009212 transcript:KVI12367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 1 [Source:Projected from Arabidopsis thaliana (AT3G54670) UniProtKB/Swiss-Prot;Acc:Q6Q1P4] MPAMASQGKIHRIELENFKSYKGHQIIGPFYDFTAIIGPNGSGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAFDDREKEQRGRRAYVKLVYRLGNGTELLFTRTITGAGGSEYRIDDRVVNWDDYNGRLKSLGILVKARNFLVFQGDVESVASKNPKELTMLFEQISGSDEDKRLYEDLEEKKGAAEEKSTLAYQKKRTIVMERKQKKEQKEEAEKHMRLQEQLRSLKKEHFLWQLFNIENDVEKANEEIEAEQGSLQEIINELDGYENESRKKEKEQARYRKEIDKREKKMAEKKNKIDKNQPELLKLKEEKSRLNAKLKNTGKELDKRKEEKKKHMVEIEKLRNNLEDLTKQLDSLQTKGQSEGGKLHLADDQLDAYNRIKEEAGMRTTKLRDDKEVQDREQHADVEAQKNLEENLQQLESRKQELELQQKQMQSRLKKILDAIGKHNEELKRLRKEQNDTRKRLGDSKEKYEMLRAKISELENQLRELKADKHENDRDTKLSQAVEALRRLFPGVHGRMTELCRPTQKKYNLAVTVAMGRFMDAVVVDDEHTGKECIKYLKDQRLPPMTFIPLHSVRVKPIIEKLRTLRGTARLIFDVIQYPFMPPYFILDKAILFAVGNTLVCDDLDEAKHLSWTGERFKVVTVDGILLTKAGTMTGGTSGGMEARSHKWDDKKIEGLKKKKEGLEAELQELGSIREMHLKESEASGKISGLEKKIQYAEIEKKNMEEKLSKLTVEYSNIKNEISYIEPQLLKIKDKISSRQRTILSMEKRINEIVDKIYKRFSESVGVDNIREYEENQLAAAQELAEERLSLRNQQSKLKYQLEYEKKRDMGARIAKLEASQNELKNGLVEVDEREKELKSTIEKAAEEINTLKEEVQEWKSLSEGCEKEMKEWKIKISAATTNITKHKNRIDAKETLITQLNSRKQDILEKCELEQISLPTVADPMDIESAPGPVYDFSQLSRSHQHNMRPAEREKIEAEFKQKIGSIISEIDRTAPNLKALDQYAALQEKEKAASKELARFMEAFNHISGDIDKIYKQLTKSGTHPLGGTAYLNLDNEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDEERASQEPPVEGNGFQSIVISLKDSFYNKAEALVGVYRDSERGCSRTLTFDLTKYRES >KVF83137 pep supercontig:CcrdV1:scaffold_8474:10602:12303:-1 gene:Ccrd_026656 transcript:KVF83137 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEMNIMSFLSLLIFLIFSIVTVSCDTPLSPSYYDQVCPEALPTIKRVVEEAIANERRMGASLLRLHFHDCFVNGCDASVLLDQTLTIDSEKNAAANLNSARGFEVIDKIKFEVDKVCHRPVVSCADILTVAARDSVVALGGPSWDVKLGRRDSTTASREAADANLPNPFMDLPALIENXENQGLDEDDLVVLSGAHTLGSAQCHTFRARIYDQTNIDPAFAHHLQTICPQVGGDMRLAPLDPTPNSFDNRYFTNLVSKKGLLRSDQALFTGGETNEIVEKYNENQNKFSMDFTKSMIKMGNIKPLTGERGQIRTDCKKVN >KVH91135 pep supercontig:CcrdV1:scaffold_848:26687:34124:1 gene:Ccrd_006838 transcript:KVH91135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSVVNTYPLSSYSFGTKEPKMEKDTSVADRLARMKLNYMKEGMRTSVEAILLHNHPHILLLQIGNTFCKLPGGRLKPGENGIRFHLTINDHYTPFPPSDTPFCLAEIEGLKRKLSSKLAANSPNMQPNWQIGECVAVWWRPNFETIMYPYCPPHISKPKECKKLYVVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMIPA >KVH91144 pep supercontig:CcrdV1:scaffold_848:78909:93497:-1 gene:Ccrd_006843 transcript:KVH91144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MDISCSFHIRGTEGTSCRVLKQLPVRSRFNYKLFGNTRLVLEVGPRKKLKKLIVSSRSVVNSSNISGGELNSCLWSYSKSKCIVCIPKTSRGVKASCQGNDSIAYIDGNGRDVEFIESSSGENSTGETNFGSEKMHESSGEGEEEEVENLSLDELTKVLQKALKELEIAQLNSTMFEEKAQRISESAIALKDEASNAWDNVNSALVSIEEIANEEIAAKEAVQRATMALSLSEARFSVALDSLEIAKERNVSSEHESEVEKLNPLKEEEEAVFVAQEDARECCVTLSNCEGALIQLQNRKDALQREVDMLKDLAQKAEMDALRAEEDVANIMLLAEQAVAFELEATKRVNDAEIMLQKAEKMHSVSHVDNTESSISQNVLSSQGLASSEDPYGEDKAIQGNSVEVIERYSDISIEGSLINEDVPDSQLSTPSQMFEESRFSDESDQENGKPNLPSKEIEYDAEKTKNQTKKPETHKDLTKDSSPSNSPKTLLKKSSRFFPASFFSSAEDDTEFTPTSIFQWLIDSTRTQIPKLVLGALLVGAGFAFYAKREERIHKLFQQPDIITTSIDEVSSNAKPLVRHIRNLPKRVKKLIEKLPHQEINEEEASLLDIVWLLLASVIFVPIFQKLPGGSPVLGYLTAGILIGPYGLSIIRNVHATKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAAVVGLVAHIVCGQLGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKALVAISAIIAGGRLLLRPIYKQIAEMQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIMGSLALLIAGKTTLVVVVGRLFGVSLISAIRAGLLLAPGGEFAFVAFGEAVNQGIMTSQLSSLLFLVVGISMAITPWLAAGGQLIASRFEQQDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVLHKIGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLASAVLAQLCEISGSSLGYGFSRIMVKPKPQLSDSTDDNQISEGTLAA >KVH91141 pep supercontig:CcrdV1:scaffold_848:98235:99994:-1 gene:Ccrd_006844 transcript:KVH91141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MGFAGTVDRCNACNKVVHFIDYMTVDGVIYHKSCTTTPPWMESYIASPISNNYSKKLEISARIFVHARAPHKFASFFTGTQDKCPACHKTVYPLEQITMEGEPYHKRCFRCAYRGCPLTHSSYAAHNSVLYCRHHFSQLFMEKGDFAHVLKAANRKNNENEQAEKAPEDETKQASDE >KVH91143 pep supercontig:CcrdV1:scaffold_848:75801:77464:1 gene:Ccrd_006842 transcript:KVH91143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCISKAFRISGHHMLPHRWAAIAAQMPGRTDNEIKNLWNTHLRKRLLSIGIDPQTHEPTSVSSPSVGPLKRPSASPSTRHMAQWESARMEAEARLSMESSASVSVLLSSPNKSTTSTDYFLRIWHSEVGESFQNLNKGKMGCFSPASQTSESNTTIETEPKPYREPEPRLDGVTFCQGFTRASSPCEMEDSSDTSLQLFFDFAGLNDMSFLEAHFN >KVH91147 pep supercontig:CcrdV1:scaffold_848:154677:158160:1 gene:Ccrd_006850 transcript:KVH91147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATMLEFDQGHLLDDDVKRQNFPDDFLFGVGTSAYQVEGAWNTDGKGLSIWDCFSLRNPNKIAGGVNACVTVDSYSRMKADVQLLKKMGVNSYRFSISWSRILPGGKVSIGKNQKGIDYYNKLIDELLANGIEPFVTLFHWDLPNALEEEYMGFLSSKIVADFVDYVDICFWEFGDRVKNWVTVNEPYRFTYGGYITGVYAPGRGENSKDSDLETEPYIGFQKGKVGITLDLSFWKPYRGPSNQQDVKAVEYAFDFVNGWFLEPLTKGTWPENMQKFATTPTTNYPNGRPLPKFSEDQRTKLIDSYDFLGINYYTAHYAQYQAPSSNIPLGYATDCHYKELGRDPNDIPIGKPAYPDSWVYLCPNELTELLYRVKSTYNLSKPIIITENGSPDMNERGKTYEEVRDDTYRMEYIKKHLIAIRTAMRNKVNVVGYFVWSFMDSFEWSSGYDERFGMIYVDYVNDLQRYPKNSALWFKIFLSEKKRGSLKRTIMDGDEEDTDIVFGARAEETTEVIPKLKKAKA >KVH91140 pep supercontig:CcrdV1:scaffold_848:122903:126958:1 gene:Ccrd_006847 transcript:KVH91140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MAEATMQEFDQAHHXBDEIKRQNFPSNFLFGVGTSAYQVEGAWNADGKGLSIWDSFTLRNPDKIAGGANACVTVDSYSRMKEDVQLLKKMGLNSFRFSISWSRILPGGKVSMGKNQEGINYYNKLIDKLLANGIEPFVTIFHWDLPNALEEEYMGFLSSMVVADFVDYADICFWEFGDRVKNWXTLNEPHXFTXXGYITGXXAPGRGEKSKDNDLEIEPYTVAYNLLNCHAAAYRKYEKDYKGFQKGKVGITLDLLFFKPYRGPSNRQDVKAVEYAFDFVNGWFLEPLVKGTWPENMQKFATTPTAKYPNGRTLPKFSDDQRTKLIDSYDFLGINYYTANYAQYQAPSSDIPLGFEWESGYDIRFGMMYVDYANNLQRYPKNSAIWFKKFLSETKGGFLKRTLMDGEEEDEVNNVVSEAEKATEVIPKLKKVKA >KVH91145 pep supercontig:CcrdV1:scaffold_848:47136:47643:-1 gene:Ccrd_006840 transcript:KVH91145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREQKLRSPRSDGRRSRNGGRPSEIEREREGRWLRDRAEREEGRGGGLERSREEGGGGEIDREREREEGAVDERDREKRAVVERSIDRERERGRKGRWSREEGRGGEIERERRKGGADGGESEREKKRRET >KVH91136 pep supercontig:CcrdV1:scaffold_848:2047:20229:-1 gene:Ccrd_006837 transcript:KVH91136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MKQSTLIFIVLLYVLLTVFISCVDAQSCQPSGKLKGKKPPHGQCVNDPDCCKEGKFYTTYKCSPRVTGHTKATLTINNFEKGGDGGGPSECDSKFHSNNIPVVALSTGWYNKGKRCSKFIKIHYRGKSVKAKVVDECDSTQGCDDEHGFQPPCPNNIVDGSKAVWKALGIPEKDWGEATSSTIVFLVLFSVFVTLFISCISAQPSNIAATMTINSFEKGGSGGDPSECDGKYHSNETPIVALSSQWYDHGKRCFKYINIYYKDKSTQAMVVDECDSSKGCANDIVDASKAVWKALAVPGSEWDKMKQTSVISLVLFSLLATLSISSIDALSNMKATMTINGFQEGESGGGPAECDGKYHSDXTLIVALSTQWYNDGKRCFKSININYKGKSVKAKVVDECDSNHGCKNNIVDASEAVWKALQVPKKEWDKMKQTSVISLILFSLLATLSISSIDALSNMKATMTINGFQEGESGGGPAECDGKYHSDKTLIVALSTQWYNGGKRCFKSINIHYKGKSVKAKVVDECDSNHGCKNNIVDASEAVWKALQVPKKEWGETQVTWSDA >KVH91146 pep supercontig:CcrdV1:scaffold_848:65042:65999:-1 gene:Ccrd_006841 transcript:KVH91146 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MALQTSRVLQRTWKTRDIQIMVSDTRIRLNDDIMSLYQRDHLLVGTLGRILDLARKGIYILKDCAMLVMDELKTVLYQRIPLQLIHDKHYTIFHQIKIQPQQKSNTYTNIYERVLVQNSCDGSSNITSLQRTWKTQKHGRINLKDDIMRLYQSIHSLVDIPRRI >KVH91137 pep supercontig:CcrdV1:scaffold_848:140380:144035:1 gene:Ccrd_006848 transcript:KVH91137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MVEATMQEFDQAHHFNDEIKRQNFPSNFLFGVGTSAYQVEGAWNADGKGLSIWDSFTLRNPDKIAGGANACVTVDSYSRMKEDVQLLKKMGLNSFRFSISWSRILPGGKVSMGKNQEGINYYNKLIDELLANGIEPFVTIFHWDLPNALEEEYMGFLSSMVVADFVDYADICFWEFGDRVKNWSTLNEPHMFTYRGYITGTYAPGRGEKSKDNDLEIEPYTVAYNLLNCHAAAYRKYEKDYKGFQKGKVGITLNLLFFKPYRGPSNRQDVKAVEYAFDFVNGWFLEPLVKGTWPENMQKFATTPTAKYPNGRTLPKFSDDQRTKLIDSYDFLGINYYTANYAQYQAPSFDIPLGFSG >KVH91138 pep supercontig:CcrdV1:scaffold_848:144186:144437:1 gene:Ccrd_006849 transcript:KVH91138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MDSFEWQSGYDSRFGMIYVDYANNLQRYPKNSAIWFKKFLFETKVGVSKRTLMDGEEEDEVNNMVFEAEKATEVIPKLKKVKA >KVH91139 pep supercontig:CcrdV1:scaffold_848:113996:118258:-1 gene:Ccrd_006846 transcript:KVH91139 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MHSCCLLSWISFTITVVRTTFESSKGSDRESQMSNKKRLLIIGGTGYLGQHLLQCFSDFPKPFDFDLSMAFTHHSSPPPHLLLQYVPHAAHFQVNLQTGQGFDSISHTFGQTDPTVAMSVNVPSSLVKWLSSFTKRETLLIHLSTDQDDETLPVNVYGKSKVAAEEYIIANWSNFAILRSSIIFGPQTISPVSKSLPVQDVVNIIKILSNRWISDGKKLGLVLNVGGPDRISRVQMAEVVARVRGYNTSLIKPIDRGVKSPADISMDISKLIQTLDFTPTSFHEGVKLTINSLT >KVH91134 pep supercontig:CcrdV1:scaffold_848:36482:45079:1 gene:Ccrd_006839 transcript:KVH91134 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MNNNARGGGRYPPGIGRGGGGGNYHGNANPSFQQQRNYQQQNAQRNPMHQQQFQQQQQWLRRNTLGNHPSTTVEVEKNMQSEANDSSSRDWKAQLRLPPADTRYRTEDVTATKGNEFEDYFLKRDLLMGIYEKGFERPSPVQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLNIQVMVTTGGTSLKDDIMRLYQPADKLLSPEFQPSVEQLIHFMPANRQILMFSATFPVTVKDFKERYLHKPFIYKGYRYPSSKCGYQFRLPKEFRNIFAQSTVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPFIDQAIYCRTEGLAKYYSETFVLDFGVQRLPDCFQTEEGRFFTKSVVF >KVH91142 pep supercontig:CcrdV1:scaffold_848:102144:111445:-1 gene:Ccrd_006845 transcript:KVH91142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme, NAD-binding LLSDDKPIHTDSLCLICIHTTQPTLFCRVFVFKFKEMWRRTVARSAAAALNLRQSRGLSIAASGSSIPGPCIIHKRGSDILHDPWFNKQDTGFPMTERDRLGLRGLLPPRVISFDQQYDRFMESYRSLEHNTEGQPLSSVSLAKWRILNRLHDRNETLYYRVSILVLIDNIKDFAPIIYTPTVGLVCQNYSGLYRRPRGMYFSANDKGEMMSMIYNWPAKQVDMVVLTDGSRILGLGDLGVQGMAIPIGKLDMYVAAAGINPQRILPIMLDVGTNNQKLLENPLYIGLRQPRLEGEEYISVVDELMEALHARWPKAIVQFEDFQFKWAFETLERYRKKICMFNDDIQVSESLQGTAGVALAGLLGTVRAQGLPLSDFAKQKIVVVGAGSAGLGVLNMAFQAVARMAGTAAKPQFFLLDKDGLITTERIGIDSAAVPFAKAIGDVESLGLHEGSDLLEVVEKVKPHVLLGLSGVGGVFNEHVLKAMRNSDSPKPAIFAMSNPTLNAECTAVDAFKHAGENIVFGSGSPFENVDLEMVLPFLPACSYSTVYNTWAGNGKVGHVNQANNMYLFPGIGLGSLLSGAHFISDGMLQAASECLASYMTDEEIQMGRLYPSIDSIRHITAEVGAAVIRAAVAEELAEGHGEVGPRELAHMSKEEIVEYVTSNMWYPIYSPLVHEK >KVH98642 pep supercontig:CcrdV1:scaffold_849:37311:78620:-1 gene:Ccrd_023134 transcript:KVH98642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MADSSGTTLMDLISSDPTSVPPPSSTPAQASTASHQRSASPFSKPVAAPTTTDRKSKRSTLMQIQSDAVSAARAAVRIPHKHKKKARLYTMMNLHCKPVSYAQLARSIHELAASSDQKKSQRQLVHHVFPKLAVYNSVDPSLAPSLLMLGQQCEDRTILRYVYYYLARILPDTGAQGLTPGGGIPTPNWDALADIDAVGGVTRADVVPRILDRLSSEALNPDIELDVPSDDSALGPHGGLNFLWWQAVQSLILLTSLIPVLKLNEKNFSIKKSDLHLRLLFSVHARRLQALKALTYASNSSEILQKLYEIVFGILDKVADTPDKRKKGIFGAKGGDKEIARRDVERSLKQLHTPVNFPGFIDLQHLPAHTLTEIFSKQCIPYPFNVNEQSIIRSNLQYAAISALRRLPLDPGNPIFLHRAVQGVSFADPVAVRHSLEIISHLATKDPYAVAMALGELENIEGRFQILTRDMETVNKLMNMLIKLYDKPLMNTPGFEGKFIKKLEENTELEENIELLDGGGAVVVEPKISFIISLLREATTLRSKVTGTCNSRLWNSSANDNRKLAQPGGALQDVLHLHDVLARVALARLCHAISRAKSLDERPDIKSQFTALLYQLLLDPSDRVCFEAILCVLGKSDTADRTEERALGWYRLTREVLKAPEAPSVKDKDKSSKTRRPQLLIKLVMRRLLCSFNTNIQLYVFYKCFQSLLVYQQNFINFLFIRYRLESSFRSFSRPVLHAAARIVQEMGKSRAAAFALGLHDIDEGGDVNTFAENNEQEDGKSQLEGNWLEALEYTCYCFFFFASFAPLLGLRRIPSMSNGAGNKETVASLLASLMEVVRTTVACECVYVRAMVIKALIWMQSPQETFAELESMIASELSDPAWSAALLNDILLTLHARFKATPDMAVTLLEIARVFATKVPGKIDADVLQLLWKVGVKGPKRSRNGHGFKSSDGCPTDHITLQGAAWQQRLGGNDGINCQRVWKFETCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSIDRVFASDPKSALALQRLVQAAVWFLGENANYAATEYAWESATPPGTALMMLDADKMVAAASSRNPTLANALTRLQRCAFIGSWEVRIIAAQALTTMAIRSGEPYRIQIYEFLHALAEGGVQSQFSDMHISNGEDQGASGTGLGSLLSPMLKVLDDMYSAQDDLIKEMRNHDNAKKEWTDEELKKLYETHERLLDLVSLFCYVPRSKYLPLGPTSAKLIDIYRNRHNITASTGMRDPAVATGISELIYEATKPAPAEPDNIDDDLVNAWATGLADDGLWGNNAPAMNRVNEFLAGAGTDAPDVDENIISRPSMSYDDMWAKTLLETAEVDIFICFMQDDDGRSSGSSSPDSVGSVETSISSHFGGMTYPSLFSSKPSTYGSSQPTEKSSSTSRQRKASAGSSSYEGYGSPISEEPPPYSSPVHHQRYESFENPLAGQGSSSFDSHEEERGNSQSGSALYDFTAGGDDELNLTAGEEVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVSQS >KVH98643 pep supercontig:CcrdV1:scaffold_849:3783:5081:1 gene:Ccrd_023132 transcript:KVH98643 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MLLLIFIILHLFSPAATTVNNITLPGCTSKCGNLTVPYPFGIGSNSGCSIGPWFDISCNTSFDPPKAFLPENLFSYTGSSNIQRLEIVGISDEHVRVKNTVAFKCYSQIGEIIDERPTGLVVVSSYFTLSELNKLIAVGCDDYSFISPVAGIEGKNFSSGCGASDFTDPDFVNRTEETVPVVLNWVIGTRSCNEYKNTSDYYCQQNSICVDFEGGNGGYRCSCNNGYQGNPYLPPGCNDIDECADPNNNPCDGICSNLPGSFNCSCPHGYEGDGRKDGNGCTVRNSRSPFLKLSVGE >KVH98640 pep supercontig:CcrdV1:scaffold_849:136781:139303:1 gene:Ccrd_023136 transcript:KVH98640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MIAFNPFLQFLLSSTIIFSLSSSSATAADILPGQSLSAANPNQSWNSPSNTFSLSFISESRDTNFVAIIYNGISLWKAGGDAGVADSTASLTFLSDGNLRLVNGSSGSVVWQSNTAGRGVTTASLDDSGNLVLRNGSVSVWSTFENPSDTILPNQNFTVNNVLRSGLYSFRLIDSGNLTLRWNDSIVYWTLGLNSSVNANLTSPSLGLQSIGILSLSDPMLPTSVIMAYSSDYAEGPDIFRFTKLDNDGNLRIYSSSMGTVGAQNVRWAAVSDQCEVFGYCGNLGICSYNGSDPVCGCPSQNFDAIDPNDGRKGCRRKVEIQNCPGSATMLELDNSKFLTYPPELTSQVFFVGISACRLNCLVSGSCIASTSLSDGTGLCYLKVPSFVSGYQSPALPSTSYLKVCGPVTPNPSVTSEKGTRWKLRPWVVVVVVIGTLFGLVMAEFSLWWWCCRNSPKLGVLSAQYALLEYASGAPVQFSYKDLQRATKGFKEKLGAGGFGAVYKGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNSSLDNFLFTAEEQSGKMLNWESRFNVALGTARGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLVNPKDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDVYSYGMVLLEIVCGRRNFEVSEYTNRKKFSSWAYEEFNKGNIKEIIDKKIVNHDQIDIDQVRRVIETSFWCIQEQPSQRPMMGKVVQMLEGVTEMERPPDPKTGSTIEGSVAGTSVNLSSSVSTSTPSSMPPPSSSSSLQTLRGSSFTSGMNIERASSSLLNSVTM >KVH98641 pep supercontig:CcrdV1:scaffold_849:109144:121494:-1 gene:Ccrd_023135 transcript:KVH98641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-like protein MRQRALGKSQRYRYIRHQIMKSPPSGKKYERDAKKYWDVFYKRHQDRFFKDRHYLDKEWGHYFSEAEEKVVLEVGCGAGNTIFPLAATYPGIFIHACDFSPRAVDLVKAHKDFEEAKINAFACDLTSDDLIKHIPPSSVDIVTMIFVLSAVSPEKMPLVLQNIRKIMKPNAYVLFRDYATGDLAQERLTCKDQKLSENFFVRGDGTRAFYFSEEFLTSLFQQNGFSTEECGVCCKQVENRSREIVMNRRWVQAAFCLDGGNGDIHEKISKKPEDGPDIDISEGFAFEMFGISASNDEIMEYKLGDWNFKIKMLSKEFQHTCKSTGLMLWESAQLMASVLASNQTIISGKKVLELGSGSGGICSMIATETANLVVPTDGDEKALELLRENVASNLEESSREKLHIKKLTWGNKEDIESVKKLVTGEKGFDVIIGTDVTYVAEAIIPLFQTARELISTDGGGNEEESTPALILCHIFRRVDEASILSAASCFGFRLVDQWPNAVSESPSRSIISSWFEGRISEGDIENPALNIMYFHAK >KVI08242 pep supercontig:CcrdV1:scaffold_8492:1110:2608:-1 gene:Ccrd_013388 transcript:KVI08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroxy-acid/6-phosphogluconate dehydratase MYTENSMASTIEAMRMSLPYSSSTPVEDPLKLDECRLPGKYLLNLIKMDLKLKDIITLKSLHNAMVTVMALVGSTNVVLHLIAIARLEEHLQSFATFWSSGILMEIGKDAMIAAISEDPMSFK >KVI07990 pep supercontig:CcrdV1:scaffold_8493:6681:8099:1 gene:Ccrd_013644 transcript:KVI07990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G50420) UniProtKB/Swiss-Prot;Acc:Q9LPR8] MFQDDGSSSVTSTPVQNQIFPTMSLSPAMGSPYPWLKELKSEERGLCLIHLLLTCAKHVASGNFEHANIALDQISHLSSPEGDTMQRIASYFAEALANRILKTWPGIYKALNSTKISFASENILVRRMFFEYFPYLKIAFVIANQVIIEAMEGEKIVHVVDLNASEPTQWRALLRDLSARPEGPPHLRITGVHQQKEVLDQMARVLIEEAEKLDIPFQFNPIVSKIENLDVEKLNVKTGEALAISSVLQLHSLLAPDDHEVAKSKSSLTSKNSNGIHFPRVLQVNQKPLGELLEKDLANGYSPSQDTASSSPSVTSTKIDGFLNALRGLSPKVMVVSEQDSNHNKSALMERLSESLYFYAALFDCLESTLPRTSMERLKVEKMLFGEEIKNIIACEGGERKERHEKLDKWVQRLDLAGFGCVPLSYYALLQARRLLQGYSCDGCRIKEENGCVVMCWQDRPLFSVSAWKCRR >KVF82135 pep supercontig:CcrdV1:scaffold_8495:2877:5459:-1 gene:Ccrd_026657 transcript:KVF82135 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30-like protein MCAKVGVDPLASNKGFWVELLGIGDFYYELGTGCKLLMSAWLLDPITGGAHEVGSEDYCLRAIGKLKVLGSGFEVITVGKRKLARSVPNELKKDHNEILELSQIKCIPDFCNRNMLEVAIYLAQVSGFRYMLWLLLVDKKDLGLHDLPFLHLI >KVH92618 pep supercontig:CcrdV1:scaffold_85:463651:463980:-1 gene:Ccrd_005300 transcript:KVH92618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTTRRQKNFPARRIIRRSISSHYKRSRSLHNKSTITASLRSSVSDKLQALKNLIPVQELDGAAGIGSADELFQETADYILLLRTRVSILQKLVDFYGSSQNHQIVQQ >KVH92635 pep supercontig:CcrdV1:scaffold_85:176409:184054:1 gene:Ccrd_005326 transcript:KVH92635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein METARSDTGSFAQTEINWDKLDKTKFYVVGAGIFTGLTVGLYPISVVKTRMQVATRDSVDKSAYAVVRGLLRTEGVPGLYRGFGTVITXAIPARIIFLTALETTKVAAFKMVEPFKLSEPTKAAIANGIAGMTASLFSQGVFVPIDVVCIYHPFLSFLCLLGHGTDGNGSLPSQGTIVLVQGAGGIFAGAAASCITTPLDTIKTRLQVMGHEKRPSTRQVIKTLIADDGWKGFYRGIGPRFFSMSAWGTSMILAYEYLSTNPSFFCCILSGYALKICRLLHRLPASSIPDFGQMMIPDTFVIFTSSRCMYTEIVSNDTRQGSLGLHDE >KVH92666 pep supercontig:CcrdV1:scaffold_85:107118:109518:-1 gene:Ccrd_005332 transcript:KVH92666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MASSSSSYPLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPDGFDYKLYNRNDINKILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKDIDALRQHIRNLLHPATPYFFNTLYDPFTDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVICDHLGLGIKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQDVILPKESTTVQDCYIKLSKQVKDKLGKIDPYFVKLADAMVTWIEAWEELNPPAKAANGK >KVH92656 pep supercontig:CcrdV1:scaffold_85:299280:300404:-1 gene:Ccrd_005314 transcript:KVH92656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain transcription factor MSDGGGGESVKEIESCLKKRRKHDEFAQAIARIAVAQVCEGVGFQGFQQSALDRLSDVACKYILEIGKTSKFYANLAGRTECNVFDIVQGLEDLSLPQGFVGASDRDHCLSGSGLIKEISQYVSLSEEIGFAYSVPSFPVIKERNSTPSFLQAGETPPTDAIPPWLPRFPDPKTYTYSSMPSADMEETQMRPDRIEQEHKVLEPPSSRLEQLWACSESELPLVIDQGDNVGRERGSNPFLNTPLQPGEKEISLVSLPAKLKEEDMVQNHGLWANHVSALNTFGPANQAVKSCGCDAEEGSRKVLLNNRPALQMKFHIGKKSLDIANRHNYKGKEEVASWFVNDDVGDAKEKRTEKLPREPMDIEEHTGNDSVVN >KVH92648 pep supercontig:CcrdV1:scaffold_85:487953:489117:1 gene:Ccrd_005298 transcript:KVH92648 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSSDEILKAVFPLLEGADLAACTAVCKQWRNIARDDYFWKCLCAKKWPSTCKNPSPPFTYHKLFKTFYKRENHRTLLPPRISLSDLEFYIDFWADGNLLFSQVVPGPALRKGNWTPPAGVIPVMKFHLEGPEYKLTLPVEPQFAVPYTQTISVSVLVARKDTKKVACIIHEAMFDYIDRSTCRALAFNYLVFSPAYPFVSGIRAWIALLFMDGGKDDDINVFGLEIDFFDV >KVH92623 pep supercontig:CcrdV1:scaffold_85:435968:442582:1 gene:Ccrd_005305 transcript:KVH92623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNFGRYLAENSLERVKINSSRAVVINTSLSSRFNFNEIQMLQRQIMFKQLEELQRQKKLQELNDSGQQNVINQQSLIHNKQASRAQYAPLINGTPVRDASQMFMFGSTNLGQGFQNGLPYSQAQNQILHSMSLASQPVDGTPISSTGHSFSRFSHFQGGTSESSGEQVDTISRSSMSDQFNVPYQERNMFGPVFSQGLDMGGQTGNDQQEFGRGQEQGDWPGNISEKTANINPSQDFTTLDPMEQKFLFNSDDNDLGGFGNMFEGPDNVQALPSLQSGSWSALMQSALEETSSTDTGLQEEWSGLSFQNPELSNDNQPSNFMESGKHPTPWQNNIFQNASSLNSRPEHLKISNASSSFPGLQQYPANHFQLRSDSSHESNQHSHKEAGELYSSAQQREPIEGSAQVQRISPLQVHQGPSGHAGDRHMLELLHKVDKFREYRHGMQSAHTESPPTSEMPKAETVDAITPSNNSSAPQYFGLSIRPSLNPDALQDTSHLVPLKNQDGQRSSKFETQQPLTTLGMSQHVSNSTRQPNVWVDIPSQQNLSSMGFYKAPSGSPSTSDVANDRMETAFEAPKESDSQINVKGGNDLLEASGHVSSSQRIYVEEESFSGCAEAKPSSSSVVVHGSGSEPPAISARHHEAFGHSLKQAHLSPHGYSPLNPTYLDPSVRTAVKNERVDSDTKVPQITSMSQLTSAYENYRNLLVTPAARDNQLVKTSPNPPLQDASQLHRNSSFVQMNLASTSQHGSIWPVTGTNPYAGNQLSLPYLQHLDGPNQDLLASKSKKRKCPTYELLPWHKEATKGSSRPQDMSIAELEWAQAANRIPEKLKEEADSHPKKRIILTTQLMQVLFRPTPAAVLSDDATTCYDTATYFAARLTLGDACSLANHPHMPSDTSDSSSGKNVISKGSGDQNLSKIVEEFIDRSKKLEDELLRMESQDLERFSVINRFAKFHSRAQMLATETASAGGASAVPKLFPQRYVTASPMPRTVPEGHNCLSL >KVH92640 pep supercontig:CcrdV1:scaffold_85:62032:67821:1 gene:Ccrd_005336 transcript:KVH92640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCGLCECDELEDYANPNNSIYRNCICIRCFLQNLLYMRTSLFARGEEHARLANPSQWTSSFGSSLSLDNSLSDVYRSPPRPMPYDTDSRYLRLQRDEPTLRPEKGSSHSQEESEPLRSDGDTCVDPLYDGHKPDNFMGEKEAENPQTNSSWFN >KVH92619 pep supercontig:CcrdV1:scaffold_85:454899:459651:-1 gene:Ccrd_005301 transcript:KVH92619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNTEFSFISLNGVKSRHSRHKSTLDHQVDINSNTCISISFLYPINEIVEVSVRGFSCSYTVRHFFLQIQGIFFDYIVAEQLLHVALDFSLCDNTPILIFVQTQIGFPDLTYVTCIKKLIRKVWPGLEFSVAQQLVHFICDLFPSDNTIFIFLKTQSVHPNVTN >KVH92652 pep supercontig:CcrdV1:scaffold_85:340870:344193:-1 gene:Ccrd_005310 transcript:KVH92652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGRLQKPLNLLSISIWFIFTSSAVFGGDSDKSALLEFKTSVSDPSGVLMSWNWSSSDYCSWIGVTCGSNSRVVALNITGGGNSGFFTCYKYDQFPLYGFGIRKNCVDRNAKLVGNLSSAISKLSELRILSLPFNDLSGKIPDEIWGMEKLEVIDLEGNLIDGQLKPHFSGLRRIRVLNLGFNQISGEIPDSVSEFKNLQVLNLAGNRVNGSIPKFLDGFGDLRGLYLSFNQFSWNIPMEIGYNCGNLEHLELSGNLLVGGIPASLGNCTKLQSLLLYSNLLQEEIPIELGRLESLQVLDLSRNSLSGAIPPELGSCSNLSILVFSNLLNPIPDVSLSGDNSSPAQLAPEDEFNYFEGSVPSEITTLPKLKLLWAPRTTLEGKFPDNWGSCGSLEMVNLAQNLFTGEISKGFDICKKLRFLDLSSNKLTGEISGKLPVSCMRVFDVSGNRLSGPMPTFSYGTCEPFNPSVPYLRYFGSKTLMPVFPNDLGDLAIIHNIAGNNFTGGLASMPIRITGENTVYVFLAGENKLTGKFPGMLFENCAKLKAMIINVSSNALSGEIPSNIGQTCRSLTFLDVSENHISGTIPVSFGELGSLVAVNLSQNMLTGEIPVSFNKIKDLQNLSLSGNNLTGPIPSGLGKVWSLKVLELFSNSLSGEIPEDLANLRNLTVLLLNNNKLSGHIPAGFSSMKSISNFNVSFNNLSGPLPLNDNLVKCSSVLGNPYLESCRISPTTSSFDQPTGGDFANLSGSPVPPPKGGRGFNSIEIASITSASAIFSVLLALIVLFFCTKWRPKSGAGGRGSVRKEVTVFTDIGVPLTFENVVRSTGSFNASNCIGNGGFGATYKAEISPGFLVAIKRLAVGRFQGVQQFDAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLERFIQERSIRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSSYGNGFNIVAWACMLLRQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >KVH92647 pep supercontig:CcrdV1:scaffold_85:539658:546777:1 gene:Ccrd_005293 transcript:KVH92647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHFSHSMRSITISSNNGFTDLMKIKVAARHISYRTIFHTILILAFLLPFVFILTALVTLEGVNKCSSIDCLGRRLGPKLLGRGDDADRFLRDFHKIISQVESEEVPDDLKLPDNFKQLVSEMKSNKYKAKDFALILKGMVRQLTMERSEREIRESKFQELMNKHFAATSVPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPVLSDNSYHHFIVSTDNILAASVVVNSAIRSSLRPEKIVFHVITDKKTYAGMHSWFALNPISPSIVEVKGIHQFDWLTRDNVPVLEAVENHNGVRDYYHGNHLAGANLSVTSPRMFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWDIDLGGKVNGAVETCKGEDSWVMGKRFKIYFNFSHPLVAKSLDPEECAWAFGMNIFDLREWRKTNIRETYHAWLKELGTLPPALIAFRGHVQPIDPSWHMLGLGYQQNTSIESVKKAAVIHYNGQAKPWLEIGYEHLRPFWSKYVNYSNDFVSSCHILEP >KVH92641 pep supercontig:CcrdV1:scaffold_85:12571:19084:-1 gene:Ccrd_005339 transcript:KVH92641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MVPKADLVIIGVCVGLAVGILLASLAFFGVRWYRRRAHLRKCANDNSPSTLPIRQNGVDTSNILSESFASSVTVKVSTYPAKTSLLSKWNKNGKEQLASASGLPRYTYKDIQKATKNFTTILGQGSFGPVYKATMPTGEVVAVKALASDSKQGEKEFQTEVSLLGRLHHRNLVNLVGYCVDKGQRMLIYEYMSNGSLASFLYNEDEHTLNWEERLQIALDISHGIEYLHDGAVPPVIHRDLKSANILLDHLTRAKVADFGLSKEEVYDGRNSGLKGTYGYIDPVYISTNKFTMKSDIYSFGIILFELITAIHPHQNLMEYVNLAAMSSDGIDEILDKELVGECDPEEVRSLARIAHRCVHKTPRKRPSMGEVSQAIMKLKQRRLVREDSTMSFAGEEFSGVVGCIELQQNQLKKMTSIAES >KVH92660 pep supercontig:CcrdV1:scaffold_85:8277:9779:1 gene:Ccrd_005341 transcript:KVH92660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNSAKGTQETDGSFDQKKVVSGMGSKEVMRMGGRKMGMMLKKEDGEMVSRSSRSTSAGDDAQKLNVEADINAAKEGPQDFLNNQGQENTSERMTINPSQSSQKVASSKSFNTNKIFPEEDTGDEWKRLLEDADKKVMQMMRRDYSGMRRPRRKPPINNHEPRN >KVH92663 pep supercontig:CcrdV1:scaffold_85:110690:117470:-1 gene:Ccrd_005331 transcript:KVH92663 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDPEVNRFILMNEGKGFPPGYPQSMVYILGKSNIASVNGDAHKIMRGAFLSLVSPPIIRHQILPKTDAFMRTHLTNWTHQTIDIQQKTKEMAFLSSLNQIAGIGSSPLSKEFMAEFMKLARKKIVRMLEELIEDRRKSQEIHEDMLYSLMNGVDNRYKLSDEEIIDQIITILYSGFETVSTTSMMAIKYLHDHPRILEEFRVILETSRLATIVNGVLRKTTKEMELEGQLIMDKPLETENYFFVFGGGTRRCPGKELGIAEISTFLHYFVTRYRWEEVGGDEITKFPRVEAPNGLQIKVSPYRHCY >KVH92667 pep supercontig:CcrdV1:scaffold_85:503483:507900:-1 gene:Ccrd_005295 transcript:KVH92667 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2-like protein MESNGKNVGAVTLTPPKSKQSGKSKQPENVNPNVTSPNSKGSNSPAIKSATKSQKSATKKPNQIGSPSPRNKIRERKFVVAKKNSKREKDKTLISVDCKCKAGGNSNRCFCVAYETLRASQEEFFKNPIVMNPSLADSEVAVQEDEEKKTNGNPNLPEAKSEEDEVVEKSGEKRKSIPEPGSGRVMNLVKAFENILTLPKSDESGDKGQEEEQQLEDGTKRDSNWEFSSSSFFPSDLLITAESLGLRSRVSSSLDSSHGSIANRTSGGGRQSRRNSAGSSSSFGGSRWKRRTLKATAQQPFKLRTEQRGRSKQEEFMKKVQEMMIEQEKQRIPIAQGLPWTTDEPECLVKPPVKESTRPVDLVLHSDVRAVERAEFDHLVRKAANDAKRAKISQPSA >KVH92627 pep supercontig:CcrdV1:scaffold_85:386286:387065:-1 gene:Ccrd_005309 transcript:KVH92627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTSPKSPPPQQPPPPPPPLNSLVPATVAPTPLIVPKAFKYPEMYMSPTDLIMSPVTKGILARTRSNKFKFQNLKKWVLLKFDGLFES >KVH92637 pep supercontig:CcrdV1:scaffold_85:189914:191985:-1 gene:Ccrd_005324 transcript:KVH92637 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MTMVTDCDGLRGSSTAACNGSSLVECRVFEEEAQEFLMDTEEHRRILATTRRIDYSALQRGYTYCGGNCAGKYNVRGRPCRTYDYCRRRCPSDCVFAPYFPANNPQKFTCVHRIYGASNIGKLIEELPVQLRADAVESLYYEAKCRIRDPVYGCVGIISLLHQQIHIAQSQLAKAQAEIAFLDANVTVAETMLASSGSLGQQNGIDHSLDHPSNPWFY >KVH92664 pep supercontig:CcrdV1:scaffold_85:138396:143666:-1 gene:Ccrd_005330 transcript:KVH92664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKISSVLIVAPLLFMAIAAACSNGTCKLLDDCETDGDCEAGLQCFSCPQGFLGSKCVRSKATPVFNLLNNSLPFNKYAFLTTHNAFAIDDGTPRVAPTNQEDSITQQLNEPAIDALKEIEAFLSANPNEIVTIILEDYVQAPNGLTQVFTNAGLMKYWFPITSMPQGGQDWPLVKDMVANNQRLLVFGSVRSKEQSEGIAYQWNYMVENQYGNDGMKAGECPNRAESSPMNDQTKSLVLVNYFRTLPLKRSEGGGTFQAVDLLNGERLCGCNDVHACVPGGGSCTP >KVH92638 pep supercontig:CcrdV1:scaffold_85:184647:187324:-1 gene:Ccrd_005325 transcript:KVH92638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2039 MRFNVVPEGNMSSRPRVGPPKHANKYAWKPNAGVKINETEVGGRFRPLSEITGVCPKCRDQIEWKRKYGKYKPLSEPAKCQKCTKRAVRQAYHNLCNACAREHNVCAKCSCRVERIVGRDATEVEAEQKMLQAVCVYFHFLFTFVLVISGVAFEVDKIWVLFQAISNAREREKRSLLRAMNKGKSQTKEQDPTDNPKKAGDLFKAESLEAFADTTRDDEEEDGSDHETQVVN >KVH92657 pep supercontig:CcrdV1:scaffold_85:236871:246019:-1 gene:Ccrd_005319 transcript:KVH92657 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter, conserved site-containing protein MGKKKHLDWTSRSIICSGGDKFPFMKKNGLLKSCEMGKKKHLDWTSRSITSSGDDKVPLIRDKKINGNDIGPSNDPATDLEHGDAVQIIDIVSRDTRTPEEQTEALNAVKDTILAVVLVVVVGSLSTAIRAWLFSSASERVVARLRKELFSHLMHQESFGAIRTVRSFAQESYAISTYSEKKITGIFSGGMSGASTLSVITVVIYGAYLTITGSMTAGSLTSFILYSLTVGSSISSLSGLYATAMKAAGASRRVFQLLDHVELDDVWFAYPSRPNDMVLKTTIANLIERFYDPLNGKVSIVSQEPVLFNCSIEDNIAYGFDGKASSSDIENVAKMANAHDFVSSFPEKYQTVVGERGLRLSGGQKQRIAIARALLMNPRVLLLDEATMIAHRLSTVKSADTVAVISDGQIAESGTHDELLNMDGIYTALVRRQLQVPKNES >KVH92620 pep supercontig:CcrdV1:scaffold_85:456864:457555:1 gene:Ccrd_005302 transcript:KVH92620 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MADRIICNSTMELETGVFTLSPKMLPIGPLLATNCFSKQAGHFWNEDSTCLTRLDQQPVCSVIYVAFGSFTIFNQPQFEELALGLELTKWQYQHVYPNGYMDRIGNHGKLMSWASQQEVQRHPSVTWIMSHCGGTLPWKIWKTDLGLNKDENRGIVTQGEIKSNVEQLLSNNIVKENALNLQEKVANSVRAGKSSNRNLYNFIDW >KVH92628 pep supercontig:CcrdV1:scaffold_85:483047:483601:1 gene:Ccrd_005299 transcript:KVH92628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKNTSISFLVMLLVFVACFWKTEAQRCIVGRLRGQDGTCNQENDADCCSADEEYPTNTCSPPVTGNTRAVLTLNSFEAGGDGGGESTCDNKYHSDNELIVALSTGWFNKSKRCNKFVTINGNGRRVKAKVVDECDSTMGCDEEHDFQPPCRNNIVDASRAVWNSLGVPRDRQGELDITWSDA >KVH92661 pep supercontig:CcrdV1:scaffold_85:69950:74681:-1 gene:Ccrd_005335 transcript:KVH92661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTSKQLFGEDLGPLNLKELEQLERQLDSTLRQIRSIRTQSMLDRLSELQVKKTKQDRRGQEVKMVIHIINTRISILNLKASSSL >KVH92642 pep supercontig:CcrdV1:scaffold_85:21596:42441:-1 gene:Ccrd_005338 transcript:KVH92642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAEEIAKLVGVLFDDKASSSSNDIRLRSEKLGLEKLYLILKQGVETNSDGKLGLQSWDHAQIQAVMSLAYAIVSSTRSISVEQVEPIIVAVMEQSLEFAVCCLEKSVANSDDISFIQLLEIALVDGTIKEADVSHSSSMNLLIDSLNSIPLRNESVELQDHIECIVQGNSCSKEKKPVDRLLMTLVSDWTQHENMNSWTIGRSSHDFNKLVPLLQHWAVVHLGCIQRLVSHCMGLVENFDRCDEKMDNINLRKRLSFCARIFKLLASVTKDAPYVEYDAKLLGSFASFTNALPSLFRSGYEFATSNVSVEFNIESLVLLLLEAFLHLVHTIFCNSNVFQNILVGVIVSVFENLDVDVWRYDKSMTNIKLPVAYFPRIVLYILKLVEDIKKQQYQALELKGLHAGNSGSSADSQADPFLCGVGSERISLVKKYSVEELLKVIFPTSRQWVDKLMHLAFFLHSEGVKMLPKLDRSYSSCTKASSTLEPESTVCHEDEALFGDLFSEGGRSVGSTDGYDQSVIAASSVTNMSNMPLHAATELFIFLKTSAFSPEWHSSIYEDACKKLTSYHIDIILSVLSCQGCHAGDRASDAGVSLSEHRKFMHTHEVCYEVLHNLISFHALSESLEEYLVCKILIVDNGSFVYNHQTLALLAHTLICRVGMSGNQLRTKVQKVFVEFVFEKVKSVCTRCPSLKELIETLPSVLHVEILLMSFHLSSEAEKAVQANFIVSSIRTIEPLCDGFNSTQLSCWALLVSRLVLILRHMIFYPNVCPPTLLSELKSKLREAPLARSVPAGNASNRMFWASTFVENVMRIFTIEGEVSSNFLNQLIDVTSFTASACREDLAVECLGLNWAEVCSSFSWILGFWRGKGASTMEDLVLERYIFMLCWDIPPMHTTLDLLPSCSDLQTLDITSIEHLLYFGHSILGSCGVAKSANFSKLVFSVLEQLQSMPISEETEELGLDFLRSGSWLALVLSLLGAGNQRYCISNEIASAGLVLLEHTSRDAEFLTLAEKLIGSSLQSVTVAVLLKALSVILLRYRNVYQKTLLSTFGDGQSSANRFSPILLLKHTGFEKSLQDVLFEKCAFSPCQLESICELLPKLGIAVDKMAPGIWNKVNFELRMHGFPCNNQVSSGFLLSCILSIKGIVCVLDGLLKMINANEMTSIEAEVRHEILELILSIKTDRVFSSLHAQCDAIYENLSYGLEEFDYRSFFTMKNMYEFLKDINAREVVDSCVNECVVSKAIDIIDSIVKDSSRASVLQKFMSDGDGSERMENACSSQHGDLLVFVDALENCNSESANVKVLSFFVDLLSGDACPNLKLKLQKKFLNMNLLHLSKWLEKRLLGSTNEPSGDPICVNGSSSSLRESTTKFIVSLLSPPESQSEELHYHIYEALLESLDSAFTLYDVNTAKSYLNFVVQLSSGEASMKPLLQRTVMLMKKLACDERLLQGLKFLLGFLGSMVSDFGSNKNTAAKLVEKSSRRSGSGIGQVASRSLGSRKHHDALVLSSNQEGGTTSLDCDATSADEDEDDGTSDGEVGSMDKDEFDDSNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGGNSAPTRSAGNLHSFLPFTEEGDQLPDSESDVDEDGSLDMDNSVRLSFPREIQEAMPSLVKGLDVEDRVLELCSSLLPSITSRRDSNLLKDKNISIGEDKVLSFSADLLQLKKAYKSGSLDLKIKADYSNAKELKSHLASGSLVKSLLSVSTRGRLAVGEGDKVAIFDVGQLIGQATIAPITADKANVKPLSKNVVRFEIVHLAFNPLVENYLAVAGYEDCQVLTVSHRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNKFVKIYDLSQDNISPMHYITLHDDTIMDATLAVVSQARVFLIVLSELGCLFRLELSNEGNAGAKTLKETVETPGRETHAKGSSLYFSSTYKLLFASYQDGTTLVGRLDHGASSLSEISAVYEDEQDGKHRPAGLHRFKELLVGSGLFVCFSSVKSNAALAVSIGEHEVLAQNMRNGVYSTSVLVGITAYKPLSKDKIHCLVLHDDGSLQIYTHVPVGVEAGANVIPDKVKKLGSDILNSKAYASLKPEFPLDFFEKTVCITSDVKLSGDAIRNGDSEGAKQSLASEDGFLESPTPAGFKVTVSNLNPDIVMVGFRVHVGNTSANHIPSDITILQRVIKLDEGMRSWYDIPFTVAESLLADEEITISIGPTFNRSTLPRIDTLEIYGRAKDEFGWKEKMDAVLDMEARVLGSSSWVAGSGKKRRTCQAAPIEEQVVADGLNLLSKLYTLCEPQGCLNVDEVKAEISKLKCKQLLETIFESDREPLLQAGACRVLQAVFPKKEIYYQVKDTMRLLGVVKSTSTLLSRLGIGGSTSGWIIEEFTAQMHAVSKIALHRRSNLATFLETHGSEVVDGLMQVLWGILDIEQPETQTMNNIVIASVDLIYSYAECLVSHEKDAGGDTVAPAVSLFKKLLFSQNEAVQTSSSLAISSRLLQVPFPKQTMLGTDDAVDSASAASARADVASSTGGNARIMVEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEMLDADRLPPPHSREHLMSAIPIEVETYGGEGNEMQFSTDDLNDPLGGPFVDSSRPESLDLEKLIKWFLDEISVNKPFAAKSRSSFGEVTILVFMFFTLMLRNWHQPGGDGSIAKTGGSTDSHDKSIIQISSSAPVTSSSAVDDQEKNSFHSQLLRACGALRQQDFVNYLMDILQQLMHVFKSPSVGFGSSHGANSGSGCGALLTVRREIPAGNLSPFFSDSYAKSHRSDMFADYHRLLLENTFRLVYSLVRPEKHDKAIEKEKFFKIPSGKDLKLDGYQDVLCSYINNVNTTFVRRYARRLFLHLCGSKTHYYSIRDSWQFSSEVKKLYKHIKKSGGFQSTLSYERSVKIVKCLSTMAEVASSRPRNWQKYCLRHGDVLRFLVNGVFYFPEECVSQALKLLNLSFYNGKDISLSLQKIDGGDTVTGTSSSKSGPQSFDSKKKKKGEDGVESGLDKSYMDMEPMVDIFADKGYDILRQFIDCFLLEWNSSSVRVEAKCVLYGAWHHGKQSFKETMLMILLEKVKYLPMYGQNIMEYTELVTCLLGRIPDNGTKQQSNEIVDRCLTSDVIKCIFDTLHLQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSCPELPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQSVTMNVHDARKSKSVKVLNLYYNNRPVADLAELKNNWALWKRAKICHLAFNQTELKVDFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDRHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDDMENDDDMKKGLAAIESESENAHRRYQQLLGFKKPLLKIVSSIGENDMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKHSDGTVASSRLIVSRSPNSCYGCATVFVTQCLEMLQVLSKHPSSKRQLVAAGILSELFENNIHQGPKTARVQARAALCAFSEGDRNAVTELNALILKKVMYCLEHHRSMDIALATREELLLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPSISEHVILPCLRIISQACTPPKPDSSDKEQGGGKPGPVPLQKDENRPSSVVSGSKSLPDSSEKNWDGSQKTYDIQLLSYSEWERGASYLDFVRRHKVSQATRPGQRSRPQRYEFLALKYSLRWKRNAFKTGKSEIASFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLNLLMSLLPATLSAGENAAEYFELLFKMIESEDARLFLTVRGCLTTICKLITQEVSNVESLERSLRIDISQGFILHKLIELLGKFLDVPNIRSRFMREKLLSEVLEALIVIRGLIVQKTKLISDCSRLLKDLLDSLLLESAENKKHFIQACICGLQIHGEEKKGRNNLVCRKDTLNTLSQLIFILEQLCNLICPSKPEAVYHLILNKAHTQEEFIRGSMTRNPYSSAEIGPLMRDVKNKICNQLDLLGLIEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSSSQSANAVAASTLLSSSTGTSTKDFPPMNVTYRLQGLDGEATEPMIKELDEDREESQDPEVEFAIAGAVRECGGLEILLEIVQRLRDDLKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALSLLLEIARRAFSVDAIEPAEGILLIVESLTMEANESDNINITQNALTVSNEETGTGEQAKKIVLMFLERLSHPPGLKKSSKQQRNTEMVARILPYLTYGEPAAMEALIQHFDPHLKNWVEFDQLQKQHLENPKDESVSLQASKQRFAVENFVRVSESLKTSSCGERLKDIILETGITEVAVRHLRESFGFTGEAGFKSSPEWVSGLKLPSVPLLLSMLRGLSMGHLATQKCIDEGGILSLLHALEGVPGENDIGARAENLLDTLSDKEGKGDGFLAEKVLGLRHATRDEMRRRALMKRKELLQGLGMRQELASDGGKRIVVAQPILEGLEEMEEEEDGLACMVCREGYSLRPMDLLGVYTFSKRVNLGVGTSGSARGDCVYTXVSHFNIIHFQCHQEAKRADAALKNPKKEWDGAALRNNETLCNNLYPLRGPAVPLAQYTRYVDQYWDYLNALGRADGSRLRLLTYDIVL >KVH92649 pep supercontig:CcrdV1:scaffold_85:308426:310115:-1 gene:Ccrd_005313 transcript:KVH92649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MPLIFISDKILTAIDDPQVPADHDHHLLVILQARNLICSIDMNISNLRESSDVTRKQEGTNSKDGSKISPKPNLTTSSWTKLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLDVAKHEIDELPPLQMPSGNYGQGLQAMINLASHPGMISTPQIPENSERLKTNTNWEDYWNPDKSKEKDDYPGSKNDHDHGAPILLQSSNSSMFTNGVQTGSILKWNPSNLSLSQFGSYGSMATHQHEDTLNFNINVSGSQLLAYPPPSHDHHKQLNLEMLSPSSSSSHNPLSSSLYTINQGMRPFHLSMNPKMFPSQEDEPDKGN >KVH92644 pep supercontig:CcrdV1:scaffold_85:491454:492876:-1 gene:Ccrd_005297 transcript:KVH92644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e MELPEWTDIVKTATFKELAPYDPDWYFIRAASIVRKIYLRGGLGVGAFQRIYGGHKRNGSSPPHFCKSSGGIARHILQQLETMKIIDMDPKGGRRITSNGRRDLDQVAGRIVVAP >KVH92632 pep supercontig:CcrdV1:scaffold_85:198433:211069:-1 gene:Ccrd_005323 transcript:KVH92632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MFTFIFSLLLLFSTTATTAQPYNATDHLHLACGSSSTADGWQGDEGSKFTPPNITTTSFSSTPSSQGPIGSKKPYTTARIFNAYSFTYTFPVSKGPKFLRLHFYPATYSDLQPNQSFFSVSANGYTLLTNFSAFLASSFIENFRSDAIDGGAQVSNFVKEFIIHVKDAQILNVTFTPEPNSYAFINGIEIVSMPENLYFNDENLNYVGQTTGPRIGDDMVFETMYRLNVGGLYISGDADTGMNRSWELDDGYILGAAYGLTPNTTTPITYTMETPNYTAPEQVYATQRSMGNLSEHYNLTWTLPVDSGFYYMIRLHFCNIIPQYNRSGQMVFTIFMNNQTAEKKADPLYWTQGKGHPVFKDYVSFVSDPDNPESKQDLWLTMHPNFGASAEYLDAYLNGLEAFKLSEDGSLASPNPELSPTPPLPTPSSPIKGNKNRTPSYAAIIGGVGGGLVFLSLLVLIVFRQRRRVKNYSADDKSSYGPVFIESKSTHSCHSSLPSDRCRHFSLTEVKAATQWGKYCYRNGILDQIIDPKLRDGIATESLTKFGEVANSCLKEKGCDRLSMEEVVYKLELALEVQESAEKTGGGAVSENQELPFLRQGEVTTTDDDVFSGSSAIRNGTSSVSRSYEGFKSETVFSEMGKPAGRMSLSMVQILVQDVQVHGRIIPISELNGEDQDFPGLLLKQVDKSFTMPTAAIMIYININNTLLLRSNYSSTMFTFIFLWILFFSTTTTTTAQPYNATDHFLLACGASSTTTSSDRRSWDGDEGSKFTAANNATASFPSTPFVQGPSVSQIPYTTARIFNASSYTYTFPVSVGPKFLRLHFYPATYSDLQPNQSFFSVSANGYTLLTNFSAFLAASFIENIRSDAGVGGAQLLSYFVKEFIIYVKDAQILNLTFTPEPNSYAFINGIEIVSMPENLYFNGENLNYVGLTTGPKIADGMALETMYRLNVGGGYISGNDDTGTGGMYRSWEPDPDYIFGAAYGLTPSATTPITYTMETPNYTAPEQVYATQRSMXNLSEHYNLTWXLPVDSGFYYMIRLHFCNIIPQYNRSGQMVFTIFMNNQTAEKEADPLYWTQGNGNPVFKDYVLFVSDPDNRESKQDLWLTMHPNFGASAEYLDAYLNGLEAFKLSKVGSLASPNPELSFRTPLPTPSSPIKVNKNKTPYAAIIGGVGGGLVFLSLLVLIVFRRRRRVKNYGADDKSSYGPASFESKSTYSCHSSLPSDRCRRFSLTEVKAATREFNDNCIIGHGGFGKVYKGYIDNGTTTVAIKRLNSSSSQGSHEFLTEIGMLSKLRHVHLVSLIGYCDDDEEMVLVYDYMAHGTLRDHLYKSNNPPLSWKRRLHICIGAARGLHYLHTSAKRRIIHRDVKSTNILLDENWVAKVSDFGLSKLGPNDPSQTHVSTVVKGSMGYVDPEYYQRQQLTDKSDVYSFGVVLLEVLCARPAMIPGRGKEQASLAEWGKYSYRKGILDQIIDPKLRDEIAPESLTKFGEVANSCLKEKGCDRLTMEEVVYKLELALEVQESVEKTGGGVVLENHEVPFLRHGEATTTDDDVFSGSSAMRNGTSSISSTSEGFKSDTVFSEMGKPTGSFLLSTTMLTFTLPPLLYLFLLFSAAATTAAQPYEPTDYFLLVCGSSTATTSNRTWDGDEHSEFVTSDINTTSFSSKPSFQDPSIPRTPYSTARIFNTTSFTYEFPVSEGPKFLRLYFYPATYSDLNANQSFFSVSSNGYSLLTNFSAFLTASYVEMTLSNGGLGGRQNPHLVKEFIIYVKDTRILNVTFTPSPNSYAFINGIEIVSLPESLYFNSEDLKHVGQVTGSVIDDDTALEKIYRLNVGGRQIYVNSDTGMYRSWDQDDDYIYGGMFGLTPINKTPIAYTMETPNYTAPELVYATQRSMGNMSDNYNLTWILPVDSGFYYKLRLHFCNIIPQYTKRGQVVFRIFINNQTAEDEADLFHWTQGSGYAVFKDYVVFVNDPDGRQSKQDLWIAMHPNSRASERHGDGYLNGLEVFKLSIAGNLSSPNPEIGPTTSMPIPVSPIIRNRNAPTYAAIGGGAGGGLVLFLILVLIVLWWRRRVKRFGTAKDTKPPLPPLPSDRCRRFSLTEVKAATGDFYHDRVIGKGGFGQVYKGYIDNGTTTVAIKRLNSSSKQGFHEFQTEIRMLSKTRHGHLVSLIGYCDEDGEMILMYDYMTHEWGKSSYRTGTLHKIIDPKVSGEIAPKCLRKFGGVAHSCLQDKGSERPVMEDVVGGLEFALQLQEAAEKTDSSLGEAMLENQEFPFLMIGEPTTGDESMLMGSTGVALRHGTS >KVH92631 pep supercontig:CcrdV1:scaffold_85:223493:229011:-1 gene:Ccrd_005322 transcript:KVH92631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MITTMFTFTLPLLLLTTIVAAQPYKATDLFLLNCGSSTATIFDHRKWDSDEGSKFVISGTSFSSKPSFQDSSVPPIPYSTARIFNTSSFTYKFPVTEGPKFLRLHFHPVTYSHLQADQSFFSVSANGYTLLTNFSAFLAASFIENFRSDSRDVVSAQASYFVKEFIVYVKDIQTLKVIFTPMPNSYAFINGIEIVSMPENLYFNGENLNYVGLTTGPKIADGMALETMYRLNVGGGYISGNDDTGTGGMYRSWEPDPDYIFGAAYGLTPSATTPITYTMETPNYTAPEQVYATQRSMXNLSEHYNLTWXLPVDSGFYYMIRLHFCNIIPQYNRSGQMVFTIFMNNQTAEKXADPLYWTQGNGNPVFKDYVLFVSDPDNRESKQDLWLTMHPNFGASTEYLDAYLNGLEAFKLSKVGSLASPNPELSFRTPLPTPASSTRRNKNKTPYAAIIGGVGGGDDISILGNKRHQQQQQQQQPTIVPPIPYSTARIFNTSSFTYKFPVTEGPKFLRLHFHPVTYSHLQADQSFFSVSANGYTLLTNFSAFLAASFIENIRSDSGDVSDQAFYFVKEFIVYVKDIQTLKVIFTPIPNSYAFINGIEIVSMPENLYFKPNSLKYVGISDDMAQETVYRLNVGGRHIPGNADTGMYRSWELDDDYILGAGYYGLTPVTMAPITYTMETPNYTAPEQVYATQRSMGNLSNHYNLTWMLPVDSGFHYKIRLHFCNIIPQYHTSGQMVFTIFMNNDTAEEQLDPLYWTKYGDPVFKDYFVFIFDPDRSHSKQDLWIAMRPNLNASKEYRDAYLNGLEAFKLSIAGDLSSTNPEIFHSSESPTEVINRKKIPYCDIIGGVGGGLVLLSVLVLIVLWRQRRAKDHGTTNDDKPYWGLTSIELRSTRNGLSSLSSDRCRHFTLREVRVSTGEFNDNCVIGRGGFRKVYKGCIDNAKTTVAIKRLNPSSNQGFHEFQTEIKMLSKLRHAHLVSLIGFCDEDGEMVLVYDYMAHGTLDEIIDPKLRDEIAPGSLRKFGEVANSCLKEQGSERPAMDAVVCGLDFTLILQEAAEQKDGEEHTTMEVEGQ >KVH92665 pep supercontig:CcrdV1:scaffold_85:97657:106094:1 gene:Ccrd_005333 transcript:KVH92665 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase MAEAKTGEEEKQQINKTPPPFDLNDFTIIKEGEAEILMHAKNEVFYNKTQVNNRDMSIAVLRAFISKQKEEHEALLSKRMKKASKVTENHASEVEASVKLTNGSSNGECEPLDEIPQGEPCSTGDEPEKTFEGKGQGELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKASVEACRRNIKFNGSVVSAKVESNLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSIADGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRNTPLKLSYVYQCIGCDSFHLQPIGRTVSKNTSVRYLPGFGPVVPQECSDCGKKYNMGGPIWSAPIHDQDWVTSILSEVKAMKDRYPAFDRIFAILTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMNVKTHPVKAQSPDQSGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRTITSKHVSLLGADAVNGILNNDEQESEEPQAKRKKTEDPTSIS >KVH92629 pep supercontig:CcrdV1:scaffold_85:161648:163836:1 gene:Ccrd_005328 transcript:KVH92629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MVGAQTTEQGDCSKFKANMPHCCKKIPTVVDLLPGVPYNQQFSNCCKGGVVAAWGQDPSASVSAFQVSVGLAGTTNKTVKLPKNFTLLGPGPGSDSKLLSVVGVNTPKKDNSPLLQCTRHMCPVRVHWHVKQNYKDYWRAKVAITNFNYRMNYTQWTLVVQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKFFNDLLMEAGPKGNVQSEVLLQKDQNTFTFKQGWAFPRKVYFNGDECILPPPESYPFLPNSAHKTLFGPLTLLVSLFVFLLV >KVH92636 pep supercontig:CcrdV1:scaffold_85:164217:169430:-1 gene:Ccrd_005327 transcript:KVH92636 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein VLLSSLAKKFIHRSPIIKFFFPSSTINRINHTLHQLMDHCFRSLARLSISTILLVIFLSSCSFTRTEAYDALDPNGNITIKWDIMSWTPDGYVAVVTMYNFQQYRHIPPPGWTLGWTWAKKEVIWNMMGGQATEQGDCSKYKSSPPHCCKKTPSIVDLLPGTPYNQQISNCCKGGVINSWAQEPNNYASSFQVSVGAAGTTNKTVKPPKNFTLLAPGPGYTCGPAVVGKPSKFITADGRRVTQAMSDPNSPYLASVVNGPGKNSLTPLVQCTRHMCPIRVHWHVKLNYKDYWRVKVTITNFNYRMNYSQWNLVVQHPNFDNLTQIFSFNYKPLTPYSSINDTAMLWGVKFYNDFLNQAGPLGNVQSELLFRKDKTTFTFEKGWAFPRRVYFNGDNCVMPPPEAYPYLPNVGYRLNLSILTIFMTSLAVFLIFE >KVH92624 pep supercontig:CcrdV1:scaffold_85:417277:428035:1 gene:Ccrd_005306 transcript:KVH92624 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein LCWFFFFISLNPSFLPFPAPNSPQFLEVIGYLIVSKMDIDGDVEDVLRRVQVRFFTKLQPPFKAPTTSIALPSNLTRMGLSAVVNNLLQSGNDDWKPEPFDFLIDGELVRMSLEDFLLAKGISAEKTLEIEYIKAVAPRKEEDPSLHDDWVSAVDGSNRFILTGCYDGLGRIWKAAGVCTHILEGHNDAITSVRVVKAQDNENDTNLVVATGSKDKTVKLWKFDAEPSAEDMKIRAFRTLRGHTASVQSLAAQPTGDMVCSGSWDCRINLWQTESNDGGDIVSTKKRKKGNKEEEAQSEGEAVSTLVGHTQCVSSVVWPEHGTIYSASWDHSFRRWDFCGKVINCIDVGGERTLAPSFQFSSHTSWITACKWHSRSWFHLLSASYDGKVMLWDLRTAWPLAIIDSHEDKVLCADWWKGDSVVSGGADSKLRVSSEISIP >KVH92653 pep supercontig:CcrdV1:scaffold_85:255090:261640:-1 gene:Ccrd_005317 transcript:KVH92653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSTKSPPRRNHSKRVMNQSTTSINSNSSHRSSRFRSSSGKKEKFDDAIIREHAIAAALFFQQHQQQNGGVLPFDRSTSLRHPPGAANSKRLQGLPRSSSTRHRSVTDPLVPPQQLLNQYSRTSDLLSVHQDVNLDELETNHIVLVHGGGFGAWCWYKTIALLEECKFRVTAIDLTGSGIDLFDTNSIKSLSQYVILVGHDFGGACISYAMELFPSKIAKAIFIAASMLKTGQSTLDMFSQKENTNELMRQAQKFLYGNGNNLPPTAIDLDKMLLKDLLFNHSPAKDVALASVSMRPIPFPPVLEKLSISDSNYGSVRRFYIETPDDNAIPVTLQESMINESPPEKVFRLKGSDHSPFFSKPQALHKLLVEITKIT >KVH92630 pep supercontig:CcrdV1:scaffold_85:152263:153422:-1 gene:Ccrd_005329 transcript:KVH92630 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone reductase complex 1 MLRQ subunit MPRWVRPEVYPLMAAMTFVTTMCVFQLTRNVFMNPDVRFLRTRPPEVMPAVNSFFSDTK >KVH92634 pep supercontig:CcrdV1:scaffold_85:233101:234165:1 gene:Ccrd_005321 transcript:KVH92634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLNPLFCVFILHLVFSVAGNLPAPYFPIDDIAVNCGSIGNSSALDGREWTGDIGTRFGLSRKQAGNSMTTKAVHTSLSGDPVPYLTARASRSPFFYTFKLHPGPKFVRLHFNPASYIGFETATDLFTVRVGPYKLLHEFSPSLAARAAIVISFSKEFCVSVDESRELTVMIAPSRTNGNSYAFVNGIEIVSMPSDLYHTPEGEPGANVVGLHRRYTFYIDNTTALETVHWLNIGGSPVSPIEDTGMFRRWSKDSDYLLEKNKNFQVYHATNMIKYTNIPTFSAPLKVYQTSRSSNSKKSARFTWKLPVDLGFRYLIRLHFCQHEPTTRSKINHKEFAIIINDQVAERNAEVTK >KVH92633 pep supercontig:CcrdV1:scaffold_85:234202:236613:1 gene:Ccrd_005320 transcript:KVH92633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MTTMRGDKTDGKSDLSVAIVSKSGLVEGKLNGLEVFKLSNPDDSLAGSNSAFQSRSSSIWMPKLPAFSSLNAIATKSIMVITALNIIVYIQRFWEEKLGKKNVHLPSSSDEPCRRFSLADITSATRNFDEALVIGMGGFAKVYKGVIDGGTKTVAIKRMSSRSHEGDLEFWMEIQMICRLRHNHLVSLIGYSDDGNEMILVYEYMPCGTLAEHLFKLSSDDSSNFVPLSWDQRLKICIGAARGLDYLHTGTRHGVIHRDVKTSNILLDENLVGKISDFGLSKVEITKSTQSLPKSYVSTNIKGTVGYLDPDYFLSRKLSRKSDVYAFGVVLFEVLCGRPAVDPGLEEDQRSLAIWVRQSIKDSKLDQIIDPHLRNEISPHCLKIFTELAEKCLENRPKRRPTMVNIISVLEFALEQQERSDWFIPEDPVFSSSPRSGEQYIISPKSPLNASKRSLKPKRLKSWRWDLLWNGVKPSKNDSFISVSSSKTHEDSCLRFLLSEIQSATNDFHENLIVGTGHFSIVYKGYINYGTKVVAIKRLKKNTTDNWSDFCKEIETLSQLRNPNLVSLIGYCYKKSEMILVYEYMINGSLRNRLYGSQITDPLTWNQRLQICIDAALGLAFLQTVGVQPILHLNINPGNILLDENWVAKISDYGLSRDNPLYAVSDHLTTVLGNHFGYLDPEYIFANGTKPTEKSDIYSFGLVLLEVLCDRKLEDHNLQRDQVFLKSWVKSNIAKGTVLKTIDPSLKGKIAPECLKEFVKIADRCLADQKTERPSMIEVVKSLKFAAKQQEAAEAAEAAKSYI >KVH92645 pep supercontig:CcrdV1:scaffold_85:519248:522590:1 gene:Ccrd_005294 transcript:KVH92645 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, C-terminal-like protein MVKICCIGAGYVGGPTMAVIALKCPNVQVAVVDISVPRITAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVCEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPGGQKAIEALKSIYAQWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSQVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVASMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKACVSIYDPQVTEDQIQRDLTLNKFDWDHPLHLQPLSPTGVKQVAVVWNAYEATKDAHAVCILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNVVDTEKLREIGFIVYSIGKPLDAWLKDMPAVA >KVH92659 pep supercontig:CcrdV1:scaffold_85:10963:12019:1 gene:Ccrd_005340 transcript:KVH92659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein MSNGSLASFVYNEDEHTLNWEEIKTSNARDISHGIEDVYDGRNSSLTDTHGYIDPVYISTNKFTTKSDICSFGIILLELIKAIHPYQNLMEYVNLAS >KVH92639 pep supercontig:CcrdV1:scaffold_85:49751:51277:-1 gene:Ccrd_005337 transcript:KVH92639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MRCSWLSFMLLLLFMFSFNGVSGGRHRKQHHSAAIVVGTVYCDTCFRQELSKSTHFISGATVAVECGGDGLKSFRQEVKTNEKGEFEAKLPVSVGKHVDRIKKCSIKLVSSSEPYCAVAATATSSEIRFKSKKAGKHVFSAGFFTFKPELCSQKDVIGKGFPPALPDPATPFLPPIGEGILPPLPVPDLPVPPLVPPVPRIPGIPIPPVTRQKPSESDNFSDQKTFGFPFPPNPFQPPTLPPLPFVPSPPSLFPPLPFQPSPPSLIPPLIPSPPPASLFPPLIPFPPLPGVIPSPPPPPPPAFPIPLPPLPPVPRIPIPPASNHP >KVH92643 pep supercontig:CcrdV1:scaffold_85:498651:500363:1 gene:Ccrd_005296 transcript:KVH92643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dyskerin-like protein MSELELSRSEKKKKKSKSKDDAIVNKSSDDATDAVDYLIKPQSFTPSIDTSEWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYIRYGVINLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTLCVHLGLILGVGGHMQELRRVRSGIMGEKDNMITMHDVMDAQWVYDNYRDETYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENSIENGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPTASMKKKLISEGKLSKHGKPNEKTPAEWSRNVVLPPGGDSMVASLAAAPVTETVELTETVKVDGEKKKKKKKKGIEDGEEGKKRKLEEDDVTDTPLASKKQKIEEDIEGETTEKKKKKKKKSKDGDEAQAVLSDGDKSEKKKKKKKDKENGDATVTPSAAVSEDEASKSSKKKEKKKKKKEAQEE >KVH92626 pep supercontig:CcrdV1:scaffold_85:402914:409387:1 gene:Ccrd_005308 transcript:KVH92626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MAKLRNSPSFLILPFFFFLAFQLTHTHPNTLHNNNHLHEEEEEADRITSLPGQPTVSFHQLFYPSGPGCSSVAYGASEEVGPFRINKGATGLHLNKFSWNTAANILFLETPAGVGFSYSNRSSDLLDTGDRRTGRIQYLVYLISTCDIKPPQDSLQFVIRWMERFPRYKHREVYIAGESYAGHYVPQLARQILKFNSDSGSNFINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYHQLINTCDFRRQKNSNECESLYSYAMDQEFGNIDQYNIYAPPCNNSDGSHSSATRQTMQLPHRPHRVRILMFRQISGYDPCTERYAEVYYNRQDVQKALHANTTRIPYKWTACSETLNRNWNDTDVSILPIYPTRYALAQLKLKTKVPWYPWYVKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPRAALQLLLSFLRGKPLPKA >KVH92654 pep supercontig:CcrdV1:scaffold_85:271907:281695:1 gene:Ccrd_005316 transcript:KVH92654 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MEVIMSSPIQNCSGLENAGGDVSASLCSKHQERKKRKLLRRENNKNPDSKLEVAQQNVDVIPQSSIQDNANTDLDAENPESKLEVANVDMIPQSSIQDNSNTDLGTTSTVQLSKRQIRRNRKLLREDTENPESKLEVARHNVDVITQSSIQDSPNIDLGTTATIEMTKCQKRRNRKLLREDTQNPERKLEVAGQNVDIITQSSIQDNSNTDLGTTATVELSKRQIRRNRKLLREDTENPESKLVVARQNVDIITQSSIQDNPNTDLGTTATVQLSKRQMRRKKKLLREDAENPESKLEVVRQNVDIITQSSIQDNPNTDLGTTATVQPSKSQIRRNRKLLREDAENPESKLEVARQNVDMIPQSSIQYNPNADLGATATVELSKRQIRRNRKLLREDTENXESKLEVARENVDIITQSSIQDNPNTDLGTTATVQLSKRQIRRNRKLLREKTENPESKLEVPCRNVDVITQSESFATPDAEMVSKGEERTKVANGRMGSDMPKNVHIEGTIQKKKKKKSRKEKPTLGEILNPRQLEDMEVAIGEMDSGTTATDHIVSKKRKKQKLENKDPASGDILGTRGCVQDMALAKKGLSSTRALESTSGESVSCKTATPDAKIVSKGKEHTKVANGEMGSSETKNLNVNGTVLKRNEQKLENTRPNVGEIVNCQYLQNLEAGNYEAHSTSAAKKKRXKLKKKAAKGEISTTSENTEDSVIAKKDAGTNKVFEAMSTESKREDSMEIVNGEKCLRTTPLGADTMIKSVGCTKVVSSEMGSSGAMTIVETTGSRGTSLDMNTVSKREEHTCSSGTTITKTVSKVEECTEVGNIETDSSISRKTEKLRKKKEGVGLKAIDVIPQVPTSRKKLIILDVNGLLADICFSPPKDVRADMYMLRRAIFKRPFLDDFLYFCFARFHVGIWSSRTMYCSDGSSCTNSGMRTLEEKHKNIVFKDLRMIWERNGPGNSWVKGTFNESNTLLLDDSPYKALLNPKHSGIFPVSFTYKDKNDNFLGKH >KVH92662 pep supercontig:CcrdV1:scaffold_85:84817:86018:-1 gene:Ccrd_005334 transcript:KVH92662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MTEMMSWRMQEEGWRKGPWTPGEDKLLAEYVAMHGEGRWSSVASCSEEIDRGLFTESSQTTLQETNQMIINDQQQQQNPSVMAQDVARWWDTVSEDGLWSRFLWNNLDSDHPNQAVMEQPFQMLDSLSMN >KVH92650 pep supercontig:CcrdV1:scaffold_85:322856:330642:-1 gene:Ccrd_005312 transcript:KVH92650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGKSLVQTLRRYIKKPWEITGPCASPEYKSALPRATDYRPFCPATEPAKAIVPTSNPETVFDIKYFSRDQRRNRPPIRRTVLKKDDVVKAMKEKSVDVSDLPPVYLTKAIEEDYNACGGGYVK >KVH92621 pep supercontig:CcrdV1:scaffold_85:453990:454617:1 gene:Ccrd_005303 transcript:KVH92621 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MINKTNYEKISCVIADECMSWAIHVAEKLGIQRATFWSAPDGTLATMLSIRKLIDDGIMDNDEFPAYFVEHFTFRSPFKRPNIPAITNHATHESCRIAWGCIGNLATTKTLFNCQAAKWKLCNSTNELESSTFSMFPNLVSVGLLLASNRQAKQAGHFWQEDSTCLAWLDQQSACSVIYMAFGSFTIFN >KVH92658 pep supercontig:CcrdV1:scaffold_85:250702:252152:-1 gene:Ccrd_005318 transcript:KVH92658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHHRLKTQAMMQPLSLLRQNLLIIITALKPISLLKSLLLNLLIKSTRIQIMIAQQTITTGQMDRTVAISSQIGLQPRSMLLLVAVLPLVTSLAMRRNDGLAFVHVRYDPYSIACPQLVKDAIESELQFICCQNHVPFHAL >KVH92646 pep supercontig:CcrdV1:scaffold_85:552302:554160:1 gene:Ccrd_005292 transcript:KVH92646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KENAPRTVKDVKLISAGKILENNKTVGECRSPLCDVPGGVTTMHVIVSQPPQEKGKLFVPKTYKSKKRPEAAQMRVRYIMRSNSKRVVFADKMMQDLVYMFMNGWQ >KVH92655 pep supercontig:CcrdV1:scaffold_85:290890:295288:1 gene:Ccrd_005315 transcript:KVH92655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTESQFPSEPTSPNLHKKQQDWSLDIRNVVKDSMTREICVALARNVAKDERADFIKHVDSPRPFIHQKSVQYNTNHRNLAKVDETSSGVKKVKETSRFSCDERESRYSLKSTSKVKELPRLSLDSKQNSNKNSMDSPKISSSQTLNQSQKPGSNKRPSSGVVARLMGLENLTDSIHEVETLKIKPSLDDESVSSSRWSSKVEIVKENYVSASVSPRVRLEPTTPERRFSNVTMRGASEPVSRKQEVGDRGSRKPPVNNKEAPVMATNATLSVYGEMEKRLNGIEFKTSAKNLRALKQILEAMQTNNEQAREIQKRDQPVSLTIKGTSSPRAMKPDSMMITGLDNINRFDSRKDLFTRKQGKDPTSRNQKATGRAPSSLSPSNSPEYSTAERPVLRSKVPRPKNGIQKQCFHRPSSDSSRIKKQSNVQPIISDLKTRNQKPKPVNPMQTKEQSCRHSIETRSPSQDGDTVSFISGSNTSLASKNKLQVMRNDQPKENHRNLHFDETERDQSGIYNLVNDTGADHYSEFNHVKLESRNHLIHQIELLTSTDDETTVNETVESPCGITNGDHRYISEILLASGFLKDLDSATRIVQLHPTASLIKPNLFHLLEKTKGYTELSDDGCHRRNPRSKSNEKIRRLMIFDSVNDILFHKLAMLGSSGLWTRKRRGRLLNGEKLQKELCSEIDHLQTSSKRCQYDEDDEVKDIVSEEVNKNSEDWDKCCYEVPGDVHQPSATLQ >KVH92651 pep supercontig:CcrdV1:scaffold_85:338256:339630:1 gene:Ccrd_005311 transcript:KVH92651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1675 MAKTGDSSFPRDLLQRFTCGGHRLPVKPKEEAHGRPEKVELSLGLSLNGRFGMESQKLVVNDDKNDNKLFRASSVTDFVNIPVDVELSLYSPLTRTCSLPPETEAEWRKRKELQSFRRSEAKRKRVEKLKNVRVVNRRNLVNGIESMGRGLKQSPPSPAPILSQVSIGSQGSGGSSGVSDLDSQPFQVTNKDASETHEQSKPKTQLKAHYIDHHMMPCVSTKGDGPDGKKIQGFLYRYGKGENVRIVCVCHGSFLTPAEFVKHGGGGEVEHPLRHIIVDSSSVS >KVH92625 pep supercontig:CcrdV1:scaffold_85:413826:415787:1 gene:Ccrd_005307 transcript:KVH92625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MGGCNPMMETLEEENLIAAARLILKALDSNKKITDGAKKILVDLGTRLSSMAEITVTEDEDEEGELSDIKGRINLIQEKIMNWEAEESMIWDCDPEEAKEYLKAVDXARRLAESLENLNLSKDDNVHLRKVNSVVQTSMARIEEEFRHMLVHNRQNFEPEHLSFRSSEDDGLDENSIVSFGDDSLDDSVQRDSVSRGAEVYIMDLVNPQVIPDLKSIANLMFDSNYGRECSQAFINARKDALDDCLFILEVEKLSIEEVLKMEWVSLNSRIRRWIKAMRIFVRVYLASEKFLCEQIFGQGESVTVSSICFCESSKASILQLLNFAEAIAIGPHQPEKLLRILDMYEVLADLMPDIEGLYSDENGSYIRMECQDVLTRVGDCVKATFIEFENAVGSNTSNSAFPGGGNHHLTRYVMNYIKTLTDYSDSLNTCLKNPDQDSSSSPDTSPSSEHGTDNGNSSSSPMAFHFRSLMSILECNLEEKSKLYKDDALGHLFMMNNIHYMAEKVKTSELRTVLGDDWIRKRNWKFQQYAMSYERATWSSILNLLRDDGLSNSGSSNSTSKTLLRERLQAFYTAFEEIYKNQSGWSIPNSQLRDDVRISMSLKVIQAYRTFVGRHANNISEKYIKHTADDLENFILDLFEGAPKSLHSFHRK >KVH92622 pep supercontig:CcrdV1:scaffold_85:449619:453987:1 gene:Ccrd_005304 transcript:KVH92622 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MHVMVIPYPAQGHVIPLMEVARCIAINGLKVTFVNTEVTHKKIMSACGSQKDGPNDLIQMVSIPDGMQPWEDRRDLGKLTESISRMMPTKLEELIEGINKTDNKVTCIIADASLVWAIRVAKKMGIRSASFCSSAAADQMIQLSTTMPFMDPAKFTWACVGNPATNKIIFDILILGTKEAAETADCIICNSTMELETGAFRLFPKMLPIGPLLATNRFLKQEGHFWKEDFTCLTWLDQQPVCSVIYVAFGSVTIFNQSQFEELAFGLELTNKPFLWAVRPETSGSMNGTIYPNGFMDRVGDHGKIVSWAPQQEVLSHPSVACFMSHCGWNSTMEGVSNGVPFLCWPYFADQFLNTTYICDIWKTGLGLKKDDSGIVTRGEIKSKVELLLSNKIIKENALNLKEKVGAERSSNKKLSEFIDWTEKTHVLVIPYIAQGHVIPLMELTQCLTEHGVKVTFVNTDVNHKLIRKTWSEKGSSADLINMVLIPDGMEPWEDRNDFVRSSEAINQVMPASWKSS >KVI01494 pep supercontig:CcrdV1:scaffold_850:143625:158168:1 gene:Ccrd_020234 transcript:KVI01494 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWNN domain-containing protein MSIRFKFRSSVNFDTLEIDGDKPYISVGELRKKIMCQKKLNNVSQKDFDLVFSDAITGQEYNDDRFEIPSCSSVIVKRVPVEPAPAAMLRYQRLEASELCDDIKKGLDPNKPDKIVLEEKLEPDSNKQIKLENVANSNSMDLLSAGLPPELRCSLCNTYFKEAVMIPCCQRSFCEKWKSLTSCFFSDAIYSFFLLASSVPVMNPWVLKYEDELVVAQEYCKSATHVSYLRSIHEALVVQGSCPWCSSTKSRVKDLLPNLCLRQAIKHFLESQLLATAPENDLQKYVPDGESGIQGKDIPSAPPINKRKKDLFTSTSAMKKGSNQEMAESADDSMNMKNSFLEGSEDRNSNAIGHLKSTSLLKVKHSDRDRDRPEDLALAANSRDINQPLNMPQAHMPVQGGDQRFRFDSTNRKVDRTCYMCGSPDHLIRDCPIAPNRHPMSHAGNPMFQGGMPCYSTPYWSGAAFPPINPYMTMYGNPGLVPFGGSMVPITPYRVPHYLPSTYSSLPVPSGTMMGSLEAPFETRSEQPLTHSEILEPWLGDNRRNFSDERRERSFDEDDVCYKRRRVDELHRSVEYKPHREWGKTPSNSEESHEWKMQREHHCDKHLNQKVESIKERHEKHSHSPNAGKHARSYHTDRSILGVANVQNNNDRYDEARHKKHYRNSTRHHERREQSGSGSTWSRHHIQEERGVKRKVESSVDFKHKRNSYPSGAEHSSSSGDQKKRWKDVNPGPIFREPRERTKPLADKFYGDRWKMVKVSDEDCTEGDHHKGKKRRH >KVI06782 pep supercontig:CcrdV1:scaffold_851:108970:116675:1 gene:Ccrd_014866 transcript:KVI06782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein LEGKSSAVETRAERAAALGRSQPHRPVGCRRRGVACRERAACRRAWFVNQFMSGGSVYDYLHKQKGTFKLPTLLKISIDISKGMNYLHQNNIIHRDLKAANLLLDEHDVRCSLFSIQYKTKKEAKLALIAERRQEVQSVIYEASVQSSVESFWWISVDWLHQWADTITPTSFNIKTISNFPCAKPSNVKNKWSQLKCILFELAYVFNWKVFISVLTSLVVRTPMSMCNLTKLIDLQLVNNRLLGFIPSSLGNCSTLSLANNQLTGXLPNSLYDLAKLTTFDVHNNSLEGSVHFGSVNCKELVYLDIWNFDSSTSWNFEDSTRVDMMIAICNLLNISQTHVVQNEDGVKMEKHDNLTKMGSVEETSHYSEVVNNERL >KVI06779 pep supercontig:CcrdV1:scaffold_851:82485:88102:-1 gene:Ccrd_014863 transcript:KVI06779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding, CenC-like protein MEIPITSSVINANHSFHSQTRNNESNSNKLTSNMIDNHDFRNGLDSWYANCCDAFVVEEHQGKPCNRHALVTNRNHHWQGLEQDVTTKISCGSSYTVSARVGVSGECLEGEADVIATLKLEYQQLSESDTKFLFIAKTSVSRDKWENLEGTFVLSDKPDRLVFYLEGPAPGINLLIESVLVLCHDTTAPCISTEDENIILNPEFEDGVNNWSGRGCKIALHDSMGDGKILPKSGKLFASATERTQNWNGIQQDISGRVQRKLVYEVSAFVRIFGNKVTGSTIRATLWVQTPDSREQYIGIASSQATDSDWVQLQGKFLLNASPSKVVIYLEGPPSGTDILLDGLMVKHAAKTPPSPPPVIENLDYGVNIIANSNLRDGTSGWFPLGSCMLSVVSGSPRILPPAARDTLGPHEPLNGRCIQTANRTQTWMGPAQMITDKVKLYVTYQVSAWVRLGPGATGPQNVNVALGVDSQWVNGGQVEINDAYRWHEICGSFRIEKQAAKVMVYIQGPAAGISFMVAGLQIFAIRKRDVTLKFDSSNMHGTMVAVKQTRNSFPIGSCISRTNIDNEDFVAFLVKNFNWAVFGNELKWYWTESQRGNLNYKDADELLKLCDDNNIAARGHCIFWDVDNTVQDWVKNLNKVDLTTAVQNRLTSLLNRYKGQFKHYDVNNEMLHGSFYPDRLGQDTRANMFKTANQLDPSTILFVNDYHIEDGCDTRSTPEKYIAQILDLQQQGAPVGGIGIQGHIDSPVGPVVYSALEKLGVLGLPIWFTELDVSSINEHIRADDLEVMFREAFAHPAVEGIMIWGFWELFMSRENSHLVNAEGEINEAGNRFLQLKKEWLSHAHGHVDDQSEFKFRGFPGTYEIVFVMNSKKVVKQFVVDNVDIE >KVI06780 pep supercontig:CcrdV1:scaffold_851:107582:110104:-1 gene:Ccrd_014865 transcript:KVI06780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQQKICSLEVPVYYVILVQIKDSTPSPLNLLPKQHNEERRRDYRMPHNEVDEIDYMAEEGDILDFVMDDEEVNSGGDQIVEL >KVI06781 pep supercontig:CcrdV1:scaffold_851:90442:106954:1 gene:Ccrd_014864 transcript:KVI06781 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF676, lipase-like protein MGSRLADEVGIILYVPCHLELIWITCFIVPKKLLESQMNLLVLLVISVAQRYPNLQKISFYRSPLGGLIARYAIAKLYSQDYTNQTCEGNGDCRYATSNELCSEHISNAKIGRLEPFNFITVATPHLCSNSNFVLMFCGLNSLEKLAYHTSGVLRRTGRHVFLKDKANGQDDPLYCFRWPTILKTLIFYISLERPGIAGTSILSDSKIFGTAGWDHSLLLVLSLLYYDNLLFHHLEFEIGYLDMLQALKDQYGTNDCVQQAVELLATSVSKIYNLLQEVYKVSPQMLGCEAVCPKIVCRIYGDWQVDKLSVTGVAVSNNGFDNG >KVF80661 pep supercontig:CcrdV1:scaffold_8510:6529:6834:1 gene:Ccrd_026658 transcript:KVF80661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDVLGRKGMLAEACELVKHLPLEFPAYTWETMLSCHGVHENFELGEMGARKLSGTQPIYAAMVVLFSNIYVERGMWEDAANVRTLLECHGVKKDLVCSWI >KVF80660 pep supercontig:CcrdV1:scaffold_8510:11292:12771:-1 gene:Ccrd_026659 transcript:KVF80660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKELLQKERVNFRGKEGRKTDKIVFINSKLDIENIEGLAQLNGELLGAVEDLSISWCDELRYLCESEAEACKFLVSLRKLEISLCKKLVSLPELPSSLETLSVYRCDNLESISDKGFGILPLEYLYNSNCKNLKLFPHEHLESLKSLGDLLIHNYPSIAYSFPCGLWPPNLRSLGIGCLNKPMSKWGIQNYPTSLVHLTLFGKSSGVVSFVAKAKDVTSTSFLLPPSPIALSFFDFLEVELVSEVLQSLPCLKKLDIWSCPKLKDLRETNTTRSSSLRIEVHQ >KVF80659 pep supercontig:CcrdV1:scaffold_8512:6626:15571:-1 gene:Ccrd_026661 transcript:KVF80659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein FDGLDLNRRRTFLDIAFSFVGEDKBFVASVLGKDNSFAYADLEVLVNKSLITIXRDDNSLQMHCLIQSMARRIILEELEVKEVGSRLWNLSVVSNVLSKNKAIEAVEVLDLFLTRSSKNIHIHGEAFEDMENLRILKICEVERGNFWKDSKVNYSGRLKSLSNKLRLLYWYGFPFKFPSDFYPENIVAIDLSYSHIKTLWTTPKCFGRLKVMKLRHCRNLTNTPNFTRITNLEELCLEVLKFGRHERIRSRRWTSISQPSRLPSKMQHPQNLVMPSLVNLCFLRELIFSHCNILEVPDSIGGLSCLESLNLDGNNFTSLPGCLTQLSHLQHLQLSGCKKLEVLPELPPSLRSLSAEDCTSLREVQRPVGYNIKSYLAIYLNICPKLFRNHRIENQVCLTHPLPNLNSSITSHSCRNQISSLLQFMEFPSNTCGIFCRKERQFYGRDRLDIIYHGNRIPQWFTNTSMGNHLQVELPPNXCYNKVRGYGISVVLTPKKSYGHEDYHKYYTPKYYVDNFDGTFLVKHCAFYHYSIGIPKSDAIEAVEVLDLFLTRSSKNIHIHGEAFEDMENLRILKICEVERGNFWKDSKVNYSGRLKSLSNKLRLLYWYGFPFKFPSDFYPENIVAIDLSYSHIKTLWTTPKCFGRLKVMKLRHCRNLTNTPNFTRITNLEELXLEGCVNLRIRSRRWTSISQPSWLPSKMQHPQNLVMPSLVNLCFLRELIFSHCNILEVPDSIGGLSCLESLNLDGNNFTSLPGCLTQLSHLQHLQLSGCKKLEVLPELPPSLRSLSAEDCTSLREVQRPVGYNIKSYLAIYLNICPKLFRNLRIENQVCLMQPLPNLNSSITSHSCRNQISSLLQFMEFPSNTCGIFRRKERQFYGRDRLDIIYHGNRIPQWFTNTSMGNHLQVELPPNLCYNKVRGYGISVVLTPKKSYGHEDYHKYYTPKYYVDNFDGTFLVKHCAFYHYSIGIPKSDVIWFCFMNVEYWEWTEAKNFVTFSFRDNNDVKVKECGVRLVFDEDIQNEETDFSITRELXNPTQDGGAFRISRNGQGLFWSW >KVF80658 pep supercontig:CcrdV1:scaffold_8512:164:3367:-1 gene:Ccrd_026660 transcript:KVF80658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKLDRGTEAVEVLHLLRNEDYLKVHIDAKAFAHMKNLRILQICDEELRHHWHAFDLKLWKESKVNYGVKLKFLSNKLRLLYWHGFPFKCFPSDFYPENIVASICLTTTSKISGHRLRLKVMKLRHCRNLTSTPNFTMITNLEELILEGCVNCEKKRRMVEESSTFLLVR >KVF80331 pep supercontig:CcrdV1:scaffold_8514:6548:7218:-1 gene:Ccrd_026663 transcript:KVF80331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGIDKLSDDHWKPLPPPPGLSSLLSLFSPPYSLSPTTSSQHRLGLDSPPTTSMVITGVDVLIKEGDTLERTFKRQYALTQNKATITTITFEFAACTENISKHPMAK >KVI02748 pep supercontig:CcrdV1:scaffold_852:76016:79707:-1 gene:Ccrd_018961 transcript:KVI02748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MRALLGGRMNINGVLNLSKKKEKDKKDEKDKKEDDKEKPYNVFDAKHDVENCNGWSLVVTSEQLDALNDSNFGVFMVNLTKAAMMGPHWNPTTAEIAVVLRGRGMVNVVCPSATNGTECKNSRFKVEEGDTFVVPRYHPMAQMSFNNESLIFVGFTTTQKKNHPQFLVGKASVLQALDKEVLAASLGVSNTTMDQVLSAQREAIILECVSCAEEEERLVDEEIAREREEARKREEEVERKKEEEEAKRQEEEKRKKEEEEARKREEEEARRQEEEKRKKEEEEEARKREEEARRQEEEARKREEEARRQEEEAAARQREEEAARRWKEEEEAKRKREEEAAKQWQQQQEEAAKRKQEEAARKREEEAARQREEEAARRQKEEEAAKQWQRQQEEEAKRKQETEAAKQWQRQQEEEAKRKQEEAARKREEAAARQQEEEEAARRWKEEAARRQKEEEAAKQWQRQQEEEAKRKREEEAAKQWQRQQEEEAKRKREEEAARHQHGGGGGGGAAEWEGDASRRKEEEMARRQREAEAASERGGGGGGWQGRGRTIVRCCFFLVIAARCFFLHRSHFSCFFGLWHLHLLQGLAIIVRCFSSSYPLPHHRAGSCY >KVI02751 pep supercontig:CcrdV1:scaffold_852:80762:81373:-1 gene:Ccrd_018962 transcript:KVI02751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MATIWLLIHVLLFYLSFPNPTSALGGGGGDVKEGGGGYFPVPATAMHGTLVKRDQRWLVASSAFGEITAVKMSDGKDGFYHLQFITMEPGSLFLPVHLHSDMVFFVDSGNGTLSWTGVEQDYGELRQVNLQAGDVYRLRSGSFFYLQNNLEFDRQKLRINAIFSDSKEELLV >KVI02749 pep supercontig:CcrdV1:scaffold_852:105235:115396:-1 gene:Ccrd_018964 transcript:KVI02749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MGAGEESSPAKHSKPASTQEAPPPSYADWSNSMQAYYNAGGTPPFFASTVASPTPHPYIWGGQHPMMSPYGTPVPYPALYPPAGVYAHPSMPMAYEGKERAANKKSKGTSGNGNAVGVRTGESGKAASSSGNDGATQRFLEYSGGKKGSFNQMLADANAQNNNSGANIQTSVPGNPLVSMPGTNLNMGMDLWNPSTGSGTMKIRSNPSGVSRTAVPPPMTGREGMMPDQWVQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVEALSNENHSLRDELQRLSEECEKLTAENNSIKDDLTRFCGPEAVSKLDAHLQSRADEGNS >KVI02747 pep supercontig:CcrdV1:scaffold_852:35185:48603:-1 gene:Ccrd_018960 transcript:KVI02747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNREESRIPIMLLVCQANNNIMRNGQITPPLFPSVPALNGAASYLAETTSYLTSCFPAISDNVPRGSDGQEMLAFASEEISEPSLSNTGHVGSLSTVSGLTLAATNAPPIRDEITRNSEEDHSQNNTALVQSDQTSQSGVSIFQGLVERVRRTVHGSSDDIGWLQRAPEMPPVEDGTERFTNILDNIRHGIHVLPNSMVYLLVPGLFSNHGPLYFTSTKATFSKMGLTCHIAKIHSEASVEKNAREIKEYIEEIYWGSKKRVLLLGHSKGGIDAAAALSIYWSDLRDKVAGLALAQSPYGGSPIASDILREGQIGDYFNVRKLMEILICKVIKDLPVVSFHTEANISPAVLATLSRVAHAELPTFATNLSANAVLPVVIPLGATLAACAQLLQTRYGEKSDGLVTCRDAEVPGSVVVHPKRKLDHAWMVYSSMNDDPLEPDASQVCEALLTLVMEVGLRKKHYLSIKEE >KVI02745 pep supercontig:CcrdV1:scaffold_852:19975:21382:-1 gene:Ccrd_018959 transcript:KVI02745 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MSGALIFIKEDLKITELQVEVLAGIINFCALIGALTAGRTADYIGRRYTITLASIIFLSGSLLMGFAPNFAILLTGRCVAGIGVGFALVIAPVYSVEISAASTRGFLASLPEIGISLGILSGYISSYIFGQLPLNVGWRVMFAVAAIPSLGLAFGILGMPESPRWLVMQGRLKDARNVLXKVSNTKIQGESRLQDIKLAAGIDPGCNDDYVTLSQNTHGQGVWKELLLRPTPPVRWMLLAALGIHFFEHATGIEAVVLYSPRIFKKAGVRSKNKLLLATIGVGITKTVFILVSTFLIDKSGRRKLLLTSVGGMIVALLGLGFALTIVHQSPDQRVVWALLVKYSYMLSLRAQGASIGVAVNRVTNATVSMTFLSLINAITIGGAFFMFAGISVLAWIFFFFFLPETKGKSLEEMEQVFTRTKKSRNVDMELQTKNDGVRVS >KVI02746 pep supercontig:CcrdV1:scaffold_852:98673:100250:1 gene:Ccrd_018963 transcript:KVI02746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPFASQQNNLSSPDVKQQIIGAAAAAGAAAAAGRYEPKSVLDICRSPPGKTSEFDCVDRNSIVLSSSEDPLPLDNYEHGIVTQFEEWDSLMNELGLHDDSAKSAFLSELPDLPPVQPKVTAPTMSLFLQSDFENPNPNPNPNPNPNPNPLDFMIDNGNEFDFVDELIRIAECFETQSLQLAQVILARLNQRLCSPNGKALQRAAFYFKEALQHLITGSTRLTQSSSSSEIVQAIKAYKTFSTVSPIPMFSNFTANQAILEAVDGAMIVHVIDFDIGIGGHWASFMKELAEKAENRKVNSPAFRITAVVPEEYVTESRLIRDNLFQFALDLKLRFDIDFVSVQTFEHLSFRAIKFMDGEMMAVLLTPTIFRRIGADFIKDLRQISPNVIVHVDGEGLMGGGTSFFRQTVIEGLEFYSTILESLEAANVGIGVGGGDWLRKIEIFVLLPKIIAAVGAAGRHVTPWREAFSRAGIRPVGQSQFADFQAECLLRRIQVRGFHVAKRQGEMVLCWHDRPLVATSAWRC >KVI02750 pep supercontig:CcrdV1:scaffold_852:134266:136115:-1 gene:Ccrd_018965 transcript:KVI02750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix motif-containing protein MTTNMKTQADDQMVLLSQYYPGIYDQLMPEQGEVVKPRRRRKKSKTDGGSSGMKKRKLSDEQVNLLEQNFGNEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKSKKLEEEYSKLKSEHDSTVLEKCRLETEVNHRSVQVLKLKEQLSEAEKEINRLVERSDGISSTSPSSSFSMEAMEPPFLGGFGMEGLENVFCLPENNYIHGLDWLNI >KVF79756 pep supercontig:CcrdV1:scaffold_8520:558:2418:1 gene:Ccrd_026664 transcript:KVF79756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWFRLDGIHRRRHLASSCNGRRIMIDDEQTPEDDLYPWCAYKSYYGLLMDPCEDWIMASVTDDNMLQIWQVAEHIYNDEDHRAAELVKCINQMCFT >KVF79523 pep supercontig:CcrdV1:scaffold_8527:4342:4923:-1 gene:Ccrd_026665 transcript:KVF79523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSLPEDPIDGSTDVDHDGKMKKIKPSEEDPAPVTEAGTEDVLLDENLLYEVLKHVDARTLGAASCVSRQWHRTARDERLWELICTRHWTNMGCGNNQLRSVVLALGGFRRLHAHYLWPLSKPSTTSQSSSTISAAAVASSSSWPCLPPPRTTDLSKPTSPKTRWVKDEVQLSLSLLSIRFYVKMNYNNRSK >KVH88503 pep supercontig:CcrdV1:scaffold_853:71432:87796:1 gene:Ccrd_026675 transcript:KVH88503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFWVLDVYFASIFRMERALGCFCIDFCSHEDNCITRNLTMWLTRLGDDQRMHEFPDNYEVYVVMEGPITSIENMHVLDLGNMIKQYFGKSIHSYENGNKEGLPITEWNTLIPLIPVLRFKVV >KVH88508 pep supercontig:CcrdV1:scaffold_853:33247:42264:-1 gene:Ccrd_026668 transcript:KVH88508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKVEVLEGGLIYSTQQPPDLIPIPIPIPIHLLRLISSCFKSTGASSSSLLLNNTICLDYPSTCAIVMDLVNGANGINNSPSLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSEVPDYFAQIMQGKTFNQKESSDMISSLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVLSEVPGPESDNKTDSAAPGPTFESPLLDKPEKERASGKSISVDESFSSRHEPLTPDSGCHLGSPIESPRGERSMKKRRVSMDTTCSKPALSLTHQILESSFRPPYQQQSEQFGSSSGMSIDNED >KVH88511 pep supercontig:CcrdV1:scaffold_853:13698:34625:1 gene:Ccrd_026667 transcript:KVH88511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDILFSYTLQSNDLKVVVSYLRSNEQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFNLMMELVDVYKIRLPKFTVKVALQYMRRMIQKKAKFDIMDINALKVAPKTFIPALFGHAKGDKFIQPHHSDLISNSYALNKIDYLQGDKNMIKFEGDHNSSRPQFYYDSVSIFFHNVLHPPTVSPACSGKYEKYYDLGDLQVDAGIEESLFYEMIAGQPLGIDAASTSSAPPSILTTDSVGDFLPRIIQVRNSLVNDVNSDNAHERSQLQVIVDIPIGVLFSVIKLSSMTSLVVKPKSVVLIQAQLERAGEDVPLWAVMVNLFQIAPHRTTMIWSLFLSLIHTQVPLLEYKDQCTGLGYGLDLLTNNRASDSWCKLKFGFIEIVSLSPVTLKVLATPLRTTQHAAADVEREKKKGAKKSGRDKFEKLEALSQRLRLCILKRVKHRRNHSS >KVH88496 pep supercontig:CcrdV1:scaffold_853:135846:136749:-1 gene:Ccrd_026678 transcript:KVH88496 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein RLTVKKVAPKGKVAKDPNKPKRLAGAFFVFLEEFRKQFKEENPGNKSVAAENAPVVAKAEKRKKEYEKTLASYNKKLMNHMVEFYIRLGLYLAYFESFIVITFSTKKIGKMREYAKSTFYLLSTFGTSLFYVLQARTAFRSV >KVH88510 pep supercontig:CcrdV1:scaffold_853:214:8472:-1 gene:Ccrd_026666 transcript:KVH88510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA MKTNLGLILLLHRLAFASAPGWPSAALLLPACGLPTPHARVPTPTALCAPLRLPPPPVPLCACASGCVRRSSSRFTNHARRHGAAVRNCSLPLSRVPTGGSLPTGDAATATADRPCDRPSAAALSAPENLGLVTKTWGDFTSLNYWVVRDYYRLVEYVNSFESRIQKLSDEQLTAKTAEFRRRLGKGETLANIEAAALKFLALKFVFLYGIVEVFTLHNDTAEAFAVVREAAKRKLGMRHFDVQVSFSVSYIIGGAVLNDGSIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRGMKAKERRSNYRCDITYTNNSELGFDYLRDNLAGSSGQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEATTDAARYPVAAKVAELLMRGLHYNIELKDNSVELTEEGIALAEMALETKDLWDENDPWARFVMNALKAKEFYRQDVQYIVKNGKALIINETPFLILLFRKPSTPGSSSSLLWLFFSSSLTRGHVSNLEVLLSFSSQRLHAVPWAFGVNRGISGGGGSGGG >KVH88507 pep supercontig:CcrdV1:scaffold_853:157803:163503:1 gene:Ccrd_026681 transcript:KVH88507 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-AMP-activated protein kinase, beta subunit, interaction domain-containing protein MGNINGREGDAGGDNSSIGNDMGAQDGDGAHNCDDGEFMGHSPPPSPRVSGSPLMFTSQDPVAPLQRPDDIQPSNPWMHSSSGYEEMTSEQGIPTMITWSYDGKEVAVEGSWDNWKSRKSLQRSGKDFTILKVLPSGVYQYRFIEYVPEDIQSISGFEPPGSPDSSYNHLQLDNEDYAKEPPLVPPHLQMTLLNVPSPHMEIPSPFSRPQHVVLNHLYMQKGRSSTSIVALGSTNRFLSKYVTVVLYKPIQR >KVH88505 pep supercontig:CcrdV1:scaffold_853:163559:166927:-1 gene:Ccrd_026682 transcript:KVH88505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MASKADATHKQAEEQEILPPECMVEEVALVVPETDDPSLPVLTFRAWTLGLASSTLLIFLNTFFIYRTQPLTISAILMQIAVLPIGKFMAATLPTKEYRWMGYSFSLNPGPFNMKEHVIITVFANCGVSMGGGDAYSIGAITVMKAYYRQSLNFLCGLLIVLTTQMVGYGWAGMLRRYLVDPVEMWWPANLAQVSLFRALHEKETKAQGMTRMRFFMIFLIASFAYYAFPGYIFPILTFFSWVCWAWPNSITAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVTPWTSIVNVGVGFIMFVYIIVPICYWKFNTFDAQKFPIFSNQLFTGTGHKYDTTRILTPQFDLNIAAYESYSKLYLSPLFALSIGSGFARFTATLTHVALFHGGDIWKQSKSAAKNVKIDIHAKLMKTYKQVPQWWYLVLLVGSIALSLVMCFVWKEDVQLPWWGFLFAFALAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYVLPGKPIANLLFKIYGRISTIHALSFLSDLKLGHYMKIPPRCMFTAQLAGTLVAGTVNLAVSWWMLENIENICDIEGLHPESPWTCPKFRVTFDASVIWGLIGPQRLFGPGGLYRNLVWLFLVGAFLPVPVWILSKIFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLITGMIFNYFVFKYRKQWWQKYNYVLSAALDAGTAFMGVVLFFALQNEGVDLKWWGSKPDHCPLATCPTAPGINVTGCPIF >KVH88497 pep supercontig:CcrdV1:scaffold_853:57101:60271:1 gene:Ccrd_026673 transcript:KVH88497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MFYALLETSCFCKYWLPFCKRYKIEPRSPSAYFTEAHISPITNEWSSIKPEELTEELKKEHRGFKNGKSVQANVTIRLLSNAIDTEGNTLPALVYMAREKRPEWHHSRSHERLDKGVIADTQQRNYSKYGLRHVLETIQNPYEMQCVFSWMSRRASGLCSYNFHRTLTTSQQTMFTAILQGSSMRLEVWTLTWGAYMSEVGAFTGEMLCVAEAIARTQDFNWDKENHKTMIEGIDVLEKACKILAICTYEQNTRWGKEMGLKYGCAVEDVINGIAIKCRGWRSVPLQPRKKRTLIQTKRWAEGDFQIFLSKYCPLVYGHGRIPLKLQISYCCYLLWALNCWATLYYVVVPSYCLLRGISLFPKLSSYWFLPFAYVFVGKYAYSLGEFYWTGETLKGWWNDQRMWLYRRLTSYLFAFFDVVLKSMGFTNTNSIITSKVADEDASQRYNREIMEFGATFPMFNILSTLALVNLFSFLKGVNTDDPVRFNSSHQPAHIPRALPSEEQWSHALLYYLPVNYFSYIILYISFYLDYNTQKYVPFHLLPRSLK >KVH88501 pep supercontig:CcrdV1:scaffold_853:111684:125395:-1 gene:Ccrd_026677 transcript:KVH88501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MRQLKLIPEVHCNPSEEEGVNGEKPTTDLGNGSHVVYLPRFLAYDNSWEYFHYLDKHIPWTRPTIRVFGKPFLQPRDICYIASKGLKELSYSGYKPKAYSWEDFPPLEEILHKVHKAFPGSHFNSLLLNRYKGGNDYVGWHADDEKLYGPNPEIASVSFGCERDFILKKKPNKKSKAEVEGEPSSKRAKKNDSYSFKLKHGSVLVMRGNTQHDWLHSVPKRAKAETARINLTFRRVM >KVH88498 pep supercontig:CcrdV1:scaffold_853:54470:56270:-1 gene:Ccrd_026672 transcript:KVH88498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant MFGFQVRNRQNVAASPRASNDPASPSTAAIGEIDTKAPFESVKAAVNLFGEASPRADRPLHKKTITIEESVLGKETQLHWILKELDELKELVKSAESTKIQALEELDNANKTLQELTNKLEAVSESKQAAIEATEAAKTRAQELEELESCKTQPPDGAWQEALDNERQQYKTAANELILCKQQLNNLKRDFDTALKAKLACFQRAADAQHSAKVNHEKMNELSKDVDNLSQTLERVRHASAKAEQDHLKRMEEKQLLIESKKKAKEDIDLKMQCLRKEAEDPGNIEKRLEETTEAVNVLQEQLKEVRFADMELLRNANSELDEAKRRLEEMKEEETSLTDVVESLKQELVNVRMDISVAEENELKIEQLQAELDQIKIKVEQVITEQTKATNDVKEVELKLHEIWLEAEKARQEEEDMKRQVQTCWREAQCAELAIIQAEKKLEIARREVEEAKAAEELANDQMRKRSSCKKQLDDNMIMLTIEEFEALSRKAEEARTAADIKVATIMAQVETIKEKEKETLEKLEKSMEESKEIEAKITEANKTAEVADAERQTAETELNKYKGRGTKG >KVH88504 pep supercontig:CcrdV1:scaffold_853:59752:68321:-1 gene:Ccrd_026674 transcript:KVH88504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase MPHPDHINPRDVCIVGVARTPMGDFLGSLSSLSATELGSLAIECALQRANIDPRLVQEVFFGNVLSANLGQAPARQAALGAGIPDTVICTTINKVCSSGMKATMIAVQSIQVGANDIVVAGGMESMSNTPKYVAGSRKGSRLGHDAVIDGMIKDGLWDVYNDFGMGVCGELCAEHYKITREDQDNYAVRSFNRGITAQKNGAFKWEIVPVKVSGGRGKAPTIVDNDEGLTKFDATKLRNLRPSFKVKGGSVTAGNASSISDGAAALVLVSGEKASQLGLKVVARIRGFADAAQAPELFTTAPALAIPKAISNAGLKASQIDYYEINEAFSVVALANQKLLGIGDEQLNAHGGAVSLGHPLGCSGARILVTLLGVLRQKNGRFGAAGICNGGGGASALVLELMPTSRMGQSRL >KVH88502 pep supercontig:CcrdV1:scaffold_853:100281:102255:1 gene:Ccrd_026676 transcript:KVH88502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, core MILCYLLMHSRVYVDDKSSFSDLLVLPLYSFRGGRRESVLLPSPGCFFDVESVLWPSPTESYLSLTNTTSTVILSVVIASVVSEIGLGSEPAFTVSDYDFCSPSELPLYLLLGIFCGLVSLSFSWCTSLMMVVTDKIQKTFVMPKAVFPVVGGFTVGPLALIYPEVLYWGFENVDTLLESRPLVKGLSVDLLLQLIAIKIAATSFCRACGLVGGYYAPSLFIGAAARMAYGRLTSFMISQLNSIFHLSGIEVAPPQAYGLVYIFCYYMIRER >KVH88500 pep supercontig:CcrdV1:scaffold_853:44917:47429:-1 gene:Ccrd_026670 transcript:KVH88500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMRLGTRPDNFYTEEAIRFATPFLYENTLLTILSDLPSDLTICVNNITYLLHRFPLVPKCGLLQRLCSDRGDCSRFALELHDIPGGEGAFELCAKFCYGITIDLSAHNFVPAICAAKFLQMTDSVEKGNFVSKLEAFFNSCILEGWKDSIVTLQTTVMLPEWSENLGIIRRCIDSIVEKVLTPPTKVRWSYTYTREGYAQKKHHQSAPKDWWTEDIANLDIDLFRCIINTVKLTNMLPPQLIGEALHVYSCIWLPDLTSGRPNPETSTASQMSKQESVNKKGQLETIISMIPEDKGSVSVGFLLRLLSLANLLGASPVIKTQLIKRCSLQLEDATPNDLLLLSSDSCDDKDHIHDIALVKAVVESFIMRWRRQPNSSEDLIRKVGELTDSYLKMVARDANMPVQKLVSLAKTLPEFARPQHDNLYKAINIYLKEHPGTSKEEKKQLCSIIDCQKLSPGVCAHAVKNEKLPLRMVVQVLFFDQERSGSKTTVGHDFEQLKTRNIQSETQSSKAKDELKNKLVLGSGEESSGGRSKTPDTTRQTLEQESKHKSRRKKVVEMGFKKERETMDPRKTGIQRSRSHDGHNNNKGRER >KVH88495 pep supercontig:CcrdV1:scaffold_853:139475:143607:-1 gene:Ccrd_026679 transcript:KVH88495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MVRFGSPRLLMRHVAAVEFSTLSAHRLYITLKPKFYESTSREIELNEEMERGGSGFHGYERPPLGAALVDMNVRPPSNSSSGSTTVNDNECLVREQDRLMPIANVIRIMRKILPPHAKISDEAKETIQECVSEYISFVTSEANHRCQREQRKTVTAEDILWAMSKLGFDDYIEPLTIYLHHYREFDFRATITDHVKRPTHDPPPANSFHHAFATVFHHTGLFGTGHFFNDQPKVLVPSRLPSAATVAGFEPYARCKE >KVH88506 pep supercontig:CcrdV1:scaffold_853:154937:155725:1 gene:Ccrd_026680 transcript:KVH88506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MMMMMMMIFFFLVGTPAAMADKPLMELVYTSDELTRASVVVACRANKKTSKVRGKTDPYGDFLIDLPSHLHAIPNMENRCIVRIVGVPKKSLCHRAFAARKHKAGRIKLSSSSPGDGIRTYSVGHGIHLARKQHSHPLITMNRGRKML >KVH88509 pep supercontig:CcrdV1:scaffold_853:42769:44742:-1 gene:Ccrd_026669 transcript:KVH88509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNKFMRWRWRWRWRWTSSLSGFLLVVSRHRQRNFCTHQHPSYKFNPPFSSPSTKIYNIRLTNLGRVGRVHEARKLFDEMPHRDVVSYVSMITVYIKNNNLFKAEGLFRAMPERNIVADSAMINTYAKAGRMDEACRIFYQMPHRNVYSWTSLISGYFRNGHVREGQKLFNQMPGKNVVSWTAVVLGYAHNGLIDQARAIFDQTPNKNVVLWTAMIKAYIDNCQTDEALKVFIAMPQRNIYSWNIMIQGCFKDNRTEEAIGLFDSMPRRNAVSWTTMVTGLVQNASIELARQYFNQMPKKDIAAWNAMITAYAGEGLMAEASELFHTMPQRDIVSWNAVIGGYAKGLRGPKGEAFKHLILLLQCCFRPNECTITSVLISCEDCLGLSQIHTLAVRLGFGADISLTNALITMYARCGDLGYAQLAFDTLETKDIVSWTAMMLAYSSHGFGAHAIENFARMLRLGIKPDEITFVAVLTACSHTGLVSKGWRFFNSMMHAYKLEPRAEHYACLVDVLGRAGQVDKALKLVCEMPPDKRDAAVLGALLGACKLHGDDVVAKEIGQKVIDLEPSESGGYVVLANVFAACGKWEEAAQVRRQMKGKAISKIPGFSEVYVKGSNHVFYAGDGANTDFNDINEMLRDKLLPEMKASCNMDQFNI >KVH88499 pep supercontig:CcrdV1:scaffold_853:50828:53406:-1 gene:Ccrd_026671 transcript:KVH88499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MYGRTGLERFKKAQTLEPFSVANKPTTTQPPSKAISHSTQLHSHSDIPNQQTQHQNQQQFSQKPADNAGLVATAPQQVTQVGGGQSTWQPPDWAIEPRPGVYYLDVLKDGEVLDRINLDKRRHIFGRQLQTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSAHGTFVANERLTKDSPVELEVGQSLRFAASTRVYILKKDNAALFPPPLPTAINLPPLPDPSDEEAILAYNTFVNRFNLSKSEVVSISAEISSSVGGNYASHETERPVKRIKKTRVAFRDQVGGELIEVVGVSDGADVETEPGPMGVKEGSSLVGKYESLVQTTVIPKGKESSSVKEVSISPKGVTDKLQQVLNKVKAPARGGIYDDLYGDSLSSKVGSSWAYSGGREASPAKDDESKPPGAATGAVTLRPESNLGNDDSDDDLFG >KVH95021 pep supercontig:CcrdV1:scaffold_8532:9327:12459:-1 gene:Ccrd_002910 transcript:KVH95021 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MMATRITKITALLSYNGVVSRIWATPFLGSNSYSSAVASLESEPDSPLFGLKDYENYRKSIHGGITHKALLVDAVGTLVIPSQPMAQIYKQIGEKYGVEFSEDEILRRYRKAYEQPWGRSRLRYVDDGRPFWQHIAWHLCDPNAGEVFKALKKAGVKVAIVSNFDTRLRPLLRALNCDHWFDAMAVSAEVAAEKPNPMIFLKACELLGVEAEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEIAERIGVEV >KVH89024 pep supercontig:CcrdV1:scaffold_854:74188:85247:-1 gene:Ccrd_008988 transcript:KVH89024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-SET domain-containing protein MIKQTVRSKMPNVKRCKLEEVDSEETEDDYDIEFVSGSNNEKTRFLDYDNVVNSWRTGVPYIPGALKSKLGSIPQLKSSRGRVAVRPSRYTDSVVGLWKKEDDNGLCSDDNRSLKKRGRPYPERELLSKKQKVVEKMKNEKKKGFYGLQDFLTGDIVWAKCSNRFPAWPAIVIDPLHEAPNSVLRACVPDTLCVMFYGYSKRGQRVLFRGQTQLYGCKPDDFRKAIEEAFLAENGYLNSRYADKEECSATPDLVNNPIATLPDQHQESCRRKQATLVHIIIGYVCEVIYSASNKKESQPCVSCGLFFPFKTTKKSKGITSQQQALCEHCSKLRKSKQYCGICQKIWHHSDGGDWICCDGCNVWVHAECAKVSSKIFKDLEKIDYYCPECKGESTVEQPVVDNKEPKLRSTESSDRSVLPDNISVICTGMEGVYYPKLHLVECKCGSCGTRKQTLGEWERHTGSRAKKWKVSIKVKGSMLSEYNGNSVTAAVTLHLDEKQLHSFLQECYGVRDIHDFTSWVCRACETHEVERECCLCPIKGGALKPTDIDNLWVHVICAWFRPEVAFVSDEKMEPATGLLRIPPDSFVKLHSTEKDGIYNTKWTPYCAVHRTPADNGIVIRTSSEVFCAKRLLEKQHQKQSFRGSRLVVQDLNNFSTFKERLACLQVLEYRGEQVRRSVADLREVHYRSEGKDCYLFKVSDDIVIDATDKGNIARLINHSCFPNCYARILSMGADESRIVLIAKTNVSAGDELTYDYKFDQDEQDELKVPCLCRAPNCRNFMN >KVH89028 pep supercontig:CcrdV1:scaffold_854:109423:122735:1 gene:Ccrd_008986 transcript:KVH89028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLSLPIFFYYKTEDYDTETATAHVRRLLDIVACTTSFGPSPVIKEDSGKIPPEVKAAKKTSKSKQSNKRSSTLRTSSPPPEQPQSKDISVEGEGELSNSCPKLGSFYEFFSLSHLTPPIQFCKKGFWSIGKQQILCHNLVDLLRRLSRAFDNAYEDLIKAFTERNKFGNLPYGFRANTWLIPPVAAQVPSVFPPLPAEDESWGGNGGGLGRDGKSDLHPWASEFLYIASMSCKTAEDRQIRDRKAFLLHSLFVDVAIFKAILAIQHIMEKPHVNPSDLSGETIHTDSIGDLKITVFKDAPDASCKVDTKIDGSQATGVDKESLVERNLIKGITADENTAAHDIATLGVVNVRYCGYTAVVKVEERENDKKHPPLPYIELLDQPDGGACALNINSLRLLLHKKTSLQNNVLPYLRRSDSEELSTSRYFVEKLLKESLTKLQEECVQADTFTRWELGACWIQHLQDQKKSEKDKKVSTDKVKNELKVEGLGTPLRSLKNRKKDLEGSNTEPQSRSSKVADAVNRETENSSLLELIDQSQKYYNEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAAVSKPEEMAAAIAAALNLMLGVPESEKAKQSSAVDYLTRTWLEVFLKKRYDWDLASFNYTELRKIAILRGLCHKVGVELVPRDFDMNSPHPFRKEDIISLVPVHKALAKLVAVCGPYHRITAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSDAAAWLEYFESKAVEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPSHDGQGTDGVGLKRKSYIQKLKGKSYQNLEVANSDEASPKDLKEILDEAKQEPDVEHDIQVNEEPTAPCIESSPPIKEDTAEEKSVLSELLQSEETAAQIPVFANDVFPEAHAEGEEGWQPVQRPRSAGLYGRRLRQRKAAINKVYSYQKKGVLQESEDKLKNDFQNGRYHFIKKRVISPASYVDYHAAKVPSPNHKFGRRIVKALTYRVKSTPSSAKDTTEETSRNGREESNPSIDVASVQKTKEAGHVPQRSSIVSIGKSPSYKEVALAPPGTISLLQVREFESQSDTPEDKEIDNGKHEEPTLAKQSTESAVAEVGNLEEEKVQDLFGGSVDNMKDETEGLEKTEETHLSDLIGDKHLETIPANVVEQNATSFVEVDKIIDKSIIDIPIPIPIESSTIEDLSEKGSSTNVEPTDDSSCILQKSAVSNDSPAVTSPNCTQELPNKRLSASATPFSPSPPVRVAPMPMNIALPPGPTPWQMNMTLHPRPANVLPAVNPMCSSPHQPYPTSPATPNMMHPLPLMYSPPYTQAPSLPNSTFPMTSSPFHPNHFAWQCNMGANGLQYVTGPVWSNCHPMEFSISPPAVRPISDPNMEAKQVFDSSEQLNGTPNLMVEVSNEDESKQDANLQAPKVIDESNGIVGVQAENGGSIYNGSSNWNLGSTGENHVQKPSQKVETEKTFNILIRGRRNRKQTLRMPISLLNRPYNSQSFKVIYSRVIRGSEGPKSTSFSSDGNGATTGT >KVH89023 pep supercontig:CcrdV1:scaffold_854:67384:71329:-1 gene:Ccrd_008989 transcript:KVH89023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRLRGAKSICCCASPRFTSSSLSSFSWHEEDVWTEIAKYLDGKSLVMLASTSKWFLHVVMDESIWKFACLRDLQVPDCSETSFKWAKLYASAFGGSHSYAFRQKEKHIDWMRIGAFCFDSSDAFLMENLICPSKLPKEDTVQKMLNSYGSCVLHNIKTGIWIAGTMQVLDARHLELFLTEGYQKGSWEYKLIGSHDIRKHVDSASGLFNLKSWVGKPNDWQPKAMVTYHAVAINTNLQENEGLHIKYHVMTSGDTDEVVSIRVSQQLL >KVH89025 pep supercontig:CcrdV1:scaffold_854:126014:132411:-1 gene:Ccrd_008985 transcript:KVH89025 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase, WSD1, C-terminal MESLTKGLRWRKTSLKPIQITKRGLETTITNGINGGSINHDYDSVNNQYMTTSGEEQPLSPAARLFHEPNFNVYVIAIIGSKNPINPCIIYEKLPHTLLKHHRFSSLQVVDDKNGGTMKWVPTDVNLDNHIIIPTIPQTLDSPDKFLEDYVYNLSKTSIDSSKPMWDLHLLNLKTSDAEAVGIFRIHHSLGDGTSLMSLLLACTRQISNPDAVPTIPTNKNSGWFSYYSSRSGSGDPWWRRWFMMVCMGFWLVWNTLVDVAYFMATALFLKDTETPLKAPAGAEFTPRRFVYRTALADMMEKNTEAKWGNWIGYVLLPFTIGIRDDPIDYVREAKATIDRKKHSLEALYTFSISELVLKFFGIKAASSLSHKIITHTTLCFSNLVGPLEEIGFYGHGMEFIAPSSYGQPHGLMINFQSYVNKMTIVLSVDESTIPDPHKLLDDLEDSLKLIKEAVYAKGLVKEESMPQAAFA >KVH89026 pep supercontig:CcrdV1:scaffold_854:140575:146505:1 gene:Ccrd_008984 transcript:KVH89026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGCGFSTSKTFRKQPSFDPVALAAETPFTVNEVEALYELFEKLSSSMDDDGLIGKDEFQLALFRDQDKRNLFADRIFDLFDTNRSGHIDFTEFVRSLSVFHPKAPQDDKILYAFRLYDLRRTGFIEREEEMVMALLNESELYISDDMIETIVDKTFTDADSKGDGRIDHEEWREYVGHNFVVSKLCYEQPGRGDPPNRLRPSFYGFKM >KVH89031 pep supercontig:CcrdV1:scaffold_854:25157:33513:-1 gene:Ccrd_008992 transcript:KVH89031 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MPVNLFSLPQFHLPNSSSLPVTLITMQTNSSLSRIGPVFTRAFPDRLSSQSLSSSDNLGFPKLMTTVQPGLHISTSISKHGSEIRAAKGLIEDEAELSGWFKKSQYSESDDGGDDWRSSDRGGRGGSAVKRRSDDYNGGYGNRERGGGGRGSFGGSSRGGGSRGSFGGSSRGGGNRDTFGGNSRGGGNRGSFGGSSRGGGNRGSFGGSSRGRGRFGGEFGSRSEGSVSRGENRFENGRGGGRGSSNLAGRGRGRGRFGGEIGRRNDGFSRDSNREDGNWGSYFDSFPKEKERYGRDTEREDDRFVSNRRDDRFGGSGRGGGRLGGELGRRNDSFSRDSNRGNGNWGSFDSFPKEKERYVRDPERENDRFMLNRRDDRFGGSGGGSGGGGGVRTGVKSMGTGSLMMSDEEDVDDEEGEKILMHNYKELISDEEDNDEYEDDEEDDDGVLGKGLSLSGLDIEDGATSSPRVSADGNESYLSETRFDQCSVSPSSLKAIKDAGYEKMTIVQEATLPVILKGKDVLAKARTGTGKTVAFLLPAIEVVVNLPPVGRDERWPPIVVLIICPTRELASQAAMEANKLLKYHPSVGVQVVIGGTRLATEQRRIQANPCQILVATPGRLKDHIMNTSGFANRINGVKVLVLDEADHLLDMGFRKDIENIIAAVPKQRQTLLFSATVPPEVRQICHIALKRDHEYINTVEEGSEETHTQVQQKHLVAPLEKQFSLLYTLLKDHIVDDPDYKVLVFCTTAMVTKLVADLLGQLKLNVREIHSRKSQSYRTQVSDEFRKSKGLILVTSDVSARGVDYPDVTLVIQEGQGILLLAPWEQFFLSTLADLPISKAELPLIDPDTKKKVERALSYVDMKNKESAYQAWLGYYNSNKTVGKDKQRLVELANEFSRSMGLDIPPSISRLVLGKMGLKNVPGLRSK >KVH89032 pep supercontig:CcrdV1:scaffold_854:11005:20909:1 gene:Ccrd_008993 transcript:KVH89032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase domain-containing protein MGELEGWSQMGGGGGGGGRLLPNGLLPNAGPLIESLDSERWLKAEERTAELITCIQPNQPSEERRNAVADYVQRLIMKCFPCQNNEDSVSQQNDTSIWRAMWSSYILLQNRVHNIELKDGGPVFTFGSVPLKTYLPDGDIDLTAFSSNPNLKDSWASEVRDLLETEEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWDNFCVSLWGPVPITEPPRKDSGELLLNKVFLDACSSVYAVFPGGQDSQGQSFLSKHCNVIDPLRVSNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPKENLVAEVNQFFTNTWDRHGNGIRPDAPVIDSLILKLSIETQISDSSGKKLESVIPESEFEEIHSTNFRSESQPKTGVVTVVPRSQTPKSYGNLKEAAPVQAANANKGQRSLKSGHLVNDIQRSPFARTRSSPELTDTYKYVPFQGTRNKAPETENTPPETHSGSNSYHRNRRKNVEPEPLNRVGRSSGDEPSSVLHNPPHQSFETPIESNMDSNVYHLEDMHQEEQDLVNMMASSAFHGFNGQVHMPMNLASGHLPFPISPSFLASLGYTQRNLAGMVPTNMSFDPAFSSMQFPQGLVSPQLTHYFSGVGMTPNSGDPVDPLNENLGSVEMNSGDDHHDFWRDPDRGNSELIPQDDKPQSSSTGMNYVPPPRRVGGSGGLVRNQQKYNKEKRDRLRDNNNHLDHPQYQENKGNDAYSDERTTSSRFSSAAHTNSLRSKTSSESSWDESSTVSRSTKEKRGKKTVIPTESSDRMQSEDNEQEWVPPTNLGSELVDRSLESQAVGPIHVPRPHLPGIEPAQTSGSGSVIPMAPMIIGSNPRQRMMDNSGVTQPLTFYPTGPPVPFLTMLPFNYVPSENGGSDASTSHFGVDEGLDNGDIGQSFHSEGLEQSDDFSLRGANPGETPDEPKSDILNSDFASHWQNLQFGRFCQSPLHHGPTVYPSPVMVPPVYLQGSRRGNYNYDRSENHVDREGNWNGNSKSRGSGRNHSRGQMDKSNARLDRLGSGVGSRSDRSMGSHRHDSFSTYQSQNGPSHPSSSQSGPANVTYGMYPLPGSNLSGVPSNGSNGPAVVMVYPFEQNAGFSSHSEQLEFGSFGPAGFAGMNEQSPRAEGSLTRGTLEEHRLYGASVQRASPDQPSSPHHQR >KVH89033 pep supercontig:CcrdV1:scaffold_854:60569:63109:-1 gene:Ccrd_008990 transcript:KVH89033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEHLNIFFILLLLPTLSASQYNCPFAFCGSAAFIIRFPFRMVGQQPAVCGYPGFDLRCNRQGTMLLNIPKSGDFLVRTIDYRSQVIQVYDPSGCSASRLLNLDLSGSPFSVSATRNYTLLSCPVEVTTARFPTVDCLGNSTYSTLATMSVSFATAIANRTGCRVIGPLQYPTSRYQDQEGLASDLNLDISLTWDNPNCQDCAADGGTCGYTNTSNNKATIAMVIVSVGMALPAVAASIAIACYICRKDRRVSTWMARNTTPDTTTMPPETVATLWRGSLTIGLDPSTIESYTKVVLGESKRLPGHDDASCAICLSEYNVKETVRCIPECRHCFHAECIDEWLKLKGTCPVCRNSPSPAHVTL >KVH89034 pep supercontig:CcrdV1:scaffold_854:54748:58548:1 gene:Ccrd_008991 transcript:KVH89034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sde2 N-terminal domain-containing protein PLSSFLSLTFDQSVIQSELDRSKRFSVGIGSIVMEQSQSKIYQVIAKLLDGKSRTLNFETPIISVSSIKAQIQKLTSISVQQQLLLCDGKTLFDDGVLYHGNSSPSTVHLLLRLRGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKKMEEWLAEEKERKLEKVAEDFIKKKAKTVKKAGGGDGAEKYVEKYRKDSAKCMEEVDKSVRASLAGGFLKSKRKVVGEDVEDISGSKSKKLKIWMGKRKVDDSDSDDMDDEDSDDDMGQGNEKSVVIDNENQSDSNKEADGSLASVTGGKGEGESSVGCSSESASEEEKETVAKGQLESTKSSDSELPYCEAVDASVIHEEIILKNSNESTVPIEIEKSAKPELGDLEERESELPRASNVQEDGTTDEEAKISDPKVVIDEEKVSTKNVCPVLEKHLNFDEFNTAQEMEVLGMERLKSELQERELKCGGTLQERAARLFLLKTTPLDRLPKKLLAKK >KVH89029 pep supercontig:CcrdV1:scaffold_854:148551:151622:1 gene:Ccrd_008983 transcript:KVH89029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-/4-kinase, catalytic domain-containing protein MSSAGVIAIRPLCDDSILPSFPVHNEESILIHLAMSGSVVPMRVLESDSIESVKLRIQGYKGCVVKNQKLVCGGRVLSRSKSLVRDYGVGHGNVVHLVVQLSDLKVIHVRTSCGQDFTFHVEKNRDIGYVKKQLAKEKIDIDEQEILWEGKQLEDERLINDICKYNNDAVIRLFVRKSAKIRATPVQKNFDLTIVAPQSNDSREYDLAVKQLQHGANGIEYYGSVAKEAASRNLWLEPVIVNPKAAYPCGLLDLVTSTNEGLRKGNYPIRSSEGTGGAYFMMDASGTKYLSVFKPIDEEPMAVNNPRGLPLSVDGEGLKKGTTVGEGALREVAAYILDHPKGGHRSFSGEHKGFAGVPPTLLAMCLHEGFNHPDGVKEKIGSLQMFMENCGSCEDMGPSAFPVEEVHKISVLDIRMANADRHAGNILVNKEEDGRYVLIPIDHGYCLPASFEDCTFDWLYWPQARQPFSPETVNYIKSLDAEEDIALLNFYGWNLPSGCARTFRISTMLLKKGVEKGFTPFSIGNIMCRENLNKKSVIEEIVQEADDLVLPGSSEAAFIETVSQIMDRRLGLFA >KVH89027 pep supercontig:CcrdV1:scaffold_854:108032:109418:1 gene:Ccrd_008987 transcript:KVH89027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKNGRGKTKGDKKKKEEKVLPVVVDITVNLLDDTHVILKGISTDRIIDIRRLLSVNTETCNITNFSLSHEVRGPRLKDTVDVAALKPCTLDLIEGITNLTATWSNS >KVH89030 pep supercontig:CcrdV1:scaffold_854:152756:159134:-1 gene:Ccrd_008982 transcript:KVH89030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MEIDNIECVSVSDGLIDDAIPHHNHHHHRPPHPHPHPHPHQNNPNPNPNPNPNPNPQFSSSKTHNVNVVPSTIHPTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPGIFPYYSKLKHEAVCNFRPYSCPYAGSECSVVGDIPYLVSHLRDDHKVFNCFGQYFCLHFEAFQLSMAPVYMAFLRFMGDENDARNYRYSLEVGGNGRKLIWEGTPRSIRDGHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEPPNSDGSNVCIPNLC >KVI11518 pep supercontig:CcrdV1:scaffold_855:111443:117028:-1 gene:Ccrd_010071 transcript:KVI11518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit 8, N-terminal MASKFWSNQGDSDTEDEVSDSEQDDVIEGQENAISAPGANKYLDNSDSDSDDGDMHKRVIKSAKDKRFEELSATIDQMKNAMKINDWVSLQESFDKINRQLEKVMRVTESDRVPNNYVKALVMLEDFLNQAMANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEELINKCRETPESFEDQEEADEVSEDXEDDDTGSDIDDPTKVDSGSESEDDNDEEKDETEPGWERMMNKKDKLMEKQFKDPSQVTWDIVNKKFKEIVAARGRKGTGRLELVEQLTFLTRVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKKCVQNMLVILDILAQYPNILVDDSVEPDENETQKGADFKDTIRIWGNLAAFLEKVDVELFKSLQCIDPHTREYVERLRDEPLFFVLAQNVQEYLERVGDHKAAAKVALRLVELVYYKPQEVYDAMRKLSEQSDXXESGEESEXKAVEETRGPTAFVISPEIVPRKPTFPESSRALMDMLVSLIYKHGDERTKARAMLCDIYHHAILDEFSTSRDLLLMSHLQDNVQHMDISTQILFNRSMAQLGLCAFRAGLIAEGHGCLSELYLGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANSHDARRKVISKTLRRLLEVSERQTFTGPPENVRDHVMAATRALTKGDFQKSFDVINSLDVWRLLRNREKVLEMLKDKIKEEALRTYLFTYSSCYETLKLEQLSQMFDLSTYQTHCTVSKMMINDELHASWDQPTQCIVFHEVEHSRLQALAFQFTEKLTVLAESNERAXEARLGGGGLESLPSRRREGQDYASVAGGTKWQDNTSSYSQGRVGSSGGRSGYGNGRAFGPGQTYRGNQSRGGYQQQSSSRFHDSTYGGGGRNNYQGGAMGSRGSQMDGSSRMVSLNRGIRT >KVI11519 pep supercontig:CcrdV1:scaffold_855:11445:15628:1 gene:Ccrd_010067 transcript:KVI11519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein-like protein MRHGSSHSHTVTESTDFQKDLSLDSLDRVELVMAFAQEFSIEIPDEQADKLKCCADVANYIKFNVKGSSSSSSRTTGFAAVFLNLEKEFFIIIRSLLFLTDSYRYRTIMLYQNIILNAINQVLTSICYNEYTMMKFVYRILLPRIDFFQ >KVI11521 pep supercontig:CcrdV1:scaffold_855:23979:41425:1 gene:Ccrd_010068 transcript:KVI11521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Machado-Joseph disease protein MJD MEGPINGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRREHQMMLEGPAASGGDAFLSEESYNVSMDGDFSIQVLQKALXVWDLQVIPLHSPVAEPAQVDPELENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPEHLSKFYLSAYLDTLKGFGWSIFLVRGNFPKECPMTSGEASNGYGQWLLPEDAERITKSCNSGQRQQQQTQQLQPQRTPPMEDDDLNAAIAASLRDNSGEVGTSGNDDDLEAAIAASLKDSSPAVTTSLVNKDLDAAAPVATADTTAVTGAASGEGGTSESESMKIGLQDK >KVI11517 pep supercontig:CcrdV1:scaffold_855:91673:93235:1 gene:Ccrd_010070 transcript:KVI11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTVAMAMASPNIRRSLSEIPSQILATISSITSLLQILNPQHPNHPLNPNPSILNHFSPHINRHFVIRVIQNQQNPYHALFFFNWASNPNPNPTNYSHTHFSYIAITDLLISRNLFSLATELLESNNKLSDFMVGRLIKAHGDLGHIRWALHLFDRVRTRYSGRCLFSYNAILGVLVRGNRVDLAEIFFDEMMSQGIVKPDVSTCTVMIKGFCKIGMIEKAQKLFDEMPVRPNLLTYNTIVNGLCKKGLMEAALEVVERMKETQDCLPDTVTYTILIDGYCKIKDLEDAQKCFDVMVSRNLEPNELTYNTLINGFCVCGDVDGAKRMMTKMRLNGLKDTITTHTSLLRGYCIAGRSDEAFQHFKDLIGRRGMKPDAKSYQVLVNEFCKLHKPYDAIDLLREMKGYGIRPPVSSFNKVFRVLVELDQADRATVVLNQMAQMGCKPNFLSYNAVICGIVRSKGRMKVVEGLLKEMVRNGLEPDATMYSCLVQGYWDDGNEEMAKQVSQEMMDKGFVLKKLVNV >KVI11520 pep supercontig:CcrdV1:scaffold_855:81335:82414:-1 gene:Ccrd_010069 transcript:KVI11520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRNHKSVRETKSKKNTQNPSFSSTLLDEIYRSMDGGDEKSSEFKLREEKQSVGARAKASSVVEDEGMASHRRPFLVEKWMDMKTFTGRTRPSTLPEFHRKLALDNDPVFLSSGSSSSDSSFGWFSEPDGFCDSRSHKPSCFSKPKPIKTDAFSKKHQKMELDYCSNDDQTTNGALIKSKSRALKIYANLKKVKHPISPGGRLTTFLNSLFANGNVKKPKDSNSSGFDNKKIIERTTHGSTCSSASSFTRSCLSKNSPRSREKLNNGIRRTVRFYPVSVIIDQDSRPCGKKFIRDEEDFTKYNEDDDYSYDEDTSSDSSSDLFELDHLSILKERDEELPVYETTHFGTNRAIANGLIC >KVF77127 pep supercontig:CcrdV1:scaffold_8551:8122:9397:1 gene:Ccrd_026683 transcript:KVF77127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILFVAMGCVKLELRCPQRVGGIIADPQIDWSLDELLSEFNVIYHRLQASTLVSLPFTKTHSWYHVLPHAGKNRKSFVMHVSDDEGDYDDKGNYSRPLAMGGRFACEELFVLHNLNQYLVVERKLHDWFKIWMVASFSTPALMTLTNSDNKSTTGAQWFLMDKGGVMKGALIELSHEHQITIAEDIRTRISAFGTDLTNEKEKLTNALSRAEKSMDVRRELDRKRDLQYQHIIAEALDNHLTDIQRHPEYKS >KVF77126 pep supercontig:CcrdV1:scaffold_8552:2516:8553:1 gene:Ccrd_026684 transcript:KVF77126 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase, N-terminal MAILVFPHIPTFIFLQNRRRSVPPCSSSATVKFSTSKAITSSSGGKSTGAALLWYKNDLRVDDHPGLIAALQHTEVVPLYVFDHRILRHFTEEMLELLLFAVKDLRKSLKDLGSDLMIRLGRTESVIQDLAKEVRADSIYTQEEVEYDLRPLPTLNDLKEFIDKDPYKSKDSWTSIKDSSAEYVMQNARSLISISPTNGFVSNLGESSQSNLNSLTTQTKEKRSKKSAFVTKQGNVVGGGTSDVLNALAAYLKYLEGTRRDDWQEVHEKLRNAETREGASFGTLFGPALQLGIISRRRVYYETIKYEKDRNGGFLSPFGYSAATIAAAADHVCSVEYAVVGCEGPAILLVHGFGAFLEHYRDNINDIVEGGNRVWAITLLGFGRSEKPNVIYTELMWAEILRDFIVDVVREPVHLVGNSFGGYFVSIVAGLWPTLATSVVLLNSAGHYIPGYSSVPPSKERKTTGIAWLGARGLSFYLRLSFRNLVKRCYPIKTDRADEGLLNEMSYDPGVLVVLESIFTFDPSIPLNYLLKGFEQKVLVIQGMRDPISDSKTKVSVVKRHFEEIIIKELDAGHCPHDEVPEEVNSIIREWVVNIESRHTILERTCKDGS >KVH96739 pep supercontig:CcrdV1:scaffold_856:75674:88255:1 gene:Ccrd_001170 transcript:KVH96739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSFDRVLGFNDSLSRLAAVCSGRKVDCRAVWRWRLPWLSVPVVATGQRAVDQAAQQLAAASRRAEAIVGMAGSTDSAAVAGCTPNHSVAGSTAVDVKRKPIAMTLLCAASPTSSITTPASTSVPRTTTAGGMTRIVRCFNDWESRFGGVAPGKNQQSLPWQWGCCYL >KVF76581 pep supercontig:CcrdV1:scaffold_8562:598:1235:-1 gene:Ccrd_026685 transcript:KVF76581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTPAQEYDYIIVGGGTAGCPLAAXLSENYSVLLLERGGVGSSDPNVIHEANMLVNILNANDKGTPGQPFSPKRVFRTQEGIADDYFYSKSGIEWDMGIVEKAYEWVEDGIVSIPEGLNSWQNSTYQALLESGVGPANGFTVEHLQGTKIGGSTFDGSGRRHGAVELLNQAHPENLRVVVHATVDRIIFSSSRSSGKHA >KVF76259 pep supercontig:CcrdV1:scaffold_8565:265:3109:1 gene:Ccrd_026686 transcript:KVF76259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQVGVLYILSGIGWSILSMLFIKNNISFGAFGALFGLLGAILVVEILPPLDKIVACFLVVFENAYTKKALCAIVKRGGFCFPRLAAFNMRLPSSKATIHIYVFLKLTHNNPSSPTIQAPNILRGVALTSFQD >KVI03264 pep supercontig:CcrdV1:scaffold_857:130695:138370:1 gene:Ccrd_018438 transcript:KVI03264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase, C-terminal MAAEAAAKVKSIFLYPIKSCRGISVSESPISATGFRWDRQWMVVNSRGRACTQRVDPKLALVETRIWGEEKVQSRVWEITYFLTLIRAPGMDELKVSLCKPPQTCDGVSVWEWSGSALDEGDEASKWFTNYLGKPSRLVRFNEVSQGSLDALNELLKEPVPINRFRPNILVDRCEPFAEDLWKEIKIGNLAFHGVKLCSRCKVPTINQENAVSASEPTETLVKFRSGKVLIPGRKGQAMVSSLPFNIWNKTRVYFGQNMVCEDLPEGNEHIIKLGDPVYVINTFASYEDTST >KVI03269 pep supercontig:CcrdV1:scaffold_857:125555:130055:-1 gene:Ccrd_018437 transcript:KVI03269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYISNTWSNSGIAVKARRFGELTHQVPITQGSSQAEAAVGRQAEKKKKQPAQQASREEKEAASTAGKPRRRRRRRRSSQQGAGKPQAGQEEEADMQTAGACDVNVAVGEFDNLETVQFDRSD >KVI03265 pep supercontig:CcrdV1:scaffold_857:142585:147623:-1 gene:Ccrd_018439 transcript:KVI03265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter RPPPTLAYQPHLPAVPEWLNKGDNAWQLTASTFVGLQSMPGLIILYASIVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDELLPFWGKGAPALGQKYLARQARVPESTHYRNDGSIESPVSQPLYPMATLVYFQFTFAAITMILVAGSVLGRMNIRAWMAFVPLWLVFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFPPNNILLMIAGAGLLWMGWSGFNGGAPYAANITASVAVMNTNISAATSLVPWFTMMILHKKSVWLQQVDDTLGVFHTHAVAGLMGGLVTGLLAEPTLCSMTLPVSGTRGAFYGGSGGKQFLKQVVAALFVIGWNIVSTTIILLVIRIFMPLRMPEHELLIGDDAVHGEEAYALWGDGEKYDPNRHGSVFGAAEITPNDFGQSTARGVTINL >KVI03267 pep supercontig:CcrdV1:scaffold_857:65813:73532:1 gene:Ccrd_018435 transcript:KVI03267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATQPWLLENGTVKRLTKDSRRHGHGRSVHNMSSSSLRKKSDMSLVSKVPFSILRNFLTNLQEVILGTKLSLLFIAIPIAIAAHHFQFSRPWIFSTSLIGLIPLAERVSFLTEQIAFYTGGTVGGLLNATCGNATELIIAIFALIQHKVDVKQADVNISLLLLALLCNVMPLLYKHATTIDDALITAKVTLNLSRACSIVMLLAYFAYLAFQLWTHSHIFEAQEVQEEDEDDLHESEVESPQIGFWSALIWLIGMTAIIALLSEYLVNTIEAASSSWGMPLSFISIILLPIVGNAAEHAGAVIFAFKNKLVPVTVLVAWIIGINLDLDFNLVETGSFSLTILVTAFALQEDESKCLKMRMSICYSLNGLNEGLFWFRDRA >KVI03268 pep supercontig:CcrdV1:scaffold_857:82711:97363:1 gene:Ccrd_018436 transcript:KVI03268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27/S33, mitochondrial MLQCSEHRAYIPFNRDSGDFPSPAFCPTEGSREKMSMTSLKSMLAQAAVRGVTEARAAIFGHVLNPTGQRSAHKILRKKFIGEKVASWYPNDIQKEDPLVVARKEQDRLSKLEMLKRRGKGAPKKGHGKRAAKRNK >KVI03266 pep supercontig:CcrdV1:scaffold_857:28863:34784:1 gene:Ccrd_018434 transcript:KVI03266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MDIALFSPSSLFHEDDASSTDEEVVENCETYVERSHQFPGMELLIREFSFHQMNANLLWPGTFAFVEWLVQDRSRIERRHILELGRQNDSGTGALAIFLKKLYDVDITTSDYDDEEIEENIAHNCRANGVTPVLPHIKHSWGDPFPNPHPEWDLIVASDILLYVKQYANLIKTLCFLLNSYKPRETVVAPQPHELPRPAFLMSWRRRIGKEDESLFFTGCETAGLQVQHLGSRVYCISPTKPAINHDLASS >KVF75709 pep supercontig:CcrdV1:scaffold_8573:293:6946:1 gene:Ccrd_026687 transcript:KVF75709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase galacturonan-binding domain-containing protein CLDTCGKVKIPYPFGIGANCSINNWYVVDCNSSKPYLSALNHLEVLFVSLYNRTVTVYAPMIYDFQNQVQNRSQISSINLGTSPFLFSKLQNKFVLKGCGNALMIDHGSVVAGCSTSCTXDSSVSERNNCFGIMCCETEIPYYLQSYSMNLASLERQGGDVAHGSAFLVDKNVENPYVPISLRWTLTNSDHNSVITESSCCDQPQGTFMTRSRICVTMKQFQVLNLVILVLLTTSFTEALSYANRGCKDMCGEVSIPYPFGIGADCSINEWYNVDCTHSTPYLSAFNNMEVLWVNLQLQMVVINVPMIADRHNPVLNSSTILNTNHGDSPFLFCKLHNKLVVEGCGNVVITHDGPIVNGCSSTXRNDXVGDINKCFGTGCCQTTIPYYLESFTLNLTCLESQGGYEVXRSALLMDDSLVIGKNFSLRSIPGNGAFVPIGFLWTLADRDYHELNGCSEDTETLIWRVAAKYMKIVKGVRLSEVVASIRSRTWMEMNIRPSIV >KVI09567 pep supercontig:CcrdV1:scaffold_858:31363:33533:-1 gene:Ccrd_012046 transcript:KVI09567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEDCAKYSHSPAHLAVSQRDHAALKKLIAALPRLAKAGAVKTESESVAAELDADAVSAVIDRRDVPGRETPLHLAVRFKDPVSADILMAAGADWSLQNEHGWSALQEAVCNREENIAMIIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFMFLGDGYLSGDGNTSLPPGSLIVLSHKEKEITNALEGAGAQPSEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEVVGPWKSKVYEMLNVIVSVKSRRVPGAMTDEELFSNERAVEGGTDDHDEYDDVLTPEEKIQLNSALRMGNSESLRDDEEREVEHGNSEPGSFENYESNSKDKKSWFGWNKKGSKTNDPEDSKILKKFSKLNETQKSSSETHGEDNGESKKVKDKSAKKKKKKGSATEPKHESEYKKGLRPVLWLTPDFPLKTDELVPLLDILANKVKAIRRLRELLTTKLPHGTFPVKELQSSSEEFSTPLSSPAHFQDSKPKEAEGSTSWISWMKGNRGDQSSDSEGRSFRDETDPFNIPTDYTWVDANEKKRRLKAKKAKIKKHKKQSAVARNTENGGRPLSEDLE >KVI09570 pep supercontig:CcrdV1:scaffold_858:66304:74451:1 gene:Ccrd_012049 transcript:KVI09570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like protein, N-terminal MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEMPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNETYQGNYVDHHISSKDQITLQDTMEGVVYSTSKFGLDERFGDGDASGLDLDEELLVEKAATAEHATGSSNTDFDPQASAQANASLKYEDDRDAPTVPEAMPASGIENQQVGEYDGDNEPVEYAQAPCTPGLWEEPNLSNIQETSACDDHHEPENHSLTDAVKENLENASSKEAECLPHEQHHNHFEPHGEPLPNIASTEQMLMDDLGTTPFTDSLLADQARSTSPIAKAHDAVNAVSDDPIKAEDLLDKNARDDEPGVQAVNDNHENCVELQGMLNYVITSCQLSEGNQENTCHLTTELEPNTEPSGKDNLVSPQDHTFLDPNDSMISVQSPHYTMPSSDFPSIRPCTTPLNHSETSDKKLADTLQPTDLTSLFSIETSGREEHPSASGDSILVQGEGPHATEASERNLPEVHLAKPGPNDEFQVASNISNKQMDNVIEGEFQVDTINSYSSDFPAPEKLLSLPQVLSDLPKDFVPESTPAEKEIATGDGGDAGSNMLAGKKRSFTESSLTAHSLNLDESSGLFATNVTRESVLDDDDLLSSILGMRLLSFVKLLSLCVA >KVI09564 pep supercontig:CcrdV1:scaffold_858:150291:154048:-1 gene:Ccrd_012058 transcript:KVI09564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase, small subunit MMSTYGCCPLRRIYVLLLPQLPKPSMTTTVMAFSLSSPKLNFCNQTPATLVHFKSSNRKYAASFNFKVVNAISSTGNSGTPAVLPFTPADNGTPLPLNPKVKSHTISVFVGDESGIINRITGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKVLQQVVEQLNKLVNVLKVEDLSREPQVERELMLVKLNVDPGKRAEIMWLVDVFRANVVDASADSLTIEVTGDPGKMAAVQRNLAKFGIKELTRTGKIALRREKLGETAPFWNFSAASYPDLESPTPTSTVSRITHTVAENLTASSGGDVYPVEYYNGFEMNQVLDPHWGVLYDEDSLAAGPAEMEGLSRITTVIPGTDESIRKLVKQFCKLVDVHEVKDITYLPFSERELMLIKVAVNSAARRDVLDIASIFRAKPVDVARTGRVALVRESGVDSTYLRGYSLPL >KVI09559 pep supercontig:CcrdV1:scaffold_858:114844:130687:-1 gene:Ccrd_012056 transcript:KVI09559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase subunit alpha/beta MIWPTIFLGLTLQVLLVFGARYPKNLHIWPMPESVEYGNKTIFLSKDFQLKTDGSKYADASGILKDGFTRLLDVITASNVIESNFSKVDESVLLQGVHVIVLSKDDELQYGVDESYELSIHPKGKPIYANIKAATVYGALHALQTFSQLCHFNLKRRKLEVSQIPWTITDRPRFAFRGLLIDTSRHYQPLPMIKKVIDSMAYAKLNVLHWHIVDTQSFPLEIPSYPKLWDGAYSLSERYTVAVAADIVKQVKEPLDISNAFTFKLIDGVLSDFSKIFKYKFVHLGGDEVDTSCWSSTPHIKEWLKQQGLNASQAYEYFVLNAQKIALLGSGVAERVVAAGLRCIVSNQDAWYLDHLSTTWQEFYTNEPLTNITNPTQQKLVIGGEVCMWGEHIDGSDIEQTIWPRAAAAAERLWTHPDKLAKTPKDVTSRLSHFRCLLNQRGVAAAPLDGPGRVAPIEPGSCYTQ >KVI09560 pep supercontig:CcrdV1:scaffold_858:74574:81393:1 gene:Ccrd_012050 transcript:KVI09560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTPPLQVPSTKRRRSAPKTGILKRKVVMDDNMVLHGDTIRQQLTNTEDIRRLRKKAPCTRSEISMIEKQFWEEELFSEAIFTGVSIKMASLHNQLSDLSRIVVSHCDVSLEGGPDPKSISQNDEICMQLEGRTENMGLSVGPDVPKENGGDNNFNSVAREDVSEAQPIEFPLVADDSTCDNNTTNLDYHDSQAQMHATGDVAEPFSSEHELLGQGTAMEIDAKSFSDANLGVSVMPIEVESLTHADVVLSDTCGMSAALGEVDKTSQMDASLQNDAPGVSSDEKMDLQSVDMDPCLDISTNKSIENSVASEIKVDVASVREISEEHELVANACATFSSETDGVRPQIDTLDEDVETRKNEEEFVNEDVTLAPDTECNTQNLVCNGIHGEEFVTAAAYDEEVNPVLEDVSLDERENPGHQVTFPMTAMSVEDSTVNNRDDDNEFTYSAVGNDTDFLNFDDADDDEEAETAGDYMPDTEATRVIDNSGWSSRTKAVAKYLQIMFDKEGARGRNLLPVNNLLAGKTRKEASRMFFETLVLKTKDYIHVEQRDPYENIDIFPRAKLLKSDF >KVI09562 pep supercontig:CcrdV1:scaffold_858:90504:94310:1 gene:Ccrd_012052 transcript:KVI09562 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MTGSVIHCGWMFPQLTSFVPGRLCTSYAAIARPNCHSFGRRKSYLLTTNCFSDVAAVTIASDHNYGNKQVISITPRVYDYLLTNVREPSVTSSWFFRILRELREETATMHGSQMQVSPDQAQLLAMLVQILGAKRCIEVGVYTGYSSLAVALVLPDSGILVACERDKNSLEVAKRYYDRAGVPHKVDVRHGLAVDTLNSMIENGEGCSYDFAFVDAEKRMYHDYFELLLKLVRAGGVIVIDNVLWHGKVADPLVNDKKTESIRSFNRALLDDERVSISMVPVGDGMTICRKR >KVI09571 pep supercontig:CcrdV1:scaffold_858:45633:58697:-1 gene:Ccrd_012048 transcript:KVI09571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDMDYNGEAGCLDPELLQLNEVSPLAIKSNPYVAEKLFEQWLSLPETTPLVKSLLNNAKAGVPLNVSGSISSPKATSGNSIPSMFPGGSTPPLSPRSSSGSPRIMKQRAGPSVLGSPLKLVSEPAKELIPQNGRPPPNELKERCLFRSNQFFYGHMEGLQLHEFKPVTKEICKLPSFFSTALFKKIDVNGTGVVTRDAFVDYWVHSNMLTKDIATQIFAILKQPDLRYLTHEDFKPILRELLTTHPGLEFLQSTPEFQERYAETVIYRIFYYVNRAGNGRLTLRELKRGNLIAAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIGPKDEGYVTLRDLKGNKLSGSAFNILFNLNKFMAYESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDAEDASNGSADVQVIRVQTFFNLSGIAQQEGCKAFRSVTKYDEAERINLHKIHPANQKGVSSFQIARQQKGLG >KVI09563 pep supercontig:CcrdV1:scaffold_858:94973:97495:-1 gene:Ccrd_012053 transcript:KVI09563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRPRCLVVWFPHHLGSLHRIRFNPSPSTSGFSTVEEKETLTGDGAIVEVAEDDTEANPPVSEQMEVSELLESGKSFLRELGAELEERMIAIHKEQMEKWQDEIKELRFMDASNEEINALLHNAQLVLHNVHNDHS >KVI09565 pep supercontig:CcrdV1:scaffold_858:169465:170682:1 gene:Ccrd_012059 transcript:KVI09565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLFTLSVTEPDLVYHKRFAFSIDSYGLDPKQFLNGVFNSMYEWKTTSLLVLLVLLPIFYEKNESFYRRIRKRRRSTHIQKRLDKRSRMKPKFQMSGSSITSESHLFCLFVGIAAAQLLIYVGAINVLIIFAVMFINGSVKHPKNTYTENHWRERAQSIK >KVI09558 pep supercontig:CcrdV1:scaffold_858:111170:111820:1 gene:Ccrd_012055 transcript:KVI09558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNTLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLTSAKSELSKYQNHHLCHMNDASTHGVLISPAAAATNHHHHHHDMGMINLIGGGDEVISEERLYQQHAEFFPHTAAIRGFDVKCSYDGVGQHMQYQQHRAAGSAGSDDRRTPIDPS >KVI09557 pep supercontig:CcrdV1:scaffold_858:99366:106268:-1 gene:Ccrd_012054 transcript:KVI09557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S1 MLRPIGRALRQVTSSSYDNHHVRRRACSGTAVKHCCSLRHYDTIPHLSDSPPNLRTLSSHQSFLPNSKFNQCRYLTTAASASTAAVEQLNNGGSNGIADTIDAFSAIELALDSVVKIFTVSSSPNYLLPWQNKSQRESMGSGFVIYGKRIVTNAHVVADHTFVLVRKHGSPTKYRAEVKAVGHECDLAILVVESDEFWEGMKFLELGDIPFMQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLLAIQIDAAINPGNSGGPAIMGEKVAGVAFQNLSGAENIGYIIPVPVIKHFVDGVEASGNYAGFCSLGLSCQPTENIQLREYFGMRPDLTGVLVSKINPLSDAHNVLKKDDIVLAFDGVPIANDGTVTLRPLKPLVPIHQFDKVPSYFVFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKKPGEEIVILSQVKKVNGIEINNLKHMCQLVEECKEEKLRLDLDDERVIVLSYDKARTATSHILKRHRIPSAMSIDLLTTLSA >KVI09566 pep supercontig:CcrdV1:scaffold_858:34892:41612:-1 gene:Ccrd_012047 transcript:KVI09566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKCVQYGHEVRLLHVYMDEVVVALANLLPADDAVDELDSYMYQTVGHQIVVSYAKCMGVPLRHDLSYKTTPGDEVEDMYILLKEVKKQIPAVTAVSSGAIASDYQRLRVENVCSRLGLISLAYLWKLDQSLLLQEMIETGIFAITVKVAAIGLDPSKHLGKEMSYLWPHLLKLKEYVGCIKKFYLCFLYQHFKLYGSNVCGEGGEYETLTLDCPLFEVSLLYARIVLDEFQLVLQSSNSIAPVGFLHPLAFHCEDKPKSVCVSDSNRSNGFSLENMESVIEVQNECLEIVEEKCRPSEVGFDLAELEKHRPHISKTRKENIFSMSCWLQGSSETSDKCRFGVPSRSTIELPLSQVGLGRAYVEVLVTNDQSKKVLHVQSISSWAPSCIGPYSQATLHKEILYMAGQLGLDPSTMSLCSGGPAAELEQSLLNSEAVAKCFNCSISTSTILFVIYCSESTSTLDRISMQEKHNAVLSKMKLLNLDQRLSGVLDQFFLYVLVPDLPKRAFVEVKPILFVEHDRESVEIVNNQVMSDLKYNVNQFDSCFQPEIWHDECLQKCLVHGRICAVILSITTEIAKKICSDKFISANADDLLIITAEEKMERAAKFCVYLIFKTTFDEFAKTTKTMTIRKEPIFNVVPVIGVGSSAASMDDIVTCELFATKS >KVI09561 pep supercontig:CcrdV1:scaffold_858:83000:88816:1 gene:Ccrd_012051 transcript:KVI09561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MSSKEKPTLGGQRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIAKCIESSDLNFSRYGDTFFEVVFTGGRTQPGTTKPDEGERHPYSILDYEASREVILPSVIYIQKILRRRPFLIKNLENVMRRFLQSLEFFEENERIKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVGKGLVLTFITDFFKEYLIDNSLDDLISILKRGKMEDNLLDFFPSGKRSAEAFSEHFTKAGMAPLVEYNDKKIFEVKVKEMKSALTTQIAEEADISEVIETVKQHVKDAKLPDIEVVRILWDVLMDAVQWSGKNQQQNANLALRQVKTWAKLLNTFCTSGKLELELLYKVQVQCYEDTKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQSFVKALEPFIKWLEEAEEEE >KVI09569 pep supercontig:CcrdV1:scaffold_858:13535:15517:-1 gene:Ccrd_012044 transcript:KVI09569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSICFSTSKHRKLKKSVTPTTSSPSLNQGNENKCESILFTVPTQESTNLGSLKTPISESRDNSEDQLINESCDKTVTIDIDVDTNADISVEEVEILSVESKEGKEKNVNESGRLGFLVQLENEKERDKEEVGKLGVLVQIENEKERKNEEHKDLGVHVEIENEERKKEPKEEDEDFGVHAETEDEKKIERELKGENQSSGVENRAFSDSSVSSYISYPPMHRYHNCEIDEDEDHVIVQEDSSESLFSLAIDPRRQSKSSPVEVDDKEVNSPLKTSCSPKLNTKSIRPCPNRSDLNPNIDSLLNPIENLSQWKTLKAEPTPTLDHHQEKENMYLELEETNIPLSKEPSFKVSDQKGKVKGDNSAVNTSLSSWLIGPDKTPTISKGDSQFSTGNSFSYSDEATSWKSFEDRPILGAWTIDEVRQVSARSSPRKSPCRNPDEMPIIGTVGSYWSHTGQAADSSNIGKSRRNREKKASSCHSTPTKTRLERGLDNGAA >KVI09568 pep supercontig:CcrdV1:scaffold_858:26158:31047:1 gene:Ccrd_012045 transcript:KVI09568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGNGNGNGKSLERMVSLKALQMGNSFSCQICVVGFLSGVCLTSLFLAALTSFASFQFASPNSTSSFLNVFTGQECDSKSQAVERMVISHNVIEVRVNDHVNSIYSAWSEMLNMSNTGQGLFSRDTITDGSQVHRPPHLENCKLTSEANRLLDKRTEDAKLPPWTMWKGFLNNYPLSTKEQQQGYNGNQAISKGSDEENYPLTRKVQSDIWVHQHPVNCSDPNLRFLVTDWERIPGFGMGAQFAGMSGLLAIAINEKRILVTNYYNRADHNGCEGASRSSWSCYFFPETSQECRDRAFELMNEKGAWENGVITVKENYTTKQIWTGPIPRVWGSPWTYIQPTTEVNGTLIGYHRKMDRRWWRAQALRYLMRFRTEYTCGLLNAARHSAFGWEAAELVHSSRVSEFDMKDSPSAIEKYVWSNHEPWTPRPLLSVHVRMGDKACEMKVVQFEEYMHLADRIRHRFPHLKRIWLSTEMQEVIDKIKLYANWKFYYSNVRRQLGNITMAVYEASLGRETSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >KVI03634 pep supercontig:CcrdV1:scaffold_859:63636:73311:1 gene:Ccrd_018065 transcript:KVI03634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MAQNGQGIDPALLDDIINRLLEFRQARTVRQVQLSESDIRQLCTVSREIFLQQPTLLELEAPIKLCGDIHGQYGDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTDVPDSGLLCDLLWSDPSRDVKGWGMSDRGVSYTFGADKVAEFLMQHDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLIGDPNTFKNLPDALKYATDVLVIFLFRQECRNTFHQILAGLRLGE >KVI03633 pep supercontig:CcrdV1:scaffold_859:77565:79526:1 gene:Ccrd_018066 transcript:KVI03633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MWVFCQAPPTIQQSHFPLRTRPPSRLHSHTRHPTSSTLRPSTTDTNHQIQSLCKKGHLQQAIKALTQEPKPTQHTYELLIFSCTIANSLSDAIKVNHRLIHDGFDQDPFLATKLINMYMELGSIQYVRQVFDGISNRTIYVWNAFFQALTLSGHGMDVFDLICCMNSDGIKPDRFTYTYLLKACVASETSVSLLPKGKEIHAHVLRHGFEPNVHVMTTLVDMYARFGCVLEASYVFSEMPTRNVVSWSAMIACYAKNGRPFDALQLFGEMLLEVDDLTPNSVTMVSVLQGCAALGAIQQGKLLHGYILRRGLDSVLPVIASLVTLYARCGDLEMGRRVFDHMVKRDVVSWNAMISGYGMHGFGKKAIEVYTAMLCNKIAPSPISFVSVLGACSHSGLVDEGRRLFESITKDHSMRPTVEHYACMVDLLGRANRLDEAAKIIQDMRIEPGPKVWGALLGSCRIHGNVELAERASKRLFELEPTNAGNYVLLAEIYAEAQLWDEVQRVKKLLEAQELQKKSGCSWIEVKRKIYSFASVDEFNPHIEQLHAFLIKLSMEMKDQGYRPETKVVMYEVTEEEKERILLGHSEKLAVAFGLINSSRGETIRITKNLRLCEDCHSVTKFVSKFANREILVRDVNRIHHFKDGVCSCGDYW >KVI03631 pep supercontig:CcrdV1:scaffold_859:92151:99579:1 gene:Ccrd_018068 transcript:KVI03631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIEAGWKTKNNTAEAQEKCITRLLLYHFCVNLPVMLASYPVFRFMGMRSSLPLPSWYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVVRVLETVEAHCGYHFPWSPSNFLPLYGGIFGTDNGYRKLKALKSEENLFKLSSGNEHTRFPNFERCVLRLSTCLYEDRPL >KVI03630 pep supercontig:CcrdV1:scaffold_859:99929:103856:-1 gene:Ccrd_018069 transcript:KVI03630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF604 NTHKTPYPIPETTPTNISHIVFGIGGATKTWQSKKHYIESWWSPNVTRGFLFLDEAPIRYLPWPPTSPPVRVSSAHKGVPYTVRMARVVEETFKEEKGGGVRWYVMADDDTVFLPENLVEVLKKYDHNGYYYVGMNSESIISNSLFSFGMGFGGAGFALSYPLVELLVKNLDGCLKKYHGYHGSDHILQSCMADLGVSLTQERGLLAAHPQAPMVSLHHLDAVEPLFPTMDRLQSLNHLMKAAKTDQSRLFQQSICYDHPKDWTFSLSWGYSLHIYEKILPPSVLQVPLQTFGEWRKGAKPAFMVNTRGLSKDPCEIPHTFYFDSVVDSRGRGNPEEVIMSYVRKLPRRLPPCLASGNQSAHDVEKILVISPVTRLETEGRRRECCEIVQVDKADVRTIKLRPCTKDEIME >KVI03639 pep supercontig:CcrdV1:scaffold_859:9697:10200:1 gene:Ccrd_018060 transcript:KVI03639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGIVNVNSLFTLGLFLGFAVNPTDPTYTLVDSSDTACFVPSSTAENLIVFHVYSFSSFLFSSLIAFGLKQAIRTAXSGDTRVEVNDGTIAHVNLRVLRAGILSAFGSASGCLFLTLALVDLIQIKLGKLDCWNWYGVAAVGPLVVLVPSALVIYICIELHAFTR >KVI03632 pep supercontig:CcrdV1:scaffold_859:78304:88488:-1 gene:Ccrd_018067 transcript:KVI03632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHASLGRRTLEEIRQKRAAQKLSKTSSGPDLTRPPNPSEVFGIKKSSSGSGISEQSDVSGLVSQLQELQKRNVELDEENKKLISELHSNEVENDMLRKRVNDLEQNTVPSLRRALKDVAMEKDAAFVAREDFSAQVRALKKRLKEAEEEQYRAEEDAATLRAELNLLQQQAISGDLGVVTSRGGPPNHMQAIEKELADLKTQLEQESMLRRQEGMMRRQEQQQLAEEQIRISAIMSEKKELEEKLVVMSKEASGITERGAQLTMEDKEILEKQLHDMAVAVERLESSRQKLLLEIDSQSSEIERLFEDNSNLSSAYQEATGMVAHWENQVKDCLKQNEELRSMLDKLRTEQASISIVNDRESHKGVLEFNKEGAGEISQAYTAEVVSLKGQLAKEQSRAETLSAEVLQLSAQLQQAMQAYNGLARLYKPVLRNIESNLLRMKQEGSLIVQ >KVI03637 pep supercontig:CcrdV1:scaffold_859:17200:21486:-1 gene:Ccrd_018062 transcript:KVI03637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNQSTSFSPPPPPHRSSSSSSFTAELFGDNNSQPSSTGVFASIFPPPSMVLARNPNCSQFTGATQKETSESQVWTTTHVTSAENVAKNTASANSSIMNMERRSIFQERVEPSPLSSSLYYGGQEDMYVCSSSDPTSQSYPKFKKMEGKDDPNHLHSASRGNWWQGSLYY >KVI03635 pep supercontig:CcrdV1:scaffold_859:55542:59005:1 gene:Ccrd_018064 transcript:KVI03635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MASRVDHEYDYLFKIVLIGDSGVGKTNILSRFTRNEFCLESKSTIGVEFATRTLEERYRAITSAYYRGAVGALLVYDITKRPTFDNLQRWLRELREHADSNIVLMMAGNKSDLNHLRAVSEQDGQSLAEKEGLSFLETSALESYNIEESFKSVLTDIYRIVSKKALAAEEGSMGAPGKGTTIDVGGETGNTKRGCC >KVI03641 pep supercontig:CcrdV1:scaffold_859:137739:149603:1 gene:Ccrd_018071 transcript:KVI03641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIA, alpha/beta subunit MASSTTSSVYIQVIEDVINKVREEFINNGGPGEGVLNELQGLWELKMMQAGAIVGPIDRSSVAKSMVPGAASNTVHDLNVPYEGPDEYETPTADLLFPPTPLQTPMQTPLPAQTPLPGTAPTPLPGTVDNSYNIPTGGTPITPSDYSSLNENGASDGKAGRPSTYMATYVEGREDADRAAANQPTTQDFFLLSAGKRKRDDFPSQYRPGGYIPQQDGAADVIADKFELGQGSSSQPGIIVADKGKPGIGLALPSRIPQLDGPIPDPYDDALSTPNLQAPTPALVTQNDVLDDDEDEPLNENDDDDDLDDVDQGEELNTQHLVLAQFDKVTRAKSRPLESSTSDYGRKTENLAEVSSA >KVI03638 pep supercontig:CcrdV1:scaffold_859:14996:17238:1 gene:Ccrd_018061 transcript:KVI03638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MQSSMGSGFYGFRGFCTILVLLSVLSSISILYWSHSSVSFYFHEQRPEPGVGPGLNHPTDLLTFPLAWNHLSFPSNPPQRLLKIALFVKKWPDGCHAGGLERHALTLHLALAKRGHELHIFTTSSSDSNFSFPKYPYGNLYFHLSKPTGAGYLDQALVWEQFRAQNLMQKPFDVIHTESVSLMHTRSRNISNLAVSWHGIAYESMHSDIIQELLRAPDEHPANRLTERPIKVVEEIKFFQNYAHHVATSDHVGDILKRIYMIPDDRVHIILNGVDEHVFKPDHQTGQDFRSNFGIPPSKSLILGMAGRLVKDKGHPLMFEALKQLFVENSTFRETVAVLVAGDGPWGARYKDLGPNLIILGPLQQGQLARFYNAIDIFMNPTLRAQGLDHTLLEAVLSGKPLMATKLASITGSVIMGKEVGYTFSPTVDSLKKCLYEVWEDGRGVLEQKDA >KVI03642 pep supercontig:CcrdV1:scaffold_859:168680:171738:1 gene:Ccrd_018073 transcript:KVI03642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVSSRSSNSSPILQKNHDHDDHGNHHIKKSVFMRVKEKARKLKKSISGRKRRDENESRSPYATPPGMYEPTVEPEIDKHASKDNPRETNKGSSEKHKNTSNVDRGSTDSIDSKLSGLTVSGDRNANKPEPKENTTSSLQPQDKGVSVKEYLMHKLEPGEDERALSQAITQTISPRRDKMKEAMNTLLGTEEPSQSTSNKTSNSELDGSNLKQNAGDGSSIESSNVKTGSLADPPKPSNSVESNISNASNTNTCSTSTSASGQNQNQTGGSNLKPNSSSNPNDSNPSASSSSPNGQVDTNAKATEASTSTSSHEGSIE >KVI03636 pep supercontig:CcrdV1:scaffold_859:24797:43101:-1 gene:Ccrd_018063 transcript:KVI03636 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MGETMKKEDTVKLISAEGFEFVIDKNAAMVSQTIRNMLTSPGGFAETEHREVTFPEISTTILEKICQYFYWSLQYARFAPPLSCVIFHFCFLKKMLKRIQTWLVDLTSEINFTKMVEDEFVGKLKMSVIRRGRCIDV >KVI03629 pep supercontig:CcrdV1:scaffold_859:6516:10862:-1 gene:Ccrd_018059 transcript:KVI03629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSLFNGRRSNVFDPFSLDIWDPFRDFPNPSSSDVSSAFVNTRVDWKETHEAHVFKADLPGIKKEEVKVEVEDGRVLQISGERNVEKEDKNDRWHRVERSSGKFTRRFRLPENAKMDEVKAAMENGVLTITVPKEEVKKPDMSIIPSLFNGRRSSIFDPFSLDIWDPLRDFPNPSSSDLSSAFVNTRVDWKETPEAHVLKADLPGIKKEEVRVEVEDGRVLQISGERN >KVI03627 pep supercontig:CcrdV1:scaffold_859:2572:4940:1 gene:Ccrd_018057 transcript:KVI03627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGIVNVNSLFTLGLFLGLAVNPTDPTYTLVDSSDTACLVPSSTAENLILEDQHPVRVGTNGTSVTTGTLTQSDSTTEGIPNLTCHCWPLPEEALAVKGARPPSTPVVRRS >KVI03628 pep supercontig:CcrdV1:scaffold_859:5412:5918:1 gene:Ccrd_018058 transcript:KVI03628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDGIVNVKSHFTLGLFLGLAVNPTDPTYTLVDSSDTACLVPSFTAENLVVFHVYTFSSFLFSSLIAFGLKQAVRTPRFGDTRVEVNDGTIAHVNLRVLRAEILSSAFGSASGCLFLTLALVDLIQIKLGKLDCWNWYGVAAVGPLVVLVPLALVIYICIILHAFTR >KVI03640 pep supercontig:CcrdV1:scaffold_859:109779:114908:-1 gene:Ccrd_018070 transcript:KVI03640 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MAPALTSNSFLLTTTPHSKLDSLKNPRRLIVCAKKSDVSSAGEGETENSNRFRFNFDFGKVSDMKSLIPVVSNPSSTGALFGRRKDANTVFVAGATGQAGICIAQTLLREGFSVRAGVPELGAAQDLARLAVNYKIISSEESKRLNAVESTFQDAEAIAKAIGNATKAVVTIGPGQNGPTTEVTALEALKFIQGAQLAGVSHITIIYDGATSDFTSTNNVLDGISLFFNNLFAKSQPLTLKEFIDGLITTDVNYTLIKTKLTDDYASESSEVEDRIIGGGCFLEHGSGGK >KVI02778 pep supercontig:CcrdV1:scaffold_8591:3319:4415:1 gene:Ccrd_018932 transcript:KVI02778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHVSNTSLELKKGAWTAQEDMLLKNCIKKYGEGKWHLVPLKAVIKPQPHTLSKPLSWFKGDNKNAETHDDGNIIRLSNDGVGNKYNMSSELISSPVVLDDTINEFLKELFDEEEKAIDSEIGWSFDGSQIEKKALNVTEDNENSLFDFSSDEFMWNLLNS >KVF74582 pep supercontig:CcrdV1:scaffold_8596:95:11504:-1 gene:Ccrd_026688 transcript:KVF74582 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MEETLVTPSEPPPLPSDGDLMDVATEAHNPNNSQSASNSDSGSDSESDDEAQAALELQNLEAELSTNPANYDAHVQYIKALRKQGDIDKLRLAREAMSELFPLAPAMWQQWTNDEISLISTSGDLFERALIACGLHVAEGSKLWEAYKSYEEAVLNSMDTMDFESREKQVQRIRNIFHRHLSIPHRDLMSTLLTYKAWEAEHGNTLDVSSSSTEGISANVASAYQKAIEMLSMRADFEEKIAYLKFEQSCGDPARVKSLYERAITEFPISSDLWIDYTHYLNKTLKADKTLRDIFNRATRNCPWVGELWVQFMLYLERCRCSEKELSDYVDIFLTRVDGLRRRILFAKELDDGLDYALIRDTFQRACDYLSPQLKNTYSLLQIHRYWARLESSIGNDITAARGVWESLLKNSGSMLEAWQGYISMETEMGHINEARSLYKRCYSKRFSGTGSE >KVH95098 pep supercontig:CcrdV1:scaffold_86:507405:511199:-1 gene:Ccrd_002807 transcript:KVH95098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEHLQLHQQTKRSCEELLCSYLGISFAIFLGFLPKKSVSLIPTLQTHTESLSKKLLQAEEQLEQLYSRRKEDSKANARVVEIFASHRHGWQQEEKRLLRQIDENVEEIANLRAKVEDLEIRVDDLKREVSERDELLNFMANREDDGGGYGGGGGGDGEFYGEMLGSRFGKLRVSDEANNHNHNHKHNHNHNHNLKHNSNYGTNSVMGDCYTERGIHNVDDLGSIYDGHNMFNPSDFSNSGVSKFLAERSNLWQGAQYESVEPVHDMKQFVTRRESPWKVDGDSSGVSTKLKLLEQELQNLENIGANDLSKVPSLMRKQAKRYQALAGKIDDLCRRMQENDPCEPNAGLEFRTQRQTEFLLEALRLQQRASETGQKLMALQTETGMGCNYGNDLVEGRARLTTSLSLSSIRTNFRDIQRNLEIWLARIIGDVEGILARDGASRVNEYYLSPRYPFVQQERF >KVH95087 pep supercontig:CcrdV1:scaffold_86:327702:330290:1 gene:Ccrd_002826 transcript:KVH95087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase GAPTPEAKRPFLLPLLKCLSLLVISKSLIASMALLLQHPFLYSNASNCRTPSVTLLQTTKSSAFRKSRKWPVTVRCAAVASPEIGKEDVLVGEEKGRVDVVDYDWTEEWYPLYLAKDVPEDAPLGLTVFDKQVVLFRDGNGEFQCYEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGNGKCVKIPQLPADAKIPRSACTKTFEVKDSQGVVWIWMSHKTPPNPAKIPWFENFARPDFRDISTIHELPYDHSILLENLMDPAHVPISHDRTDWSAKREDAQPLLFKVTERTDRGFAGWWGREAEKTTPNFLRFEAPCNLQNNREIVDKNGVTNYFSGLFLCRPTGQGKSMLIVRFGNTKRSPLASLFPAWYFHQNAGKVFEQDMGFLSSQNEILMREKVPTKELYINLRSSDTWVAEYRKWMDKAGHGMPYHFGHTTISMPKEAAVVEHAPAGFVASIAASSPAKGGIGTKHAPNLSNRYFRHVVHCKECRSVLKAFEAWKNRFSVVAAVSLTFAILLSGRQWKALLLLSTAVCLAGAHACSTALDMNTTNFIRTHRRL >KVH95107 pep supercontig:CcrdV1:scaffold_86:236234:237376:-1 gene:Ccrd_002831 transcript:KVH95107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGAGGRMNVAAASDKPHAFKRVPVSKPPFELSDLKKAVPPHCFKRSLVRSFAALFRDIIIVTVLYYLAATIIPVLPKPLTYVAWPLYWFFQGAYLMGLWVIGHECGHHGFSEYQWLDDTVGFIVHSLILTPYFGFKYSHRTHHANTNSIEYDEVWIPKRKSDKLYSEILNNPLGSFVVFVFKIVLGFPLYFVFNLYGRKYEKGITSHFYPYSPIFNDSERFQIFLTDLGVFGTLYGVYRLALIKGTEWVINFYGMPILFMSGFFILLTYLHHTHPSIPHYDSTEWDWLRGALATVDRNFGFLNHAFHDVTRTHAVHHLFPTIPHYHTFEARLAVMPILGDYYKYDDTPILEAVWRETKDCIFIEPEEVNGEKKGIYWFYK >KVH95100 pep supercontig:CcrdV1:scaffold_86:558189:558493:1 gene:Ccrd_002801 transcript:KVH95100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MQDWVFLAITRMMTIIRLCQWLCLILLMLSKTECSDDVEMTFIQSAVVKGAEWLDAVCLDGSPPAYQFDKGFGEGVDKWLIHIQ >KVH95126 pep supercontig:CcrdV1:scaffold_86:117020:129315:-1 gene:Ccrd_002841 transcript:KVH95126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MLGFLFVLFEIRGSEANMSPNKLNWRFRWKQPNSSAASKIVVLPTMMRNEEMTNENLVQIARVLRRDMTHMRRQSNRASARRSRLCRQAECAGCAEVHTRLQALRMENQFLRESLRWLYDEWDKISYANMLMKGELSKLAGPEKLPELDVFLRSPFTEG >KVH95117 pep supercontig:CcrdV1:scaffold_86:428120:433446:-1 gene:Ccrd_002813 transcript:KVH95117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L38e MYIILDIFCWFYTQPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPVCLLSFIYIILDIFCWFYTQPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPGIFLLFISIICLLNQHLI >KVH95128 pep supercontig:CcrdV1:scaffold_86:4866:36321:-1 gene:Ccrd_002847 transcript:KVH95128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFIHGFPELWYTWRHQILYLASQGYRAVAPDLRGYGDTTGAPTDDPTKFTTLHVVGDLVALINTLVAPGEDKVFVVGHDWGAYIAWDLCLYRPDKVRALVNMSVPFNPRNPEIKPVDRLRAAYGDDYYIVRFQEVGEIEGEFAVWGTERVLNDSFTYHKPDPLLLPKGIGFGNSLDAPISLPSWLSKEDLAYYTSKYEKTGFTGALNYYRALNTNWELTVPWSKAQVKVPVKFIVGDLDQTYHTMGVKEYIEKGGFKKDVPLLEDVIILQGVGHFLQEEKPDEINKHIHQFFKHLRTTEACMMIYNYGRKHRETEKKEPANLMEGIQHKTVNANGLNIHIAEKGEGPLVLLLHGFPELWYSWRHQILYLADHGYRAVAPDLRGYGDTTGAPVNDHTKFTVYHLVGDLIGLLDAITSDQGEKVFVVGHDWGAYVAWNLCLFRPDRVKALVSLSVPFIPWNPNGDMVQLLRAAFGEDHYIVRFQEPGDIEAELASIDTKTVVKKFLTFRNPEPFYFPKGKGFQYSPNDATVTLPTWLSEEDVEYFATRIDKSGITGAVNYYRALPLNWELTSAWQGAKVMVPSKFVTGDLDLVYHMPGMKDYIDNGGFQTDVPLLEEVVVIEGAAHFINQEKPNEINKHIVKHHNNVERVPTTIRYGLACTIRLSIHIAEKGEGPLVLLVYGFPELWYTWRHQILYLADHGYRAMAPDLRGYDDTTGAPVNDHTKFTIHHLVGDLIGLLDVITDKDEKVPDRVKALVNLSVSFLPWNPNGDMVETLRAAYGEDHYVSRFQEPEKMEAKFSKMSTQTIVKRFLTIRDPTRNNIPKDKGHDLSRNAPVTLPPWLSEEDAEYFASQLDKTGFSGGLNYYRALHLNWELNSAWRGAKVQVPTKFLIGDLDLNYHMTGMKEYIHDCGFLKDVPLLEEVVVMEDAAHFINQEKPDEINNHIFSVSAEILILHS >KVH95104 pep supercontig:CcrdV1:scaffold_86:207673:209285:-1 gene:Ccrd_002834 transcript:KVH95104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGAGGQMKTAKDILKHVPVSKPPFGISDLKKAIPPHCFKRSLIRSFGSFFRDLITIYTFYSLASTYIPLLPPTLSYIAWPLYWFAQGIILMGFWILGHECGHHAFSEYQWVDDAVGFFIHSVCLTPYFSFKYSHRSHHAHTNSIEYDEVYIPKRKSDTFFTEFMNNGPGNVFTLIYRTTLGLPSYLIFNTYGRDYNGFANHFLPQSGIFNDRERGQVVLSDVGIAAGIPLFVMSVFFIFLTYLNHTHPAIAHYDSTEWDWLRGALSTIDRDFGILNRVFHNANHTHGIHHLFPTIPHYHAIEAREVVKPILGDYYMYDDTPILKAMWRDTKECIYVEPDEEKKGVYWYYK >KVH95119 pep supercontig:CcrdV1:scaffold_86:420530:422281:1 gene:Ccrd_002815 transcript:KVH95119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIHHSAHHHHLSLYQFLLFAGRRIRPLQQVHAQIILSGKTTSLPLLTKLLTSACAGDSILYVRQLLFSIANPDSFLFSSLIKTSTHHNFPLHSVIFYRHMLVCNAPWSNHAFTAVIKACANLSALGLGRIVHCHVLISGYGLDSFVQAALVSFYAKCNELGVARKVFDEMPHRTLVAWNSMISGYEQYGLAKEAIGLFSRMRNSLVEFDSVTLVSVLSACSQLGALSLGRWIHNYIDRSDFHVNTTIATSLINMYGRCGDVIKAREVFDSLTQQNVITWTAMISSYGMHGYGREALEVFQLMKLHGPSPNRVTFIAVLSACAHSGLVSEGRLAYASMTQDYGIVPIMEHHVSMVDMLGRAGLLNEAYQHIQDMNPIKPGAAVWTAMLGACKMHKNVDLGVVAAENLLAVEPQHPGHYVLLSNIYAMAGRMDRVEMVRNVMIQKGLKKQVGYATIEVDEKTILFSMGDKSHPETATIYGYLDELMERCREVGYIPVSESVMHELEEEERVYALRYHSEKLALAFGLLKTENGSIIRIVKNLRVCEDCHTAIKFISVVTKRKIVVRDKLRFHHFEGGSCSCLDYW >KVH95130 pep supercontig:CcrdV1:scaffold_86:46563:53192:-1 gene:Ccrd_002845 transcript:KVH95130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-SMC condensin II complex, subunit H2-like protein MNSNDKVASTSDAGGSKFHTVQPLRDLESNWEVDLANNLEEYLLKICSGEIIGGEDDGQLSVNFAEAALLLQGSIQVYSRKVEYLYSLVLHALKFISQQSQKHDQPENSSSQEEQNGSVAAPAESDDSFWCSDDIPVDAKNSLDSSHESDTLLNCFVKPPANLVVLEGDCLDASGDSGELDSYLLATNDLYRDFILLDASDAVAVNEYLGGSETVSQTKNDFKGGSSLASKSRKSFISPSKRSGHKLSAGKSNVPDQNQSACADQDFKTNDHNIQSEAPECNVNMDNECDMDDGFSQPGEFDDSDEDDDDPWKPLNPHEQGNLKIKPFKKVKAYKRDWPNPATKVSITEEFPLAKLHGPISAELYEIWEARRNVYGKDKEPQSPPYYEKLRQSLVLGDHGNTGPFNGNQEDEDGGYDFVADDFDMPKDAYMDEDHFNHEKHIDDTTKFDSKEPFEYEDPDAHVNLEDLCRSHLDSLLAATIAETEKQNAIAARVSNWKQRIEQNLDEQDARPPFDIHKYGRRTLDKLSREADIGNSIPFTDIVSGQEKHDIARTFSALLQLVNNGDVELDKGGCEGEFLCYTGEKPFHVRLVSNATRPEIQLRSSSKRPKSQMKRGKENQGAAVGSSVKVGKVIGIWCTPEGKKRRRSRVVDPDGLKSEC >KVH95090 pep supercontig:CcrdV1:scaffold_86:354389:356786:1 gene:Ccrd_002821 transcript:KVH95090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MSTTLNPCKHLSDYKLRYGVKGFNLSQKWVKSGPYGKTQMEVSKSEIPRCSFCSGYEGRLYICLICSSMSCSLSFESNHALLHTQTQIGHEIAVDLQRSELYCFACADQVYDPDFDRAVMCEQILGLGNGNLDGIRSNGKRKRLSFEVGFNSDFKNLKRVSGGGGEWDHGRRISKSCFPVGLRGLNNLGNTCFMNSVLQALLHAPPFRNHFLSGRHNRDSCRKTSAGRLCLSCDIDIIFSAVFSGDRAPYSPAQFLYSWWRLSENLACYEQQDAHEFFMSVLDRIHEKEGKTRNTNKDNGDCHCVAHRAFSGLLRSDVTCTTCGFTSTTYDPCVDISLDFNTTVDQFAANKAQKSTETGVSTLTSCLDLFTRPEKLGSDQKLYCQNCQERHESVKQMSIRRLPLVLCLHVKRFEHSLARKASRKIDRHLQFPFSLDMTPYVSSSIVRKRFGNRIFAFEGDESEISTNFEVFAVITHSGMLESGHYMTYLRLNEQWYKCDDAWITEVDDEVVRASQIYLVFYVQKPNSHKTGEDVGCHLRSSVSSDSFVSIAGCC >KVH95095 pep supercontig:CcrdV1:scaffold_86:504520:509077:1 gene:Ccrd_002808 transcript:KVH95095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MGLKEDFEEHAEKAKTLPESTTNENKLILYGLFKQATVGPVNTRTTVSKEIDYLVTNCFIS >KVH95124 pep supercontig:CcrdV1:scaffold_86:56716:66931:-1 gene:Ccrd_002843 transcript:KVH95124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase, N-terminal MARYTGELVGKVNSAHQFDNEALFRYCSIHVEGFPRSSSKFSVSQFGYGQSNPTYLIEMQSGTVVKRYVMRKKPSGILLQSAHAVEREFQVLHALGTHTLVPVPRVFCLCTDSSVIGTPFYVMEFLEGRIFLDPMLPGVAPNRRQALYHATAKALASLHSADVDAIGLGGYGRRNNYCKRQVERWARQYIDSTGEGKSERNPKMLKLIAWLRQNIPSEDSAGSSAGLVHGDFRIDNLVFHPIEDRVIGILDWELSTLGNQMCDVAYNCLLYIGDISQDKVKHNEGFEITDAPEGVPSLEEYLMDYCTAAGRPWPVAGWKFYVAFSLFRGASILAGVHSRYIMGNASGGKRAQDAGEKANDLIQIAWSYIQRETVLSQNPPSDIRGKDHVYGIANEKKDQGLEEGGRFIPNKKVKELREKLIKFIEERIYPMEPEFSKLAQSSMRWTVHPEEEKLKEIAKQEGLWNLFIPFDSAARAREILFNGRDDNVIGTGFPNQLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGNKEQLQEWLIPLLSGTIRSGFAMTEPQVASSDATNIECSIRREGDTYVINGKKWWTSGAMDPRCKLLILMGKTDLNVPIHKQQSMILIDINTPGVKILRPLTVFGFEDAPHGHAEISFENVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQLMVQRALQRRTFGKLIAQHGSFVSDVAKCRIELERTRLLVFEAADQLDKHGNKKARGALAMAKVATPNMALMVLDTAMQVHGAAGVSGDTVLSHLWATARTLRIADGPDEVHLGTIAKLELKRAKL >KVH95089 pep supercontig:CcrdV1:scaffold_86:356729:360315:-1 gene:Ccrd_002820 transcript:KVH95089 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MTAQASILRITYSDELLNGETIYVSSNCLPVKASRFEPAGHSFHDVALKLCGCFLEENIEDDNENLPKEKEHEYMQSSDSYSSSKGKKKSGDGANQQDHYALLGLGHLRYLATEEQIRKSYRETALKHHPDKQASLLLGEETEASKQAKKDEIENHFKAIQEAYEVLIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFLRNGRWSVTQPIPLLGDENSPLKDVDAFYDFWYGFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEEYARVRSLVDNAYKRDPRVLRRKEMLKAEKQKKKEAKFMAKKLQEEEAARIAEEERRKKEEAEKQAAEAASHQKKIKEKEKKLLRKERTRLRTLSTPVVSQRLHNLSQDDVENLCMSLDILKLKNLCDNLETREECGQAELLQEALSHHHDNSDHSVKDYVSRNSQRNGSVKVNGNVPKNSMEKKEKPWGKEEIELLRKGMVKHPKGTSKRWEVISDYIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLDKRKPAVSISSPLTTREEIEGVSSNPTPAEEKPIIQNASDEIVANGVENSVTSDQDVWSAVQETALIQALKTFPKEANQRWERVAAAVPGKTVNQCKKQFTQQPAIDTKESLETEDLR >KVH95111 pep supercontig:CcrdV1:scaffold_86:535011:537693:1 gene:Ccrd_002804 transcript:KVH95111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MMKVSQWLLQTLLCVLVFLKIEGTTEVGITIIEGAVANGAVCLDGSPPAYQFDAGSGEGLHNWLLHIQGGGWCNSIEDCHTRTYSLYGSSKKMKSSDYNFTGILSNKQDLNPSARVFNVIVDELLGKGMKNASNVLLSGSSAGGLASILHCDKFRAFFPTNTRVKCVSDAGYFAHVKDISGEYKFEGYYDQVVTLHESSKNLYPECTSKMKPSLCFYPQFAMPYVKTPVFILHSTYDTFQVQNIWATPQADPKGLFAKCKQDINVCSSDQIQRLKDFRSDFLNALLVVGNGSSRGWFVNNCFTHGQCEYQSKWLGNPSSRLNHKAIAEAVGDWFYDRSTIQMIDSQNVLPHYCNN >KVH95127 pep supercontig:CcrdV1:scaffold_86:44260:44915:-1 gene:Ccrd_002846 transcript:KVH95127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKSPIFPIHEPHHFSDYGFDPQIHYFQVLEEARNHKRESSSSWSSRSAIDNLHFKLQKPNSKDDTSKKIKKTRKRWWWKNALLFFKRKRTPSDDDDYPPSYNCVYGGAGVRALSGPVYLAESRSGSSTPYHRTSTSRPSSGPLAGTFNIPYISLTELNMDPTRKISASPMPIYLVT >KVH95105 pep supercontig:CcrdV1:scaffold_86:213774:215023:-1 gene:Ccrd_002833 transcript:KVH95105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGAGGQMKTEEDILKHVPVSKPPFGISDLKKAVPPHCFKRSLIRSFGSFFRDLIIIYTFYYLASTYIPLLPPTLSYVAWPLYWFAQGSILMGFWILGHECGHHAFSEYQWVDDAVGFFIHSVCLTPYFSFKYSHRSHHAHTNSIEYDEVYIPKRKADTFFSEFLNNGPGNVFTLILRTTLGLPLYLIFNTYGRDYNGFANHFLPQSGIFNDRERGQVVLSDVGIAAVLYALYQLLLTQGLKTTIFLQGIPLFVMSGFFIFLTYLNHTHPAIAHYDSTEWDWLRGALSTIDRDFGILNRVFHNANHTHGIHHLFPTIPHYHAIEAREAVKPILGEYYMYDDTPILKAMWRDTKECIYVEPDEEKKGVYWYYK >KVH95118 pep supercontig:CcrdV1:scaffold_86:439475:445916:-1 gene:Ccrd_002812 transcript:KVH95118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRDRKTEKGFCTKPLLKFSNSQFNFAEDKSPNHSERIFISRFRIMDVIKSQQLSARPIEKVVVHPLVLLSIVDNYYRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDDKDPSIWFLDHNYHEAMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFNDYVPNPVLVIIDVQPKELGIPTKAYYAIEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTVSTLATEVTGKLAALKGLDARLKEIRGYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVAELIKAFAVKTNDQMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPTTVAPVAGS >KVH95110 pep supercontig:CcrdV1:scaffold_86:162912:165300:-1 gene:Ccrd_002838 transcript:KVH95110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGGVMEWISDGSRFFRRIGSGFWAIVDITPDHLVEAAYPRFEPFSYSSTWHNVGERLNEKSQTSPPDTRLGSDTNGQNWTQPNLTRNLRLILTPTIGISTSRSRFPLHIHRSIDRPQSRMEISLISDTLTTIATKYGHGLGLSNFVTDRTHYDQQLQIYAIMVPIDAIAPPLVKPRTAPKKLARKRCRVKRSSKTDGDDAYVDEDGGGFFDGGDGPFGGGGGGAGGSGDNFDGLNWDESLPASPSDPAFDFVYEVLCWIVFSNCLHFAFKRVIRILADGVADPERRKVPLRFTPSWYRFLQEKYTCES >KVH95125 pep supercontig:CcrdV1:scaffold_86:135769:139219:1 gene:Ccrd_002840 transcript:KVH95125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C (PP2C)-like protein MPTGSFSKLNIPLGFGLWKGVRLQSKIQVQQRILFRQHSGFCKADISGHSSSKPGKALILKPDCEFANLRKDFQVAGAIKLHSCIFVSRFSSAQVFKYTRNLYFPKIMATSGSVAASGDLIVDNFVSSCGNVLNFARPTGHLVERNSRSFSTATVGMKNREPSKSHGVHGYFIFGFTHTASNINSFGGQLRRRCNTSSSTCYSYSGVPDGVVKESSHDEMISSLAIEADGKGMCDRTLKLLSGSSYLPHPDKEATGGEDAHFICVDEQVIGVADGVGGWADVGVNAGLYSRSLMSNSVTAIQAEPKEGIDPARVLAKAHSATKAQGSSTACIIALRDKVLHAINLGDSGFVVIRDGCTIFQSPIQQHDFNFTYQLANDSEGDQPSSGQVFNIPVLPGDVIVAGTDGLFDNLYNNEVTALVVQGVRSRLGPEAMAKNIAALARQKALDRKRQSPFSTAAQEAGFRYHGGKLDDITVVVSFVTGTIDE >KVH95129 pep supercontig:CcrdV1:scaffold_86:54081:55982:-1 gene:Ccrd_002844 transcript:KVH95129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, active site-containing protein MDDGKRKFTMIGMAIVFLTAAVIAAVIGLQKYYGSDDDSHESISSTNKAVDSLCQHTDYKRSCHKSLAHANNTDDPKELVKVAFNSAITEVQSAIDGSSTLKEVAEDPRASKALDLCKELLNTSIDDLKRSFKKLENFDLARMEEYVGDLKSWLTGSLTYQETCREGFKNTTDETGQKMKKLLKLGGRLTSNVLAMVNSIIETLGEVQFTGVSRRLLDKGLNDEHGWWVSSERRLLLAADPKTLRPNAVVAQDGSGTFKTIMDAVRAAPKKGTRPFVILIKQGIYKEHVDIPRRVNNVVLIGEGPTITRITGNKNYVDGVATYRTATVAVSGDGFMAKDIGFENTAGPQKHQAVALRVSSDLAILHNCAMEGYQDTLYAHSYRQFYRQCKITGTIDFIFGNGAAVFQDCKMIVRKPLANQACMVTAQGRKDHNSKGALILQGCNITADKEYMATKPMPSSYLGRPWKAYSQTIIMQSFIDRNIAPEGWAPWVGTFGLDTCYYSEFNNRGPGADTRRRVTWKGIKMMSLKEADSYTPAKYIQGDIWIKGTGVPYDPGMMSL >KVH95086 pep supercontig:CcrdV1:scaffold_86:332930:335408:1 gene:Ccrd_002825 transcript:KVH95086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEGDHHHHAVDGLVNLFTKANHDLTVVNNRLDKEFRQIYPDNANPMKLVSRIKKIQDELPSLKEQCQELLSAKQDLIDKARTTIVGNRASLRRLQTSMGIPIISDSDDPAYTNFNEVIDEWTVQVRSRTEDEIDEGSEDINRMLFSAIVQGN >KVH95096 pep supercontig:CcrdV1:scaffold_86:486292:487918:-1 gene:Ccrd_002809 transcript:KVH95096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKMSKICLLHLYSIAILLFSTSIFGSEISFCDRTPFRKLCNMIVGPKFESRESGIKESINQAREALGHFLVMARTMFDSQARGWTDCLELYEDARRHLNLSTSHTNPTRFQKWLTEALVKHKACQNGFNDSNLSSHLKHLVLVLQNFSKTLVGLLTQNKPSADKILTADEHRLIQIADVGAPKANLVVAQDGSGAFKTVNEAVQAAKQQRTGTDRFVIYVKAGVYNENVNIDSTMPNLTLIGDGIDVTVITNDKNTDEGYSTFDTATFQVWGAGFLAIGITFENVAGPGKQQAIALLSASEQSVFYKCSFKGYQDTLCLLRNRQFYRECDIYGTIDFIFGDAAAVLQKCNIYVQNPAHGQQNTITAQGRTDPNAPTGFVIHNSRVTGTADLIGTNGSVSTFLGRPWKDYARVVFAMCFLDGLIDPRGWMPFPGSSAFDRLYFAEYKNNGKGADASGRVKWPGYHVLTSDEEAEQYSVGKFLDGSSWIPTTGVPFNSRLF >KVH95112 pep supercontig:CcrdV1:scaffold_86:539112:552742:1 gene:Ccrd_002803 transcript:KVH95112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase-like domain-containing protein RENESCCVSCLVATAQRRPPGSQRVTTSSLSPPPNRLAPPFPSPNSLQSASALSAESPAYIYRCRNPNLLPLMRDNAVACLKRQVFAVIARRAFHNAHSKRTVPCRRQAVSSLFSTRLSSVHGEKPSAEYAKLRKESLESEFGNALTSRSKRLSMYFSFGPFLALYRAAYISYEVFKLTLGHFFVHDIKKRSEKFCDTLIRLGPFYIKLGQALSTRPDILPSVYCNELAKLQLLTSTVNQDQIPPFPTKVAIRSIESQLGVPISQIFSDISPEPVAAASLGQVYKGTCLKKLITFLRDKMLSDLLLSMVSAPFCNGVLYRNFWRLTRVMMITIAAQQEKTYPKENCVKVPKIYWNFTRRAVLTMEWIDGIKLNDEAALKKASLNRKELIDRGLYCSLMQLLEVGFFHADPHPGNLVATKEGALAYFDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLMLGFIPEGVDMLAVSDALKASFGDGTRQSQDFQFNFSLPPDYALVIRALGSLEGTAKTLDPEFKVVESAYPFVIGRLLADPSPDMRKILRELLIRNDGSIRWNRLERLVDGEVDDTHKSSSNPLEWKSFDMHAVVSATEDLFKFILSEKGFRVRLFIVRDIVKVADIFLQDEVTSSMFDENQPRQTVESEGEATLKRVVNGFGYFWQAVKLAPDVWAAMLIRMALNPEVHRFYYDIISALFMHSSRRVPDTFWISVSRLLHKLVKLRNSSY >KVH95084 pep supercontig:CcrdV1:scaffold_86:516033:530231:-1 gene:Ccrd_002805 transcript:KVH95084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNNSDSKGKSYLLKRDEEAKRMSTGSSGTKSREERTGESSGELEETPARAVGSWSRPARAMGKATRSGEVEETGESNGEKNDINIIKDAATAFSGSTKHGTQTSLLSQAEAAAISLKSCKKLGQEMGGTSQLTNKEAHQKKRMQERKVQAYLFIDLINTDSGLLIFEPRRAPPLLVLSEAEAAENRLSSSDISISGALQNFLAGDTHKLPCIALVTLTQCKGFKDAFLGGIEEPTPTLLLPLLREPTGRPRPLEEVGCCNPLEPLSPGGGGGGGPAPPLRPGGGGGGGPGAPVRPGGGGGGGTPAPLRPGGGGGGGAPAPMRPGGGGGGGAPAPLRTGGGGGGGTPAPLRTGGGGGGGAPVPLRPGVGGGGGGGGGGGGGGGGPRVALALVVTPLVKGGGGGRAPLEEMGGGGGSGGGGGGGLLEKLEVEPSGGRGGGGDGILEEIGGKVGGGGGEGELFPTEPLTNAGGGGGGGGGGGGGGGGGGGGGGGGNDARVAELSCIAGSYVLDIEGDDDRGGGGGGGGGGGGGGGGGGGIVLDRIDSSKTFTTEAVVQGRSLLLSDSRKSRLSATLEALFSSSESKGDEYVLNLLRSIDNSFASFKTDSCLNSHKAVSSPPSAHSIPLKSSDAVMGMGLKVTIVETNLLEPPGRTDYWGFNKQFYKTNLVVPLQLIKRQKRMGDAAAVFVGWRRWLFRWKIELGSELEMELFAPFLCGASDALI >KVH95115 pep supercontig:CcrdV1:scaffold_86:451857:462698:-1 gene:Ccrd_002811 transcript:KVH95115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MVSTTAILTTPSKPPSQEASPGDPQTAVPSNSPPKTTVDFTTKVTVPDGVALKPSTLELSAKSSAAGTDKVNVDGDEKLKNTATAMGQHNDVEYVGINEAPSPTAVSNNKMKRVSIIPLIFLIFYEVSGGPFGVEDSVQAAGPLLALIGFLVFPFIWSVPEALITAEMGTMFPEDGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALADGYPRVLAVLALTIALTYMNFRGLTIVGWVAVLLGVFSLVPFVIMGLISIPRIEPARWLVVDLHVVDWNLYLNTLFWNLNYWDSISTLAGEVDNPKKTLPKALLYALILVVFGYFFPLLMGTGAVPLHRDLWTDGYFSDVAKIVGGVWLRWWIQAGAAMSNMGMFVTEMSSDSFQLLGMAERGMLPEFFAKRSRYGTPSIGILFSASGVILLSWLSFQEIVAAENFLYCFGMILEFIAFVRLRMKYPAASRPYKIPVGTVGSVLLCVPPTILICVVLALSSLKVMIVSVIAIVIGLVLHPFLKHVEKKRWIKFSTSADLPDLYNAHEHNESLVY >KVH95109 pep supercontig:CcrdV1:scaffold_86:148591:150170:1 gene:Ccrd_002839 transcript:KVH95109 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MCGGALISDADPVFNRDRKLTADDLWSEFDASDLYGWDFKPQTLCYATEVATKNETISDRKKFITKEPRDERTRKPSENKAKPRKNKYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNTAEEAARAYDDAAKRIRGDKAKLNFPMPPAKKLCVEPPTESTQLAMHGPPSPPALLDYNQFQNQVYHPSSVADEYEFKEQISNLETFLGLDHELTQFGGLSDESAHLWMMDDFI >KVH95097 pep supercontig:CcrdV1:scaffold_86:517749:528598:1 gene:Ccrd_002806 transcript:KVH95097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MSLLSRFFYRRPPDGLLELVDRIYVFDSCFSTEVLPEGLYQLYLHEISNELHEEFPESSFLAFNFREGERRSQFSEILCEYDITVMDYPKQYEGCPLLPLSLVHHFLRLCESWLTLGNHQNVILLHCERGGWPLLAFLLASILVYKKLHSGERKTLEIVYREAPKGLSQLLSPLNPYPSQHRYLQYISRRNLSEEWPPPERALSLDCLILRDIPNFDNKNGCRPIIRIYGRNLLSKDGLLTQMLYSTPRRGRSLRHYRQKHSDVIKIDIQCLVEGDVVLECLHLDIDSEREVMMFRIMFNTAFIRSNILMLNCDHLDTLWDSKARFPKGFRAEVKLAFKIYLLYVHNRLTSSLYTTVLFGDVESISAPIAPTTMLNGEEKGGLPIEAFNKVQELFNGIEWADGGDDTALWLFKQLSVLNDAKELSMLRSKLSTYSSPFDSEDENNASSVADSLDFLESERSSDLPCTTASVVNVFDESSYQNSPLDETSDFKSLEDPLHHKTSDTVDSSVSSSGSGDLSNANDTSASPNQAPIESPRSPVNEISIQPSKSVSTCQQPPPVPPATDSCRDSPQGPTTPPPFSIDSGEGSPPSHPLPILSSTIPPPPPPPPPPPPPPPPPPPLSSSPSISRTYDPAIHESSATRASLPPPPPPPPPPPPPPPPPPPPPPPPAFVSGSVGKSSPSPPPPPTLPPISSKIPSPPPPLPPLGSTSSFSKSPPPPPPPLPSLVSYKGPPPPPPPPISSKGALPPPPPFTSGVTTKASATRGPPPPPPPPPGPPRQGTTSSVTKPSGAPPPPPPPPTPGRNGTGAPPPPPPPVRSGAGVPPPPPPPVRNGAGAPPPPPPPGRIGAGAPPPPPPPGRNGAGVPPPPPPPGRTGAPGPPPPPPPGRNGGAGPPPPPPPGERGSNGLQQPTSSSGRGRPVGSLSSGRSRVGVGSSIPPKKASLKPLHWVKVTRAMQGSLWADTQKHEDQSRAPEIDISELESLFSAASASDSTSKGGARRGSKINKPEKVQLVSGFTSTFNSLCVACLSFSIFKVDLRRAYNCEIMLTKIKIPLPDMINAILALDAAALDIDQVENLIKFCPTKEEMETLKNYTGNKEMLGKCELVPRVESKLRVFAFTITFTSQLFMPPVSKNFQVKDLRLNLSAINDATIEVKESAKLRQIMQTILTLGNALNQGTARAFGICGSPWLFLTKTRMICATGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPQLLDFDKDLVHLEAASKIQLKNLAEEMQAVSKGLEKVEQELTASENDGAISAGFQRALKSFLDTAEAEVRTLISLYTEVVSPSIIPSFLHNMVISTHFNKYAFQGRNADSLSQYFGEDPVRCPFEQVTQILAVFAKMFKKARDENEQQADAEKKRLEKEAHAAAKKEDVDSKKDLKNMIQNTSLAMKRREVNNRNHDDKKE >KVH95099 pep supercontig:CcrdV1:scaffold_86:553779:557618:1 gene:Ccrd_002802 transcript:KVH95099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MMQTSQEIANAVDGDQRNASEIDTSVVESANEVTNSGKTTRRSDLSLNIPPRHAHFGSSRNGKPFIQSPGILSNGNSSSRGIFRGLSFKKKDPSNGESSSLLPNAAPPESPVVANNINPALHWNRCTSLPVKHASNQSPSVTTPVSARTYSEQQKSQMGAVQPSVSRSLSVPGRNIVIVRSISFATRKANDQTDSADDDISIEVENDEEIDEEEAVCRICFDTCDEGNTLKMECSCKGALRLVHEECAIKWFSVKGNKNCDVCGREVSNLPVTLLRIPSYVQRQNITSQNQQGLNSGTISAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAIVIAAPFSFTFGLLSSTFAVILAIKEYIWSYAALEFALVAMILHLFYSWLQLKAVYAVMLSSILGFGLAMTLNALYIRYFVWRAQVPRESNDV >KVH95123 pep supercontig:CcrdV1:scaffold_86:91277:92055:-1 gene:Ccrd_002842 transcript:KVH95123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MSSQTSRVLKREMRKQSNRESARRSRLRKQAECEELQARVAGLRNENLLLREELQRLSVECDKVANENMKMKDELCKSLGPEELLELDAFLESRFDEGNS >KVH95108 pep supercontig:CcrdV1:scaffold_86:245436:247233:1 gene:Ccrd_002830 transcript:KVH95108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MVQHSCFNLRTVSVAQPQNLISKVQTMGAGGRMNVAAGSDKPHAFKRVPVSKPPFELSDLKKAVPPHCFKRSLVRSFAALFRDIIIVSVLYYLAATIIPVLPKPLTYVAWPLYWFFQGAYLMGLWVIGHECGHHGFSEYQWLDDTVGFIVHSLILTPYFGFKYSHRTHHANTNSIEYDEVWIPKRKSDKLYSEILNNPLGSFVVFVFKIVLGFPLYFVFNLYGRKYEKGITSHFYPYSPIFNDSERFQIFLTDLGVFGTLYGVYRLALIKGTEWVINFYGMPILFMSGFFILLTYLHHTHPSIPHYDSTEWDWLRGALATVDRNFGFLNHAFHDVTRTHAVHHLFPTIPHYHTFEARLAVMPILGDYYKYDDTPILEAVWRETKDCIFIEPEEVNGEKKGIYWFYK >KVH95091 pep supercontig:CcrdV1:scaffold_86:348962:351546:1 gene:Ccrd_002822 transcript:KVH95091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKRKSDGKPSQNLQTTRVTRSSTRQGTTKVVLTETSPKTKKAKLSSKDKVESKPKTTEEPVFEGVDGSKTIVIEHCKQCNQFKIRAVKVKLGLENAVSGITVLINPEKPRRGCFEVREEGGKKFISLLDMKRPFAPMKALDMDGVISDIVEQIK >KVH95092 pep supercontig:CcrdV1:scaffold_86:342018:346353:-1 gene:Ccrd_002823 transcript:KVH95092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase MYVLLFFLEGVARGAASGKTTVCDMIIEQLHDQRVVLVNQDSFYHNLTAEELTRVHEYNFDHPGKFYAFDNDKLLSAMEMLKHGEAVDIPKYNFRSYKNNVSRRGTQTRFNTSCYFCDCRRGLPIWYWTVCGPGLPVAIAK >KVH95122 pep supercontig:CcrdV1:scaffold_86:416769:419285:-1 gene:Ccrd_002816 transcript:KVH95122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLFSASSLSSSSSCSKVILPHLLKFISSFNSFAFSSYSTSSLDQIITSLQQKDHNHLHLLNHSFISNLKPHQVEPILFGLRAKPALAIRFFEWSERNLGFHNLKLESFCGLIHLLLEKRMFDDARKVFDKMTERFGDFDCFDSFHVGLGNYHSNASTVYSFLIDNYCRIGMIDQVVGVFFRMSRTNISISPYALMRMLSCLVDLKRIDVMINVYHEIGNDPKENKDLCSNIYGYVMGGFFKKGEASFGFEFHRAVIERGLAPNVVTCNKIMKGLCNDKCSDIAHGFLSLMIEVGPIPTVVTFSTLIKAYCKERKLEEAFQLYDLMLVIGIAPDLVIYSILVHGLFQAGKLEEGHQVIMVALDKGIILDVVVLSSMVDAYVKQGNLEKGFEVYRKMLKGGIKPSIVTYGILINGFCQKGRVHEAIGIFGQVLKQGLKPSVLVYSSLIDGICKSGSLRYGFRLYDEMVTYGHTPDAAVYSVLINGLTEQGKMDDAIRFFYQSLNSDVRPSIVAYNTLIDGLCKLKLMKDAVKLYIQMGTYGLEPDIVTYTILIKAIIETRRLPAALILFFRALKKGFFPDCVTYCTLIDGFCKEKNVTIGLWFLELMLENGVQPDIDIHNVLINAFLRNGQLEKALELFRHVQKYGPEPDIVTYNTMISGYCSLKKLNEAVQLYKEAHLQQKQPNAITHTILIDAYCKEGKVEDAMAIFSLMLEKGLEPSVVTYSSLIDGHFKTWKMKSGLELHEKMVRNELGPNIVSYSILMDGLCKRGLMEEASMVFWDVLGRNLLPDVITYGIMIHGYCKVGRLGDAMVLYGRMVKDGIIPDKFLRTILAEYGVAED >KVH95094 pep supercontig:CcrdV1:scaffold_86:251880:253174:1 gene:Ccrd_002829 transcript:KVH95094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MQKPRVTEIQVRIDCNGCVQKIKKALHGINGIYDIYIDIPQQKLTIIGWADPEKIVKAIKKTRKAATICSDTEKAPPTEPPSEGGAPPPDPSNPPPEETVPPEELVEPPKEPEKPLEEATSEPPQAPVTATEPPTPAQEPPSTDSPPRQQPPQPSGSKEPEDVHVVHHYPSDHSYRYGHDRVFYNASVEHYSSTTPSPRYKHHEPQQPIHVNHSYNTYKPTPYVTEHKYIRPPPQYTHYSRPEPPQEYTHYSKPEPPSHPRHYSRHAPPQEYTHYSKPEPPPHPTHYSRNEPSQQYTHYNRPEPPPQHTRYTRPEPSQQYTHYNRPEPPPLYTHYSRPEPPPQYTQYNRPEPPQPYTNYGRPEPPPHYTHYSGEYHHSSSSSSGNGNITSIFSDENPNACTIV >KVH95121 pep supercontig:CcrdV1:scaffold_86:409601:416167:1 gene:Ccrd_002817 transcript:KVH95121 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component MKMTKRKCRVWWPAHLSSATQPQSSTFLFGWFVSSSSSSSASVPLEIIVAFSIDEAAYSSIGSDLELMLKQFLPSFLDQGILQKINKKMPACLQNRFGLSMLGYCAADSTSNGDLHLHSEAAEQNSQVSCLPGNLGQHTEQNISKDRREHWTCGCHKVNGLQEQFRRCTESNPVQLVYGSYICSSRKLGWIPKLHHMHWDGQVVSHLDRHIVIYGTPRFGGHHFSLGSQHIEQPRTFSRKPKWVEDLVQKKSVLDLDAVILAINCASAATSFFEEQVLPDRPALWFHDVCMFVTSIWQLLAVFVASVSTFIYIILQLLHFFFSYGSESCIYAKLETLFIHTWRNIRVRCCQILYWPIFLHNNDSRSKSCVEYAEKASLCKHSMWLSVVIDVILGNLLGLALLIHADSACLWISAIASDVTNNWWLISCARLMGNPAGFKLNTELAGLLGTLSLNAIQIWSTLWGSLHILFILFIKGLAISGVLFGLTIPAALTVDVITISTTHISTLHWSISLLYSRQIQATTALWRLFRGQKWNPLRQRLDSYDYTVEQHVVGSLLFTPLLLLLPTTSAFYMSFTIMKMTIGFICMLIEFAISVIHATPYTKIFLWLLRPSRFPCGIWFEIFSIESHAIEVVRERNSFTGSQSDVLVSSLHSYSYNIGELMQPHFRYLHSAVSRSSITSSVYGVFSGSLASPLYNLPFAPGAGLPPKMPWMSIPFKDYWILCHDAVFA >KVH95120 pep supercontig:CcrdV1:scaffold_86:422578:426421:-1 gene:Ccrd_002814 transcript:KVH95120 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MELLSFTTPSSFYPSSNLRHFLPTKPTQSFSLRRIPPPQQSIKCSIHSSPQTLGFDFKQNLGFSASSPQTPGTAMRGAESDAMGLLLRERIVFLGTQIDDFVADAIISQLLLLDAQDPTRDIRLFINSTGGSLSSSMAIYDVLKLVRADVSTIALGISASTASIILGGGTKGKRLAMPNTRIMIHQPLGGASGQAIDVEIQAREMMHNKDNVTKIIAESTGRSYEQVQKDIDRDRYMSPIEAVEYGIIDGVIDEDSIIPLEPVPDRVKPTLSYDAISKDPAKFLNPEIPDDEIY >KVH95116 pep supercontig:CcrdV1:scaffold_86:470115:476090:1 gene:Ccrd_002810 transcript:KVH95116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding-like domain-containing protein MSTINIMSKSRVSKAILSRLTPTYSFSRSFSASQPWQRVLPSQTGELSMNHIGSSLPRNWNRLFSSIAPSFATGDRIVRELLAQVEREKQREREQRKKAGLGTADIDAEDDEDYMGVGPLIEKLEKENLKHVDPRILNMREEPTDSESEEDDHRFTYEAIQQRQEILQKKLNRYEELLKNFNESDNIDEFFKWMNKVDKFEEKHLNPRSEYRVIGDLMNRLKEATGKDKFLLQHKLNRAIRLVKWKEAYDPNNPANYGIIQNMQSQHDPSEDSDAEKERQLIKGALDEDDEEEFDDMKEHDDILLEKINVIDRKLEEKLALLDHTFGRKGKVLEEEIRDLAEERNSLTEKKRRPLYRKGFDVKLIDVNRTCKVTKGGQLIKYTVMLACGNYHGVIGFAKAKGPAVPIACQKAQEKCFQNLHYVERHEEHTIAHAIQTSYKKTKVYLWPGPTQGGMKAGRTVQTILNLAGLKNIETPKDVQEKFGRIVVESYLL >KVH95093 pep supercontig:CcrdV1:scaffold_86:270625:277602:1 gene:Ccrd_002828 transcript:KVH95093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MVTTDVELQLAQQLREAGKLLSDPPASDDDLLHILDQTEKLLSKVDQSPNAIMLAALHPSMKALVEGSLLRHSNVDVKIAVAACLSEITRVTAPDAPYSDEEMKDVFRLIVSSLENLSDTSSRSYEKKSSILETLYKVRSCVILLDLECNVLIVEMFEHFLKSVRDHHLGSIFTWMMNIMVLVLEESEDISIYMLKPLLASIKNNTEGVLPVARKLGEAVLQKSADKLKPYLIETLRILGDSLDNYSQVLASICEGTTDVVEHNDENASVQRLADESKLTTASSDNAAQAVRGNAEGTFLGEADATSNRSLKSVTGNGIMITGNEEGTADKESSKKLEEAINHPDSNLTSKVDTDASVTEKLAMVGSNSAQTSNRRGKKSDTILKAAKPSDSSHGHGNEAEKLPNNQSSSKDIRSSPCRESSLGAVIPSEIEKKTDSPVSVPKPIESYVANAPSQSSSLPDESHVKKDGLSKKQVLPQQVKVSLPYKRRKKGGRSKKKSLCRDDASSMDIVSMEPVLAKAPEGTSDSDMKSPKRGRKKAPVTKEDKHPPKVATLEDAKATSGPDIELLETSSKKVDTVDSESTPVKRTVRRRGKKVGAGDSVAKKNKLSGKKIDDGDSEAKKPKEAGKSTDAADPEGEPSRPSLIKEEGCDSDSKPPRQSAKDSRKRKIITKPSGNTANKEEGSDSDDKLLKLSAKKGNTSGPALVKSSSKNKSGKKRGHGKCVEEKEQEKSLSEDDSALKTATGEGNLEEALTTTVKRKRSSGKKVIETIKYDDSLVGLKVKVWWPEDKTFYDGVIESYDSKTYKHKVLYEDNEEEILNLKKEKWEIVQGISAHTEEKAAEVQSTDDLAETPKKKKSRIDSGPSASEEKLKVSAKRAGGDSLSSKSRGRGRPAKSAGSSKGEGKVDSKAKDTSIKSKPQKSSVKSVQAADSKEAGISEEDDVETPEIINKNKQGNRKMVNKCMVKTPQTGKKVDANDSSKPKSMEKEDEDAEGTPETAKEKPAEILKTATKSQRKRKKRT >KVH95085 pep supercontig:CcrdV1:scaffold_86:337590:338126:-1 gene:Ccrd_002824 transcript:KVH95085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSTPAAAAFIRRLCKITANCSLLKTLPTTTCAICMDDFNCQDLLLRLPNCHHIFHRKCILPWFSRNNTCPLCRRVFPPEKPKIYSIPLRSHILRIQHRSPFSQQSTNTVLHLNFHLRSDQSSSSTNSDPPQPLPSLRPLEIMLLAEGLPELASRASGPFWISADLTSNVPPAGDHQRD >KVH95114 pep supercontig:CcrdV1:scaffold_86:381651:383983:-1 gene:Ccrd_002818 transcript:KVH95114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYSSSAYRCSCIEMPYLSANTSCSIVIEILKLVWRELSATGLLSGEKSAASEAVPRIINQQLKGCFVAKDQQLWGCYGPAGYALFVAVYAGLEVLAITTIPFTMSAGILFGPLTGTILVSISGTSISPEQAKRFTKFREKKGLIERDAV >KVH95106 pep supercontig:CcrdV1:scaffold_86:223445:225155:1 gene:Ccrd_002832 transcript:KVH95106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MTVGTMGAGGRMNVAAASDKPHAFKRVPVSKPPFELSDLKKAVPPHCFKRSLVRSFAALFRDVIIVTVLYYLAATIIPVLPKPLTYVAWPLYWFFQGAYLMGLWVIGHECGHHGFSEYQWLDDTVGFIVHSLILTPYFGFKYSHRTHHANTNSIEYDEVWIPKRKSDKLYSEILNNPLGSFVVFVFKIVLGFPLYFVFNLYGRKYEKGITSHFYPYSPIFNDSERFQIFLTDLGVFGTLYGVYRLALIKGTEWVINFYGMPILFMSGFFILLTYLHHTHPSIPHYDSTEWDWLRGALATVDRNFGFLNHAFHDVTRTHAVHHLFPTIPHYHTFEARLAVMPILGDYYKYDDTPILEAVWRETKDCIFIEPEEVNGEKKGIYWFYK >KVH95113 pep supercontig:CcrdV1:scaffold_86:364475:367276:1 gene:Ccrd_002819 transcript:KVH95113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MVGEGKIQETHSREEQQVEGDENGSPKRGILMGCCNTIRAPIDWFRMLSKETHWSLVGGVVAVYGINQGFGGALARVGTEYYMKDVQKVQPSEAQAYKGITNIPWIIKPIWGLLTDVVPIFGYRRRPYFVLAGILGILSMFFLSFHEKLHIVLALVCLTVGSGGVAIADVTVDACVAQQSGIHPSLAPDMQSLCALSSSIGALIGFSLSGVFVHLIGPKGVYGLLSIPFALVLLVGLVLKEPHSPSFAYRQINEKFLDAASAMWTTLKCQDVWRPCLYMYLSFALSLNIYEGLFYWETDSKAGPSFSQETIGFILSIGSVGSLLGAILYQYGLKTHPFRALLFWTQLFFGLSGMLDLVFILRLNLKLGLSDYFFAVIDESVFQLVGRLKWMPLLVLSSQLCPPGIEGTFFALLMSIDNFGLMSSTWLGGLLLHVLNVTRTQFDNLWLAILIRNVLRIVPLAFLFLVPKSSPESSGFSRMEVLSILEDGESHIEVSGILEDSELSKSEEVELTPLVSNIG >KVH95103 pep supercontig:CcrdV1:scaffold_86:186832:192268:-1 gene:Ccrd_002835 transcript:KVH95103 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MTMIRRIATARLTKSALIAAVDGSTSASRRQSQSPFRLSSLARACDVISPSSSSDAIRSGISANDRPYFLRGFIGHNRISSSLPRLYSTISNPSQFNNKDYTEMAWEGIVGAVEAAQGRRQIVESEHLMKSLLEQKDGLARRILTKAGLDNTSVLQATDDFIAQQPKVSDTSRPVLGSHLSSLLDNARKYKKEMGDDFVSVEHFILAFPSDKRFGKQLFTNLQLSEQTLKDAVQAVRGSQKVTDQNPEGKYGALEKYGSDLTELAKRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLKNRKLISLDMGSLLAGAKYRGDFEERLKAVLKEVTTSNGQIVLFIDEIHTVVGAGASGGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCDQPSVEDTISILRGLRERYELHHGVKISDGALVSAAVLADRYITGRFLPDKAIDLVDESAAKLKMEITSKPTNLDEIDRAVLKLEMEKLSFRNGTDKASKERVNQEMEAAERDYDLNRAAELKYGTLINLNRQLEEAERNLSDYQQSGKSMLREEVTDIDIAEIVSKWTGIPLSNLQQSEREKLVSLEHVLHKRVVGQDMAVKSVADAIRRSRAGLSDPNKPIASFMFMGPTGVGKTELAKALASYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTETVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNSVVIMTSNIGSHYILETLQATHDSKDAVYDLMKRQVVELARQTFRPEFMNRIDEYIVFQPLDATQIREIVEIQLNRVKARLKQKKIDLCYTKEATDILGKLGFDPNFGARPVKRVIQQMVENEISMGILRGDFNEDDTLIVDRCPSAKDAVHIRKAGSQSSIEGMVADA >KVH95088 pep supercontig:CcrdV1:scaffold_86:304195:320113:-1 gene:Ccrd_002827 transcript:KVH95088 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MATQSPANVETKKKKKKKGKVKWSNLYTFSCLRPPPPVNRSDFGQPGYSRVVFCNDSGMHKMKPYKYPTNDVSTTKYNVVTFLPKSLFEQFRRVANLYFLLVAVLSVTSLAPFSPLSLIAPLVFVVGISMLKEGVEDWHRFLQDLEVNSRKVKVHIGNGMFVDRSWKVLRVGDVVKVSKNEYFPCDLLLLSSSYEDGVCYVETMNLDGETNLKIKRCLECTLGFDEELKFDKFKATIRCEDPNSSLYTFVANLEFGDEDQLDCSYPLSPSQLLLRDSKLQNTDYVYGVVIFTGRDTKVVRNSMKSPSKRSRVERKMDHVIYVLFFMLLLISVITSIGSARYTDQPQTAKEWWYLQLLDDTDGSFDPDKPLISGFLQFLRALILYGYLIPISLYVSIEVVKFLQAMLINNDLQLFDEMSGKSVESRTSNLNEELGQVEMILSDKTGTLTCNQMEFRKCSIEGITYGGDVNEIVRAASQRMNIDIESYRFNLDGADSTPRDSIEMVEISSDQNKIDLNTEKGIQNLGVTAIKGFNFIDDRLMNKRWLHGSNVWDMIMFFRVMALCHTGIPVENCEGTTSHKLKYEAESPEEVTFLIAAQEFGFQFCRRTQSSMFVKEIDPSGLERMSVIVRDEVGQIFLVCKGADNIIFDRLGDGGRTYQHATTIHLANYAEDGLRTMVFAYRKIEDSEYEKWSSTFTKAKATIGHEREELLENVSETIEKDLVLLDKLAQAGLRIWLLTGDKEETAVNIGFACSLLRHDMKQFHLSLSRDAESKNQLKAMKDDILNQIEASYQVTANEKTKDDPFALVVDGKALEIALTNDIRDRFLQLAVNCASVICCRVSPKQKALAVMASDFSMPQFRFLERLLIVHGHWCYKRISKMILYFVYKNIVFGLTLFYYELYSKFSGDVLYDGCWKRIIGWMVNGILTSLAIFILNVYILSFSAFRQGGEVVDIAHLGVTTYTAVVWTVNCQIALIITHFTWIQHFFIWGSILCWYIFLLFYGALPPKYSNREFRLLVEAVGPAPVYWIVVLLVVVVSLLPYFIYMVIQRLFYPMDDHVIQEMKYGRKIVADDETWLREKQSSRKATHIGFSARAVMASDFSMPQFRFLERLLIVHGHWCYKRISKMILYFVYTNIVFGLTLFYYELYSKFSGDVLYDGCWKRIIGWMGNGILTSLAIFILNVYILSFSVFRQGGEVVDIAHLGVTTYTAVVWTVNCQIALIITHFTWIQHFFIWESILCWYIFLLFYGALPPKYSNREFRLLVEAVGPAPVYWIVVLLVVVISLLSYFIYMVIQRSFYPMDDHVIQEMKYRGKTVVDDEMWLREKQSSRKATHIGFSARVDAKILHLKDQLHKKRMFVIKSFTNSPIRRSISSSS >KVH95102 pep supercontig:CcrdV1:scaffold_86:184531:186125:-1 gene:Ccrd_002836 transcript:KVH95102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAEFQQNHRRSLVLDHPTPTTVDGDAHFKLWSSCRRKIIDAMRCTGGISRYRKTVKSPVAKNHKLEEMKTSTAECRSDTNKNNGNHEVSEKLPELMKMPELSSLVDVRLKEVVKRLQCGGDGDALGGAREVRELAKDDSEARTNLALLGAIPPLVAMLDSDHLDSQISALYALLNLGIGNDLNKSAITESGAVHKMLDLVESPNEGLPNPDLSAAIVANFLGLSALDSNKPIIGSSGAISFLIKTLKTNTNSQVIQDSLRALYNLSILPSNVLPMIEINDFLSFLLTMMGGTESSDRILSILSNVVSTPEGQTAVGTVTDAFPILIDVLSWMDSPNCQEKATYILMVMAHKSYGHRQALVEAGIMSSLLELTLFGSTLAQKRSSRILEILRINKGKEASKTYGGGVSAPLYGSVDAESTDPSNCSSKSSAVRHLVELSLQNNMRRIVKRANLPQDFVPSEHLKKSVASFSTSKSLPF >KVH95101 pep supercontig:CcrdV1:scaffold_86:165430:180770:1 gene:Ccrd_002837 transcript:KVH95101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D, eukaryota MANEQLMPEGGSGSRYLQMQSEPSRMSSFFSLQNPPEASRIFDELPKATIVQVSRHDAGDISPALLSYTIDFQYKQFKWQLVKKASHVFYLHFALKKRAFIEEIHEKQEQVKEWLQNLGIMDHHSVVHDDLDEPPDDDVSPVNNDESVKNRDVPSRAALPIIRPALGRQHSMSDRAKTAMQGYLNHFLGNLDIANSREVWFEFLLHSFLDLYSKNIAHSCVCRFLEASKLSFCPEYGSKHKEEYVWVKHLPNFPNNDDRRLCSACQWLNCCNDTWQQVWAVLKPGFLALLKDPFDKEPLDIIVFDVLPSQDGNGEGRMSLANEINERNPLRHSFEASVSSGNRRITLRCRTKAKAITWVVAINDAGLRPPEGWCHPHRFGSFAPPRGLTDDDSQAQWFVDGRAAFDAIALAMEEAKSEIFMCGWWLCPELYLRRPFHSNATTRLDALLEAKAKQGVQIYILMYKELALALKINSFYSKKKLAAIHENVKVLRYPDHFSSGVYLWSHHEKLVIVDNEVCFIGGLDLCFGRYDTYEHKVGDRPPMIWPGKDYYNPRESEPNSWEDTLKDELNREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKVSAFSSKRNKAPNEQTIPLLMPQQHMVIPHYMGTSREQEDGNTEVLDVTKAINRQDSYCSLSSFQDIPLLIPQEADGLDASSGHTSQQNGLGKAHNVHGQQSRMPRTRFYFRKSKSEPLGSDMPMRGFVDEQDTSTFKQARSAGTVVHPAIKSSDREWWETQERGNLVVSADETGQVGPRAICRCQIIRSVSLWSAGTSQVEDSIHNAYCSLIEKAEHFVYIENQFFISGLSGDEIIRNRVLDSVYRRIMRAHQEKQCFRVIVVIPLLPGFQVLRLGRLSEDILIAFRLLFLLTQVLQGGLDDGGAASVRAIMHWQYRTISRGHNSILHNLSQHIGPKVHDYISFYGLRAYGRLSEDGLVASSPVYVHSKIMIVDDNTALVGSANINDRSLLGLRDSEIGVLIEDKEVVESYMGGNPWRAGKFALSLRLSLWSEHLGLHSSEMSKIADPIPLITTRILKINHRASLRQCMSELKGKLGHNTIDLGIAPKKLEAYQDGNGKGSDPIDRLEGVKGHLVSFPLDFMCKEDLRPVFKESEYYASPQVFH >KVH88494 pep supercontig:CcrdV1:scaffold_860:53320:90273:-1 gene:Ccrd_026690 transcript:KVH88494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MELATQMPETKLLKEIVDTVYNELDCKKVYLPPDLIGMDTRYEKIISWLHQPNLEFLAICGMGGSGKTTLAKYIYNLNWKRFENMSFIEDIGSRCKGTHGLLELQEQLLKDISGGRKRKIPSVSHGTYKIEEALQTKSTLIVLDGIVEYSELVTLLGTGKINALSKIIITTENTVSFLLNILMLKDPFISEYDIRLLTVFMLNLQSSDLTTDALEKMENLKLLQLNYVELTGSHENFSEDLRWLCWFGFHLRTIPSGLSMGNLVALDMSYSNLEVFEPPMVLQSLQILNFKDSHNLLEIRNISMIPHLETLVLWNCHSLVHVCETITELTSLALLNMTGCKHLCTREQINVLEEIKASTSGGQVTKQPPFSFPHSLQRLFLKDCNLDCTDSFPLSFSVQPFLQYLNLSNSFFEFLPCYDHLKSLRILDLSFCSRLKWLLCLPSTLAELYVYYCESLEKITFQSAQFKLQELAYEGCSNLYEIEGLLKLVPIAKLDETGLGHLKWLKQYQSHEVLLVGDDELTKGRSSKVQMLYEFDIMSTSLPNIKDSNMRAEYISESSSLSFDVPSCPKNWRLKGLNVTFKYTLSGDDWTWFAKISTTNGVDLMYNPKVFGKPRFGAVGIWLGYWPIGNMLDVGDKVNVSIDVMSGLEVHECGASLVYTDDKIAEETVENNMGGENFLGGDLSGFQLSTRAYYLCRRDLFELMEVGRLTPDRFGVLFGDNIDYTEVRGWRMTGRPKQLNPSFSELKTVGCIIHGPELEEIYNIAEMSESSLVDKTVEFTSSILGETMKSGTTSAVSDAAAKEKSESYNLETMGMSKAFVSEEITESASIPHDVKSKSVVTNKVTRKFVMKVKLRNTDPHRGVMKLGVLAGVQSIDYQEGILTVTGDVDFTELVKRVGKIALHAELIYFGPAEEPNKGVVLGKKPAEEHKTGDVLATGLPYETMTRDESTGLPYETKTTEEQILVPDIMNARLETKFLKEIVDTIYSELDCKKVYLPPDLTGINTRYEEITSWLYQSDLEYLAICGMGGSGKTTLAKYIYNSNWRRFENMSFIEDIGSRCKGPHGLLELQEQLLKDILGGRKRKIPSVSHGTFKIEEALQKKRALIVLDDILEYSDLSLNLKTDALKNMDRLKLLQLNFVELTGYHENLSEDLRWLCWFGFHLRTIPSNLFMGNLVAVDLSYSNLEVFEPPMVLQSLQILNLKDSHNLFEIRNISRIPHLETLILWNCRSLVRVCETIGDLTTLALLNMTGCKNLCKREQINLSEASTSGGVIEQATFCFPHSLNRLFLKDCNLECTDSFPLSFSVQPFLQYLNLGNGRFESLPYYDHLKNLRVLDLSLCSRLKWLLCLPSTLAELYVYYCESLEKITFQSARFKLHEFGYEGCINLSEIEGFLKLVPIAKLDETDLGHLKWLKKYQSHEVLLVGDDELTIGRSWHLQMLYEFNIMSTSLPEIKDSNTKPEYMSESPSLFFDVPSCPKNRRLKGLNVTFKYTLDGDDWAWFAKISTAKGVDLMYNPKVFGNPGFVEVGIWLSYWPIGNTLDVGDKVNVSIVVLSGLVVRECGASLVYADDEEADETLERNMGWVQTPGEDLSGFQLSTGAYYLCRRDFLELMEVGRLTPGWFRVLVGDTIDYTEVRGWRKTGRPKQSNPSFTELKTVRCIIDGSESEDVYKIAEMSKSSLVDKTVESTSSILWETIKSGTTSEISDATTEGKSDSYNLETTGMSKASDYLEIMDTAPILPKEEFESAVEKFMAERSKLISPIHRQGVTKFVHVVQNVNFPSEAKKRQVIKMVYKLIGIESVAVDSGGRALVVVGRVDADKVLSCVKQIAKDASIISNEHEHEELINRLIAEYNFVYSDKPRSCFLM >KVH88490 pep supercontig:CcrdV1:scaffold_860:99248:108620:1 gene:Ccrd_026691 transcript:KVH88490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein MLTLFMLNLQPSGLWTDALELMDNLKLLQLNFVELNGSYENFSVDLRWLCWLGFHLRTIPSDLFMGNLVAVDMSYSNLEVFDPPMVLHSLQILNLKDSHNLFEIRNIYKIPLLETLILWNCNSLVHVCETIGDLNHLTLLNMTGCHSLCKREKNNLLEASTSGKEVTIQPPFSFPQSLERLFLNDCKLECTDYLPLSFDVQPFLQYLNLSNGLFEFLPYYHHLINLRVLDLTFSSRLKWLLCLPNTLAELYVYSCKSLEKITFQSPRFTLQEFGYSGCINLAEIEGFIKLVPIAKLDESDLGHMKWLKEYQNHEVLLMLYEFDIMSTSLPDVEDSNMMPEYISESPFLFFDVPSCSNNKRIKGLNVTFRYTLSGDDGAWFAKISTDNGVDLMYNPKVYGKPDSGEVGIWVSYWPIRSAIDVGDKVNVSIVVLSGLMVRQCGASLVYADDEEEHETLEKNTGWVETLGGNLSGFQLSTGAYYLCRRDLFELMEVGRLTPHWFRILFGDTIDYTEVQGWRKTGRPKRSNPSYTELKTVRCIIHGPEQEEIYKIAEMSKSSLVDKNVDFTSSILGVGDMMKSGTISEIGSATRKEESESYNLETIGMSDSDETTESALKDESKSAVIYEVSDEASLTTVAGFIKLVPIAKLDETNLENLKWLIKDQNHDVPPVGDDELTFGRSSSHVQLRSTLDIGDTVNVSSAVMRGLEVHECGASLVYTDNKMAEVTLENNMGWVEILGGGLSGFQLSTGAYYLCRRNFFELMEVGRQIPYWFKVLVGDAMTIQEKSQSYNLETMGKYKAVVSDETTESTTILHDDKSKSVVFSYDVYLSFRSEYTRFPFINHFLEKLKQAGIHPIRAVIDSNRDGIVMSRSKGVIKESKGSIVVFSEAYATSDVRMQNKTFQIEFQTSTSTRGTYDNMYLWKAALTEVTDLSYLVYPGPDITREEFLKTVVETIRNEIDRK >KVH88492 pep supercontig:CcrdV1:scaffold_860:116405:129221:-1 gene:Ccrd_026692 transcript:KVH88492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGSKTIEGLALDMQTLRKEDIFKSFDLKTDALQNMDKLKLLQLKFVELTGSYEKFSEDLRWLCWFGFHSRTIPSGLFMGNLVALDMSYSKLEVFEPPMVLVSLKILNLKDSYNLSQIRNISRIPNLETFMLCHCQSLVHVCETIGNLTRLALLNMTGCENLCKRDKKNVPSMLTPSTSNSGEVTKWCPFSFPRSLQRLFLKDCNLDCNNSLSFSVQPVLQYLNLGNSLFESLPCYDHLKDLRVLDLSLCSKLKELLYLPCTLAELYIYYCKSLEKITFQSHQFTLQEFGYEGCSNLSEVEDFMKLVPIAKLHETDLGHMVWLKDYKDHEMCLVGDDELTIGRSRHAQDWAWFAKISTTNGVELMYNPKVFGKNEFGEVAIWLSYWPIGNKLDVGDGVNVSIVVINGLEICNFGVSLVYADDEAANKTLPNKMGWGEILGGDFSGFRLSTGAYYLCRRDFFELLDSDRPPPGWFWDLVGDIIDYTEIQGWRKTGRRKDLNPLSTELRTVRCTIHDPELMAEGTRTRVLEDSVKTVQEAQIKREIYNPETMGMSKASGSYIPETMGKSKAFAADKQANANRGWKKEKSESYNLENMDMRKASGSFQQGDVELPELDMWAMDMYAEDYYNLAYVGMGNDFDPGLVMKRTAKQRWKKLRSVIRFIMLLQAR >KVH88489 pep supercontig:CcrdV1:scaffold_860:48862:52525:1 gene:Ccrd_026689 transcript:KVH88489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MCVQDVDKVCEEKDNLGLSTDHNTTQLASDQITLSNSYPMESICEDAVVADEKVSNFTPMLRSGEWSDIGHRPYMEDTHICIPDLAKNFSNKLLGEEAVSFYGVNCRAILSRHGLAFEMSKDHRPCYDKERLRIESLGGFVEDGYLNGQLAVTRAIGNWHIKGLKEMDEHIGPLSAEPELKLITLTKEDEFLIIGSDGIWDVFRNQNAVDFVRRRLQEHNDVKRCCKEMVEEAMKRGALDNLTVVIVCFQAEAPPHVVVQRGRVRRSISAEGLLNLRFHLEG >KVH88491 pep supercontig:CcrdV1:scaffold_860:146269:150702:1 gene:Ccrd_026693 transcript:KVH88491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVREEERNMQKAFEADSLAKMDNLKLLQLNYVELNGSYDEFPEDLRWLCWHGFHLGTVPSELFMGNLVAIDMSYSKLRIFEPPMVIRPLKILNFKDSHSLEEIRNISRLPNLETLILWNCYSLVRVCETIQGLTSLALLNMTGCEQLLKMEHIDPSEGLKASDYGGQSPQQPLFFFPHSLERLLLKSCNLERNNSFLLSFQVQSFLQYLHLGSNLFEFLPDYNHLKSLRMLYEFGIMSVFLPDIKDPNISCEYASQSSPLSFDVPSPPKNHRLKGIDVKFKYTTSGQEKHVGPIFAKISNTTKGHDWIYNPMIFGRPGIGEVAIWLSYWAMEKVLDVGDKVNVSIIVENGLKVHECGASLVYANDKVENDTWHNNMEWEKILVDYLSAFQLSTKTYYLCRRDFFKSMEVDGPTPSWFRDAVGNNIDYTVIIFTVLESSGSRFRINDAYGLATGQIQDAVASFLLL >KVH88493 pep supercontig:CcrdV1:scaffold_860:162473:166762:1 gene:Ccrd_026694 transcript:KVH88493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTDSLQKMDNLKLLQLKYVPLTGSYENFSEHLRWICWPGFHLRTIPSDLFMGNLVALDMSYSCLEVFDPPMVLQSLQILNLKDSQSLFEIRNIYKLPNLETLILWNCHSLVHICETIGGLKNLALLDMTGCEHVCKRGHTDLLEGVEASTSGGRVIEQPTFSLPHSLYRVLLKDCYLECSDSFPLSFSSQSFLQYLNLGNNLFEFLPNYNHLKSLRVLDLTLCSRLIWILCLPSTLAELYVYYCVSLEKITFQSHRFTLQEFGYEGCISLYEIEGFIKLVPLVKLNEADLGHMEWLKEHQNHEVCLVGDDELTIVRSCQLQMLYEFNIMSISLPDIKDPNITPEYISEFPSVSFDVPMCPKDKRLKGIDVTFKYTLSGEDWVWFAKISTSNGVDIMYNPKVFGMPALGEVGIWLSYWPIGNTLAVGDKVNVSIAVMNGLEISECGASLVYTDDEIANETLQSEVEWVEILGGDLSGFQLCTGAYYLCRRDFFELMEVGRLTPGWFRILVGDTIDYAEVRGWRKTGRPQESNQSFMELKTISCIIHGPGTEQSYNITEMPKSSCDIKTSEFTSSMHWETMISPTASELGHLATKSGDITTAKASIIDETLESTSRLLEETVKSEGTSESESLDMDMDMSKAYFVDDAMEFASSSAKETMKSATESESSDSPKKKVRNLVEEKGKIGYKNLCSPLHLSDPLIQDLLDQLPSASSSSSTVASPWEKYSSFDVYLSYDHIFTDESFKVQLSHELLTTGLQISPYFRLMAGGGYLQTTEVRSSIVVLSENYASSIRCLNELLLILEQRKNSNHFVLPVFYDVEPSDVREHQKKFALQWYKKSTVWKEKVDLWKAALKEVATLPGFRVSRNNEATVTKEIVSTVRHTLYPNSFSTPPRPIGQENQAEKTR >KVH96505 pep supercontig:CcrdV1:scaffold_861:64730:78603:1 gene:Ccrd_001407 transcript:KVH96505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSSVILGLSPVFGCLLLALIVELYYLLWWKKKVTNREILKSYNNPAREFLYMFCWRKPLSLSTTGLTTDTRIHEPQAASVQQLLNNPNLWLRLLGEEYDDITIEVDDGFLLIVFYSLEWVFSGRVLVSQIAYVLAQ >KVH96508 pep supercontig:CcrdV1:scaffold_861:144785:146077:-1 gene:Ccrd_001409 transcript:KVH96508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMASCNSSLLAILLFNLLFQGFCQCELKDITIGTERTPAQIEGKQEWNVSFINTCKCPQQALTVSCDGFQSVEKVNPDIFARVGNNCTVNGGRPIAPFATVQFLYAWDPPFIFVPISSHVDCGGAFK >KVH96506 pep supercontig:CcrdV1:scaffold_861:44029:49021:1 gene:Ccrd_001406 transcript:KVH96506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MGKPTGKKMLQSGVEKVVKQNKAEKSSKKFDEDTAVFIQMSQELKEEGNRLFLKRDNEGAMLKYEKALKLLPGNHIDVATLHSNMAACYMHMGIGEYPRAIHECNLALEVAPKYSKALLKRARCYEALNKLEWALRDVKNVLSMEPNNVTALEIEDSVKKAIEEKGLKFEDIEAVIPPDYVEPSALKAVKVLREKTKKRRSNRVEKKGVEQVMQSRGEEVKENKVVNVKDNKTKRVKDKRVDQVEEKKVLIEDKNSIKEEKLVTRVVKLVLGDDIRWAQLPIDCSIGLVREIVWDRFPGLEGVLIKYKDQEGDLITITTTAELRLAEASSDPQGSLRLYLVEVSSDKEPSYEGFVSNGFPTSSLISSVSENGNVGKNREVDKVTTCVEDWIVQFARLFKDHVGFNSDSYLDIHELGMELYSDAIEETVTTENAQKLFDIAGAKFQEMTALGLFNWGNVHMNKARKWVVFAEDGTEESIQEQVKTGYDWAEKEYVKAGVRYKEALQIKPDFFEGFFALGQQQFEQAKLSWCYVLGTKSNLEAGPSAQILELYNKAEDSMEHGMQIWEELEEQRLNGLSLYDKYRDDLVNLGLEGLLKDVSTDEAAEQAAHLRSQMYILWGTLLYERSVVEFKMGLSAWEESLAASVEKFELAGASPTDLAVILKNHCSNGTASEGLGFKIDEIVQAWNEMYDVKRWQTGVPSSRLEPLFRRRVSKLQSLMEHL >KVH96507 pep supercontig:CcrdV1:scaffold_861:3123:8672:1 gene:Ccrd_001405 transcript:KVH96507 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MEDEVVIASSSTEAGIGCWDLRSGAEHLRYRSCASPSHGLVSVAGRFLASSQLRDSSSSSGSVLCWSWNKPQIEVKSFPAEAINPLACNSDGTYIVGGGVSGDIYLWEVATGRLLKKWHGHYRAVTCLVFSDDQSLLISGAEDGSVRVWSLLMIFDEERQQRAGHLYEYSFSEHALPVTDIVTGYGGCNAIIISASQDRTCKVWSLARGTLLRNIVFPSMIDAIALDPGEHVFYAGGRDGKIYIAELNAQGTSNNNYGLHIIGTLSDQGKGICSLAFALDGSQLVAGSEDGMVRVWDTKTHNIIRVFRHAKGPVNNVLVIRQPPALYPRTSANNQASVARRHVPLPPPLEKYTSIDEHSDLNAFITPQPNCDKLYDATYTTFHSMNNQINELQQHGSSGASELEMERLKLDCKRSMQMVEQWKKMYENLHQFCVGELLNGEADDANLTLTS >KVH96509 pep supercontig:CcrdV1:scaffold_861:79855:81196:-1 gene:Ccrd_001408 transcript:KVH96509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGYGQCELKDITVGTERTSIQIEGKQEWNVSFINSCKCPQQSLTVSCNGFQSVEKVDPNIFAPVANNNCIVNGGRPIAAFATVWFLYAWDPPFIFVPVSSQVNC >KVI06333 pep supercontig:CcrdV1:scaffold_862:7065:10810:-1 gene:Ccrd_015312 transcript:KVI06333 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase MSLNILSPAEVRVISFLDTSKSNTHLLKLQGGFSFKRKDSKAPFGKGIHCSAAAPPPSAWPGTALVQPETKNWNGPKPISIIGSTGSIGTQTLDIVAEHPDKFRVVALAAGSNVTLLADQIKAFKPQLVSIKNESLVGELKEALAGSDYMPEIIPGNEGVIEVARHPDCVTVVTGIVGCAGLKPTVAAIEAGKNIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGFPEGALRRIILTASGGAFRYTDALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLYGSDYDNIDIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTLSWPDRVHCSEITWPRLDLCKLGSLTFKAPDNVKYPSMDLAYSAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCEKHQSELVTAPSLEEIIHYDSWARDYAANVKPSSSGLTPALV >KVI06335 pep supercontig:CcrdV1:scaffold_862:73925:77348:-1 gene:Ccrd_015316 transcript:KVI06335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MPGLVLDEIHEDKVIDEMPENGSLSKTKDNLDLSNPPDGAESVDNVGTGNSSSLVVEPSIEQLYDNVCEMQSSDQSPSRLSYGSDGEESRIDSELRHLVGGESKEVEIIKQDEDISKVEEKEESSKSVSSKKSKKASELKLDSEVNSGSNCKSKTHPKKPLIEKQNDKNAKKPAVGDKNLDLGPYLLKQARDLMAAGDNSRKALDLALRATKSFEKITNGKPSLDVVMCLHVTAAIYCNLGQYNEAIPILENSITVSVIEEGQDHALAKFAGYMQLGDTYAMLGQLENSLQCYTSGLEVQRKSLGETDPRVGETCRYLAEAHVQALQFDEAEKLCQMALEIHRENGLPASLEEAADRKLMGLICETKGDHEAALEHLVLASMAMVANGQEHEVSSVDLSIGDAYLSLSRFDEAVHAYQKALNALKSSKGENYPAVASVYVRLADLYNKTGKLRESKSYCENALRIYEKPVPGIPQEEIASGFTDVSAIYESMNELDHALKLLQKALKIYNDAPGQQNTIAGIEAQMGVMYYVLGKYSESYASFENATAKLRASGEKKSAFFGIALNQMGLTCVQQYAINEALDLFEEARNVLEHECGSLDDAIAILEHIVVMREEKLGTAHPDVDDEKRRLAELLKEAGKVRTRKNRSLEHLLDSSNESTTKNGANN >KVI06342 pep supercontig:CcrdV1:scaffold_862:148234:150666:-1 gene:Ccrd_015321 transcript:KVI06342 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSS1/SEM1-like protein MATTEQPKVATEEAKIDLFEDDDEFEEFEIDHEWDVKEEGKEVSQQWEDDWDDDDVNDDFSLQLRRELEINTEKK >KVI06338 pep supercontig:CcrdV1:scaffold_862:63170:67065:-1 gene:Ccrd_015315 transcript:KVI06338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex RNA-binding protein 1/RRP40/RRP4 MEAKPSSNLSSKFVDQVVVPGDVILDLSSMANQTIKLGGGLRQDGDSISVMKAGTLRFTKPNKYWVESSHKRYVPCAGDNVLGVVVDTKPENFLVDIRGPALAFLPVLAFEGGTRRNIPKFEVGTLLYVRVVNANTGMNPELSCMDASGKAAEYGVLKEGYMFESSTGLSRMLLSSPPYPVLEALGKKLSFEIAVGINGCFWVNASQSSTVILVGNAIQKSESLSMVQQKIYLEKLLKSIQ >KVI06340 pep supercontig:CcrdV1:scaffold_862:143311:144212:-1 gene:Ccrd_015319 transcript:KVI06340 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MKIIKAKSVQAFKPDPYVATILNCAMWAFYGLPIVHPDSLLVITINGAGFAIECVYVTIFFIYSSWGGRKKIIIVLIIEAIFVAVIVVVTLTFFHTYASRSMIVGLICIVFNILMYASPLTVMVPNALGTISAIIQLVLYATYYSTTNWDEDEPSEVQMSASTNA >KVI06334 pep supercontig:CcrdV1:scaffold_862:29721:35223:-1 gene:Ccrd_015313 transcript:KVI06334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 14 MAAPPNPTDGNPQNPVPEVVKPTVDDQQDANKADAKETSIFVNTEPIREEQVQNAVKFLSHPKVKGSPVMYRRSFLERKGLTKEEIDEAFRRVPDESPSLSTTQAAVANQGGQSQPISNIQAQPPTQYQQPAAAAQAAPDSKLGTLTSSRFHWSHALLAVGVLAISGAGTAVVFKKRYFGELMSLLDMQVQEMKSMSNSIKKLEGQSNIPGREVQVTSSRPSYANGSRADHDSRSVRSLSPPPASVEPPHPKSYMEIMAMVQRGERPPNIRDINDLPPNPDQPVSNPRLAPKPKPWEAAQSQGSSTYPHENNNGLNSSGQDNGYGPSASVYQSNGDSSAPWWQQKNVRITEAEPEENKTGRPSERPVQRPAWVPPQPPPVAMAEAAAAIRQPKKSPFEKEQQLTDEEFLARSAEVTDELQRVTKLSESGGVSDIGGGSSVVTNTSEIQREEDGSYYEA >KVI06339 pep supercontig:CcrdV1:scaffold_862:132873:137275:1 gene:Ccrd_015318 transcript:KVI06339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MKENNSNDGFVRADQIDLKSLDEQLERHLSKVWTMEKNKKKQPDFEDSAAAATTNVVRPLPTATSKIERQEWEIDPSKLIIKTVLARGTFGTVHRGIYDGMDVAVKLLDWGEEGHRTEVEIQSLRAAFTQEVVVWHKLDHPNVTKFIGATMGSSELQIQTESGQIGMPSNICCVVVEYLPGGNLKSYLIKNRRKKLAFKVVVQMALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPENLRPDMPRCCPSSLANVMKRCWDANPDKRPEMEEVVSMLEAIDTSKGGGMIPGDEAQGCLCFRKHRGP >KVI06341 pep supercontig:CcrdV1:scaffold_862:157824:161222:-1 gene:Ccrd_015322 transcript:KVI06341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MAARRISGFISRSLSSSIASPGRTCNLRSEIQRFSTAAALQEPITPPVRKTFPAFDPRTGEVIANVAEGDAEDINRAVSAARKAFDEGPWPKMTAYERSCVLLRFADLVEKHNDELAALESWNNGKTYEQAAKSEVPMLARLFRYYAGWADKIHGLTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIAGLPPGVLNVVSGFGPTAGAALASHMDVDKVRYSHVNPCIIIAFTGSTETGKIVQELAAKSNLKAVTLELGGKSPFIVCEDADIDKAVELAHFALFFNQGQCCCAGSRTFVHERVHEEFLEKSKARALRRTVGDPFQKGIEQGPQIDSEQFEKILRYIKSGVESNATLECGGDRFGSKGFYVQPTVFSNVKDDMLIAKDEIFGPVQSILKFKANATRYGLAAGVFTQNLDTANRLSRALRAGTVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQVKAVVTALKNPAWL >KVI06336 pep supercontig:CcrdV1:scaffold_862:85880:91094:-1 gene:Ccrd_015317 transcript:KVI06336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSNWFKQISNSGKLERRLSLGEYKRAVSWSKYLVSSGGEIKAKGEEEEDIEWSADMSQLFIGNKFATGRHSRVYRGVYKQKDVAIKLISQPEEDGDLASMLEKQFTSEVVLLFRLQHPNIITNARPPLPGTCPSAFRQLIRRCWSSKPEKRLGFDEIVRILERYRACVEEDPNFLTWYEPGKGGGSLLGCLRKGKQH >KVI06337 pep supercontig:CcrdV1:scaffold_862:54161:58797:1 gene:Ccrd_015314 transcript:KVI06337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MADYSNNEVIASTETLRIEEEAENTNDTSLEKPPFDPIKRINEGFRVFKTNEFNKFPDYYRQLAEKQEPKFLIFACSDSRVSPTNILNLRPGEAFMARNIANLVPAPNKLRYSGTGAIIEYAVLALKVEVIVVIGHSRCGGINRLLSLPNEEASYDFIDDWVSIAQPAKKKVIAKNPGVTGEVLQTLVEKESVMDSLANLQSYSYVKSGVAAKKLQLIGGYYDFVRGNFEFLKVGSNIEPVSDI >KVI06343 pep supercontig:CcrdV1:scaffold_862:146359:146793:1 gene:Ccrd_015320 transcript:KVI06343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVIEIVISAVLLVVGISLFVVIHLCIVGRTFGSMNTSTLVHRSGTISRSGSLSQEDIKNLPSYDFSLEAQEPMVMSSSLECVVCLERFKTGEKCKVLPNCNHSFHGDCIDSWLIKTGACPICRAFVDMGRGETSFSSEVGLELR >KVH88482 pep supercontig:CcrdV1:scaffold_863:147051:148455:-1 gene:Ccrd_026701 transcript:KVH88482 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MKNAFLAVGEVMLAAENPKKKAGRKKFKETRHPVYRGVRRRNTGKWVCEVREPNTKLRVWLGTHPTAEMAARAHDVAILAMRGRSACLNFADSIWRLPVPESKQIKDIQKAAAEAAEAFRPRLDQVMEIDDEREEWPEISFYSDEEMFERPGYLDSMGPLLMVLPPQTSGDDVDFMGNITIQFHHSFFSN >KVH88488 pep supercontig:CcrdV1:scaffold_863:12051:30883:-1 gene:Ccrd_026695 transcript:KVH88488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase, alpha/beta/alpha domain I MAMAAGLGTCIFSLPSLQRLSTATTTAASFSSLRTCSPSSPLLFSTILTPKTRQYSSAMKASSPSTTFTESQDLKVNTIPTKPIEGQKTGTSGLRKKVKVFMEENYLANWIQALFNSLPPEDYKNALLVLGGDGRYFNKEAAQLIIKIAAGNGVGKILVGKFKCLGNVLLLECGTIIQIASIIAANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDMIYGNTLSISEIKLADIPDVDLSSVGITKYGNFSVEIVDPVSDYLDLMEDVFDFSLIRSLVSRSDFRFTFDAMHAVTGAYAKPIFVDKLGASPDSICNGVPLEDFGHGHPDPNLTYAKDLVNIMYSDNGPDFGAASDGDGDRNMILGRQFFVTPSDSVAIIAANAQEAIPYFQSGPKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGTLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYKNKNKNPGEELISISEIVKEHWATYGRNYFSRYDYERYNVAGVYSLQFADDFTYKDPVDGSVASKQGVRFVFTDGSRIIFRLSGTGSAGATVRIYIEQFEPDVSKHDLDAQVALKPLIDLALSVSKLKEFTGREKPTISLDDKKATAAAAEL >KVH88487 pep supercontig:CcrdV1:scaffold_863:99772:102246:1 gene:Ccrd_026698 transcript:KVH88487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQIQSSHPQILFRIPITKPPFSHILPKLQATTMAHNSQDQQPSISMSTLVSPDVYNVRFKTLEACKLGIARYPDFEYNAHGGKGSGMGKKMTGVDFNGETSVDFDVNTLYIPSLTTATTKFLGLPLPPFLKIEIVPEIFRGIINLESGKVDLKFKAKFWFSVGSIYKAPPLLVETLLTSEESKGTLRSGNGKRLDEQGKCRLVGVATVEQIDDFLMNSFLDLPTECLAILNATITFSKD >KVH88484 pep supercontig:CcrdV1:scaffold_863:85154:88267:-1 gene:Ccrd_026697 transcript:KVH88484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDHRNIESEEEEVRILSTSDPLLGDPPAYTIMPVPQRHNSPSPPVEVNLFKEEPMENDLEASSNFAPVAPIAPQGLVPVWTMGAPPTTGGAFFMIPQNGMVGPSSTHHPQLWAIPAGATPFFSMAARPISNFVSAMQPGGDGEIQAPSRSVSNNSGSEEDKSGRVSTTMAPSSSSVSTAQMLRDFSLEIYDKREHQFMEEAGRDGVPGGTLEEADGGGYSGGLRKKKGEGDV >KVH88481 pep supercontig:CcrdV1:scaffold_863:169064:169663:-1 gene:Ccrd_026702 transcript:KVH88481 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MNSLEDPASPSDCRSSNGYGDGEVMLAENNPKKRAGRKKFKETRHPVYRGVRRRDSGKWVCEVREPNTKTRVWLGTHPTAEMAARAHDVAVLAMRGRSACLNFADSLSRLPVPESNHIKDIQKAAAEAAEAFRPRQDVGEIEEWKYSPESSFYIDEEDVFEMPEFFASMAEGLMVSPPKTDNFTDDVECGDNGSLWSFQ >KVH88485 pep supercontig:CcrdV1:scaffold_863:37078:43905:1 gene:Ccrd_026696 transcript:KVH88485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MWNRTQQRIAKKKSYTAKRNHTQQRNEREKSYQIWKEVVEEVAVGSDLPIYQIHTNMADIPTSDGLKDSSLYSNGSLEAVNSLVVCFGEMLIDFVPSVSGVSLAEAPDFQKAPGGAPANVAVGISRLGGSSAFIGKVGDDEFGRMLADILKKNKVNNSGMRFDQNARTALAFVTLRADGEREFMFFRNPSADMLLHESELDVNLIKQASIFHYGSISLIEEPCKSTHLAAMALAKQSGSILSYDVNLRLPLWPSEDAAREGIMSIWDQADVIKVSEDEITFLTGGDDPYDDKVVLDKLFHPNLKLLLKFKGRVPGVKVKSVDTTGAGDAFVGGILSILASDTNLYKDEKRLRDALLFANACGALTVTKKGAIPAMPTREEVHKILKHE >KVH88486 pep supercontig:CcrdV1:scaffold_863:101529:109240:-1 gene:Ccrd_026699 transcript:KVH88486 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAALPLLLPHSLHHRRQLPNHRNVFPTLSSPQLLRLHPTLTCKKRSFTTTGAGYITGPASDAIIAADDPKIEEVDTGPEPVQRFNAISLGLLLRLLSRHKLRVAASIVSLVCCTTCTLSMPILSGRFFEVLIGARSEPLWQLLSKVGVLYTLEPIFTVIFVVNMNTIWEKVMSSLRAQIFGSVLIHKVEFFDKYKVGELTALLTSDLGSFKNIVSENISRDRGFRALTEASYSTLSKSYWDNVLAVCACPPTCTNSGCTYACTVYKRSTVKVFKAYGSTQASIADCVTETFSAIRTVRSFGGEKRQMLTFGNQVLAYQSSGIKLGFFKSINESITRVAVYVSLLALYILGGSKVQAGELSVGTVASFIGYTFTLTFAVQGLVNTVGDLRGAFAATERINSVLSESEVDQALAHGLEKDIRQEVGMDDSLKMFFISDPNDKRRSQNMRYMSSLTAASSVRSLAQSGDICLEASYLRCSAACFLISLLALKCSIRYHEFFAGYVEVLFSVHFSYPLRSDVEVLSGLDLTLKYGSVTALVGSSGAGKSTIVQLLARFYEASIYELFLLPLPTQGRITVAGEDLRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDENVSRDDVIAAAKAANAHDFIVSLPEGYDTLVGERGGLLSGGQRQRVAIARALLKNAPILILDEATSALDTVSERLVQDALNHLMKGRTTLVIAHRLSTVQNADQIALCSEGKIAELGSHSELLARKGQYASLVGTQRLAFDYLLSHGSRFQEVWGYADREHAKLQ >KVH88483 pep supercontig:CcrdV1:scaffold_863:139044:143036:1 gene:Ccrd_026700 transcript:KVH88483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICRRLPLFLLAFFCFLGLLSASDGDAHPIYIACVEQCEKTGCVGDICFQHCNLTSDRKSANDPWYLQEPLYIKWKSWDCLSGCRYQCMLVREEDREKSGDMPVKYHGKWPLQRAFGIEEPVAVALSALNLAVQFQGWVSFFILVNYKLSLRPNRQTYYEYTGLWHIYGTLSMNFLFWSAVYHSRDVEITEKLHYSSGAAVLGFSLIVAILRTFNVRSEATRVMVAAPLIAFVTTHILYLNCYQFDYGLNMKVCVTMGVAQVLVWGVWAGASNHPSRWKVWLVALGDGLIIFFQYYDFPPYWGFLDAHAVWHAIAVPVSYTWWSFIHDDSEYRTAALIKKAK >KVF72034 pep supercontig:CcrdV1:scaffold_8634:9621:12111:1 gene:Ccrd_026704 transcript:KVF72034 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSKQKMQHVLCMPAPGQGHINPMIKLAKILHSKGFLITFVNTEFNHQRLLRSQGSDALTGFPSFRFETIPDGLPTPENPDATQDVPSLWKAMDEKFLVPFKSLLNKLSASDSPVTYIVADFIMSFTLEAATEMDIPEILFWTSGADIPPFIRITNPGDEYMVEFFTRQIERAKTASTIVLNTYHELEPNILDALSSIFPPCYGIGPLNLLEKEXVDKSLASIKSNLWKEETECLKWLDSKAPLSVIYVNFGSITVMTPQQQVEFCWGLTKSNYTFLWIIRPDLVIGDSAMLPSDLMAEIKSRGWLSSWCPQEQVLKHPSIGGYLTHSGWNSTIESISSGVPMICWPFFGDQQTNCWMCCNKWGVAMEIDNNVKXDEVAKLVIEMMNGEKXKEMRKNAIEWKNKAEEACAFPYGSSMVNMEKVIRMLQTSPK >KVF71648 pep supercontig:CcrdV1:scaffold_8642:645:1349:1 gene:Ccrd_026705 transcript:KVF71648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGASTIHTQCRKKCQEV >KVF71647 pep supercontig:CcrdV1:scaffold_8642:2363:4619:-1 gene:Ccrd_026706 transcript:KVF71647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MSDSIGHLKSLTYLDLAYTNLSGQMPDSIGHLKSLTYLDLAYTNLSGQIPDSIGHLKSLNTLILVHCSLMGPLPKSLLNLRHLTTLYLSYNKLNGTLPSWLFTLPSLEAIFLSNNMFGGSLPLELFTQQSLKQLLLDNNQFDGTIDVLDQGSIQQTFQQLPNLTLLDLSFNNFTGVWELDTLLSSLRTLDYLSLSYSGLSVMTNNASRYVNPNFQALELASCKIKVFPESLRAMRKLHSLDLSRNEIDGYIKELEGNELVYLDLSHNIITGPFPPSIWNMDNLRYLNLSNNHFSGVIKPGDMNFSPSVIDMGNNNFNGTIPHVCGGELTGLILNGNQFXGKVPSCFSXCSYLQVLDLGNNRLTGAFPDQLGRLRYLNVLVLRSNKFHGPIERSSFMIEHPFPSLRVIDLSQNEFGGHLPGKYFQNFDAMKNVVNDGTNTYLNLYSSTIFYSIIVVVKGQQLLFEKISNGYTIVDLSGNKFEGEIPNEICTLNSLIVLNLSNNHLNGQIPHTIGNLSEIESLDLSQNQLSGKIPQSLADITNLEVLNLSQNLLVGRIPSGTQLSTFNTSFEGNPGLCGFPLPKECEHASAPQLEVDGEEGSVFTWKVVMLGYGCGTLVGCCWDISCYQQEGQSGSMQLLMK >KVF71622 pep supercontig:CcrdV1:scaffold_8645:7585:12238:1 gene:Ccrd_026707 transcript:KVF71622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L44e MKPRPGALKHTQRDGETLIAICGCSSRLSTFRSSSAMVNVPKTKKTYCKSKDCRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKTDDGTVYVQFDDPRNIPYTETRPLLRSQSLSHGIHSFISPFGTKASQPSVIQPSRASTSYLRDTQAHSSEPEEHIESSSQQPFDELRVHKNGIVHNNPVAQ >KVI06888 pep supercontig:CcrdV1:scaffold_865:137856:139405:1 gene:Ccrd_014756 transcript:KVI06888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLESWLGKNSDVTGFKEDGGSIFKELALFQDYRGLPTLKNELAEFMEEIRGKRVRMDPNKIVLTAGSTSANETLMFCLAEPGDAFLIPTPYYPGYDRDLKWRTGVEIVPIQCTSSNHFRITKFALEEAYKQAQKLNLNVKGVLVTNPSNPLGTTMSKEEVDIVINFCMTKLIHIVSDEIFSATVFSSPKFVSILEALNEGNLQESIAMNLVHVVYSLSKDLGLSGFRVGLIYSNNEMVISAATKMSSFGLISSQTQHLVSNILHDKKFIKYYMKENARRLKKRHEMLVSKLKRIGIQCLRSNAGLFCWVDMRHLLSSNTFEAEFDLWKKILCDVKLNISPGSSCHCSEPGWFRICFANVSEETLQVLMQRMKTFVGGIGHRNLICFSRKPMLLKWAFGLSSFDRQADR >KVF70682 pep supercontig:CcrdV1:scaffold_8655:8697:9603:-1 gene:Ccrd_026708 transcript:KVF70682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2930 MMGFPRTEVLGLSLTAFSVVLPYIGQFFKGATRVLQANILEGVVQIFPMSPNISNTVKEDLAWGSYTLLRNTNSISVKKSERREKMVLGSGICAREVVMDARHHMLGRLSSILAKEVLNG >KVI11364 pep supercontig:CcrdV1:scaffold_866:47097:51081:1 gene:Ccrd_010227 transcript:KVI11364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase SDE3 MGSVNYDDETRSTISDHGDIGNVDFANDGSFYDYDPGNEGPIIISVPFPLVEGKPQFVAVGETTFNSITIKNTTTDAVTLWSVEIYDSKPKDSFTLSVMEPPSHGSHEEYIRSFVESFSLEDRTLQAGKTLTIWLSCKAMVKGLHTTAVHFNVDDDRIERMGFIMAEDKISRSLTSNRPYNRPRRNKPLLPRIFSPGGDNVGIKIIRGSRPAKASGRVYRYKLPEYPIPKNVRDMIESKTTPDSLIEGLTRTSYVSFFRTLLIMEEIKLEDDMRTYDMQGVALKRKYGCLALEVPGLAERRPSLVCGDFILAKPTYQKEDMSALYKGHILRVEAEEIHLSFHDDLHCYHSEGDRYDVQFEYNRLGMRRLYQAVEAAGKLDTELLFPEFSSNSRCIETSPLVPISCKLNEEQMSSVEMILGCKGGPPYVVHGPPGTGKSVTLTEAILQLYTTRRNTRMLVCAPSNSAADNILEKLVMENAEKIRKGHLLRLNAQARSLEDIRSDYLEFCCINEEESVFNCPIFEQLVKYRIVISTYTSAALLYAEGLQAGHFTHIFLDEAAQASEPETMIPISHFYRRNTTVVLAGDPVQLGPVIFSKDAESYGLGKSYMERLCECRFYKEGDQNYVTKLVRNYRCHKKILFLPSELFYKGDLISCKEEDTLYPLTWKELLPDAEFPVLFLGVQGVDEREGNNPSWFNRIEVSEVVEIIMNLIEKGLRSQDIGVITPYRQQVLKINRALETFVKSEIKVGTVESFQGQEREVIIISTVRSTIKHNETDKRHCLGFLSNPRRFNVAITRARSLLIVIGNPHIICKDEYWNKFLWHCADNGSYKGCFLPEKEEIVDVGSEGEYNNWNGGEQTSFDDWSANEKNNPRGENWGMPAAAEADDWGDYPSQAPAGADEWGDYPSETPTAADNWGDYSTQTPDNWGENSSQTSVNWGKYPTEASSGPDNWGQDSIQGAADNWGEDLKQTPTGAADNWGEDLK >KVI11363 pep supercontig:CcrdV1:scaffold_866:155895:156601:-1 gene:Ccrd_010228 transcript:KVI11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFEFALEKQRREQRRLDYHTRTTLPKWLTYSKLERHACEIYTRSVFFEVQTRYTGLSGHVQLRVSIQMRKLKHI >KVF70327 pep supercontig:CcrdV1:scaffold_8661:6025:8554:-1 gene:Ccrd_026709 transcript:KVF70327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTNCKGLSSSSLPLVPSSEAHLRNFLPRNQTFDSKVSVSLTSLPLVLIVSLNHSSIIELIFAQSLVNRREHLLKDQIESVQK >KVF70065 pep supercontig:CcrdV1:scaffold_8667:9392:12091:-1 gene:Ccrd_026712 transcript:KVF70065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MDSLRYLFIRGCSKVENWPKVLGKIKNLINLGVDATAINEPPSIVSSISNLQSLCIHGHQRIRSRWRNSEFQPSSSPSKLHHPQSFVTPSFASLHFLTDLHVMNCNISEVSSDTFEALSCLKKLNLRGNSFTSLPASLSQLDQLEYLNISDCEKLEVLPEIPPXLYCLQAYHCTSLHEVRRRLPIMHPRGLQIYLFGCRKLGLNDFGGNFDIHIHGSRIPRWFTHQSTGKGVMVVLPSSWRYNKFRGYVACAVFTLKKPCNNPEGYEFSSLRCSVNNFDGGNLHRKQSCGISYEFVDNCTRIVGSDMIWLHYSESKPSWIKAKNLITFSFSCPFLEVKQCGVRLFCDEDMQEDMDLSMTQDLSTQPQDGEGICDYEPIVMCIPVDLRCKQFNAPLNKA >KVF70064 pep supercontig:CcrdV1:scaffold_8667:2577:6167:-1 gene:Ccrd_026711 transcript:KVF70064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDALSSSSLQTFIQKTLLQSTCLTSASKVFRRHLRLCELGKVHPSFRTLKRLFVLNMRNYERFRSFPFKVEMDSLEVMNLSGCLKVDKLPDFFGARHTLKELYVDGTGIKDIPCCVFSQNHLQKLSIVSNNIGGLSXLESLCFHKNNFTSLPGSLSQHSRLQMLRVSCCKKNQKCCQNFYIAFLASHSQKFQKEPFKIRLPGAFYDREDTPSQARKQTESLRFYQTRFTDVKGGFTPVIANIMQVFTEGWGVAILKAIFHVREAWQNLLCSNIQLFAPSLHLHGYNLLLFVASSLVLLFDPSDPSTISPSILHIICIKLHQSVKTIPKTIPTVIK >KVH88479 pep supercontig:CcrdV1:scaffold_867:11924:77483:1 gene:Ccrd_026714 transcript:KVH88479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MKNLRRNKSSSGGSDGCSIPSFVGKYDDIWMVKTYVDIMIQGSIAPRSRFQENMDTYHNLITQFMHDKQLDSGKGILLHLCDDVIQQEANLPLTEEARGRYGKLLVMGASDSWNMPMSFISVILLPIVGNTAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVTATLFYHSVSRSVYAVEVMVWLCEGRNIKLLQRGDANSVLSHCCCKFFLYMWIINQMMTKSEEARRNGSVLFKCCNFVRQVHMLIQRESINISHQHCHDQKEVQEVASDLKI >KVH88480 pep supercontig:CcrdV1:scaffold_867:5192:11204:1 gene:Ccrd_026713 transcript:KVH88480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MARRSQHVAIRRNRTSVEGLEPSHPDANEGEVDPSILAAVNLAVSNLLPNIVAQAVEAAQQYSTRGPPPVLETLGEYLARFLRLAGFAGLSPETSLEQAEKFKWTIHYRYRSKLINEKFTDVALAVDAAKNIEMKRQDFLASKSDGGNKRSQDGQQIQQMGQQNQQQGGNSGNQWGQNSSKRQGQWQGQNQGQRQPYRQQGQQGQGQNQTRFQRSGDKDDHDSVPQCNSCRSRRTVPPSRDDRNGFGFLVRCNQSMTVNESSLLDPDFSLLLDFLAILLILTPGPEILSKLSSQRNLLADTSNIVEKNKACKRKDELMKLVAEALLLIGYDASICKSRWEKSYSYPTADRLQQILSIVSEAAKLSLKKEGMHIPPWRKFEYMRSKWLSAHIRTPPSPSPSLLLPPPPTPPPTPNANASPK >KVF70060 pep supercontig:CcrdV1:scaffold_8670:1150:4291:-1 gene:Ccrd_026715 transcript:KVF70060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MKFGGSSVASADRMKEVTEVILSFPKENNVIXLSAMGKTTNKLIVATNYVSNVSEIDELSFVKELQYXTVDKLGLDRSLIKDHLDKLERLLNGIAVLKELTPRARDYIVSFGECMSTRIFVAYLNKIDSKKILETEEIEEILDSNERKTIMKPGFKQKRNIELCNPPINSNHPIASANTLAISHLDSMDNCIPKPQ >KVF69647 pep supercontig:CcrdV1:scaffold_8679:7713:10425:-1 gene:Ccrd_026716 transcript:KVF69647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MTDCAGKSSWPELVGRTGEAAXVIIERENPLVDAIVLLDGKRSWPEVVGRRGEDAVXTIERENPRVDAFVILDGTSVIGDFRCDRVRVRVNSRGIVVRTPEIG >KVH98557 pep supercontig:CcrdV1:scaffold_868:142730:144879:-1 gene:Ccrd_023224 transcript:KVH98557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MAFRHLFSTVTTAMKNRQLLQEFTSLVSPDPRTCSLSTLMIRAYSTSFLITKTPKSQKKKRKKKESARTKHVQHESECIPHFEKVLHRDNHFRFFIKTKEYLSKQPQQVLCLDDAGKLHRELGFPRGRKVLKFIQRHPLIFHTYRHSNDKIWFGFTDFMESLLEEEKMIMDEMEKERIDVVRKLLMMSAKKRIPLSKIYHCRLLFGIPEDFRDRVRKYPEYFNTVVEEDGKRILELVNWDQKLAVSTLERQFMVDEDRAKKAFQFKVKHGKLLNLDEQDERKLNLLNTLPLVSPYSDGASLDLWTLEAEKYRVGVLHEFLSLTLEKRASIHHIVEFKEELSLTKHTYSMLLKQPRSFYLAGTEMNWVVFLKDAYGEDGVLIHKDPQVVFNEKLCEYADRQELSNF >KVH98558 pep supercontig:CcrdV1:scaffold_868:26085:29258:-1 gene:Ccrd_023216 transcript:KVH98558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSHFGRAGPPDIRDTYSLLVLNITFRTTADDLFPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVEKLDGRVVDGREMMVQFAKYGPNAERIHKGRILEPVEKLKRMSRSRSPRPRYRDEYRDRDYRRRSRSRSRDRYDRDRHRGRDRDRYHSRSRSRSPDYGKERRRGRYDYEKSRSRSPDRSGSPTHHSSRPRGSVSPHQTPSRSRSPVALDDKERSPPPRSVSPRRRADSRTPSPVPSNADEQM >KVH98555 pep supercontig:CcrdV1:scaffold_868:77779:85067:-1 gene:Ccrd_023220 transcript:KVH98555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVGLSGIKSLDQFKSSLGSSSLGAAKTFQISSRQPSYSNSSGSFANLKLTAEKLVKEQASAKTDLDLANSKLKKLSEHILTLEEKLQNAYNENAKLKVKHKEDEKLWRGLESKFSSTKTLCDQLTKTLHLLADQVQDAEKDKVLFTNKLSETSVALDNLHGQLNSLSLRLESSQETIRTRDKELKELGVDKENVEKKLRDEHCKVVSLTDEKDNLIKNFEETVTANESTIESLNSKVRELQLELRSKEGRLNDMHDLNEKKERENTDLIASNKNLAENLDKAMQEIESLENFVKLLTVKLTDLDRQSLTFWEKVIEVEALFASCFELVQEENKFATQKAQQHFDRLNNKFLIRTSEKDALQLVNLELKNKISELENDQKFTMAQHAEECHLAEEKVRRLESEAETLLSKKAETDNLITKLEDKIRSLSETSRLSEIQMQDFQKKYSQSETENQESIRNLQSEIHKKEEDIDALKMEIVKHEQHVDSVEKQLNELHSLLQEKEQVVLEFKEREKLLEDQRAETQKLLVEAEGNVADAKKQYDQMLESKQLELSRHLKEISQKNDQAEKAVIDMEKKCDKKLSECKEESKQQLMQIEREHTALVNRIRENDKTEENLKSNHCEELKRVQLQAENEFREKTKLLKNQHEAELRELRSQHEEECRHLEEELNIQKNREERQRALLQLQWKVMGDEPQEDQEVTSKKNYTTSKLRNPDDARRSSHTLVRREDGKMDSPYINVTQSPVSNMLKKAEKTKTGSVISIPKHSKKDPTKNKRRTPKANTPRDVIKRIKGGNQQNPPNIGDLFSEGSLNPYADDPYAFD >KVH98553 pep supercontig:CcrdV1:scaffold_868:117834:124471:1 gene:Ccrd_023222 transcript:KVH98553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome C-terminal MAGGCSIVWFRRDLRVEDNPALAAGVRAGQVIAVYIWAPEEEGHYYPGRVSRWWLKQSLALLDSSLKNLGTSLVTKRSTDSVSSLLEVIKSTGATQLFFNHLYDPLSLVRDHRMKEVLTSNGIAVRSFNADLLYEPWEVLDDEGRPFNTFTEFWDRCDLSKCRSETLVFEDESEKGSNALLARAWSPGWSNADKALAAFISGPLLEYAKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNTAGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLKFFPWIVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQLARLPTEWIHHPWDAPEYVLQAAGIELGSNYPLPIVKMDAAKARLQEALAQMWQHEAASRAILENGIEEGRGDSSDDAPIAFPQDMEMEMDENTLRTNPTTTTIRHYEDQMVPSMTTSLLRGGGEESSSDLANLAEDSRAEVPTNQGVDNAQTARTDDMQPQMDITRALRNGDDLAVESSNSSGSSSRERDGGVVPVWSPSSSSFTENFVGEDSDLCRECERVAAVWDNLGSPFSNPTSSNVQGLDKQASNPKRETSHVVAYVNKTPH >KVH98562 pep supercontig:CcrdV1:scaffold_868:43769:47808:-1 gene:Ccrd_023218 transcript:KVH98562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MDPFLSHLFLFLVVFTMLLITNESTLHNFASTFSSSKQDYDLIEWKSSLENHVSLSSWSLTPPNYYNSSTNVASCSWFGVSCHSDGSIKKLNLSSSSLKGTLLKFSFSSFPNLILLDLSRNEFFGHIPSQISQLSKLFYLNFCDNQFSREIPLEIGNLTSLSRLCLRGNNISGRIPDEIGNLRSLEYLNIARNHLDGSIPHSFGNMSLLTTLWLDRNELSGPIPYEIGNLRSLVNLGIERNHLNGSIPPSFGNLSLLATLWMDSNELSGAIPHEIGNLRFLIDLDISKNHLDGSIPRSFGNLSLLTTLLLYANELSGPIPHEIGNLRSLVDIEINKNHLNCSIPPSFGNLSLLTTLYLFQNELSGPIPHEVGNLRSLVDLEISINHIDGSIPPSIGNLSLLTILNLESNELSGPIPHELGNLESLSSLQLHNNKLSGNLPDNMFLHGKIQYISLSDNAFKGSIPQSLRNCSDLIRIWFDGNFLTGDISESFGIHPKLYYVNLARNYFHGELTDKWGKFNKLGTLKISENNITGTIPQELGDSVMLQHIDLSSNQLVGNIPKKLCNLKDMLHLDLSNNQFFGLIPKELGLMTKLWKLDLSRNKLSGTIPEFLVDCEQLDSLNLSHNGLNHEVPLQILKLKNLRTLDLSHNLLVGEISSEFQSLQSIEVLNLSHNHFSGTIPMEIMGMRSLIFADVSYNYLEGLIPKCNAFTNASMLEGNNGLCGDIVGFKRCTTSRKHKYFMSLVIVFSILGALVFLCTVIGLVIFYEKRKRIPTSEVLQEDHRSLFCITNFDGKSLYDQILNATNDFDNEFCIGEGTHGIVYKAILGSDWIVAVKKVHLPLQQSKNATFVNEVTTLTNIRHHNIVKLYGFCSHARHSFLIYAYLERGSLKAVLDEENEAMRLDWSKRLNIIKGVADALSYMHHDCSPPIVHRDISSKNILLGLDYEPHVSDFGTAKFLKLDSSNWSELAGTYGYIAPEFAYTMRVTEKCDVYSFGVLVLEVIKGQHPHDLIAVLSSPFSEKVDLKDLMDHLLARVFKLFHHYCYTKHGDDD >KVH98563 pep supercontig:CcrdV1:scaffold_868:68489:72030:1 gene:Ccrd_023219 transcript:KVH98563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDPFFSHIFPFLMVFAWFVITNESTLHNSASTFAGSKQDYALIMWKSSLENHVSLSSWSLIPPRYYNSSTDVVSCSWFGVSCHLDGSIKKLNLSSSSLKGTMYKFPFSSFPNLTSLDLSTNEFFGHIPYQISQLQKLVHLDFSDNQFSKEIPREIGNLSSLTTLYLWGNNIYGPIPHEIGNLRFLVNLGISKNHLDGSIPSSLGNLSLLTTLYLFENDLSGPIPHEMGNMRSLVNLAIYENHMDGSIPSSFGNLSLLTTLYLYENELSGPIPHEIGNLRSLADLEIEENHMDGSIPPSFGNLSSLTTLYLYQNQLSGPIPHEIGNLRSLVDLEIDENRLDGSIPSSLGNLSLLTKLYLYANELSGPIPHEIGNLRSVVDLEIDENRLEGSIPPSIGNLSLLTKLYLSENEISGPIPHEVGNLRSLVDLAISENHLEGSIPPSFGNLSLLITLHLENNGLSGPIPYELGNLGSLLSLQLYNNTLSGNLPNRMFQGGKIQKISLSDNAFNGPIPQSLGNCSDLIRIWFDGNFLSGDISESFGIHPKLYFVNLARNYFHGELTDKWGTFKKLGTLDISANNITGTIPREFGDLIMLERVNLSLNRLIGNIPKELGNLKDMLYLDLSNNQFSGPIPEELGLLTKLRELDISNNKMSGVIPRILANCEELDSLNLSHNDLNQEIPIQILELRHLSKLDLSHNFLVGEISSKFRSLQSIEVLNLSHNHLSGTIPITFAGTHGTVYKAILGSDTIVAVKKVHFPFQQSNDVSFVNEVTTLTNIRHRNIVKLYGFCSHARHSFLIYAYLERGSLKAVLDEENEAMRLDWSKRLNIIKGVADALSYMHHDCSPPIVHRDVSSKNILLDAEYEAQVSDFGTAKFLKLDSSNWSELAGTYGYIAPEFAYTMRATEKCDVYSFGVLALEVIKGQHPHDLIEVLSSPFSEKLDLNDLVDLRIAPSSLQTKMILEYVIMVAIECLQTIPKRRPTMYQVSARLSALGLPMITSTRNTHLETISH >KVH98560 pep supercontig:CcrdV1:scaffold_868:492:1279:1 gene:Ccrd_023214 transcript:KVH98560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSMXSRFLITLVCSILLIIPSTFLASADGEDLAYAAPPSPPCSSKRRGLATADPIPSPGENPSNGVIGTNSASP >KVH98554 pep supercontig:CcrdV1:scaffold_868:93501:95155:1 gene:Ccrd_023221 transcript:KVH98554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MWKEWSAIAAHLPGRTDNEIKNYWNTHLKKRLIQMGIDPITHQPKTDLFSCLPQLIALANLKELLEHTQLTHNLQCFNLFQQPAIAANNLELNAMNLMPANQEPNPYFSNNFQQELGQERVEMSNFFPVENASIGDGGSQPLQQQVIVPFMNVKTSDHHEVVGQCQMGQMSDKSASSTWNPLPPLMDSTTSGSNSQENSSTISYGGGSGGGGGEGGDSLFNWPDFLLEDSFLDYNLKEIC >KVH98559 pep supercontig:CcrdV1:scaffold_868:31484:41245:1 gene:Ccrd_023217 transcript:KVH98559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASITSKSGKALVSRQFVDMSRIRIEGLLAAFPKLIGSGKQHTYVETENVRYVYQPMEGIYLLLVTNKQSNILEDLDTLRLLSKVPEFSASLDEEIICKHAFELIFAFDEVISLGHKENVTVAQVRQYCEMESHEERLHKLVLQSKINDTKDVMKRKANEIDKSKIERGKLEKGGYSSLQSMSSMGSIGRMDASLSNDMGISSGNTFGGGSGFGITSEVDNFSSKPKGRPSAAVGAPTKGMGMKLGKSQRTNQFLESLKAEGEMIVEDVRPSANPSKAAAAPPTDPVTLTVEEKLNVTLKRDGGLSNFDVQGTLSLQILNQDDGFIQVQIESVGNPEIKFKTHPNINKELFSNENILGSKDPNRPFPAGQSGDGLGLLKWRMQSKDESAVPLTINCWPSVSGNETYVSIEYEASSMFDLQNVVISVPLPALREAPNVRQIDGEWSGSMEFVVPPADTSVFFPISVGFSATSTFSNMKVANIVPLKGGAAPKFSQRIQLVTESYQVV >KVH98556 pep supercontig:CcrdV1:scaffold_868:151889:158798:-1 gene:Ccrd_023225 transcript:KVH98556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLHRGFIGGGRFSGNIQDLQEDTQMKDRTDKQDFDKNRLSSDHVSLSLRNPVGFLFSSDLSSKQNVNENRFLFSDLSFHGNGHKLALVFLKFTLVVIVFLALTVSFWWTISLTNTSRGHVLRGYRRLQEQLVSDLWDIGELSHGGSSLKELEFCSLEFENYVPCFNISENLELGKGYDRHCGVTSKQKCLVLAPVKYKIPHRWPTGRDVIWIDNVKIKAQEVLSSGSFTKRMMMLDEDQISFSFASSMVDDNIEDYSHQIAEMIGLRNESYLVRTILDIGCGYGSLGAHLFQKQLLTMCVANYEASGSQVEITLERGLPAIVGSFALKRLPFPSLSYDMVHSAWDGIEWDQKDGMHLIEVDRVLRPGGYFVWTSPFAKTPSAARKKDNIKRWDFVRNMVRVPWCAKRAVILNPHITIHSRHALEEHIVTGVLLEDLKEDDLNWKSAVRNYWSLLSPLIYSDHPKRPGDEDPVPPYNMVRNVLDMNAHFGGLNFALLEAGKSVWVMNVVPTSGPNFLPLIVDRGFIGANHFRVTLELTIWCMWKVFYRLKMQINGWVILRDTVSLIETARTITARLKWEARVVEIESNSDEKLLVCQKPFLKKQTNSL >KVH98561 pep supercontig:CcrdV1:scaffold_868:14961:18166:-1 gene:Ccrd_023215 transcript:KVH98561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEMAIFMQLCLLVLATISLSPIVAYGSDGEVTALLKWKESLQQQNNISVLPSWMSSLPNSTQNRSSTPTMQSTSPCYWYGVSCNSDGSITRLNLSSSSLTGTLNTFSFPSFPNLTHFELSFNNFSGVIPPGISNLSKLVYLDFSGNQFSSIIPPEIGLLENLETLHLFDNQYLNGSIPHQICRMKSLSGLALYGNTLSGEIPFCLGNLTNLSYLFLNDNELFGSIPYELGNLTNLTGLHLENNLLTGPIPDTLGKLNKLIXLNLFXNXINGSIPPEIGVLSSLZLLNLYNNSLTGSIPNSLCRLQSLIFLRLYSNNLSGPIPEDLGNMSSLVNLQISNNQLNGSVPKSIGNLLQLESFHLRNNQFSGSLPEELGNLKLVVVELSENRXSGSLPDTICNGGRLQLLLASRNKLTGTMPRSLFNCSTLIRVRLDGNQLTGNVSEIFGVYPHLQFISLGDNKVYGELSDSWSKCKNLTSLHVGGNNISGSLPPSLGNSTQIVMLNLSFNHLVGKIPMEFGRMNRLATLVLRDNQLSGALPLELGSLTELSLLDVSKNNFDGSIPYTLGNCLNLFQLYLGDNRFVHEIPIQLGRLFHLSDLDLSNNSLTSTIPTQIXSLSSLEKLNLSHNQLSGSIPKSMEAMNALWSIDISYNRLQGPIPNSKGFXNISLQGLQGNQGLCGNITGLQQCDNDLIAEGRNTRKGRKLALIVSLPLLGALLLGIFVGMVIYSHRRKRMSSKNQRVEEHDMEFFSIWAFNGKGKYDEILKVTQEFNEAFCIGKGRCGSVYKAKLASNETVAVKKLHSSADMVDRDSFLNEVKALTEIRHRNIVKLYGYCSHKRHSFLVYEYLERGNLFEILRNEVARTLDWTKRVNIIKGVAHALSYMHHDCSTPIVHRDISSKNILLDLDNEACISDFGTSKILYPNSSNESAPAGTFGYLAPELAYTMKVTTKCDVYSFGVLTLEVIKGEHPGDIVTSLSYEKMKLKDFVDHRLSSPLLEMKEVLIAIIMLAIACLNANPEKRPTMHDVSQKISTYCN >KVH98552 pep supercontig:CcrdV1:scaffold_868:127486:134821:-1 gene:Ccrd_023223 transcript:KVH98552 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding, integrase-type MATTAAADDDSDRPPLRRDSVPVVDLRLLSQSELYSLSLSSDSSFDLNRGDDVVIPKINRSVFNESAGSRKQTYSRLRLASAESSATTKTTTLHRRTPHLRSSHTTSSNNINDPEQAENSQIIRMLKHLCKSDPNFQDVVENEDENDSNSVVPEFLNPENLGIKRKRGRPRKHENVVFLRPPTAKRIRNNTVKKVVVFDHERDREIVNDRGVPVNLTTLAGLEDPYGPEIRRRTVGMSTEDELLGFLRGLNGQWGSRRKKRRVVDAIEFGDVLPKGWRLSLCIKKKEGRVWLFCRRYISPSGQQFESCKEISTYLISVIGQENLDKPNHIDINSCDDNAFKGASVNAADLVLQEDIKRDGPINNPSSSSSCPVNNPSSSPPAPPLPTNCEEQVTMDIEDAMDVQIGDVFKCLKCSLIFEGKDNLLDHQAKRNESVASLGSSHDGLNRDVLDFNTKSNLEFCSLVPSENEQAFSFQDDVTCLYDRAMEECKQESSERGLLNHFSIADTSDDIFENKIYSTPLDGLKFDDDRDISSNELSLAFGNPHALYPDAIRVEQKKDLVSCSVVPSKIDEAFDVHTSLSMVNNSMVENLKAGRGSVGGLFNLSCNDKTSSFPNGGNTVYPGRAWEGMKSDEFKNSGNKFTTGFGSNHGQTHEVVPSGMWKTTGDGNQLQSGLSTPSHPQIQSPSSFHSFNIMLEMDSLDLMKGFGVSGMRSSRPEPVEFSFLTGRSQHNPHPLQGDSRVFSYNAGMEQQFDSSFWLGKNGMMPSTGGRNQITSVCAWCRNEFHLQPVHPGTQDGIVQECQGMSTCCRTISAFSRAVFPREHK >KVI00268 pep supercontig:CcrdV1:scaffold_8687:5215:5646:-1 gene:Ccrd_021483 transcript:KVI00268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEQEAKIPKLKTQSISELCLPDDQDLLNHSPMVFNTVLVASVATVSADVWQSVACFSERINTDELLDLVICFPLQQLGRFAICVWNFFCVPPSPPDSYYYSYAYYDDDESDYDSDTSSAGVGGYSAYDHVLRDSYSDPHSD >KVI06138 pep supercontig:CcrdV1:scaffold_869:88216:102680:1 gene:Ccrd_015520 transcript:KVI06138 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit C MATRYWIVSLPVQTSATSLWSRLQESISKNSFDTPLYRFNIPNLRVGTLDSLLALSDDLLKSNTFIEGCSHKIRRQIEDLEKVSGILASSLTVDGVPVDSYLTKFVWDEAKYPTMSPLKEIVDGIHAQVARIEDDLKVRIAEYNNVRSQLNAINRKQTGSLAVRDLSNLVKPEDIITSEHLVTLIAVVPKYSQKDWLSCYETLTTYVVPRSSKNLHEDNEYAIYTVTLFNRDADNFRTKARERGFQIRDFEYNSETQESRKQELEKLMQDQESLRSSLLQWCYTSYGEVFTSWMHFCAVRLFAESILRYGLPPSFLSVVLSPSVKSEKKVRTILEGLCGSSNSTFWKTEDEGNMGGLGGEADTHPYVSFTINLI >KVI06140 pep supercontig:CcrdV1:scaffold_869:58929:60593:-1 gene:Ccrd_015519 transcript:KVI06140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSESEADVVEASNLQLLQSLLDSIPQTLSFKGKWSIIGTKLSDLKIQLSDLSDFPSNSLSVDILRSLSQTLSQALSLSLTCHSANLPGGKLKTQNDIDSISAKMDSHIKDCEVLIKSGVLHDGVVSTSSATVSKRESIRVESRNLITRLQIGSTESRNSALDSLLGLLQEDDKNVLIAVAQGVVPVLVRLLDSSSTSEIKEKTVTAIARVSTVDSSKHVLIAEGLLLLHHLLRILEAGSGFAKEKACIALQALSHSKENARAIGSRGGISSLLEICLAGTPSSQAIAAGVLRNLAGFSDTRENFIEEDAISVLLTLASSGTVLAQEYSIACLSNLVREDDNLKVLIVRKGAFDSLKTFWDSAPAVRSLEVAVEFLKNLASDPRLVEPIISDEFLPRIINVLNCGVLGVRIQSAKAIYRLGCNTKARKELGEYGCIPPLIAMLEGKAIEEKQSAAKALSTIMIYTGNRRIYRKEERGIVNAVQLLDPSIPNLDKKYPVSILLSLSHSKNCCKQMVNSGALLYLQKLVEMEVEGAKKLQETIGRGKLWGVFTRP >KVI06141 pep supercontig:CcrdV1:scaffold_869:11210:15123:-1 gene:Ccrd_015516 transcript:KVI06141 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MVDFGRVQKELQECNKDINVSGISVNPKSDNLTSLIGTIPGPIGTPYEGGTFKIDIDLPDGYPFEPPRMKFATKVWHPNISSQSGAICLDILKDQWSPALTLKVALLSIQALLSAPQPNDPQDAVVAQQYLKDYQTFASTAHYWTESFAKTSSLGIEEKVQKLVEMGFPEGLVRSTLEVVDGDENLALEKLCSG >KVI06142 pep supercontig:CcrdV1:scaffold_869:26727:32922:1 gene:Ccrd_015517 transcript:KVI06142 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4210 MGLPQVSSSKIAEEVTASFGTSVQSPPHFHGVSNCDMSGMHANRVQVERSREADISSLHRDGHVNWQKLGSTDKISTFTRKAGRNVQTAVSRIVGFESKSSSSPGLAFDEMQTDSVPSSVSSGTDDVMENSGALVRKRLLSPLNSLIPPSQFDSEPLQIGGTKYQNGSPEGSDKHLVAAFQEHMNSSIEIPKCMTPFLLSPSSYQQCRNTGSVIFGRNSGFFTDGPLLENTDLQSFGSFSSSPGVDGIEFTTKSSNSPIAIPSRRMVSSPLSLSPLGPKFSRTSKPSGGCMAMTNDLDDNHLTLKEMELSLDGTISDILSFQGGEDSRMARKLTFEAEQVQRFEQNTPESMIELMGDWGQDSTLGTQCSKLCRTPSGLQVRRSWIGSFEESLLSGRLASGTVSQKIDGFLAVLNITGGSFSPHPQKLPFAVTSVDGDNFLLYYSSIDLAGHLPSNKHRSHKMRRSLSIDDPQAEKSRLRVPMKGRIQLVLSNPEKTPIHTFFCNYDLSDMPAGTKTFLRQKVTLASSTPASKTGSGNKSGKSSETIEGVKTDGAECGPTKTVQSSPKANENLNNSGVLRYALHLRFLCPFPKKNSRMVQRCKSDPSSVPEKNSKSIGGERRYMQSVIIRRIPNTLISASKKGLISISISITITITITITSFLLCIFINKKYN >KVI06139 pep supercontig:CcrdV1:scaffold_869:51298:53417:1 gene:Ccrd_015518 transcript:KVI06139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant METTANNDAAVFKLRQIPSPITHLSFMASQIWLRIVAAATSIAAACLMFNSRQSKLLFGTNLDARYTYSPGFKFFALMNVVACAFSVLSLLPVFSLGRKFSNSVNYFFLFLHDLILTTLLVAGCGAATAVAQVGKYGNTHAGWMPICDNFGKFCHKVSASLILGYLAMLCYLLLTVISANKARQVSV >KVI06137 pep supercontig:CcrdV1:scaffold_869:151752:154015:-1 gene:Ccrd_015521 transcript:KVI06137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein LDPHLLFQLSSILVIIKSSIFRFQFTTPKTPIQVPKMAAFTYQQHHSFLLDQAVFFPSSTTSTTAAATTTTCFPQFHQPNDHAFQGHHHELITTKVTVHSNNNIDQASVANKLQSPDSSMSMVLDDQKVESGNELLAKKRKDKHMSSLNHAQSKDTSNRMKKQKKMNDYQEEKKKKTIKKGSSSEEEGAIGYIHVRARRGQATDSHSLAERVRREKISERMKLLQAIVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMLYDFGVDLDAFMLKPDENMSSMVLPIMPSVQQCSPHGGAATFAPANDNYHHQLIDGSSSSANSLLFQQLQMPNILSQDNGQQLWDVDDQRLKLDNRYEVVTHARRFFPSSSAKQINQISCEYEKNVTFDDRYQESLHEGDGLIYLLSTLTSYEGFIKNRRT >KVH99823 pep supercontig:CcrdV1:scaffold_87:407025:411163:-1 gene:Ccrd_021938 transcript:KVH99823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex, subunit 1 MDPPTSWDSLRNQARKLEAQLDDQMHLYRKLVSIKVDDGSENDLASGIQQLLKQLHHVISQMQAWVSSGGSEIFSHTLTRHQEIHHDLSQEFNRLRSSLRAKREHASLLEDFREFDRTRLDLEEGGASQEQSLLKERATLMRSTGQMDGVISQAQETLGTLMFQRSTFGGINSKLSNVSSRLPTVNSILSSIKKKKSMDTIILSLVASICTFLILIYWLTK >KVH99825 pep supercontig:CcrdV1:scaffold_87:127517:127795:1 gene:Ccrd_021967 transcript:KVH99825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYVPTTSEVLLDIGQEDKEDALNRHSEKLAIAIAFALLKTPPGIPIRIVKNLRVCGDCHSATKFVSKIYSREILVRDRNRFHHFKDGVCS >KVH99784 pep supercontig:CcrdV1:scaffold_87:217782:222235:1 gene:Ccrd_021955 transcript:KVH99784 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4050 MANGFAAAEITIATMFFERLVGKSFFCLVSETYCALMHWVMENLKDKLRSLFHSRGCLGCPKVPFMTTGKKTTGASKNDSATNKASMVQDFWSSSTYEMDNSAAQSQLSASSVSLSNPTLDGHCSSGSNPPEFVNRGIWKATYDNLLGTNKPFPRPVPLREMVNFLVDVWEEEGLYD >KVH99819 pep supercontig:CcrdV1:scaffold_87:490201:493795:1 gene:Ccrd_021930 transcript:KVH99819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF789 MSNFGRRRVRENRLYSPPALRSNNQPPEFNQLQRQVTPNRQQQEKQEQPESLVDSNSRRNAAITNLDRFMKHTIPIVTAQHFPKSMTKGWKDHERDQPYFVLGDLWESFREWSAYGAGVPLILNESDYVVQYYAPFLSAIQLYVDPSAPVMNVRRAGDGSDSDSSRANSSDGSYEAGAGGHEPENTVHSVAQSFNKFVLDEGETGNPKGIPVYEYFEHDLPFQRAPLADQASQDSVLELASKFPALRTYRSCDLTQLSWFSVAWYPIYRIPVGPSLQNVDSCFLTFHSLSTPLRGTDGDSSLIGGSRIREVRDGRMACCLALPTFGMAVYKFKSSDWIGGAVHGIEKVNSMMHSTDNWLRRLGVYHPDFMFFKNRPMLW >KVH99789 pep supercontig:CcrdV1:scaffold_87:31779:33302:1 gene:Ccrd_021980 transcript:KVH99789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-L-amino-acid decarboxylase MGSLPVFLEKGTLPVATHEFLNPLDHEQFRKQAHLVVDFLADYYSNIEKYPVCSQVKPGFNWLASPAATELEMVVMEWLLKLVQLPKSFSFSSDGGGVMHGTTCESFVCTLVAAREKKLSQIGNNRGDTLGKLVVYCSDQTHCSLQKASQIVGISPNNVRAIRTTRSMNFQMCPDALLXTIEEDVRAGLVPLYLCLTVGTTQTTAADPIGILCEVAKKFNLWSHVDAAYAGNACILPEFRHFLDGIEGANSFSFNAHKWLLTSLGCCCLWVKDRTDLTKSLSTDPEYLKNKATDTKQVVDYKDWQITLSRRFLSMKLWMVMKSYGVSGLREFIRRHVNMAKHFEALVCGDKRFEVVVPRNFATVCFRIAPSSEGSNEEDVNQLNRKLLESLNGTGSVYMTHAVVENIYVIRVAIGATLTEEKHINKLWDMVQEHANTLLAC >KVH99806 pep supercontig:CcrdV1:scaffold_87:285806:288950:1 gene:Ccrd_021949 transcript:KVH99806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longin domain-containing protein MTIFYSLVARGSVVLAEFSGTQTNAGTIARQIIEKIPGNNDTNVSYSQDRCIFHVKRTDGLTILCMSDDVAGRRIPFAFLEDIHQRFVRTYGRAVLSAQAYGMNDEFSRVLSQQMEYYSTDPNADRLNRLKGEMSQVRNVMIENIEKVVERGDRLELLVNKTATMQNNTLHFKKRSRRFRNTIWWRNVKLMVALLLLLLVVMYIVLAFACNGLTLPACLA >KVH99812 pep supercontig:CcrdV1:scaffold_87:381902:392997:1 gene:Ccrd_021941 transcript:KVH99812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-SET domain-containing protein MSISKFSEMCFVDSSLNRIKMFINQMLWLILTATCLQHSGLRDVNPGDAIHMDSGETIAQWRKAYFCKFGVSSPENLISMSSDAADIIIPEDQCGWHWPEGFSAAADLVKTSERVPNVSRSSDLSNSAGPPRAFVASKQLLNNMVFPNNHRPSQNLVNEIQRHELERNIHDNCKLSDGFTETSQSNSHNRAANEITEQPVSRCLPTSKLAGGTGNAFQSSPACLNPIYKTKIAFTSQKSLQDLGSLGKDSDKFNDSRDGDILEKNTVSSNIELRLGQPSQQSRTLGKSNVLGFNTPRVSRVGHPLELISSKPLIYNVDSNRITEESKQSVNCAAQAAKSSSIEGQNRLRFSNLGFGAYSTRMPLQPEQLKADVIAGPVSSMPFSHLESPKDKMQSKYSYSGVDDRHVMPKQQYVESQISKLDSVNFGCTDKSTKVKLSFRNMENYKLMDREEGLGHGAMQKHAADKMEVGCHVKFMGRPSSSFGFSKTSREQNSHVQILINIPINVTDSRLSFNHPKTIFPLEQGENVDHGFSRPVTSRPMSPRPPLISRAPSIVYSSVALNSSPNMTSTMSEEEAARVMSSHTPPSRQGMKHSNQDDVSSSCGFGKDQNALGNSSNGNLRSSKTLQAKSTEIRDGYKLASKSALPEHAAKSVHSGTTSGTGDGAEKSTLISVSRETSFQIRDIGVQRNLLCDPYQTGPPLPRIGISENILSSSGHGNCCQGTSCSYVPDKCICWVQRNSMNGNSNLEGNDLVGAFREPLEIRTSMLSASNLDKDCTLGDRCISSGKIGETTKPNLKKVEFNTFQWKDVPSKMSERCHVPCKDQKAKLLEHRIDVNDQTSDVARKCYDQPVQKVDCMKEQVMSNISSKCSAPAPTQASVKISNGDSCTDDAQNTGCAKNFAVDEGSGIQKSWSSDDAPDSGSNTGFDGFACTINSKNETQSKAISNRSTRSLIDELRVIDSLRLKKVHNQVRTGIPVHENTSSMRTFEKDFKGGKRKRETKFKILGTSFPASPVSSVSTGSSGQSSQSLEHLMMIAQPNQERSKNCTCSVGHGSKRRSTLPASSTACCTEDVHKLDRSTKDIMCKIPRRVSDDCLEVPEHSRAKKVKLNLDFSKTKHVWKQETPCKRITRPVVCGRYGLISNGDTSKPAKIFSLGKILKTAKRCAPAGNEILNKPPAKPWKKSITREGNRHSGRISNLKEGKYHIGQGAAVSSDDDFMETSEGTEMVHSPQWEKDPDTSNMLDKGKERRNERSQHFPDSDLGTRSRRKSKEVRKRSLYELITEGICTKPIEVAKHMNIPSLPPLISPGNDSGFATISKNIASVPQDSLKNGGNSNNLHGVDDIYRSPEELTCKSTPDVDTFCHVCGSLNNDEMNCLLECNRCLVKVHQACYGISKVPRSYWYCRPCKENVTNMVCVLCGYEGGVMTRAVQSSNIVKSLLKAWNVTESQENPTMPSRVLKDRLNADASSGNQVDIDAHPNTFSAHIKASSSHYHMNDTMVLNSVTAGLFDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGACCPKGNVVCSMCKRPGGCCIRCRVVDCAVHFHPWCAHRKGLLQSEVEGAENEKVGFYGRCELHATEDRSHKSNSQSIQVASLDEKETCARTEGYKGRKREGFRHDSLQSSGRTGGCLFRQEQVDAWNHINRLMSFKKRLQRMPQPVQDVEYDSRKEYARYKQSKGWKHLVVVEYVGEIVGLRVADRRESQYQSGKQLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCQPNCVAKVITVRGEKKVVFLAERDIYPGEEITYDYHFNNEDEGKKILCSCNSNNCRRYLN >KVH99792 pep supercontig:CcrdV1:scaffold_87:118268:119453:-1 gene:Ccrd_021970 transcript:KVH99792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILQSELAASIQSSMKVKLVKQICSLLEIIQYLVEGGFHGDTSLYDYVERTIKLRYSHKLGDVVDKIYDQMDLLPFGEENEAQAQMLNSEDSNQSWREKPDMGASKMIQDSSSAEDEFENINKSEEEHTKEDHAQKLNEARERRERARRFVSFTSRMPDLQRVWAPKHSKIKPPSFVKEPKRKKHRRVSYSVVCETPLTVKGEGSKGCSSVSKALFQDDDDDD >KVH99829 pep supercontig:CcrdV1:scaffold_87:145342:146396:1 gene:Ccrd_021963 transcript:KVH99829 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX, N-terminal MQFRKLLNKLIDETLPEWRDKFLCYKDLKKQLKRVYSRDGRGNKRIKLSNGDETDSIATEEEVANFVKLCQEQIDKFNDFVLEKQEWYLIKIEVLEGNLIATKDSNEELWKIGRTLADLHGEIVLPLYLFPKLYSKLPLKCCNFAGLVKILKKHDRLSGALVRVPFIQKVMKEPFYEPDVLNNLAKKCDTMLEQLFSMNEPRTSASATSKKEEGTIPESSSAEPKEKSSPTVPEELVDIKHMENDYMKLTLSALKVLQELRSGSSTASSFSLPVMQQSMERKEVWKESLAVEVNR >KVH99803 pep supercontig:CcrdV1:scaffold_87:524376:532024:-1 gene:Ccrd_021927 transcript:KVH99803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo FPFKLQILAYQVDPSLLFSSLLVSPLVSEVSPFLQSIMSLRPSARTEVRRNRYKVSVDAEEGRRRREDNMVEIRKNKREENLLKKRQVLSSSQPLSGGAPVQTSTIDKKLESLPNMVAGVYSNDNNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGQGALMPLLFQLKENAKLSMLRNATWTLSNFCRGKPQPPFEQVVYSIYYYFVILNPFGLQTRPALPALECLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIDAGVCKRLVELLTHPSPSVLIPALRTIGNIVTGDDVQTQHIIGHGSLPCLLSLLSNNHKKSIKKEACWTISNITAGNNEQIQAVIEAGLISPLVNLLQTAEFDIKKEAAWAISNATSGGSNEQIKYLVSQGCIKPLCDLLICPDPRIVTVSLEGLENILKVGEMEKNVGNTGEVNFYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEDETVGDGAQPGFNFGGGVQGFERKMKYISGVKGQVELSVGSGRVASERLGFQSKMKCVKVNPGQFGLSRPVRSGHNISHSLVTQWSSGGQVLKRCKGGPVGFSPVYEGAG >KVH99816 pep supercontig:CcrdV1:scaffold_87:456302:458878:1 gene:Ccrd_021934 transcript:KVH99816 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b5-like heme/steroid binding domain-containing protein MSSIKEFEHLRIPLQDIKLATNDFGDDNYISRGGFGKVYKGELVHSRGHVTVAVKRLDTTLGQGTPEFWKEIMMLSRYRHDHLVSLLGYSDDGGENILVYEYVPNKSLDMYLSSTTLSWIQRLKICIGAARGLEYLHNPGSTTQRVLHRDIKSSNILLDENWNAKISDFGLSKFGPANQEFTFLISHAAGTIGYCDPLYMDTGFLTKESDIYSFGVVLFEVLCGRLCYVNYNDSRRFLSKLAQRCYEENEIHTIVHTCLQEQISPDCLDKFSTIAYRCLLRDRNDRPPIAEIIEQLETALQDQETHDFEKEKKLESDGEVEEDGDVGDMSEEELKAYDGNDPAKPLLMAIKGHIYDVSESWRFYGPNGPYGPFAGKDASRALAKMSFADEDLNGDLTGLGPFELEALQDWEDKLKSKYVKVGSIINRGGFGKVYKGKLVNSGSRVMVAVKRLDSAFTAKFWKEIMMFSRYIHEHLVSLLGFSDEGGENILVYKYLSNKKSRLVP >KVH99813 pep supercontig:CcrdV1:scaffold_87:367370:375723:1 gene:Ccrd_021942 transcript:KVH99813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MASNHGGKDVMDSGSSEGNSSEPTVEIKIKTLDSQTYTLRVDKCVPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVARQPMNPSLASFPDHTEFILSPKYVAFSASDPASSTRQHQHGPSVVVGTFNISEQGDGSIPDFSRIVSAVLGSFGIANAGSGSEAVDLHQHISERLSRIPGLHDIRNSTGQQPDDASGRGQPNPQNAAFTLPTDVSMESLQLPVIPDSLSTLSQYLRRLRQEFDDNGQKSFLLNFLDTIELLIQLFMIIQVNSSQSLGAGNAGEESNASPHSGSGQGGLPTPAALGEVTQSARQLLIEQAGECLMQLTRQLGDQTNVTDPVVRSRMQSNALRSGALLQNLGAFLLELGRTTMTLRMGQSPTDAVVNAGPAVFISTSGSLLSSAVNQRDASVGERSATDPLASDGGISDHQATVRNLPPTRGSDVRVVPIRISTVPPGLAHSESSRSSMGVLYPMLARAQHADSGNLNGVNGGQASNGSHPHSGENRPHLVPDSAAQQQPPETPAIPGTTHGVLHSDQNSAGEVPNGLGQLLRNLFPTEQNHGNDFIFQGMGADSVSGNVETNPQEAPRVSDEGTFLANLLHQIMPIVSQHINGADDSSEQGAAMEDRATQPSS >KVH99786 pep supercontig:CcrdV1:scaffold_87:273852:275776:1 gene:Ccrd_021952 transcript:KVH99786 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MVVKMKKWSPWQHQPPPATESRKFRVKVERIKLEGFEHGGGGGEKVMGMELRWKGERKHGLAVVGFHRRRSGEQRCKERIIRKGEVIVWEEDEDLENICWFSAGPSNAYEFDQHKFVPWIITFKFTYGEYNKGKMAVIGKASLNLAEFASNVGSLMIEKKLPVDLEVAGLTTPATISVLLSFVEIRDSGELVQATQSEGVKEDVRGNKKLSMEEVSLSDSDNSVTFDSDGTSDSRAVTQSSSSVAIPPDMDKKAGFFDWKRRRLNRRPVKTKVEDSRPATFSGNSIASGSEYKNLIRNSLQITWEAREFVSRDGESKLDTQTFFASFDQCSDKAAGPSACTTLVTVIAHWLGSHDSTIMPTVQQFDTLIIDGSSEWRNLCEKGSHVDEFPDKHFDLETVLRAGIRPLSVSREKSFVGFFSPEKFESLSGVMSFDDIWDHEISRSPGVYIVSWNDHFFVLKTDEDGYYIIDTLGERLAEGCKQAYILRFDHGSCLTESRNDQVICKGKECCKEFIKRFLAAIPLKELEMEEQKQAVPYYSLHHRLQIEFNFCNTV >KVH99814 pep supercontig:CcrdV1:scaffold_87:352464:356172:-1 gene:Ccrd_021943 transcript:KVH99814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 79 MAWITSKTVLSLSIGSSEGTVNVNGTASIGFVDEDFICATLDWWPREKCDYGNCSWGQTSLINLDLNNKILFNAVKAFSPLKLRLGGTLQDKVRYQKISDQEPCSNFTKDTSVMFGFTDGCFTMSRWDQLNIFFKNSGAKVMFGLNALSGRKINPNGTTYGAWDSSDAESLMRYTANRGYVIHGWELGNELSGTGIGTSIKAKQYATDTIALQKLVQKIYDGFQEKPMVLGPGGFFDANWFNEYVLEASTSLQAITQHVYNLGPGVDEHLVEKILDPSYLDGGSQPFRDLQNILKKHGTSMVAWVGEAGGAYNSGRNLVSNAFVFGFWYLDQLGMASTYDTKTYCRQTLIGGNYGLLDTTTFLPNPDYYRDDGALDQPRWS >KVH99795 pep supercontig:CcrdV1:scaffold_87:79261:90869:1 gene:Ccrd_021975 transcript:KVH99795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein VFTLITHTHRFVVSCVERSSTLNKFADSDFSSSSDQFFEECWIDWSSNTKKMSKKNPLVYLDVSVDGDPVERMVFELFSDTVPKTAENFRALCTGEKGNSQKTGRPLHYKGSFFHRIIKGSMAQGGDFFKRDGSYGESIYGGKFPDESSKLKHDATGLLTMAIADRDSRGSLFNLTFGANRHLDRKYIVFGKLVQGLDVLKKIENVGDEDGRPTVTVKIIYCGEISESENQSSDKKPASKLKTGKDVSSEVHSHEVRRKGKHKKSSKERRKKRKRYSSTESDSSSDMESESSESDSDFDSDISSSSSSSSDLSSSSDDRRKRKKRSSRRDRHKRGKKRDKRRDKKRRKRDKKSKRRSKRMSDSVSKSMSEGEHGSEDNGDVRKPNRKHNNLAKITDGNQSPSAEVEDGSVRHKTGDAYDEHEREEGEYPKENGDRPSNGVDTVKGAERPDVVDDHPGNSRSRSKSPRRALSKSMSISPRKSISKSPSVSPRRNLSRSPSASGSPRHASPLKNRSLSRSRSPVRSESSSKSPVRSISRSPVRGKKSRSISPSPVRSRIRKSVSRSPTPSPPRRSLSKSPLRTSSRKSSRKSVSRSPVRSSRRSYSRSPVRSSKRSLSRSSGRAPLRRSPSRSPIRAPARSSRRSYSRSPVGGRRARSPLGDRGRSLSRSASPDGSPKRIRRGRGFSNRYSYARRYHSPDRSPVRSYRYGRSDRDRYSSYRRRSPLRDRSPPRYRARRSRTRSPSVSRSPVRYRRRYSRSRSPVETSRYRPSPPVERRRAARSRTPPSRSRSPYEARSSPSPSPRRTSKSKSKSKSKSVSGSRSRSRSSSGSPPGKKGLVSYGDGSPDS >KVH99801 pep supercontig:CcrdV1:scaffold_87:507969:511196:1 gene:Ccrd_021929 transcript:KVH99801 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MNLGGGQVVNKQSVMPSSSGASWKSTAAGGSGGGGGGDGGVPDQFPAGLRVLVVDDDPTCLMILEKMLRNCNYEVTKCNRAEVALSFLRENKNGFDVVISDVHMPDMDGFKLLEHIGIEMDLPTILLDCRVRKAYFLMIEFTVMSADDSKSVVMKGVTHGACDYLIKPVRIEALRNIWQHVVRKRKHEWKDFEPSASADEQKPPEEPDYSSSANEGHNWKNTKRRKDEDDEGEDRDDSSSLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGSQHPGGMNASFMGGPDAGYGSISSLNGLDLQALAASGQLGQLPPQSLATLQAAALGRSTNSKSPISAPVIDQRNIFSFENPKSRYTEVQSQHLTNSSSSKQMNLLPGIPTNMEPKQFVSLQHQSGQHSFGSINNQVLIQMGGQSQSQSHSHSHSHSQGQSNTNPHHGLRPPVLSSEMLTRGGVVDMATTVYNPVVSQASSLVDFSMNHKNDSSGSSYPLGGSLGIPNLASATPTPLQGDGNLDTKVPRRGIVSSYDIFNDINPSGIREWGLQDVGMTFDSAQHTMQGGSGPVLLQHNRSSEREMGGQNRNSTSGKAIFSPGVETGSHRNVGQQRNSYVAENSLRVKAEDGSSHNNTLYPEQYGDDLLAAILKQ >KVH99802 pep supercontig:CcrdV1:scaffold_87:541811:545842:1 gene:Ccrd_021926 transcript:KVH99802 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MRVEKQGSIANRGFLHLFDWNVKSRKKLLYKTPYLPEQSKQKRSNDTNMPITQFHPVNEDYTANSLSLKGSSVYSCASSQMNEDEYGKSPGVVARLMGLDSMPTSNFSDTLLDSQSMRDSSAPYVRKSPKFERKDCPQMEQKHKVIEKFQTGSLPPKSAKSVPITQNKLLSPIKSSRFVSSDDPACITEAASKIPLVGSSSVSSSARKPSKISGSSRRVGESNAARNLKGQSMNKSWDGCLDSKPLKVLEDGKKSVSLALQAKVNIQKREGLSSRSLYSADSINSQPNKQKNTLKKPSTNSVLKQNNQKQNCVLDRGKSAAKSSVSSIRSQSRKQVPEKNLSKVSRNSTNKTINQRKDSTEDVKNGVRDKNDRNRNVVRSSGSDIVSFTFTSPISRSTDKKNELNGLKSANGGDSLSILLDKKLRDLTAMSSPSGSQESSISFRTRINRIPIFEGKRNQDRKSTMMDVDDAEQCNKSEPCYVKDILSDVESMFEDFSLGRTRKIVNPRRFDQLEAQRMVLEKQDELKLRRKLVFDCVSECVDSKCRVWARGLAVVRRKDWLAEEVCNKMSGWEQMKDCMVEELVDEDMSGDQRQKWLDYDVEVFEIGVEIERRLLDSLINEVVADILVP >KVH99781 pep supercontig:CcrdV1:scaffold_87:194733:195618:-1 gene:Ccrd_021958 transcript:KVH99781 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVQSKKFRGVRQRQWGSWVSEIRHPLLKRRIWLGTFETAEAAARAYDQAAILMNGQSAKTNFPVMTNKNSSGDNHHSPSSQIEIPVDTLAAKLRKCCKDPSPSLTCLRLDSDNSHIGVWQKRPGKGSGSGWVMKVELGGKRKEGPPPSPVVDEGGGSGDEEIDEENRVVMQMIEELLNWNSTPPSFPFV >KVH99791 pep supercontig:CcrdV1:scaffold_87:114927:116870:-1 gene:Ccrd_021971 transcript:KVH99791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLITEDFCVKILDKCPDIKQLKRIHCRLIIDGNLLSASHIALKLMKAYSECRQIAVTRQLFDEFPQKDVVFFNVMIRSYVNNVFYDRALHMYRNMFKFNINPDHFTVPQVLKACSGSENLWVGLQTHVAVFKKGLHSNLYVGNGLITMYGKCNRLLEGRKVFDEMPSRDAVSWNAMVAAYAQNGIFDLALEVCRTMIKSSSLKPNAGTMASLSPAVTSSTSPNNVMFMKEMFMNHARESLVSWNVMMAVYVHNSLPTDAVDLYLQMETYGISPDSVTVASVLPACGDLSALLLGRKIHEYVQRKRLLPNLILENALIDMYAKCGSLNEARKVFDKMGTRDIVSWTSMVSAYGMTGDGQTAIRVFSEMQDSGLIPDSISFVPVLSACSHAGLLNQGKQFFKLMTEEYKIVPRLEHLACMVDLLGRSGRIDEAYDFIRRMKTNPNDRIWGALLSACKVHSNMDIGLIAADHLLQLVPEQAGYYVLLSNIYAKAGRWKDVTNIRSIMKARGVKKVPGVSNVELNNQVHSFLAGDQSHPQSKEIYQELDVIVGKMRELGYVAETDSALHDIEEEDKGNHLAVHSEKLAIVFVIINTKPFTLIRITKNLRVCEDCHIAAKLISIIVEREIILRDTNRFHHFKNGICSCGDYW >KVH99821 pep supercontig:CcrdV1:scaffold_87:464881:466625:-1 gene:Ccrd_021932 transcript:KVH99821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MSVVLPKSDSVEIREVWNDNLEEEFALIRDIVDDYPYIAMDTEFPGVVLRPLAQFKNINDYNYHTLKDNVDMLKLIQLGLTFSDENGNLPTCGSEKHCIWQFNFREFNVNEDIFASDSIEMLKQCGIDFNKNTEMGIDANRFGELLMSSGIVLNPNICWVTFHSGYDFGYLLKLLTQKELPETQVGFFNLIKIYFPMVYDIKHLMRFCNHLHGGLNKLAEILEVERIGVCHQAGSDSLLTSHAFKKLKESYFNGSTEKYAGVLYGLGVENGDGQQHRIEEIVNHGDVYYLSSYGYIKASENHTK >KVH99783 pep supercontig:CcrdV1:scaffold_87:213004:215972:1 gene:Ccrd_021956 transcript:KVH99783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein TTTKINAYLPLYKAALRGDWEDAQDFIDQDEEAVTANINKYGFTALHIAVGTGKQGITFVEKLVEKISPKALVKMLTSSEKYTPLHIAAVVGNTTAVKILVNKNHKLLYAEDVDGLLPIHRALINSHKDTFLYLLVTRYPDLATTIPSDNVDAPLMAIARKADAFESGCRLSFFDSLIYKLPRITYIQKIKLDHHQALELVKCLCQEISALNLHSNSIHYSNPIVEAASNGAYEVVQEIADTFPQAIWYSDKSGHFMIQLAILHRCEKVYNLTYQMSDHKHFHKTLKDSYNNNLLHLAGKLAPPQKLNLEVEAFVHPKYKTEKNSFEQTPEMLFSKEHKKLVRDGEEWMKKTADSYTVTAGLITTIVFAAAITVPGGNNGETGHPIYAKELSFLIFAVADAISLFTSTTSLLLFLSILTARYAEQDFLFTLPSRLIMGLATLFLSTTSMMIAFGASLYLLFGQGKDWILIPIAALSCLPVTCFVTLQFPLLVELISCTYGRGLFGRQNDRPFY >KVH99780 pep supercontig:CcrdV1:scaffold_87:185222:186868:1 gene:Ccrd_021959 transcript:KVH99780 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MQTKMVRNLDQMLEDTDMAFDVITTSCAENGSTASIMLAAGFKPTTEPHLRGMLSSIRVAQLEDLREKSRIFVPEGRWLMGCLDELGVLEQGQCYIQVSNPSVENCFVKHGSRFSETKRNLTVIKGTVVIAKNPCLHPGDVRVLEAVDVPGLEHLFDCLIFPQKGDRPHTDEASGSDLDGDLYFVTWDXNLIPPSKQSWPPMEYTAAEAKLLPRDDIIDFFTKNMVNDSLGTICNAHVVHADMSDDGALEKKCIKLAELAATAVDFPKTGKIVNMPPALRPKLYPDFMGKESFQSYKSKKILGKLYRHVKDCRATDVTPSSELLILPSXIPYDEDLEVREAASFVNDAWGCKLSYDRRLNGLLGQYKVAREEEIVTGHIWSMPKHASKKQGELKERIKHAYSALRKEFRKVFDYLGPDFDQIPEEERNERYERKASAWYQVTYHPIWVRKSLALQEPGREGETVNLSFAWIAADYLARIKIKRRGAGDGRSQKPIDSLGRYLADRM >KVH99782 pep supercontig:CcrdV1:scaffold_87:202317:204931:1 gene:Ccrd_021957 transcript:KVH99782 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEPTSQGKGKKFLAQVKRMILCSPEFNRKSTSSNLYQIVGSKIYATVDLEKARVARTRMIENEPSNPRWYESFHIYCAHSLSNIIFTVKDDNPVGAILIGRAYLPVEEVVNGYEVDRSLEILDEDRNPIRGHSRIHVKLQYVSVARDSHWSQGIKSVTFGGIPYTFFRQREGCEVTLYPDAHILDDNMTSYLVSNGYYAPQRCWEDVFDAINDAKHLIYITGWSVYTKIVLVRDPRRPKPGGDVTLGELLKRKASEGVNVLMLVWDDRTSVELLKKDGLMATHDQESGDYFRDTKVRYVLCPRDPDGNNSYVQGIQVATMFTHHQKTIVVDSEIPGEPSSEMRRIVGFVGGIDLCDGRYDSRDHSLFQTLKDVHHDDFHQPNFSGASITRGGPREPWHDVHCKLEGPIAWDILYNFEQRWTKQVGTQHLFTLAELDQFITQPSPVGPSSEDRDTWNVQLFRSIDSGAASGFPEKPEEASMAGLVTGKDKVIDRSIQDAYISAIRRAKNFIYIENQYFLGSSYGWNPSKDFKIEDIGALHLIPKELVLKIISKIKAKERFSVYIVIPMWPEGIPESGSVQAILDWQRRTMEMMYKDITSELLAMGIEADPRDYLSFFCLGNRETKTPDEYEPPEKPEPDSDYGRAQESRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDTEIAMGAYQPKHIVTQWPARGVIFGFRIALWLEHLSYVHDSFRHPESIECIRKVNALADENWSLYSSPTFVQDLPGHLLRYPVAISNVGDITALPDFSFFPDTKAPVLGSRSEYLPPILTT >KVH99797 pep supercontig:CcrdV1:scaffold_87:54887:56672:-1 gene:Ccrd_021977 transcript:KVH99797 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MANSTQLVPPVKGRRKMPNSRGHPKYVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEEAAQAYDQAARTLRGANARTNFELPNDANAARSLPENDEPFSFEEACGSDGGEDGLLGALKAKLYIRNESKSNHAKKTKEMLQPMCNTAVRKRKAQPMMVAPLPPDQVAGDQTDRFPLGQDHDKNHQVLDAIELTGSNGYNGLQWCYEPLVIPATVSWPTEIPWLATSNTSNQVPDLSSSLFDTGLMDSMWPVTTEANQLTGITGTGIWPLDQQCDYNWDGGGGLAQSANSVAVNASNWDPFTYELKEKKDELVTNMKLPIVEA >KVH99820 pep supercontig:CcrdV1:scaffold_87:462002:464731:1 gene:Ccrd_021933 transcript:KVH99820 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome b561, eukaryote MANLQSISLISLGFLIYLFRFSVSDHDSHQKCPKTNTSLLNFTSEFVMVQHQLRGSFKIINDCSFRVRKFDMLSGGSDVYWWGAVGDNYDNLTSGFVISDHKLNTSTYKNDNFTVHLMSNVTWDDIKVVSIWKTSMASDFGHVVLEESSESPPPAPAPAPAPSGGNFTGNSVIKIDGEPTMFDNCKVLSDNYRLRWKLRTEENVIDIGLEGAINVQHYMAFGWADPSKDHNHMLDADVAVTGFTEEGTPFVDDYYITKYRECTTNSDGKAEGVCPDTMYDDSDDNDSVNNTILVYGHRKDGVSFIRYQRPLKSIDKKYDWNVDTKKKMTCIWALGLIKPPDSNFPYYLPENHGKTYGHVHINISASVNDCNGPLDAKNKQDQELVIADKKVPLVVTSGPAVHYPNPPNPSKVLYINEKPSPVLRIERGVQVKFSIQAGHNVAFYITSDPLGGNATMRNRSETVYVGGPEAQGVRSKPTELEWAPNRNTPDQVYYQSFYTQKMGWKVQVVDGGLADMYNNSVLLDDQQVTFFWTLSKKSIAIAARGEKKSGYLAIGFGSEMVKSYAYVGWVDANGTGHVKSYWINGRNSQSLHPMNENLSYVRCKSENGVITFEFTRALDPNCDGDKKIECKNIIEPTSPLKVIWAMGAQWSADHLTKSNMHSSTSSKPVRVSLIRGSAEAEQDLRPVLAVHGFMMFLAWGMFFPGGILAARYMKHVNGDVWFKIHVYSQCSGLTITFLGILFAVAELRGFHFGSLHVKFGVLTLLLGSIQPINAYFRPLKAPDDEEPLRQRIVWEYAHAFAGRIAVLLGIAAIFSGMKHLGDRYGENVGGLMWALIVWVLASVVTVLYLEFNRKTHVRERNSRGGNWVLGDGEDEESDLLSPSTIDGENAEKFLPSSERMEIQLEPLSR >KVH99833 pep supercontig:CcrdV1:scaffold_87:122197:123975:1 gene:Ccrd_021969 transcript:KVH99833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHFSPSKIVVNKRAAEQQCLSLLQTCTTLLNLNQIHAQILKLGLHDNPLVLTKFTSTSSDLNAIDYASFILFAPDAKTHLYDTFLYNTVIRAYAQTNQSRITAVDVYKTMAWNDVMPNKFTYPFVLKACAGVGRLRLGESFHGSVVKFGFENDIHVLNTMVHMYCCCGAIENARVVFDEMPKWDSVSWSAMIGGYARIGMSTSAVELFRDMQIARVKPDEITMVSVLSACSDLGALELGKWVENYIEREKIPKSMELCNALIDMFAKCGDVDKALRLFRSLDGKTIVSWTSVIVGMAMHGRGLEAASLFEKMKDDGVAPDDVTFIGLLSACSHSGLVDEGRRYFDSMTQEFHITPKIEHYGCMVDLFSRAGHVKEALEFVKKMPIEPNPIIWRTLTAACRLHGELNLGESITKQLIENEPLHESNYVLLSNIYGKMSHWEKKNTIREVMGEKGMRKIPGSTMIELDNKIYEFVAGDRTHDLYKEIHEMIDEMGRKIKMAGYVPTTSEVLLDIGQEDKEDALNRHSEKLAIAFALLKTPPGTPIRIVKNLRVCGDCHSATKFISKIYSREILVRDRNRFHHFKDGVCSCKDFW >KVH99804 pep supercontig:CcrdV1:scaffold_87:547908:551582:-1 gene:Ccrd_021925 transcript:KVH99804 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MAMEGRGMEKEKDIDDLPKNEANYTALTPLWFLKRAALVHPHRRSVVHGSLYYTWLQTYHRCCRLASSLSKHSVGFGSTVAVIAPNIPAMYEAHFGVPMSGAVINAVNIRLNAPTIAFLLEHSASAVIMVDQEYFKLAEEALKILKEKIQSNFKPPLLIVIRDESSECDPKNLQYALGKGAVEYEKFLEFGDPKFVWKPPQDEWHSIALGYTSGTTSSPKGVVLHHRGAYLTATSNTVVWDIREGAVYLWSLPMFHCNGWCFTWTLAAICGTSVCLRQVTAKGVYSAIANLGVTHFCAAPVVLNTIVNAPSKDTILPLPRLVHVMTAGAAPPPSVLFKMSQNGFRVTHTYGLSETYGPSTICAWKPEWDHLPAETQAKLNSRQGVPYTALEGLEVVDTQTMVPVPANGTTVGEIVFRGNMVMKGYLKNPKANSEAFANGWFHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSVEVENTLYQHPMISEASVVARPDKKWGESPCAFVTLKEGVPKRDESKIAEDIMKFCRAKMPAYWVPRSVVFGPLPKTATGKVQKHLLRTKAKEMGPVNSSKL >KVH99831 pep supercontig:CcrdV1:scaffold_87:160241:166439:1 gene:Ccrd_021961 transcript:KVH99831 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MNQRRRTKVPFKFSNVVLEIGMFASRDNFLVGWRGPASGVDFLIDPFDYSCKFLFTKDNAFSFKGTKNHAVIKCNFKVEFLAREVNDIKDCLQSHRVLIFQLVSAPLISYRTADDDIAITHSSEMLDDVDPWIRTTDFTPSGAIGRCHTYRVLIRSRDVRKAKKALAFFREQSVPIDQLRTKPKVQNEPEFGIPLRYPFFCIQYEDDISFRVLFLVNACLHRGIINQHQFSEKVFDLLRDQSVEVNVAALKHICSHRHPLYDGFTRLKIVQEWLLNNPMLIEKKIEQKDITEVRRLMEAERSDKDMEITQVSVGGFGDHVKAKMLLDYLEETVGRVWRCRVKASSTPPDTYPXFDABLENIQKVDDYEKVEPHAFVHFVDXDSVNSXLEIEGKGELVFLNNPLKLVSAPLISYRTADDDIAITHXSEMLDDXDPWIRTTDFTPSGAIGRCHTYRVLIRXRDVXKAKKALAFXREQSVPIDQXRTKXKVQNEPEFGIPLRXPFFCIQYEDDISFXVLFLVNACLHRGIINQHQFSEKVFDLLRDQSXEVNVAALKHICSXRHPLYDGFTRLKIVQEWLLNNPMLIEKKIEQKDITEVRRLVITPSKAYCLPPEVELSNRVLRHYRKVSDRFLRVTFMDEGMRTLNNHVLNFYPAXIVKGSIAQKTTMFRRVXNILSEGFYLCGRXYRFLAFSANQLRDRSAWFFAENXKISCRXIXAWMGKFSNRNVAKCAARMGQCFSSTYATVEVPRTEVDLBLXDIKRNGYVFSDGIGKMSPELALEVAEKLQLKDNQPCAYQIRYAGCKGVIVWWPGKKGDNIKLSLRPSMNKFESXHTVLEICSWTRLQPGFLNRQIITLLSALNVGDDIFWEMQTKMVRNLBQMLEDTDMAFDVITTSCAEXGSXASIMLAAGFKPTTEPHLRXMLSSIRVAQLEDLREKSRIFVPEGRWLMGCLDELGVLEQGQCYIQVSNPSVENCFVKHGSRFSETKRNLTVIKGTVVIAKNPCLHPGDVRVLEAVDVPGLEHLFDCLIFPQKGDRPHTDEASGSDLDGDLYFVTWDXNLIPPSKQSWPPMEYTAAEAKLLPRDDIIDFFTKNMVNDSLGTICNAHVVHADMSDDGALEKKCIKLAELAATAVDFPKTGKIVNMPPALRPKLYPDFMGKESFQSYKSKKILGKLYRHVKDCRATDVTPSSELLILPSXIPYDEDLEVREAASFVNDAWGCKLSYDRRLNGLLGQYKVAREEEIVTGHIWSMPKHASKKQGELKERIKHAYSALRKEFRKVFDYLGPDFDQIPEEERNERYERKASAWYQVTYHPIWVRKSLALQEPGREGETVNLSFAWIAADYLARIKIKRRGAGDGRSQKPIDSLGRYLADRM >KVH99793 pep supercontig:CcrdV1:scaffold_87:101913:109497:1 gene:Ccrd_021973 transcript:KVH99793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MEANGGLVAGSHKRNELVRIRHDSDGGPKPLKNVNGQICQICGDTLGLTESGDVFVACNECAFPVCRPCYEYERRDGNQACPQCKTRYKRHKGSPRVDGDDDEDDVSGEIPSATPDTLSIRSTSGPLGPGDKHVHSLPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKIKQDKNIMQMANRYSGEGRGGEIEGTGSNGEELQLVDDPRQSMSRVVPISSSHLTPYRVVIILRLIILGFFLQYRCTHPVKDAYPLWLVSVICEVWFALSWLLDQFPKWAPVERETYLDRLALREGEPSQLAPIDVFVSTVDPLKEPPLVTANTVLSILAVEYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKVSFVLLCFTKLLLRPKTMLKNMYEQREYEEFKVRINALVAKAQKMPEEGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKERNSNKKYIDKKRAMKRTESTTPIFNMEDIEDGIEGYDEDRSLLMSQKSLEKRFGQSPVFVAATFMEMGGIPPTTNPATLLKEAIHIGWIYGSVTEDILTGFKMHTRGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLKLLERLAYINTIVYPLTSIPLLAYCVLPAVCLLTGKFIIPEISNFASMWFILLFISIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYIFKWTALLIPPTTVLIVNLVGIVSGVSSAINSGYQSWGPLFGKLFFAIWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTTDDKLDAIRGQCGIDC >KVH99828 pep supercontig:CcrdV1:scaffold_87:147802:152843:-1 gene:Ccrd_021962 transcript:KVH99828 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein of 70kDa N-terminal MNSNMIRNAFRVLIDIVHLFISNVDPALSQSRNKHTLEIGQSHPTGLTNNLLKLFEPRPPLEYKPPPEKRKCPPYTGMVQFVSQFAESTDPKYAPPVQKGETPNS >KVH99830 pep supercontig:CcrdV1:scaffold_87:170761:171783:1 gene:Ccrd_021960 transcript:KVH99830 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX, N-terminal MQFRKLLNKLIDETLPEWRDKFLCYKDLKKQLKRVYSRDGRGNKRIKLSNGDETDSIATEKEVADFVKLCQEQIDKFNDFVLEKQEWYLIKIEVLEGNLIATKDSNEELWKIGRTLADLHGEIVLLLNYSWLNYTGLVKILKKHDRLSGALVRVPFIQKVMKEPFYEPDVLNNLAKKCDTMLEQLFSMNEPRTSASATSKKEEETIPESSSAEPKEKSSPTVPEELVDIKHMENDYMKLTLSALNVLQELRSGSSTASSFSLPVMQQSMERKEVWKESLAVEVAK >KVH99794 pep supercontig:CcrdV1:scaffold_87:109863:110702:-1 gene:Ccrd_021972 transcript:KVH99794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MGMGMGMGMVVTVCLFLMLGFNNIKYGLADIGTASSYQPPYTPTKCNGNRADQFPSGNLFASVSEGLWDNGAACGRRYRLKCLSGNNKPCIGGTIDVKVIDFCSKRPCPSTIVLSSDAFSAISKSQKGKINIEYVQI >KVH99787 pep supercontig:CcrdV1:scaffold_87:277226:281900:1 gene:Ccrd_021951 transcript:KVH99787 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MSSSERKSLIGTNPPSPQRGGSGEDKGSSMTPRGVYAAVSYMACAVMLVLFNKAALSSYSFPCANVITLFQMICSCCFLYALRSWKFITFSSDILPLTDNSTKFVPLKTSVRGVNVPMYTTLRRTTVVFTMFVEFILVGQKYTRSIIGSVALIVFGAFVAGSRDLSFDSYSYAVIVLLLSCVLAFFLNYSIFLNTTLNSAVTQTICGNLKDLFTIAFGWMVFGGLPFDLLNVIGQLLGFVGSGLYAYCKLAGI >KVH99808 pep supercontig:CcrdV1:scaffold_87:339196:340181:1 gene:Ccrd_021945 transcript:KVH99808 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MGGRKFYLGIGFRALVVANGLKEGDVFKFELIENKKNKPPVVNFSCNGNSRMPKEGEDDHARPYFISKLKSYCLRKTAPFLHLPIEFAKKNGLLCSGEMILRNGEDERLCIVELKNHKNKYIYIGRGWKDFCVANGLKEGDCFKFEIVDNIDEKLVVNFYVLKNP >KVH99799 pep supercontig:CcrdV1:scaffold_87:49325:50991:1 gene:Ccrd_021979 transcript:KVH99799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, eukaryotic MANRKKSDNHLFNLFQRSKSPAGELILLWIIVYPRGRVVALTISAMDTPKTPFSGIKKDVRGRLQCYKQDWIGALGSGARYLAIIHIEKLTNCTDAPPLWFSSFSRILAPTAYIFFASALPVIAFGEQLNKDTGTNRYIMCNLSFIDLPWYLI >KVH99824 pep supercontig:CcrdV1:scaffold_87:124712:129777:-1 gene:Ccrd_021966 transcript:KVH99824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MELKFGTKFPPFLLVILMVLCSSASSKLMDDNFLKCLSQDSPPNATQSEFVFTQENTAYLSVLESTIINLRFSTPTTPKPIAIITPLTYSHVQSTVLCSKSFGYRIRIRSGGHDYAGLSYTSYDQTPFVVLDLKELRNITIHSSEKTAWVESGATVGELYYWVSQESRDLGFPAGICPTVGIGGQLSGGGFGXMIRKYGLAADNVVDARIVDVNGKILDRESMGEDLFWAIRGGGGASFGIVVAWKVNLVDVPEKVSVFSLSKTLDQGASDLFNKWQYKGHKLSEDLFIRVIIQPTSDGAGNRTIQVIFNSMFLGSIDQLIETVADSFPELGLQEKDCSEMSWIESILYFSIYPTGSSIDVLRDRIPEPRSYFNGKSDYVKEPIPEETLEEIWKWCLEEDNPILIMEPHGGRMDEIEETATPYPHRKGYLYSIQYFEKWDNGSVEASEKHISWMRRIYKNMTPYVSKNPRAAYVNYRDLDLGTNGDIDNTSYLGATKWGSSYFNDNFKRLAMVKGAVDPDNFFYFEQSIPPLISIDGNCDTAAGSYLAELHQLSPKSAAVNVSNISYKFFQGTSSSRIAINFNCSDKIPCSGISLDRINIVSSKNKDKTAAYCNNAYGTISSTEPNYKGHKLSEDLFIRVLIHPTSDGAGNRTIQIIFNSMFLGSIDQLMETVADSFPELGLQETDCNEMSWIESILYFSIYLTGSSIDVLRDRIPQPRSYFNGKSDYVKEPIPEETLEEIWKCCLEEDNPILIMEPHG >KVH99811 pep supercontig:CcrdV1:scaffold_87:394432:400189:-1 gene:Ccrd_021940 transcript:KVH99811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neurochondrin MEQQRGPSLEDCLKLLKGDRDEQRLAGLLLATKFCNNDDFDSILRVYNAVGNVFLDRLLRTGMGKGSTSESRQENRDAYLQLSVTILAAFCRVADIASSDDMVKKIPLVLEVLSKELGPSLVEECFEFLYLVSAAHKDAMKLLQLTVSKISLDTITKEYYSELSSVVTVLARQFAVLHNALKFEALHLLSTILSSIYAEPVHEILRTVSNKTWLTYVPPEQRLEALMLAESIMCIAGEGWLLGQMNLPDTQDPIPADRCILLVLESSRVEIAVLLNDLAYLKYEKAKDSLDNETFLLKQRNLGTAFSLVEKTIKLISSVAGDGGDIISDKMFTKIITGLNETVAVILEYLRDAKDHGQHKGNDLLASVRIVGSPFSSVCFLLPMLCQITMEIDGCRLIASSGAYKAREQIRLQLDDSYFIRLLGVLPSWADLTSEAALQCHPQFHRKNLISLSQLMRRSMAASYSKDTRGGSIGFPTSKQLLRGSSLFIKFVKQL >KVH99800 pep supercontig:CcrdV1:scaffold_87:514257:517742:-1 gene:Ccrd_021928 transcript:KVH99800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSLIFATLLLLTTITTAQTPSAPPPPASDSCNGIFLSYTYTSGSQLPPERTSNQPYRFESTLRVLNNADEELKSWRVFVGFQHDEYLVSSSNAVIADGSATLPGPVGNGTVFAGFPSADLKTAIETAGDVNQMSVQVDFLGTQFGVGPPDVPMPTNISLVNDGFVCPRPTMEGTRVMQVCCRKDPDAVTNVTVGDEFLPRQSGDLTIMYDVIRTYESNYWAEVTIENHNSLGRLDNWNLTWDWMRDEFINDIKGAYPFTRDSSECIFGPQGAFYQRMDFSNVLNCERRPTLIDLPLEMTNNTQRGNIPFCCRNGTILPPTMDPSKSKSAFQLEVFKMPPDLNRSELIPPQNFKISGRLNPDYKCGPPVRVSPSQFEDPSGLPGSTAVSSWQVVCNITQAKGSSPRCCVSFSAYYNESIIPCPTCACGCPSSTNSRTCSTTAPALFLPAQALLVPFDNRTALSTAWASLQRRTIPNPLPCGDHCGVSLNWHLLTDYRGGWSARITLFNWDETSFADWFVAAEFDKSAPGFEKAYSFNASALELNGVNDTIFMQGLPGLNYLVAEVDGDNPKKDPRVPGKQQSVISFTKKLTPGINVAGGDGFPMKVFFNGEECALPRVIPTSGSYRPELSVVFSGIVALMMVVVLMQH >KVH99805 pep supercontig:CcrdV1:scaffold_87:297917:300586:1 gene:Ccrd_021948 transcript:KVH99805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAFSPYFDPEFDSLSERINGPPCRVTFDNESLEDCTIVKIDCVKKQGQLLEVVQVLTEVNLTISKGYISSDAGWFMDVFHVKDENGKKVSDQRVINYIQQAIGSNRGVASKITTQTSKLFDTDLHGELTAIEMTATDRPGLFSEISAALADLHCNIVEAHAWSHNSRLACVAYISDQSSDARIDDHRLAAIKHHLNTILRASITPENTSPASPQYKDVKSTGLIGAGGSEGTMTTVERRLHQLLLSVQDFDVPPVYPPGISLGMESDGDQEGEKASVLIENCAEKGYSIVTVQCKDRRRLMFDTVCTLADMQYVISHASVDSHGGYAFQEYFVRKIDGGALSTESEKQHAMKCLEAAVERRVCEGIRLELCANNRVGLLSDITRVLRENGLVVLRADLATKMDKCVDITFYVRDVFGNNVDMELVKSMKREMGLIDLAVKNEKVVKPESPPAVRPRFSIGDIFKSQMGRLSHSLVTYM >KVH99788 pep supercontig:CcrdV1:scaffold_87:282323:284191:-1 gene:Ccrd_021950 transcript:KVH99788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFAEEVTEVDFEDFGSKRNDEFGDEVNGSSCCSSRSSSSSSSPCHFSPWMLDGSLSSRTFSYELLAVEPIRLIVQKLDGSSFDIIVTKKATVGDLKKAVEDEFSQLSEQVTFMGAVLLMF >KVH99790 pep supercontig:CcrdV1:scaffold_87:50997:53960:1 gene:Ccrd_021978 transcript:KVH99790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, C-terminal MLISLKIFCLRTDDTLSSVETLTSTAVCGIIHALFGGQPLLILGVGEPTVIMYSYLYNFAKGRPELGKEQFLAWAGWYTALFLMFEVYLSWVFFPTMRRVCVWTAVMLCVLAILNACTIITRFTRIAGELFGMLISVLFMQEAIKGIISEFHIPEGENDIKEEYQFHWLYTNGLFAVILGFGTLMTSMKSRGARSWPYGVGWIRAFIGDYGVPFMVVLWTAISYAKPREVPHEVPRRLFCPLPWEAGSLSHWTVVKDMAKVPVIHVFTAIIPALMIAALYFFDHSVAAQMAQQKEFNLKNPSAYHYDLLLLGFMTLICGMIGVPPSNGVLPQSPMHTRSLATLEKQVKKVFSESLNVITHGFQLIRKKMVMCAKEGIKMQASNSEIYGRIHAGFVEMDPLPKSPEDKELEDLKEVVMNREDGGEAKGKFDPDKHIEDHIPVRVNEQRVTNLLQSFLVGFAVFAMPAIRMIPTSVLWGYFAYMSIDSLPGNQFWERLLLLLIPSGRRFKIYEAPHASYVESVPFKYIMMFTFFQLLYFLVCYGITWVPIGGILFPLPFFLLIPIREYFLPKLFPRQHLQELDSSAYEEFIGDPVHNISLSRRVCRLSFHLMLNSPYLPLLFQLVTF >KVH99827 pep supercontig:CcrdV1:scaffold_87:130967:134255:-1 gene:Ccrd_021965 transcript:KVH99827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosialidase, N-terminal extension domain-containing protein MQYGAKGDGITDDTKAFEQAWQMLCNDVEPGSSLTVDGGGMINGRGAAWWIKSPTYMKEELKCSIPPTVRYYLKNIGSLGLNNSYDTVEGIYIKRCNFTGTQNGARIKTWQGGSGYARDITFENIGLDNVFNPIIIDQHYCPDRNNCPTESAAVNVSNISYKFFQGTSSSRIAINFNCSDKIPCSGISLDRINIVSSTNRDKTAAYCNNAYGTISSTKPSVTCLSH >KVH99807 pep supercontig:CcrdV1:scaffold_87:344908:352731:1 gene:Ccrd_021944 transcript:KVH99807 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4094 MENSPPYYKEGLPLPTTISKTEKQRSRSSSRSSIPSIFFAFFSCLAWLYIAGRLWQDAENRMLLSNLLMKNSAERPKVLTVEDKLMVLGCKDLERRIVEAEMEITLAKSQGFLTDQLKQPGNSSQKKLLAVIGVYTGFGSRLNRNVFRGSWMPTGNSLKKLEERGIVIRFVIGRSPNRGDSLDRNIDEENRATKDFLILDGHEEADEESPKKAKFFFSTAIQNWDAEFYVKVDNNIALDLEGLIELLESRRGQDSVYLGCMKSGEVVAEEYFRHASGSLLILSKNFAQYININSASLKTYAHEDTSIGSWMMGIQATYIDENRACCSGSVQDKVCSLS >KVH99822 pep supercontig:CcrdV1:scaffold_87:401945:403742:-1 gene:Ccrd_021939 transcript:KVH99822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 MKTILSSETMDIPDGVEIKVKAKIIEVKGPRGTLTRNFKHLNLDFLLITDEETGKRKLKVDAWFGSRKTTAAIRTALSHVNNLIVGVTQGFRYKMRFVYAHFPINASITNNNTAIEIRNFLGEKKVRKVDMLDGVTVVRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSDKAKIEEAEN >KVH99826 pep supercontig:CcrdV1:scaffold_87:136130:142116:-1 gene:Ccrd_021964 transcript:KVH99826 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MGETEMKDNDTYEEELLDYEEDDEKAPDSAGVKVNGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKLFSAAIAITSGNSLVVVMLLNNIIKESSNFFGSSPVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEVERFSTYLTDIKVAVFYGGVNIKIHKDLLKNECPHIVVGTPGRILALARDKDLALKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRCLLRNSQSIQRLNVSKESSYVRPLVR >KVH99785 pep supercontig:CcrdV1:scaffold_87:227971:232129:1 gene:Ccrd_021954 transcript:KVH99785 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MQKLFGEDVLCHGMPKYQNSEESNLFLSLGHHVDVYFPPRKRSRVTAPFVFCGEMFKKQQTTIDVLPDECLFEIFRRVSGSQEKSACASVSKRWLMLLSTIRKDEICFDLKTQPEAPEVAVPVAPASFIKKDGLYSSLEIVEDDNSGGCLTRSLKGKKATDVRLAAIGVGSGSRGGLSQLAVLGNNASKVTDCGLKAVARGCPTLTSLTLWNLSSIGDEGLVEIANECPLLENLDLSQCPQISDKSLFAIANKCPNLTSLAIESCSNIGNDGLQAIGRSCLNLKSISIKNCILVGDQGISSLVSSATCSLMKVRLYGLTISDVSLAVIGHYGMALTDLSLGGLRNVTEKGFWVMGSGQGLQKLNSFIISSCGGVTDLGLKAVGRGCPNLKEFSVRKSGFVSDNGIVSFAKAAVSLETLLLEECNEITQLGIFGLLVNCGKLKTLSLAKCLGIKDLPMTVPLTFSPCNSLRSFSIRNCPGFGNFSLALLGRVCHQLEHVVLNGLHGITDVGLTSLVQNSEAGLTKVDLSGCVNISDKIVSEISMVHGGTLEVLNLDSCRLITDASVVAIAENCLLLRELDVSKSAITDFAIAALACAEQLNLQILSVSRCSQVSNKSIPFLKKLGETLVGLNIMQCGGITSNAVGLLEEQIWKQPLATGPSRCGQLKVSVVAACSRLKWMDRRL >KVH99809 pep supercontig:CcrdV1:scaffold_87:311211:332900:-1 gene:Ccrd_021946 transcript:KVH99809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MEKELTRLRLNGGRMNLKKCVGASAMAVLLYGSSADTEAETDHLALLSVKKHITSDPYQIMPLWNDSIHFCNWTCIVCGLRHQRVVSLDLASRGFSGSISPAVGNLTFLRDLILRNNSFTGNVPQEVGRLSRLRRLRLSNNSLSGEIPSNISRCPDLVELDLSRNNFIGVIPNEFESLTKLMYIDLGYNELTGEIPKYIGNFTSLTVISGSRNNFQGNIPDTLGQLSNLWYFGFPENNLSGILPLSFFNISSLKTIDLPDNQIGGNLPSDIAQRFLGLTVLNLPVNKISGPIPPSLSNATNLEHLALDENAFTGSVPNFSRLQRLRWFRVNINQLGNGKSDNLDFVSSLANCTNLTRLGFSSNNLGGVLPRSVFNFTLLTSFTVGRNFISGNIPYEIGQLVNVRRVLLSYNQFTGRIPDSIGNLRNIGELSLGDNLLSGSIPSSLGNLTLLSSLSLDGNKLEGNIPSGLSNCRGLQLLDLSRNNLSGHIPKEIFGLSSLTVGLDLSDNRFVGSLPIEVGALQNLVFFDVSNNMISGVIPVSLGACTSLVVLAMAGNTIEGEIPTSFRSLRGLEALDLSTNNLTGRIPEYLGDFVFLEILNLSLNGFDGKLPELGAFRNVSIVSIYGNTKLCGGLPEFQLPKCPIEESSKKNHVHLSLLLLIPIVIMLFVLILVVMFCLVCKQRYSKKASTEISSEDENFPRVSYQSLHEATDGFSSANLIGSGKFSAVCKAILHMENEPQVVAVKVLKLAVQGADRSFIAECEALRSIRHRNLVKVLTCCSSLDFQGYNFKALVYSYMANGSLEDWLHQNPVIGLNFLQRLNIVIDLAGALDYIHRQCGSLMIHCDIKPSNVLLDTDLVSHLSDFGLARFLHHDSSTSHTSSLGIKGTIGYAAPEYGLGSKVSTYGDIYSLGILILELFTGNRPTDEMFSNGLSLHSFVRMAIPDQVTEITDPVLFRTTQEKNKSKDAHDCEMYCGIKDCLCSVYRIGIACSMEIPGDRIEMSNVLDKLVFVKKTFLQGFVSYGAETDHLALLSVKKHITSDPYQIMPLWNDSIHFCNWTGIVCGRCHERVITLNFHSLGLSGSISPCRFLGGFFFATMVSQAISHKRLASEIPSNISQCPNLVELDLSSNNFIGVIPNKFVSLTKLQHIILQSNELTGEILKFIGNLISLEVISAAVNNFQGHIPDTLGQLSSLFFFGLTMNNLSGIFPTSFFNISSMTTIDLPYNQIGGSLPSGIAQRFPRLTILNLPRNKLSGLIPLSLSNATNLEQLVLFENAFTGRINLISGNIPSENGQLVNIERLFLNSNRFTGRIPDSIGNLRNVGVLYLSGNLLSGSIPLSLGNLTLLNTLSLGSNKLEGALPSDLSNCRGLQLLDLSRNNLSGYIPNGIFGLSSLTISLDLSNNHFVGSLPTEVGVLQNLVSLDVSNNMISGVIPVSLGSCTSLVALSMAGNTIEGEIPTSFRSLRGLEVLDLSRNNLTGRIPVYLGDFLCTSLETPFFDGKLPELGAFKNESTVFIYGNAKLCGGLPEFQLPKCSSEESSKKNQIPLALLITIPIISVIFVLILVVILCFVCKRKKASTENGSEDENFPRVSYQSLHEATDGFSSANLIGSGKFSTVYKAILHKKNEPQVVAVKLLKLAGTKMCFLYTISSIVLSCIFLLCLLSSVSFGDETDHLALLSFKKHITSDPHQIMPLWNDSIHFCNWTGIVCGQRHRRVVILDLPSLDFSGSISPAVGNMTFIRRLILDNNGLTGNIPQEVGRLSRLRWLLLSNNSLSGEIPSNISRCLNLVHLYLGGNNFTGVIPNEFESLTKLQNVILHYNELTGEIPKFIGNFTSLEVISGAGNHFHGNIPDTLGQLSNLFFFGFAESNLSGILPLSFFNISSLTTIDLLGNQIGGELPSDIAQKFLGLNLLNLPKNKFSGPIPISLSNATNLEHLALDENAFTGSVPNFYGLQRLRHFAVNANQLGNGKSDNLNFVSSLTNCTKIIRLGFGENNLGGVLPKSVSNFTLLTELTVEVNLISGNIPSEIGQLVNLRRLFLSYNQFMGRIPDSIGNLRNLGVLDLSENLLSGSIPSSFGNLTLLSRLYLEVNKLEGVVPSGLSNCKGLQLLDLSTNNLSGYVPKGIFGLSSLTISLNLSNNHFVGSLPTEVGALQNLVALDVSNNMISGVIPFILGTCTSLVALSIAGNTIEGEIPTSFSLLRGLEVLDLSRNNLTGRIPEYLGDFVFFETLNLSVNGFDGNLPVQGAFKNASTVSIYGNAKLCGGLPEFQLPKCSLEESSKKSQFPLFLMIIIPIISVXFVLILVVILCFVCKRKKASTENGSEDENFPRVSYQSLHEATDGFSSANLIGSGKFSTVYKAILHMKNEPQVVAVKVLKLAVQGADRSFIAECEALRIIRHRNLVKVVTACSSLDFQGNDFKALVYSYMVNGSLEDWLHQNPVVGLNFLQRLNIVIDLSGALDYIHRQCGTLMIHCDIKPSNVLLDTELVAHLSDFGLARFVHPDSSTSHTSSLGIKGTIGYAAPEYGMGSKVSTYGDVYSFGILILELFTGNRPTDEMFSNGLSLHGFVKMSIPDRVTEITDPVLFKTRQEENMATDARDCEMYRPIEEFLTSVYGIGTACSMEIPGDRIEISNALDQLQSVKKTFLKGRMAILDQIMEITDLVLFKTRREVNMATGARDCEMYGPIKLGVISSMNEMRFLYTISSNVLSCIFLFCIISSVSSGDETDHLALLSIKKHITSDPYQIMPLWNDSIHFCNWTGIVCGLRHRRVVTLSLLSGGFSGSISPAVGNLTFLRELLLHNNGFKGNVPQEVGRLTRLWRLNLSNNSLSGEIPSNISRCSNLVELDLSDNSFIGVIPNEFDSLTNLQRISLDSNELTGEIPKFIGNFTSLALLSGRDNHFQGNIPDTFGQLSSLFFFGFAMNNLSGILPLSFFNISSLTTIALPVNRIGGNLPSDIAQRFLRLKVLNLAMNRFSGPIPLSLSNATNLEHLALNENMFTGSVPSFSRLQALTHFGLNYNQLGNGRSDNLNFVSSLANCTNLRRLGFGNNNLGGVLPRSISNFTLLTDLLIGTNLISGNIPYEIGQLVKVKRMYLSHNQFIGRIPDSIGNLRNLGVLSFRDNLISGGIPSSMGNLTLLSKLRISRNRLEGTVPSGLSNCTGLQALDLSRNNLSGYIPKGIFGLSSLTIRLDLSDNRFVGSLPIEVGALKNLVFFDVSNNMMSGVIPVSLGTCTSLVRLYMEGNTIEGEIPTSFRSLRGLEVLDLSRNNLTGRIPEYLGDFVFFRRLNLSLNGFDGKLPGLGAFKNASIVSIYGNRQLCGGSPQFQLPKCSVEESSKKNKLPLFLLIIIPIIGVVFVLILVVILCFLCKRKQASTEISSEDENFPRVSYQSLHEATNGFSSENLIGSGKFSTVYKAILHTKNEPRLVAVKVLKLAVHGADRSFIAECEALRSIRHRNLVKVVTSCSSIDYQGNDFKALVYSYMINGSLEDWLHQNSVVGLNFLQRLNIVIDLAGALDYIHRQCGSLMIHCDIKPSNVLLDGDMVAHLGDFGLARFVHHDSSTNHTSSLGIKGTIGYAAPEYGMGSKVSTYGDVYSFGILILELFTGNRPTDEMFSNGLSLHGFVKMAIPDQVMEITDPVLFKTRQEENMTTDARDFETYGPIEVCLTYVYRIGTACSMELPRDRIEMSNVLDQLQL >KVH99834 pep supercontig:CcrdV1:scaffold_87:411745:430032:-1 gene:Ccrd_021936 transcript:KVH99834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MLELSLVLVERMVLELSVAAADLLVDCEDTRPRAAPMHMLTVKTLDPGETGATEIHVETFNPEKTGDEQITASSVFYILRLNSTYFSFIKLLKNVESGYTHARTPMDFRFDESPNTQFREVRMSSLVERLRVRSDSRPRYSLDESDDEADLLHGKSKKPEQFEKIVRDDAKEESCHACGESGNLLICETCTYEYHPKCLLPPLKAPLPTSWRCPKCVNPLNDIEKILDCEMRPTVADDSDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFIKAYKELPRLRTKVNNFRKQMPVGSNSEDDFVPIRPEYTMVDRILACRQDDEEKEYLVKWVGLNYDECYWESESDILSFQQQIENFNRLQSRYRKLRKQKSNNRDATDAKKKLKDFQQFEKSPEFLPGGELHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLYEENVAPHIVVAPLSTLRNWEREFATWAPHMNVVMYVGTAASRAVIREYEFYFPKSHKKDKKKKSSHGTSESKQERIKFDVLLTSYEMINIDNGSLKAINWETMIVDEGHRLKNKDSKLFSSLKQFNTRHRTLLTGTPLQNNLDELFMLMHFLDAGKFSSLEEFQEEFKDINQEEQVSRLHKMLAPHLLRRVKKDVMKKLPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPFMLEGVEPEDTNEFYKQLLDSSGKLQLLDKMMVKLKEQGHRVLIYTQFQHMLDLLEDYCNYKKWLYERIDGKVSGGERQVRIDRFNASNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQKNKVMIFRLITRGTIEERMMEMTKKKMVLEHLVVGKLKNQNINQEELDDIIRYGSKELFADENDEAMKSRQIHYDDAAIDRLLNRDYTEEENAAMDDAEEDGFLKAFKVANFEYIEEAESRVEEEIQEPLAENKSAANNPEKASYWEELLKDRYEVHKIEEFNSMGKGKRSRKQMVSVEDDDLAGLEDVSSDADDNYEVELSDGDNSAGIAPVRKPHRKKTRVDNAELLPLMEGEGRAFRVLGFNQSQRAQFVQILMRFGVGDFDWAEFTSRLKQKSYEEIKVYGTLFLSHISEDITDASTFSDGVPKEGLRIEDVLVRIAVLLLVRDKVKCSSENPSAPLFSDDIVYRYPGLKGLKFWKEEHDRILLRAVLNVPGASQAQFAAAAPGGLEAQAATSQAQDSATANNALYHFREMQRRLVEFVKKRVLLLEKGLNAEYQKEYFGDEKPNEIRNDDMETEQKVVDRASTGHNETCSQMIGHLPRLEMCRIVEENAVDAVEAYMANKSTGFKLRKNLQPLEAIHEEVGKILSTVQADGVTGNEKSNGDVASSSPGQHEGSPVNGSRNDGGDVVMEDGDGDGDDKSNEGHGVIVLE >KVH99832 pep supercontig:CcrdV1:scaffold_87:124301:124708:-1 gene:Ccrd_021968 transcript:KVH99832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEETTTPYPHRKGYLYNIQYFEKWDNGTVEASEKHINWMRRIYKNMTPYASKNPRAAYVNYRDLDIGTNGDIDNTSYLGATKWGSSYFNDNFKRLATVKGAVDPDNFFYFEQSIPPLISIEGNSDIGSYQSL >KVH99810 pep supercontig:CcrdV1:scaffold_87:306070:309218:1 gene:Ccrd_021947 transcript:KVH99810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MNEMRFTYTISSIVLSCIILFSNLSSVSSADNTGGETDHLALLSVKKHITTDPYQIMPSWNDSIHFCNWTGIVCGLRHQRVVTLNLWSGGFAGSIPPAIGNLSFLRELLLHNNSFTGNVPPEVGRLSRLTRLGLSNNSLSGEIPSNISRCPNLIELDLSANNFTGIVPNEFESLTKLQHINLHSNELTGEIPKFIGNFTSLAFISGLGNNFQGNIPDTLGRLSNLWFFGFALNNLSGILPLSFFNISSLTNIDLPDNQIGGNLPSDIAQRFPRLINLNLPINKFSGSIPLSLSNATSLERLALNENMFTGSVPSFSRLQRLRHFAVNVNQLGNGKSDNLNFVSSLANCTNLIGLGFGANNLGGVLPKSMFNFTLLTDLTVGGNLISGNIPSEIGQLVNVRRLFLFSNQFTGRIPDSIGNLRNLGAMGLNGNLLSGSIPSSLGNLTLLSRLYLQRNKLEGTVPSELSNCRELQLLDLSKNNLSGYIPKGIFGLSSLTVYLDLSDNRFVGSLPTEVGVLQNLVALDVSNNMIFGVIPVSLGTCTSLVGLYMEGNAIEGEIPSSFRSLRGLEVLDLSRNNLTGRIPEYLGDFVFFRRLNFSFNGFDGNLPELGAFKNASIVSVYGNGKLCGGSPEFQLPKCSLEESSKKAQFPLFLMIIIPIISVVFVIILVVIFCLVYKRKKVSAEISSEDENFPRVSYQSLHEATDGFSSANLIGSGKFSSVYKAILHMENEPQVVAVKVLKLAVRGADRSFIAECEALRSIRHRNLVKVLTCCSSLDFQGNDFKALVYSYMVNGSLEDRLHQNPVVGLNFLQRLNIVIDLAGALDYIHRQSGSLMIHCDIKPSNVLLDADLVAHLSDFGLARFVHPDSSTSHTSSLGIKGTIGYAAPEYGMGNEVSTYGDIHSFGILILELFTGIRPTDEMFSNGLSLHGFVKMAIPDQVMEITDPALFKTRQDENMATDARNCDMCGPNEDCLTSVYRIGTACSMESPRDRIDMNHAFDQLQFVKKTFLRSTADCITQN >KVH99818 pep supercontig:CcrdV1:scaffold_87:482104:484160:1 gene:Ccrd_021931 transcript:KVH99818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDTCIPLYEASITGDWKTAKTILQTKRDLVRYSITEQCETALHVAASAQRNTESTEFVQNLVRMMEKEDLVLQNNTGNTALCLAAAAGNVEIAEIMVNINEDLLIIPNRKEMMPLYVAALFRNHDMVKYLYESSRKMNGHVICFKVGTAAKENKAMQLLREIWKAIEEKPKIEIDTILRGPSTVIGEKRTYPSRVLFIAAEMGNTKFVIELIRKYPDLIWKQNDNRQSIFHVAVSHRRASIYNLLYEIGSMKDFITSLKDHEGNNMLHLVGKKIEKNQPQDVPGVAFQLQRELLWFKEVESIIPANIKNQKNMAGQTPHELFTDTHKALVSEAEKWMKGTASQCMVVAALIATMVFAVAFTIPGGYNQHDGLPMFLHEGSFIVFVVLDAISLIPSSTSILMFLSILTSRYSQNDFLEPLPNKLMAGLATLFLSCHYDDCF >KVH99798 pep supercontig:CcrdV1:scaffold_87:71522:74628:1 gene:Ccrd_021976 transcript:KVH99798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMLTYKNVPTWHRDLCRYNFTLILLLLYVNIISFNLDVFYDHSVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDMAAQQQHEAELAAAASQPLPDDDDDDAFE >KVH99817 pep supercontig:CcrdV1:scaffold_87:427700:429500:1 gene:Ccrd_021937 transcript:KVH99817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MRKGRRELKLGGQVYPTTEERWEDKNVKKSKITSNLAVCQVDDCRTDLSSAKDYHRRHWYLLKLEGLLEPVQVVGDTHVGDTGGYTNDGDSNYISRGGFGKVYKGEHIYSGAHVIVAVKRLDSTLGQGIPEFWKEIMMLFRYKHEHLVSLLGFSDEGGENILVYKYLTKVSTCISVAPVSPGSNVLTVNICIGAARGLVSSQSW >KVH99796 pep supercontig:CcrdV1:scaffold_87:92179:94991:1 gene:Ccrd_021974 transcript:KVH99796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MATGDVKNTEHTPNPSISMAENHSPPLKRTHSYLLHDDNHVKLSLEVVVSGGLSYSDGDDDVHAVSAFVMAAGKLWNTISEEGTLKLVFITKASPPQRNLVVQNNEAIPTILSLLKSSTSTLERPSLPILFNLSLNPNLKLTFADIETIQNLNDVILYHGSLESRKLAASLICSLAMLDKNKAAVSRPDGPVTRHLLSSLTELVHFHGNCTLAVRSVENVDCEDLSGNALVILELLFRFNEGLKALTNTPSIVSKMFDVLKSRCMLNNEGAAESEECLRDALGLPDLMFVLAELSVRGSIRVREKASLLLRKLEANESYSEDN >KVH99815 pep supercontig:CcrdV1:scaffold_87:430299:440549:1 gene:Ccrd_021935 transcript:KVH99815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MMLSHYRHEHLVPLLGNLDDGSEHMRHDFEKEKRLKSRGDYFGDIPMSFRHEHLVCLLGYSDDGGENILAYEYLPNKSLDMYLSSATLSWIQCLKIYIRVARGLEYLHNPDYYVHLQIPLQDIASATNNFAIENLVGKGEFGKFYKGQLILRSGHESLINIVARRLDRSNGGRHEYIKDQFWTEISMLFRLKHQNLVSFVGFCDEDGEKIVITKYAVNGSLDKYLNSPTLTWMQRLRICVDAARALNYIHYDTRHNFRVIHRNIKSSTILLDENWDARLSGFELSTATRRQRFVLSPVCGTFGYLDPVHTETGSVTQKSDVYAFGVVLFEVLCGRKAQIYSKDNRPEYLAPLATKHYEDKSLDEIIDPDLRKQMDRQSLSIFSHTAYQCLNRQRAQRPNMEDVVKTLEKASQLQWKHENPGENLEHLKIQLKDIVLATENFADTYCIGSGAYGMVYIAELDHFDSKSWSAREWKNKDELPKKRSSVAIKKIISRQDGEGEQGFFAEIEMLTSCKHKNIVSLLGFCDEGPHLILVYELASNGSLDDFLGNTNNITTLLWKQRIKVCLGIACGLNYLHTTMDDKERIIHCDIKSGNILLYENWEAKIADFGLSRFHPASTVNTNNIAGTEVYLDPEYLKTGKLKKESDTYSFGVVMFELLCGRLAYDAIYNTDNDKGLPSIVRQRFSEGICMDMVDPKIKEETDDNIFTLNRGPNQNSLDTFSKIAYQCLAETQAERPTMEAVIKELEKALYFQENNKDNLKISLEAIKLATQNFSDSNCIGGGGFGKAYKGQVPHGNGHNIIVAKRLKGRYGEGENQFLTELEILFEYKHEKVIGLAGYCDEMNEKIIVYEYTTRGSLDRYLNDDGLMWMKRLEICIDVARGLDFLHETSIVKQEVVIHRDIKSSNILLNWDWKAKITDFGLSLICPMYQEVDYLMEHVTGTVGYVDPLYMDTGFLTKESDIYSFGVVLFEILCGRLAFIQHRDNNPQFLARLVKDKLDKGRVEEMVFEGIKKQIVPESLTTFCKIAYQCLHDDREKRPTAREVILQLEKALEFQKTVLKFIYVWSWISKPEYINRKQKSFLQSGWNMQEDYEVWEPKLPADYKQIIQMSKSSEIYSDKMKKDIYDMFSQGILVQEGKVVRHEDNEKLREVQGVLTSKLWLSKVDGKKCHMLPAKDVLYDSSNDKFFNWKPSTES >KVH96994 pep supercontig:CcrdV1:scaffold_870:118575:123200:-1 gene:Ccrd_000914 transcript:KVH96994 gene_biotype:protein_coding transcript_biotype:protein_coding description:NMD3-like protein MAGEAGMFTVHQTVGTVLCCKCGILMAPNAANMCVKCLRSEIDITEGLQKHVIIIHCPECDCYLQPPRTWLKAQVESKELLTFCVKRLKNLNKVRLIHAEFIWTEPHSKRIKVKLRVQKEVLNGAVLEQAFLVEYVVQDQMCESCSKVQANPDQWVAAVQLRQHVSHRRTFFYLEQLILKHDAAVRAIRIRQMDRGIDFFFSNRSHAVKFVEFVQKVVPIKSRSDKQLVSQDSKSNSYNYKYTFSVEICPICREDLICLPPKLAASLGNLGPIVICTKISNVIALLDPLTLRHCFLDADQYWRTSFTSLLSSKQLVEYVVLDVDPISSEVNVGGSKYVLADVQVARVSDFGKNDTMFFVRTHLGHLLNAGDYALGYDMHAANSNDMELDTYKGLVIPEVILIKKSYEEKRQKKRGKPRSWKLKSLNMEVDASETRGRADEEKRNADYEQFIRDLEENPELRFNISLYRNKEYQPSEMASVTDGEEDAPSVPLEELLADLEIQGEAEEDEGMKE >KVH96990 pep supercontig:CcrdV1:scaffold_870:89172:90126:-1 gene:Ccrd_000912 transcript:KVH96990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQAQEEMSIERLRYLETMAIYHEAIGMVEDYQQAVLVANLGGIRDTHGLHSSLGLKNSPQVYEALEHRLIIAEDAQRLRVPLISKDGNEEEIEKWSVLSRSSLDSTSTSVTMALLRV >KVH96993 pep supercontig:CcrdV1:scaffold_870:134328:134538:1 gene:Ccrd_000916 transcript:KVH96993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGISVKKMEASFYHPWGCPLFSHSMRDLEELECEINETIEWPDENPDADTDELEEKEMELD >KVH96991 pep supercontig:CcrdV1:scaffold_870:96685:97280:1 gene:Ccrd_000913 transcript:KVH96991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MAGLTAANKLYKSGVKKGAFKLCVVEGGNRIGGRINTSEFGGDKIKMGATWIHGIAENGHMDMITTNRQWHGSETA >KVH96995 pep supercontig:CcrdV1:scaffold_870:119224:134273:1 gene:Ccrd_000915 transcript:KVH96995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFFPKSETRATWTSAKTYFEPPTLTSDEMGSTSKTTYSTSCLEERREVNDVRQYWSASRKQWRSVSGSSKAITLLIFVQMTIGPRFPRLAASFGGRQIKSSRQIGQISTENVCKAWKKELSLDLSSGAAATAGWITLTSAAPAHVSSGGVPVRSSNHNPLHPRLPHVSFCTEQQEQIDQITDGGGLSKAEIAKMIKDAERYKQEDEVHIKKAMAHKALND >KVH96992 pep supercontig:CcrdV1:scaffold_870:61057:62019:-1 gene:Ccrd_000911 transcript:KVH96992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MASNKELSYEIPNFIRVYKDGTIERPMVSPYISPYVSPSLQDPINGISSKDIVISPHVSARLYLPAKTPPTNSQQLPIFVYVHGGGFVFGSAFTLLEHNYLNTIVSHINALVISVEYRLAPEHLLPTAYEDCWTALQWVASHATNHGRNLENPESWLVEFGDFERLYIGGDSAGGNIVHNMALQAGRESLNGDVKILGGFLGCPYFWSSLYGVEGLPYGCWMMAHPQAEGGIDSPMINPFVGGGRGFAAEYGVKKLLVVATEKDELREIDSKYFEAIKESEWNGEVEFVEIEGESHCFYVLDSTNEKAKIVMERLASFIV >KVF67870 pep supercontig:CcrdV1:scaffold_8705:10174:11534:1 gene:Ccrd_026719 transcript:KVF67870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 HWAGPLYIKLSTHTVQYSTAAPPRALIPKIAERNWRRRKMDTEWYNDVPCSSIAVESIISIATGGLIWGSCAASYDANQRGLTGIHRASFVAKAVGKYGFQCGLFAGIFSFTRCRIQRYRKKSDWVNALVAGGVAGAAIGAGSRNWKQVAVMTSVLSGFCAIADQSRPI >KVF67869 pep supercontig:CcrdV1:scaffold_8705:5866:8716:-1 gene:Ccrd_026718 transcript:KVF67869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MALVHNLTTQQILDVDDPCQAYFLFHAETSLGHGLRAFLYFLALAYCFLGLSAITARFFRSMEHVVQQTRVVVEIHPTTHTXIVKHEKVWNFTIADITLLAFGTSFPQISLATIDAXRNIGQLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKVGEVKKISDLGVWIVELFWSFWAYIWLYIILEVWTPNIITLWEALFTVLQFGLLLLHAYAQDMRWPYISLPLDRTERPVDWVPAEVSPRKDGNDSFSLQDDCDQDKCIADIFSIHSGTEADPMYEHLPLSDPSESPDKHFDETTIYDRERERENDELTQPTFLDFFEQQLESTKLREANTSWWWVAKVLWHGLVAPWKLLFAFVPPYQIAHGWIAFICSLCFISGIAYVVTRLTDLISCVTGINXYVIAFTALASGTSWPDLVASKIAAERQKTADSAIANITCSNSVNIYVGIGVPWLIDTLYNFIAYGEPLYIENAEGLSFSLLVFFSTSVGAISVLVLRRIVFGGELGGPRGWAWVTCVYFMSLWLIFVVLSSLKVSGII >KVF67868 pep supercontig:CcrdV1:scaffold_8705:3432:5456:1 gene:Ccrd_026717 transcript:KVF67868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKPLDLENPDSETPSEDLSIAPFTSITLSLSTLLPIHFISPPKFSPKIVKIPNQISSLCNLSLSNTLSPTKPFFKSTVSANPLQNPLSLNPRRPSDPSNAAGLRRASVVWFRNDLRVHDNESLSSANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRASFLIESVSXLRKNLQARGSDLIVRIGKPETVLAELVNEVGAEXVYAHREVSHDEVKGEEKIDTKLKDEGVEVKYFWGSTLYHIDDLPFKLEEMPTNYGGFREKVQGLKIRKTIDALDQLRGLPTGGDVEPGDIPSLVDLGLNPTATMTQSEALERLRKFAAECQSQPHKDGSSDSSMYGANFSCKISPWLAMGCLSPRSMFDELKKSASRTISAAAANRKDGGDTGMNWLMYELLWRDFFRQVT >KVF67867 pep supercontig:CcrdV1:scaffold_8707:5805:7082:1 gene:Ccrd_026720 transcript:KVF67867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MATISNFILFVLFCNSISFSIQNTINPPLNNSLSFSLSYLPLSHQSAASKLIQSSSSSSNHRLSFKYSMALIVSLPIGTPPQTQQMVLDTGSQLSWIQCHNKTPTTSFDPSLSSSFSILPCNHPICKPRVPDFTLPTTCDQNRLCHYSYFYADGTLAEGNLVREKIAFSRSQSTPPLALGCATASDEAEGILGMNLGRLSFVSQAKISKFSYCTPVRQNNAKIKPTGAFYLGQNPYSNSFKYVDILTSPKSQHSPNFDPFAYTVGLDGIRIGGKRLNISRSVFRPDAGGSGQTMIDSGTEYTYLVEAAYKKIEDEILRTAGRRLKKGYVYREALELCFNGNSMEIGRLIGDMVLEFKMGVQVVIQKERMLDDVGRGISCLGIGRSERLGVPSNIIGNFHQQNQWVEFDIVNRRVGFGGADCSKSV >KVH94918 pep supercontig:CcrdV1:scaffold_871:141608:143245:1 gene:Ccrd_003014 transcript:KVH94918 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEVNNMSFLSLFIFLIFSIVTVSADTPLSPSYYDLVCPEALPTIKRVVEEAVANERRMGASLLRLHFHDCFVNGCDASVLLDQTSTIDSEKNAAANVNSARGFEVIDKIKFEVDKVCHRPVVSCADILTVAARDSVVALGGPSWDVKLGRRDSTTASRAAANANIPSPFMDLPALKENFENQGLDEDDLVVLSGAHTLGFAQCRTFRDRIYNQTNIDPAFAHHLQTICPRVGGDRRLAPLDPTPSSFDNKYFTNLVSKKGVLRSDQALFTGGETYEIVKEYNENRNKFSKDFTKSMIKMGNIKPLTGERGQIRKDCKKVN >KVH94919 pep supercontig:CcrdV1:scaffold_871:62178:89121:-1 gene:Ccrd_003012 transcript:KVH94919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMESRFSQSQSSMVAAVSNHLDGYSNSQHPNLNQSVLLQDGVVDGNRDRDSSSDSVAAVQRMDNHNNRDKEDSDTVASTTSYGVGNGNAVVTGIAATSMAYLPQNVVLSELRHDAFEVCTPSGPAESGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKTLSFQYERWQPKAKYKIQLDPTVEEVKKLCTTCRKYAKSERVLFHYNGHGVPKPTANGEIWFFNRSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIINAFIELQDWTPSSSSGTSSRDCILLAACEAHETLPQSHEFPADVFTSCLTTPIKIALRWFCTRSLLHESLDYSLIDRIPGRQTDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPVSYPMLPPTHQHHMWDAWDMAAEICLSQLPTLLEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQACPLLVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDTGHTYFIRFLDSVEAYPEQRAMAAFVLTVIVDGHRKGQEACIEANLIHVCLKHLQGGSAPNDTQTEPLFLQWLCLCLGKLWEDFTEAQIIGLQANAPTVFSLLLSEPQPEVRASAVFALGTLLDVGFDSSRDVGDDERDDDEKVGAEISIVKSLLNVVSDGSPLVRAELAVALARFAFGHNRHLKSIAAAYWKPQSNSVISSLPSFTVRGSVSGYNTPNQYSQIGPLSRVGGDNQATARDGRVSSSSPLATSGIMHGSPLSDDSSQHSDPGTLNDCITNGVVNHTKPRQLDNALYSQCVSAMCTLAKDPSPRISSLGRRVLSIIGIEQVVTKSVKPTTGSVRPGETSTTANSLAGLARSSSWFDMNGGHLPLTTFRTPPVSPPRPSYLTGIGMRRVCSLEFRPHLMDSGLADPLLGSPGVPGASERSFLPQSTIYNWSCSHFSKPLLTATDGTEEIIARREEREKFALEHITKCQHSSGSNLHNPIARWDTKFETGAKTALLQPFSPIVVAADEGECIRVWNYEEATLLNSFNNHENPDKGISKLCLVNELDESLLLVASSDGNVRIWKDYTSRGKQKLITAFSSIHGHRPGVRSVSAVVDWQQQSGYMYASGEISSTMVWDLDKEQLLSSIPLSSDCSISALAASHVHGGQYAAGFVDGSVRLFDIRTPDGIVCVTRPHTRRVERVGGIERIVSASQAGDIQFLDIRNQSDAYLTIDAHRGSLTALAIHRHAPLIASGSAKQLIKVFNLEGEQLGTIRYSPTFMAQKIGSVSCLGFHPYQILLAAGAADACVSIYADEMSPPR >KVH94921 pep supercontig:CcrdV1:scaffold_871:36007:38060:1 gene:Ccrd_003010 transcript:KVH94921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSYAYPPTQVANPVAVVGPHFLAPYPVDLIIVKKLLSLSDGNFAVTDVNGNVMFKVKGKHISLRDRRVLLDAAGNPILSFQKKLLSVHNRWVVFRGDSSDTKDLIFSAKQSSLIQFKTSLDVFLGYNEKEDAFDFKVKGSWFDRSCTIYARDGTTIVAQMHKKHTVQSIALGKDTFAVTVYPNVDYAFIVALVVILHEINEEKNDAD >KVH94920 pep supercontig:CcrdV1:scaffold_871:128258:130969:-1 gene:Ccrd_003013 transcript:KVH94920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MNLLLSFAILIHFSTHFYGNCIPDNVQLGSQTTLVVPGLYILGFVGRAFILETSQPVPNFRVGLTVEAVEGKYVCSFDVFLGDFKVWSSSHLSRFFTSEKCVLGLTWDGDLQLTGRDDELGWRTATYGQGIQRLQLSNTGNLVLVDELNMIKWQSFHFPTNVMLWGQRLDVGTILTSLPTNDSNSYFSFEIQRKKLVLFLNSGTFKYSYWEFNPEKPVEISFVRLASNGLQIFNDESHKIAQIPSKRLQLLRFLAVENTTGNLGLYYYSTKTMKLEASFRALRNKCDEPNFCAADEICTVSNKCSCLEIKGYSRNFCRNSRVEMMEIRGAVSILRDVNRKIVNGTRESCADSCIDDCTCVGALFTSGNSECYLYEELRGVKEGGAGGRNGAGDGGRGLGDVSFMVKVLKGGKGGKTLKKWVLVLIVVGDGLVLFFHLTNEDILHKKENIKSTDQY >KVH94922 pep supercontig:CcrdV1:scaffold_871:56891:61320:1 gene:Ccrd_003011 transcript:KVH94922 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein MMPMLPFAPSPSIVNAYARVDKATSEFLNCPDWRINIGICDIINTKPWLSKDYVKALRSRLQHKNPNVQLLSLTLLETMVKNCADHLHSQIAERKILQEMIKIVKKRTHMRVREKILVLIDTWQEAFGGRRGKHAHYHHAYEELRSMRNVSDLLSDMLQAVDPKDRAAVKDEVIVDLTDQCRSNQKKLGQMLASTTNEELLRQSLQFNDTLQCLLEKHDAIASGSMTSFQASSSMNHPSHLKQIESAEVNSKSSIVASAPMVHSSANEAKEEQNNSVMIASSPYATAYSAARSTSYYNNGGSSGEGLNPYIPSYRLFEDLNVLGNLRTSGTAGTSGPSMLGGQK >KVH94923 pep supercontig:CcrdV1:scaffold_871:2940:15692:1 gene:Ccrd_003009 transcript:KVH94923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVRGKLFSFHGKYLLIDVVGNRVVTSKKRSCPLHIVMNKKQIVQAIAFGIDTFLVTVYQTLITPS >KVI00718 pep supercontig:CcrdV1:scaffold_872:52444:73889:-1 gene:Ccrd_021031 transcript:KVI00718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MFWRMTGLSTVSPVDTILDKGNFTLEELLDEDEIIQECKALNSRLINFLRERTQVEQLVRYIIEEAYEDAANGRTFKFPFIACEIFTCEVDIILKALVEDEELMNLLFSFLEPEHPHKTLLAGYFSKVVACLMFRKSAPLMNYIQAHPYIIKKLVDLVGITSIMEVLIRLIGADEHLYTSYADPTQWLEDIDVLEMIVDKFSSSDCPEVHANAAESLCAIARYAPPGLAAKISTPSFIARLFHHALEESRPRSVLVYALSVCICLLDPKRLTSGMYYIYNRQLSHGSAVTNPETLEGMLESLGNLLKLLDVSAEKNVLPTTYGKLQPPLGKHRLKIVEFISVLMTVGSEAAEKELIRLGVLRRILEYPYNNFIHHDAEQIVVSCLESKNVSLVEHILEDCNLVRRILDAERNSALITERNNVMDISEWVEWHTNVLLSRNELENVFQWACGRPTAFHDRGRDSEDDDYHQDKDYDVAVLANNLSQAFRYGICENDDNKEANSSLERDNEDIYFDDESGEVVISSLGLGDDQESGSLFANSNWFAFEEEDEVDELATDTAPSSSPNSREFSGGNDDGGSNENDKKFSETTTSESKPSSIDTAVSDSLTDSKTSETDKPPEWVEWRDSVEPSDTITEPAGTSESVTNDPPKGGHDPKPVTDNSNKGEVSPSCTTDKSGPEEDDDKGKSDISGSPPDTEFDQMNPPGETEPLTGISSGSIDQK >KVI00719 pep supercontig:CcrdV1:scaffold_872:35376:39209:1 gene:Ccrd_021029 transcript:KVI00719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative domain KxDL MEKQAITAASEEVSHEFKTLIHQQDLDSLKQLQHIILGRLQDSNAVLSHFNDYSENCFAEVSSDLSKNTRLLKSMKSDLDYIFLKLRSLKAKIKATYPDAFPDDSTIEALDRRPDLEIAQ >KVI00717 pep supercontig:CcrdV1:scaffold_872:44569:51393:-1 gene:Ccrd_021030 transcript:KVI00717 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSTDEDSHNRITTPSSNVWSSSVKMAPPTLRKPSSSSLIPPPKTLLKPQQTKPRIVNSTAVTPPVPDRSSSFQPALVGVTSSVVEEYDPARPNDYEEYKRERKRKAAEAEMKRELDRRRQEEEDRERRDREIMEKEREKDFNISGEEAWKRRAAMSVGGGGAVPRRSPSPPSGGADGFSIEKSETGGLGVGAGGQMTAAQRMMAKMGWKAGQGLGKQEQGITTPLMAKKTDRRAGVIVNASEPKQQPEKKPRGVNINGQPTRVVLLRNMVGPGEVDDDLETEVAEECAKYGTVTRVLIFEITEPNFPVDEAVRIFVQFERSEQATKALVDLEGRFFGGRVVRATFYEEERFNTNELAPMPGEVPGFI >KVF66980 pep supercontig:CcrdV1:scaffold_8723:8393:8844:-1 gene:Ccrd_026721 transcript:KVF66980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCLVGAGPEGRHTALEAVTVVLDLPPPQLGSMSRLTSIDRVSASDPESALALKRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSCNPTLASALTRLQRCAFIGSWE >KVI04494 pep supercontig:CcrdV1:scaffold_873:137337:164803:-1 gene:Ccrd_017190 transcript:KVI04494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Cys-rich MGRLDSTPPPQSSDQTPPQGYPNHHYDHPPPSPPPPPPPPPQKEASMADNVQNNIHQHRSFIPESAENMPPPESYPPGKPAIYPPQQQPTAYPPPPPEPVAFPPQQNYNYNNAYNAQPQSVNYQAQTVYQTPEKMGPSSGIAIGTQYLAPTQGWRTGLFDSLITACFPCITFGQIAEIVDNGQTSCLTSGLIYGLIAAFIGCPCIMSCGYRTKIRNRFGLIETPAPDWAMHCFCEWCSLCQEYRELKAQGLDPAIENKKTLLSWQIIRAAMGRLDSNPPSQSSDQTPPQGYPNHHDNPLSPPPPQEEAYMADSNHQQSTRSFIPQVPENMPPPESYPPEKPAIYPPQQQPTAYPPQQPVPAAFPPQPEPVAFPPQQNYSYTTHNAQPQAVSYQPQTGYQTPSYMGPPSGIAVGSQYLAPTQEWRNGLFDCADDPENALITACFPCITFGQIAEIIDNGQTSCATSGLIYGLIAVFLGCPCIMSCGYRTKIRNRYGLMETPAPDWATHCFCEWCALCQEYRELKAQGLDPAIGWQGNMARNQQQMQHYPTTTPPINQTMM >KVI04493 pep supercontig:CcrdV1:scaffold_873:42186:70945:1 gene:Ccrd_017189 transcript:KVI04493 gene_biotype:protein_coding transcript_biotype:protein_coding description:dDENN domain-containing protein MSQLFEYFVVCGIGPEIRTLDGEKGFHGTGVFYLASLLDQYPPPTHMLYPPPPPQLPTCVLPAGVEFYTSGFDSRNALSFPRSYPIALVPGDIVEFGDILVGEKSHVDMRALIEIINSSLAIKQDFMDGENEIEIRITKTVFEGTDIQGKNVVFVGTTMINENFIEWGIDVGMNMDSRKVHFQIQEGDGSKIYVSCIAFRDPVCEDIAEAYHIPANSFADKCICLVSRAPSFHILREVLEEIYLLCFSSNGSSKPLWDAIAYTVSKVPLPTPGKERVLFAIENSLLSVDFPPKDGLPHADISFQPLVQCLDVDNFIKLFTAVLIERRVLLRSNKYSLLTLASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTTGLSMDGVVVVDLEHNCITTNEEIPPIPEPEMSSLRSDILKLLHPNVVWIDSLKSDLESYEQYARFNRKAWSPENDVQLRIIFLKFFASILGGYRNFIENTANQVFNTQAFLKKRSRATHQPIDEMVTQFLESQGFLDYLERGIGSSENGSNLLDKLQDAIGRGQDPLSILPSASAEPEIITISDPGLGISGSGTRYTYDRFPSNYRSEEQEEKRKQILSAASGALEYAIKHNPSSPSMLDGESKGESLSPRERAAERERMVLDIKVKLQGLWLRLLKLGATDDPLSSFEYGTILALIEIDAEGIGGSGFVECIREHIHSGWQGQLTEEQFIAVKELVSAEMYKKDRNHVSDFVQRHLRSLSIWEELRFWDVYFEYLMECFSNKSTNYAALVTAQLIILATHMAGLGLPDTDSWYIIETIAGKNNIGYSHIIKLRGYMSHIQQLCIGYWGIYSVKSQSISSLSLPSPRPQDVTTDNQQPAEASGRSWVQSMFSRDTTLRTNSFTRVRKWTSDHAKSESGATGQHKLQSSIRTLRGHNGAITALHCVTQREVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGNELRATLKGHTRTVRAISSDRGKIVSGSDDHYVFVWDKQTTQLLEELKGHDAEVSCARMLSGERVLTAAYDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGVLAAAGRDAVANIWDIRSGRQMHKLLGHSKWIRSIRMVGDTVITGSDDWTARMWSISRGTCDAVLACHAGPVLCVEYSKSDRGVITGSSDGLVRFWENEDGGLKSSKNVTIHTGAVLSINAGENWLGIGAADNTMSLFHRPQERLGGFSGTVSKISSWQLYRTPQKAVAMILKGKGYAAVAATDCSDCGMQPSISKNLNE >KVI10948 pep supercontig:CcrdV1:scaffold_874:108552:109027:-1 gene:Ccrd_010647 transcript:KVI10948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DPH-type MSYDNVEIEDMEWNEELKSFTYPCPCGDLFQITKDELKMVTRRREACIVSRWDRGRVLWWLIRFPCGLCRVRARWSVPPSPLGVRVILKCPIRLRVSVPTSTSSATVLRLWLLRGIATVRSGLLLDQSRGRSCGSDKN >KVI10952 pep supercontig:CcrdV1:scaffold_874:113925:131838:-1 gene:Ccrd_010648 transcript:KVI10952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARASSGLAYPDRFYAAATYAGFGGSPNSSSKGVTSKFSNDVALLLYALYQQATVGPCNLPKPRGWSPVEQSKWTSWNGLGTMASIEAMRLFVKILEEEDPGWYSRISNFISEPVVDVEMNHNSKMGLPTKGEVALPETKTIPSENGNSGETQDKDVMVEGLGSVGVYDQWVAPPVSGPRPKPRYEHAAAVVDDKMYIFGGNHNGRYLNDLQTLDLRNWTWSRIEVKANSEAPAAVTPCAGHSLIPWEGNKLLSIAGHSKDPSEVVNVRAFDLQTCTWSTMKTYGKPPVSRGGQSVTLVGTNLVIFGGQDGNRTLLNDLHILDLETMTWDEIDTLGVSPSPRSDHAAAVHAERYLLIFGGGTHATCFNDLHVLDLKTMEWSRPTQQGEIPSPRAGHAGVIVGESWFIVGGGDNKSGVSETVVLNMSTLSWSIVTTVEGRAPLASEGLSLVLNGEDVLVSFGGYNGRYNNEVNLLKPSHKSTLQATMSGTPALDSGSGVQNATNGTRDVELEFEAGQEGKIREISMDNNEPQTNQVNEVIAALKTEKEELESALDKEKSQSLQLKQELIEAESKNTDLYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKEVEILQRQKAASEEAYAKQRQSTGGVWGWLAGTPPPIQKPNDA >KVI10951 pep supercontig:CcrdV1:scaffold_874:27300:30274:1 gene:Ccrd_010644 transcript:KVI10951 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MATSIISLSNPIFILIITALFLLLSVYIYNQPQKKGKTTKKYHPVGGTKFHQFIHFRRLHHYCTDLAKKYKTYRIYSPHNSEIYTTDPANVEYILRTNFDNYGKGSFMNHTLKDLLGDGIFTVDGDEWREQRKVSSHEFSKKVLRDFSGVVFTENTIKVGNILSKAASSNQTVDITDLFMKSTTDSIFKVAFGIDFDNISGSNEKGARFCRAFDDANELVLRRFFDLSWKIQKFFNIGPEAELKKNVKVIDDIIYKLIQTKIEKMREGNDDTLMKKQDLLSRFLQIKDIDQKYVRDIVVTFVLAGKDPIATSLYWFIYMLCKHPQIQDKVAKEIKEATNMSMKELEIIDVAEFATRVTEEALDKMQYLHAALTETIRLYPALSMDPKTCFSDDVLPDGGFVKKGEVVVYMPYAMGRMTFIWGDDALEFKPERWLDENGVFHPASPFKFTAFQAGPRTCMGRDFAYRQMKIFSSILLGCFAFKLSDESKIPHYRTMINLQIDGPLHISVSKRYGADKSESYKGEDLI >KVI10950 pep supercontig:CcrdV1:scaffold_874:45772:68577:1 gene:Ccrd_010645 transcript:KVI10950 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MATSIISLSNPIFILIITALFLLLSVYIYNKPQKKGKTTKKYHPVGGTKFHQFIHFRRLHHYCTDLARKYKTYRIYSPHNSEIYTTDPANVEYILRTNFDNYGKGSFMNHTLKDLLGDGIFTVDGDEWREQRKVSSHEFSKKVLRDFSGVVFTENTIKVGNILSKAASSNQTVDITDLFMKSTTDSIFKVAFGIDFDNISGSNEKGARFCRAFDDANELVLRRFFDLSWKIQKFFNIGPEAELKKNIKVIDDIIYKLIQTKIEKMREGNDDTLLKKQDLLSRFLQIKDIDQKYVRDIVVTFVLAGKDPIATSLYWFIYMLCKHPQIQDKVAKEIKEATNMSMKELEITDVAEFATRVTEEALDKMQYLHAALTETIRLYPALSMIMATSIVSLSNPIFILIITALFLLLSVYIYNQPQKKGKTTKKYHPVGGTKFHQFIHFRRLHHYCTDLARKYKTYRIYSPHNSEIYTTDPANVEYILRTNFDNYGKGSFMNHTLKDLLGDGIFTVDGDEWREQRKVSSHEFSKKVLRDFSGVVFTENTIKVGNILSKASNSNQTVDITDLFMKSTTDSIFKVAFGIDFDNISGSNEKGARFCRAFDDANELVLRRFFDLSWKIQKFFNIGPEAELKKNIKVIDDIIYKLIQTKIEKMREGNDDTLLKKQDLLSRFLQIKDIDQKYVRDIVVTFVLAGKDPIATSLYWFIYMLCKHPQIQDKVAKEIKEATNMSMKELEITDVAEFATRVTEEALDKMQYLHAALTETIRLYPALSMDPKTCFSDDVLPDGGFVKKGEVVVYMPYAMGRMTFIWGDDALEFKPERWLDENGVFHPASPFKFTAFQAGPRTCMGRDFAYRQMKIFSSILLGCFAFKLSDESKIPHYRTMINLQMDGPLHISVSKRYGADKSESNNGKDLI >KVI10949 pep supercontig:CcrdV1:scaffold_874:77260:88613:-1 gene:Ccrd_010646 transcript:KVI10949 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDFLSNSIFIPLFLLLLAYIYHQSQKYGKKTKTYHPCGGTKFNQLINYRTLHDYNTNLATIYKTYRVFNPFRGEIYTSDPSIVEYILKTNFKNYGKGAHIHNILKDLLGDGIFTVDGDEWREQRKVSSYEFSTKVLRDFSSVVFRKNTIKVGNILSEAANSNEKIDITDLFMKATTDSIFKVGFGIDVDNITGSSEEGIRFSRAVDDASALIIRRFFDMSWKIKKALNIGTEAELQKNIKVIDDYFKKEDILSRFLQIKNTDPKYIRDIVVSFALAGKDPIATTLCWFIYMVCKHPHIQDKVAKEIKEATNINMNELEITNVAEFAACVSEEALDXMQYLHATLTETLRLYPALSMDFKICFSDDILPDGCNVEKGDMVFYLPYAMGRMKSIWGEDAHEFRPERWLDRDGCFHLESPFKFTAFQAGPRICLGRDFAYRQMKIFSSILLGCFVFKMSDENKIPKYKTTINIQIDGPLHICVSKRYVQYKDAKEIKDATNMNIEELETTNVSEFAARVSKEALDMMQYLLATKTETIRLYPVVPLDPKICISNDVLPDGASKAERS >KVF65250 pep supercontig:CcrdV1:scaffold_8743:7321:7848:-1 gene:Ccrd_026722 transcript:KVF65250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MPLYEFNVKSSVVYHEKLSKRNCRALIFSGDHDMMVPHVGTRNWINSLNLXITESNWDAWYSNGQTAGYKTTYARDNYSLVFATVKGAGHTAPEFKPEECFQMVKRWFANEPI >KVI01004 pep supercontig:CcrdV1:scaffold_875:4783:5216:1 gene:Ccrd_020731 transcript:KVI01004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancyauxin associated MVLFDQMWDEVVAGPQPNRGLGKLRKLATFSGRDGLDGSSSNSGSISVPSSPTTPGTPTNTSPTAARKDNVWRSVFNPGSNPATRDIGSNRFDKPNNPGSPTIYDW >KVI01006 pep supercontig:CcrdV1:scaffold_875:62355:65771:1 gene:Ccrd_020733 transcript:KVI01006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIASPKLDCFPHQSHGLNVNRHLQGELQPLLLIDLWWLNLLVFFPGARLLLFRETAGLTILRTFKYGSTGMGLEALRYAYTLHIITLDPRAIQIAKILASSEILQNSGLQPLSQIGRDCFHMNSGMFILSTFTSKV >KVI01003 pep supercontig:CcrdV1:scaffold_875:112849:121753:1 gene:Ccrd_020737 transcript:KVI01003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MDPLLPDKFCSVDLKRLVRRQSSENTATITTAAAATTMFWLIFSEPSAALLESMLIVPKYSTKARLAFKLGHDNLVEVILKYGLWEVKLQKHNTEANGFKYKSGLHCTTRILKTEGSVTHLGLGLLKFGLEAWSTCKFLSDSYRLPLTSRPQETDHKSQFTDVRISADRHRLPSPLDPYALYVYKCSIAYLKVKPHVGQFSTVRGLYRGATPSFLGMAFESSILFGIYSQTKQALQGGDCNGKPEPHIIIPSAAFAGSIISFILCPSELVKVTGLFRGGGTTLLRESIGNAVFFSTYEYLRHSMHLQLKGSSFDHTMIDVGVGIVSGGLSGIAFWSAVLPLDVAKTIIQTTPDNNLTKNPFKMLKSIYRRSGLRGCYTGLGPTASRAFPANAAAIVTFEAAAKVLGIIRD >KVI01001 pep supercontig:CcrdV1:scaffold_875:100333:102503:1 gene:Ccrd_020735 transcript:KVI01001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHYLNLLSRLQEVKKYENRGRWTKNGVRSVVLGVLFLVTPLFANFLVQRYKLGQQAKKQNNGDPNNENSEMPWISRMQAWAQLEVQQENYRTARQLFEPKKMGLESNPIRTRRDHMKNTQLTDSIYFERSFVDSLDADVGIDAFTVTVKVEIELLRI >KVI01000 pep supercontig:CcrdV1:scaffold_875:70043:84485:1 gene:Ccrd_020734 transcript:KVI01000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S1C MGLPEIVEVARNFSLMIRVQGPDPKGLKMRKHAFHHYMSGKTTLSASGMVLPSSFNVGPLAEQVSSEPMSLVLTVASIIEPFLSSKHRDHMFQVKPELISGAQIDVMVEGNAKATNSETLHWLPAELITLLDIPASSVALQTLIGASSGSMEHGWEVGWSLASYNDGQQPYFDATQKEAPRTSVRSQREMLREQSIDSSLMGKLATRIAILKLSSRTFEDLPNLNMSPAKKRGDLLLAMGAPFGILSPAHFCNSISVGYVSNCYPSSSSHVSLLMADIRCLPGMEGSPVFGEQSEIVGMLTRPLRQRVSGAEVQLVIPWEAIVMACDGFQLPNTVNLKDSLKHAHEYLCSFEVPPNPVEKAMSSICLVTIGDGVWASGVLLNNHGLILTNAHLLEPWRFKKTGANENHEVVSNIFFTHSNETGDWPPTKQEAKPGSFQSNFNKTIHQRIRVRVDYLDRWIWCDASILYVSKGPLDIAVLQLNVVPDKLQPIIMDFTCPSPGSKVYVIGHGLFGPRCDMSPSACVGVVAKVVKVRRSIGETGQGDLPVMIETTAAVHPGGSGGAILNSNGHMISLVTSNARHGGGTIIPYLNFSIPCAALEPLFHFSKDMKDLSILEDLDRPNEHLSSVWALMPPLSPKPDPPLPDKETKGSRFAKFIAQRQQMLKQTSPSDKMDRDSSKFLPSKL >KVI01005 pep supercontig:CcrdV1:scaffold_875:11851:31457:-1 gene:Ccrd_020732 transcript:KVI01005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type EHYAISLPLCTVAYNLSLRPPSGEFYRRRVESMPAQKRSHEARYEDDDDDVDLQRNNPNIPEESEEDDDAEDVEQDEQESDDDGSASSSCSDKDDFVLVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRAHCASRRSLRDDPNYDALIAVLYPDIDKYEAEEFAFHEEEKARNKQLPAQIQASIAQTSRRQLEALGKKRTTAKATAAVFMRRSQGNTRNLRGRRNHKSSEPQGSDNEDDADNNDGGKFSSDADEPSSELRPKRHKRWRGARSSQPSLPSSSADGGCDENEVEGTRDMTGISAGLVGSSEILAWGRGGMRSHTRHGSLSNSAGKSARNSRLSKLIDHLRKLPQNNDKLDIHLKLISLDEQNIPNLQHLYLCCSPTMSIIHVSQYVALEKGLQDDEIELLLVKGDHFEINHSASLKVPTGEQPEPAVINPLTDELQLLEEHQTLLDVAKGSAQKDLTLAYRKKSCSS >KVI01002 pep supercontig:CcrdV1:scaffold_875:105814:108638:1 gene:Ccrd_020736 transcript:KVI01002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MNDESDNVMATSNVQGGNANMQSEETSTARRKKRKRRVDPMADGFSNAVTKLGETLEKMANKLSRSVEREDELDNKRSMITPEILKMQTLAQREKFKAISLIRDDPEKKIEISIPLFGGEEGFSVIIYTQRERGRLRYKEMGDNSGYKHYIAGLVSGVSMVIVGHPFDTVKCFFWLQHSEGACKLFYLHHPKSQSSLAHPLLFL >KVI00999 pep supercontig:CcrdV1:scaffold_875:136397:137648:-1 gene:Ccrd_020738 transcript:KVI00999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein MGTRKSGRVVRGMDDKYKNEMMEEWEEEDDGTYVEDSRKKATMGRRGSVSGGPTQPFCQVEECTTDMSRSKTYHRRHKVCEVHAKAPIVVIGERQQRFCQQCSRFHDLTEFDDAKRSCRRRLAGHNERRRKSSYESYGETSG >KVI01053 pep supercontig:CcrdV1:scaffold_876:62881:145790:1 gene:Ccrd_020685 transcript:KVI01053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MVILTGKQLLFFYLKFMYLINVHVKAQPEYLYHICRNATTYTPNSSYARNLDATISSLSNTNSGYGFFNSSEGQRPDTANAISLCRADVDQVLCRRCLNESIVQLRQSCPNQREAITYYDICLLKYSNATILGNYDTNKDVVYMWNVNNASDKEVFTWALLPLMRKLSGEAAAGDSLLKFAIGNTTGPDFATIYGLVQCIPNLSRYQCLDCLDSAIYQIQSCCDRTIGGRVLTANCKDASKAQRMAIIISIILVILVISIVMFIIWRRKKSLAGKLKSEIMDISIVESLHYDFYAIKAATNDFSEKNKLGQGGFGPVYKGELPNGQAIAVKRLLRDSGQGEQEFKNEVLLVAKLQHRNLVRLIGFTLEAAERLLIYEFVPNASLDQFIFENVDHNKFLLQLVDPTKRLLLDWDTRYKILGGVARGLLYLHEDSRLRIIHRDLKAANVLLDSNMNPKIADFGMARLFNHEEIEGNTNRIVGTFGYMSPEYALHGQFSTKSDVFSFGVLVLEMVSGQRNQRFRNGQCDEGLLTYVSFKPTMAEVVLMLKSFSLALPIPFEPAFFMHSSGDAQMTNLVEYDNFGNIKGSQLSDVVFREDTFPFVITDGLSKQFLDPFSSVVNPKPIVDSVFDDTVTSPQSSPQVEPLPDTPSPSSNEILIPNRIDVQPNVISQPLHGSNIVGYMLVRGKAHHYSNGPNVSYISMVICTGKPLLFSVLNLMYITNVLIKAQPEYLYHICPNDSTYTPNSMYAANLGSILSGLPYYNDGNGFYTQSVGEGPDMDNAISICRGDMSMKPDICQSCLKDSIVRLRQACPNQIEAIVYYDICLLRYSNVTISGKNDMDDVVYMWNINNATNKAQFNAALRPLMNQLQSEAAAGDLGLKFAMGNTSGPDLTKIYSLVQCVPYLSEEQCSTCLESAINQIPSCCDGKIGGRVLTASCNIRYEIYNFINTSSPQPSSPSQISLPVPPPPPPAVSERSLPVPPTPGNDTSTPRRIAIIILVTFVISAILIGMLIIWRRKKRRTRELESEIMDMSIVESLHYDFHTIRAATNDFSESNKLGQGGFGPVYQGELPNGQAIAVKRLLRDSGQGELEFKNEVLLVAKLQHRNLVRLIGFTLEGTERLLIYEFVPNASLDQFIFDPSKRLLLDWDTRYKIIGGVARGLVYLHEDSRLRIIHRDLKAANVLLDSKMDPKIADFGMARMFNHEEIEGNTNRIVGTLSTKSTFSQWSGLRRPSFLCKYPLIIIKFQIICIHSLTKNLSTSIFKAWRSLKDGTLSDMIDPILKETMGSLQEVSRTIHVGLLCVQNDVADRPTMAEVVLMLKSFSLALPIPLEPAFFMHRSGDAQMPNLVEYNNLRNNNGSQLSVNDASVTDVVPR >KVI01054 pep supercontig:CcrdV1:scaffold_876:156833:159004:1 gene:Ccrd_020686 transcript:KVI01054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MATICIFMVLKRKKNYVHKLEDETVDISNVESLQYDFGLVEEATNDFSEDNKLGAGGFGSVYKGKLQNGEEIAVKRLSKDSGQGEIEFKNEVVLVAKLQHRNLVRLLGFSIEGTERLLIYEFLRNASLDQFIFGDPSMRTFLDWDRRSKIIRGVARGLLYLHEDSRLTIIHRDMKASNVLLDAEMNPKISDFGMASGYMSPEYAMHGQFSIKSDVFSFGVLVLEIITGQKNTCFRIGKGIEDLLSYAWKSWRDGNISDMIEPALVVGPSSLHEIMRTLHIGLLCVQNNVVDRPTMGSVVLMLNSFSLTLRLPSEPAFFMHSGIDPDMPLLGYTSTSGTSGSGTTKRYSSSQVSINDASISEIIPR >KVI01050 pep supercontig:CcrdV1:scaffold_876:4948:16902:1 gene:Ccrd_020682 transcript:KVI01050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEGLARVLLLSRNNNAADFLYYLEGIDHNRSSINLRSLHHTAATENGHDTSILARALSKQLRQSFGSASSRQSGAVDVAGGVEATVVCFEVSCSGYPYHKRDPNQTKGKKHTFRSCEGLLWGVLEAKTQLGDVEKGESGLVRDERQKKKAASSRRLNQRVTIRVLQGVDSGPKATIHNSRVYKRSVLSILMFLSLIVSTIDSSFPQSFHRFSTYFIQDLLIFKLLTSLLEEIQASMDLHIEVSLLSFVYNSRYGSFLLRDLHFDAIQFCVYELLRIGYKFAARRDLNDPENAAIGAFAGALTGDNDFPRCNKN >KVI01052 pep supercontig:CcrdV1:scaffold_876:30306:31240:1 gene:Ccrd_020684 transcript:KVI01052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rpn11/EIF3F C-terminal domain-containing protein MSYVLEKLQTPFILTVDTGFIIGEVSIKAFVYVNLSLGDQQLAQFQEIQSHLRMVEDERVQFNILKATTVDKLPTDMEGMEATMERLLVLIDDTYKYVGDVVEGLVAPDNNVGRFISETVSSIPKLSPSAFDKLVNDSLQIFSTPK >KVI01051 pep supercontig:CcrdV1:scaffold_876:16908:17584:1 gene:Ccrd_020683 transcript:KVI01051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 eukaryotic/L18 archaeal MIQGSTNQYKGIADCVQTILREGPPALLKRFAGFSKDGKQLDADVHRKYIYGGHVASNMRTLMEDEPEKYHSHFSEYIKAGVDPENIEELYKFNLKKLTYDKKKQKLIERLNALNAAAFGADDE >KVI01049 pep supercontig:CcrdV1:scaffold_876:2903:25550:-1 gene:Ccrd_020681 transcript:KVI01049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRLSLLLIWIPFLIWIATAADYQTHYCVLNATSHTSNSAYYSNLVQVLDSLASDKTVTDHQFLNKSAGNSPPDIAYGLYLCRADVLPDQCRNCLLKAREDINNSCPFSKAAVAWSDDCMLRYANDSMVSVMDSATFVSECNKLNISDKASEQSQLWEAGMNLMGLLATRASNDIKNKLFAFDELTFDSNRSVYGYVQCTPDLLSSDCDRCLQESINRLPQYCYGRQGARVLTPSCNVRFEIYRFLTFTEDRSDTSGKDEISSTIIAAIVASIGVLVVIAGICYFLIVKKRHPVTFNDLKDETGENEMITEQSLQFELGTIKAATNNFSIHNKIGEGGFGGVYKGVLHNGSEIAVKRLAKGSGQGELEFKNEVVLLAKLQHRNLVRLLGFCLEAEEKILIYEYVPNHSLDFFLFDPSKQAQLDWPTRYRIIGGIARGMLYLHEDSRLRIIHRDLKTSNILLDEDMNPKISDFGMARIFFANQTQAMTNRIVGTYGYMSPEYAMHGSFSVKSDIFSLGVLVLEIISGKRNTGLFQSGSGDLLGHAWHKWKKGEPLEILDPNMVDSSSNNEVLHCINIALLCVQEDAELRPSMASVVIMLNNYSVALPLPQNPPFVSKNRVRRTSKMMESADNICTEIWPIEAPLITEPFTAPESMLLSLRLIWIPFMIWITTAADFETHYCGLNTTSHINGTAYYSNVIQVLGSLFSDKSVDDIRNFSDKSAGNRPPDKAYGLYLCRDDALPNDCRNCLLKARANIEVSCPFSVAAVWWSDLCMLRYANYSMVSVMDSDSFISECNKIDISEMVAEQSRFWEAGTTLMGQLASRASKQESKLLAHDEQNYHGSNMTIYGYVQCTPDLSSSDCDRCLRDSIRNLRRYCFGREGARVLTPSCNVRFEIYKFLTYAANTPDTNGKKKISSTIIAAIVAIIGVLVVIAGICYWFITKKPRPIIFKDFKDETGESEMITEQSLQFELGTIQAATDNFSLHNKIGEGGFGGVYKGILQNGSQIAVKRLAKSSGQGALEFKNEVVLLAKLQHRNLVRLLGFCLEDEEKILIYEYVPNHSLDFFLFDPAKQAQLDWPTRYRIIGGIARGMLYLHEDSRLRIIHRDLKTSNILLDEDMNPKISDFGMARIIFGNQTQAMTNRIVGTYGYMSPEYAMHGSFSVKSDVFSLGVLVLEIISGKRSTSLFQFGYGDLLHHAWNKWKDGEPFGILDPSLVDSTSQNEVLRCINIALLCVQEDAELRPSMASVLHMLSSYSVALPLPQNPPFVSRNRVRHVTSKMAESDDSICTVWPTETSQITDIGELLHQRIFDKELFDFEVDGLKKPIIVEVLPPVNIVLNVGILSIRNKSFEYYIDIDHLLDK >KVF64261 pep supercontig:CcrdV1:scaffold_8764:4894:5529:1 gene:Ccrd_026723 transcript:KVF64261 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding domain, CaLB MDAVKALSSLQFEVKIVSAKNIQVRDSKGYLFVRCYLSAGNNKRVRLESRDISTSGGYFSWNESFSLDCLGTKQSMDMIIQGTVVFELRCRSTVPLIRMIIGGDENIGCWSSQLLGRAEVPWREIFESSKMESERWIVMRSKKIVTKSPSVCVTLKIQVPPVVVMKERNTKDGGKFKNKWDESCGCSHGHCCQNLCVDSEMVAMGLALDAF >KVH95662 pep supercontig:CcrdV1:scaffold_877:64145:64798:-1 gene:Ccrd_002271 transcript:KVH95662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S metabolism associated domain, SufE-like protein MNSASATVFRPPTRFPLLPPNSHNPNPPRIQYKPNHSNPRSISTRSLQISHRKHVTPPHPPEFSTLTVAEKLQILVKEFKSLPEPIDRVKRLIHYASLLPEFDESGRVESNRVTGCTAQVWLEVTMDVEGSMRFRVDSDSEITKGFCYCLIWLLDGAAAGEVLEIRVEHLEEMNVGILPIRASSRVNTWHNVLLSMQRRTKALIMEAYGDPSLPLLP >KVH95657 pep supercontig:CcrdV1:scaffold_877:1750:2893:1 gene:Ccrd_002278 transcript:KVH95657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MAGPSLATRKPPTIKFLCSYGGRILPRYTDGKLRYCGGETRVIAVDRSLSFSELLVKLGEICGTPAVALRCQLPTEDLDALVSITCDEDLVNLIEEYDRKARVQSSSNLKIRAFLTLSKKSSSIPSTSSASRFSSSTTIDLEISSPKSPPSSTSNSPARFPIAATSHCIQQVSKPSRKLPFRYDKSAGKLLRYACENSSQSYLVHNGNHWQ >KVH95658 pep supercontig:CcrdV1:scaffold_877:31724:33033:-1 gene:Ccrd_002275 transcript:KVH95658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHGCVNSHGKNFHIVHRQGSSWLHFHGSQHQRTNQIGGSEDFLMSKSTLENSWSMESCAH >KVH95649 pep supercontig:CcrdV1:scaffold_877:146418:149362:1 gene:Ccrd_002263 transcript:KVH95649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEVE ELEMENT OCCLUSION C [Source:Projected from Arabidopsis thaliana (AT1G67790) UniProtKB/Swiss-Prot;Acc:Q9FXE2] MDIHTRTLVLLEMLGNYRWDAKVILILTAFSISYGEFRLILEVYSHNLLAASLAALKNLCGRNLEGLRPQFKAMEMVVKEMMELAKCVVRFEGLPLEEIVLYDDHDPWGLSSLALRLSRLCSCLRMLVDAAQDQIEQKVYKKLMNLVKDQTQVDNQQVLHLFFSLNDDLPLKDSSSQAKVVMLLVSKPDLLPIDQTLLLLQQTKEHPHNKSIEQDYEIVWVPSSETWTLDEHMGFDYLSNSLPWLSVRQPWLLNSAVVRMIREEWKFEEDPLMVVLDSVGVASNYNAIDMVLIWGPKAFPFSNSREKELWELEEPWNLQLINLDWINEFESRIEKLRNVGLQLRVIYVGSRNANETTRTTLAVVNKDNSLTPTKIRLFWLRLERIRDSILRVGRTQTFPNYETLLKQVSELLETDDRNNNWAIFGCGSSKDFVKLEGNKIMEFFDRFPIWAERVAGLGFVGAIRSVDDAVNLTAACDHTAVVPYDEGMVRGTMVCDK >KVH95653 pep supercontig:CcrdV1:scaffold_877:135004:142918:-1 gene:Ccrd_002264 transcript:KVH95653 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEYYNMIQSLIFSSTRWLYSHLWFSDIAMALLFIFIFNSILQRLTTTGPMTWPVFGILPTVMLHATSIYEWGGQALIKSGGTFPYRGMWMGGTYGIVTSDPAKIEYILKTNFKNFPKGKAYRERFYDFLGDGIFNADDELWRQQRRVANSEMHSTRFMQFSMDAIEKLVNEKLLKVLEAKRGCAIDLQDVLLRFTFDNTCAVAFGVDSGCLEVELPEIPFAKAFEQVTFASLMRFLTPPYVWKPMKFFRLGFEKTLHEAVKIVHDFAEKTVRERKMELSSSSNKEGINGNSRCDLLSRLIILEKDKKDPFFTDKLLQDFCISFILAGRDTSSVGLAWFFWLITKNPSVETKILTEVREIFRQRENPTKENQENISFTQEELKKMVYLQAAISESLRLYPPVSFDHKEPQVDDIFPDGTMVEKGSRVVYCMYGMARMESIWGKDCFEFRPERWIKDGEFVSENQFKYTVFNAGPRLCVGKKFAYTQMKLVVASILWRFQISTNRLRSPSILSSYLKMSNEAMDVPKTGFSFDLCRRNDMLAKKGLKSPSYLKTGTTIVGLIFELKLHRYHTGRESRVVTALTLLKSHLFRYQGHVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAIFESEYREGLTGKKEYLRNHLTPNPRTYISSRGYTFSKKAEVLLTKITPLKELVDVVQVGGDAMEE >KVH95660 pep supercontig:CcrdV1:scaffold_877:2755:9962:-1 gene:Ccrd_002277 transcript:KVH95660 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold KIQGFLFNFLLVLRRTLLGFCCTRQSTEVSVTVGERAYFDPFLVLNHEELRYERRTHITTEGEIKNKKSLVMKFMKLGSRPDAFYTENGVRSISSEVRSDLIIQVNETRYLLHKFPLLSKCLKLQRLCFESSESSQLQFIQLPDFPGGSETFELCAKFCYGITITIGAYNIVSARCAANYLQMTEDFEKGNLVHKLEVFFNSCILNGWKDCIITLQTTKRFQLWSEELGITSQCIEAMVSKVLSNPLKVSLSHNHLSQNGDKEDINGSKGWWGEDLSELRMDLYRRMMTALKSGGKVPANLIGDCLKIYTSKWLPKISKNLEMGDTIYDLDIVLNIMEQFMLQTQGQQHISLQKRLEMDANGDCDFQESCTSSSSGSNGRMIKVARLVDGYLQEIARDVNVALSKFIALIEASHPDLNKSERKHLCRSLNCKRLSMEACMHAAQNELLPLRVVVQVLFFEQARAATVDGHLTALPSHIKALLAAKGGTPSPSGATTLQPEDQWAKSRNPSVSSSRTKPPVEDDDDNDDGSSKVAEEMRSNSSCRNPNGG >KVH95654 pep supercontig:CcrdV1:scaffold_877:128341:134199:1 gene:Ccrd_002265 transcript:KVH95654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MGLKFSSSLLLFILAAVIHHHHPTTALPLSTNSRWIVDNGNGGERVKLSCVNWVSHLDAVVAEGLSKQPVDAISNKISAMGFNCVRLTYPLFLFTNDSFASVTVRQSLRKLGLIESIAGFQSNNPSIIDLPLLQAFQLEMELLDNDSFVSSLDRNNIMMILDNQISTPGWCCSDFDGNGFFGDPYFNPDVWLKGLTKVATMFNGSTNVVGMSLRNELRGPRQNVSVWYRYMQKGAEAVHAANPNVLVIVSGLSYDKDLSFLHTQPLTLTFSQKLVYEIHWYGFSNSEDWETGNPNDVCGRVVENITAKSGFLLDQGYPLFVSEWGVDQRGTNENDNRFLNCFLAWAAEYDLDWALWTLAGSYYFRQGVVGMEEFYGVLNWDWCKPRNSSFLEKISPLQSPFQGNKLEF >KVH95655 pep supercontig:CcrdV1:scaffold_877:94004:106088:1 gene:Ccrd_002268 transcript:KVH95655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin SHQSPSLDSPRLIYGGGVLERGGARQLSLHQRFVQIPSKHIFSSISIADRSLSLSVRTECVLSACKMETPSLRVRLVFEDRSILSKTQRSDGMDHSWFLLKSQQHRTISDVCTHLLHIFKLRRSCPNGILLSMDGFVLPSFESTEMLKDKEIICVRKKGGDAVEAADAGNLLDKVEVDGLDSEDDGLLLLANDTFDKETGEYQSESEEVDEEQLQDEASPDAVSNKRKASMKLQNSTKKRRRLGVLDDAEDEADAEEIDNVNIDTSHSRKISRKGKLPNRNSKPNEETNRSAATSNKKVKSPSIVKRSEQLQQNIEEVNQVSTAPGAKKIPSRSARRKKAKREWMKELAKISKKKPYSYSKPEVTKAENEEANGRPKGLLHWKQAPNKSVHKNTIDQDAGTGVVSIVTRPGHIRFEPLDEDASVVFLLAISKVDQTPKQTRVSNETLMWNGSSSKRKGKKWSTERFSASRRNDSKRINKEAFKMLFTDAQVPVIDPNDFDKLPPCCSPKEGDVIAYRLLELSSSWIPEFSSFRVGRISYYDARDIVLIPVPEYPIASDKINEDGPNDSLYGEDGTLEINYSALVDVRNLKQYDPDAIEAVSNGVNQTLMSDDKNDAEKMVSNSNDNNMNLSKDSNPGDGEVNPWDRFSKVNSLNAEMSEPNHSSMVITEMNPCSYGAAGPRVAPDANNAESSEKKDEQKGSSSGNPWLNANKQAPSQENGSSLAGWTDAKQAGPSENDNEKGSSWGRPWSSFVISRASPMKEQNKENDWNRGSSRGNGQPWSRGAPRGRGRGRGFGSGRGRGNGRGRGRG >KVH95650 pep supercontig:CcrdV1:scaffold_877:166770:168687:1 gene:Ccrd_002261 transcript:KVH95650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein IIPSITNPTFSSLYKVTQVDLLYQIIQQRWLNMETRKVFFVALSFVLFIGVANCIDFTEKDLATDESLWDLYERWRSHHTVSKDLGEKIKRFNVFKYNVNYIHKVNQMDKPYKLKLNKFADMTNHEFRSGFDSKILHFRMLQGERRRTPFMHEKTSDPPPSIDWRKNGAVNPVKNQGRCGSCWAFSTIVGVEGINKIKTNKLVSLSEQELVDCESDNQGCDGGLMENAYKFIEENGGRNSPIVKIDGFETVPANDESALLKAVANQPVSIAIDASGPNFQFYSEGVFNGFCGTELDHGVAIVGYGTTQEGIGYWIVRNSWGPEWGEQGYIRMQRGINSPEGLCGLAMEASYPIKVSSDNPRSVAKDEL >KVH95651 pep supercontig:CcrdV1:scaffold_877:110895:115464:-1 gene:Ccrd_002266 transcript:KVH95651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEEDTDAVLSDVEEEDNPPDTVFDGTSSSTEDVSIERFREVVAELDRERKAREALENSKSELQGSFNRLKVLAHEAIKKREEISRQRDEVSRCNERLSAQLAEAVKEKDEMLKQKDDLVQQLEESVKAKDSSRSEIETAAQMLVTGIDKISGKVSNYKNFMAGGFPRSQKYTGLPAVAYGVIKRSNEIVEELHRQIESVTKSRNEARQQMDQRNYEIAIEVSHLEASISGLREEVSKRDSIIEGLEKSITEKDGKISKLETELLGMQDLVSDSGAKLKNLELRIDSQRPLLADQLAHVSKLHEQIFSVIKILDDDKKSQSDLSDSLFLPQETDVEENIRACLAGLESISDLSSIVYQKTRDLVVERNREVKSLNESVTQLVKEKEHVGSLLRSALSRRMSTDLSSKTNELFRVTETGLREAGIHYRFSNHTSDGTALDSNNDAGNLDNKDDEIYTLAGALENIIKQSQLEIIELQHTVDELRAETSLLKENAEAQAKELMQRKEQVEKLKDKERVANENVEGLMMDIAAAEEEITRWKVAAQQEAEAGRAIEQEYMAQLSMVRQELDEAKQAVMESEKKLKFKEEIAGAAMAARDAAERSLRLADSRATRLRDRVEELTRQLEEHDTRETSSGERGPRYVCWPWQWLGLNSVGSQPRVSHMQPVGSNEMELSEPLI >KVH95663 pep supercontig:CcrdV1:scaffold_877:68372:80316:-1 gene:Ccrd_002270 transcript:KVH95663 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MAELRHSSSIGGRATPSPRKRDDVTSPLSTENHPPRDDDDGGRDRYPRDRFRSLFSNHFQLLDESARFYSHNFKILLLFITILAFAGMYSVYSVISHLNAPYLCTKDGITLHCPHVKEPPSLWENPLSATTSWKSCAERRIGAISDLPEANETNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVQIVRDIPSWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPQEINRLRCRVNYHALKFLPEIDEMAERLVARMRNRTGSSNPFMALHLRFEKGMVGLSFCDFVGTRAEKALMGLYRLKEWPRRFKDGSHLWPLALQKRKEGRCPLEPGEVAVMLRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELTTKDELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLLSKSLGDPYMGWATFVEDVVITHQTRTGLPEATFPNYDIWENPLTPCMCKA >KVH95659 pep supercontig:CcrdV1:scaffold_877:34244:35119:1 gene:Ccrd_002274 transcript:KVH95659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFEETSYFNTMDRQRFIGPPAYVICPGAAQQEIIFIYQRYHENIQDGSYNNVWGLKTQTTPYSNHPQVSIPHTKHHESAAWSTVANCYDNGDSHHPGGGVVATGLPNTEKYGNSFGHGNPFSHEHNNYRNGLEYHHIPNRMNHGYRKQFWRCNEHKKV >KVH95664 pep supercontig:CcrdV1:scaffold_877:35879:38552:-1 gene:Ccrd_002273 transcript:KVH95664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVPERGSKTKKHKWTRREDEALISALTELCQTGWKRDNNIFRSGYTTVLEKELKSKLPGCNLKASPHIESRLKTLKKHCDAITDMKDAFGIEWRGTECTLICYDDDVWDDWVKTSENAGHMSRDEQFNPIYRTNGKVLENENNESDHEEANNHKGNDMAVDDSNDDDVLVDDVVGTPMQMHGCSTSPQVTNKKAQSIGSWSTGMEALAKIFDTMLKRHEEQFSLLAGLVGREVAADKAAADKRARLNGELKRIPNLSLQARLRAASVIVSDPAKLDLFYSLSNEERKEWVSMLLSGLI >KVH95656 pep supercontig:CcrdV1:scaffold_877:67261:90617:1 gene:Ccrd_002269 transcript:KVH95656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase, large subunit, ferrodoxin-like N-terminal MLNNSGMPIQKARKQIDQNGNVFGFKALRALRLEDLRIPTAYFIIKGSTESSIYVQSLPEIAPMRLSAHDFQDVVADNGFSHKEGEVTSSRFLGDGVALPPIELEWRSSAMARFGLKASFEIAVDCSPSRLRLRLPSNRAISRFKVQIYLYSNCKPKASGSPFIENGCPKVLLLYDSKKPLRKIKSAVLLKQHEKK >KVH95648 pep supercontig:CcrdV1:scaffold_877:164855:166016:1 gene:Ccrd_002262 transcript:KVH95648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFFGMSLGAFVFWQSMILAGLLGSEVQLCGMTFLGVFGPKLL >KVH95661 pep supercontig:CcrdV1:scaffold_877:21090:23991:1 gene:Ccrd_002276 transcript:KVH95661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MTSTLSKFFDKVYTMAEGGSRYCSKKSDDICGDICDEDSGKSSTMARLRCILRGLELKTIVFLFVMVPTVILGLYVHGQKVSYFLRPLWESPPKPFHEIPHYYHENVSMENLCKLHGWGTREFPRRVFDAVLFSNEVDLLTIRWHELYPYVTEFVLLESNSTFTGLPKPLVFASHQDQFKFVEPRLTYGQIPGRFRKGENPFVEEAYQRLALDYLLKKAGIQDDDLLIMSDVDEIPSRHTINLLRWCDDIPPILHLRLKNYLYSFEFLLDNNSWRASVHRYQSGKTTYAHYRQSDTILADAGWHCSFCFRHISEFIFKMKAYSHVDRVRFNKFLNPTRVQKVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAHLIENADKYRFLLPGNCIRESG >KVH95652 pep supercontig:CcrdV1:scaffold_877:103305:106739:-1 gene:Ccrd_002267 transcript:KVH95652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MLGEEDKTQQQPPPPPPGGVGGDNRRIYSRSVSWAAGRSPTKSTSANPKSLWNTKAKGGCLPPLQPLSVSRPKAHEWPRAGSDDLGVWPNVAATTPGARPRPPALPLNLDKSLGKPPREFEFKKDKLAFFDKECSRIINHVFLGSDAVAKNRDVLRQNGITHVLNCVGFVCPEYFKSELVYKTLWLQDSPSEDITSILYDVFDYFEDVRERNGRVFVHCCQGVSRSTSLVIAYIMWREGHSFEDAFQQVKAARGVTNPNMGFASQLLQCQKRVHAIPVSPSSVLRMYRMAPHSSYAPLHLVPKLLARPNAEALDSRGAFIVNVPSAIYVWIGKDCDLLMYESARLAASQVIRYEKANGPALTIREGKEPIEFWDVLGNDQEQSTGDKGIAGQRKIADYDIDFGVFNKAANSGVVPPLSISGHDSEMCLPARRSGWERLRKKFATGVMKELIISSKEVEFFESPCGSPDSCSFSDISASRDWVKTTDSKGNEDDNSECLSPYSFSSFLVKDSRKFDNNTSPSLSPSTSDYSNSFSFSPTSSNWSDLSVSAQPSPSGLKASDPSPSLNPNPNSKVREISPSTAEIPQTLVRTWSFSMEDETMEDAEDESLADGDEESMFDAENHPSEGSTRADFVLYRWPTMEEVKMGSPGIPDSRAVYIAYVTDPSLGTNSPDVLYIWVGRDASGISGNDDSCNDSYIQWQMVGRDFLVRKDLATGSVVQIVREGEEPEQLWKHLHCFSFQNTAEKGEK >KVI03604 pep supercontig:CcrdV1:scaffold_878:85810:88997:-1 gene:Ccrd_018091 transcript:KVI03604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MGNGVGKLTICFTGTDVVHRRKDMSVVVSDQPLDDLGHSFCYVRPDQSCNNSSKVHSAEEATTFRSISGAAVSANTSTPLSTSLIDLYSCNTIDKASAFESSTSFASVPLQPLPRSSINSGPLPSGLVPYSGPIERGFLSGPIERGFQSSPLFSGPFEKGSHDQFQRSYSQGGFAYKRRSSKGSLIRVIRRAISRTFVTRGQNAIVAPIIKSVDSLKQHDWIGGSSEKNNELTISSANLSSESSFLDDDESFNQSQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDFLLSNLYPAVHKELKGLLWDDKLDSSNSTSSVQSIDAIESHLDDQPQRNVFPKSVDQPESYPSATEDFQMSSRKRKSKNSKVRHRGSGNKWEENQRWWKCEFDRERLELDRRLKEYSNSNGSDSTNHSDVLKALSRGLRKTEESYLDIADQMLDENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQQPEPDLWRQDLERINEETLYDLEVFDAENGSTNPSLMACQLSMDHSTSIEEEVQRIKNEHPDDALTMMNDRVKGSLKVTRAFGAGFLKQVMYQLVVDLIKYKINVDCSNSSWYSIEVDSHGLYQYFTNKEAVSEVELFIQWSPEGDPAQHLVEEVLFRAAKKAGMDFHQLLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >KVI03607 pep supercontig:CcrdV1:scaffold_878:112827:114010:1 gene:Ccrd_018094 transcript:KVI03607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein, family 15, plant MSCSSGKCSCGSSCSCGSSCNCNSADIERSTTTTIIVDDMPREQRPASLSRVQTDASAVETASVILATVKQRQAE >KVI03611 pep supercontig:CcrdV1:scaffold_878:36927:46301:-1 gene:Ccrd_018089 transcript:KVI03611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAEGRITMLMQDVINKVKEISEISGYISVVRKPFFSLAGRLTIMIPFFQEIRDVTKHASRNLYEAMTSLLDHLEATKKLLQQGSKGSKIYVVLEREEIMREYETVVAELEEDLRRICFEKLDVSDEVKEQADLVLRQFDRARGKQFDTSADDDLYEDLLFLYHKGSNGVLDPEVFNGVVETLGLTKATALEQESVALSEIVIARGGDRVENIEKMFMVLKHIKDFLHTNNQSVDSSSGDGYVYVRQGIANVINYDNEVIPNEFLCPISLQLMQDPVVISTGQTFERLSIQTWIQAGNGTCPTTRQFLTNTTLIPNYALRDLIVEWCKTNRILDAPKGVSTIAYSCNPVERKFIGIYIRQLECVEHNGHQRKGAGEIYQLTKRNAQIREAIGEAGAIPSLIKALQTTDPETQGYAMSALLNLSICPRNKRRIVEAGAIPKIIDAMNSGSMKVCEDGATILFSLSETDEIRFLIGSHEGIRPLVFLLREGSERGQKDAATALFSLCLIPCNIARAVKFGVVPVLIARLLKGYSPLKDDALALLDMMVSHRDVKLVLLKESPTQRLIQLLLEGSRKNQENAASILLQLSVEDPKYLDEAQRFRIVNYLMYVECFGSEEGKRKANLLLQR >KVI03600 pep supercontig:CcrdV1:scaffold_878:156057:160841:-1 gene:Ccrd_018100 transcript:KVI03600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKCFHFISGDRRTDDDDGVLSRSSTRVSWARSLSVASTSFDTTRRSEFDLSSNSRDLGDSEAFSELLSQRRANDLRVFKFAELKAATKGFNRSLVIGEGGFGCVYRGVVKAAVSDEGSDGDRCLDVAIKQLSQDDERGIQRLLVYELMRNKSLEHHLLGRGESPLSWMVRLQIAQGAARGLAYLHEEMDFQLIFRDFKTSNILLDEDFNPKLSDFGLARQGPAAGLSHVSTVVVGTIGYAAPEYLHTGRLTSKSDVWSFGVVLYELITGRRAVERNLPRNEQKLLEWVKPYISDSKKFHLLLDPRLEGDYSLKSAHKLSCLANKCLTKNPKSRPKMSEVVEMLGKIINDTSPPQPETESQLVTTEEPEEAAVETDSGKQEVSANNYMKKVFDFKELVTLRNRSILRMEWRHWPPGMVRHSA >KVI03603 pep supercontig:CcrdV1:scaffold_878:72422:76059:1 gene:Ccrd_018090 transcript:KVI03603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGQGLSCRVQDEHGLFTAVQFGDLELVKTLLERDPSLIQQTTVYDRHSALHIAAANGQIEIVSMLLEDRSVNPDCLNRHKQTPLMLAAMHGKINCVEKLIEARANILMFDSLNGRTCLHYAACYGHSDCLEMILSAARTSHVAASWGFSRFVNIRDGKGATPLHLAARQRRPQCVHLLLDSGALVCASTGGYGRIPYLVALKHKNDACAALLNPSSAEPLVWPSPLKFISELNQDAKALLEQALMEANREREKTILKGTSYSIPSPSHSNSGAIEDDISEVQDCGHQMCAQCTLALCCHNKPNPTTTSLTAPVCPFCRSNIAQLVVAKVKVNSTDQDLDLYSSPKLPKSRKPRNFSEGSSSFKSLSAVPSFGKMVGRGSGRVSADNECIDKP >KVI03608 pep supercontig:CcrdV1:scaffold_878:117826:118948:1 gene:Ccrd_018095 transcript:KVI03608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSGKCSCGSSCSCGSSCNCNSADIEMSTTTTVIVDGVAPQMTFAEGSETNFVAEGGNGCKCGANCKCDPCNC >KVI03602 pep supercontig:CcrdV1:scaffold_878:108469:111360:1 gene:Ccrd_018093 transcript:KVI03602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein, family 15, plant MSCSSGKCNCGSSCSCGSSCNCNSADIERSTTTTMIVDGVAPQMTYENVRNKMEPFSICLNWLNQI >KVI03610 pep supercontig:CcrdV1:scaffold_878:154825:156396:1 gene:Ccrd_018099 transcript:KVI03610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASEWALTSCFPESVSTAASSGSSVVTSWDSVSGCGGDVSLMIFPSISTTSLILGRDLGFFVRHLLARQESLWADLRE >KVI03601 pep supercontig:CcrdV1:scaffold_878:104350:105492:1 gene:Ccrd_018092 transcript:KVI03601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein, family 15, plant MSCSSGKCNCGSSCSCGSSCNCNSADIERSTTTTMIVDGVVPQMTYAEGTETSFAVEGGNGCKCGGNCXCDPCNC >KVI03612 pep supercontig:CcrdV1:scaffold_878:23465:24793:1 gene:Ccrd_018088 transcript:KVI03612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MVFSSVPPYLDHHNWHIQLQQSSHQEARVGGGENPNLPPPPPPPQPGGGGGGGGGGGEGSIRPGSMVDRARMAKLPMPEPGLNCPRCDSTNTKFCYYNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRNNKNRSSKSPSQTGPKSVSESPSRCSTETMATTHLPHPPSLQLPFMSSLGQYGGVGGNISSNLGGFQPQNEMGNFQLGSGSSTGNNFNNILSIGGVENWRLPFLAGFEVPSNTNLFHYQSEGAAEAPPLSMVGGDMRLPNSGFDSTHEVDPPVKMEDNRSLNLSRQFLGASETSNQQPWGGNAWAEFSGVNSTSSTPTTHFL >KVI03605 pep supercontig:CcrdV1:scaffold_878:124062:125970:1 gene:Ccrd_018096 transcript:KVI03605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger MDKSMLGDLGTLPPEDQARMSTMIDQLQIRDSLRMYNTLVERCFTDCVDTFRRKTLDKQEETCVRRCAEKFLKHSMRVGLRFAELNQGAATPD >KVI03606 pep supercontig:CcrdV1:scaffold_878:127584:133486:-1 gene:Ccrd_018097 transcript:KVI03606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDNKKSAQPQSSNAEPEGENAYASFQGLLALARITGSNADEARGSCKKCGRVGHLTFQCRNFLSIKEDKDKDPEAIQAAVLSGLEKLKGNGKRTANESSDESDEEESESSDSDYDSEIERAIAQKYGKSLSSTSKSLRKKQDDDSDSDESHSKERKKRGRSKKRSAKRSTSDSDEKGGRKRRKEKRRRKDESSDEDRKRRSYRRKSRKEKRRRRSHRHSDDSDTSEDSIRRHKRKSKSKRSAMSSDSDASSSEDSRVGRDKRRLERRSRK >KVI03609 pep supercontig:CcrdV1:scaffold_878:146217:151681:1 gene:Ccrd_018098 transcript:KVI03609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGVCFSAQFKEKSPFHTGANSKNVNDLSTSSSSKVSSVPPTPRSEGEILQSPNLKSFSFSDLKMATRNFRPDSVLGEGGFGSVFKGWIDEQSFTATKPGTGIVIAVKKLNQESFQGGSELSWAIFTSKSRRTDWNYNAKLSDFGLAKDGPTGDKSHVSTRIMGTYGYAAPEYLATGHLTSKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLAEWAKPYLAHKRKLFRVIDNRLEGQYTLDGAHAVANVSLRCLSVDPRFRPSMAEVVKELEQLQDPKGARPRPRRHSSHGSHGTRKSSSGDRNTATDGGGPGPQTQASI >KVF63818 pep supercontig:CcrdV1:scaffold_8780:539:1978:1 gene:Ccrd_026724 transcript:KVF63818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDAQRSIQTSTVMESSRNTVIREVAQGMADNYNLPSANEVAGLVVGDLSENNFEHDVIVEHRTTGLQRITDLHPSLCR >KVF63616 pep supercontig:CcrdV1:scaffold_8784:4657:9830:1 gene:Ccrd_026726 transcript:KVF63616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MENPKRAGGRSCMDALIISINDIEQGCRPLQRVIHFGSPSELKDILQIDPQLIQKESDLCHRIRISLSNGTPKEAIVIYAQNRRNPLCILSVVPLVFKACASLSMINNGKANVVTWTVMVEGYASNGQMNAAKDIFEAMPQRNFFVYSSMISGYFKNGHVEEGKAIFDRIKVRNLVNWNSLISGYCHNGLCEEALDAFAKMQADGFEPDEVSFACALSACAQLGSLKTGITLHHMIIQRSIKLNQFILNGLVDMYAKCGDLTNARLIFEGMLERNDACWNAMISGFSIHGHCKEALEFFDRMVKSSVNPNEITFLSVLTACAHSGFIREGLETFSKMENYGLSPNIKHYGCLVDLLGRAGRLKDAYRIVIDMPMRPNDMIWMALLGACRVHADMDMANQVLERVRDQVSGDCSHYVLMSNMYAASERWEKAESVRIVMS >KVF63615 pep supercontig:CcrdV1:scaffold_8784:1930:4238:1 gene:Ccrd_026725 transcript:KVF63615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MNNLRILEIRYLEPHRTFLRNPQKESIVKFSGNLEFLSDELRLFYWHGYPFDFLPSKFYPENIVSIDMSYSHIKNLWTTPMCFKKLKEMTLSYCHHLTNLPDFTDIPNLEYLFLDGCVNLIEVHPSVGLLKRLLYLNLENCYNLRSFPDKVQMESLRHLIIWGCTKVENWPKVLGKIKTLIELGVDLPAINEPPSIVSSISNLQSLLIHGHERIRSRWRNSEFQPSSSPSKWYHPQSFVIPSFASLHFLKHLHVSNCNISEVSSDIFEALSCLKELDLHGNSFSSLPASLSQLNQLEYLDISDCEKLEVLPEIPPKGLNDFGGNFDVHIHGSRIPRWFTHQSTRKGVMVVLPSSWRYNKFRGYVACVVFTLKKPCNYTEVHELSTLRCSVNNFDGGNLHRKQSCGISYEFVDNCTRIVGSDMIWLHYSKSKPSWMKAKNLITFSFSCPFLEVKQCGVRLFCDEDIQEDMDLSMTQDLSTQPQEGGIFDPNHEWVLGSIKDEYPRVVFR >KVH99505 pep supercontig:CcrdV1:scaffold_8787:6841:7752:1 gene:Ccrd_022260 transcript:KVH99505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MTLLCILNFAKVILFSPFRIDDMDEMEDDSWAMVQKSGNQFVANDQPFYXNXFNTYWLMVLAADESTRGKVTEVFQQASXMGLIVCRTWAXNHGQWLAXQKKPSIYDEDVFKVLSIPCLSSKNLTSKFHAEIRSGGGRMYTNLHLSNGVVIG >KVF63497 pep supercontig:CcrdV1:scaffold_8788:1014:1907:1 gene:Ccrd_026727 transcript:KVF63497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMNESIDAEKQVSVDPISMIHSTHRSWSSPAKPLPSLPTKYKFLSTTSLPSSPRMASSKIRPTDHTQNPTPPPRSPTNSRLQRSKSGGEHRSSVPYSAFDTSVAAIICERRSSTKPTAVTDGQDHRRTMPNEAIKPNNVDSEFKCGALCLFLPGFGKGKPVRARRVEPEGTRNVISQRVSLEKFECGSWRSSAIQSDDDQHSSALYFDLPLELIRTNVNDASLPMNSAFVFNKSVKGVLKTTGGGGGSAERKSQEGCRRVRFSTSDPPTSPSSCITPRLRKAREDFNAFLEAQSA >KVF63445 pep supercontig:CcrdV1:scaffold_8791:8016:8327:1 gene:Ccrd_026728 transcript:KVF63445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTDTNSSNARRPLKTPGPRACRERSTLDRRYQAEKAGQPLPYVPIASAARAPAPSSAGEASPDLRSANSVRIDFIQECRRP >KVH89353 pep supercontig:CcrdV1:scaffold_8794:405:1197:-1 gene:Ccrd_008659 transcript:KVH89353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MAFLHISLALLLTSIGVNAVLFTLENRCDKTIWSGIQASIGQPQLMEGGLELRPQQSRNVTAPKGWSGRFWGRTGCNFNVYGEGTCTTGDCGTGLHCNGASGEPPASLAEFTLDSPLDFYDVSLLDGFNLPISIFPYGGSGICPSIRCDTNLNLYCPPNLQVRGDGGEVVACKSACTAFQTPEYCCTGPYQNPNRCKPSRYTQYFKRACPTSYTYAYDDPATTYTCRETNYLIIFC >KVH89352 pep supercontig:CcrdV1:scaffold_8794:9816:10607:1 gene:Ccrd_008660 transcript:KVH89352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MAFLHISLLLLFISIGANAVLFTLENRCNKTIWSGIQASAGQPQLMEGGLELKPQQSRNVTTPKGWSGRFWGRTGCTFNVYGEGTCXTGDCXTGLXCNGAXGZPPASLAEFTLDSPLDFYDVSLLDGFNLPISIFPYGXSGICPSIRCDTNLNLYCPPNLQVRGDGGEVVACKSACTAFQTPEYCCTGPFQNANRCKPTRYSQYFKRACPTAYTYAYDDPASTYTCRETNYLIVFC >KVF63224 pep supercontig:CcrdV1:scaffold_8799:4765:5371:1 gene:Ccrd_026729 transcript:KVF63224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYIYSYFPATKFQIHNTLKSQTNKVQISITMESGKTGKGAGGRKGAGERKKSVTKSIKAGLQFPVGRIARFLKKGRYAQRTGSGAPIYLAAVLEYLAAEVLELAGNAARDNKKTRINPRHVQLAVRNDEELGKLLAGVTIASGGVLPNINPVLLPKKSSSESEKTTKSPKKA >KVH98412 pep supercontig:CcrdV1:scaffold_88:133363:135952:-1 gene:Ccrd_023340 transcript:KVH98412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MATYYPSSTNHQFPNSYLSTQQQQIDSYQESPIPPIDMMYQNQNSTDSSFLELLSTNPQNPSRIENHHSLDDQERNLQYQELSLSLGMQITSSSMDLPSFQYNYLNPHLQDSGDHGSQSNKVENIDYLSFDLVGGVSGVVKDRSTNHGQCSISGYQIPTGVVARIYNSRYLKPSQELLEEVANLHEAIRQIKMNKRNNLHKLGVDRFDEKNSRIDSQSVRSSINGEPLESITSSSGQLSASEKQDLQNKITKLVDRKYREYCQQLRVVEAALDMVAGCGAARSYTTLAHQTISRHFRCLRDAINGQVQATRQSLGDQDDSSDRVLPRLRNVEKQLRQQGSLHPLGVMRHSWRPQRGLPEGSVSLLRAWLFEHFLNPYPKDSEKMMLARQTGLTRSQIANWFINARVRLWKPMVEDMYKEEFRDQEVNGRSSPKAAIDQSWSSEDKEKDLQPKTSSRINDSNPEFVNDMEVNGYVAQRHIDLADQYRFDDPQLLPDFVVE >KVH98443 pep supercontig:CcrdV1:scaffold_88:351430:356374:1 gene:Ccrd_023356 transcript:KVH98443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGFDSMLWEIAHDYYQKAPMKMNISHGGVCIFMLGVLLSCDPAAYVRPVAHASLMYKNELQVHLCRYSSILCFELLPPITRASHFLLFEAALHLSISIDQEAPSSSDTSYHVDIFLFAPASEPSSTTLPVPHLASPTTAMAILIPGLCRVSAQQPPSMTANSKQQTMLYDREESNTLFDADNSSFYLRDVASVQNKAEVTKRLELIELSPARTAALNS >KVH98430 pep supercontig:CcrdV1:scaffold_88:408671:410386:1 gene:Ccrd_023360 transcript:KVH98430 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MLPVPDTLHRRKSYLNPPPDSISSATTLQNHKVMTFPSPLLYIHILLLLHAPPFLAAAGGSWSLLLPSIGISAMHMQLLPTDRVVIYDRTDFGASNISLPDGKCRPNSTDCSAHSVEYNVASNSVRPLMVLTDVWCSSGTLMPDGSLVQTGGWNEGDHVVRVYKSCDHCDWEEIPSGLSQQRWYATNHILPDGRQIIVGGRQSFNYEFYPKMSATENAPSLPFLVQTTEPDIENNLYPFVFLNTDGNFFIYANNRAILFDYVRNQVVKTYPTIPGGDPRNYPSTGSAVLLPMRIVQGKVATVEVLICGGAPKAAYNNANRGKFDGALDSCGRIKISDPYPQWVMETMPLARVMGDMLLLPNGNVLIINGGAAGVAGWELGRDPVLSPLIYGPDCPIGSRFEIQNPNTIPRMYHSTAVLLRDGRVLVGGSNPHDKYEFSDVLYPTELSLEAFSPAYLDPTSSGLRPQLVSPKTRTKVHHGQRMNIWFKVSCPVDPNLVSITMVAPPFNTHSFSMNQRLLILEGGNTTTIIGKSHYKVSVTAPSSGNIAPAGNYILFVVHQDIPSEGVWVRIQ >KVH98432 pep supercontig:CcrdV1:scaffold_88:492475:493596:-1 gene:Ccrd_023366 transcript:KVH98432 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MYPSSNSNGSRASFFGNYYNDTNHSRTPQEHYYTPSSSSSFLLPSPNYIPLEDEAVFCGLFQQQQLFTNDHNYHNTSVLAHEHSTHEMTTNVESAMGECSNNNGQVATNDRDDDPYGFNTHVEPENSSLRKRASKRDRHSKIYTARGPRDRRMRLSLDVAKKLFGLQDLLEFDKASKTVDWLLTKSKAAIQELLPDRRCSFMDVSNSASSTSECEVLSGTGDQSMVKTGDDQTTANNKAKSSSRCSKKQQEKINRIRRSANLHHPLAKATRERARERARERTIEKRYNMLVVGGQDSKFRPCLDQIMDQDANLLGSWIPFEESQHQSIVQPDQNSSQFQLKKGFVGDNSSLMMAGNWSPSYLLNYLHSTGLNA >KVH98415 pep supercontig:CcrdV1:scaffold_88:149363:150722:1 gene:Ccrd_023343 transcript:KVH98415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGGFAEEDVRFVLHGIIVAIYRSEWCMYVREREREAVSAEIEFSIYVQDIRRSNFHGAYKSFCFTNYYYSRYVMVWMPGRLIFLGFNRTPYKHCFYLLLFKNNQVINDWTRPRNNLSLPKL >KVH98421 pep supercontig:CcrdV1:scaffold_88:112331:114965:1 gene:Ccrd_023338 transcript:KVH98421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MATPVQPPNGVRSEGKHYFSIWQALFEIDIKYVPIKPIGRGAYGIVCSSINRQTNEKVAIKKIHNTFDNHTDAVRTLRELKLLRHLRHENVIALKDVMVPMHRTSFKDVYLVYELMDTDLHQIIKSSQSLSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTNSGKDQFMTEYVVTRWYRAPELLLCCENYGTSIDVWSVGCIFAELLGRKPLFPGTECLNQLKLIINILGSQTENDIKFIDNQKARKFIKSLPFSPGTSFSQLYPHAQPSAIDLLQKMLVFDPSKRISVTEALHHPFMASLYSPSMDPPVEVPVSMDIDEDLGEEVIREMIWKEMLHYHPEVVAVAVANPQPN >KVH98413 pep supercontig:CcrdV1:scaffold_88:140133:144931:-1 gene:Ccrd_023341 transcript:KVH98413 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA small subunit methyltransferase I MLRMTISRFPPVSPASWWSITYQNPSMAAVKLVPPSTLYYPRRFALFICSDSQATSNVDSTHLSLESSSKQGTLKSGLYLVGTPIGNLEDITLRALRVLRSADVILAEDTRHSGKLLHHYSIKTPLLSYHKFNEAQREQSILKRLIDGEIVALISDAGTPGISDPGSELANISVIPIPGPCAFVAALSASGLSTIEFTFVGFLPKNASARRERLMVSANEAATQIFYFWRGTLGEAKAAFLDRQPKGEITFLIEGKAISEVETPSEAQLENELADLIFNGHTLSTAVKLVAAGTSMKRKTIYSLALRKFGRQHDARDDVD >KVH98439 pep supercontig:CcrdV1:scaffold_88:310225:319791:-1 gene:Ccrd_023352 transcript:KVH98439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer alpha subunit MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHQSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALKKKTVSPADDILRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHARQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILGCHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSLYFVKDRFLRFYEYSSQKDTQILPIRRPGSISLNQGPRTLSYSPTENAVLICSEVDGGSYELYIIPKDSFSRGDTVQEAKRGIGGSAVFVARNRFAVLEKSTNQVLVKNLKNEIVKKSPLPVVTDAIFYAGTGNLLCRAEDRVFIFDLQQRMVLGDLQTSFVRYVVWSNDMESVALLSKHSIIIADKKLVHRCTLHETIRVKSGSWDDNGVFIYTTLTHIKYCLPNGDSGIIRTLDVPVYITKIFGNTIFCLDRDGKNRPIIIDSTEYIFKLSLLKKRYDHVMSMIRNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNSGIVEYAYQRTKNFERLSFLYLITGNLDKLSKMMKIAEVKNDVMGQFHNALYLGDVQERIKILINAGHLPLAYATAKTHGLNDIVEDLADKLEGNVPSLPSGGSASLLMPPTPVLCGGDWPLLRVMKGIFEGGLDNIGRGTNEEYEDAGDADWGEDVDIVDVENIQNGDISMVLDDEEAPEENEEGGWDLEDLELPPDIETPKATTAARSSVFVAPTAGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLSRQLGIKNFAPLKSLFIDLHMGSHTYLRAFSSAPLISLAIERGWSESASPNVRAPPALVFNFPQLEEKLKAGYKATTTGKFTEALRLFLGILHTIPLIVVESRREVDEVKELIIIVKEYVLGLQMELKRRELKDNPVRQQELAAYFTHCNLQLPHLRLALMNAMTVCYKAGNLITASNFARRLMETNPTAENQTRTARSVMQAAERNMKDSTQLNYDFRNPFVVCGATYVPIYRGQKDVLCPYCSSHFVLSQEGQLCTVCDLAVVGSDASGSDARVWLYASSIRYIKLGDTAHLIAYGMVGISGGEYLLQMGGVLWDV >KVH98419 pep supercontig:CcrdV1:scaffold_88:201097:203307:1 gene:Ccrd_023347 transcript:KVH98419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MDTISERSEKSHIEPSIVMDPIRKQQSYKEEQIQVSSMSLVSNGCVNYRGRIADKRNTGGWRASPFIIVNEVAERLAFFAVAVSMVLYLVKEMHQSLPNAATHVTDWIGAAYVLTLVGAFIADAYLGRFLTIIVFSFVYFGGMVMLTISARLDSLRPPVCLKLPCPPATDGQTAFLYTALGLIAIGTGGIKPCVSSFGADQFDEEDDREVLKKYAFFNWFFFAINMGALLGITLLVYVQQEKGFAWGFGIPTITMFSSIFILLAGFSSYRYKKPMGSAFTRFVQVMVVAVKNHLRGVSAVSGDQLYEVSTQESDIFGARKLPHTPQYRFLDNAAVSVDDHQSSITPNRWKLCTVTQVEEFKCFIRVLPVWVTTIALSISFAQLSTFFLSQAAIMDRKLGSNFVIPSGSVPVFSAINALLLVPIYEKVIVPFLRSKTGHHRGLTSLQRMGVGLFISIFAMASAAVVEQKRRTHPNPKSLSVFWLFPQFFLMGSAEVFTYVGQLEFFYDEATDGTRSISSAMFLSEIGIGSWLSTAIVKIIEKATGKEEKGWLRNDLNASKLDYLYWILMAINVVNLVVYLWVARRYKGRDGASANGSVRDEATVVVPSD >KVH98410 pep supercontig:CcrdV1:scaffold_88:213773:217142:1 gene:Ccrd_023348 transcript:KVH98410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter SGSLYPDASESPADSTAHDHSIRFSPKTILLPPRFFYLTQLMNYQLLPTTDSKSDLASSSSSSMDLVTNGSVDFRGRVANKRKTGGWKATPFIIANEAAERLSAFTVSASLVLYLTNEMKESLPDAATHVSDWVGATYLLMLLGAFLADAYLGRYLTVILSSAVYLVGMVLLTVSASVDSLRPKTCNEPSNCPKATPGQSALLYSALALVALGTGGVKPNVPSFGADQHDENDEKELGYKYTFFNFFFLSIKVGALLGLTVMVYIEQEKGYAWGFGLPTVIMFASVLILAAGFPKYRFLDKAAVVEDPELSNVKNRWELCTVTQVEELKTFLRVFPVWASTIALSLSLAQQSTFFLAQSKILDRHLGPNFIIPPGSMQVFAVVNAFVTVPIYEKLILPIIQRKTQHHRGFTSLQRMGIGLFISIFALAVAAAVEHMRRTHPNPSSLSVFWLVPQFFILGGAESFTYVGQLEFFYDEATDGMKSVCGALFLSEIGIGSWVNSALVNIVERATGTGKNGWLRDDLNVSKLDYYYSILAGISAINLFVYIWVARRYKGRRQG >KVH98437 pep supercontig:CcrdV1:scaffold_88:366392:381447:-1 gene:Ccrd_023358 transcript:KVH98437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVLGDGVRWIGSWRISVWVVGHLNEYFNWTLNKDWFLIVFLFTVSRWRVVSLLMRRSDARDEVQGEVVLYDLQTTSIVTVMICRETYRFSEKDLGAVHRKEFHVIKGMARMASADIMCVGLKVVREKFSGIISSRGKGLVYFLWGHSSYLCCTCDQCEIRELQTDKTQRLELI >KVH98444 pep supercontig:CcrdV1:scaffold_88:345258:351163:-1 gene:Ccrd_023355 transcript:KVH98444 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MEALGHLRIGVCVPLSSPFPPSHAKKHLKPNAVSGGPTSSNGVSSSFSASKWANRLLADFQFLPTTNNADASDSTATTLTPPYPPTLSTTPERHVSMPIDFYRVLGAEAHFLGDGIRRCYEARVLKPPQYGYSDDALISRRQILQAACETLANPSSRREYNQGLADDEFDTILTEVPWENVPGALCVLQEGGEDEVVLQIGESLLKERLPKSFKQDVVLAMALSYVEISRDTMAISPPDYIKGCELLERALKLLQEEGASSLAPDLQAQIDETLEEINPRYVLELLALPLDDEHQTRRTEGLQGVRNILWAVGGGGAAAVELFAATPDNIPAESFEVYGVALALVAQAFMGKKPHLIQDADNLFQQLQQIKVTALGNSASMYNARGNREVDFALERGLCSLLVGEVDECRSWLGLNDDNSPYRDPSIATFVMENSRDDPENDLLPGLCKLLETWLMEVVFPRFRETTDAQFKLGDYYDDPVVLRYLERLEGVGGSPLAAAAAIARIGAEATAVLDSVKIGAIQALQKVFPLGQEAPSVRRQDNGEFGDSVVVETEFSNQLDGGVPADIISVRQANDSDEIQEQEMITYRIKDAAIKIMCGGMVVGLLTLAGLRYMPFKRGSPIPRKEVGSAMASDVINVGDSTVEDVEKIPRMNARFAEGLVRKWQSIKSQALGPDHSHDKLSEVLDGQMLKIWVERAIEIAQHGWFWDYNLLNITIDSVTISLDGRLAVVEATLEESAELTDVAHPEQNDSYSSTYTTRYEMSYTKAGWKITKGAVLKS >KVH98426 pep supercontig:CcrdV1:scaffold_88:99312:101982:1 gene:Ccrd_023337 transcript:KVH98426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKQMHHLSCMQLQKIIDKITTIFTAIESARPRGDSGILALCSLRQCMEKGKLLLRHCSESSKFYLVYINVCERIRYSLEVCLNQLQDMVEPKLATQISNIVDYIKTVVFTMDCSDKEARKALLSLLQQDREASSFTDLEELKAFKFAAFRLQVTSPIALLIEKQSIKDLLSKIRDTDPAAKKILNYLLYLVKKYGESMEGQEPITISNYESNEHSKGDDEVSIQSSDTNSVDYVTDSSTEYGSSKIIHNESERFKSRDTVTDDGTNLFVLEKLSVLPWAFRCKVVEDVINELKDDDESRSSISTSYIKPVFKFLKVAHRLCDSRAKRNGAKLLLINEVPSLPKEAMHYLYLFLDSEIIEEALPILELLSCHQQYSSEIVSSGVPSFLLQLIKNSNREHHNFALRILCNLSAHTDLGDHLVCLGFIQHLVPFLDDRMLSAYCVKIFRNLCTIEEVAAQLIKDENCIESIGEILDQGDKDEEQDNALHILLCLCHQHEQLREVLMQESIVSSLVDISQNGSSGGKLISMKLLQFLNNDQECFIADVRQNTNI >KVH98445 pep supercontig:CcrdV1:scaffold_88:338299:344856:1 gene:Ccrd_023354 transcript:KVH98445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MVSTSGMETRHGDGHEEKIFVSVRVRPLNEKEIARNESCDWECVNNNTIICKNNPVERSTYPTAYTFDRVYGSDSTTRQVYEEGAKRVALSALNGINSSIFAYGQTSSGKTYTMSGITQYALSDIFDQINNQHDREFVLKFSAIEIYNECVRDLLSTDRTPLRLLDDPETIESTPTEFSGIENASTLTASVNFVDLAGSERASQTLSAGTRLKEGCHINRSLLTLGTVIRKLRDSKLTRILQNSLGGNARTAIICTLSPARTHVEQSRNTLLFAVCAKEVRTSAQVNVVMSEKALVKQLQQEMARLQSELKHMSATNDSEAIIREKELQIEKMEQEMEGLARERDLARARLEEILRAAGVDQNLLPWAESGHLRASSWDGRSESDRSEIVVNNRLRSQLSLNTYSYPDKNELQIPDDDPYFFDNISPSEFMNQYFAPDPIQGMEETAQPMQSIKKDQIDDTQDQGQNSNYMESPRSSVTSVSYSRKPITSVSYSRKPSLKRTDDAHDQDQYMESPRSSVTEDVSYSRTTSLKRSTSCGTIIEGDSAVSEKNVVVESRSEGDIIRLCRQASQKSATSVDEDKMQQTEGDMFVYSPSRTLQKVMNFDMRGYEDYMAEATPKAIEAANNSFNSDEDWSLVFEKQRRKIIELWDECNIPLLHRTYFFLLITGEPSDSVYVEVELRRLSFLKQTADRSASGRALNLERAMLARKLLKKFSPKERDELFEKWGIDLESRNRRVQLSQLLWTKTDDIEHARDSAEIVAKLVGLSEPYNAPKELFALSFSPKHESHSIFSSWIPMLRY >KVH98436 pep supercontig:CcrdV1:scaffold_88:393651:404766:1 gene:Ccrd_023359 transcript:KVH98436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DECREASED SIZE EXCLUSION LIMIT 1 [Source:Projected from Arabidopsis thaliana (AT4G29860) UniProtKB/Swiss-Prot;Acc:Q3MV14] MGGIVSIIRIDLLRCLIYCLNDRIVIKMSKRPPPDPVAVLRGHRASVMDVCFHSSQNLVFSGSSDGELRIWDSVQHRTISSAWAHSAAHGIISVSTSPSIGNNKVISQGKDGTIRCWDIEHGELSRTPSISIDANTYHFCKLSLVKRPSAGTRKAEKRRLQHGTEVIDPSGQEISHDIQEEEDQGSVAETSNTFGGDDREEGHPYVAMAGMDCSEVEVWNLNAAERFARLPHSSGASTNNFTKGKGLCMAVQLFLPSESQGFLHVLAGYEDGTMAWWDLRNPGVPLTSVRFHSEPGLLHSPVLSLCVDGRFSGGISGAADDKVVMFTLDHSLGSCVVKKEISLERPGIAGTSIRSDSKIFGTAGWDHSAMPFRLLQTANKWLRLQKTPRWHFGSFILLGLLLDSAPSVDLYVHMINGSFYLFYLPFSSS >KVH98435 pep supercontig:CcrdV1:scaffold_88:539437:541485:-1 gene:Ccrd_023368 transcript:KVH98435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med25, von Willebrand factor type A MYRKDLFGGRYNLNLVVNAAEDGSTAQSTPQFDWPQSMDLFLDSLSRLNFNDYGYLGNSGVIEGISKALMMFPSTRKRTKRELVGKRHCVLVTASHPYPCPKEVLVPTNQILESGEVVEVTIDTVLADTETMARSLAESFISLSVICPNDMPMIKTIYDAGNQNPGSFTSNLKHPQLLLLISEQFPEAREALKQFEAENNDTNSQQDVFNLIAESLSASEALITQYFSTAEDHKLLQNIFANPVIEQPDETMPISTDEEINGFLSDPCLEENMREQMWVSDFEVASWPLGPNSQQRDAIVCNYNSTMQQGSQSQYLRDEQTVAGESIMITPQEKAST >KVH98428 pep supercontig:CcrdV1:scaffold_88:420325:423586:1 gene:Ccrd_023362 transcript:KVH98428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MSSLIWFGWSSRSTTLGRQQASMAVNGTKKKGYGWAVSAGLCAALAAISAKFITPQLVRYVMVIVFNVVMWGCYVNSLKALSSLQATVTNFATNFLSSGLAGFFLFDEPLPLKWFAGALLIVVGVFILSKSSVEEKTSKD >KVH98429 pep supercontig:CcrdV1:scaffold_88:426698:435855:1 gene:Ccrd_023363 transcript:KVH98429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MSTTTAAPETEKKEEEVKGGELLFCGTTAWDSIGRRKGLPEANLVLPTRLRPLVGVDICYVASGCASCHCVALDVDGRCYTWGRNDKGQLGHGDQIQRDRPTVVSALASYKIVKAGSGRAHMVVVTEDGLSLSFGWNKHGQLGTGSVKNEIELYPVRCLVSEVKNVACGADFTYNTKDSSVRLAYEAQPRPKAIGSLAGQTIVKVACGSNHTVAVDSQGYVYTLGHREQKDEFSPRRLDVFTKHNLVPPGAVVSAGSVNCACTAGGGQMYMWGKIKNTGDDWMYPKPLMDLSGWNIRCMDSGSMHHFVGADSSCISWGHAQSGELGYGPNQQKSSAIPKKVDILEGMHVISVACGFAHSLVVVDRTNVADQLDQLDIYDGKAAGEGVEEPDTKPPPPKKTNKKAGAKAPQTSNKRKKSKESSDSEDSEQESIDEDEDESDEEANGFAEKKSRRGGKAAGRGRGRGRPPAAAKEGGAVAAPAKRGRGRPKKA >KVH98420 pep supercontig:CcrdV1:scaffold_88:128322:128774:1 gene:Ccrd_023339 transcript:KVH98420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MASSSGNSSGIHHSGSEDGLTDQRKRKRMESNRESARRSRMRKQQQLNDLLAQANQLKKDNSQILNTIDVTTQRFVQIEADNSVLRAQVSELSQRLDSLNEIINFINANTNCTTNQFTNDFDFVENPWNMMYFNHNQQPLMASAADMFGY >KVH98416 pep supercontig:CcrdV1:scaffold_88:175533:180149:1 gene:Ccrd_023344 transcript:KVH98416 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-galactoside/L-rhamnose binding SUEL lectin domain-containing protein MAATVSKFLTFFIILITHLHLIQASVTYDHRSLIINGQRRILISGSIHYPRSTPEMWEDLVVKAKNGGLDVIDTYVFWNVHEPSPGTYDFSGRYDLVRFIKTVAGGEAYVNWAAKMAVGLNTGVPWSMCKEDDAPDPVINTCNGFYCDTFTPNKRYKPTMWTEAWSGWFTEFGAPVEQRPVHDLAFAVARFVQKGGSYFNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLIREPKYGHLTELHRAIKLCEPAIFSSDPIYISLGKRQNAYVYEGNGTCAAFLSNFETTSAIRVVFRNRHYKLPPWSISILPDCKNVVFNTAKVVTQTSRMQMMPTGSSIQSWETYHEDISTLADGPSLSSFGLLEQINITRDTRSAYGSREDTRFTLRKPVDLHGGTNQISLLSMAAGLPWNGGSLVNHVQQPLKWYKAYFDAPEGNDPLALDMKSMVRGQVGGNISRISLAKRTQTHVCASTFEHHPVTDNWQTESSGETTMLHDTKVRLRCGLGQSISAINFASFGTPFGGCGDFRQGACHAPNSRDLMEKVFLCWRPDTVIFSNDLRFKQTCVGQESCEMTASNDYFATDPCPKVLKRLLVEAVCSVKPA >KVH98418 pep supercontig:CcrdV1:scaffold_88:184672:188876:-1 gene:Ccrd_023346 transcript:KVH98418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MGMKWVGVGSVYLLMGLIVGALRLCNGGISSSYARSNDISADMPLNSDVHITQGDQEGKAVIVSWVTPDEPGSNEVFYWAANSDLKKRSVGTVVTYKYYNYSSGYIHHCTIKNLQYDTKYFYEIGVGNTTRQFWFTTPPEVGPDLNPAKGQAVLFVGDLSYADAYPLHDNNRWDSWGRFVERSVAYQPWIWSAGNHEIDFLPDYGETRPFKPYVHSELPKVNRSETPWLIVVMHCPLYSSYVHHYMEGETMRVMYEQYFVKYKVDVVLSGHVHAYERTGRVSNIAYNIENGLCTPRNDGSAPVYITIGDGGNQEGLLYETHAYFGWHRNQDGYAVEADSLWFQNLYWKSSQDSSAAASFSVA >KVH98446 pep supercontig:CcrdV1:scaffold_88:514042:525571:1 gene:Ccrd_023367 transcript:KVH98446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane Fragile-X-F-associated protein MNCRRVAKSLQPFSAHTLLLCFTLLLVLKLDHLVSYSWWIVFFPLFLFHVVVARGRFSLPAPSALHDRHSVYVMQVPAVNLKIVFLPLLAFEITILVDNVRMCKALLPGDEEHLSDDAIWETLPHFWVSISMIFFIAATLFTLLKLCGNIGALGWWDLFINFGIAECFAFLVCTKWSNPAIRRNSQTPEASSSSTTIRYLDWNSGLVVSSNDISEDRTCGLQDIGGHITKIPIIAFQVMLCMHLEFQKKPAAASFIPLPIVFSPLLLLQGAGVLFSASTSVEKIIILLRTGAGTGRYFFFSARVHDCFGFLYHGSRLLGWWSIDEESREEQARVYHDGASGYNTFCGYPPEVVKKMPKKDLAKEVWRLQAALAEQTEITKVSQQEYERLQNYML >KVH98424 pep supercontig:CcrdV1:scaffold_88:60188:65090:-1 gene:Ccrd_023335 transcript:KVH98424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSLQGPVVCPSVHRKQGEFHAVPAVNGSLNKTKILRSGMWGFRGVYSHRVHIRDQPRSQTVTCTFSSSSNGNGSMAESFNENDSDYVNSSVVEAVEVKSGPEGFMIKMRDGRHLRCAHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIWRCLVYYSWRQFAMFKFFHLCVSSANRNCCVVYQARPTMYQVVREMVDKMGYKLDDETDCVSFDLRPSDAINIAVRCKVPIQVNKFLAYSDGMKVVESAKPSLLGSSSDGLIFKELDRGMNLYIIEVGFSSN >KVH98422 pep supercontig:CcrdV1:scaffold_88:18470:29327:-1 gene:Ccrd_023333 transcript:KVH98422 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MQVLHMNKGEGETSYAKNSLIQKKIILVGSSMLESAIANILWEVKPGSMGVADLGCSSGPNSLTVISKIVHMVQAASDRMGRPMPELRISLNDLPGNDFNYVFQSLPELYKKLKLDCGIEGNCYIWGLPGSFYGRLFPSHSLHFVHSSSSLHWLSQVPSGLEPCAGTHLNKEKVYISKSSSTSVVEAYQQQFHEDFSLFLRSRAKEMVAKGRMVLSFMGRRSPDPRADEACYQWELLARALMSMALDGLVEKESIDSFNAPYYAPSLEEVQYEAEKEGSFAVDCVEAFEIEWDGGDTSDLKESRGTRVSKIIRAVVEPMMESHFHLRHERMDELFMRYAKIVDGCLSKTRSIYINLLISFKKIILNGSSMLESAIVNILWEVKPGSMGVADLGCSSGPNCLTVISKIVHMVQAASDRMGRPMPELRISLNDLPGNDFNYVFQSLPELYKKLKLDCGIKGNCYIWGLPGSFYGRLFPSHSLHFVHSSSSLHWLSQVPSGLEPCAGTHLNKEKVYISKSSSTSVVEAYQQQFHEDFSLFLRSRAKEVVAKGRMVLSFIGRRSPDPRADEACYHWELLARALMSMALDGLVEKESIDSFNTPYYAPSLEEVQYEAEKEGSFVVDCVEAFEIEWDGGETTDLKESRGTRVSKTIRAVVEPMMESHFHLRHETMDELFMRYAKIVDGCLSKTRAIYINLLISFVKKD >KVH98411 pep supercontig:CcrdV1:scaffold_88:220147:231729:-1 gene:Ccrd_023349 transcript:KVH98411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription Factor IIF, Rap30/Rap74, interaction MEDEQKNTDLETARADRSVWLMKCPVVVAKSWQSVPADSQQNLSKVVVSLDPLHPEDPSSLQFSMEMAGSEIANMPKSYSLNMFKDFVPMCIFSEANQGKVAMEGKVEHKFDMTPQNKNMEEYRKMCRERTNKSMVKNRQIQEKKKAAPVKAPEQFLKEILNDLCVYNKRGTNQGTYELKPEYKKSMEDTTAEE >KVH98414 pep supercontig:CcrdV1:scaffold_88:146161:149569:-1 gene:Ccrd_023342 transcript:KVH98414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLLLSAICRLIVIVGLTLHPTDILLVGTENQLSFNRSDIQSASLDFGRMKTVEPMAVLHPASASDVSNLVKLAFESAHGFAVSARGHGHSINGQSQAANGVVIQMSGSRGRWSPEVSEKLMYVDVWGGELWIDVLKSTLQYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHEVEVVTGKGEVVTCAEDKNSELFHAVMGGLGQFGIITRARIALEPAPQRCVYVILYVYQVRWIRVLYSSFSGFTHDQEYLISLHGQPKFDYVEGFVIVDEGLINNWRSSFFSPSNPIKISSINAGGGNVLYCLEITKNYYQHSGPESIDQEVEALLQKLNYIPGSVFTTDLPYVDFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRIADFDQGVFKGILGNKTSGPILIYPMNKNKWDDKTSVVTPNEDVFYLVALLRSALENGEEALTLERLSDENRRILNFCNENNIGVKQYLPHHTTQQEWMDHYGEKWPQFYKRKMEFDPRHILATGQKIFEPSFTSMTRSW >KVH98427 pep supercontig:CcrdV1:scaffold_88:75725:81560:-1 gene:Ccrd_023336 transcript:KVH98427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANFHHHQQEEDHQILVDSSCYGLASYQNPILINGTRNTTNSRDVKQQINHNIDPAPCLTPPMAQDMGLPWTTTIPMENFMAHEVQHLARIKHELCVSGSYPEISEIISSSPTSSIEDLQLQSSPSFVSKNNHQRIRYNDDHNNQDMLLKTFSNGCQIRGDHQMLMYQIPSSVESSVSYLNDTNSSYRGTFSQIFPTLNIPSLNQSPSSFDINLPALDPFGSPTFNASFSHQPSSLNGHHNIGVGLFRETCLSYGLDQMHHPQEVCLGKISPAFITETTEAKRPASNYTTDAKAATPPKKSKLELRPSCAPLKIRKEKLGDRISALQQMVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMNSTQKANRTSSRGGSIKDVNEETKRDLRSRGLCLVPLSCLSYVTYGGGSIWATP >KVH98425 pep supercontig:CcrdV1:scaffold_88:41147:45898:-1 gene:Ccrd_023334 transcript:KVH98425 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRAL-TRIO domain-containing protein MYLCLLYLSLLLNLFWDFCVVLAKASLNSADWEGTSTYYECNEQNSDFEVSGEEKRSKIGTLKKAAKNASSKIQHSLRKRSRKKNNNQVSIPIEDVRDPEEVNAVASFRRMLVADELLPAKLDDYFTLLRLLMCQIPCRFLKARNFDIEKSKHMWVSMLQWRKAFGTDSIFELNSHVTVSLSGDIAFGTNRLTILFKMFLQDFDFKELNEVLQHYPQGYHGVDKEGRPIYIELLGQADPDKVMLVTTLERYVKYHVQGFERTTAIRFPACSVAAKRRITAGTTILDVQGMKLQQIDNDYYPETLGRMYIINAGPGFKMLWKAIQSFLDPKTRSKIHVLGHRYKSTLLEVIDSSELPEFLGGSCNCAEQGGCLQSDKGPWQDHNILQMVSSGKTKCSVQEISTLSREGITNDEDRSTVLKSSEASAAESASEIEEIAPKGTKCITDPRLAPVSEEYEKDIPVDRVVDERLKQETPLQESDIMGGYDWRLLIDLNNICITWGSCHIAGAQIATGRTRAHIWISLMSFLSLLTFIGTVGYQITNKLGVSVSNLAKKTILLMSKPTLEAQSPLVSPPLETDLSSAMEKLGELEAKVNSLQSRSVELPNDKEELLNAAVCRKETLLLTQDRSTSSFRVSRNQREIIVAYHHVERFRVILQTVHTTGGPPLSTGLLCRHPLAKMYL >KVH98433 pep supercontig:CcrdV1:scaffold_88:439531:442398:1 gene:Ccrd_023364 transcript:KVH98433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae conserved site-containing protein MGPKKGGKPVATKKKTEKVVNPLFEKRPKQFGIGGALPPKKDVHRFVRWPQVVRIQRKRRILKQRLKVPPALNQFTKTLDKNLATTLFKMLLKYRPEDKAAKKERLLKRAQAESEGKTAEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKSRLGAIVHQKTAAALCLTTVKNEDKMEFSRILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRLT >KVH98423 pep supercontig:CcrdV1:scaffold_88:987:3859:-1 gene:Ccrd_023332 transcript:KVH98423 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MQVIHMNKGEGETIGISMVDAAITNILWEIQPTSMGVADLGCSSGPNSLTVISQIIHVVDTVSHQMGRPVPELRVFLNDLLDNDFNSVFQSLPQLYKQLSNDCSGINEGNCYICGSPGSFYGRLFPSQSLHFVHSSSSLHWLSQVPSGLEATHLNKEKLYISKSSPASVVEAYQQQFQKDFSLFLRSRAHEMVVKGRMVLSFLGRRSPDPSVDEACYHWELLARALMSLALDGLVEKESIDSFNAPYYAPSQEEVEHEVEKEGSFVIHSVEAFEIAWDDGDSSGLKESSGTRVAKTIRAVVELMIENHFHLHAETMDVLFRRYAKIVDDCFSETRLHYTNLLIYLVKKG >KVH98440 pep supercontig:CcrdV1:scaffold_88:261684:264530:-1 gene:Ccrd_023351 transcript:KVH98440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral Root Primordium type 1, C-terminal MSGFFSLGGGFGIGKDDEQRHDQNQEQEDSIITPNSLYLFKNEEIYSKGFELWQQYYQLHQHRQQHHHQQPPHYDLGVAGRRRSGTASGGLDDLCLNSSNNNNNNNHLYSSSWNNIISRSVGSGEGTKVMRQAGYGIGGDHGGGGSSSGGGGGGMNCQDCGNQAKKDCQHMRCRTCCKSRGFQCQTHIKSTWVPAAKRRERQQQLASLTQQQQQQQHNLGNHQLSLMMRGGGSGGAGDGIDQNPKRLREDHHLLTVGGGAGGGGAVAVNLPSSHHHNTSSGLEVGHFPAEVSSPAVFRCVRVSAMDEAEEQLAYQTAVNIGGHVFKGILYDHGPEGRYNHPGGGDSSSAGGGGGQHQQLNLITSGTMGIATTSVNPNVTSSIDPSSIYPTPLNAFMAVKFSKDESTLPDTSFGNH >KVH98434 pep supercontig:CcrdV1:scaffold_88:445673:447274:-1 gene:Ccrd_023365 transcript:KVH98434 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTLH, C-terminal LisH motif-containing protein MGGVGSVGPPSKRRKVSSTEIPEKSSVSMADDAIGSKGVKKVEFVRLIADALHSLGYRKTGEYLEKESGITLFPSVINEFSQQILDGNWDESLNSLRKISILDESVVKSSSFVILEQKFLQLLDSQNPDDALRTLRTEITPLSINHNRVRELSSLVLCSGQAMVKPKPRSVVLHDLQKLFPPNVMIPEGRLLHLVEQALDLQRDSCSFHNSLIGKTSLFIDHNCGKDQIPSKTLQILQEHEDEVWFLQFSHNGKFLASSSRDKSAIIWEVNLDGKVSLKHRLLGHKKPVSSVSWSPNDDQILTCGVEDVIKRWDVISGECLCVYQKNDLGMVSCCWTPEGDRVFSGATDKSVIMWDLDGNELESWPSQKTVRISDLQIMGDGRYIVSICKENMIDIFDRSPLGCERIIKENHNIVSFTLSEDKKFLLVSLVNQEIHLWRIHGDIRLLARYKGHKVSRFVVRACFGGLDQAFVASGSEDSRVYIWYRETGELLETLAGHSGAVNCTSWNPTNPHMLASASDDRTIHIWGLNHVD >KVH98441 pep supercontig:CcrdV1:scaffold_88:237948:250597:-1 gene:Ccrd_023350 transcript:KVH98441 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, subunit 116kDa MGEGGSLPTMDLMRSEPMQLARIIIPMESAHRTISYLGDLGLFQFKDLNTGKSPFQRTFATQIKRCGEMARKLRFFRDQMMKACLLASTRSGYGSEFSLDELEVKLGELETELTEMNANTEKLQRTYNELLEYKLVLQKAGEFFHHAQSSATAQQREFEEHRLAEGSMDSPLLLEQVLIFIWCYMLEMTTDMSKQVKLGFISGLVPRDKSMAFERILFRATRGNVLMKQNVVEEPVSDPVQGEKVEKNVFIVFYSGERAKNKVLKICDAFGANRYPFTDDIGKRYQMITEAHLYGRVIGHAFPAIDLRSAQLCHFCALIPHGRMGLLCCLAHVSGKLSELKTTIDVGILHWSSVVQTIANQFEEWNNLVKKEKSIYHTLNTLSFDVTKKCLVAEGWCPVFATCQIQKALQRATVDSNSQVGAIFEVLRAKESPPTYFRTNKVTTAFQEIVDAYGVAKYQEANPGLYTIVTFPFLFAVMFGDWGHGICLFLGTLFLILREKKYSSQSVAILSCLSGKSNLQEKGFACLRNYFPPYLPRDATTIGMIKVRSTYPFGVDPMWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYYNAKFFRNDLNILYQFIPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHIMIYMFLSPTDDLGDNELFWGQKYLQIILLLLALVAVPWMLIPKPLLLKKQHEERHQGRAYAPLHSLDENLESDMDDKSHGGHEEFEFSEVLVHQLIHTIEFVLGSVSNTASYLRLWALRFNNVVILIIGIIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALVNEEED >KVH98442 pep supercontig:CcrdV1:scaffold_88:357787:360828:-1 gene:Ccrd_023357 transcript:KVH98442 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KVH98417 pep supercontig:CcrdV1:scaffold_88:182326:183060:1 gene:Ccrd_023345 transcript:KVH98417 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MLNVGNNGGGGRRRTTAQASSRKGCMRGKGGPENASCTYKGVRQRTWGKWVSEIREPNRGSRVWLGTFNSAREAAIAYDAAARRLYGPNAHVNLPDEAHDSAPPPPPAVTEAAKEARYHRALEQMKKQQEFERQIQIYMHQQNQNQKIKVENMEISDLNGQTVANYHHFQNHKINHDPRNGENYVDPKSSTGNLNANLPEFDDSRLWTEAASTMDYQSQAIDPGIAASTFNDTIGIELNHPLMV >KVH98438 pep supercontig:CcrdV1:scaffold_88:328926:334039:-1 gene:Ccrd_023353 transcript:KVH98438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKQASKLREQVARQQQAVLKQFAGGLGGSEDIVANEVELQQHQKLEKLYISTRSAKHFQRDIVRGVEGFIVTGSKQVEIGIKLSEDSRKYGAESTCTSGSALSKAALSYGSARMDIERERETLLKALGTQVAEPLRAMVVGAPLEDARRHAQRYDRVRQEAESQVIEVARRQAKVRESNGNPDNLSKFEAAQARLQELKSNMTILGKEAVATMSAVEAQQQRLTLQRLITMVEAEKHHHQKVLHILDRLEGEMLQERQRIEASPTATAVSPMSSSPPHDEINGVFASHRNDNSADNTDYFLGEVIHPYQAESDAELSLTVGDYVVVRQHFQRSVAFQTANLNYPYEYFYLFSGQVSNHGWAEGECQGKAGWFPLEYIEQRDRILGNKVAEVD >KVH98431 pep supercontig:CcrdV1:scaffold_88:413583:415217:-1 gene:Ccrd_023361 transcript:KVH98431 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MTSLILHFFIAAVSCSVLATATINAGGTWSLLRSTIGITAMHMQLLPNDRVLIYDRTDFGESNISLADGKCRRDPNDNVLKKDCTAHSVEYDVASNTIRPLMVLTDVWCSSGALMPDATVVQTGGFNDGDHVVRVFNSCDTCDWEELKSGLIKRRWYATNHILPGGRQIIIGGRRQFNYEFFPKISSSEKAYSLPFLVQTNDPKLENNLYPFVFLNPDGKLFIFANNRAILFDYSRNKVVKTFPMIPGGEPRNYPSTGSGVLLPLRIVQGTVSVVEVLVCGGAPKGAFVNAQNGKFNDALNTCGRIRISDPNPQWVMETMPLARVMGDMLLLPNGDVLIINGGSSGTAGWEYGRNPVLNPVLYKPDCQPDVRFEIQDPSTIPRMYHSTAILIRDGRVLVGGSNPHIYYNFTNVLYPTELSLEAFSPPYLDPQLSDLRPTIIFPDPQTRFGYRDLTTIRFTVSGTLFPHLVSVTMVAPSFNTHSFSMNQRLLILDSGNGIRAVGNSMYEAVVTAPPSGNIAPAGYYLLFVVHRQIPSEGIWVQIG >KVI11509 pep supercontig:CcrdV1:scaffold_880:99206:100703:1 gene:Ccrd_010081 transcript:KVI11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MANIPADHEEAADIIYGKIHILVVEEKKRRKHVHQFSPSIYRVPNTLRDVNPISYSPRIVSIGPFHKDIQKMKEVEVHKVTYLLNLFRRLRSPRDQTMKECVNRVLAQIDQIKACYGGEKETYDDHEFAKIMVIDSCFILELVYRSNVNENEGHSFFDDNLLTLYAKHDLILLENQIPFFVLENIFECTIRKFRPSASLTKLILSFLTEINPFGKELVLKNEGAHTNYDHILGLLQKCYLPSNAMLSEISKISNSGAKLSNAITSYSVAELSRAGVKFKPYVDAKWQLAMEFEPSRVPCYVWSWGKPTFRMPLLQIEDYTESVLRNLIAYEQCSPAIPNYITSYAFAMERILDTNEDVLMAIESEVVVNNLGSSKQASKMINSICKEVTVSKFSYTXEWGQLKTYYDGYWPKNVAWLRRTYFSTPWSFIALLAAFVLFGLTVAQTYYTIRPA >KVI11507 pep supercontig:CcrdV1:scaffold_880:3651:5233:1 gene:Ccrd_010078 transcript:KVI11507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant MASIPADHEETADIIYDKIQILVVEEKKRRKHVHQFSPSIYRVPNILREVESKSYSPRIVSIGPFHKDDQKTKEAEVHKVTYLLNLFRRLRSPQDQTMKECVNRVLAQIDQIKACYGGEMETYDDHEFAKIMVIDSCFILELVYRSNVNEYEGHSFFDDNLLTLYAKHDLVLLENQIPFFVLENIFECTIRKFRPSASLTKLILSFLTEINPFGKELVLKXEGAHTNYDHILGLLQKCYQPSNAMLSEISKISNSGAKLXNAITSYXVXELSRAGVKFKPXVDANWQLAMEFKPSRVPCYIWSWGKPTFRMPLLQIEDYTESVLRNLIAYEQCSPAIPNYITSYAFAMERILDTKEDVLKVIDSEVVVNNLGSSKQASKMINSICKEVTVSEFSYTKEWGQLKTYYDGYWPKNVAWLRRTYFSTPWSFIALXAAFVLFGLTVAQTYYTIRPA >KVI11510 pep supercontig:CcrdV1:scaffold_880:77685:78120:1 gene:Ccrd_010080 transcript:KVI11510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTIFSSFSMSSLALPGIVMYPNFVISLSVDKVEVFYLNNFVDRFLKEKTPTIFKSWLANEKN >KVI11508 pep supercontig:CcrdV1:scaffold_880:29823:77043:1 gene:Ccrd_010079 transcript:KVI11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, subunit 1/F420H2 oxidoreductase subunit H MALYSPFHQEEPLQYGTKDRLLAIQVTNLKVEYFPPMVEVILQNGAMKHKARMSFIYTQIIIESGSNRPGFRWRKVRSIDEGGGGAGGGAAMLLTPRPAILSVKVNETALNKDLDNSWEVLAEPIQTFLFIPIATRWTSPRLRMDQLLNFGWKFLLPISLDIHDMLPMVTEFMNYSQQTIQITFGDVHKWFRIFQRFPFLDRWRWSNLRGLYKSFVSLITTIPDTSWYDIIWTSNANQIIKQDLISNSQQIGIQFFLPFEYISIILLFAIGPIVELVNDARTCSCLECLFIFIRSIWIDHKSKYRAIFSIFVTAIAAAEPLLDLLLFHQFIVTENQLG >KVI06639 pep supercontig:CcrdV1:scaffold_8800:6797:7072:1 gene:Ccrd_015008 transcript:KVI06639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGWCHRSIEFFSEDPIKGVLKTFDTNGDGKLSRKELKVGLKSLGVRFAGIRAWRALRRADANGDGQIGDEEIDELAKYASKWGLSITTY >KVI06640 pep supercontig:CcrdV1:scaffold_8800:9978:11570:-1 gene:Ccrd_015009 transcript:KVI06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein MLIVNRVGARKVMNSELWHACASPLVSLPPIASLVVYFPQGHTSKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPMDFSMQPLAQELVARDLHDHSWTFRHIYRGL >KVI01635 pep supercontig:CcrdV1:scaffold_8802:9350:11437:1 gene:Ccrd_020088 transcript:KVI01635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MSMYGRDPWGGPLEINTADSATDDDRSRNLNDFDRAALSRPLDETQQSWLLGPGEQKKKRYVDLGCIIVSRKIFIWTVGTILAVAFTAGIITVIVKNVPRHHKHPPAPDNYTIALQKALKFFNAQKSGKLPKHNNVSWRGNSCLNDGKLDKSGAVIKDLVGGYYDAGDAIKFHFPKAFAMTMLSWSVIEYSAKYEAAGELAHVKEIIKWGTDYFLKTFNSTADTISQLVAQVDFEIFFQCEL >KVI11729 pep supercontig:CcrdV1:scaffold_8813:9252:11177:1 gene:Ccrd_009858 transcript:KVI11729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MESGTKSPAWLALPLKTRILVSILGVATDIPRRKDGTVNRCLAKVLDCRTPPTPKPRDGVKTYDVVVDPARKLSFRVFIPIDYAVEDLPVVVFFHGGGFVFLSPAMKVYDAVCRRFAKGFPAIIVSVDYRLAPEHPYPAPHDDSFDVLKFLDDDHENSSKPLPENVNISRCFVAGDSAGGNLAHHVAQRASEFNFRRLKVIGVLAIQPFFGGIERTDSEIRLDGTPPLSMKRTDWYWHALMPASDRDDPIINVSGPKAVDISKIDFPATMVIVGGLDTLQDWQKRYHEWLRDSGKEASLFEYPSMFHGLSLIQ >KVF62711 pep supercontig:CcrdV1:scaffold_8815:7771:12045:-1 gene:Ccrd_026730 transcript:KVF62711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MSGPECYANPPEMNSSSGNGSIEEIGGLKAYVTGNRSANLAILLASDAFGYEGVMLRKLADKISALGYLVVVPDFFFGGHICTTSPPQVRANWLHNHPPEKGCENARKIIAQLKSRGVFGVGAAGFCWGGMMVAKLAKYDDIKAGVILHPGRLTDDDINEVNVPIAILGGELDKLCPQEEVKHYGDILSSKQVESFVKIFPGVSHGWASRYSEDDEVAVMSAQEAHTDMLNWLTNS >KVF62019 pep supercontig:CcrdV1:scaffold_8822:3072:3868:-1 gene:Ccrd_026731 transcript:KVF62019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGILCLLLLPFGFASINTTCLPSDEVDALRVIGRKLGKNWDFNEDPCTGRGNWVSAVVGDDANKVTCSIANTTCNIVSM >KVH99645 pep supercontig:CcrdV1:scaffold_8830:1846:2891:-1 gene:Ccrd_022118 transcript:KVH99645 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDSKQKMQHVLCMPAPAQGHINPMLKLAKILHSKGFSITFVNTEFNHQRLLRSQGSDALRGFPSFCFETIPDGLPTPENLNATQDVPSLCKAMDEKFVVPFKSLLNKLSASDSPVTYIVADVIMSFTLEAAMEMDIPEILFWTGGAGSLLCIEQYPNLLDKGLMPLKDSSYLVNGYLDTSYDCIPSMSGIRLKDIPPFIRITNPGDEYMVEFFTRQIERAKTASTIVLNTYHELEPNILDALSSIFPPCYGIGPLNLLEKE >KVF61477 pep supercontig:CcrdV1:scaffold_8833:1472:3032:1 gene:Ccrd_026732 transcript:KVF61477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEEDXICLNRYLMKLLSPSYASSILPLLLRLISSLFFLQNLISSVLCFDFMFIDIRFYCLQNRGSGASLMVKVVIKSHAPALYSLALIQFNGSGGLKNDKDLRAGVALCARAGFLSHADDLRELGLCLQCKHCNFAFFLPLTTLIK >KVF61391 pep supercontig:CcrdV1:scaffold_8835:183:1074:-1 gene:Ccrd_026733 transcript:KVF61391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMSHKGMKVTTLNDNDLYVYENQATTINVNKIFKKPYTRFHQGSVTAANRYCKVQCQNSPHETDHLVESRERSPNPDTWQPLEGMVRSSVNYVPLSPISFLERAAEVXRNRTSMIYGSIKYTWEETHRRCIKLASALNGLGVSRGDV >KVI06613 pep supercontig:CcrdV1:scaffold_884:23769:31978:1 gene:Ccrd_015036 transcript:KVI06613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase, bacterial/plant MAFVLEVPVNLLGRHQNLVSFSSSRRPSNFINFTRKQRCKLSMSSTIQSLDEKHLDPANDLALPIMVNSCTGKMGQAVIEAGISAGLHIVPASFGIQQDAGKTIQVGGKDIQVHGPSDRETTLSSLVEEYPNLIIVDFTVPNAVNDNAELYCKTGVPFVMGTTGGDRDLLYKTVEDSKVYAVISPQMGKQVVAFLAAMEIMAKQFPGAFSGYTLELRAAIDLGSRRGAAMEGGGEQLMEGGEERDEDKGNMVLESHQSTKLDTSGTAKAVISCFQKLGVSFDLDEVQLIRDPKQQIEMVGVPEEHLNGHAFHMYHLTSPDGTVSFEFQHNVCGRSIYAEGAIDAALFLAKKIQSKADKKIYDMIDVLREGSMR >KVI06615 pep supercontig:CcrdV1:scaffold_884:92269:94093:1 gene:Ccrd_015034 transcript:KVI06615 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDFVWFQQNYALFLGAVVVALVFYFSSFVFETRKNHAAPEAGGASPITGHLKLFGGSSNPPHIALGSMAVKYGPIFTVRLGVNRILVVNNWKIAKEIFTIHDAIVSSRPSFTAAKILGYNYAMFGIAPYGPYWREMRKIASTELLSSRRLEQYKHILVSELESSIRNMYELWREKGDGQGKVLVDMKKWFGELDMNVMIRMVAGKRLSGATNSEEEKEMNRCRRVMREFFQFLGLFVVGDALPFLRWLDLGGHEKAMKRVAKDIDCIIGKWLDEHRMKRDSSQAIEERDFMDVMISAVKTGGLGAHDADAIIKSTCLDILASSADTLTVMLTWTLSLLLNNPHALQKAQEEIDKHVGNERQVDDSDINKLVYLQAIVKETLRLYPAAPLAAPRQFSEDCTLAGYHVPKGTWLMVNIWKLQHDPEIWSDPYEFRPERFLDETHMHVDVKGRNFELIPFGAGRRYCPGIGLALQILHIVLATLLQNFDISTPNDEAVDMTESPGLINDKASPLEVQIVPRLPSFI >KVI06616 pep supercontig:CcrdV1:scaffold_884:126934:130275:1 gene:Ccrd_015033 transcript:KVI06616 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEFHISFSTTTIISVIVVAFVLQILKRKKAIRXKKSEPPKAKGAWPIIGHLHLLGGSQSPQKVLGDMADIYGPIFSINLGVHQALVVSNKEIAKECYTTNDKVFASRPKXVASELMGYNYAMFGLAPYGEHWRQVRKIIMLEVLSQRRVEMLGHVRVSELXASSRXIYEAWVNHKENGGSDMVKVDMQQWFGNLVLNVVVRIISGKRFSPNDKEGVRFQKVARKFFELLGAFVVSDFIPYIKRLDLGGYEKEMKTIAKEMDNVIDGWLEEHKREKGSEQQLEGNQVFMDVLISILEGASEEDFPGFDHNXIIKASCLAILTAGLDTTSGTLTWALSLLXNNPKALKVAQDEIDEHVGRERLVQESDMKNLVYLEAIIKETLRLYPAAPLAVPHESMEDCSVSGYDIPKGTRLLVNLWKMHRDPDIWSDPNEFQPERFLTSQKDIDVKGKHFELLPFGSGRRMCPGVFFALQAMRLTLATLLQQFMLRNPSNEPVDMTIIMGTTPTLLFIA >KVI06614 pep supercontig:CcrdV1:scaffold_884:45423:58284:-1 gene:Ccrd_015035 transcript:KVI06614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C MINIPADDYVFLEKFCLDKYKFSHGDVVIFSSPTNYKERCVKRIVAMEGDYISNVNGASKVPEGHCWVEGDNSASSFDSRSFGPIPLGLIHGRVTHVIWPPQRMRKVDRRIREDGLAF >KVH88478 pep supercontig:CcrdV1:scaffold_885:31402:37627:1 gene:Ccrd_026734 transcript:KVH88478 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MVDTKVETISRLAQWRIDNFGPCTYKRSEPFKIGIWNWQLSVEKNRYLYIRLFPEPSRLSKEQPPIAKFILRVTTTGTNRRPYISPIHERLLRTSEDFVWPVDSTFHGRFIIDGEEASSIWPNDGMLRSSASESILRCLSRMLHESIDADVTIKTGDETLKAHKAILSASSPVFHSMFLHNLQEKESSTINIQDMSLESCTALLSYLYGTIKQEDFWKHRLALLGAANKYAITNLKDLCEESLLEDINSGNVLERLQEAWLYQLDKLKKGCLTYLFDFGKIYDLRDEINNFFRTAERELIQEMFQEVLTVWKPA >KVI11099 pep supercontig:CcrdV1:scaffold_886:160752:161818:-1 gene:Ccrd_010495 transcript:KVI11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEKSRGTGETTTLTLSSPLDPGGPKATTGSFTFLRRFSSGRSSDLSSSAFPAALYNKDLLPTKSFFRFDAPAETFDGINHIKFEIPASFRRQSKKKTRCTGKVSTDED >KVI11098 pep supercontig:CcrdV1:scaffold_886:160752:162457:1 gene:Ccrd_010496 transcript:KVI11098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP, alpha/beta/alpha sandwich MAIQHNFPYRTYMTQQNSGDRKDDSTEITIPSNNLPAEQFLPLATAFRRRLLTGIGSASLVFVGANFAGTTSFLLGLSPETGRNLKLDVIYPIKGFSRCIKPEEGFEFIYPANWVGDQTLLYRAAGKAELERSLDLPLLNRRRNVNEPVVAFGPPGIEAFGGPEEVGEAIVQKIIGSVKRSDVKGTLIRSKLREDEVKEVKYYVLEFAVKSASFRRHNVAVCGARGGRLFTLNAQTPESGWTILKPDFYKIADSFSLTF >KVI11097 pep supercontig:CcrdV1:scaffold_886:117195:118409:-1 gene:Ccrd_010494 transcript:KVI11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLSLRPYRRFRTYATIPASITSISNGKISLTANDIKKPLTLEEPVLVKLKTERDPEKLFHLFKANAHNRFVIENKFAFEDTVSRLAGAGRFDYVEQLLEHQKAQPQGRREGFIMRIIRLYGKAGMVKHAVNTFRDMHLYGCRRTVKSLNAALKVLAHTGDLDALESFLRDIRCKFDVKLDVVSVNILIDGLCRMGIPDKAYIIMGEMEKSGITPDVVTYTTLISAFYKLNRAEVGNGLWNLMVMRGCLPNLATFNTRVQFLVNRGRVWQANSLMGMMRYLGITPDEVTFNLVIKGFCIAGYIDMAKRVYSALHDEGYKPNARIYQTMMHYLCKEGEFDMAYTMCKNSMEKNWFPSIDSIYQLLQGLRTMGKIGKARFIISLVHKRAPSFTANQLGIMESILQKS >KVI11100 pep supercontig:CcrdV1:scaffold_886:23610:42525:1 gene:Ccrd_010492 transcript:KVI11100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKSRSVNKRYSDYVEVSPSKSVANKSGRQKRKLSDMIGSPWTDEEVERFYKAYRKYGKDWKKVAAMVRTRNSVMVEALYTMNRAYLSLPEGTASVVGFIAMVSDHYNALVRSYITYIYLIRLCCVDLMYYVLCDGVNTGAYALNITILEGSNSDHDDNEFPKLIPKPRKRSHGLFQQNGGEDQLQSRLAGSSDRPMSQLKRRESDGCTVRKRTPRFPINHSSRRDNSGFYVSPYKRSQKREVDDVAHGAALALTEASQRGGSPQVSQSPYRIDRMKTTPLKGRQKTLDTARTKLHGNLIDEDCFEGSSGSGGAENGAYPRDASIFMDTENVAAEDVHQKGRKFYSQKDDNEFDDGGEACSGTGEGLAVGSIGGKFDIEVADENIEQSSSQGKRKRNKKLYFRANTKLLLKVLSIPWGHAQWPEGLKFGSVEGALMHGLCGQVGPKDETSGLDALQTLADLSLMIQSSKVDSESPVLKEDKPPTGISDNNVSGRPGSNGHRRHKTKMSVDKEKLLNEFPRADASKSGKSKPGRESKVDYKALSEGKQLDQSINKSWKRKNKSSSFEALFNDEEKCASKPLCANQDNVPSKNCKSTRLVEYSSSNSNTSRTGADSAVSTALLPASDGVDLPFKRRNKRKVDPNRISNHGEMKLAKINLKDQPNKKIEPQEGTLYLKDKAFCCLSSCMVRRWSTYEWFYSAIDYPWFAKREFVEYLNHVGLGHIPRLTKVEWGVIRSSLGKPRRFSRNFLHEEREKLWQYRESVRKHYTELRSGIREGLPTDLARPLSVGQRVIALHPESREVHDGSVLTVDHDKCRIQFDRPELGVAFVKDIDCMPLNLLDNMPEALRRESSALYRFSMNSGEPRLPQSATGSSMLYTSREHFEQSPTIALMSQRPVSRLNDLLFPVLGHNGSGAPAKAEDNVISQHAPNAPPCSVSQIQAKETDIRALSELTRALDKKEAILAELKLVNDGLMSHENETGIAMKVSESFKKEYAMVLLQLKEASDQACGLLMTNSVSSALLNLRQRNTYPGNPLPPWQKLHSSSSGIIGPTSSADNFPSNNQLAPSVVEIVNNSRLEAHKLVHTAVQAMSKIKVEQNVLSSVVAVLDSLGVGKNPIEYGSSTIRHSEQPNGGTYKHKLNCTPDTSLNNHASDSKLQRESDETEATIPFELIVSCVATYHMIQMCTERQYPPADVVQMLDSAFTNLHPHCPQNLPIFREIQMCMGRVKTQILALVPS >KVI11101 pep supercontig:CcrdV1:scaffold_886:44071:45465:-1 gene:Ccrd_010493 transcript:KVI11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKISCFPKYRIGRLLGMIQILLGGLVIIVSISSLFRFYSAGFFMHNEDICKQFYGVKDVYEGFDVMSLSARVDEVLDKMLKLQENLESKVQQMEKIKDLVNNTVSMLDYKKFIEEDVIHPLYGAHIALRQLRLPRVENGTTNEDPLINTFVTEEIRKYITPKETRVGNKNIYGSQKIFNTIGHGCVSMKKELEEYMDYDIGSFCKDDWNVAQNLMINGCDPLPRRRCLTRASKLYQKPYPINESLWRIPDGRNVRWSNYRCRNFECLSIKNPKRGYSKCTGCFEMEKEKLKWVTNTSLLTDFLIKDALAVKPGEIRIGLDFGIGTGTFAARMQEHNVTIVSTALNLGAPFNEMIALRGLIPLYITLNQRLPFFDNTMDLIHTTGFMDGWIDLQLMDFILFDWDRILRPGGLLWIDRFFCNRKDLDDYMYMFLQFRYKKHKWAISPKSKDEVFLSALLEKPPRSL >KVF59396 pep supercontig:CcrdV1:scaffold_8865:2263:3924:1 gene:Ccrd_026735 transcript:KVF59396 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MFLIFSILLISSFSILIVFLLKSLSSSSQPHKNLPPSPPRLPLIGNLHQLGSTPHRALHAMAQSYGQLMLLHLGTVPVLVASSADVARELMKTHDLIFSNRPKTNIINRLTYGSKDIAFSQYGEYWRQAKSIAVLHILTNKRVQSYRQVRNDETSLLIAKIQETHEPVVNLSELLISLTNNIICRVALGRTYDGKKFKNLLERFVELLGSFSIGSYIPFLTWVDRLSGLERRADDVAEEFDEFLEGVIDEHINKTLVDIHGQDIWAISELLRHPQAMKRLQQEACEIGQGRSMISEDDIDKMPYLRAILKETLRLHPPLPLLVPRESTQDVKLLGYDITAGTQVIINAWAIGRDGSTWVEPNEFMPERFLNSPVDYKGFHFELIPFGAGRRGCPGIQFAMVVNELVLANLVYKFDLEVAEGKDLDMSETIGLTVHKKHPILVTRTPHCQ >KVH98786 pep supercontig:CcrdV1:scaffold_8871:3242:3841:-1 gene:Ccrd_022986 transcript:KVH98786 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MVYETTLIIVFCSISSHIFSNANEDHVAEVLHRLGLEDCFDDVICFEYLNPPNQKNDVDDTYNCCVTETGDLLPTSHIICKPLENSIQQAFKMANINPRKQQYTDCNSHGPQHCVGSSERKKSVDYALESIHNIREA >KVF58549 pep supercontig:CcrdV1:scaffold_8876:2367:3315:1 gene:Ccrd_026736 transcript:KVF58549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MQTNNPSASQFPKRLQGKVAVVTGGASGIGESTVRLFTKHGAKVVIADIQDERGTSLCQELLSESGNVVIYVHCDVTLDSDIQNVVDTAVSKYGKLDIMFNNAGISGDTNNTILGSDIQNFKRVFDVNVLGIFLGAKHAARVMIPAKSGVILFTSSVASVVAGETPHAYAMSKHAVVGLTKSLCVELGQYGIRVNCISPYGVATPMLVGAMGMDKKVVEEVVCASATLKGLMPTAEDVAEAALYLGSEEGKYVSGLNLVVDGGYSTTNPAFTTEAKKIMS >KVI08022 pep supercontig:CcrdV1:scaffold_888:40243:41331:-1 gene:Ccrd_013612 transcript:KVI08022 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGLEEDSEQPQVDVFDLLPDALVLEIFNRVQDARSLSACTILCKRFGDLVTQTHNVSLEIARRNKLPEFPRGDSGLHMNKSKGFVGRFILKPIHALFHRMVSRKSKSCSHCSDDEEDNSFRLPNELLNNFKEIRSLAVKLPGLDGDVSSENGSGALLKWKAEFGKELESCVILGATGFRKSEQKVKNQEKESGKDGEISPFPASMPANLTNKQLKKRVVWTISCLIASSARHHMLKQIIALNRMIRDVKISDGSKQGTIEMTERQVVELRKEMNSTAVVEPERTEVPAVTIKMWYVPVLELPESGCVMKGATLAVIRPAVTAMEKDDDVSLAMGAFEEKCMGEAVRKLIDRKKSYTLEMNSF >KVH97254 pep supercontig:CcrdV1:scaffold_89:145757:150383:1 gene:Ccrd_000634 transcript:KVH97254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MVILREKQTLLSKIATSDEHGENSPYFEGWKAYDQDPFHPTQNPNGVIQMGLAENQLSHDLIEKWIVENPKSSICTNEGAIAEFMGKARGNRVRFDPDRIVMGGGATGASESLMFCLANPGDGFLVPSPYYPAFDRDLRWRTGMQLIPIVCTSSNDFKITKQALESAFESAVESNIRVKGLIIANPSNPLGTTMDKETLKTLMRFINNKGIHLVCDEIYAATVFNAPGFISISEVLQEMEQDPMNQINPELVHIIYSLSKDMGLPGFRVGILYSYNDGVVSSARKMSSFGLISTQTQHFLASILSDEVFVESFLSENSKRLAKRHKVFTQGLQEDGITCLASNAALYVWMDLRRLLKKPTFDEEMKLWRLIINDVKLNVSPGSSFHCVEPGWFRVCFANMDEQTVQVALNRIHIFVKKGKENEEMATKKKRNKPWSKDLRLSFSSRLYEETKMMSPRIMSPHSPFALSPLVQARN >KVH97253 pep supercontig:CcrdV1:scaffold_89:508080:509867:-1 gene:Ccrd_000656 transcript:KVH97253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36e MAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSKRSHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSGGGAEKKK >KVH97255 pep supercontig:CcrdV1:scaffold_89:118578:120134:-1 gene:Ccrd_000633 transcript:KVH97255 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDVDWDLQAVVRGCCSTVSSATTTTTTTTAPTCPVDAYSQPHSNFYGENFMGFFPDLFQPRRDNSIEQFLNDLYNPIHLQKPPPSPQSLPISPLSVLGGLQDPPYHHHRKQFQGKQRSLGISRCTTSHTQSTTKFKKRVSQVPAEGSSSDLWSWRKYGQKPIKGSPYPRGYYKCSTSKGCLARKQVERNRSDPAMLIITYTGEHTHPVPIQRNSLAGSSRNKPTASASGDEDNRNKPTSSPPVSPTASLSPAMEKMDENERDDTDDDDNDFDVSGVVIDEDIFDGLDELVGSASGDRFSVHHATSKTVPPFRWLSNNVATTTTTTAAGRS >KVH97249 pep supercontig:CcrdV1:scaffold_89:443707:452002:-1 gene:Ccrd_000652 transcript:KVH97249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22B, signal peptide peptidase MEGVTKGSRAVLEVVVLSLICSVSLVYAGDIVHQDDIAPKRPGCDNNFVLVKVPTWIGDNEEEEFVGVGARFGPTLESKEKDANKSRVALADPPDCCSTPKNKLTGEVILVHRGNCSFTDKAHVAEAAGASAILIINNRTELFKMVCEADESDVDIRIPAVMLPQDAGASLKESLQNKLNVSVQLYSPKRPLVDVAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKILKDASDEYLNTESSRSSGVVDINTTSAVLFVVIASCFLVMLYKLMSYWFIEVLVVLFAIGGVEGLQTCLVALLSCFRWFEHPAETFVKVPFLGAVSYLTLGVSPFCIVFAVVWAVYRKISFAWIGQDILGIALIITVIQIIRVPNLKVGTVLLSCAFLYDIFWVFVSKWWFNESVMIMVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLANKSLRAGYFLWAMIAYGLACFLYCRSANYVCRSELDGRTWSTGFALHCSFYPRHVIDIGEATRRSETPVEKRRTRPALPSCPASIFGTINKSVPFR >KVH97269 pep supercontig:CcrdV1:scaffold_89:308518:311567:1 gene:Ccrd_000642 transcript:KVH97269 gene_biotype:protein_coding transcript_biotype:protein_coding description:BYPASS-related protein MSRSEGPHRPFLPIGNPFKMMMPKSSHLPSRVLSVFEKNLAERFRQLKPNHANDVISFAWMKLASSSICETLDDIRKLIVDLELPICSWDIKWVDMYLDNSLKLLDFCSAYGTDIVRQRFGYVMLKCALLDLDSDNPQKFLQASSSLHEWRQYQSRYDNSKLEDCSDIICKLEETLNLPKIKNIPKAKDLVRAMYGLKVQTIFIFSTFVAAFSASPRSLFDLQVHKQYLWQESFTDLQDVMNGEIKNIHACGRIFPLKELRTIDQNVKKLYPLLHDGLGDIKQEDFESYCLELKENNEKFLVGLDELKSEMDRFFKVVVDGRMALLDNFQQEAPRSGVQQVRM >KVH97244 pep supercontig:CcrdV1:scaffold_89:76879:79350:-1 gene:Ccrd_000628 transcript:KVH97244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MDEREQVRPLAPANDEEPILEKTTLQRRNHVIKWCGYMVTVVILLLVLLVILIFTVFKIKEPEIRMNSVMVDRSFNLINGTIPQPGTNMSLIADISVKNPNFASFRYKNTTTSLYYHGTVVGAARGPPGRSKARRTTRMNITVDIMVDSILGNPNLESDLGRGLLTMSSYTRVGGRVKILTFINKYVTVRMNCTMKTVTRQRGVKMAGLVSNGTRAKGIRLAKCLTRKKERTLS >KVH97259 pep supercontig:CcrdV1:scaffold_89:198951:201400:-1 gene:Ccrd_000636 transcript:KVH97259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFILKLDLHDDKDKRRALKIVSALSGIDSITMNMKEGKLTVVGSVDPITVVSKLRKFWSTTNIISVGPAKEPEKKEEPKKEEPKKEEGKAEKKEEPKKEEGKKEEGKKEESKKKEEGKEEEKKRALPQPPVGMITPYNYRPYYPPVYTNYNYQPHHHSIEENPNACVIC >KVH97265 pep supercontig:CcrdV1:scaffold_89:416923:419769:1 gene:Ccrd_000649 transcript:KVH97265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mesoderm development candidate 2 GVLGGKNARIHIPDELDDVVDDEEDEAWKEWGQKKKLTEEKFDPPPVDFSEMDLAQMQEEMMKRQHGPSFGFVKLRLGVRRTPDVITDIAMKWSKIARTGAIEVKFMGVDVSTIMFTLEKGQDTLELKDFILSQPEAYEMKIGDRLFRRPGDPPFDDVFAELHGNDEGHAHPSINDHEKDEL >KVH97272 pep supercontig:CcrdV1:scaffold_89:285916:289162:1 gene:Ccrd_000641 transcript:KVH97272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSIYDKAFVNSELSKPTSIFGLRLWVVVGIFVGAIIVLVLFLLSLCITSRRRNSPSNKRRKKFEQTQTLTPAVSKDIQEIIHHESSAAVDHRPPVPEIQIDIGKSEHRVVFSDRQSSGESRATSIETASYGGGSGVPSLPEVSHLGWGRWYTLRELEAATNGLSDENVIGEGGYGIVYSGVLGDDTRVAVKNLLNNRGQAEREFKVEVDAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGEVGDVSPLTWAIRMNIIMGTAKGLAYLHEGLEPKVVHRDIKSSNILLDRQWNSKVSDFGLAKLLCSENSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIISGRSPVDYARPQGEVNLVEWLKTMVGNRKSEDVVDPKLPEMPSSKALKRILLVALRCVDPDALKRPKMGHVIHMLEADDLLFHDDRHRESSRSHDGHKDENRRLGDKQSVEGTCDTSE >KVH97263 pep supercontig:CcrdV1:scaffold_89:105813:108057:-1 gene:Ccrd_000632 transcript:KVH97263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MFIVLLLLLLLLLPVPPTEAKWMPPAVAVSSHGCPPERCGTFGSIPYPFRLTNNSDCGELLSDVFHLSCHNSTSLFLIIGSYRYQVLHFFPDSGGVLVDFPNDTIRYSSSCRKYYDLRSFPFPANHYFGISMDNILGLYGCGDSSLCRTDCGGCRDANTTTTFMSSGCCYPLSDDGGGVWSVGDGFSVFQQFGCKGFSCWVGSGSNNGDDVKRGIKLEWAIPIDMITGVCDSNARTLNASSVIFGMRCRCLDGFVGDGFARGIGCLKSCLKDGEEVYGDDCYVKRHGRSKLMLVGGSLALGLSIVTLAALFCLLKRQTKQGTVDADQARSQTSVWFHKRPRTRLFTHSELEDATKGFGDDQKLVSFGDGGTLYAGVLSDGLEVAVHKVECPTERDLIRVLSRVKILSEVSHANMAQILGCSIDSGYTPLVVFEYPGNGTLEQHLSQMGNDQKTSLEWHNRLSIAAEVSSVLAFLQCEIFPPVLHHCLQSGCIMLDSNLSVKLVGFELLGDGGDGCGSDGPFSTKNDVYGLGVLLLEIIAGGRSVDLATVALRKIRNGKLEEVVDPTLYYHEQPGYRKEQIEVVADVATRCLLFAGDGRLGINDVTKELLHVTKESIDHVGSRRGPAGLEETFSNSSLLQMISLSPDSIYVP >KVH97243 pep supercontig:CcrdV1:scaffold_89:71645:72903:-1 gene:Ccrd_000627 transcript:KVH97243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSTTTTIPFPSPPPPPLSSATHYYITNLGLGYAIAIAFGFLVLFSSLLLASYICFRHRHSHRRQIRNPNGGGSDNGVMVPSIIFVGEDNNDDQNVVIGLDQSVINSYPKFLFTRGIPKAIDSVCAICLCEYREAEMMRMLPDCKHCFHLTCVDAWLKLNATCPVCRSSPLPTPLSTPLAEVVPLSQYSDGRRRSLQHLNKMHSFRSGDLLAHNRRCTLVKSWLDLQFVRNWCAGAYPQERFNN >KVH97268 pep supercontig:CcrdV1:scaffold_89:331466:347109:-1 gene:Ccrd_000644 transcript:KVH97268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MDREETVAEYKPFNYRLMNGSGNIHHQPTSGWLEIRLFYVRITPCAVNTVPEHLTLRNLRREIGVSLEINGFRVPSANTALVTLRRDRVDKESSEVTYVSTDNIRVTGAFEFEVIENEGEDREMILCGSLERIEPTWSNGSLDNGNNHNHNNNGSKTGWSMDFYAANLSKFGTSSPSIEVYIAGCCSSVPVILTKTIQVSPRRRGSRHGMLDAIPEDEEVDKEPMRDNGVVRQRKLPNAGEEADEYEGKVGPSYYSEEMYYDEDGQLTWFNAGVRVGVGLGLGMCLGIGIGVGLLMRSLYVMECGDAVRGNWQFQFLLMDTLNDLLAFCDLISLHSDLTDDTVQILNADCFQHIERGTCFCLLCKELEGVCVFQKHSFLLFSEARMRLSYDLTVENKLLMGFDSLLPAPVSTDNSLATVDFSNSLLDDPSKKIRKPYTITKSRQNWTDIEHDKFLEALHLFDRDWKKIEAFVGSKTAIQIRSHAQKYFLKVQKSGTKEHVPPPRPKRKAAHPYPHKAPKNGVPKVEGILQPTADLIEHTDSYLWPTNACSTAGLASWMYNTIAPPDLPTKDDVRSSGAAISHNCCSSSIDSGPGIWPTGETNNQQEVKQRVAGRVMPDFPKVYRFIGGIFDPNESNHLQKLKMMDSVDVETVILLMKNLCANLKSPQFEDYRRLFSSYDVGSGKVVSSSGRAIPSS >KVH97257 pep supercontig:CcrdV1:scaffold_89:96719:101354:-1 gene:Ccrd_000630 transcript:KVH97257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-1 MQLTVHLFLRYSLPFLPTSPRKQLLYFHSLRRSISAATATMVANAGNSSLLEHVAGAWYSVPELRLRDHRFTVPLDYSLSESPKISVFAREVVAVGKEDQSLPYLLFLQGGPGFESPRPTEASGWLSKACEEHRVILMDQACSFLTVRGTGLSTPLTTSSMLQTKSAQDLVDYLKYFRADNIVNDAEFIRKHLVSDAGPWKVLGQSFGGFCGVTYLSFAPEGLKEVYLTGGIPPIGDGCTAEAVYKACIGPLVLQNEKYYKRYPQDIEIIRDVVNHLAESEGGGVPLPSGGILTPRGLQLLGLTGLGASTGFERLHYLFERVWDPVIVPGAKKQISYYFLNAFEKWLSFDTNPLYALMHESIYCQGAASRWAANRVRTEHESKLDAVKAAKEGRPVLLTGEMVFPWMFDEIHALRPFKEAANLLAEKKDWPPLYNKTTLNNNKVPVAAAVYYEDMFVNFNLATETAAQIAGIRLWVTNEYMHSGLRDGAGHVLDHLMRLLNGKKPLF >KVH97270 pep supercontig:CcrdV1:scaffold_89:320709:330297:1 gene:Ccrd_000643 transcript:KVH97270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEDGSSAVAASESVDEPQKKPRISYTRDFLLSLSELEICKTLPSGFDRSLLSEFEDNSNQERQRSHGSLPLQSFRRNDYSSSPPTRGDSSNFSRGVYGRWDNRSSGWSDKDGDSQSDLDPVGELANTWFIGVVSCGTLFAYMFSSDNGRRSYGNQSRRPWQNSEHDGLLGSGSFPRPSGIASGTSAPKGQTNEPFHLNRSNEAYQPPRPFKAVPHTRTNTQDSFNDETFGSSEFTSQDRAEEERKRRASFELMRKEQQKVLQEKQKSNANKHKSDGFTDLILEETKEEGVLEASGELNSAVQSVPNDDSLKSSILLQSSKSRPLVPPGFRSTILEKGSTTKPISSTNKENPKPGIEEIHLLAKGNHTQNGTQDNQGNIQPIHKLDMTDQYLEDKNICGIKQGEAIMTSLSGSAVETEKRGIGDHNLHGISGFPEVHEAVDGEVFELMTNNVMGNKILSNSSQESTTSILGKFFGSGPTVKDGGSTGFLEQQQKSEADDHPSPHNVQSSRFAQWFNEDEKKPVDDVSSNRPNDLLSLIVGSDKTGSQVPNVISSEPSAPDLSYKGFGVTKTPSDSKHLYNDYRQDSAPPVAAVLTCEDLEQTIMSEYSEKSTTLQPPIPDWSVSGQENMDAIPVDNRATHHLLSLLQKGTTIDDMKSSNTDSGSLENRPTSEVGGIKMFEKPKDANQEAGHTSAQNLSLEALFGTAFMKELQSAQAPVSVQRGSAGSARINIAPRELSAPVMNGPLSSSVDGIGSNMTSYESNTLSSKEKQPVKSDDAESWLNFNGPQVDVDPLEFHRGVPKLAKQASGAVEIQLPEEESLITVGDPANTHNPMSKSTTIGKLLPSDAAFDISEKLAALNSGFRGERSIAAQEANRFVRGPYDMLEPERQFNNLHAQASSPQMHAGQMNHNRLPMFHPLDPNLPHMNSHMRFPEHLMQRDSPLNHQFPANMARPFHHPDARVTGFDVPAHPQMMPQMRMQGNFPPHLLRDLPRPSMVHPHPGNQASNFMPDREALHGFPFAHQQANIGGLGMPLPVGDGGPEALQRMMEMEVRAQSKQVRPVNSQGGMYELDMGFRYR >KVH97267 pep supercontig:CcrdV1:scaffold_89:369934:374668:1 gene:Ccrd_000647 transcript:KVH97267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 GGVPCLYPNPFSLFLISLPEQTPTNLPKKKKTKLKMSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVHFHGPADSKSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNASDPLNGEAAALMMRDKSSYEQRVKEYCEKYAKAEDVGALPEEKSSDEELSEDEYDSGDDDMAAGPVDP >KVH97245 pep supercontig:CcrdV1:scaffold_89:91673:92464:-1 gene:Ccrd_000629 transcript:KVH97245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin-like fold MSGLIDKAKDYVTDKVANMKKPEATVKNVDLKNIACDCITYNAEVIVSNPYSTSIPICEIAYTFKSADRVIAEGKIPDPGSLKGNADTKLDVGLKVPHNVLVSLIKDIGADWDIDYEVNIVLIVDLPVLGDISIPVNNKGEIKLPTLSSLFGYGSASDDSAK >KVH97260 pep supercontig:CcrdV1:scaffold_89:156638:159405:-1 gene:Ccrd_000635 transcript:KVH97260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MVILREKQTLLSKIATSDQHGENSPYFDGWKAYDQDPFHPTQNPNGVIQMGLAENQLSLDLIEKWIAKNPKSSICTIDGVDRFKDIANFQDYHGLYKFREAIANFMGKARGNRVTFDPERIVMGGGATGASESLIFCLADPGDGFLVPTPYYPAFDRDLRWRTGVQLVPITCKSSNGFKITQEALESAYEDAIASNIKVKGLIVANPSNPLGTTMDRETLKTLMSFINDKNIHLVCDEIYAATIFNTPDFVSISEVLQEMEHDPMNPVNPELVHIIYSLSKDMGLPGFRVGILYSYNDAVVSSARKMSSFGLISSQTQYFLASILSDEEFVMNFLSENSRRLAKRHKVFTQGLEEENITCLPSNAGLFVWMDLRRLLKEPTFDEEMVLWRLIIKDVKLNVSPGSSFHCVEPGWFRVCFANMDDQTVEIALDRIHAFVGKEKGNEVVTRNNKRHKPFEKNLRLSFSSRLYDENTLMSPRIMSPHSPFAQSPLVQART >KVH97248 pep supercontig:CcrdV1:scaffold_89:463821:468421:1 gene:Ccrd_000653 transcript:KVH97248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative recombination initiation defects 3 [Source:Projected from Arabidopsis thaliana (AT1G01690) UniProtKB/TrEMBL;Acc:F4HU48] MKINKACDLSSISVLPPQSRRRSGISSSVPEMSSTFRHNQGPSQQQQQRSQQSLSQGFSSQHHAIFSQFSQNSQDETLTNDQLVVSQERESSLKRFSSLPPVNHTREEGQMPLSRSSTGLIRKWGSAISEQRCQMGEEFEHRIGTIESSLSRFGLMLESLQTDIMQVNKGTKELAMDMESIRQKLIANDNTFQMINKGQEDLKSSLHGDLKLISDQLNQDRCNKNSQDISILLSSLQEKIGVFISNLRNDLSKSFTNEMQAIACKLKALDQKNPSPTVLLPKAVSYCANPLGKAPKMYALYSISLFSQLSLQFVAHYWLFKDQLIYLCGIRVVPPKIEMGTWNSVKREHVTLTNRDYSKGHKHNKVSQTGLDFLIMI >KVH97271 pep supercontig:CcrdV1:scaffold_89:271006:279958:1 gene:Ccrd_000640 transcript:KVH97271 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 1 MAFPYMEAVVGFMILMYIFETYLDLRQHTALKLPTLPKTLEGVISQEKFEKSRAYSIDKSRFHFVHEFVTIVMDSAILLFGVLPWFWKRSGYVLEIVGLDAESEIYHTLAFLAGVMIWSQITDLPFSLYSTFVIEERHGFNKQTVWLFIRDMIKGMILAIIIGPPVVAAIILIVQKGGPYLAIYLWGFMFVLSLVMMTIYPVLIAPLFNKFTPLPDGELRTKIENLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNEEEVVAVIAHELGHWKLNHTMYSFIAVQHTIIPIQHLVSFCLNLVSRAFEFQADAFAKKLGYSKPLRDGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDKADKKAD >KVH97242 pep supercontig:CcrdV1:scaffold_89:59454:65000:1 gene:Ccrd_000626 transcript:KVH97242 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MQLYNSIAKGLREVDTRLQRYYKVIVCMNMMMRPMNPPPFQPIAPAPWFPPLPPLTSSFWNATNVHGSLKELQNTLELAEAMEKELEMLITIKGGNQHLEQTEDKGCETAMGRFLKLLENNRITLDTQEKISVEAANALMAKLKNEVEPFKVISDDKTPWEEKSTAVRLANKMQKHKRNKLWRKRKRRRIGEMIAKVELEQFEQADQEADEWRASEIAKEIAGRHFLPEEDNKFLERIRAAVEEEERQAMAAADTGAAKEAIATAEESRNITSVPALNSEDQISVKDGDKASQEKITEIEAKGGSSAAADTESRKQESQVPVPSRGYDYSASLPLEFYHYYHGSSTDMGTLIEAIEYQVTGFNRPHQLMKYGHLTS >KVH97273 pep supercontig:CcrdV1:scaffold_89:394787:405475:-1 gene:Ccrd_000648 transcript:KVH97273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein MFVQSLQQQLLRNPEGREAILAYQSGIRQGVLGGGVASSSGSMHLQRVSQQQGQEEGQIMRQGFEQHMMNPIHQAYMQYALHAQQKSSPGMQAQQQMKMGMMGKDQELQMGNAKMQDLMSFQGANQAQIPSSKKPSDHFGLGGKQQVEEGHQTVSDHSQNQNKMQNQNQKHFALPTSFGQLVPGNSIRPMQTPQSQQTIHTMANSQVAMAAQLQAMQALALERNIDLSLPQNANLVAQLMPLMQSRMLGQQKVNESNTGPQSLPVSMSKQQVTSPQVANESSPRGNSSSDVSGHSGSTKARQTLSPGHVGGSTPNAMLVNNSSVSQGQQFPTHGRENQLPPRQPTINGSGMSSMPPPQSPANMNQGSDHLLLGKSMLSGSDSLQIQHARQHMNRSSPQSAASSNDNGLENALPSQKGQMPQAQPHPGFTKQQLHVLKAQILAFRRIKKGDRTLPRELLQAIAPPPLEVQSQQVAAPAGAVTHDRALGRNPMESNNKDIQAVILSGGMNNLKREVYEEKATAVSTVNAQGTSVIKGNPSVSLPAKEEQRNTAFPHKQEQEVEHGIQRTSQVSEGTTDKGKAVVSGPAVGSDTVQGRITAQSGNSPQAKDPGPSRKYHEKKLRLQDVQARVRDEVDQQQQEIMAMPDRPYRKFVRLCERQRMELNRQVQAAQRAIREKQLKSIFQWRKKLLESHWAIRDARTSRNRGVAKYHEKMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGEAAERYEVLSSFLSQTEDYLNKLGGKITAAKNQQEVEDAANAASAAARAQGLSEEEVRAAASCAREEVLIRNRFSSMNAPQDSSSVSKYYTLAHAVSERVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKSNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGNKDQRTKLFSQEVCAMKFNVLVTTYEFIMYDKSKLSRVDWKYIVIDEAQRMKDRESVLARDLDKYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKVFHDWFSQPFQKEVSHNAEDDWLETEKKVIVIHRLHQILEPFMLRRRVEDVEGSLPPKISIILRCKMSATQGSIYDWIKSTGTIRVDPEDEKRKVQKSPMYQAKTFKPLNNKCMELRKTCNHPLLNYPYFNDLSKDFLVRSCGKLWVLDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDTDCFIFLLSIRAAGRGLNLQTADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKVASHEKEDNFRNGGTVDSDDDLAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRSTLESLLRDEERYQETVHDVPSLQDVNRMIARSEEEVDLFDQMDEEFDWAEEMTRYDEVPKWLRASSREVNATVARMSKKPPKDILFTDNIGVEPSEMASDIPEKRRGRFKGKKFPNYAELDDDIEEFSEASSEELVNAEGEVGDSEDDETVGVNEVPTVDKGQSEDDLPPSTDRYEYNGTPDTARRNHMLQEAGSSGLSSGSRRLMPIAVPSISAQKFGSLSALDARPRSRSKRLHDDLEEGEIAMSGDSHFDLQQSGSLNHERDEGEDEQVLQPKIKRKRSVRVRPRHAVERLDEKSGDKSSLLRGDSSQSPFQAERRLHGKIQAEQKLVQEHTSYKHDQNNALLKAKRTPPTRKNSNKANAQIAAKPTRTNPISAPAEDAAEHSKESSDVKCKNVITKIQRRIDKEGQQIIPLLTDLWKRTESPGSSAGNSLLDLRKIELRVDRLEYNGVMDLIADVQVMLKGGMQYFGFSHEVRSEARKVHDLFFDIMKIAFPDTDFREARSALTFSGSIASSASLSSPRPIGQTKRPKPTIDIEPEPSLPSRPLSRGSIPAKETRFGTRVAPVAQEPRPFTHPGDFVICKKKRKDREKSGVVKAAGPVSPVGRGTRSPGQTRLSQSQTIGQAGGGVGWANPVKRMRTDAGKRRPSQV >KVH97247 pep supercontig:CcrdV1:scaffold_89:422623:434123:1 gene:Ccrd_000650 transcript:KVH97247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKIETGHTDTVHDVCMDYYGKRVASASSDATIKIIGVSNNSTSQHLTTLTGHNGPVWQVAWAHPKFGSLLASCSYDAMVILWKEGNPNEWTQVHTFTDHKSSVNSIAWAPHELGLCLACGSSDGNISVHTARSDGGWDTTRIDQAHPIGVTSVTWAPSMAPGALVGSGAFEPVQKLASGGCDNTVKVWKLSNGIWKMDCFPALQMHTDWVRAVAWAPNLGLPKSTIASASEDGTVVIWTVGKEGDNWNGKVLYDFKVPVWRVSWSLTGNLLAVAAGDNNVTLWKEAVDGEWQQVTTVD >KVH97264 pep supercontig:CcrdV1:scaffold_89:347292:353087:1 gene:Ccrd_000645 transcript:KVH97264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MANGKHMRFFGLKELSDVHAPLHAAEISKTQERNESSSADSTSPRHLVVVQLENDEKETTEGPATADHETKLHHAPTTLMVEDEGGDYSAINSFHDAKVIYQLESRKLWAIATPIAFNMLCNYGTNSFTNIFVGHIGDMELSAVALGMGSALETLCGQAFGAGQIEMLGVYMQRSWIILTLASFCILPLYIWATPLLKLLGQRDDIADLAGKFSIQILPQMFSLALNFPTQKFLQAQSKVNILAWMGFCTLIIHIGLLYVFMEVFRLGTVGAAASYNLSAWGIAVAQVVYVLGWCKQGWRGFSWLALKDLWAFVKLSVASAVMICLEIWYFMNIIVLTGNLEDPKHDMNMNDSCLV >KVH97246 pep supercontig:CcrdV1:scaffold_89:435838:439427:-1 gene:Ccrd_000651 transcript:KVH97246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPELELANKTFPLISECDLSSVSHRSVAADLDGTLLKASLSFPYYILVAIEAGSLLRGLILLLCVPIIAVVYVFISEDFAGRMLIFVSFSGVKVSDIEVVARGVLPPFYAADVRSDSFQVFDSCQRKVIVTANPVVMVDTFVKDFLGGEKVLGTEIEVNPRTNRATGFVKEPGVLVGKWKKFAILKEFGEDLPDIGIGDRKSDHDFMSICKVRYFDFTIYIFKNSLQNPKDGRLPVLISVN >KVH97266 pep supercontig:CcrdV1:scaffold_89:353093:357649:1 gene:Ccrd_000646 transcript:KVH97266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MYVLVVKTYSVRVSNELGSGHPRAAKYAVMVTVTESLVMGIISMCIVMMTKNHFAAIFTNSKEMQTAVSHLAYLLSITLVLNSVQPVISGVAVGGGWQGLVAYINLFCYYIVGLPLGFFLGYKTHLGVEGIWIGMICGTTLQTFILVPLPHPLPESSSSVFTAPPPPSRRRSANRHPHPTIVCRLRLSPPSSPSPATRPTSASPMILLRPASDSPMILLRSASASPMILLRLTGGVSTGSGEGLTGSSKVGFVAVCSTE >KVH97261 pep supercontig:CcrdV1:scaffold_89:246573:253604:-1 gene:Ccrd_000639 transcript:KVH97261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3522 MLFHTTLSLSQALLMAEQCSNLTTGAISEDKNSIVTADKSDLPMICAREGSPPLPDTHNTSFTGLVLDPISNGSLAIEGFQFAEKCYPMQKNVLIRLTNEQVDFSFCISHFAGNVSVEGCLSPAVSGQFCNQTIDHLSCVDQNSTNGIITSCKNDGERSCIHGNESKLYSLDLLGVSEELIISATNVIFNQTQSNGASDGSRVVLMCYARHGAISSSSAHDYSGDINSAPLVIRSPKVGRWYITVVPLSNETVGNMTLCYSLEWKLLHCPIDKTGLNYNSEEESRRTFRIILFADIPLGAAGGSIHIRMNSDTKINHEIYASYGGLPFEDRWDYFYANSTSNSNGSMFFKLYDSGEKTISFYIVYVRGGTWSFGVKHLTSAGNALKSQTTMSISIERCPRRCSSHGTCQNVVEMSATVRVIVTMEVLTAVLKLSHIEAFAEWVLYTSSGISSGLYHACDVGTWCALSFRVLQFMDFWLSFMAVVSTFVYLADIDEGSKRTIHTVVAILTALMAENGATRSSNIVLVIAIGATGLLVGFLIEFCRHYRRFSFSAELFLNLIHRWHTIKQWCRNLIKTVLKRFRWFFVLTGFVALAMAAISWHLESTNSYWFWHSIWHVSIYISSFLFLCSKVNPLNCANGEPRNTNYELTRQDSLSRSQGQPENGQAR >KVH97256 pep supercontig:CcrdV1:scaffold_89:101792:105801:1 gene:Ccrd_000631 transcript:KVH97256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketopantoate hydroxymethyltransferase MAALCNRFSKACATITNRHFKISTYVRSMSNVPENTVYGGPKPQNPDQRVTLTSLRTKHRKGEAITMVTAYDYPSAVHLDTAGIDIALVGDSASMVVHGHDTTLPITLDEMLMHCRSVARGAKRPLLAVDTAVRVLKEGGMDAIKLEGGAPSRISAAKHIVEAGIAVMGHVGLTPQAISVLGGFRPQGRNVLSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSVLRIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFAQVGEVINKALSDYKQEVENRSFPGALHSPYKINTTEVDGFLKELQKMGLDEAAAAAAEAAEKVEANR >KVH97250 pep supercontig:CcrdV1:scaffold_89:501834:505420:1 gene:Ccrd_000655 transcript:KVH97250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 PSSRLSPPTTPLDSRIPLTLCRSISIEINIANGSRSRSLPDCLSRLLTPTAVGLRLPASLRLPSLSASSSPPVGLEVLETQYPLYMPRVEMLGDNQIEDVRWLCSLSESELDLLISLKEMAIRRASFVGHESLSKKFNLKMLRGLSFVLMQFLNERLGENTEVAESVSGCVDESNIVKHEISEEFQEMGVEELMAYIGPDRKKRIADLFGDDNDLVTGKKKKS >KVH97262 pep supercontig:CcrdV1:scaffold_89:241256:245261:1 gene:Ccrd_000638 transcript:KVH97262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNRKDSSGKRTPDGFNYPPSPTPSSYSTYSSKSSSDTSTPSRNRVKVAARSVAGVFVACFTPPEEETHHKSSSGFGGSDAFSSTSDVSGASDTTDGRKRGANRSIYATRNDSTHMREPGSIKFTLAEIHKATKNFSPALKVGQGGFGTVYKGQLQDGTLVAVKRAKKSMYDKNLGGEFQSEIQALSTVEHLNLVKCYGYLEHGDERIIVVEYVPNGTLREHLECKLFPPRKRNKKNVIICLTKLVIVVLFLRNFLILRCFNGNVLSLASRLDIAIDAMKKFMDGVAISILDPGLEKSDVNASALEKILELALQCVASHRQNRPIMRRCVEVLWNIRKDYKEVLG >KVH97252 pep supercontig:CcrdV1:scaffold_89:515708:529355:1 gene:Ccrd_000657 transcript:KVH97252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRSFNSPRRTLSYSRNRGATISVFEADNKASGVAVSGEHGPNPSEVYGFVGSISTVVATVIFMAWAYVPDPWLHSIGIFYYPSKYWALALPAYAIVTIASIFLFYIGLNFMATPPQTSLNSIFDEHSREPVCSDRASEEDDQPIEPYSDIGIDQINELMFKD >KVH97258 pep supercontig:CcrdV1:scaffold_89:223273:226692:1 gene:Ccrd_000637 transcript:KVH97258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MGSLPETEHPVKALGYAARDISGVLSPINFSRRETGDEDVRFKVSYCGVCHSDLHSVKNEWSNAKYPVIPGHEIVGVVTEVGSKVKKVKVGDKVGVGCMVGSCHSCDQCASDQEQYCLKMVQTYNDATMTTYGGYSDHMVANEHFIISWPENLPLDGGAPLLCAGITVYSPMRYYGLDKPGMHVGVVGLGGLGHVAVKFLKALGVKGAVGTMDGIIDTVSADHPLVPLIGLLRPNGKLVLVGAPTKPHEIPAFPLLFGRKLVGGSLIGGIKETQEMIDFAAKHNITAAIELIPIDYINTAMERLAKADVRYRFVIDVANTLK >KVH97251 pep supercontig:CcrdV1:scaffold_89:494718:497353:1 gene:Ccrd_000654 transcript:KVH97251 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MHLRIESQNSEKGAAVRTRVKELMEEEMYGDETGDLECRGHVQNHGHTGKTTSRSFDSYEISNNRQVPPQKTPQYHDLKDLMNDLLLIHQNRNEEQKPKSIERSNSLENERNDPKSDEHVVHKPRNFFRRRSKSHECISLNVNDSPLPSNRTNGFHSERSTSQFSFTEIKRKLKNAIVRSPRDSGCHEKPVVDGSSRWSSPNRDHFFSERFSRISDEFKTQDGGSRLCKSETKPMENDEFGDTSYRISNIYIEAKKHLSEILSNGDEDTEFMEERHSRTLGKLLSFPEYSSPRGNSSMVNGSQPLGVENDHQEPEVLDEVLQTEGVLEIVKPSSPIETEVVDVADLTEDDELLCSPTASPSGSSLAVDRKTEEVENTADDKTGKPSPVSVLEPMFSDDDISPARTISRSVYRCFMPTRFHSKFSAEASIQPLRILFEEAVTPTKNQETHIRNHVENDESAFEYVEAVLLASDLNWDDFEKRWLSSTQILDSSLYNELQIFSSRPAHNQRLLFDSTNEILTEVCDRSLGFFPDLPFVKPNIHPLPKGIDLINEVWERIESRIDGPYPRSLDQLVKRDLEVSRMWMDLRSETREIVIETEESIFEDLIDDTLSSLMRD >KVH96679 pep supercontig:CcrdV1:scaffold_890:126773:133375:-1 gene:Ccrd_001231 transcript:KVH96679 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MRLFLNNRIPINSIRSAFFNSNIRRYPSALQNHNPNFNFVFLRISSMATTSKPNEEGTAKRFWDKFSKESILTLYTPFVVSLASGNLKLDTFGHYVAQDVHFFKCFAQAYELAEDCADDDDAKVSILELRKRALEELKQHASFVQEWDLDLSNETAPNAATVKYTNFLLATASGKVEGVKGPGELATPFEKTKVAAYTLGAMAPCMRLYVFLGKELKSLADANGDKHPYEKWIDKYSSEAYQTEDLLDKLSVSLTGEELDTMQKLYHQALKLEMEFFLAQPVDQQTVLPLSKQHIPTEHRLMIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQIQPESQSQITRMPSVDLRNTWEVLSRQYSEEYEQCTENMLANEKVGSFDYEGLKKALEQLSGFERRANTRVIESEVLKGLNLEDIKRAGERLILHDGCMRFFQSVTKNENLNANVNVLSYCWCGGIHTVHVRANELEYEGSLSTGEIVKKVESPIDKLEAFTEILGKTDKMNLTIYIGDSVGDLLCLLEADIGIVIGSSSSLRRIGSHFGVSFIPLFPGLVKKQKEHVEGSSFSWKRLSGVLYTVSSWAEVHSFIIGS >KVH96683 pep supercontig:CcrdV1:scaffold_890:4953:7506:1 gene:Ccrd_001225 transcript:KVH96683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGVSTELHLNAVGHPAGRELDEIGQERLRMDWQTQNNFDDCGVFAMRQMETYVGDVRTWKTGLA >KVH96684 pep supercontig:CcrdV1:scaffold_890:546:2213:1 gene:Ccrd_001224 transcript:KVH96684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSYVGITPPKFDLSISPIKQPKPVSMVWREELEGPSLTRNSKGYRKKFSNEKVKLLFSTPNDMKLHCHAIESLARTTTMYISVIDAWATLLNYEEWDTLS >KVH96682 pep supercontig:CcrdV1:scaffold_890:20030:46438:1 gene:Ccrd_001226 transcript:KVH96682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MNGGHGNSDLGQLQATMQAIEIACSSIQINVNPLAAEATILSLCQSPRPYQACQFIIVSLLCPENSQLANARFQAAGAIRDAAIREWSFLSPDEKKSLIRYFYNFAIVISVNSQVWVFAIFCLTYVMKHASSPDGYVQSKISSVAAQLLKRGWLEFVAAERDGFFSEIQLAIVGNHGLDVQFTGINFLESLVSEFSPSTSTALGLPREFHEQCRTSLEHDYLKTFYCWAMEAAQSVTTAIINSDTAISEARVCSTALRFMLQVLNWDFSNSGRVVKNSIDVFSFVAKEDSNSAYTLVQPGPSWRDILITSAHTGWVLGLYGVLREKFSCKGYWIDCPLAVSARKVIVQLCSLTGTIFPSDAGHSQGKHLLQLISGIIQWIDPPDVVAKAIECGRSESELLDGCRGLLSIAAVTNPVSFDELLKHIRPFGTLSLLSALMCEVVKDLMIKDLDEEPWSWVARDILLDTWTTLLTEANSPGLKLLLPPEGINAAANLFVLIVESELKGMLGFCIMFALSASASEEKEDYFQASVAAMDERLSSYALIARAAIDVTIPFLTGLLSERFARLHQGSAINDPTTTMEELYSLLLITGHVLADEGEGETPLIPMAIETRFSEYVETDKHPVVILSCLSLAPLLHSLSPSTVVMKEESEKVKGVTRLELSVLRLPYLYCLLTVASMSIIKFAEQSLDPNMRAAFFSPRLMEFFGFVLQAIMWFLARWSSTYLMIIGEMQSYRNSIDEVTLLQMQQSRKALLSFSAEYTQGKMLLDIIVRISLTTLISYSGEKDLQVSNKAYHSVFNYFCVHIYIYATC >KVH96681 pep supercontig:CcrdV1:scaffold_890:61430:69186:1 gene:Ccrd_001227 transcript:KVH96681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSWRELAHCFTNERVLFSLNASHQRSLAQTLVLSASGIRDSVEANKYIRDLTSHMTIYLNDICGKKDIKSLSQKPDVILVVTCLLERLRGASSASEPRTQKAVYEMGFSVMQSILKLIEVYKDESAVVYLLLKLVVDWVEGQIIYLEPHETALVIDFCMHLLQLYSSHNIGKISVSVSSSLLSEANDEKYKDLRALLQLLQKLCTKDMVDVSSATSEDQQTSISQVIYVGVHIVSPLITIDMLKYPKLCYDHFALLSHMLEVYPEMIPKLNHEAFGHISGTLDFGLHHQDEEIVGMCLSSLRALAFYHYKERAGGRDGLGPHATSYKDANGNFQDGILSRFLRSLLQLILFEDYSTDLRLGNELVERQPNSIFKARMASALHSLTTSDDLSPSADRSNMRKFRKNLNRFLVDVRGFLRTI >KVH96686 pep supercontig:CcrdV1:scaffold_890:69964:76080:-1 gene:Ccrd_001228 transcript:KVH96686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMNTNQSSSSSTVSSSQFTYSNPPSYFPMPFHLQQPIPTTFPSATPARPPTAEAPVSFPAPPVNVPVVVPSVYPTPASVPGVYLPQYQQAQQLFQRDAQTITPEALKSVKAALASSETEHKAETKKKAVPRKAAGQAWEDPTLAEWPEKQSAIATNDDFRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTRGYGFVSFANPTDLAAALKEMNGKYVGNRPIKLRKSKWKERTDFEALEKQKQRELKKSRIPKKSVLHK >KVH96685 pep supercontig:CcrdV1:scaffold_890:76857:77945:1 gene:Ccrd_001229 transcript:KVH96685 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEDTIPKPPASSTSATTLQPSPSHLLQINLISAEDLPRISKSMKTYAVAWLNPNRKLTTQVDPKGHVNPYWNEKLSFRLDEEQLYSENSFLTVEIYTVSWFRDILAGIVKIPLIDLISPSMERNRFVTLQVRRPTGEPHGILNMGFCLGESTDKNLPSQLAKEHRRPVMQRPVPSMSVAMPPREENRSNVDGDDLASSILEELTMEEAVARGWMDGFPSKRREVTRRKHNRRHSDGDGAFTCFGTVYGIEVKIVCGNRQINDSPRKGHNKNRRTSADAKPHAKP >KVH96678 pep supercontig:CcrdV1:scaffold_890:78124:105529:-1 gene:Ccrd_001230 transcript:KVH96678 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADD domain-containing protein MTVTTMNPQHLPHLTDEDIEGLIAELLTVESKAAEAQEALEDESLAKVEVEVREELAQSLEGEALESAVAEEMLALRDKWEDELDDLETESAHLLEQLDGAGIELPSLYKWIESQAPNGCCTEAWKNRTHWVGSQVTGDATESIADAEKYLQTHRPVRRHHGKLLEEGASGFLGKRLVPEDDKETNRDVDWSSFNELCSSSTNNTSFGSKSWASVYLASTPQQAAELGLNFPGVDEVEEIDDIEGSLNDPFVADAIVTERELYLSEDHKKNFRKVKEEDDVNIDRKLLFRLNQKRQRKKLKQEGIREAEENDISDDIEYQTSNTEHKSSSDLELRNQKSNGPCAVLSGSKRPSDSVELSDSKKLRAMNTDSADVELVAADGCTSPCSASETLDPSDQQGNGDATVDTNDPNDEFHCTACDKVANEVYTHPLLKVIVCRNCKYLLEEKLKETDPDCSEGFCGWCGKSKDLISCRACKTLFCTSCIKRNLGEDFFLKAQDSGWQCCCCSPSILQPLTSQLEKAFISRSESSSSESDSDDSDDSSESDENIRVHISKRRRKKKIRRILDDAELGEDTKRKIAIEKERQERLKSLEAERLKSLKSMKTDYANFRATVSEGATVDMLGDAQTGYIVNVVREEKEEAVRVAGIRFMWENIIQSIPKVKSGDKGLGCILAHTMGLGKTLQVIAFLYAAMRSVDIGLKAAMIVTPVNVLHNWRHEFTKWRPTEFKPIRVYMLEDVPRDRRLELLMKWRAKGGVFLIGYTNFRNLSLGKHVKDRHMAKEFCRTLQDGPDILVCDEAHMIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSQEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKKDLPPKTVFVIAVKLSPMQRRLYKRFLDVHGLTNEKASNEKTRKSFFASYQALAQIWNHPGILQLMKDKDFSKRENFLVEDSSSDDILDYTMANRENGYEAENSGKMVLLLEILSLCYDAGDKALVFSQSLPTLDLIERHLSKLTRTGKSRKCWKKGKDWYRLDGRTEGSERQKLVEKFNDPSNKRVKCTLISTRAGSLGINLYAANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVTKESLSARVVDRQQVHRTISKEEMLHLFAFGDEENLNNSSKLDQVVSNVAATQSTGEVGNSSKPKSPLPHATISSDKFMEVLLSKHHPRWIANYHEHESLLQENEDEKLSKEEQDMAWEVYRRTLEWEEVQRNPVDGFTTILKPTMNGISEVKPPVQPQLTTKKPPAVTRVCSRNRFVLRKCTNLAHMLTLRSQGVKSGCSTICGECGQEISWNGLNK >KVH96680 pep supercontig:CcrdV1:scaffold_890:162201:163836:1 gene:Ccrd_001232 transcript:KVH96680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MAALPTCSAAELTPLLGFAANATAAADYICERFTATSDKFTNTTYAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYVFGFAFAFGGPSNGFIGKHYFGLKSIPSDVYDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWVWSSDGWASASRTSGSLLLGSGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRSGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTISKSYNTSNQYYGQWSAIGRTAVTTTLAGCTAALTTLFSKRLLVGHWNVTDVCNGLLGGLAAITSGCAVVEPWAAVVCGFIAAWVLIGFNILAEKFKYDDPLEAAQLHGGCGSWGLLFTGLFAKKQYVHEVYASGRPYGLFMGGGGKLLAAQIIQILVIVGWVSATMAPLFYLLKKLNLLRVSKEDEMAGMDMTRHGGFAYVYHDEDGSFHPPPGFMMGKVEPASGSPSPLHNTTHVVV >KVF55810 pep supercontig:CcrdV1:scaffold_8904:9973:10655:1 gene:Ccrd_026737 transcript:KVF55810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHSSRKRFRRDSVFINDQARFILQIPHDSADSDSQIPHDSADSDSQIPHDSADFVEGFEGFGIPSGFRTDLKRFRTDAILWRXSKVINFF >KVH88475 pep supercontig:CcrdV1:scaffold_891:124761:131096:-1 gene:Ccrd_026744 transcript:KVH88475 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MYGHNHNSFRPHFSDLPPSYRPANYSTSPPPFALDSTTSDGRCYDSPGYTGSQSDCIDTPINNNGLLFQIVSSPAELFDSDGSSSSVTKGFCAGDLEGANMTQHRRRSESPFSNENDIIIKSMNKACRYSPKEKQERIERYRSKKTQRNFDKKIKYVCRKTLADNRPRIKGRFARNDEIERTNNQGGGEEGFDEENVENWMFNFSDPFSSNLIS >KVH88473 pep supercontig:CcrdV1:scaffold_891:153124:157817:-1 gene:Ccrd_026746 transcript:KVH88473 gene_biotype:protein_coding transcript_biotype:protein_coding description:kappa-B-binding protein-like nuclear factor MAIEKNSFKVIASGRLESEFSSRSREESMSSEDDEFQKRGGVEFDEDEDDYDDCDSGAGSDDFDLLELGETGEEFCQIGDQTCSIPFEMYDLPGLGDILSMEVWNEVLTDEDRLSLAKYLPDMDQEHFMRTMKELFTGCNFHFGVPITKLFDMLKGGLCEPRVSLYRQGLGFFQKHRHYHIIRKHQNTMVNNLFQIREAWMKCRGYSIEEKLRVLNIMKSQKSLMYEKMEELETDSSEWEESGDGLWNNKKPKDRKQKRGHYPGYRSSPTLDFPNSGRQLALEPANFGKQNPKGTLKVSGSKISTIKEHVSPFSSLEVRPGPSGSASFLPRQMRDHHFRDDEKSMYDVTVHRDHNFTDKAGGKKYKGLRGEEFPETFMGLPVPLKTDVHTFGRNRTVNQLSDIKVLTSKPSNSRFAYDYGKMLKHPENNQKMAAEDALKYRNPRAANLSQIDAQQDMYAGGEPFWPDQSQGELISAYPYRAVNGGRVVAAAEGMKMLAKGEETESDSSEQFGEDDEDNPLMRSKWAYPSGASNMKSGPSFKKAKLVKNNNKSSVKVLDESLQTIRKNGDFGEEIYPLKGKQKGKNRFDDSSARHLDEFNGDGHIDGIYKQSEIFQVPFRKTYPSGKKQKGEFGRDYSVLPPMHINDYVADEPGRDNVYVGRSAKKGQMIEPYSHDGAEMADVRLLGCNSATKKRKVKDELMFIDEENLGFSNSSPHQQLDDVTYVKSLGKRKWTEPIPFENVVTEAPVTDTADEDAELESKTQKKPFTLITPTVHTGFSFSIIHLLSAVRMAMVTLLPEDSMDVGKNHNVNDERQNLGEELKQEVFNGDQGVTSCDPSQNPNVPSLTVKDIVNRVKSNPGDPCILETQEPLQDLVRGVLKLFSSKTAPLGAKGWKSLVLYKKSIKSWSWIGPVSQSSSDHEIVEEVTSPDSWGLPHKMLVKLVDSFANWLKSGQETLQQIGSLPAPPLTLMQLNLDEKERFRDLRAQKSLNTINPSSEEVREYFRKEEVLRYLIPDRAFAYTAVDGKKSTVAPSRKGAGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKESTEPSDQGAVTLAYQGSSEQTGFDLSSDLNIEPSGVIDEKKEQPLEDNFGGEQGAIHQGSSMGWEALGLDNKLLCEKNSTNEDFDDAELLNTSGKRCYAGQISTLLLVAKPKVIDEP >KVH88471 pep supercontig:CcrdV1:scaffold_891:90565:96387:1 gene:Ccrd_026741 transcript:KVH88471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, subfamily IIA, SERCA-type MGKGAQSYGTKENTGKSSLDRSQYAAWAKDIRECEQNYQVDREQGLSDGEVEKRLQKFGTNELEKHEGQSVFQLILDQFNDTLVRILLAAAVISFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEQATVIRNGRKISSLPAKELVPGDIVELRVGDKIPADMRVVKLISSTLRVEQGSLTGESEAVSKTTKPVPEESDIQGKKCMVFAGTTVVNGNCICMVTDTGMNTEIGKVHSQIQEAAQNEEDTPLKKKLNEFGEVLTMLIGLICLLVWLINVKYFLSWEYVDGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGHGANAVRSFNVEGTTYNPLDGKILDWPAGRMDANLQTIAKIAALANDAGIEQSEKGYVATGMPTEAALKVLVEKMGLPAGLGSGSSTEYNDLMVCSREWSKSERRIATLEFDRDRKSMGVIVSSNTGRNTLLVKGAVENLLERSSHIQLLDGSVVELDKRAKSVILDSLNKLSTAALRVLGFAYKEDPPEFTTYNGDEDHPAHNLLLDPANYSSIEKVRQAIEDCRAAGIQVIVITGDNKNTAEAICREIGVFGQHEDISKKSLTGREFMDHHDQKGHLSYKGGLLFSRAEPRHKQDIVRLLKEAGEVVAMTGDGVNDAPALKLADIGIAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAAIGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKYIMKKAPRRSDDSLITTVGVFIIWYTHGSFLGIDLSQDGHSLVTYSQLSNWGQCRSWDNFTVSPFKAGDRVFDFDSNPCDYFQGGKVKAMTLSLSVLVAIEMFNSLNALSEDESLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSVNEWLLVLAVALPVILIDEILKCVGRLINGNQTSPRPSKRKTE >KVH88469 pep supercontig:CcrdV1:scaffold_891:107953:114205:1 gene:Ccrd_026743 transcript:KVH88469 gene_biotype:protein_coding transcript_biotype:protein_coding description:polymerase/histidinol phosphatase-like protein MGFFDLNIPYTESNKRNSAGDKATRLKLAVKAMELGYTGVAYNRTITGVMSEADRCSISLFPLSSVLKVSPSISSSVNLHRRLLNVPTSTPFRQYTRLSVVVDIPAQGSALNSGNPVLKTYDIVAVRPLKQESFDQACKNYEVDIISIDFLENRFRLKQPLIKAAIEFLVDWTRGKNLIFSSAAPSVAELRGPYDVANLACLLGFSMERAKAAVSRTCRSLLENALRKKKFYKEAIRVELISPTEQTDIEGAGFDDWLKWDPISSGDGDLQLDDMAKSFAASSKELKTVKAIDFVSIIDGLPSYGLHINDIISETKSEPYGSSRDLPAATKANKLTAVYDVHIDSKMHDPPENDQTSVMETLEKGHQLNYGNCDISSANETLEALGSVEGGETCAMLIEEETKIPSNLNICFSCPEAEQLNPQPEVYEAISEEHASDQKGRAISTALPTAGISITFADNPSTLQCNEANGLSSDAPIYPPMIASNEIGLNESCGDRVLSVSDASLYEEFLEGEHMRDTGDVTVSPINSSLPLSVASMNEDVPEEQLTVIAGDVSVARSVEHSTVIAEDVSVCTLATSGSDDELQNNGANSVVHELLEDSSMEVHGELKDHSQMNHLYGHGSSSERVRRKQGSSHQSISFPFKRLLKPSHFKKEPKRALVCDPLSSDEYRHVRLALALLSLSSNSSCRNQPPRPHAATQPLTEENPNFVLNLKFCPFTLLIVI >KVH88477 pep supercontig:CcrdV1:scaffold_891:40279:41679:1 gene:Ccrd_026739 transcript:KVH88477 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MVFFLTILSFLPLLYLVYILLNVLERKRHQNCYLLDYQLYKPTDDRKLSTEFCGEIIRRNKNLGLNEYKFLLKAIVSSGIGEETYAPRMVFEGREENPTHQDTIVEMEELFEYTIGELFRRTGISPSDIDVLVVNISMLACMPSLSSRIVNQYKMREDIKTYNLCGMGCSASLVSLNIVQSIFKAKKHQLAMVVTSESLTPNWYIGNDKSMILSNCLFRSGGCAMILTNNPSLSQKCKLKLNCLVRTHHGSKDESYGCCIETEDDQGRVGFHLGKSLPKAAARAFIDNLKEIAPKILPIRELLRFSILSITLKMLNNDQRKSTRSTRPIINFKTGVDHFCLHTGGKAVIDTVAQSLGLSECDVEPARMTLHRFGNTSASSVWYVLGYMEAKKRLKKGEKLFMISFGAGFKCNSCLWEVMGDLEGEENVWKECNIECYPPQTLANPFLEKYGWIQDADIDTFKLLPE >KVH88470 pep supercontig:CcrdV1:scaffold_891:100874:101375:1 gene:Ccrd_026742 transcript:KVH88470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KVH88474 pep supercontig:CcrdV1:scaffold_891:143925:152221:1 gene:Ccrd_026745 transcript:KVH88474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGGNAIPSSPSHTPRSIDKAARDLRLGEGNMSGKNDKEKCVNVQVVVRCRPMNADEEKLHTPMVITCSENKREVCAIQNIANKQIDRSFVFDKVFGPKSQQRDLYHSAVSPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLAPEEVQKFPEDKSKKPIALMEDGKGGVLVRGLEEEIVSTADEIYRILEKGSARRRTAETLMNKQSSRSHSIFSITIHIKERTPEGEEIIRCGKLNLVDLAGSENISRSGAKEGRAREAGEINKSLLTLGRVINTLVERSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHSLEETLSTLDYAHRAKNIKNKPEVGTVDINQKMMKSAMMKDLYSEIERLKQEVYAAREKNGIYIPKDRYLQEEEEKKAMAEKIERMELDSESRGKQMMELQELYNSQQLITANLTEKLDKTEIKLQETEHSLFDLEEKHRQANVTIKEKEFLISNLLKSEKALLERAFDLRSELENAASDVSNLFTKIERKDKIEDGNRILVQQFQSQLTKQLDTLHKTIAASVTQQEQQLKGMEEDMQSFVSTKTQATEELRAALEKLKTMYGSGIKALDDIADEVDGNSQSTFEHLNSQVSKNSSALGDKSTLVWYVLKQFFDVQLFKGIASEAEKLLNDLQDILYSQENKLTAFAQQQREAHSRAVETSRSISNTTMNFFDTLDTHATKLTKIVEEGQTANDQKLFELERKFEECTANEERRLLEKVAELLAVSNATKKELVRTAVDGLRETAASKANRFVQEMSTMKDSSSCIRDEWTNYTKKSENHYLEDTAAVENGKKDLDEVLQNCLQKAKMGSQQWSSAQESLLALEKSNVASVDEIVRGGLEANQILRSRYSAAVSSALDDTNVASENLLSSIDHSLQLDHDARENLDSIIVDEPSCSTPKKRPFNLPSITSIEELRTPSFEELLKCFWDSKSCSKLPNGDMKHHVEAAAAHSTRDSRLPLAAIN >KVH88476 pep supercontig:CcrdV1:scaffold_891:5982:7868:1 gene:Ccrd_026738 transcript:KVH88476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin repeat-like-containing domain-containing protein MRGKLFTSSKASSPSNSYAQSPVMSPMNTPSHHRTFSVSIMEEDLMYAEQMIKRWDLDSPFYTKVDYLFTGDRREARQYVKAVKNLQSAMHCFVSESGSSEKIIHAQKLMQIAMKRLEKEFYQILSANRDVLDSESVSNRSSRGRSSVSDDDDDVSEEDDRISSSGNTVSDGERVSVSELAMADLKSIADCMISSGYGLECVKIYKIIRKSIVDETLYNLGVEKYSFNQINKMDWEVIEPKIKSWLYAAKVAVKSLFYGEKILCDYVFMSSEKIRESCFSDICKERAIVLFEFPELVAKSKKSLERMFKTLDLYNAISEQWAEIEMIFSYDSMAVVRTQAVTSLVKLGDAVRAMLSDFEAAIQKEISKTPFHGGGVHPLTRYVMNYLVFLSDYSLALSDIVADWPLQIQSPLPESYFSSSSSDELSSISARFAWLVLVLLCKIDASAELYRDVAQSYLFLVNNLNYVVSKVNSSNLKSVIGDDWLVKHDQKVKQYIGNYERMAWSKVISSLPANPTADNLTIETAKDCFRRFNQEFSEAYRKQSTWIISDRKIRDEIKISVAKKITPVYRTFYERYRGVFRGVDSVVRYAPDDLGNYLSDLYHGNRAPGSTNTSSHSSMSSSSLSGSR >KVH88472 pep supercontig:CcrdV1:scaffold_891:68403:68873:-1 gene:Ccrd_026740 transcript:KVH88472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSACMSTCIADDARVPVRATYVNLYKWPDSDREFVRSVSKNSRRPENGERQGHPRLVDSISCRQLYLRSYTFSRKESFNERTMKCIGKVKERAAGKQKKSPTSSEGGGRRRDDGGKKRRKKKCTVVRKAKEASYAALASIFRRLLSCTTKIDVVD >KVF55443 pep supercontig:CcrdV1:scaffold_8912:8228:8866:-1 gene:Ccrd_026747 transcript:KVF55443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein SLQKAWGWGNIEKKIKERTGKSFDKKQLKNKWDTMKKEVKLYDRLMRIESGIGWDPVRKTIVASPEWWDEKIKGDKDLAKFRDLNLEIYQVYYEPLFRDSVAIEDKTKAPVECQNNSGPTNVEDKEDHEGKENSDEVNLGDDDKHLFPQSSSSKRKKPNNVAPTRSTKGKSSVTSSFEDTLDN >KVI04687 pep supercontig:CcrdV1:scaffold_892:149689:162985:-1 gene:Ccrd_017001 transcript:KVI04687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGSSEISPSPPLPTASGNNAHMMYVFNRNGVCLLYREWNRPLRTLDSNQDHKLMFGLLFSLKSLTAKMDPSSVEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPKASDLRESLKYIYNLYVEYVVKNPLYTPGTPIKKLLELGLDFTSKAFDVRVDVQISEAASAMLFCAQLIIDLLNLIWGEHYLISFYLEDKVRVVYTVELN >KVI04680 pep supercontig:CcrdV1:scaffold_892:135709:137379:-1 gene:Ccrd_016998 transcript:KVI04680 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb1, domain 1 MEVWALEGCLLINVIILELARKYLVIESLEKQTDSWGCSRIFSVACSRTTIFSSELNHFPVSEKTFQINNLRFCEQCGVEFVDSRIRRYQMGNIKLACPVMHVWYLKRLPSYIVNLLDKSLKELEDLVYCNPNFFYYPELRYISKSRDVYRAMNGKIEKLEEEKIFCLGTWNWLSVLLEKI >KVI04682 pep supercontig:CcrdV1:scaffold_892:117259:119499:1 gene:Ccrd_016995 transcript:KVI04682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MADNAAGEHESFVDSVKEKISETFHGDDSSSSSDSDGKSKGKGKGKGKGKGKKSLSLSPMKEKVNRLFGKEKSVHEILGGGKPADILLWRNKKSSICVLGVATLIWALFEWIEYHLLSLLCHVLIIVLGIHFLWSNTFNVIYRCPQFPQVAIHEDTALQIASVLRFEINKSLVVLREIASGKDLKEFLAVIAGLWIVSIAGSCCNLLTLIYICFVLLLTVPLLYERQKDQIDVLIEKAEGELKKQYEVFHAKVLSKVPRGQKEKKFA >KVI04684 pep supercontig:CcrdV1:scaffold_892:130687:135697:-1 gene:Ccrd_016997 transcript:KVI04684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate decarboxylase-like domain-containing protein MVLCLLPVLPPELRANYYIDEDKLVTSNINEIYRYQNNALTNLLTTRRVHETLLGKQINYSGRSVIVVGPSLALHRCRLPRKKSNRTFPGICNLILDDHPVLLNRAPTLHRSGIHDFLPILVERCAICLHPLVCKGFNADFNADQMVVHVPLSAEAQSEAHLLIFSNMKLLSPVIGDPISAPTQDMLSGLFVLNSENCRGICINRYNSCNHRNYQNEDNNYKYTKKEHHFFCNIYDVIGAYWQKQINLGKGKEAEEINLLQKLSEACIVDFSQIDSSTAQKTCDVVNRDKY >KVI04681 pep supercontig:CcrdV1:scaffold_892:35550:38444:-1 gene:Ccrd_016993 transcript:KVI04681 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MEVTQNLGMKKDDSPEIIPSLIRSIDADLLVGFDDGLVLKQNVSDFEFMDSEGKKLEFGSSNVDLQHHRTPSISISMPPSPMEVHLQNTKRVAFRDDVELVAKNEPTSSATKFHSQPIPTGVGFEEAVAAGKFPNRPVRNPRIDNLQDERYNSFKTWSGKLERQLSTLRGKPPTELPVNTPRSETLPVDRYFDALEGPELDTLRPSEEIILPDDKNWPFLLRYPISSFGVCLGVSSQAIMWKNLASTPSTHFLHVSPNVNLILWIISVVLFAVVASIYLLKLILYFEAVRREYYHPIRVNFFFAPWIALLFLAIGIPSTVATNLPHFLWYVLMTPFFILELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGMAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSAVRVNFFRGFRFSLAWWAYTFPMTGAAIATIRYSSEVSNVVTKSLLVTLTVIATLTVTGLLVTTILHAFVLRDLFPNDIAIAISDRKPKTVRKWFHRRAGSYEKDIEHYLKFVTSDEKDVEVSVQGNGTKDIESQTTLPA >KVI04686 pep supercontig:CcrdV1:scaffold_892:143933:145645:1 gene:Ccrd_017000 transcript:KVI04686 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAEPPAIKTYTLKAASISYSKSPTSHILQHISLAAYPAQILAIVGPSGAGKSTLLDILAARTAPTTGTLLLNAAPLTPSSYRKLSAYVPQHDSCLPVLTVSETFAFAARLLQPKAVQIADVVTSLLSELRLTHLAHTRLGHNLSGGERRRVSIGLSLLHDPGVLLLDEPTSGLDSSSAYNVMQTLRSIATSRCRTVILSIHQPSFKILSTIDRILLLSKGKVVHHGTLPSLEEYLLLNGFTVPPQLNSLEYAMEILTQLQVIKPIAPPSPIDPTLALVRPKHDPIRYRSSRVQEIIALYGRFWKIIYRTKQLLLTNTLQALVVGVVLGTIYINIGFDKTGIEKRFGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGVYRLSSYLVANTLVFFPYLLAIAIVYSVSVYFLVGLCQTWQAFSYFVLVIWIIVLMANSFILFLSSVAPNYITGTSLVTVLLAGFFLFSGYFISKESMPKYWVMMHYLSMYKYGLDALLINEYSCMTTRCLIWYEEESQTCMVTGGDVLQKRGLHENQRWINVYILIGFFVFYRLLCLLVLIRRVSRSKK >KVI04683 pep supercontig:CcrdV1:scaffold_892:110894:111602:-1 gene:Ccrd_016994 transcript:KVI04683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class I, conserved site-containing protein MQKFAFGNNNNAMILWSKIKGKGTEFRGPQLVRQSIQKMLKDGIETWAPKLPVKRAIVDFSSPNIAKELHVGHLRSPIIGDTLARMLEYSKVEVLRRNHVGDWGTWVHAIDLHVYFFLVFQSLFSSHLCVVYSANL >KVI04685 pep supercontig:CcrdV1:scaffold_892:124780:129819:-1 gene:Ccrd_016996 transcript:KVI04685 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MEGPLDRYYKLGIQESFSQLCHYPSACKELALILKLSYSKFPKVLQSLLVQDVLTAFRLLPRMQTQSAISAANILLQSVESAFPKQKKALAVAEFKHAMVAHKRYSKAHNSEEDTLELPQDVLVHVFSFLDLKSLVSASQVCRLWNVASTDSHIWQLMYAMFFNTSHNFSNKYMLYGGMTEDEKSKNSQENVVCRSSLDWRTDFKKAYEGISSKKLLTSCRGFCKHCHAIFWFSDMGKGTCRLKCKYHQMKPISTRQIVEYLDGDYSSSDSDSESDSYEESAPKLWAYPRRSEFSL >KVI00808 pep supercontig:CcrdV1:scaffold_8922:6768:10851:-1 gene:Ccrd_020938 transcript:KVI00808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELATRAMEFASRAANNNTVINVFLVGAFAGLTARSFHQQSQIETLESQRDSLVKSNKAMRQTIWDWKQQLYAEAEADKKPIVPLSKLKSIYGEVPTLPQSGGSSEKKEGKASATKIVI >KVF53980 pep supercontig:CcrdV1:scaffold_8925:5685:7572:-1 gene:Ccrd_026748 transcript:KVF53980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase/chloride channel, C-terminal MAKKSEIKLIGTVASPFVNRVQFVLNLKSIEYEYIEENLACKSELLLTSNPVHKKVPVLIHANKPPICESLIIIEYLDEIKPDVHRILPSDPLERADNRFWANYIDNKFFPLYEEMRVTPGKEGKDAIKKRIIEGSVLLEEAFIKFSRGKTYFGGDDVGYLDVVLGCFIAWTKFTEKNNEFKVFDEVRTPRLVEWVKRIWSHEAVKDVIPGNEVLVNFYMMLQKYRPPRAV >KVI03196 pep supercontig:CcrdV1:scaffold_893:17784:19662:-1 gene:Ccrd_018509 transcript:KVI03196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MTTSLPFSLLSPTPIKSSSSPPPPPLPPPPPHHHHHHNHPTITNNHSFKPLTRRGAIGFGLCLKFLDSVQPASAAPESMTATPCEFTVADSGLAFCDKLVGYGPQPQKGQLIKAHYVGKLENGKVFDSSYNRGKPLTFRVGVGEVIKGWDEGILGGNGVPPMLAGGKRTLKLPPQLGYGMRGAGCKGGSCLIPPDSVLLFDVEFIGKA >KVI03193 pep supercontig:CcrdV1:scaffold_893:66321:77077:-1 gene:Ccrd_018511 transcript:KVI03193 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM/LAG1/CLN8 homology domain-containing protein MTRILISPNSSFPLFSSLLFSSLPRQILPPIAITITIAISIAVKLLSLLSTPHNFYSYATHHKPFPFPRLKIYSNPFLLPFQSHFLDSVYPFAQFHRTSNIGFKTALAFKSYQNQAQVLVKTYLLADAFMPYTSVLGGIFATKMVYDLTQLISTFYFRTYAGLTKIQRIEWNNRGMSSIHAIFIATMSLYFVFWSDLYSDQYTDGPVTFRSSPLSTFALGVSVGYFLSDLGMIFWLYPALGGLEYVVHHTLSAIAVAYAMFTGEGQLYTFMVLISELTTPEINLRWYLDTAGLKKSNAYLINGVVIFFAWMMCSRVSALIDANTDRL >KVI03192 pep supercontig:CcrdV1:scaffold_893:116124:117547:-1 gene:Ccrd_018512 transcript:KVI03192 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MSMTMTTTTILSVLSILFLVSVSASNNPSLLHSLKVPSLSHLSSFQDDLSYSLYHTTCPDVEGLIYRKVKEWVNKDPTLAASLIRLHFHDCSVRGCDASILLDHNGSERSANASKSLRGFHLINDIKKALEKKCPKTVSCADILTTVARDATVLAGGPFWMIPFGRKDGRVSLANEAAMVPMGRETITNLIQFFQSKGLNVLDLVVLSGAHTIGRSTCESVQYRLYDYKGTKKPDPSIDPQYLNYLRRKCRWASENVYLDATTPKKFDTQYYQNLKKKMGLLSTDQLLYVDSRTRPIADALSFESSLFSNQFSVSMVKLANILDAKSQDNGEIRVNCNRVNY >KVI03191 pep supercontig:CcrdV1:scaffold_893:147205:149204:1 gene:Ccrd_018513 transcript:KVI03191 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MCSSNSKSNHATTPATTTVSDINGRPVLQPATSNTVPLNSPLLERRSLKKTLSLPKSISMTPSPTPPHTTAKTPISPKLKSPRQPAVKRSDMTSSSDKLVLPLPTKCTTARSMIPVKKSKKCTTTGDHHLHNSVETTTNSLVVKYSSAAIVDSPGSIAAARREQVAVMQVQRKMRIAHYGRSKSAKYDSCSKLTSYFDPNSLPSANIREEKRCSFITPNSDPIYVAYHDHEWGVPAHDDKVLFELLVLTGAQVGSDWTSVLKKRQQFREAFSGFDAEIVSKYSEKKITSIGSDYGIEVSLVRGVVDNSKSIIKINDAFGSFDNYVWGFVNHKPIATQYKSSHKMPVKTSKSEAISKDMVRRGFRQVGPTVVHSFMQAAGLTNDHLTTCPRHHQCVSVSLSDTTPSKSLISVD >KVI03194 pep supercontig:CcrdV1:scaffold_893:20502:20789:-1 gene:Ccrd_018510 transcript:KVI03194 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit MSKKEGFLPNMEFEQDPVQILDALMPLYLNNQILRALQESLASELAARMNVMNNAIDNAIDLKKTLSNAYNRQRQSKITSEILEIELYCFLLLNS >KVI03195 pep supercontig:CcrdV1:scaffold_893:14398:19005:1 gene:Ccrd_018508 transcript:KVI03195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANVTGNIPICPTMLAAQWVSPKSRFIALNTLPPSISPKRHPLTVKAASQDTENTQQPSSSSSLESNKKNPLAVALDVPQTLWKQTLRPLSDFGFGRRSIWEGGVGLFLVSGTALLALSLVWLRAFQLKSRFRKYVAVFEFSQACGISTGTQVRIRGVTVGNVIRVNPSLRSIEAVVEVEDDKTIIPRNSLIEVNQSGLLMETMIDITPRDPIPTPSVGPLDPDCVKEGVILCDREKLRGYQGVSLDALVGIFTRLGREVEQIGVANTYALAERASSVIEEARPLLLKIQAMAEDVQPLLAEVRDSGLLQEVESLTKSLTQASEDLRRVHSSIMTPENTELIQKSIYTLVFTLKNIESISSDILGFTGDEATRKNLKLVIKSLSRLL >KVF53876 pep supercontig:CcrdV1:scaffold_8933:6886:7953:-1 gene:Ccrd_026749 transcript:KVF53876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEGQPIGRSFSEFFVSWEAQHKIYLDKLLTHQNDTEEDSLKYLVEQVLGHYQEYYQEKSKAAESDVFIMFSPPWFSSYERTLLWATGFKPSMAFQLVKETVGVDLNEEQKRRIAVVREETRRMERQIMRAMANVQESVAAPPFCGLVKREASLVDGEVSEMEKAVEQLKAAMVAVMKDADYLRQHTAGEVLEILSPAQKRKLESAVIAIRSAAFVVYVTKWEVRVQ >KVH88468 pep supercontig:CcrdV1:scaffold_894:120036:129284:-1 gene:Ccrd_026754 transcript:KVH88468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation transporter MALAFMYIPPYTSYLGTRNTGLMFSPLSYLVIFIVLICITERKKMEDDPINFNTLSIVIEVVSAYGNVGLSKGYNCDAQLMPDANCAKQMVRRSICGCESRSVAVNLDRSSPFGFFANLEDMYYEPLFRDSVAIGDKTKAPVECQNNNGPTNVEDEEDHEGKGNSDEVNLGNDDKHLFPQSSFIRRKKPNNVAPTHSTKGKSIVTSSFEDKIDNVLDALSSRSTQTFSSQNNYSPTTQECMDIVTCFPGFEEGSRMYSQALRIFLNKQVRENFMVPKTHMARMEFLKLLME >KVH88464 pep supercontig:CcrdV1:scaffold_894:47797:48476:-1 gene:Ccrd_026750 transcript:KVH88464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM7 MSSKVVLKGKGKSTKGSKPSVDNSTSKLFKEWSTWTIKKAKVITHYGFIPLIIVIGMNSDPKPSISQLLSPV >KVH88466 pep supercontig:CcrdV1:scaffold_894:80888:85998:1 gene:Ccrd_026752 transcript:KVH88466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2, active site-containing protein MLHLSVPFTSSMASLLHPLLFISFLTLYYSPISLFALKVNVGANTGVSLSKECSNKCESSFCKVPPLLRYGKYCGILYSGCPGEKPCDELDACCMKHDACIAANNNNYLSEECNKGLLGCVQRFKKAGSRTFKGNTCDVNDVTNTINLVMDAAVLAGRYIHKP >KVH88467 pep supercontig:CcrdV1:scaffold_894:93814:95061:-1 gene:Ccrd_026753 transcript:KVH88467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSGDLDMLEGNEENSFDQYGENTSSISSINKHVTSFDLNEEASSEEYIDLCLEDDXKTGEGSSSKNRKGPVRQYVRSKMPRLRWTPELHHAFVNAIERLGGQEIGAIKLLSKSVLQLMNVRGLSIAHVKSHLQFPFVSSSVSEARGEGERREAEARGEGEGERRRRE >KVH88465 pep supercontig:CcrdV1:scaffold_894:52179:57131:1 gene:Ccrd_026751 transcript:KVH88465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGMEANKNKFVEEWGTVRENLEQNFRWTRRNLALVGIFGVAIPYLVYKGIVREFHMQDEDNKRPYRKFM >KVF52364 pep supercontig:CcrdV1:scaffold_8948:2477:2871:-1 gene:Ccrd_026755 transcript:KVF52364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDEYRKNFSDSWKAVQADCSQAWPYLDEALTKFQSGCWKVGVDLFHYMLEMDAKFDEVTLISVLTACGRVGALELGEWIKEYIEANRLKGNATLVTALID >KVH96767 pep supercontig:CcrdV1:scaffold_895:6250:15317:1 gene:Ccrd_001142 transcript:KVH96767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding MIQIQWLLPPQPLTSIHHHHHHHHRRSVVFFSHRRSPPPKHSSPVTFSNASRKYFGFRACNCVSSESISEESKEVVKVRQYPFHEIEPRWQKFWDANRTFRTPDDDEIDTSKPKFYVLDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGFNVLHPMGWDAFGLPAEQYAIELKSLGFSFDWDREISTTEPDYYKWTQWIFLQLLKKGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAFADRLLEDLDDLDWPESIKEMQRNWIGKSEGAEVEFCVLSRDGQDTDKKIIVYTTRPDTIFGVTYLVLAPEHSLLLSVVSETQQNIVEEYKEVASRKSDLERTELQKEKSGVFSGCYARNPVNGEAIPIWVADYVLGSYGTGAIMAVPAHDTRDHEFALKYNIPIHWVVTSDERSDDFEKPYAGEGDVINSSSSTSGLNINGLRSKEAAAKVIEWVERTGNGNRKVNYKLRDWLFARQRYWGEPIPVVFLDDNGEIVPIPETELPLTLPELDDFTPTGTGDPPLSKAVSWVKTVESSSGKPSRRETNTMPQWAGSCWYYLRFMDPKNSTQLVDKKKEKYWSPVDVYVGGAEHAVQYMACKDQNGNFVSADSVDVLGEYKQERIPEEEVTKSGSSFVLKDNPSIRLIARAHKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWNTSGIEGVYRFLGRSWRLIVGSPLSDGTYGNQTVAVDENPSLEQLKSLHRCIEKWEKLPKSIVEPFVLLLSPYAPHMAEELWFRLGHSTTLAYEPFPKANPAYLKDTTVTLPVQINGRTRGTIQVEVTCTEDDAFKLASLDEKLSKYLDGKTVKKRIYVSGKILNVILERESTKVVSR >KVH96768 pep supercontig:CcrdV1:scaffold_895:136626:142242:1 gene:Ccrd_001140 transcript:KVH96768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MVNMESKLETVSIFLFLSTLLCTPAFSTSNDGLVRIHLKKVRFGETNLVSSDLGLKDGDSLKASIRKYKQSVQNLGDAQEPDIVSLKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCLFSVSCFFHSKYRSSESSTFKANGKPAAIQYGSGSITGFFSEDNIVVGDLVIVDQEFIEATREPGVTFLAGKFDGILGLGFKEISVGNAVPVWDNMVNQHLVKERVFSFWLNRRSEEGEGGEIVFGGVDPKXHKGTHTYVPVTQKGYWQFDMGDVYIGGKPTGFCKGGCSAIADSGTSLIAGPTDVITQINSAIRAAGVLTQECKNLVNHFGNQMFDMVKSMFFTISLHIIMCSAGIRSVVDISNDVSSGLETPMCDACELFVKWMNKQISENSTRDSILKLGIDVSLLSHTKLNESILSHIELCNVVPSPLDESTVDCAKIPSMPTISFTIGDKEFKLSPEEYILKLGEGESTQCISGFIALDVPPPRGPLWILGDVFMRRYHTIFDYGNLRVGFAEAA >KVH96769 pep supercontig:CcrdV1:scaffold_895:52733:55815:1 gene:Ccrd_001141 transcript:KVH96769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGWGLTLDTSEPVELLSPRWNIPTYSGVTTASSPPTMVAEDKNSHRKVVSEVDFFSACKSNDDQIFVKKENSSDHQITELDVNTGLHLLTTNSDQSSVDDGVSSTGEDQRAKNKLTILQVELEKMNTENQRLRGMLSQVSNNYTALQMHIANEIQKQQHSRKQTILQDQKVDHQGSVSRQPGPTSRDSSSEERTQSGSTLNALELTKNGDTIGAKRIARENTPDSDGWVSNKLPKLNPSKNTEQANESTMRKARVSVRARSEAPMMAKGNPCPRAYYRCTMAVGCPVRKQVQRCVDDQTILITTYEGTHSHPLPPAALAMASTTSAAASMLLSGSMSSADGLMNPNLLARSILPNPSSIATISASAPFPTVTLDLTHNPNQFQRNPNSTQFQSHISSQNLPPNSGDPNLYNQSRFSGLQLSHQTTQLQINRGQHHASFADSLGAATAAITADPNFTAALAAAISSIMSGSTTTSTTATTTANNNHKASNLQGN >KVI01544 pep supercontig:CcrdV1:scaffold_896:127437:134622:1 gene:Ccrd_020183 transcript:KVI01544 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase, conserved site-containing protein MAVSLSATGVRQLRGSSGLTPRDWRPVNGELMGKKLNFSQRVQSKNNVKSIVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLARAYNFGNDAVRQFHWLFEVPTTSYLCNTKINFFKPSQTNKKCAKKRKEESILSNRKRKSNEPTRKQDPGGWEVGRPVLYLKDRAAVSVEIPACAKDARSKDIDDVLILSGDHLYRMDYMDFLQKRFFILFKLEPIFTFGNLCLLQSSSICIHDSRASDFGLMKIDGKGRVLSFSEKPKGNDLKAMAVDTTILGLSKEEAEKKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASASEYYIKAFLFNDYWEDIGTIRSFFEANLALTEHPSRFSFYDATKPMYTSRRNLPPSNVDNCKIVDSIISHGSFLTDSFIEHSVVGIRSRINSNVHLKDTVMLGADYYETDAEITSLLAEGRVPIGIGENTKITGCIIDKNARIGKNVVIANSEGIQEADRSSEGFYIRSGVTILLKNSTIKDGLVI >KVI01543 pep supercontig:CcrdV1:scaffold_896:135105:148785:-1 gene:Ccrd_020184 transcript:KVI01543 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MVSSSTDMLLQVHSWKFPFLCDKALITIPPTLLIKSPSVERSSTRALKSSIYSQRTSISPITSSSSLSTNEEDDHIPLRDICEGCVPDHILRRVEELGYLMPTEIQRQALPVLFSGRDCILHAQTGSGKTLAYLLLIFSVINTQRSAVQALIVVPTRELGMQVAKVARMLAAKSDQPVLEDKSCTIMALLDGGTLRRQKSWLKSEPPTIIVATLGSLCQMLEKQTIKLESMRVLVIDEVDFMFNSSHQVSSLRKLLISYSSINNRQSIFASASIPQHRRFLYDCIQQKWTKADVVHIHANPVQPMPPRIHHRFLICSRSERHSALLSLLQSDAPQSAIIFVNLQSEKSKKAGNAPSTTVLIDALKNSHIGGHEILLLEEKINFNSRAASFSVSRGVDLPDTTHIYNFDLPKDAVHYLHRAGRTGRKPFSESECLVTSIITSEERFVLQRYENELMFHCDELFL >KVF50709 pep supercontig:CcrdV1:scaffold_8964:4645:8797:1 gene:Ccrd_026756 transcript:KVF50709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVVMIGFLGEDEHIEFSDGIDGSARWLWVVGAPAYGYDFLNNAKACITVDVHSMYLINNFGQSHLTAVHKVHPEAVKQEERLDPQIFSRIGTT >KVF50710 pep supercontig:CcrdV1:scaffold_8964:5527:8783:-1 gene:Ccrd_026757 transcript:KVF50710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene cyclase MTLNCLLPPKNAAKMWCYCRLVYMPMSYLYGRRFVGPISPLVLQLRDELYAQPYDKINWKCXRHLCAKEDLYYPHSSLQDLMWDSLYIFTEPLLTHWPFNKLREKALKTTMKHIHYEDENSRYITIGAVEKINKYQVGMRNEFTFVRIINYASYKQTLQALCMLSCWVEDPNGVCFKKHLARIPDYIWVAEDGMKMQVIQENPSPENTHIFIIHSKKFTENFMGVVTKPEFWQSGVKDNPSGDFRSMHRHISKGSWTFSDHDHGWQVSDCTAQGLKCXLLLSMMPPEIVGKKMELEQLNNAVNVILSMPSKNGGLPAWEPAGSSKWLEILNPTEXFVNIVVEHEYIECTSTVIQALALFKKSYPEHRVKEIDSLLTNAGEYIEKMQMYGDWGVCFTYATWFALGGLEAIGKTYEXCQAINKAVNFLLKTQLEDGGWGESYRSCTEKVTPQQKESCIDSSPWLLYKQKYVPLEGGRSNLIQTAWAMMGLIHTQQVSFLSRNYIVNITYFFKNAERDPTPLHRAAKLLINSQMKNGDFPQQETSGAFKQNCLLHYALYRDIFPMWALAAYRKQVLPQPTTI >KVI07944 pep supercontig:CcrdV1:scaffold_897:56603:66664:-1 gene:Ccrd_013688 transcript:KVI07944 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MNFENGPDNRFNKATFGIGTRSFGLEDAVFERNTGGMYDGRFLMNNMWNTIPCSDLLALADAAITTKSEVNMHFQNRNQNQNHEDRQHERTRYLFDLNSPPIATDPQLITGISSQLSPVTPKITRRVDHRQVVSDIINLDEDGSTDEAADKQDNERSDPEQPQLILEQSQDTISMQLEEVNGDFEEELSDDFNPKKTPQPKQRRRKHRPKVVIESKPKSAKKSTPPQPDGSSTGKRKYVRKIMSERSTETPTSEASLPKTRNSCRRKINFEEEQNLHTELQGIKKKTEIELMCDKEFLTDQMTMLSPITPNKSELRHERLAKDVNPMSMRAKRNLSFSRKAHDNDSNCSTSGCFNEDGQETRGSKRECSGDENGLGNRCNNSLEAYLSMITNFPAIYKKKRTEKCQSSVTFRAVSSIWSPEYNNRSFPETSTVYGLNFQQSYKHMLAFGHVESFRKKRSKGVKRMRDLASFAGMIEGKKSASKALCQHHTCMEALSADFSASIATKKRTKRKYIVPSSSNPYDIDALINQFESMSLNNRMVEQDQHALVPYSTRYREKNALVLYKQDKSVVPFEGSYQLRRRKPRPKVDLDDETSRVWRLLLEDINSKGIDGTDEDKEKWWEEERNVFSGRAASFIARMHLVQDSVIGVFLTQNVSDHLSSSAFMNLAARYPLKSKSSNEPLQDDKSSISVKEPCQLDLEETIRWHEGNSNQQPAQDHGSIMLQDADSYEEKEVVNSNERSVRLKDILSGEVLEISRNDSGILESFTQENRGVDDLVSPQNSVDTCPNSVQSSIVDTAERLGSWLVRNSQSEPLDASKPIISEMFTSFASTKLHEVYSQEQAHKPSNKRIGQDKLGSINNSPEQNEGISHDHITSGLRIPPKDHELQTAHGSAALEAEYIELREERIIIDNKPEEKCASEQSEISAESASQATVEMVRTRSFQETPISCNTLQSRTISENSIIVTNQHAHENNCNAQKALDIENCSAEISEVTESNNMTDNSRETAHKIVESNSNEHGNLQTTASGINEGSAEVKKGKTRKAKKIKPNWDSLRIGAQVNHKRETTPNTKDSLDYEAVRCADVNEIADTIKDRGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYELHYQMITFGKVFCTKNKPNCNACPMRGECRHFASAFASARLALPAPEERSIVSATENKIPDQSPTRITSQLHLTLPLDNHCLHQQSQVQNHDPVIEEPATPEPIVEVPATPEPEKIQQESDIEDFCEDPEEIPMIKLNMEAFTQNLQTYMENNMELAEGDMSRALVALASEAASIPAPKLKNVSQLRTEHQVYELPDSHPLLEGLDKREPDDPCSYLLAIWTPGETAESIQPPGVRCSSEESGTLCHKETCFSCNSIREANSQTIRGTLLVFADHDSSLNPIDVPRSWLWNLPRRTVYFGTSIPTIFKGLTTEGIQYCFWRGFVCVRGFDQKTRAPRPLMARLHFPASKLRRSKGMADET >KVI07946 pep supercontig:CcrdV1:scaffold_897:148410:149090:-1 gene:Ccrd_013690 transcript:KVI07946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Redoxin MAAATASLSFSRLLSSATKPHLTSASILPHNHRYLTSSFSPLRIKTLRPYNHPIKYSTTPKITATISVGDKLPDATFSYFDAEDDLQTATISDLTKSKKAILFAVPGAFTPTCSQKHLPGFVEKSGELKAKGVDTIACISVNDAFVMKAWKADLKIGDEVLLLSDGNGDFTRAIGCELDLSDKPVGLGVRSRRYAMLVEDGIVKVLNLEEGGAFTSSGADDMLKTL >KVI07945 pep supercontig:CcrdV1:scaffold_897:130462:142872:-1 gene:Ccrd_013689 transcript:KVI07945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDSITPGVDPQGQPLDPRKIQDHFEDFYEDLFEELSKYGEIESLNICDNLADHMVGNVYVQFREEEHAANALQNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGRSRRRRSRSRSHSPQRHRGYDERPQGGGRGSGRRGGDYADQRHHDRGRRPRSRSPGRRGGRSRSPGGKRNRSPVREGSAERRAKIEQWNREREQADSRPKSATNDNNSDDGAVPNGDQYYDPRQQQHDDGGYDD >KVH88463 pep supercontig:CcrdV1:scaffold_898:73343:76004:1 gene:Ccrd_026758 transcript:KVH88463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGAFALASISSISPSLHSSHQPTSVCGFRRVRVWCVKTDVNGSSQSLKILLGIETLSCAMYGVDFDNVIDDDDDEEQDPGSGEIDGEEKGPNRLANEEDDESHSQMKKQDKEENTF >KVF49263 pep supercontig:CcrdV1:scaffold_8980:73:2160:-1 gene:Ccrd_026759 transcript:KVF49263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYGRDPWGGPLEINTADSATDDDRSRNLNDFDRAALSRPLDETQQSWLLGPGEQKKKRYVDLGCIIVSRKIFIWTVGTILAVAFTAGIITVIVKNVPRHHKHPPAPDNYTIALQKALKFFNAQKSGKLPKHNNVSWRGNSCLNDGKLDKSGAVIKDLVGGYYDAGDAIKFHFPKAFAMTMLSWSVIEYSAKYEAAGELAHVKEIIKWGTDYFLKTFNSTADTISQLVAQVDFEIFFQCEL >KVH98608 pep supercontig:CcrdV1:scaffold_899:63613:66255:1 gene:Ccrd_023169 transcript:KVH98608 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase MDASSALFRISHLNHLPSIVNHTHRSNSFSIKHLSYNPFKVESNRIEVGFDESAYEAERLRLDAKARESMAEELDRNDNDPKAWKWIIRKRIWDLMEARNIAQFPRPVHHRIPNFVSASIAANKLSMLEVFLKAKCVKVNPDTPQKQVRFLTLNGGKQLLTPQPRLRTGFFSILESNSLTPSTMNEACTSVGVAKYGRPIGLHEKIKVDLIVVGSVAVDPKTGARLGKGEGFAELEYGMLRYMGAIDDSTPIVTSVHDEQLVDDIPVEKLLIHDVPVDIICTPTRVIFTNTSIPKPQGIYWEKLSPEKLSQIKILRQLKAKIEKESGEKLPTGPSEKLPPTAQRKQRQR >KVH98609 pep supercontig:CcrdV1:scaffold_899:142907:144944:1 gene:Ccrd_023171 transcript:KVH98609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFIKVATNSRDSGDYASLDRDTDEGERRSAPPPAPPQPQPQSPPDMFSSEYSMSGELSAMVTALTHVVSGHGSGGPSVSPLFRSGGGGGSGSGGGYTGNIYSIDSPLSTYSSSSSSSFAGQKRVRDQEESVNQFSEQFHQRSYGGFADFGVKEEMIPSPTTTMVAATATPGGTDQVDEEDFPAAPPSWPGPDQFPPPN >KVH98606 pep supercontig:CcrdV1:scaffold_899:40111:44712:1 gene:Ccrd_023167 transcript:KVH98606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSAASSLDEIDRTLYSTFCSAANSLSQLYSQAMSQQKLCFLSGERHGLEMLYQWISKQHEEGLRVTSDDILAYIQAELDSSGEEPSRTLQQNQQPANLFANSNSSSMPTVALTDQQPKNYIFSNALSSPVRRSLQNYQNRDSNIFDSSMDMHADSTGHDSAH >KVH98610 pep supercontig:CcrdV1:scaffold_899:65927:77189:-1 gene:Ccrd_023170 transcript:KVH98610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF502 MAEGSKEPTTSSVSQHDIIVEDTEDSVKSPPNSPNSSTRKLVEFEYSGAKDWFGFSEIVNYRFMKAKYLEIKGINKKCLIFSNWNVDGNSKGEEKRKRNKKKTLLLYHHWDVVLFPVAVTFFITWWIVQFFDGFFSPIYERLGVEIFGLGFLTSLIFIFFVGIFASSWMGATVFWVGEWFIKRMPFVKHIYSASKQISAAISPDQNTTAFKEVAIIRHPRLGEYAFGFITSSVVLQRENGDEELCSVYVPTNHLYIGDVFLVNSEEIIRPNLSIREGIGF >KVH98605 pep supercontig:CcrdV1:scaffold_899:294:32197:1 gene:Ccrd_023166 transcript:KVH98605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M14, carboxypeptidase A LTCISREYHSPCSVLFSEHIIKLLLPCEVDFANEIMANLNSSFLLFLSLNLFHIFHTAIARGGERDSGFVDKTYAASGRHLFGENHFQKLNTLAHGYMTNSDLEKAIKAFHGRCGHLSRIYSIGKSVLGVPLWVMEISNNPGKEEAKPAFKFIGNVHGDEPVGRELLLLLANWLCDNYMKNPLATLIVEKVHLHILPSMNPDGFSLRRRGNAKGIDLNRDFPDQFFPMNDDFNKRQPETKAIMKWIKEMRFTASASLHGGALVANYPWDGTEDKSKSYYACPDDEAFRYMAKLYSHSHHNMSRSKEFEEGITNGAHWYPIYGGMQDWNYIYGGCFELTLEISDNKWPPANELRMIWQYNKMSMLDLVASVVKSGVHGRIFSSDCGEPLPANIAIKGINYTIKASEKLADYHRLLFSSKSLQQQEQSENIQTCIQAPSAVLIIAFLCFLMKRKAIVNHFKVRKSVGQRRVSANHKECTICFYTYAHLLLYEVCITHENESKGLGSSLSRYVFGASIKICDEKSSIVDEEGSMNIIPCRQIPKILGNDFASVSSKQVALPFRAEVNLHDILGSFGFV >KVH98607 pep supercontig:CcrdV1:scaffold_899:59058:61774:1 gene:Ccrd_023168 transcript:KVH98607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVEGGGFFSSSASGYSKGLTLLLLGKKTEEKPMRVTPWNQYQLVDQESDPDLQLASHKNHLVRGCASFLCFGRTAAGLETPSPLKVGPAHHQNQEDVLKSSPDLQNVKENDRTSDLVDDNDNINSINASSLRSSLKRPTTGVSVSDACDKEDEGERVDRNDANGLDHTERRVQWTDVSGGELFVIREFEASEHSGSDDEYENGNERSCSCRLM >KVI06499 pep supercontig:CcrdV1:scaffold_8991:846:1372:1 gene:Ccrd_015151 transcript:KVI06499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEQLHDQRVVLVNQLCFMPKLAAKLTEKMLQFLWKFSYWSVSGWSLEVEVCKAKRENIIFESLATDTQVYGQELEVLCTTVAFYHNDKAWISVLRKLN >KVI06500 pep supercontig:CcrdV1:scaffold_8991:3193:4718:1 gene:Ccrd_015152 transcript:KVI06500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KVH95221 pep supercontig:CcrdV1:scaffold_9:1017704:1019637:1 gene:Ccrd_002731 transcript:KVH95221 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, vacuolar ER assembly factor, Vma12 FLFDIEGGGPSNQETFSRLQIRVSDYPLKLHHYRSQSPSHTMNNHELPSKAITAAGGLIISKTETIQSFISTSSDDPHLSSELRQIASSLSLQSPSVPYKPLRSIWVASDPSSRPALSTLLSGSQFILNSPQPREKSEELKARLKKLEEVAERKAYKELVKDITRKTESEPFSSYKDQLGFGLHVALTMFTGYLLGHFTFRALFGHSPVMCIWPRYQAHISCSQPSPFKRPGPYPILAIQFS >KVH95237 pep supercontig:CcrdV1:scaffold_9:608008:615283:1 gene:Ccrd_002703 transcript:KVH95237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MWTLGFWLSTGSACMGLALFLGGTVRFLDKAAFITSRDFKDPKQGTHNPWTLCPVSQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKSTISSFQIPASSMSSFDILSVALFIFLYRRVISPIVLMFKKKDSKGLTELQRMGIGLIIAILSMVSAGIVECYRLKYANKECTHCDASSSLSIFWQVPQYAFVGASEVFMYVGQLEFFNAQAPDALKSFGSALCMTSISLGNYVSSFIVTIVMKISTEDDMPGWIPGNLNRGHLDRFFFLLAGLTAVDLAVYVVFAKWYKSIKFEGKNVEENDTDDYEV >KVH95257 pep supercontig:CcrdV1:scaffold_9:552382:557421:-1 gene:Ccrd_002699 transcript:KVH95257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKVALLVIQSTKSFDLLVGEFILNRHDGWSGDERLEKCSTSSSLLPIGTSGLGLACNTEPIVISNSLNMLEIVLLLALLEIGTISFGIGFFFVIIGWPVIGMAAEAYGFIILFSGFWPTLSVFVQKIPIIGWVFQQPFIRSVCFSALARIFDRYRGKRVPV >KVH95259 pep supercontig:CcrdV1:scaffold_9:423007:429741:1 gene:Ccrd_002693 transcript:KVH95259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MKCFSIFHSKSTHPRDQKSKSAAKAVKSTGSISSPRSIPELYKEKEHNLKKFSFSELRNATTNFNKLLKIGEGGFGSVYKARIRLSESQNDPLVVAIKRLNKQGMQGHKEWLTEIQFLGVVDHPNLVKLLGYCSVDGERVMQRLLVYEYMPNKSLAVHLFSTTLPPIPWKTRLRILLGAAEGLAYLHEGLEIQVIYRDFKSSNVLLDEKFNAKLSDLGLAREEYIETGHLKSKSDVWSFGVVLFEVLTGRPTVDRKLPKAEQKLIEWVKQFPPNSRKFWMVMDRRLNNQYSLDAARSIAKLAVSCLYKNPNDRPTMSQIVKGLKNTIKESESGLIVPEKRSPVSKSST >KVH95260 pep supercontig:CcrdV1:scaffold_9:414163:422205:1 gene:Ccrd_002692 transcript:KVH95260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain-containing protein MASSISAISEELGEIDGQIADIFRALSNGFQKFEKIKDSSRQSRQLEELTGKMRECKRLIKEFDREVKDLEHTVDPDTSKILNEKKQSMVKELNSYVALKKQYANNIENKRVELFEGPGEGFPEDNGLLASSSTSPLSEDHDIYRLVNSLKNFHTDCLLMRILPLHVVHDTVNVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASQLVKEIGRQVATDRCIMALLFLIVVGVISIIIVKLVHPNNKDIRDIPGLAPPAPSRKLLWILD >KVH95249 pep supercontig:CcrdV1:scaffold_9:252460:254776:-1 gene:Ccrd_002678 transcript:KVH95249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALPVVYTLGFTSNHFGSHGNRLSDVRRTVNTCVTMSPAAKIESTFTGGCKIRQRLRRLSSFPSSSRSFTSHLCKSQLVQDFAPAASAGYAVLLLGGGLFAYNKSGSKGSLFGGLTGATLMSVAYYLMQTPETKEIGDALAFGASLLFASIFGIRLAATRKVIPAGFLLGISISLMAVTFSAYLQDRI >KVH95231 pep supercontig:CcrdV1:scaffold_9:337197:341113:-1 gene:Ccrd_002686 transcript:KVH95231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEEKYEPLKELGSGNFGVARLVRDKKTKELFAVKYIERGKKARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPSPRLKICDFGYSKSGLLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGYSIPDYVRVSADCRHLLSHVFVANPSKRITIAEIKKHPWFLKNMPKELVEGEKTNYENGSGDQSLQSVDQINRIIQEAKVPSEGSTTTGGQVEIGGSMDPDEEDFDLENEIDDSGDHSGQI >KVH95240 pep supercontig:CcrdV1:scaffold_9:138897:144100:1 gene:Ccrd_002671 transcript:KVH95240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MDKPSSTVRMDAQNPGHGHAVDISADVSSEENRHAGNKVCGGAPCGFSDAKTSSREARERSASMWKLWGGVILCFIFMGVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSVWASGWEATPRQSYGFFRIEILGTLVSIQIIWLLTGILVYEAIERLLHGTVEVEGSLIQEDVEDVHIHGVSVTTHQHHHHDHGHGHSDDHHHGHEEQQQXQQPLLKTYSAAGEAKEKKRNINVQGAYLHVLGDSIQSIGVMIGAAVIWYNPKLKIIDPICTLLFSIIVLYTTINMLRDILEVLMESTPREIDATSLERGLCEIDEVVAIHELHIWAITVGKVLLACHVRIRREADADMVLDKVVDYIKREYNISHVTIQIEREKWYYMGKGEGRRLCSVGTETEDGAGEGFCPV >KVH95255 pep supercontig:CcrdV1:scaffold_9:758547:764678:1 gene:Ccrd_002718 transcript:KVH95255 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MDSRESLAPPPSLQQHQPHHHHHQQAPPGMMMPPNSYSTHHHHLSNTSNTAITNNNKNANIISPSSMQQRFPFNASDQYGDGSSPSGGFRAGGYSIEPARKKRGRPRKYSPSSDGNIALGLAPAPATAISNVASAGNLESSNDGSGGTPNADSSAKKHRGRPAGSGKKQLDALGAPGVGFTPHVIIVKAGEDIASTIMAFSQQGPRTVCILSANGAICNVTLRQPATSGGTVSYEGRFEIISLSGSFLHSESNGNRSRPSGLSVSLAGSDGRVIVGSFIADGKKSKSSVGPSSAAPPNMLTFGGGDGGGVAGISPPSEGPSSESSDDSGSSPLHRMPGPYNNPSHHQQQRQQQQQQQPMQNLGMYSNMGWPSSTMNMLPN >KVH95222 pep supercontig:CcrdV1:scaffold_9:1047655:1054370:1 gene:Ccrd_002733 transcript:KVH95222 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSNTASASVSVDGGGGGGGDTKDDQKKPSQTPKPKKVSMLKLFAFADSYDYLLMFLGSVGACIHGASVPVFFIFFGKLINIIGLAYLFPKEASHKVAKYSLDFVYLSVVILFSSWIEVACWMHSGERQAAKMRMAYLRSMLSQDISLFDTEASTGEVISAITSDIIVVQDAISEKVGNFMHYISRFLSGFIIGFVRVWQISLVTLSIVPAIAIAGGVYAYVATGLIVRVRKSYVKAGEIAEEVIGNVRTVQAFSGEEKAVNSYIAALSNTYKYGKKAGLAKGLGLGTLHFVLFLSWSLLVWSLGQAAPDISAFIRAKAAAYPIFEMIERTTVAKTSAKMGRTLAKVDGHIRFDDVQFSYPSRPDVMIFDKLRLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLSGQILLDGTNLRELDIKWLRHQIGLVNQEPALFATTIRENILYGKDSATYEEITHAAKLSEAITFINNLPERFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDTESEKSVQEALDRVMVGRTTVIVAHRLSTIRNADTIAVVQHGKIVETGSHDELMSRPDSAYSSLVQLQETASLHRAPSRVPSMGRPSSIGASFHSDRESVGKLGVEGVEYAKAPRVSSKRLYSMIRPDWAYGLTGTIGALIAGSLMPLFALGISQALVAYYMDWETTQHEVRKIAVLFCLGAGVSITVYAITHLCFGIMAERLTLRVRQKMFSAILRNEIGWFDDTNNTSSMLASRLESDATLLRTVVVDRTTILIQNLGLIGTSFIIAFILNWRLTLIVMAMYPLIISGHISEKMFMKGYGGDLSKAYLKANMLAGEAVSNIRTVAAFCSENKVLDLYSRELVGPSKQSFNRGQVAGLFYGVSQFFIFSSYGLALWYGSVLMERGLSGFKSVMKSFMILIVTALAMGETLAMAPDLLKGNQMVASVFEVLDRRTQVVTDVGEDITRVEGSIELKGVHFSYPSRPDILIFKDFDLKVRAGKSMALVGQSGSGKSSVLSLVLRFYDPTSGKVMVDGKDIKKLKLKSLRSHIGLVQQEPALFATSIFENILYGKEGASEAEVIEAAKLSNAHSFISSLPEGYSTKVGERGIQLSGGQKQRVAIARAVLKNPAILLLDEATSALDVESERVVQQALDRLMKNRTSVVVAHRLSTIKNADEISVIQNGKIVEKGTHSSLVENENGAYSKLINLQQQEQHHRK >KVH95236 pep supercontig:CcrdV1:scaffold_9:559597:566524:1 gene:Ccrd_002700 transcript:KVH95236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTSYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVITYDVASRQTFLNTIKWIEEVRAERGSDVIIVLVGNKTDLVDKRQVSVEEGETRASELKVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKHEEMVDVNLKSSNMTSSQPESGGCAC >KVH95213 pep supercontig:CcrdV1:scaffold_9:25183:30819:1 gene:Ccrd_002664 transcript:KVH95213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTGAAASGGNGTLNPQHNDASPAESTVMATTSSSDDPKQNLNQVINSIQKSLGIIHQLYLTVSSFNVSSQLPLLQRLNTLVLEMDNMTKLSEKCNIQVPMEVLNLIDDGKNPDEFTREVLNSCIAKNQITKGKTDAFKGLRRHLLEELEQAFPDEVEDYREIRASSAAESVRLAQAQSILPNGDEWHFNEKQNTQKQE >KVH95241 pep supercontig:CcrdV1:scaffold_9:120923:127027:1 gene:Ccrd_002670 transcript:KVH95241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASLRELYKQVSALKGVELEKVHIWDYFNDRRQSALADSNQTLEESNLQMDQSILLDVQVDGFIPSGFGMDSTGNELALVPMEPQRSSRTIAGGPSLSNGYSADYGSSLYQGSALSSISTDMEDAHDSVRTAPGGDRGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLQDYTDEINKQNPLGMHGELAVAFGELLRKLWSSGRTSVPPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYFETKDSDGRPDEEVANEFWSYHKARNDSIVVDVCQGQYKSTLVCPVCDKISITFDPFMYLSLPLPSTATRSMTVTVFYGDGSSLPMPFTVNVSKHGYSKDLFQALATACCLGSDEILVLAEVYEHHIYRYLENGDSLHTIKDDECIVAYRLSKKQAEFPKLEICHRYLENLKPGERKRFLTPLVTYLEGAKNGADIELAVNRVLVPLKRKVFSSLNRSDGPKVNGSASEASEESTSDCTELGNPSKDKDFEDEELSFRLCLTDDRGMSCRPILKNSVIKSSKIVKVMLDWTDKEHELYDASYLKDLPMVHKPGVTVKKTKQESISLFSCLDAFLKEEPLGPDDMWYCPGCKEHRQATKKLDLWRLPDIIVFHLKRFSYSRFLKNKLDTFVNFPIHNLDLSKYVKNKDASGGSSVYELYAISNHYGGLGGGHYSAYAKLVEEDRWYHFDDAHVTPVSEGDIRTAAAYLLFYQRVKTNSVPTNGPVGESSKVHEEF >KVH95245 pep supercontig:CcrdV1:scaffold_9:219178:224737:1 gene:Ccrd_002674 transcript:KVH95245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASTSNSSSAIRSWRTTFLTLRDEVQTSPTATTVIDLLNRFIFAQSETLIAAAPNLPVHEVTSDAMFLVELARTISNSRGDDDALNALVQLSNLFQNFNHLISFKMTSSTWGLVLRTFGGMVEMFLGSAGIRQDPSGNIAVTEATKQCLEIVRSLVDVNQRTIILSDNVQLLDFLLKTVSFSQNVLAPCFSSGIQGCAPESRKMILRRNSLWEIQTTAFTMISEILSRVGTSLPADHWQSTVDAFRNIIDVLASKGLLVES >KVH95244 pep supercontig:CcrdV1:scaffold_9:236807:242944:1 gene:Ccrd_002675 transcript:KVH95244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical INAAFDDEVPSVRSAACRAIGVIACFPQVFKSVEILCKFINAAEVNSHHSLVSVRIAASWALANLCDSLRHFVDRFTSTGSSGDLMDCSRMVSLLIDCSLRLTRDGDKIKANAVRALGNLSRFVPCSSHGHGMQEYNTSFHIDTEHAGKSPNHVSAETERCLVSTSFLQRTWEDSRWLERMVQAFLSCVTTGNVKLSFYDYYGESYSDVVQGVEHTLENLGTDQMFAPSSFKYRVALEKQLTSTMLHLLGLASVTHRHHSVHDFLIKKASFLEEWLRSLCLSLVNQFEAEHDSTWYQKKEVINRAIQSLVKVYESQNHQAMAQRFEKLVNKLV >KVH95266 pep supercontig:CcrdV1:scaffold_9:430874:433053:-1 gene:Ccrd_002694 transcript:KVH95266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MALTLRSSTSFLYLKDIKTLKTLDDFSTTLCFAQFKPSSCRLKVKNSIQDHAQSTREMTIVSPFEQGYNGQKERFHALSSGHQTGNNNNNSRVPVYVMLPLDTVTIGGHLNKPKALNASLMALKTAGVEGVMVDVWWGLVEKVGPLRYNWEGYVELVNMVQKHGLKLQAVMSFHQCGGNVGDSCSIPLPPWVLEEISRNPDLVYTDRSGRRNPEYISLGCDALPVLRGRTPIQVYADYMRSFQQRFKNYLGDVIVEIQVGLGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMKASLEAVADENGRKDWGKSGPHDSGQYNQFPEDTGFFRREGTWDTDYGRFFMEWYSGKLLEHGDRILGSADSIFRGTGAKLSGKVAGIHWHYKTRSHAAELTAGYYNTRNSDGYLPIARMLAKHSVVFNFTCMEMKDGEQPQHANCSPEGLVRQVKLAVRDAGIELAGENALERYDGGAYGQVLATSRSDSGNGLCAFTFLRLNKRLFEAENWRQLVNFVRSMSEGGRVMLPESDSSTTDLYVRFVDHKMKKLKEDKEIILV >KVH95217 pep supercontig:CcrdV1:scaffold_9:749926:757420:1 gene:Ccrd_002717 transcript:KVH95217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPTDRPVPNATLQVTGAVGWRREGLAYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESEIKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTSLQVTSGRAKIRKFPGQTESTLSAEVELISTIAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >KVH95206 pep supercontig:CcrdV1:scaffold_9:945912:953383:1 gene:Ccrd_002728 transcript:KVH95206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MKPAMATTKTKMPKTIRGHCRIWTQALSVWVASQMPAPMIGMESNMAMKLMAPITLLLIAMFDEDPRKRETKMVVLESLNLSRFYLSSSPNPPPFLLLTKSNLSIPTSTPKFLFKSPLFTLPLPISTKFQVCLSSAIQQEITEEEEVEKLEQTQKEENKKKLFVLNLPWSFSVADVKNLFGECGTVADVEIIKREDGKRGFAFVTMSSGEEAVAVIKKFDSHDLLGRIIKVEYAKKFKKPTPRSPGSLRPGETRHKLFVSNLSWKVRATHLKQFFADFHPVSTRVVFGPSGGSAGYGFVSFASKEEAESSISALNEKELLGRPIILKFDEK >KVH95225 pep supercontig:CcrdV1:scaffold_9:292313:295761:1 gene:Ccrd_002680 transcript:KVH95225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MAAIIHNNDQITPPTNIFFLSTYFHSLSQTPQRLRKRVLATWTPDQELNQMRLRSGADMKRKLTWYDLIALGVGGMLGVGVFVTTGPVARDKSGPAVLVSYVIAGISALFSSLCYTEFSIQIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAIARSFTEYLCTTFGQEDPNAWRVEVDGLMEGYNMLDFPAVALTVLLTLSLCRSTKESSMLNIVMTIFHVLFFGFIIIAGICYGSTENLVQPEGLAPYGMKGVIDGAAIVYFSYIGYDSVSTMAEEIRNPSKSLPLGIVGSVLIVSVLYCLMALSLCMMVPYNKISSSASFSVAFQNIGWKWASNIVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWFAKVHPITGTPQNATIFLGFCQASIALFTELNIVLEMISIGTLFVFYLVANALIYHRYAIKANNSPTYTLVFLFLLSSASIGFSLSWNFNHQQRWGLPLFGVCVISITAIFQYLMPCGGSQDRPNHEWQVPFMPWPAALSIFLNVFLMATLRKLSYERFGVWACLITLFYLLYGVHSTYQAEEMEIEGGGGNMRVHRPNSNGEQPKVDIQVL >KVH95227 pep supercontig:CcrdV1:scaffold_9:315969:316402:-1 gene:Ccrd_002682 transcript:KVH95227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGENLTAPAKKSVKSKKRKLDDSNKDQEDSLVKDANSRVILEEVLEEAPKWKVLRVSE >KVH95248 pep supercontig:CcrdV1:scaffold_9:273800:277916:1 gene:Ccrd_002679 transcript:KVH95248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 2, conserved barrel MQSFSAFPAKSFMLLSTLLCFLNIAVTEQGFCSAPSVIDSSSNAQPLYSKVTNPTLSPSHLQDLPGFTRSMYRSDHALITPESHVFSPLPDWSNTLGAYLVSPAMGAHFVMYIAKMQEGSKSGLPPKHIERFVFVVQGLVTLTNVSGICQTLMTRLLIYLLTQSISSKVMNLLHLLYLKEGMLTWKIIFPSQLLAPLINSHFLTPPERQIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVEAGDAIWMAPFVPQWYAALGKVRTRYLLYKDVNRNPL >KVH95220 pep supercontig:CcrdV1:scaffold_9:696755:699392:1 gene:Ccrd_002711 transcript:KVH95220 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-patch domain-containing protein SGKENEASKAPDGSNSRKVVISAPAATVTSSEKTTSLPDAVQAAAAAAIAAEKKEKEKMKEIRLASKSSILASKKKMSNVLSMWKQRSHENQAPRVALDGNQPSALVEEKSNPVGPSTKVKFKNDAVITKDNTAPPVSVNTSVQPASLDAPQDMPRPGANVSGGTLRGVIRGSGRGLVKSDTTYMGSSANVAVGSSMTTDAHNSAVPFRTDASALGSYAPPPATVSGKRRFSELPVQSASAIKEQPHTTYRDRAAERRSLYGSSSFGDDISDLGVGDPNRDSALKRGAMDSMPFPPGVGGRGAGEANSNAQSYEVITAEKAIDETNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQAQATEKRAGLGSQPKKLDPSLEVQAGDSYRTLIQKKALARFREMS >KVH95216 pep supercontig:CcrdV1:scaffold_9:117931:120536:1 gene:Ccrd_002669 transcript:KVH95216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin-specific peptidase, DUSP domain-containing protein MTIPDSGSLMDNESLCLPCTPEEERRIVKELTEKAESCLKEGNLYYVVSIRWFTKWQKYVGEEISAYQFKELSTDKQAPPVTKASERPGPIDNTDIITNEGDRDKSDLQISRLLLEGSDYVLVPQGVWEKLHGWYKGGPALPRKMIALGIQGAYTVECHNSVDTGSGKKGAIPCPDQRGREDQRGILL >KVH95210 pep supercontig:CcrdV1:scaffold_9:650267:657717:1 gene:Ccrd_002708 transcript:KVH95210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MGCKGFLECLLKLLNMLLTLLGLAMVGYGIYLFVMYKNASDDINVVPPNEGLIQLGRPTLMAVSLSSSSFLDNLPTAWFIYLFIGIGAIILIISCFGCIGAATRSGCCLTCVLQYSILVLLLILLEAGCAAFIFFDKSWRAEIPTDKTGQFDMIYGFLRKNWKICKWVALGAVILELLVFLLALVVRAANAPADYDSDDEDIVAPRQQRQPLISRQPVPATGIPVTGTLDSRPSRNDAWSARMREKYGLDTSEFTYNPNDPNRNQQGNTQPTEEKGRCAIM >KVH95208 pep supercontig:CcrdV1:scaffold_9:625122:627597:1 gene:Ccrd_002706 transcript:KVH95208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLKLEDQQQNQNPILFKAKIPIAVFGLPFLSAFSATHHHAAAASDKLSLSLRTHFPTGPSLKLSYNTPTTTANSGAAASAPLTLTLKSGVSFSGSPNNSPLIISANFSFSPQNPNPNPTFSIQFKPRLGSFSLRKTVSSSPVSNAGKTLNGGSTDGRDNSYGFVPLDRPINWKELTVESATKDSILSGILMAANTELPVTKRVKVNLRWGVNIPPDYEKQLPYLRVNKIKVERIDEVNEEKGNQKQRIEGDSGEFAMLKGMYSWMSRELNDLQKENREMRRILEEIKSRQPLKSYNGYSSGGGGGKRPQPLVESLDGAEQWRMKKSGDVNGQRETKKNATSMDVESELQRAIKAASS >KVH95250 pep supercontig:CcrdV1:scaffold_9:713075:717214:-1 gene:Ccrd_002713 transcript:KVH95250 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF system FeS cluster assembly, SufBD MKNQTETLFPSKGHLRVVQFPDSVKIHSFSPPPTASMAVSAFTPIIRTTPSPSFTIPTSPYLSSFTRTKKLQHGVLSLPPVAALSDPFVLQIAESLEDSLPSSSSSSLQKLRDFASESLLSTPWPSRKDEPFRFTDTSFIKQSEVIPVSSSPPPESLNHLSVFEDTHLLNLTLIDGYIIDSLSQLSGLPDEVFVGSLSSISSNEITKRVLEFLPSSEQGDLFWSLNGVGSPDLVVVYVPEGCKVEDPLHLRYISNEGSDKESKKLPVSNPRVLVVVEKGGEIGILEEYVGGGDGDLSYWTNSVMEIVIGEGAKVTHSYIQTQSMSSAHIKWTSIQQEKSSTYELVEVSTGGRLSRHNVHVQQVGSDTITGLSTFHLSGSDQTQDLHSKIVLDHPRGFSRQLHKCIVAHSLGQVVFDGNIKVNRYAQQTDAGQLTRSLILEPRATVNVKPNLQIIADDVKCSHGAAICDLEEDQLFYLQARGIDLQTARKALILSFGAEVIDRFPSADLRKKVELHVKKLLDPKVPSKVV >KVH95246 pep supercontig:CcrdV1:scaffold_9:247972:249933:-1 gene:Ccrd_002677 transcript:KVH95246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MEGGDGSTPPVSAPATPGTPGAPLFTSLRVDSLSYDRKSMPRCKCLPVDAPSWGAPHTCFTDFPAPDISLTRKLGAEFVGTFILIFAATAGPIVNEKYTGSESLLGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWAQVPAYILAQVSASICASFALKGVFHPFMSGGVTVPSVSTGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVLLNILVAGKSSGASMNPVRTLGPAVAAGNYTVIWVYLLAPTLGALAGAAVYTLVKLPAVDGDQPRSFRR >KVH95197 pep supercontig:CcrdV1:scaffold_9:944778:946185:-1 gene:Ccrd_002727 transcript:KVH95197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin MAMSNNVIGAINFIAMLLSIPIIGAGIWLATQTDNACVQILQWPLIVLGILVLVVAIAGFIGGFWRLQWLLVFYLIAMLLLIILLACLVIFVYMVTIRGSGHPEPSRAFLEYKLDDYSGFLKRRVRSNYKWDRIRTCLSSTTLCAQLNQSYRMAQDFFDAHLTPLQSGCCKPPTQCGYTFVNPTYWISPINNAADMDCLNWSNEQTGLCYSCNSCKAGLLENLKREWRRANIILIITLVALICVYLVGCCAFRNAKTEDLFRKYKQGYT >KVH95223 pep supercontig:CcrdV1:scaffold_9:365656:370386:1 gene:Ccrd_002688 transcript:KVH95223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II, regulatory subunit MYRERTGGGSKAKMERSSTVTSSRGGGGSNLNGKDANKDRSSPGNSKLSSFSRSSYPNKKLSKGEENVVEESETDSEESDVSGSDGEDTSWISWFCSLRGNEFFCEVDENYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFSEEQNEIIESAAEMLYGLIHVRYILTTKGLGAMLEKYKNAEFGRCPRVYCCGQPCLPVGQSDVSRQSNVKIFCPKCEDLYTPQSRPGWSLFRVNISSPVLDDVRTPKATKDITGVRSSSLRLQGPQAMMTSTMDEYAMCFRVALARPMLVFSFFLKI >KVH95219 pep supercontig:CcrdV1:scaffold_9:692265:695629:1 gene:Ccrd_002710 transcript:KVH95219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MMDKLGDEGLVVDGRKLFFEYSSKPTGGAGGPPFGSDGSSRSGHTNHKSTTVLSDWMCTICGCINFARRTSCFQCNEARTDDAPPADMASSNPTPLGKKGETGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVDDATKALEATNGTTLEKNGQILRVAYAKSILGSGSGASSSHSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDDKQSNGRQDQGGEPKDGSAPQSGFVWDEASGYYYDAASGFYYDGNT >KVH95214 pep supercontig:CcrdV1:scaffold_9:29763:30563:-1 gene:Ccrd_002665 transcript:KVH95214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox, conserved site-containing protein MFETQSRPELRMKHQVANKLGLHPRQVAIWFQNKRARSKSRQIEQEYNTLKQDYETLASKSESLKKENQALLNQLEMLRDVADRHQENTRRLDSIGNSSSEDSDDRMTTSPTKNDANITLESYSQEISVPFYENVAYVGEESKFVVIEEQLCSVNDWEETTCGFEPDVPMWWEF >KVH95239 pep supercontig:CcrdV1:scaffold_9:638338:643976:1 gene:Ccrd_002707 transcript:KVH95239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthetase, conserved site-containing protein MASLVLPSPSSCSTSSSSSPFSRCSFGRGFICNDARIPTSANNIRCEISKSLNGKPFVPIINDGMLSNFMQAGRLDHTVVNGKLKIFSGTANSALSEEIARYMGLDLGMIEIKRFADGEIYVQLQESVRGCDVYLVQPTSPPANENLMELLVTIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKSICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMLDDMIDTAGTISKGAALLHEEGAREVYACCTHGVFSPPAIERLSSGLFQEVIVTNTIPLKEQHYFPQLTVLSVANLLGETIWRVHDDSSVSSIFT >KVH95203 pep supercontig:CcrdV1:scaffold_9:819582:821532:-1 gene:Ccrd_002721 transcript:KVH95203 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease MEFLSISTTPTKCFACCCSRDVVRLPTRLKNRKYVGRKRVASVRALVGGDGRETQSRGGVVGGYRSFGMEVTTFDKSFSADAEFPAGDNIDAVVRLAYGIGIYGIMALAGKLICLAVGIDSSGGCSASFDAIVTGVGYAVPPIMALLFILDDEVVKVSPHARAIRDVEDEELRSFFYGMSPWQFVLIVAAGSVGEELFYRAAIQGALADVFLRGTELVSDARGMAALTGMLPPFVPFAQAFAAVITAALTSSFYYVAASPEDPTYIVAPVLSSACGRDEMKKLFAAWYERRQMKKIYSPLLEGIVALYLGFEWNQTNNILAPIITHGIYSATILGHGLWKIHDHRKKLRQLKLEDKNSRSS >KVH95211 pep supercontig:CcrdV1:scaffold_9:47349:50590:1 gene:Ccrd_002666 transcript:KVH95211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MAPLLLVLLALTFSSSASAFTSHHYSDALTKSIIFFDGQRSGNLPSNQRLKWRADSAVNDGSSTNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSIIEFGDSMESELGNAKTALREPHSDHSCWERPEDMDTPRNVYKVSPQNPGSDVAAETAAALAAASIVFQDSDPSYSQARTATLSDLLSVRFTARIPDTMMSCCGEPHGSIELLRMLLICLTFNQMGFLEKQLDQFQLYKQHSDNYICSIIPGSPNSQARYTPGGLLYKQGESNLQYVTTSSFLLLTYAKYLNSYGGHASCGGVTFTAETLIVQAKKQIDYILGDNPMKMSYMVGFGDKYPTQIHHRGSSVPSVRVHPDHISCDAGHQYFNAKSPNPNILIGAIVGGPDSNDNYADDRSNYSQSEPATYINAPFVGAAAFFSGR >KVH95253 pep supercontig:CcrdV1:scaffold_9:708232:710309:-1 gene:Ccrd_002712 transcript:KVH95253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MVPTLCLLPYKTKLLSIFHLFLLPKMAFSHQILFIASALIFSVLNPAQSATSDGPFTHSRAAYYPDSDDKGSETGRCGYGSFGATINYGDVAAASDLYRDGVGCGACYQVRCMNSKDCSDEGVTVVITDQGSSDRTDFIMSKKAFRKMAQSTYAASSLLAQGIVDIEYRRVSCSYPGNNITIKIDESSDYPYYLAFVIWYQQGQKDITAVQLCETKDFNCKLLDRSYGSVFTTTSPPSGSLSLRMLLSGEDGDEKWIVPVNIIPENWKKGDIYDTGVQIAE >KVH95230 pep supercontig:CcrdV1:scaffold_9:333183:335896:1 gene:Ccrd_002685 transcript:KVH95230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae MAVGKNKRISKGKKGGKKKAADPFSKKDWYDIKAPSLFSTRNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQNDEDHAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQSLIEAHVDVKTTDSYTLRMFCIGFTKKRANQVKRTCYAQSSQIRQIRRKMREIMVNQAQSCDLKELVQKFIPESIGREIEKATSSIYPLQNVFIRKVHGDYSEDVGVKMERPAEEEVPEATEVIGA >KVH95251 pep supercontig:CcrdV1:scaffold_9:743170:745178:1 gene:Ccrd_002716 transcript:KVH95251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type MVPAKSFIKPLNLLQTFSLRFTMPVPLAPYPSPPVPLTTPANGSQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCQFITSVGASTSATEQKFNT >KVH95204 pep supercontig:CcrdV1:scaffold_9:800498:801559:1 gene:Ccrd_002720 transcript:KVH95204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MWSSNPLTTNSQEEDDDSWEVKAFVKDTGNIMGTTWPPRSYTCTFCRREFQSAQALGGHMNVHRRDRARIHQSQPNLRIPNLSSSTLLIPTQERVANGGLCLFCSLPNNPIAILNPSSLNKVKAVVAPRCFNSSLIEASTSTNHENNQRFVLDNKRKKTDSSIEGIDLELRLGCNS >KVH95247 pep supercontig:CcrdV1:scaffold_9:241839:244359:-1 gene:Ccrd_002676 transcript:KVH95247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MEGGDGPTPPVSAPATPGTPGAPLFTSLRVDSMSYDRKSMPRCKCLPVGAPSWSSTHTCFTDFPSPDISLTRKLGAEFVGTFILIFAATAGPIVNEKYAGSESLLGNAACSGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWAQVPGYILAQVSASICASFALKGVFHPFMSGGVTVPSVSTGQAFALEFLITFNLLKSSGASMNPVRTLGPAIATGNYTMIWIYLLAPTLGALAGAAVYTLVKLPAVEGEQQRSFRR >KVH95256 pep supercontig:CcrdV1:scaffold_9:795175:796236:1 gene:Ccrd_002719 transcript:KVH95256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MWNSNPVTTKSHDEDDSWEVKAFAEDTKNVMGTTWPPRSYTCTFCKREFRSAQALGGHMNVHRRDRARLHQSQPNLKTPNLPSSTTSSTLLIPTQELVTNGGLCLLYSIPNPNAIFKTSSLNTMKAVAPRPFNSSLSNSSNMEASTPTNYKSNTKVSIDKKRKKTDSTVEDAKKDSRTLAARLKYLNNQDFAWMSLRELNNI >KVH95261 pep supercontig:CcrdV1:scaffold_9:400748:403505:-1 gene:Ccrd_002691 transcript:KVH95261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MYPNSRPLIIAPRCMLLTWEEEFKKWDVDVPFYILNNNECSGQEDTLAVSLLKQAGNGKSFSRKRGWKNNAARGQWDSITSSIRKSQHKLHELKALIDPFVHVHKGTILQERLPGLRDALVVLKPTTTQQKLLGKIGGTKLSLENDYMMSLVSVHPSLLSEYVTHNEGFRKVLKMFERDPRVGVKTHFLMELIKLSGALNEKVLVFSQYIKPLGFIMELLKAKFQWVEGRECLYMDGQQEEKHRQASINTLNDPKSEVKVLLASIRACSEGISLVGASRVVLLDVVWNPSVERQAISRAYRLGQTKLVHVYHLVTGTMEGEKYIRQIEKSHLSELVFSSKNRDNLNPKISPTVSADKILEEMVQHEKLQHMFEKVEEGDF >KVH95228 pep supercontig:CcrdV1:scaffold_9:316769:318412:-1 gene:Ccrd_002683 transcript:KVH95228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFHEHIIADLLEEPSDGGGLVVLSSGLGMHKIITALLHLHHPSEGTLLILSASPSQKHSILTSLNPNPNNEVTNSPSEITSDLQAHHRLSLYSSGNAFFITSRILIVDLLTRRLPTSAVAGVVLLNAHSLSETCTEAFIVRILRSSNKNLYVRAFSDKPHAMVSGFAKAERTLKCLFLRKLYLWPRFQVYVSEDLERYPPEVVDIRVPMSAYMVGIQKAVIEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLV >KVH95264 pep supercontig:CcrdV1:scaffold_9:480608:482844:-1 gene:Ccrd_002696 transcript:KVH95264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 13 NKSWLGSFINTIIGNLKLSISSIHIRYEDLESNLGHPFAAGVTLEKLSAATVDDSGKEAFVTGGALELLHKSVELERLAVYLDSDISPWRIAKPWEDLQPFEWDQIFSFGTKDGKPASVLAQTHTYILQPVTGSANYSKQRTSSPDRDQPLQKAAVSLDDVTICLSKVNKSILFGHFTAL >KVH95235 pep supercontig:CcrdV1:scaffold_9:567733:569810:1 gene:Ccrd_002701 transcript:KVH95235 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxo acid dehydrogenase, lipoyl-binding site-containing protein MALRMWASSTANALRLSSVSRPHFSPLSRCFSSVLDGLKYANSHEWVKHEGSVATIGITDHAQDHLGEVVFVDLPDVGGSVTKATGFGAVESVKATSDINSPVSGEIVEVNSKLSETPGLINTSPYEDGWMIKVKPSNASELEALMGAKEYTKFCEEEDASH >KVH95218 pep supercontig:CcrdV1:scaffold_9:725201:732510:-1 gene:Ccrd_002715 transcript:KVH95218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTVAYASESDSESEAEPNLYPNPNTTSSYFIENEIFIATSAIVKLVALGYDEEVALKAILRNGHCYGGMDVLTNILHNSLAFLNCGSSGNEGDADESEQVFADLRQLEEYSLAGMVCLLQQIKPHLSKGDAMWCLLMNDLHVGRASVMEIPIASNANGDGYSNSESSGPVVNNVEKVNNDSVGVTPALCRFHGGWGFGNGGTSDFPVNRFLSYAASEMSLQREIECPKRFNLTPSMKMLLKRNVAMFAAGYRATAKDLQVQSRVGSSTLSIGHPSNAVEASCEVPPPPEKTEEKQSEKNQEVVNMMLNKFRDMNLEGDMEYVPEDQKDEMILSLMHQIRDLERQVKERKEWAHQKAMQAARKLSHDLTELKMLRMEREETQRLKQGKPTAEDPTMKRLSEMESALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVNTCLEVARREKKYLKRLLAWEKQRAKLQDDIAAEKRRIIELQEEMLQVEAAKKAAETKWRQEQKAKEHALAQVEEERRLKEASESNNKRKHEALRSKIELDFQRHKDDLQRLEQELSRLKLSTNFDQNSTNPSAAEIPNGSHPQGPTIAKLLHELDDLDEKEAGIDRECIICLKDEVSVVFLPCAHQVLCASCNDEYGTKGKATCLICRVPIEQRIRVFGASS >KVH95198 pep supercontig:CcrdV1:scaffold_9:922625:924643:1 gene:Ccrd_002726 transcript:KVH95198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 MGAGGPDEEEQKWPPWLKPMLRESFFVQCKLHADSHKSECNMYCLDCMNGALCSLCLNHHKDHRAIQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFERKRKIKPEKKHLVSDSEDSYSSSSSSHGRERSNRFSPSTPPPTAASFRTTKRRKGIPHRAPMGGIVIEY >KVH95265 pep supercontig:CcrdV1:scaffold_9:439904:444143:-1 gene:Ccrd_002695 transcript:KVH95265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 13 ALAQGVVFGVSGVVRKPVESARQNGLLGLAHGLGRAFLGFVVQPVSGALDFFSLTVDGIGASCSRCLEVINNKTIIHRIRNPRAIRADNVLREYCEREAVGQMMLYLAEASRRFGCTDIFKEPSKFAWSDLYEDHFIVPYHRIVLVTSKRVMLLQCLAPEKMDKKPCKIMWDVPWEDLMALELAKAGNPRPSHLILHLRNFKRAENFVRVIKCSTEEESEGRDPQAVRICLVVRKMWKAYQSSLKSLILKVPSSQKHVSFAWNETDWRNSRNQNKSILKSRDFLSPRSTSDKGSFVKYVINFVKVWTSDQELKGHRTKNVNISTVVCLFSLFVCKDVTWITLSCFSLGQVVEDRGICSIWRPICPEGYISVGDIARIGTHPPNVAAVYQNTDRLFALPLGYDLVWRNCADDYMSPVSIWRPRPPEGYVSVGCVAISSFTEPEPNVVYCIAESIGEETTFEEEQVWSAPESYPWTCCVYQVCSPALHFVALRQPREEAGWKPMRVMDDSSRTSEASSSSVRGH >KVH95242 pep supercontig:CcrdV1:scaffold_9:211747:213992:-1 gene:Ccrd_002673 transcript:KVH95242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MDGKGYGKWYPVLVMIAVHFAFATINVLLKKVIDDGLNHLVFITYRLTVATIFLAPVAFFFESGRAKVIGTLVCVGGATLLTLYKGVPLFNHHSHLQDTINVQDISRLSSVLTSRKARWTSGSLALIAGSILWSSWFLVQSNIGKRYPLQYSSTAIMMFFGAIQSFVLSMSLERDISSWILKGKLEIFSVFYAGIIGSGFCFVAMSWCVKKRGPVFCAAFSPLVQMIAALFDIPFLHEPLHLGSLVGSAIVVIGLYILLWGKNKELQTSGVAKGDPDNEKAKVQDPESQVTCDSKDPPTPES >KVH95232 pep supercontig:CcrdV1:scaffold_9:347035:353679:1 gene:Ccrd_002687 transcript:KVH95232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MLLTLHRCLVSMGCFCSTPQGKKGLKPVRREDPRTLASQTAFSVSEVEALFELFKSISSSVIDDGLINKEEFLLALFKNRKEQNMFANRIFSLFDVKHKGVIDFGDFVRSLNVFHPKASLEDKINFSFKLYDLDGTGFIEREEVKQMLIALLHESELKLADDTIEIILDNTFSEADRDQDGKIDKSEWHAYVTKNPSLLKIMTLPYLRDITVTFPSFVFNSQAEEEVAT >KVH95252 pep supercontig:CcrdV1:scaffold_9:721694:723030:-1 gene:Ccrd_002714 transcript:KVH95252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MMNDLGKKTDGLPEKMKRFPMVLCRKIWKVGQDDPRRAIHALKVGFSLTLVSLLYLMEPLFKGVGQNAIWAVMTVVVVLEFTAGATLCKGLNRGFGTLLAASLAFFFEFIAREYGKVFRAVFIGASIFLIGALTTYLRFFPNIKKNYDYGVLIFLLTFNLITVSSYRVDDILKLAQGRIYTIAIGSGVCILMSLFIFPNWSGEDLHNSTVSKIEGLARSIEACVVKYFNDEEPDLEIDETTEDPIYNNYKAVLDSKSTDETLARHASWEPRHSWHCHKFPWQQYVKLGGVLRHFGYAIVALHGSLQTEIR >KVH95238 pep supercontig:CcrdV1:scaffold_9:620531:621444:1 gene:Ccrd_002705 transcript:KVH95238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclase/protein prenyltransferase alpha-alpha toroid MASINGGLPAWEPTRSSKWLEILNPTEFFDDIVIEHDWRMVVGEKATEPA >KVH95258 pep supercontig:CcrdV1:scaffold_9:493069:494510:-1 gene:Ccrd_002698 transcript:KVH95258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATVRKQCRLQQNNGLASIAVIEPGFSSSSSSENHRNRSRLISRSLYSFSSIRSGMFFNGRFQEQPPHFLDACFLCKKPLGCNRDIFMYRSFSASIKAMRKKEESEKSSNSSPNYPFRSGAVAAA >KVH95224 pep supercontig:CcrdV1:scaffold_9:389048:393225:1 gene:Ccrd_002689 transcript:KVH95224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKKTGLQIGEERSSSDSKTPQFQFQENSNFNANYVSRISQLQIQIPTNYNEQRPNFKENATTTTTTLLSNPQKRPVVMSPQQKTSPHHHHHHHHPQNHPRRTSHHKSLVCCSSSEALLATKTIALKLLKFTHVKLFWIKVPFRVLILLFLPSIYYFSLSYRSFYLYILFVAAFCCVLLSSFNINSFHSTNLPSFRLFVARNFPNLKLYKSDNASKTHPPVVWSIGSKTRLEESTNSGFLVKVYSNGDVYEGEFYKGKCSGSGVYYYNLNGRYEGDWVDQKYDGYGVETWAKGSRYRGQYRQGLRHGYGVYRFYTGDMYAGEWFKGQCHGCGVHTCEDGSKYSGEFKGGIKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGGWHEGRRQGFGMYTFRNGDTQSGHWDNGVLSISTSRDTFLAGSTSEARRIAEKAVGVANVDERVNRAVTAANRAANAARVAAVKAVQNRMHQHDNGDDDHLPPLDIV >KVH95209 pep supercontig:CcrdV1:scaffold_9:672295:676135:1 gene:Ccrd_002709 transcript:KVH95209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MVMKKWLNIQPKHNDFSEDEVDTEAESEDDGMPAKGVVKHKRGKSETMRVHYIKTKDVRMTIGTWNVAGRLPNEDLEIDKWLCMHQPADIYILGFQEVVPLSAGNVLGAETRRPISKWEAIIRKALNRSTEPVAIPKSYSAPTSPISNKDGDYGSTKISVPERKVINRLDWPEIALDLKPKVLSSGAKLRRVLSSSARVSSDWLAPQDNGGGLKRVHHSSGDLGLLWTEQQERTDMVDSLDDVSEQVLEEEDDSFEDATEVEREKACLDGQENSHHRYVRIVSKQMVGIYISVWVRKQLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMSLYQTRLCFVCSHLTSGHKDGDEERRNSNVSEILKRTRFSSTLDPDQPQTIPCHDQIFWFGDLNYRINKQDAELCKELRSGHVFDGWNEGVIEFPPTYKYEVNSDRYVGENPKEGEKRRTPAWCDRILWLGKRIKQVSYDRADIRMSDHRPVSSVFAIEVEVFDPRKLRRALNLTSAAVHPLMILDEGELELA >KVH95262 pep supercontig:CcrdV1:scaffold_9:395396:398341:-1 gene:Ccrd_002690 transcript:KVH95262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KVH95229 pep supercontig:CcrdV1:scaffold_9:325562:328978:-1 gene:Ccrd_002684 transcript:KVH95229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase domain-containing protein DYEFLLLKKDQIALEQTCHEEPIKLSLTKDRVRVLFVSDQTLMGRRQIDSEPTRWVSLTLLLMGLFSCSLVYMFMTAVLRPNENSNFSSISEAESLKLEENEGGCCRGIDNFELWGAAVKWGSDFKFNSSIECCEACKTMCNGNDGPCLCDSWVFCGNPDACGSKLGEKQKDPLSPDKREGGDNIWTSGLIYGKGEGIVKLETRYGTLHIKASFGPPFALIQGTLEAEGVPFKKIPTEECPIIERGSVAWIGSGPEFFISLANHEEWKKTYTVFGYVLPEDMAIAEKIATLRTIPDVWNNINVSVLEKPVSFSFKRINKVSIET >KVH95199 pep supercontig:CcrdV1:scaffold_9:877669:882640:1 gene:Ccrd_002725 transcript:KVH95199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MADSVHHPLSQSPSFFKSFSCPKDSLSPTQTLDLDPHLSSRRSKLHDHARSRMLFDEIAQQQEENMENSNSLESQYQKDDDLLMSNEVLEDSDQPLLSPSGAEPNTLSEPLSPLALDDSANNLVDETDAWISYNRSQTEVYKPFPSVASSSSSWYEEAYEKPCMVGAGLANLGNTCFFNAVLQCFTHSVLLVQGLYSYTHPTPCDCSNERFCLICALREHIENSLSSTGKIVSPWKFVDNLSCILDFFHIFLLIYQQEDAHEFLQCFLDRLESSLNNLKVKDDAVSLQSDNLVKQVFGGCVVSKLRCCNCNYISDTYEPSVDLSLEIEDAISLSTALESFTKVEHIEDEEMRFTCDQCKEKVSVEKQLMLDQTPPICAFHLKRFKNDGSYVEKIDKHVEFPLELDLQPYTCGRQSNNEDLKYELNAVVVHAAFTSSCGHYYCYIRSAPDTWYKFDDSKVTSVSEACVLSEEAYILFYARQGTTWFSNFMETYKPSLDPNLSNTSPKSVLENVDHTSTSADAVHSHEINESCSNKVAAPGVKDRSFQSGTMVPSKSSNFSDLNASNPHVLKEKSPLTLKEYGSNHMPEVEKNTGVTPSRHNRLQGAADSEDDPNRAFSTPFVRPSDGKTTTFDISQVVSPSTPPRSPGLDSSDDENSEVVFAPKADQLKLVEKPSYKRQRSKDVEDSVKHEALRQCKRMPSARGNLLMAALEMGPKSENSVNKRSKKIASPPRKKYSRSSEGFRHDDKSISRNLATSSFR >KVH95233 pep supercontig:CcrdV1:scaffold_9:1012419:1015766:-1 gene:Ccrd_002730 transcript:KVH95233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDYGRESGNNVVQIITGGIPRNGIDNWGDQAVWATEDEYGIWDREASVDITSNSNHNGRQSQIRSGNAQLGDVQATSRSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVAAHEEERGVSSQLREEYQIPSLGSSSFTVDSQRSSKGRHCKKFFTEEGCPYGDNCTFLHDEQSRTRESVAISLGPGSGGGYGGGGGGGGGASGDGGGGGGGTVAAAAASATASNPTIKPSNWKTRICNKWEQTGYCPFGSKCHFAHGATELHRYGGGLVDTDAKDYVSPLDLNKPGIMPSKPPADIIVASSPSSAHTDGYHVGAPSQRLPGVIPRTGQRPFQKWKGPDKISRIYGDWIDDIE >KVH95200 pep supercontig:CcrdV1:scaffold_9:873109:876777:1 gene:Ccrd_002724 transcript:KVH95200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRQVPESAAHVFTVASNTQSSVTTPYASCFQHVEYFQNAKTGSGGVNITPKSSAFFAGYAMDSGSLKEVAWQEIGNWVLIYVLLAKGTIYIHIILVGNGKSSFYQFAFGRNYSTRGDPRSSLMTPS >KVH95234 pep supercontig:CcrdV1:scaffold_9:570367:575784:-1 gene:Ccrd_002702 transcript:KVH95234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESESVPVADDDDEEVEEEERDNHGGNDTRRLLGEDDSLMKKVVEEEERDNHGGNDTRRLLGEDDSLMKKLHEMNRNSEVASDSIKRSRWFDFVHPSNAVYVWPFRSLTCFTHETILKITIQHYMDNRPQSEEALVERAREYLIAISDCVPESNSCLIEPEIPKGENNIAFENKVEKVVDELRSKLISIASHVPSMDCADNKNNGNDNGEV >KVH95207 pep supercontig:CcrdV1:scaffold_9:613526:615283:-1 gene:Ccrd_002704 transcript:KVH95207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLIFPTKMRRWYLLIKVQMAKCIREQGSKHDAEVSPRTIILHYSHGTGMKMFQTSDRSGEGRAQTQHRPQDQQQLILREGRKTCLDDPDSDFPESILAYRLR >KVH95201 pep supercontig:CcrdV1:scaffold_9:832189:833641:1 gene:Ccrd_002723 transcript:KVH95201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MEKGDTSSTAEEDKPPPPLPPQTITTTTTHHLSAPPGLTEDEFSELKPHVIDFHTYHLTSSQCSSLLAQHIHAPLAVVWSVVRRFDKPQTYKHFIKSCTVTEGFTMAVGCTRDVNVISGLPAATSTERLDLLDDQNHVMGFTIIGGEHRLRNYHAVTTVHEITTAENARPMTVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVTEAMAHDADATVKILRSGPSSYDCFKLCKTKPLELYGFAIDFIGFVELVDGSPNG >KVH95243 pep supercontig:CcrdV1:scaffold_9:196749:198353:-1 gene:Ccrd_002672 transcript:KVH95243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated domain, HMA MANLRSERLFGSFISAVISYCFSYQANYNTTGHGKHDMPKGRRPLSLQTIELQVRMCCTGCERVVRSAIHKLRGVDSVEVDLELEKVTVIGYVDRNKVLKAVRRAGKRAEFWPYPNPPLYFTSSTNYFKDTTNEYKESYNYYRHGYNMGDKHGNIHVSQRGDDKVSNMFNDDNVNACCVM >KVH95254 pep supercontig:CcrdV1:scaffold_9:1023684:1026039:1 gene:Ccrd_002732 transcript:KVH95254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKAVLIRTGSVPIHSSLLSGSPRLSIHVRHNSFSGSDHKVEFSPLLHLEVNNNRRRISRTMSEPQGITRLRSISAGSQTFQEMLPEVDEESLSYEDVVGGTASLKFAEKCFDLMSSTPASGIKVDELQFPSAGSGSGKDRDGFGPGRSSGGSSNNDYERSKIGAYYQQMLKSNPNDPLILRNYGKFLHEVEGDPVKAEEYYGRAILASPGDGELLSLYGKLIWDTHRDGERAKSYFDQAASASPDDCMVMGSYAQFMWEADEDEDESGSNVSISAAETMVAAF >KVH95263 pep supercontig:CcrdV1:scaffold_9:483638:486638:-1 gene:Ccrd_002697 transcript:KVH95263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 13 MLEDQVAYLLQRYLGNYVRGLSKEALKISVWRGDVELTNMQLKPEALNALKLPIKVKAGFLGSVKLKVPWSRIGQEPVLVYLDRIYLLAEPETQVEGYSEDAIQKTKKSRIHDMEMKMLESRQILTTEM >KVH95202 pep supercontig:CcrdV1:scaffold_9:823271:826358:1 gene:Ccrd_002722 transcript:KVH95202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MGDVAKDLAAGTVGGAAQLIVGHPFDTIKVKLQSQPTPLPGQLPKYSGAIDAVKQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMETLLRSEPGAPLTVNQQVIAGAGAGVAVSFLATPTELIKCSGPMDVAEQVLRSEGGARGLFKGLFPTLAREVPGNAAMFGVYEALKQYFAGGTDTSGLSRGSLMMAGGLAGGAFWASVYPTDVIKSVIQIDDYKNPKYSGSIDAFKKILKSEGIGGLYKGFGPAMGRSIPANAACFLAYEVTRSSLG >KVH95205 pep supercontig:CcrdV1:scaffold_9:975385:978108:-1 gene:Ccrd_002729 transcript:KVH95205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MALETLSSNELLNFIIYDTISATPFSCNDSSETTTTNTNPCSSFFFHLENQNPNPPLNQELSGAAAAMEIMTSNSSLTTTTAKMSMAVQAYCSGKIPEKNYSNNNNNNNNNQNLGVQGGGKKKRRRRPRVCKNKEEAETQRMTHIAVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLEAQKFLLTQQQQQQQQQQQQREEEDSDFADNTKLSSPLPPFSQFFSYPQYTCSQIPNKYTSKSKAAIADIEVTLIETHANLRILSQKRLTQLSKMVACFQTLHLSVLHLNITTLDPLVLYSISVKVEEGCRLNSADEIXGAVHQMLRIIEEEATLCVDSIN >KVH95226 pep supercontig:CcrdV1:scaffold_9:311793:313162:-1 gene:Ccrd_002681 transcript:KVH95226 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase MVTRKAGGQKEADKEMQVIVDMREFMSSLPNVLHQKGMNIIPVTLEVGDYILSPMICVERKSIQDLFSSFASGRLYHQVEMMVRYYRMPVLLIEFSQDKSFSFQSTSEIGEDVTPHNIISKLSLLVLHFPRLRIVWSRSLHATAEIFASLKTNQDEPDEVKATRVGVPSEEGVVEDDVRAENYNTSAIEFLRRLPGVTDSNYRAIMDGCSSLAEVALLPVERLAELMGSQKSAKILRDFLDAKYPTLL >KVH95212 pep supercontig:CcrdV1:scaffold_9:62838:74266:-1 gene:Ccrd_002667 transcript:KVH95212 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4005 MSLKEDISMKVNGRSKVPPGFRFHPTEEELLHYYLRKKIAYEKIDLDVIREVDLNKLEPWDIQGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVVYSSLKRIGMRKTLVSNFCDCGHEEGWVVCRVFKKKNYHKSLESTQRSLSATTDTTGAQSESLNNDGSIILDQLLAYMDSSRSCKQESSETFTHNSNSMQQFVNPMSNVDNMFLHLPRLDSPCPESDQAEYPRNTMVDEHEQCISNWVDLDKFVASQLNGQMESSKHHLFSCYGEHNDEQDLCGYRMTDRLNEEASYNTEKREKRRWLFRRSSNNTVVQHQSQVKDNDNKASTNDVPQQPKHIIDLATTDEEKHAILMAAATIKAAEAAATTAHAAAEIIRLTTRPSSISVKHHFAAILIQTSFRGYLARSALRALKGIVMLQAVIRGQNVRKQATITLKCMQALLRVQSRLQDQRSRLSHDGGRKSMIAETANFWESKYLQDIRQRKSMSRDGSCFPDDWSDRPHTLEELDAILQSRKDRETSLATAFSQQKPNRNPSNMDEELEESASWLDRWIEAKQWENQRSSRASFDRRDSIKTVEIDNSRPNSRSGTSMHKLPHHTSHYIPNSPSRRSSYSPSNGQQPITPSPIKTRPLQIRSASPRCLKEERSYVNTNIQSLRSTPRVMGSMCRYSTCANDTAIPNYMAATESAKAKTRSQSTPRQRPSTPERERVGSAKKRLAYPIPDPCDDYKAHYYDYGHNLRSPSFKSVQVGHVGMGQQWYYAESTAGEISPCSTTDLRRWLR >KVH95215 pep supercontig:CcrdV1:scaffold_9:112605:114878:1 gene:Ccrd_002668 transcript:KVH95215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MEVRIKILFTSICHTDLGAWQGKNEAQRVYPRIFGHEASGVVESVGEGVEDMKAGDHVVPIFNGECGDCVFCKSKTSNLCKKFRVDPFKSVMANDGKTRFWTKQGEPIYHFLNTSTFSEYTVIDSACVVKIDPSASLKTMTLLSCGVSTGLGAAWNTADVQAGSSVAVFGLGAVGLAEIREISEGGVDFSFECAGNLDVLREAFLSSHEGWGLTVLLGIHQTPKTLPLHPMELFDGRRIIGSVFGDFKGKSQLPEFAKKCMHGAVKLDDFITHELPFSEINKAFQLLMDGKSLRCILHL >KVI09460 pep supercontig:CcrdV1:scaffold_90:374811:377043:-1 gene:Ccrd_012178 transcript:KVI09460 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MLDSSSNRRIFPQGRYMMFAFVFITFFVLISVLSIFIIPVSSYNFIQYNQSQVSALISRLLSNSTVSSPQFARKFDGFSRRDSWFSSNHNFSSGKTMAKPSSNDQIPKRTNGVSVEAPSPNGLSFNHDFSSWKSRIMPPDDARVSENPNGYLRKVKSPQGFHDQDYNFTVEYYSSPFLVREWKMQNANGSTKETLRLDLVHRSSDLYRNADILVFNTGHWWTHEKTSAGKGYYQEGDHVYKQLHVMEAFRKAMTTWGRWIEAKVSRKTLVDGQWNSGGQCDKDNEPIVLEKDLPHENNRVMMEAAEAILTKMKIPILYLNITRMSEYRRDAHPSIYMKPNMTNEERMTVFKFQDCSHWCLPGVPDTWNEMLYGQLLLKYRQQLLKYKQ >KVI09445 pep supercontig:CcrdV1:scaffold_90:230106:241072:1 gene:Ccrd_012191 transcript:KVI09445 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MEKYAVSVAQIGENPSPITRSQWKRSRIELTGKSVRNYHHDVSPLLMQSYSEIGAFRHTYHIDGLPCKTHITSVYSFSFSLINVTTIGYSSDTSSNRLMGVSALEFDTKGIYLASGTRTGCLTVHDFESLYCQSNESVLRPQEDEGKHLLHISLSNCIDVVRWNPTNQDEVACSSLCNDEVRIFDIGYISSEPTEILRKRPTVTVHGGSARKGLSDIAFLDDNSSRVLASDACGAISIWDRRASHLPQTGLATNANSSLTSIQLNGDQCVYGASKSGFIYIWDLRGGRSSAAFQSHNKAQSNILPKEIQSIDINPSCPYQLGFHLDDGWSGVLDMHNFQVTHIHCPPPPWLDETDDEIPIFSSRKPSWLPKDSIYAVGSSSKNGIHLLDFYPHTSSPCHVDHDELENAQGSSQRKQNKFVPLSERVTACTTHPLNGTIVAGTLGASLLMISQKHISYKNEDDVDAAES >KVI09455 pep supercontig:CcrdV1:scaffold_90:405056:407114:1 gene:Ccrd_012173 transcript:KVI09455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNTPTCTHTFTPKNHHPTTTATLLPKTLFLPRGLPIFGRKIKGFSSDWGFVSDKTSGIGRSRIKSSLIEAPVLWAGRVCIFYALLKAGLAGSPSNPLASTTELESDGDDLGFSKWFAEFKGKPEKEAADKRKLVSKWHPTTKGTLRRNYRIPSTSEGRRLLKAIASLLSDDDHFRDATSHKGCQIRRESAHGETVCCNNVRALFDELPTPHLVVEITPFPAGPLTESDYARAEKLEKVLRSGPSV >KVI09435 pep supercontig:CcrdV1:scaffold_90:87162:91337:1 gene:Ccrd_012201 transcript:KVI09435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAKPKPSATPAVSIEDLFTTLDRHIQRSEYEQAVKVADQVLSVAPGDEDAIRCKIVSLIKADNIDGALSTIVACSKKFPFDFDFFKAYCLYRQNKLDDAMEALKGLEKDSAAMLLESQILFRQGKMDASVDIYQKLQKSKIESLEINLVAGLVSAGRASEVQGMMDAMRVKATSTFELAYNAACALVERNKYSDAEQLLLSARRIGQETLMDENLADDEIEIELAPIAVQLAYVQQLFGNKQEAIASYTDLIKRNLPDESSNAVAINNLIALKGPKDISDGLRKLDRLIEKSTGDPSFQLVPGLELKLSPKQKEAIYINRMLLLLHSNKIDQARVLADALPQMFPNSVTPILLQAAVFVRENKAGKAEEMLGKYAEKLPADKSKMVLLARAQIAAAAGHPQIASESLSKISDIQHMPATVATLVSLKERAGDIDGASVVLDSAVKFWSNAMTEESKLSVIMQEAAAFKLKHGQKEEASKLFEELVKSHNSIEALVGLIQTAAYTDVEKAETYEKQLKPLPGLKYVDVNALEKTSGAKHVENGPHLGNTEPHETKSKEKAKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSFRPKRKDKRAAQIRGSQGAVAKEAANTGNTKSSQPSNAKGSSSSAASAQPKPSSKSSRKKSRN >KVI09458 pep supercontig:CcrdV1:scaffold_90:424333:426877:1 gene:Ccrd_012170 transcript:KVI09458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF538 MGQPPWQHEKAVYSVCVHLPINTSLTLHYSTTMAAKTLLLLTLLTVISSTTALSDDPQPSVYDILEKYGLPSGLLPDSVKSYSLSPDDGSFVVELEKACYIQFDYLVYYDTKITGKLNVGSITDLDGIQVKRFLFWFDVDEIRVDLPSSDNIYFTVGFINKKLDIDQFETVHACTDNALASCGQSSNPISQIGYLSVLRNTQHRSQLDKFAGADIPPILVDCLLPVSVEEVEMLITE >KVI09432 pep supercontig:CcrdV1:scaffold_90:475053:476396:-1 gene:Ccrd_012163 transcript:KVI09432 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS1 domain-containing protein MGSLNYGAFKLIFYAFLLTSIHIATALQHQTPKSNSTQINSNSVLVALLDSHYTELSELVEKALLLQTLEEAVSRHNITIFAPNNEALERQMDPEFKRFLLEPGNLKSLQNLLLFHIIPSRVGSKEWPGEDVGSVVAPHKTLCVDEADNHLPLTRGNSGEKIVGGLARVTRPDDVIRPDGLIHGIERLLVPRSVQEDFNRRRSLTSISAVLPEGAPVVDPRTHRLKKPASPVPAGAPPVLPIYDAMAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIQTLVHYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSDPGAPEQIMYYHLIPEYQTEESMYNSVRRFGKVQYDTLRLPHKVVAEEADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDGVLMPVEETTEKPASKVAMTKAAPKQRRGTFSSS >KVI09453 pep supercontig:CcrdV1:scaffold_90:387942:395474:-1 gene:Ccrd_012175 transcript:KVI09453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MPELRSGVCRPRASPVVDKKSGGRTPKAAAGKKRSECLPSNYVKTRAAAAREAVADGVEVKQEKKPKRKKKKDFAPTPVVVVTKPEEDKDEGAVKEIQMADASGGLSANKVTGQEEEGNTAPFPDRVQVGGSPQYKVERKLGKGGFGQVFVGRRVTGGTERLSGPGAMEVALKFEHRNSKGCSYGPPYEWQVYNTLGGSHGVPKVHYKGKQGEYYVMVMDMLGPSLWDVWNSSGQSMSSEMVACIAVEALSILDKMHSRGYVHGDVKPENFLLGQPSTVQEKKLFLVDLGLATKWRETANGQHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPAPVRQFLEIVVNMKFDEEPNYSKLISLFEGLIGPNPAIRPINTDGAQKIIFQVGQKRGRLNLDEEDDGQPTKKIRMGVPATQWISIYNARLPMKQRYHYNVADARLGQHVERGNADGLLISSVASCSNLWALIMDAGTGFTSQVYELSPFFLHKEWIMEQWEKNYYISCIAGANNGSSLVVMSKGTQYSQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQTALVLSVPRRKPGDETQXTLRTSQFPSTHVKVSLLDGNY >KVI09449 pep supercontig:CcrdV1:scaffold_90:131737:137310:-1 gene:Ccrd_012199 transcript:KVI09449 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRELI/MSF1-like protein MVFFPVNLLFRFLILSLVVSSRLSISRTRSKQATTKMVKAYSQEHTYKHPWERVTSASWRKFADPENKRTLSHILEVDTLNHKLDSESGKLYTTRAITIHAPGPWFLRKIVGQDICHCVESTVVDAQTRSMQLATKNISLQKYVEVEEKIRYDPHPDNPDGWTICRQETSIRIKPLSTLASMAEKIEQKCVEKFQQNSAKGREVMERMCKYLEAESSSRGISV >KVI09419 pep supercontig:CcrdV1:scaffold_90:366733:368826:1 gene:Ccrd_012180 transcript:KVI09419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTPLRSIIETSVSALPTLATTHSQLIKLGTIGDTFAANNLLGGYLKSRELRIALKLFDEIPQKDTVSWNSLIAGYVNYGDLESAWEVLICMKRCGFFFDGYTFGCILKGVASNDCLFAGQQVHGNIVKMGYEENVYSGSALLDMYAKCGRVVDAHKCFNCMPDRNSVSWNALISGYVETDDHVNSFWLFKSMHREGVRLDDGTFSPLLTLFDSPDFYKLTMQVHCTIIKHGMASDSSVLNATISAYSECGSIKDAKGVFDGAGGERDIVSWNAMLAACLEHDKRDLAFNLFTEMQILGFQPDIYTYTSMISSCFEEEVQNQGQSLHALVIKRGVEHLTPISNSLMAMFTGSTSSHMEYAITIFENLEFKDQVSWNSMLTGFSQHGFSENALKLFQIMQSGNVGMDYYAFSAVLRSCADMATLQLGQQIHALAIRSGFESNEFVTSSLVVMYSKCGIIADAARSFETSHKLNSVTWNSIMFAYAQDGQGHVVLKLFCQMRKTKVKLDHITFVAVLTACSHIGLVEEGYYFLKHMESDYGISPRMEHYACVIDLLGRAGHLKEAESLVRAMPFQPNAMVWKTLLGACRLCGDVELALEVANLLLESEPYEHCTYVLLCDLYGHLRRWDEIAVLKRTMKEKGVKKVPGWSWIEVQNQLHAFKADDHSHTRNEDIHQMLRRLTDDIAHLEISYDWGNSMDD >KVI09430 pep supercontig:CcrdV1:scaffold_90:516838:520415:-1 gene:Ccrd_012161 transcript:KVI09430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDALRTRFMTVFVVSGSVVILAHQFHKRLVSNFMKKIEFELGSGPNQTKKKVRFSCEALKVLSTNKQNSKKLSSNVTMAAAAMDRSCDNKKCRKTMPLNWQIMYKGIIDYKNSISVP >KVI09429 pep supercontig:CcrdV1:scaffold_90:521151:525603:-1 gene:Ccrd_012160 transcript:KVI09429 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MNRLKSPARLMIVSDLDHTMVDHHDPENLSLLRFNALWETKYRHDSLLVFSTGRSPTLYKQLRKEHPMLTPDITIMSVGTEITYGNAMVPDEGWVTVLNHKWDKKIVTEEASKFPELTLQSETEQRPHKASFYVKKEKAQEVMKNLSEILVKRGVKNAQEELLQWHAENAKDNPKIIHADERCAAGIIQAIGHFKLGPSMSPRDLPNLLDAKLDHFDPAYEAVKFYLLLERWQRAEMQNPEHYLDYLKGVCVCSVTFTAIHVFWSFNPILIILVAFRSPFTQSPLGAYINPFGVEQSLHDIIGTLKDCSGDKKGKQYRVWVDQVFPVQIDSDTWLVKFKKLEQTGVSSFTHFAISIFLCEGQQCCFTTAILSSKVTLFFLLKISLQELRMMVFLDVCFDHCCLQDVKPSQGFSWVNVHQTWMDDELTTSGKNWYL >KVI09450 pep supercontig:CcrdV1:scaffold_90:146015:146494:-1 gene:Ccrd_012198 transcript:KVI09450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MAQKREKEETELKVPETLTLCTPPISAASSTMSASDDRRSSPDRSDLKSGGVTGVNVDFRPNSGASPEKTDLPKKRRLEAVEPFDNIISNRLKRREVNRCSGCKRKVGLMGFRCRCGEMFCSEHRYSDRHDCSYDYKAAGREAIARENPVVRAAKILKV >KVI09448 pep supercontig:CcrdV1:scaffold_90:223715:224125:-1 gene:Ccrd_012192 transcript:KVI09448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KVI09456 pep supercontig:CcrdV1:scaffold_90:407173:412016:-1 gene:Ccrd_012172 transcript:KVI09456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSSMINDPAPSSHTRIVFRSAIVSRILLLTLMVIWRSILTPYDTSASINPSCLSSTTTTTHHHEQLIRFPRIAAAIENGIVWDSVYFVRIAQCGYEYEQTYAFLPLLPFCISFLSRTVLQPLIPLVGHRAVLALCGYLLSNMSFVFAALFLYRLSVIILKDSESSLRASILFCFNPASIFYSSIYSESLYALLSIGGLYFLMSGANNFAVLWLAFSGCARSNGVLNAGYIGFQTMHRAYDAVFLQKRAFLAFQVLISGILRCVFIFIPFVAFQAYGYYNLCDGLSPEKLRPWCKAKIPLLYNFIQSHYWGVGFLRYFQLKQLPNFLLASPILSIALCSIIHYVKLQPKEFFSLGFRVAPKSYGDARILISPGINTKNTSPSERDTSTVSQEDQARRRRKSSSKEAGPTVLATENLSTDKLGKFSIIIIPFVLHLGFMVATAFLVMHVQVATRFLSASPPIYWFASYVLVSPSTSKGWGYLIWGYCAAYILIGSLLFSNFYPFT >KVI09454 pep supercontig:CcrdV1:scaffold_90:396713:397057:-1 gene:Ccrd_012174 transcript:KVI09454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octanoyltransferase MIELTSLYGVKAHGQRKCEIGVWVRERKIGAIGARILYGITFRGLAFNIDPDLSYYKHIVPRGIADKEVTSLRRETDMVLLGDDLKVVGAYTNKKVVQFNQDFSAKEDVRWYHV >KVI09434 pep supercontig:CcrdV1:scaffold_90:427215:430499:-1 gene:Ccrd_012169 transcript:KVI09434 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MAQLPEPERSPSVQQRGFRVQAPLVESVSCYCRVDAGLKTVAGARKFVPGSKLCIQPDINPRAHKSKNGRRERTRIQPPLLSGLPDDLAIACLIRVPRAEHNKLRLVCKRWFRLLSGNYFYSLRKSLGMAEEWVYVFKRDRDGRISWHAFDPTYQLWQPLPPVPVEYGYALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRKRHFFGACVINNCLYVAGGECEGIHRTLRSAEVYDPNKHRWNFISDMSTAMVPFIGVVHNGKWYLKGLGAHREVLSEAYSPESNTWGSITNGMIGGWRNPSISMNGQLYALDCRDGCKIRVYEEGTGSWKRFMDSKMHLGNSRGLEAAALVCLNGKLCIIRNNMSMSVVDVSSPNKEVESNPEVWENIGGKGQIKTLFTNLWSSLSGRNGVKSHIVHCQVLQA >KVI09446 pep supercontig:CcrdV1:scaffold_90:243858:252625:1 gene:Ccrd_012190 transcript:KVI09446 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEASNNILMFPFMAQGHIIPFFALSLQLQQKGYQITFLNTPLNIANLRRLLPPSSAIRLLEIPFNSSDHGLPPHSENTDSLPPNRIYDLLVASTSLKPAFTNILKGFLDAGAPPLCVVADFFFGWSAAVSHEFGIFHVIFSGSGGFGLACYYSAWINLPHQNSDSGEFVLPDFQEAGKLQVTQLTPSLVKATDDDPLSKFQIKNLTAWANADGFLFNTIEELDKVGLSYFRRKFRRPVWAIGPINLPLNGRPRAGKSGGIDTESCLHFLNSKPPSSVLYISFGSQNTISASQMMQLAKALDKSKKSFIWVVRPPLEFNINADFRAEEWLPENFKNQMENENRGVIIEKWAAQVEILSHKSVGGFMSHCGWNSVMESLSRGVPILGWPLAAEQFYNAKMMVEIAEVCVEVARGTDFEVRSEDLREKIEEVMSETGNGKEMRKKAFEIKKIIEEATSDEEGFKGSSVAAMEDFLQAPLLIKNLATNLPDNY >KVI09443 pep supercontig:CcrdV1:scaffold_90:164658:165824:1 gene:Ccrd_012197 transcript:KVI09443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MEATPLQDLHLHNLRAIKVLGNGAMGTVFLVHNLISNPSARSPFALKVVEKSCLQSDRRALWEISVLNRLNHKPHPFLPFLIGFLETHEFLAWAIPFCPGRDLNVLRNRQTDHVFSASAIRFYLAEIICALQHLHTMGIVYRDLKPENVLIQQSGHVTLTDFDLSRTLTAKSLQSLLILSPEEPKKHKRNLGRLFWVVVSDQNRAGITKTKSARVSPVTRRKTSFADGERSNSFVGTEEYLSPEMVRGDGHEFAIDWWALGILAYEMLYGSTPFRGKNRKDTFERILMMQPKFTGKPTPLTDLISKLLEKQPTRRLGYRLGASEVKEHPFFLGLRWELLTGVVRTPFIPSVELTTKTNGINIKEYLQKQREPPSPLDSPSCSISLTEF >KVI09431 pep supercontig:CcrdV1:scaffold_90:510628:514919:-1 gene:Ccrd_012162 transcript:KVI09431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSEEEQVKREDSTKELPDAELDKLKAERKKKRKIRLLKEAAKADKRGVCYLSRIPPRMDPLKLRQILSQYGELERIYLTPEDPAAHVHRKKAGGFRGQGFTEGWVEFTNKNVAKRVASMLNGEQVGGKKKSSFYYDLWNIKYLSKFKWDHLTEEIAYKNATREQKLALELSAAKKERDFYLSKVDQSRALSSIDERLKKKRKIQQAGGEVPGDEQQAAKVTRQFRQTKPVRDGAEKQKAGLSKDILAGVFGGSS >KVI09414 pep supercontig:CcrdV1:scaffold_90:533245:540722:-1 gene:Ccrd_012158 transcript:KVI09414 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MAFSNWFSRLRSSYKPNKFIQNSFIHSKHTPQSRSLENTVIINSNNSNKQSWRSFLLPIVLAVSGGSFAFHLQKNASLCDAPNHQERVGRIGGKDSTKYVVKGTYKPVPSELIRELKAICQDNMTLDYDERYFHGKPQYSFHKAVNIPDVVVFPRSEDEVSKIVRSCNRHKVPIVPYGGATSIEGHTLSPNGGVCIDMTLMKHVKALHVEDMDVVVEPGIGWMELNEYLAPYGLFFPLDPGPGATIGGMYGTMRDNVINLKAVLADGEVVKTGSRARKSAAGYDLTRLMIGSEGTLGVITEVTLRLQKIPQHSIVAMCNFPTIKDAADVAIAAMLSGIQVSRVELLDEVQVKAVNIANGKDLPEFPTLMFEFIGTEAYTREQTLIVQKIVSEHSGSDFVFAEDAETKKELWKIRKEALYACLAMAPNFEAMTTDVCVPLSQLAGLISKSKEELDASSLLCMVIAHAGDGNFHTVILFDPADEEQRKEAERLNNFMVHAALSMDGTCTGEHGVGTGKVKYLEKELGVENLRAMKKIKAALDPNNIMNPGKLIPPHICF >KVI09451 pep supercontig:CcrdV1:scaffold_90:377477:381009:-1 gene:Ccrd_012177 transcript:KVI09451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate-tetrahydrofolate ligase, FTHFS MSSRKLQVVSPVPADIDIANSVVPLHISEIAKDLNLNPDHYDLYGKYKAKVLLSVIDEVKEGQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAYLDKKVVTTLRQPSQGPTFGIKGGAAGGGYSQLNIIKTKPEDLTPEEVNKFARLDIDPDSITWRRVMDVNDRFLRKITVGQGPDEKGMTRETGFDISVASEIMATLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGYVVTEAGFGSDIGTEKFMNIKCRYSGLKPQCAIIVATIRALKMHGGGPQVTAGRPLDQAYITENVALVEAGCVNLARHIVNTKAYGVNVVVAVNKFSTDTDAEVAAVKNAALGAGAFDAVLCTHHAHGGKGAVDLGIAVQKACESATQPLKFLYPLDISIKEKIEAIARSYGASGVAYSEQAEKQIEMYTKQGFSGLPICMAKTQYSFSDNASAKGAPTGFVLPIRDVRGSIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTETGRVKGLS >KVI09417 pep supercontig:CcrdV1:scaffold_90:344450:361516:1 gene:Ccrd_012182 transcript:KVI09417 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,3-beta-glucan synthase subunit FKS1-like, domain-1 MTSRGGGSGSSDQTPPPQRRIMRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEPSRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLIGRMKKSDAREMQSFYRHYYSKYIQALQSAADKADRAQLTKAYQTANVLFEVLKAVNQTQAVEVDREILETHHKVAEKTEIYLPYNILPLDPDSANQAIMRYPEIQAAVVALRNTRGLPWPREYKKKNEEDILDWLQAMFGFQKDNVANQREHLILLLANVHIRQIPKPDQQPKLDERALNEVMKKLFKNYKKWCKYLDRKSCRQYSKKFNSMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLTKVVSPIYDVIAKEAARSKIGKSKHSQWRNYDDLNEYFWYDLVCELGSVDCFRLGWPMRADADFFCEPEKLRQFDKNGDNKPPGKDRWVGKVNFVEIRSYWHAMIIVAWNGSGDLTSIFDSDVFKKVLSVFITASILKLGQAVLDVVLNWKARQCMPFYVKLRYLLKVLSAAAWVVILPVTYAYTSKNPAGLAQTIKGWFGNSSGSPSLFILAVVVYLSPNMLAAVLFLFPFIRRYLESSDYRIVMLMMWWSQVHNLLDFAPCYEARIQLLFRGSVLTHTLTFGLIKPLVGPTKAIMNVHINTYAWHEFFPQGNKWCGFAAKNNIGVVVALWAPIILLLTLGMYTGVYGAFRRLGEIRTLGMLRSRFKSLPGAFNACLTPPEKSDQAKKKGLKATLSRNFATIPSNKEKEAARFAQLWNKIITSFREEDLINNREMNLLLVPYWADRDLELIQWPPFLLASMIPIALDMAKDSNGKDRELKKRIENDEYMSCAVRECYASFRNIIKFLVRGHREKEVINYIFLQVDKHIEEGNLVSEFKMSALPILYDHIVKLIKYLLTNKQEDRDQVVILFQDMHEVVTRDIMEDQLPNLDGSGYDGPLDQQYQLFAPAGAILFPTPESEAWKEKINRLYLLLTVKESAMDVPSNLEARRRISFFSNSLFMEMPVAPKVRNMLSFSVLTPYYTEEVLFSSHDLEVPNEDGVSILFYLQKIFPDEWNNFLERMKCNSEDDLRGSDELEDQLRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEDLMEGYKAIELNEDQMKGERSLWAQCQAVADMKFTYVVSCQQYGIQKRSGDLRAQNVLRLMTEYPSLRVAYIDEVEEPSKDTTKKINHKVYYSALVKAMPNSNASETGQNLDQVIYKIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQLTTLHSSRVRFHYGHPDVFDRLFHLTRGGISKASKIINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIARHNCLLQITVLTVYVFLYGRLYLVLSGLEQGFSTQPAIRNNKPLQVALASQSFVQIGFLMALPMMMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLLVYQIFGESYRGALAYILITVSIWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNQGGIGVPPEKSWESWWEEEQEHLRYSGKRGVIVEILLALRFFIYQYGLVYHLSMTKHQKSVLAISFGRMKFSAKFQLIFRLIKGAIFIMFVSILVILIALPHMTLQDIVVCILAFMPTGWGLLLIAQACKPVVKTAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSREMNLGFLCFAHNARCTCNLLESNGRKIDVWKSQGLVNPEFGLGPHELDWLRIIGPFLDQTPADSVLGP >KVI09436 pep supercontig:CcrdV1:scaffold_90:120917:123464:1 gene:Ccrd_012200 transcript:KVI09436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSSDHPYSTYFNGSWFNLNPNYHYLSSSPAAPPPPPLSYSSFTHYYQPSPPSPPLREALPLLSLSPTRRPLNQNQEFPTSTTNNDSSSTTTTTIAMELDHNNKTTTIDDDDHHETVTVALHLGLPTHSFSEADLIPRLSTNSNNNSEADHKEVDQEEEGTNNNGYLTSALNKGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRRGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIKAFGNGHAAYGIDDCCFELEEEEAASEIEQDNESSHCDT >KVI09452 pep supercontig:CcrdV1:scaffold_90:383985:386145:1 gene:Ccrd_012176 transcript:KVI09452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MAPGGNIYQDVAESRAALADYGVKSANVEGRNGPRLCGSAGGRPGMASNSAVHELLECPVCLNVMCPPIHQCPNGHTICVVCKSKVQNSCPICRQELGNIRCLALEKVAESIELPCKYHFYGCLDILAYHSRMRHEENCKYRGYNCPYAGAECLVTGDISFIVAHLKADHNVDMHDGYSFNHRYVKPNPHEIENATWMLTIFNCFGYQFCLHFEAFHLGTAPVYMAFLRFMGDENDANKFSYSLEVGGNGRKLKWQGIPRSIRDSHKSVRDSLDGLIITRNIALFFSGGDRQELKLKVSGRIWKEQS >KVI09425 pep supercontig:CcrdV1:scaffold_90:467619:472768:1 gene:Ccrd_012164 transcript:KVI09425 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2, C-terminal domain-containing protein MESGNGVHMEDENGAMNVQEQGENVETILVDHENSNKVEEGLESSRLGVSESSEIASGTKMPNSVEVTTNGGTSKNSKVAKNGTKGSLLARKPKPHLTQSLSIPAKPRNVDSMRTSMDGHPGKPRAALSNGNGTNPANRRASTGVKTKEMSASDGGASTRRATLDSSPSVQMSRSKKSNGIEDPPPADPLSVAPNGQHKNSVLGFSFRLDERAEKRKEFFSKIEEKIHAKEAERTNLQEKSKESQEAEIKQLRKSLMFKAAPMPKFYKEPPPKVDLKKIPTTRPKSPKLGRSKTNMAAASNGTSVSPPNVSATNSNQETAASKKPIRKSQSKTSSSKTEAKPGKSKEKPVKEVQEEEKACHESPSANPAEVEGCVEINAEKNAVPVLSPPTQDTMPADIVVGG >KVI09439 pep supercontig:CcrdV1:scaffold_90:3978:8020:1 gene:Ccrd_012205 transcript:KVI09439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, C-terminal MGEVVGDFEGDLKELRDTFNSGKTREASWRRSQLHGIISLLKERESDIFKALKQDLGKHHVEAYRDEIGTVAKSANYALGNLNKWMGSKRVKVPLAAFPSRGKLVPEPLGVVLIISSWNFPFGLSLEPIIGAIAAGNAVLLKPSEIAPTCSSVLAETIYYYLDNSAIKVVEGGPRVGQIVMAAAAKNLTPVTLELGGKCPAVVDCMSSSWDQKIAIKRIIWGKFGACAGQVCIGIDYILTQKKFAPTLVEFLKKHIKKSFGDNPMGSNNIAKIVNKKHFSRLKDLLNEPNVKNSIVYGGSLNEENLFIEPTILLDPPLDATIMTEEIFGPLLPIITLEAIEDSIQFIRSRPKPLAIYGFTNNEKLQKRMMCETSSGSITFNDAIIQYAIDTMPFGGVGGSGFGRYHGKYSFEDFSHQKAVLVRGYLIDFGFRYPPWNDKKLQLLKSGLRYNYLTLVLIKLGLKSKA >KVI09415 pep supercontig:CcrdV1:scaffold_90:273768:276071:-1 gene:Ccrd_012188 transcript:KVI09415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPSPEEFALKETAPKIAGSGNYAGDKLTSTYDLVEQMQYLYIRVVKAKELPPKDVTGSCDPYVEVKLGNYKGITKHFEKKSNPEWDHVFAFSQDRIQASFVEIIIKDKDVVKDDFIGRILFELIDVPKRVPPDSPLAPQWYKLEDKKGEKLKHGEIMLAVWKGTQADECFPEAWHSDAATIGREGVSKIRGKVYLSPKLWYVRVNIIECQDLIPSDRNKPIEVCVKAVLGNQATRTRISSVKTANPIWNEDLVFVAAEPFEEALMLTVQDQGNKDEVLGKCMLPLYSVHRRWDNKAVQSQWHNLEKHLVVNGEKKDVKFASRIHLRVCLDGGFHVLDESTNYSSDLRPTAKQIWKSSIGILELGIISAKGLSPMKTRDGRATTDAYCVAKYGRKWVRTRTIIDNFSPNWNEQYTWEVFDPCTVITIGAFDNGYLHGSNKDLRIGKVRIRLSTLETERVYTHSYPLIALHPSGVKKMGEVQLAVRFSCTSYLNMLHKYSQPILPKMHYVHPLSMSQTDMLRHQATHIVSSRLARAEPPLKKEVVEFMLDVGSHLWSVRRSKANFFRIMNVASGFVGLIKWFDSICHWKNPLTTILIHVLFVILILCPELILPTVFLYLFVLGIWRYRWKPRHPPHMDIRLSHADAVTYDELDEEFDSFPTTKGSDVVRMRYDRLRSIGGRIQNVAGDLATQGERFHSLLSWRDPRASALFVTFCLIAAIVLYVTPFQVVALLSVFFMLRHPRFRTKLPSIPANFFRRLPSGADRML >KVI09441 pep supercontig:CcrdV1:scaffold_90:185495:191767:1 gene:Ccrd_012195 transcript:KVI09441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1680 MLNSINSNKQTNSHRLRHRCSMFLEVLAVVLLVLHCVMGKECTNSPTQLSSHTFRYGLLNSNNKTWKEEVHSHHHLTPTDDSVWASLLPRKIMNEDEFTWQMMYRKMKNVNGGSQFSGDFLSELPLGDVRLDPNSIHGEAQQTNLKYLLMLDVDRLVWSFRYTAGLPTIGIAYGGWESPDQELRGHFVGHYLSASAQMWASTGNEALKEKMSAVVSALAACQEKIKTGYLSAFPSEFFDRFEALQQVWAPYYTIHKIMAGLVDQYVLAGNSQALIMVTQMADYFSKRVQNVITRYTIERHWLSLNEETGGMDDVLYRLYTITGDMRHLWLAHLFDKPCFLGPLALKADHISGFHANTHIPIVVGAQSRYEITGDPLYKEIGTFFMDIVSSSHMYATGGTSVREFWSEPKRLASTLETENEESCTTYNMLKISRNLFRWTKEMAYADYYERALTNGVLSIQRGKEPGVMIYMLPMAPGASKATGYHKWGSQFNDFWCCYGTGIESFSKLGDSIYFEEGGNGHGLYVIQYISSSLDWKSGQVFLAQKVEPAVSWDPRLRVTVTVSPKKQGVSSTLNFRIPFWTTSNSKATINDQNIPLTPPDDRPEYASLHAIFYGPYLLAGLTIDDFDLKPNSSTSLSDWLTPIPADFNSHLVSLSQESYDSKLALSYTTNTTTMQKYPEPGSDASVYATFRLMMVNTSHRDTMGQAYMLEPYSLPGSVLVHQGRERSLGIDNYSVTQNSIFYLVNGDEKTVRLAAESEEGCFVESSGGMVKLGCDSGRSDDEFLKATSFVVEDGISDYHAISFVGKGWKRNFLLQPLFSLRDEHYTVYFNIQPSK >KVI09418 pep supercontig:CcrdV1:scaffold_90:325299:331119:-1 gene:Ccrd_012183 transcript:KVI09418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAMSLEDLLAEEGFKGSSSKRMTRASSGPSTRRIPSYPARDEHKSGAATRLRKTDRAYSDARRYDLRVESPITDRVKNRRSLDILKMEKLDRGARNEPKERHIRRGSQDTRDVTGYSVDSSQQISIDEIVEVKEGIRRVKDGTLDKGGYKDIYLNGVFSPPISKNKQKYSRTGEEERYENISGKDVQIDDGYANDYDKHLPELAGSSDNYQKGGKQPGSSSSRSNKSTHNKENVRDSRVRKPVEIESVAEVALDDVAIKAMISILSGYIKCFLKDQDFRTSLYHNCFAALNSSKLEEDIVAESKIISNLDQAIETVEKAAEKRANAKELKKASLQLSVITGLNANDLKDGFTSGIPNSILSACGHLYLSVIYQLQKKERIAAKHILQTFCDSPSAARTTLVPELWETVFHPHLSHLEVWYNQEVHSLADDPHNTRKLKQLKKVYYEILNSGTYQFALYYKDWLTDGVEAPSVPSIHVPSVSVQGNQHEGVSGRSSDFGSPSFSSQPMVSKKLYDSVFGGMHKQTTAEAEDYHYSQRSDDDKYSFDGSVVEDKRTLTHSLEEDEYEDLGVKRDKEPYQASQEELLGGFGNFTLKLEELGSTSMLQSLPVSEVNELTLKRLAKFVFGLHQTERSVDVIETNRLSHSDDAIYPDFSPIVTKPLGEGGKLRLVLPGNYADGGGHFFLHIPQDYVCPLTKLIFEDPVTLETGQTYERAAIVEWLNKGNTTCPVTGKTLECQIMPFTNSVLKRVIDGWKSKHSREILASASQPAGSPGEQKYKAEAAVFILEQLLTVFGTEENTANAKHLLALGGLQFLIRRFAYGNLDERSRVAALLSHCIKADSGCRNHVARHIEKQGLLELLHCKGIKSRANAVFLLFDLICLNSELNAHFATLSSELFTRAKALGCRAPVAPGSYDVDQQKYSIYREEAVDTISSALDTSLSNEKVRETCCSALLILGGHISYSGKVVTEDWILKNAGFFDRPESDALDDKISIKDNILLDNDEEEAVEDWLMKLSASLLGDGKKSFLDSLSQCLSSGHQDMTMAGLTTVAWFSSSLVSLPGSESQLSSFSVLIPKLKEHLKNSEWLEHKILAAMSLLNFSKIPDCMNLLMRMADEIASPLRNLSEASWMAKELHAFISQEDL >KVI09447 pep supercontig:CcrdV1:scaffold_90:219157:221370:1 gene:Ccrd_012193 transcript:KVI09447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MNSGLTRYRSAPSSYFSNLINSGTYEGEDIDPFFNPRVSSPETERILSRFMSSDGGGDNSSAHQNLGQIRGNEPQFMDSMKQEQVTISSQQQRQMMYQNHAQSQQVVHNNNPVVSGSGSTVVDPLMAMDPPTSLLRQSSSPAGFFENINIDDGYSMMRSMDKFQAANDSVPDSSFSSAKRLKSRMTFSSGAHSSSRLLSRIPENEDKAMGMRRIHAPTGLTHQLSLPTSSAELSVMEKLLQFQDSVPLRSRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVDYIKELQKQVETLSDHHSKCTCPHKQEL >KVI09427 pep supercontig:CcrdV1:scaffold_90:457651:460734:-1 gene:Ccrd_012166 transcript:KVI09427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKCLVRFRAVYSIANLLPVHVTNLQTSSFSLVTRSSRNPNRKNTEETQIKNGKENKDFANLFDDITQILGTHNFNVDSNGAERFPLTNAGVDMVGDSLDCTEGVRENANMNRELEIRTTHTVEKDVSPIVHEVTQILRGGEGEASIEERLDDMDVEFDSEVVDKVLKRCFKVPDLALRFFNWIKLKNESFVTTATYNTMIYVLGESKKFEVVEKLLDEMEKNLCKKDIKTWTILISHYGKSNAIGKVLLLFENMKKSGFEPDLAVYKVMLRTLCSSKKTSIAMEFYKEMVSKEMEPDSSLYKLLLHCLACSGDIDAIHVIADDMIRISQIPEQEVYTSMLKSFCISGRIKEALEVIKDMKNKDIVIETEHFEMLVKGMCHADRISDALEIVDILKRRDIVDKKMYGIVINGYLRRNNVSKALQVFHSLKDCGQIMTLSTYTELMQHLFRTSEFEKGFDLYNTMLETGLELDSVAITAVVAGYVQQNRISEAWEVLKSMEEKGMKLTRKCYMVFIKELCKISRADEAINVLDHMKVLKLDIGEDIFNWIISHLGKKGELEKIQQVKQIQRDGGNQNETTLDSSLILIEPKVVDSLETNMPVKSFTDHDLQRACKIVSSAIDWCSKEESLQRCNLYITPELVVEILRNCSPHGGAALQFFSWVGKKDGYSHTAETYNMAIKISGQGKDFKLMRSLFYEMRRKGLLVSSDTWTIMILQYGRIGLTDIALKFFREMKENGCSPNSSTYKSLIISLCGKKGRKVNEAIEAFLEMTQAGFVPDKELLEFYIGCLCEVDNVLEAKKCAKNLYAHGFSTPLAYSLCIRALCRAGKVEEALSMANEVGEEDQKTLNGYIYGSLIHGLLRKRRLQEALEKIESMKQVRFLPTVHVYTSLLVYFFKEKQVSKALEVFEKMKQEGCEPTIVTYSSLIRGYVSNGKTVEAWSVFHKMKNEGPLPDFKTYSMFISCLCKEGKSEEAMQLLSEMPSVGITPSTVNFRQVFYGLNREGKQKLAQTVLGKKWDLEHRRKVGQIM >KVI09426 pep supercontig:CcrdV1:scaffold_90:463890:466484:1 gene:Ccrd_012165 transcript:KVI09426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MGNICGPSRCSKHGFFGSIWHSLWWSRSPDLIQYEKKRARNQRHHKNHKATRPVQNAPPRVLNLAKEDPKPTQVQPAIPYQEIKEHGKQSGKVVVVKQQSKSTQRNVTSGAQNPDHKRRKSSVGLLVDKVLKNTNGHLKDHYKLGLKLGHGQFGTTSICIEKKTGKQFACKSISKRKLVTEEDVDDVRREVEIMHHLSGHPNVISIKGAYEDAYEVHLVMELCAGGELFDRITKKGQFSEREAAHLLKTIASVIEACHSLGVIHRDLKPENFLFVNRDEDAPLKAIDFGLSVFFKPGEIITDVVGSPYYVAPEVLLKHYGPEVDIWSAGVILYVLLSGVPPFYGGVTQVAVILSVFFL >KVI09438 pep supercontig:CcrdV1:scaffold_90:28049:36371:1 gene:Ccrd_012202 transcript:KVI09438 gene_biotype:protein_coding transcript_biotype:protein_coding description:[2Fe-2S]-binding MSILGLMGSVKDEEKIEMVGQGSKDAILYVNGVRKVLPDGLAHLSLLEYLRGTKLGCGEGGCGACTVMVSYFDQNSKKCVHQAINACLAPLYSVEGMHVITVEGSSKSPPSEEQIEESLAGNLCRCTGYRPILDAFRVFAKSNDLLYTSGSVPLQEPREGEFVCPSTGKPCSCGSKTVGGKETFQQTNICHSDFKPVSYNEIDGSSYTNKEIIFPPQLLMRKSSYISLKGSGGIEWHRPLLLKHVLELKERYPDAKLVVGNTEVGIETRLKKIHYPVFISVTHVPELNMLSVNDGGMEIGAAVRLSELQKFLKKIVEDHPSYETSACKAIIEQIKWFAGTQIRNVASVGGNICTASPISDLNPLWMASGAKFQIIDCKGNIRTSLAENFFLGYRKVDLGVNEILLSVFLPWTRRFEHVKEYKQAHRRDDDIALVNAGMRVYLEEKDQKWIVSDACIVYGGVAAISLSAIRTKDYLIGKAWNKELLQHSLEILRQDIVISEDAPGGMVEFRKSLTLSFFFKFFLWVSHQMDGQDFFNEPVPKSYLSAVESFHRPSVVGSQDFEIMKQGTAVGSPEVHLSARLQVTGEAEYTDDTPMPPGGLHAALILSKKPHARLLSIDDSGARSSPGFAGIFFAKDVPGDNTTGPVVADEEVFASEIVTCVGQIIGVVVADTHENAKLAARKVVIEYEVLPAILSIEDAVKSKSFHPDSYRSLSKGDVGLCFQSDECHTVIEGEVHIGGQEHFYLEPQSSFAPQKHQKYVSHVLGLPMSKVVCKIKRIGGGFGGKETRSAVFAAVAAVPSYLLNRPVKLTLDRDIDMMISGQRHSFLGKYKVGFTNEGKVLALDLEIYNNAGNSLDLSQAILERAMYHSDNVYEIPHVRVRGSVCFTNFPSNTAFRGFGGPQGMLVTENWIHRIAMEVEKSPEEIRKSCNFLKARSEVEEFNLNNRWKKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFEIPLSSVFISETSTDKLVNACYFERVDLSAHGFYIVPDIGFDWGTGKGHPFRYFTYGAAFAEVEIDALTGDFHTRAADVILDLGFSINPAIDIGQIEGAFVQGIGWVALEELKWGDEAHKWIQSGCLFTSGPGNYKIPSVNDDAPNDKAIHSSKAVGEPPFFLATSVFFAIKDAIVAARAESGCHGWFPLDNPATPERIRMACTDDFTTPFAKSDFRPKLSV >KVI09437 pep supercontig:CcrdV1:scaffold_90:17695:23138:1 gene:Ccrd_012203 transcript:KVI09437 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G51260) UniProtKB/Swiss-Prot;Acc:Q9SYC8] MAVAAVVILPIGLLFLLSGLLINFLQAFLFILVRPFSNNMYRKVNAHLAELLWLEVIWLFDWWAHIKVDLYIDSETLELMGKEHALLVCNHKSDIDWLIGWVLAQRSGCLGSALALIKKSLKFLPVIGWSMWFTEYIFLERNWSKDKNTLKAGFENLRDFPMPFWLAVFVEGTRFTQAKLLAAQEYAVATKLPVPKNVLIPRTKGFVAAVNHLRSFVPAIYNCTVAIPKDEPLPTVLRMFGGRSSRDASLELHHFKNSFEDMECHGIGRPKKSLFVVIVWSCVLIYGGVEFFKWCSFSWGTIAFCAVVLLVVVISMHVLILFSQSEKSNPPQEASAINSQDILKHKLLP >KVI09423 pep supercontig:CcrdV1:scaffold_90:321415:323606:1 gene:Ccrd_012184 transcript:KVI09423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGGGGCMPVSETKAKEKNPLERVPSVKPPFTISDIKKAIPPHCFQRSLIRSFSYVVYDLAVTFVLYYLATTYFHHLPTPFSSLAWLAYWIVQGCVLTGVWVVAHECGHHAFSDYQWVDDSVGFILHSLLLVPYFSWKYSHRRHHSNTASLERDEVFVPKPRSKLPWYSKYLNNPPGRILSLFATLTLGWPLYLSFNVSGRPYNRFACHYAPNSPIYNHRERLQIWLSDVGIVTMSFILYRVALVKGVSWVICVYGIPLMIVNGFLVLITFLQHTHPSLPHYDGSEWDWLRGALATVDRDYGVLNKVFHNITDTHVVHHLFSTMPHYHAMEATKAVKGLLGEYYQFDGTPFYVAMWRDAKECLFVEADEAKGGVFWYKNN >KVI09421 pep supercontig:CcrdV1:scaffold_90:290132:300252:-1 gene:Ccrd_012186 transcript:KVI09421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGESSEESPAQAKKGKSKTPRKPKASVLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENALDSAESIAELPFVEVTIEEINRTAFNSMIGLIDRERIDEALYGDFETAKAREKRLAKEARFQETQAKNAALGKKVKEPTSGKAIKGRGEASFYRVTCKDNGRGMPHDDIPNMFGRGLIQISCSFIWDKVWAEANTWKIWTWCKNGVDLVKDENIPHIHLHEKRDDKEHWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFMFRFISNTSDKNVSIRFARRTDVMPAVPLETKYHPSAVDLLLIKRLIGETSKLNLLQFLQHEFVNIGKSHAERLIGEMGPDFSSKTPVKSLTSQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELNPDMVATYTASAQVFEGHPFIVEAGVSLGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTAMKRINWNSYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIASAVKTSIKQCCTQLKSKIVKRIQAREQQERKRNLSKYIADATGAIYGILKEASQLHANKKKRLEIKDEDAELLQKVSSRLITKEILSEKLAQHVEQVDYEMAMEYATQSGVREEPREDIYLQSLEPQMNFVDFHSPVFVFRLFQ >KVI09420 pep supercontig:CcrdV1:scaffold_90:362576:364785:-1 gene:Ccrd_012181 transcript:KVI09420 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MEDGNEDQRMLTASDSVEPSVSSAADEVKKYSVQQREALPGEPRCIECGRYGEYICDETDDDICSLECKQKLLLRTKQPKPPTSLMLPPVRLPATDECFYVRDGNGKSEGRSLTTDQTELIRVKFEIVVKGNCVPAPVLSFAHCNLPQKLLQNIESAGYEILTPVQMQAIPAALSRQSLLVSAETGSGKTASYLVPTVSYCANFRKESSVDQKKPLVMVLTPTRELCIQVEEQAKVLGKGLPFKTALVVGGDAMPRQIHRIQGGIEMIIGTPGRLIDLLTKFEIELDSLNKPNQAVKQVAIWVESKHKKQKLFDIFNSRQHFKPPVIVFVGSRLGADLLSDAITIYTGLKTSAIHGEKSMKERRGILSSFLLGELPVIVATGILGRGIDLLHVRQVIVFDMPNSVKEYVHQIGRASRMGEEGNSILFVNEENKKLFPELIGILRSSGAAIPREITNSRYLAASLPSDRGNRKRKPYV >KVI09422 pep supercontig:CcrdV1:scaffold_90:281527:287243:-1 gene:Ccrd_012187 transcript:KVI09422 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MIDHRDHNHQNQQYQWKSSSIMAQKPPQMAIIGAGIFVKSQYIPRLAEISELVALKAIWSRTEKSAREATEIARKVFPNVECKWGDDGIDEIIRDPDVVAAAVVLSGQIQVDMSLKLLKAGKHVLQACSEVEAALSSYRFLSNTPSAQPIWAVAENYRFEPAFVEVLPVFYTWLAFISGEDMIGEIMIDLTEQKVGV >KVI09413 pep supercontig:CcrdV1:scaffold_90:527806:531586:-1 gene:Ccrd_012159 transcript:KVI09413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MESESEQPEATVIKWCDCGCDCCSAKFDGSYSGAWVRSMKRKLDERDDDKLFIPGLLIPDVARVDIGNECVALREMVSSQQQTIQEMSDELDEERNAASSAANEAMSMILRLQREKAEVQMEARQFKRFSEEKMAHDQQEIMSMEDFLYKREQTIQALTCEVQAYKHRMFSYGLTESEVEGLNGGLTRSNSLGTNLENQYEFPAYNYPPLKCHLIENHVDPEFDNGTVDIEQYAFGESPHSLRDIEERINQLETSPNHSPVLEKVIVGHSPWLQDHSQKLSTDNAESFIATKEDFVSDSPDLKKVESASEFGDDMSDRIYTVDSIHNAASFDDDLKANRGVYDDLDSTRNDSSYRTDIGDIEVKKLYARLHALEADRESMKQALMSMRTDKAQLVLLKEIAQHLYKDMSPPSRMPVKKRSVFGNFTFFVLFKYYVDLFGQWIASFLFWRRRARQSKYMFGMSVNNVGLRMVLDKGPQMGQWRCLSRTQLLKY >KVI09442 pep supercontig:CcrdV1:scaffold_90:198056:199153:-1 gene:Ccrd_012194 transcript:KVI09442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MGTSLLIAAAVFVLAVGTAVNGCPPSDRAALMAFKAALHEPYLGIFKSWTGNDCCNKWYGVSCDPITKRVADINLRGESEDPIFQKAHRTGYMNGSISPAICKLDRLSSVIIADWKGISGIIPPCISSLPFLRILDLIGNQISGQIPYNIGKLSRLTVLNIADNKITGRMPRNAIEGNIPDVFGPSSYFMMMDLSYNNLKGAIPKSIASASYIGHLDLSHNHLCGAIPAGSWFDHLEAASFVNNDCLCGKPLKSC >KVI09444 pep supercontig:CcrdV1:scaffold_90:171047:181544:-1 gene:Ccrd_012196 transcript:KVI09444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPILYDHIAKLIKFLLTNKQEDRDEVVILFQDMHEVATRDIMEDQLPNLDGSGYDKTIRSTIPLAPKVRNMLLFLQDLAIHSFCHGEEATNKDPPLYHGGLGLHIVTFLRLSCSMVGRAAMIGFFMAYLVDVLMCGRKVVDLFETLMGVILFRQTKSRQDLKNLADEAISYDKQWQDQDEG >KVI09459 pep supercontig:CcrdV1:scaffold_90:372748:374274:1 gene:Ccrd_012179 transcript:KVI09459 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MKNSANIGFAWLITISMLCLLANSQLTTNFYSKSCPNLLTVVRKQVMNAIKTETRMAASLLRLHFHDCFVNGCDASVLLDGSEGEKTALPNLNSARGYEVVDAIKSAVESSCSGVVSCADILAIAARDSVLLVGPSWRVLLGRRDGLVANKTGANLSLPAPFESIGNITLKFQAVGAHTFGFASCATFGNRLFNFSETNAPDSTLLDPSMVSDLQNLCPANGDISRITPLDWNSTDLFDNHYFQNLVNGRGLLESDQFLYSSDQAISTTRSIVELYSQNPQLFFIDFANSMIKMGNIQPLIGSAGEIRNNCRVINS >KVI09416 pep supercontig:CcrdV1:scaffold_90:254781:262274:-1 gene:Ccrd_012189 transcript:KVI09416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein-like protein MDLKQSVADQFTNMHPCMPVNTRICILGGGPSGLSAAYALSKLGYSNVTLLEKYHTVSGMCESAEIEGKCRKMYDLGGQVLAANSAPTIFHLAKELGSELEEMDSHKLALIDSSTGKYEDIQVADDYVAVISLTLELQDKAKNSGRNGVHAISDFASEPVPAFLESKGLKSIPKSVAYGYTASGYGFVEDMPYAYIHEFTRTSMAGKIRRFKGGYMGFWQKLSNSLPIEVQCNTEVLAIRRNCLGVSVETRNSSGEVKVMEFDKVIISGAFPFTNGKIYRSPTYVSTETDNELMDLSDLEKEMFSKVETVDYYTTVMKIKGLDHLPIGFYYFAEFMDDPATIGNPVAIQRFYADTDIYLFWSYGNAVDIKGPRVSELAIEVVNRMGGQVEDVILQRRFKYFPHVATQDMKEGFYDKMETELQGQQNTYYIGGLVAFELTERNSSYSMNLILKHFANDDPLPKFPYVKRLFPLLSDCRDRNPEQLDEYPGVTFPDLRLDFIDAFFGCLRARVLPVPVLPPDPLQRGGQALLKLENIVKSSNAKAILSTVLYHGAVRAGSVKNLISLTGKGGNGAARWPNLPWIHTDSLVKNAKGINLADKKTNSEPKGGDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMKKRYKSTSRTILVSWLPQYHDMGLIGGLFTSLVSGGCAILLSPMTFIKNPLLWLQTMSKYKATHSAGPNFAFELVVRRLEGVKDKVLDYDLSSMKFLMVAAEPVRQKTLMSFVQLTGPFGLSQQVMAPGYGLAENCVFVCCAYGEKKPILVDWQGRVCCGYVGLNDGDVDIKIVDQETGLEHNESMKEGEIWISSLSAGIGYWAKEELSQNTFENLLENHPGKRYTRTGDLGRIIDGNLFITGRIKDLIIVGGRNIYSADIEKTVEGASEFLRPGCCAVIGVPEETLSSKGISVPDSSDQVGLVVIAEVKDGKAVSKEVVKQIQVRVAEEHGVAFASIKLIKPRTISKTTSGKIKRFECLKQFADGTLNLVPEPIVTKKRLMRSYTTGTCREGNTPRPELVTSCPLPPGRLSQKEIEAFLMGIVSEQTGISINKISTTEGLTSYGIDSIGVVRAAQKLSDFLGVPVGAVDVFTATCIADLASFSEDLLIKSQPNHATNLASSVPEYQNDNSFDLMIEVSTPQQFGIWALQILGLAYMCMMLALPTYLSVSVFMNFISSRHFQPGAYSWLGYAFSLLVAPITWIFCIFTTCLSVAFFGKTFLQPNYALTPEVSIWSIDFVKWWTLYKAQEISSKVMAIHLRGTVFLKYWFELFGARIGSSVLLDTIDITDPSLVFIGDQAVIAEGALIQGHEVRSGVLSFLPIRIGQRCEVGPYAVIQKGSILGDEAKVAALQKTETGKLVLRSGRRNNVQKDAAKDAQTEAIYHLMGIYMVGFVSSLSAAIAYFLYISLYQESPSLKQFSFLCVAGTIHWLPLTIIAYATMMFTTSMHPLTFATSVAIGYLAHGLILSFLTCIMTQFLDKEDQTKESPFKIWLRHRITIACHLRFAKFLSGTEAFCLYLRLLGAKVGNYCSIRAINPVSDPRLISIGSGVHLGDFSRIIAGFYSINGFKSGKVEVQDNAVIGSQSILLPGSVVQKDVILGALSVAPIDSVLKRGGVYIGSETPIMIKNIMHALDERIEEMDVKYKKIVGNLAANLAATTLKVKSRYFHRIGVSGKGVLKIYDNIKGLPNHKIFYPGKSYPIIIRHSNSLSADDDARIDARGAAVRILSSETGTPILDLTLKTGNAFYARTISDFATWLVCGLPAREQHVKRVPHVRDAVWTSLRNTDSFTNLHYFSNICRLFRFEDGNEMYVKFKLRPFDENITEESGKVDPIGILPPETGAIPRDSNDKRPLLFLAEDFQRRTSQPGGVRYIFQLQFRAVPKDEITQDIALDCTNPWDETEFPYIDLGEITIDQNNTKEQTEDLEFNPFLRCNEVDVIRATSASQSASIDHGRSLIYEICQHLRNGQPLPEAWRSFIEQSDVKVDLSGCAMATTATMENKNSNELTLARTWYQTSWTLFGQPLLQTFLPYYLMALIIYGPLNLMFYVKETTGYPIQWLLPVFWVCSGLCSGLACVVGKWVLVGKKKDGGSVLIWGKEVFMDTIWQAFRTLVGDYFMEMVSGSFLFTMWMKLMGSEIDLNQGVYVDSMGAVLNPEMVEMEGGGCVGREALLFGHIYEGDGGKVKFGKIRIGEDGFVGSRGVVMPGVRVENGGSLGALSLAFKGEIIKSK >KVI09433 pep supercontig:CcrdV1:scaffold_90:439942:440487:1 gene:Ccrd_012168 transcript:KVI09433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MNNTMGPDPNSGGFLGSQNISGLGYGIGISVGILLLITTIILASYFCHRTTESSPSTSTVRIRAQRNSLGQALNQADSTHCVVDVGLDEETLLSYPTVLYKDAKMNKRDSGSSTCCSICLADYKGSDMLRQLPDCGHLFHVKCVDPWLRLNPTCPNCRTSPMLTPLSTPLAEVVPLARRRD >KVI09428 pep supercontig:CcrdV1:scaffold_90:447690:452242:-1 gene:Ccrd_012167 transcript:KVI09428 gene_biotype:protein_coding transcript_biotype:protein_coding description:EEIG1/EHBP1 N-terminal domain-containing protein MVVNVIKWRPWPPLLSKKFKVKLVVKKMEGGSCDPVHADPEKDNHRAVEIRWKGPKITLSSFRRTVKRNFTREEKVLDPNGVVQWDEEFHTICTLSGSKDSAFNPWEIGFTILNGLNPGPKNKVPIIGTASLNLADFASSAAEEKDLELTIPLTIACGPSEPRPSLHIQLSLMELSADQESAEPSQSQSQTSESSSGEKDELSALKAGLRKVRIFTEYVSIRRGKKTLREDDHDSRSEEGDLSYRFDSESLDESEEGESNEGKEDDDSTFRKSFSYGTLAYANCTGGSLYEDGVYYSNRKSDVGCSQNEDSTASVSEPYVIQNTKRSILPWKKRKLNLRSPKTKGEPLLKKAYAEEGGDDIDFDRRQLSSDVSLGWHKLNEDSYANRSSISEFGDDSFAVGNWEQTDIVSRDKHMKLQTQVFFASIDQRSERAAGESACTALVAVIADWFQNNRDLMPIKSQLDSLIREGSLEWRNLCENETYRVRFPDKHFDLETVLEANLRPLSVDPGKSFIGFFHPEEIEEGSFDFLHGAMSFDTMWDEISRELELPSKDEPRIYIVSWNDHFFVLKVDSEAYYIIDTLGERLFEGCNQAYILKFDRTTTIYELLDSDPTEPAAGSDGSTRKSTTETPEAVKNLNENEVICEGKESCKEYIKSFLAAIPIRELQADMKKGLLSSTPLHHRLQIEFHYTRLQPPPAAANPDPDPVPVPVPATAISAPAADDSANPAAT >KVI09424 pep supercontig:CcrdV1:scaffold_90:312642:315659:1 gene:Ccrd_012185 transcript:KVI09424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-structural maintenance of chromosome element 4 MYKTGDRKKPQNKRKDFDGIVTDQTDDQAYTERRFLRSGYLTIQNLIRDKRYEIAAPTSKKFQSIIDEVNEMHHLVKKPREQVSDAEALRDLANTLVASIRVQSTGSVTSSVFVSSLITEYGQKGMIGITETVQILWKDIGLHVSPMFMVSNGSCTMLGPMRYETKPLVMRKRRRRSVKEEKVKPEELEGTISKEKTETEKVIATMFDILRSNTNVRVEYLMLNRFSFAQTVENLFAFSFLVKDGRVRISVDKKGCHHASPRNAPAPCTITSGEVAYCHFIFRFDFNDWKLMKSLVGEGLELMPHRIKLNPCGGDHQEMLLKKEQRWA >KVI09457 pep supercontig:CcrdV1:scaffold_90:415698:417454:-1 gene:Ccrd_012171 transcript:KVI09457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKYGLLPWLTVVMVLVASSKGDFDQDKNKCADQLIGLATCLPYVSGQAKAPTMDCCSGLKPVLQKSKVCLCILIKDRDDPNLGLKINATLALGLPDSCHVPSNITECPKLMNLTPNSPEAKIFEDYGKNANKNGTIVVPGNTSNGKIGSSGDMKNDVGRGNKWFGVEKLWGFLYIIIIFLFQVA >KVI09440 pep supercontig:CcrdV1:scaffold_90:10708:16821:1 gene:Ccrd_012204 transcript:KVI09440 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAETPKKLRQTPQKSLKSTPKTPSPQTPQTLVSPRRSSRLSSSPPPSRQKDLPVTTPRGSINQRIKYAKNVDRLKKKLDASDPIKHDDAFSPVSPDRTETKKRKSRTENVGDRVKVKVLRNKKEEGKKRDSTKPKRRVYYKKVSYDGGEFAVGDDVYVKRREDASSDDDEPEVEECRVCFRTGRNVMIECDDCLGGFHLKCLKPPLRKVPEEDWICGYCEAKKLGKKVELPEAPTGKKRRRTAKEKLLSSDLWAARIESLWKEVDGSFWFRGQWYIIPEETSAGRQPHNLKRELYRTNDFADNEMETVLRHCFVKTPNEYAKAENEGDDVFLCEYEYDVKWHSFKRIADVDNNKEDDEEADHDEDWSCNEDSDSETDEDLEYEKEDAYKSIRGSTPGHEVAANSRKGHTFGLQKIGTKRIPEHVRSHKPTELEKAKATLLLATLPKSLPCRNKEMEEITAFIKGAICDNQCLGRCLYIHGVPGTGKTMSVLSVMRSLRSEVEAGITKPYSFVEINGLKLAAAENIYRVIYEALTGHRVSWKKALNLLNDRFSNGTKSSKDDDRPCILLIDELDLLVTRNQSVLYNILDWPTKQHSKLIVIGGSLSPEILISRGIANTMDLPEKLLPRISSRMGIQRLCFAPYNYLQLQEIIACRLKGIDAFEKQAIEFASRKVAAVSGDARRALEICRRAAELADYRVKKSISLPKTGKSLVGMAEVEAAIQEMFQAPHIQVMRSCSKLSKVFLAAMVHELYKTGMSETTFEKLAQTVSCLCTSNGITFPGWDTLLRIGCKLGESRIVLSESGASHRLQKLQLNFPSDDVSFALKDSEELPWLTKYI >KVH89832 pep supercontig:CcrdV1:scaffold_900:2136:5268:1 gene:Ccrd_008171 transcript:KVH89832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MASIAGSSSLMASLSCHKPNQALSRASNFNAVSLAINGKSFPSLKLHSAPSRLRVSCAAKPETVDKVCSIVRKQLALPEDSAVTGESKFSSLGADSLDTVEIVMGLEEEFGISVEEESAQTIATVQDAADLIEKLIEKK >KVH89831 pep supercontig:CcrdV1:scaffold_900:100408:111261:-1 gene:Ccrd_008177 transcript:KVH89831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFGGFPVNQHQRRGRKKSERIMIKTYFSNFKNTIDNPVDVDAEADLERTNALSSNEQGSSKGKEAEVERTHGVPSTAQRSRKGKVLEIPNTQEPTEAEVERAYGVPRPAHASSKGKDVEVERTYAVPNTAQGSSKGKVLEILNTQEPTAVDQKMVSGDNVRNEAKSPNEIMYAPRKVNNEKVKEGTECIIMMRERIADLHSSRTEADMLLQGYVERFSGDNCFDQFKHDLARMFKDSIWETRQDEGQRSDKVLTVVDVTPPKMTTTSDPFMLSPLSQFWTSPTVIAEVDRASNDRAAITAKGVGCNTDPKLLEK >KVH89833 pep supercontig:CcrdV1:scaffold_900:11080:15613:1 gene:Ccrd_008172 transcript:KVH89833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSSEGVSGTILFSQEAEGAPTTVTGNLSGLKPGPHGFHVHALGDTTNGCMSTGPHYNPHGKEHGAPDDEVRHAGDLGNVTVGEDGSAKFTIVDKQIPLIGSQSIVGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KVH89828 pep supercontig:CcrdV1:scaffold_900:50217:51110:-1 gene:Ccrd_008174 transcript:KVH89828 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MGFTILQRNTSIPQPTERRGRKKEAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFIYATDHDNTNFHSLISPLDVQTLFQPAQLFNTPPAHHQATSTKQPINPTVLPQNPDQSGLDTCYQSSIDDHRNFFMSEGDNSGYLGCIVPDDYLNPRSNHGNNVDISPGDPTGQCSYWDQSNQLFPSNEGEVYGDIWGGSESSWETMNGYDLSAIVNYPTPSLMDDEEASMTGLYDSNPSYDLMAGASSNVTNSSPRTSCSYSDIVGIRYSL >KVH89829 pep supercontig:CcrdV1:scaffold_900:83108:89186:1 gene:Ccrd_008175 transcript:KVH89829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLDWYVKIAVVSAAIGGSMEYFMIKTGFYDKVTVLEAEKKAWENSPEAQAMREALNPWRKLDVDERKHS >KVH89835 pep supercontig:CcrdV1:scaffold_900:135553:157631:1 gene:Ccrd_008178 transcript:KVH89835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHDSKSKPNLAKIGADAFALLDDFSGGNKSKPKPWLSKVSAPPRRTPNKLFHYQYQPKEAYVIQQVYVAPVEEMRIETVVDCYEAAKSPRLQMMRIEKAADCCEEAKMYGGTVILDYTQSKPVRNGPELAKIGANAFTLLDDFSCGHKRTRGLEKVVHCILLERDEALEEGHDVVPRRRTEAVQLLAVTIWERVRSGDSEIAKASRPELAKIGADAFTLLDDFSCGHKYKPKPSSSNISTSPVQPLDELSHYQYQRXQAYVVRQQVYVAPIHATRIETVVDCYEAAKKYGGTFKMSYHDSKSKPNLAKIGADAFALLDDFSGGNKSKPKPWLSKVSAPPRRTPNKLFHDLYQPKNASVIQEEVYDAPVEEMIKTAVDCYKADKRYGGTVTLDYPKRKPARKGVDKVCNSNNVYLFIQ >KVH89834 pep supercontig:CcrdV1:scaffold_900:36993:37412:-1 gene:Ccrd_008173 transcript:KVH89834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MDDSTTRFTTGEDETANQQERLLPIANVGRIMKQILPQNAKISKEAKETMQECASEFISFVTGEASEKCKKERRKTVNGDDVCWAMGTLGFDDYAPPLKRYLDRYREVEDDRLATPQRNEEEGQPSSSAAEFSSIPKPF >KVH89830 pep supercontig:CcrdV1:scaffold_900:98592:99548:-1 gene:Ccrd_008176 transcript:KVH89830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein BIDAPAFDLGISPSKEEAXAGVGSSKAVGGHENVISTMPKRDPKLSFKLRSPYVTRAVTFEVSSDERKLQDWILRGIGGNLEPVFMTTKGKTVTRQTLQTFVSQNIVSTEIIDVWSMVLNREERLRSNESPRRYFVQTDISMDAIIRNEGLNVNQRYDRFRKNITSCMNTDKELISMRNVDLVFFPVVEPSFYYVLVFDLKRPSIAILDSQNRDGKVDDIYGSSTVG >KVI04245 pep supercontig:CcrdV1:scaffold_901:77607:87563:-1 gene:Ccrd_017444 transcript:KVI04245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase MNTEKLRRAVRESGEEDNMFYFDPRIIDWDEYFQHIHLPAIQPNIKKLFLLVRASDPNMALHRLQSEVINKDLFRVIKEKYGKNMHTFISQKIKVVAGDVSLENFGVMDFDLLNEMRRQVDVIVNSAATTKFDERYDLALAINTLGSKHVSDFVNECFNMKLLLHVSTGLNL >KVI04244 pep supercontig:CcrdV1:scaffold_901:155621:157746:-1 gene:Ccrd_017436 transcript:KVI04244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEHRTGAEPSLSHLPSDPISLIAFKFHEASIISSSNQSQRQFPNLRFSTSTSKNYVNQMAMEQYSQETIPQNAFLKENEASLDKWKSSSEVVDESEDSPSAGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWIHHQNSSSETPNKHPQCPVCKNDISQKTLVPLYGRGQTTKPQSDEKTFMIPRRPPSPSCGAYTVPSRQLAPGPTSISNVMINPMSPTTGMLEEMVSGRIFGNSQVSFYAYPNSYNLVPISTQRARRQAMQADRSLGRVCFFLFCCIMLCLIFF >KVI04251 pep supercontig:CcrdV1:scaffold_901:97396:100815:1 gene:Ccrd_017442 transcript:KVI04251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MAGGSILLNELHRKATSWEKIVDEIARLEKKIFPKHVSLARSFDDELKKKNSGLFYLEINGEVVGYVMYSCPSSLCAIIKKLAVKENHRRHGHGEALLKAAIEKCRIKSIHRVSLHVDPLRTSAMHLYKKLDFQIDTLVKGYYSSDRDAYRMYIDFDKD >KVI04250 pep supercontig:CcrdV1:scaffold_901:119268:123114:1 gene:Ccrd_017441 transcript:KVI04250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MAGGSILLNELHRKATSWEKIVDEIVRLEKKIFPKHESLARSFDDELKKKNSGLFYMEINGEVVGYVMYSCPSSLCAIITKLAVKENHRRQGHGEALLKAAMEKCRIKSIHRVSLHVDPLRTSAMHLYKKLXFQIDTLVKGYYSSDRDAYRMYIDFDKD >KVI04242 pep supercontig:CcrdV1:scaffold_901:138712:142009:-1 gene:Ccrd_017438 transcript:KVI04242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKESDPMGLQSRDRGNQIHGRFYLVKFRASYKLEKKAADKQDQSMTVAQSRIKKDIDQLKADEHQIHQKLMWFSQCDEHIDWRKEEIKYLEAILGKVELRLPMKFSAENNWFRMLDRSKRWPMGSKRLIKKDRKDMDYLEQIRLDEIEKIERDLTGRKRRVKRLKSELEFVRKNISHLQKELQDVTSKRLKAYERAYELGEQKKELKLDYKEYQLLRRYAKSLARKRDVVELKQACDTQVEGFMRQWNDSQVFRNDYERRKLFSVEEITFEHVLV >KVI04249 pep supercontig:CcrdV1:scaffold_901:125636:128648:1 gene:Ccrd_017440 transcript:KVI04249 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease MMTTVHLPLITTSLSKAPAIITSIRSFQTNLIIHSTSIRSCTVSPFKLCCIQKDSNRKASQVLSLLLLQTLELVAFILLLRFSEKPFNILSFFEVRVLPKERNWLLASVLGLCFLLALVFXTSLVADKLIGPKDVNNPILKEILSGGPLSVIGSTLVYCFVTPLLEETVYRGFLLTSLASKMEWQKAVVVSSVVFSATYLSIDNFLQLCVIGVVLGCSYCWTGTLISPFIIHSLYNALILVITFTS >KVI04243 pep supercontig:CcrdV1:scaffold_901:143314:146781:-1 gene:Ccrd_017437 transcript:KVI04243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSSCDMRIEWMTREIKNLGEVEPRLPVSSVPRTRTTGFVSLNDKKDGQTPDRKCCQKRMIKKDRINMEYLQQVQEELMCSKKSSCRTQELNDLIESMEHRIRHVNQNRADEMKMYREIRNVKETREMYNASEPDPPGYWYTKERLSKQDTDWNRSMQHRIHIRLDDIERIKRDLTGRKHRVTRLKSELERVRKSIGSLQKELEDVNSKRIKAYKRAYELGEQKRELKSSYDEYQSLITYANRLARKGDVVGLKQVCDTQTLVTIKV >KVI04253 pep supercontig:CcrdV1:scaffold_901:19234:22136:-1 gene:Ccrd_017448 transcript:KVI04253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase MVLMTYNLSDSGCYNSRIGRICFVVMWWFVFQCLEISGAPLMHSVSSHWRPATATWYGSPEGDGSDGGACGYGSLVDVKPFRARVGAVSPILFKAGEGCGACYKVKCLDKSICSRRAVTVIITDECPGGYCSGGNTHFDLSGAAFGRMAVAGDHNQLRNRGVLNVVYRRTPCKYPGRNIAFHVNEGSTNYWLSLLVEFEGGDGDVGSMHIREAKSTEWLKMSHVWGANWVIVRGPLRGPFSVKLTTLSTGRTLSARDVIPGNWAVKATYTSRLNFSP >KVI04246 pep supercontig:CcrdV1:scaffold_901:76093:77459:-1 gene:Ccrd_017445 transcript:KVI04246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase MNLYLIPAFVSGGIILETPFKIGETLNGKNDLNIREEKNATQERLEQLTVEKADEEVVSSAMNKVLCRHNYMDGQIHMCSPRLLLEGLRKDVSLVIVRPTIISSTYKEPFPGWIEGIKTIDSFIVAYGRGRISCFLGDPVKVLDIVISMVQTSENYVDNTFFFDPKSLNWEDYFTNIHIPGLVKYAIKQ >KVI04248 pep supercontig:CcrdV1:scaffold_901:57102:63080:-1 gene:Ccrd_017447 transcript:KVI04248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MTSMLRSCIQSLLKMVNSFIGMFGIAMIIYALWMIRVWQRQMDDSDHPIPWFIYTMLGLGATLCVITCSGHIAAETANGCCLYCVSSYLVFVVLLLMLEGAVTMDVFLNRNWEEDFPIDPSGNFHEFRDFIKENFEICKWVGLSVVAVQGLSVLLALVLKALGPHQEIRYDSDDDYTLEDVPLEAGEDMMSFHGNLMWEDWIQDNCGFLISGSHPKKTKTRKDVHLM >KVI04252 pep supercontig:CcrdV1:scaffold_901:87655:90707:-1 gene:Ccrd_017443 transcript:KVI04252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKRTSGEKRGFVVRITAVFVEKILRVQPNVKKLYLLIRAADAKSALQRFNTERNMHGTNLQNFLSEKVTPVAGDITCEDMGIQDPDLKAEMWRLIDVVVNALGEMIVGHLKGNIPVVILRPTIITSTYLQPFPGWVEGISKTETVSLFEYMNSKKKEICRTIDSLALGYGKGRLTCFLGDPEAIYDVVPADMVVNAMIATITTHANQTFSETIYHVGSSVSNPLKFITVQRCGATCTLLSIHGLTRMIYRSSLLPSAAAFAGTYKNLKRKINFVLRLVDLYKPYLFTKSL >KVI04247 pep supercontig:CcrdV1:scaffold_901:70221:72877:1 gene:Ccrd_017446 transcript:KVI04247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease Zc3h12a-like protein MKNQIKKRNNNKALTPESKFRSDFSICSKKKDFADAISLFDSAISQNLKLNLHHLNCFLYICSNSIQGTTDKAIEKGFSIFNHMISSKISPNEATITAISRLAAAKDDADLSFELVKSLVKYNEKPRLRTYDPALFCYVKKLDAEKAYLVEKEVLNMGLFLEEPEISALLKVSAEVGNEEKVYEYLHKLRMGVRCVSESTAEVIENWFTGEMGAKVGGLENLDMGRVKDVILRNGGGWHGIGWIGRGKWVVQRTTVGSDGCCCACNEQLACVDIGREETEKFAQSVVALAIEREKRSNFTQFQNWLDQHNDFEAIVDGANVGLYQQNFEEEQLEVVVNELYNRSKKWPLVILHDKRIHALLANPSNQGLLEEWIERGFLYGTPVGSNDDWYWLYASVKLKCMLVTNDEMRDHIFELLGRSFFPRWKERHHVHYTFAKGKLKFQMPPSYSLVIQESEKGTWHVPLAGEYNDESLRTWLCITRPGXCEASDALVSKSAEVSETNKIHDESCNSSTKTQVGITGKRKERSPSPIR >KVI04241 pep supercontig:CcrdV1:scaffold_901:136111:139407:1 gene:Ccrd_017439 transcript:KVI04241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSRELGFLERNGVISLKEQLARTTLRNVRLKGHTYIELREDNKKVIFFCVLCLSPCYSDSVLHDHLRGHLHKQMYEAAKATLLKQNPFPFNDGMLFFHNSSDEDNHLSTANALCGRNLLQKRSINENSLAIVTIEGNVSDYEFSSEESLDSVRGEESLDIDDLIIPDVLYKDKLSDLEVREIGVGKISIRYCERDGVSKGIRKIWCEWLGKSDSVNDDVIPFHDFAVVSFAFDCDLGRKGLLEDLQDILSSSSRPAIVGNNNADGKKRKSPSDPQDFTEPLSNNQYESSGEESLVPGSSNSRSLLDVYDDKSLQLRLVPSKCTRKEIRTRQRLASERVCDICQHKMLPGKNVATLLNMKTGRMVCSSRNLNGYIILQAFHVFHISCLIHWVLLCDSEVYTKQLEGPEVKRRYRRKKGARLEKVVKETRKQIYSAFCPECQGTGIDIDGDELEKPTISLSEENVSPLKLLRFYHAAA >KVF46405 pep supercontig:CcrdV1:scaffold_9017:5657:6792:-1 gene:Ccrd_026760 transcript:KVF46405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGSFVVYDLSHISLCDLVFLVYQSYPVIRIQGHSRSQVFTAYITAAVDFSLFALELYLTHKGCLDFIARRSRLVFLDTDAAGRALPRVIEILAAEHHWQKQRQKQDSEIAISYHCRSHLRINYREALQLVGNFCLEEELEEHWLHHDSLRDFDLNLS >KVH88462 pep supercontig:CcrdV1:scaffold_902:55248:73762:-1 gene:Ccrd_026761 transcript:KVH88462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced growth phenotype protein 1 MPPQKASRTISSLFGLGKIETGKTDVHVPLPVQPSLKLEADKKVYRPGDPVTITIEIRNPPAGCSLLVDRLSFEAQGIEKLDTQWFSTHKPLPDSNQKKRGEYVFMESSVPLMVSNQIVSSGTMRTYILRIILPTIIPPSYRGSTVRYLYHVRSEMSAKYLKMNDGQPCGGSTQEARLPLQIWVTEKANGLLNGETGINGIVPATPILLDVYWKEMDAESEWVRANETFDGVEEGYESFRDDTSSVSSSNPARGSLQKAFGSSLSLQSSAARSSKDVQYHDQRSTISSYMALPRLSAAEVLYGSSEDNLSPKRSSVIVPPNQQLRTEGFIRGRSYNIRLDDQVLLRFSPTKPESTYYFGDMIGGTLTFFHEEGTRRCLELSVTLELSETISRRSAHPSRRHSPTITKIQSDHHEVVADLVQTSVLFSVPMDGPMSFSTRHVSVQWVLRFEFFTTPKNVDWSRFEHPLLIEGRDKCEWVLPITVHAPPFTTTISKNSRTEKPPSLEPLFPL >KVI03887 pep supercontig:CcrdV1:scaffold_903:76856:88023:-1 gene:Ccrd_017806 transcript:KVI03887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYSPLAGVFWLSSDRNLLHWCVRCGGARICNFDGRKGGSRGELQRRRRGIGSGGTESGFESTGEVRPRSEEIVI >KVI03891 pep supercontig:CcrdV1:scaffold_903:46919:52914:1 gene:Ccrd_017802 transcript:KVI03891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2Fe-2S ferredoxin MLARLASKRLLEIREAIRQFPQTTRSLSTALNYHLDSPDNNPDLPWEFSEANRPKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKEIEVAPILCGTTPCMIRGSRDIENALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNRSEGYTYNYYEDVTPKRVVEIVEALRRGEKPPRGTQNPQRINCGPEGGNTTLLGEPKPPPCRDLDAC >KVI03894 pep supercontig:CcrdV1:scaffold_903:92115:92717:1 gene:Ccrd_017809 transcript:KVI03894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNKRSKRLQSLQQSTIFIFVYSQFQKKTKNKQIKTRLPQYIHQFSPLPSITEAKPPITLPTIFEVLGRKPLGFHIISSSPRREWHPFLLHAQFRSIRNNVYDLQETIGFTHEDIGKHLENSFVAFC >KVI03889 pep supercontig:CcrdV1:scaffold_903:31131:32254:1 gene:Ccrd_017800 transcript:KVI03889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization TSLSTIHHRRKIKNSAGDPLPITTLQFTLVFLEIYNNMDRKRSSLNLFEDIDLNYKATELRLGLPGTDDQPEIKTSLSGNKRSSSEMESSTCTDENESRSASPTKEQVVGWPPVRSYRRNIVQGKKESSEMGSGMYVKVSMDGAPYLRKVDLKMYRSYEELMEGLQKMFKCIIGLYSEREGYNGSQHAPTYEDKDGDWMLVGDVPWEMFITSCKRLRIMKASEARGLDDSL >KVI03884 pep supercontig:CcrdV1:scaffold_903:13210:23081:1 gene:Ccrd_017799 transcript:KVI03884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase MMEISSFSTSSRRCWLPSSASGVMQPEERNSYSRGLVSMDEVYDTLAERVVPTAASGTNTSMTHIVGLAGPPGAGKTTVASEIAKRVNKIWPQKSCSFDSQVEPPEIAVVLPMDGFHLYRHQLDSMEDPKEAHARRGAPWTFNPDLLLKCLKTLRNQGSVYAPSFDHGVGDPVEDDIFIKYNDRPNAELVLKSKKHADLIIKSVSL >KVI03885 pep supercontig:CcrdV1:scaffold_903:57597:62415:1 gene:Ccrd_017804 transcript:KVI03885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGNSAASFLQLHQKKPHSLFRLNSRNSVPFSIKSSFNPPMASLAVSSSTLGLSETFSKLKKEGKVALIPFITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPAAATRALARGANLDSILEMLNKVVPQLSCPIALFTYYNPILKRGVEKFMITVKEVGIHGLVVPDVPLEETEVLRREASKHNIELVLLTTPTTPRERMKSIVEATEGFLYLVVGWGADGVIVGSAIVKILGEAKSPEEGLKELAEFTKSLKAALL >KVI03893 pep supercontig:CcrdV1:scaffold_903:91992:94146:-1 gene:Ccrd_017808 transcript:KVI03893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF506, plant MKIQPIDSTTLEYFEYEKTVPKSRLRRLFDLSIFLKGSAVEDRFVSGELECGEDGLDEIEPTSVCLDKMVENFLEESNGKQSALKCGRHHHCLCFDGNVHRCSESSEDEFDSFSCFGNSINSRDSSLDACSLLKSLVICETISERDLLADSAKIVDKNKICKRKVEINRKVIADCLLAAGYNVSICKSRWEKTRTYPAGEHEYIDAIIEGDRYIIDIDFRSEFEIARSTKSYKAVLQMLPDIFMGKTDRLLKIINIVTDAAKLSMKKKGMPFPPWRRADYVKAKWLSPYTRINKFNASTNTKSDTRFTILTDEKKGPDQNVKQWDPLEIKPKTSKIVGKVIGGLASVIEGNGEN >KVI03897 pep supercontig:CcrdV1:scaffold_903:122991:126449:-1 gene:Ccrd_017811 transcript:KVI03897 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4378 MMTGLMQDQNLEKQIEKQIGCMSGFLHIFDRQQILAGKRIYATKRLPPSTGVTSSPESVKSVQTTPKREIVKPAAMAEPVVAIPESPDRFQSSPAANSNNFPTVTPPKSPLPLPIFDLKEGTMKTSWKFCKEMPRLSLDSRATVDSKGSLCPREIRRDNGANSVDESFDKQRRSPSVIARLMGLEQLPSSDSSPSPETVKKPELRRSASESRVSRDLFHSRFVDGANFHVKQTNQTTNQSAETNLTNNGVRDNVGNLSNRTSQNGRVIESMKYTSRNLKTESPRSSPWRSPQQRRSFFDSADIFPEPKQITVPTYSDSEKNLKFREIDEQSKDLETLKHILEALQLKGLLHSRRPPNIDSQHNFVYDPSFPSDDPNIVLMKPVRSPAPNRRCRNDYGGVNRYAGENLPSVSPKKERGVLDRSGRSPVRARNSMATNNSKNCNTIVKRKPLCIETQRSFHESSDSRRSSPINSPKLTPRRSGSDHQYIPNRSPRSRRPADVYSKEKVSRNLVMEDESSYFSESSGSTNSQSDPERSKMEEYREGKSLLDRCDKLLNSIAEMNNITESHMSSTSVLPSPVSVLDSVFDKDESSSPSPVMKRSIDFKDLTVDLEDDIWSSVISPVLSAKDEDFISDDSDLTYISDIIRASKYLPDDSGVFLRLEKQQYLNGNDTSKVSKLQRKLVFDIVAEIVDRNRQLPPWNAISFNECTNSVNHICSEFQKIREREPADNLLDLICGVLKRDLAGNNGWGDHPVEMSEAVLYIERLIFKDLVSETILDLADFAGKSRFLAPRRKLVF >KVI03886 pep supercontig:CcrdV1:scaffold_903:62933:73633:-1 gene:Ccrd_017805 transcript:KVI03886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding protein, C-terminal MSKALWWIASLFIFLCVSPSPFGVFAIDDFNQAFPIIEPDPGHTKLRISREGLEAISRITNPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVEMDINGVKTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRLPHLQRTKLCDLKDGELDQSYVKKREQLKEVVKSVIRPKIILEALNKGEIPSTGSLVEVFNKKILERCLKLYEDSMSKVRLPISEQSLQSIHEASRLEAFKVFEEQHFGRHHAKKSVEALNEDIEKALYTTCEDKMDQLQVLRLPSMAKFNAGFLHCNQSFEKECVGPSKTIYEQRMVKMMGKSKSLFIKEYNHRLFNWLVAFSLVMVIVGRFIIKFILIEIGAWILFIFLETYTRMFWSPESLYYNPIWHCIVATWETLVYSPILDLDRWAIQIGLLALILLLYWRCYGRRKHGSYSLLPLYNNGGSKVRHRSE >KVI03892 pep supercontig:CcrdV1:scaffold_903:55796:57266:1 gene:Ccrd_017803 transcript:KVI03892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e/L15P MIAGFGRKGHVVGDISGVRFKVVKSGCRRNASSPDPVQQVPSGYFDKVGMRYFHRLRNKFHCPIVNVDKLRSMVPQDVKEKSSAYKVPY >KVI03898 pep supercontig:CcrdV1:scaffold_903:101843:104611:1 gene:Ccrd_017810 transcript:KVI03898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MAGKGGKGLLAGKTTAAAAAAANKDKDKKRPVSRSSRAGLQFPVGRIHRHLKTRTSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >KVI03883 pep supercontig:CcrdV1:scaffold_903:5015:8983:-1 gene:Ccrd_017798 transcript:KVI03883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbW, class 2 MASISASISTPSLLQKPSITVRATPILGLPSMSKMGKVRCSLEIKPAVASEDAAPKLRMGAPSLMAAAVAATMSSPAAMALVDDRMSTEGTGLPFGLSNNLLGWILFGVFGLIWTLYFVYTGSLEEDEESGLSL >KVI03890 pep supercontig:CcrdV1:scaffold_903:36022:41749:-1 gene:Ccrd_017801 transcript:KVI03890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIPQNLLTNNLQWVPNKPLSNTLNHSRPITRIFLCSTSSSLDKKYSGRLGISTHGSHVKSSFDYNNHNGVNTNNGFYEYEQGLVGNGQQYPRPSEVPWKKEFCNSVQLIGIVGTPVEFKQLSSGKVLAWSRLAVKKSATDTTWINLTFWDELAHVASQHVEKGQQIYVSGRLVSDTVVVQQLNFVERSSSSLSYDGDSNFNSSSGSSSMTTGNYFHNTYPSQIRKIPSVFLYCFGAGKKQKNYAANGTGSTEELWQAFFANPTEWWDNRKNKRSPNYPDFKHKDTGEALWVEGRYNPSWVKSQLSILDSRMESFHNQNANKHSDFMFGDNLTSY >KVI03896 pep supercontig:CcrdV1:scaffold_903:150689:154039:1 gene:Ccrd_017812 transcript:KVI03896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MASSMLYGAQHLAFARASSTPKGLESNRSDLQFRNLPKINLVRRNPSFRSRTLIVPKCSVSAPRSASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLNRRDLIVVDVGGGTGFTTLGIVEHVDAKNVTILDQSPHQLAKAREKEALKECKIIEGDAEELSFKTDYADRYVSAGRPTKRHQRSIPDMWMLFPKEEEYVEWFEKAGFKDVQIKRIGPKWYRGVRRHGLIMGCSVTGIKPASGDSPLEAEDVEKAVNPFVFFLRFLLGATAGVYYVLVPVYMWVKDQIVPKGEPI >KVI03895 pep supercontig:CcrdV1:scaffold_903:156021:156614:1 gene:Ccrd_017813 transcript:KVI03895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPDDYSPAATLITFDRPIPLLRQPILAGAGDSLVLGFKDRQSWESAYKFCESNIIQQCEAGARIGCSVSASSKCAPPWWKSVIGGGVSKEELIEREKCEEREMSDCFEASRLNCRKFAEDKCLPVFKDARIVVKGGGGDEEVKKCVSELISRVCMGETRVGGVELWKLKGSWSEVKSRIGFSILRGSDMLEKQF >KVI03888 pep supercontig:CcrdV1:scaffold_903:86114:88415:1 gene:Ccrd_017807 transcript:KVI03888 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MLAFTLKNLSGYNMHSNFNPEAKFLLTSLLVSLILIAFYMTKRTSRRVYLLDFACYKAPDSQKVAKDFMVEKAKHSGYFSEEKVDFMRKVLEKSGLGDSTYLAEVYLKQVADPCMKESRREIEMSVFGSIDMLLAKTGVKCEEIGILVVNCCIYNTMPSLSSMIVNRYKLKESIASYNLVGMGCSAGLIAIGLAEQLLQVHHDTYALVMSTESITENCYIGDDRSKFLINCLFRVGGAAILLSNRPSDHQNCKYELLHTVHTNEASSDRSYNCIIQEEDAAGQRGITVTKHLFTAASTVVESNVTKLGMQILPVSEKLKYLTNTIARKLRPTANIQPYKPNYAKSIENFLPHVGGKPVLDELQRNLGFSNAVMESSRMTLYRFGNTSSSSIWYELAYAEAKGRVKKGSRVWQIAFGSGFKCSSVVWHAVRTVDYDELNPWTVEIDGFPVDVDCDDHGPMSIFFEAAK >KVF45394 pep supercontig:CcrdV1:scaffold_9030:4954:7448:1 gene:Ccrd_026762 transcript:KVF45394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLMAQMMNENMFDFELFKKKVENSSILHALMSFLE >KVF45359 pep supercontig:CcrdV1:scaffold_9033:3743:4906:1 gene:Ccrd_026763 transcript:KVF45359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, SWIM-type MIYSITHKNKNSEVKATYKVVHDVRDESFECSCNHFVRNGILCRHAFKVMLNSEVQSIPEKYILPPRWRRELVPIELMPARVRYGEMDVEKQALINQAISMFDLIIGRVRNDKGALTEFVDQLERLGDEISMDIPILTVTEQKRNDIQELLCVTEPESVDVLPPTGVRNKGCGTEKRLVGVSERVSMNAKKPKRLCRTCDKMGWHDSRNCPMKGDSTN >KVF44665 pep supercontig:CcrdV1:scaffold_9035:744:1112:1 gene:Ccrd_026764 transcript:KVF44665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 FIDPSBGKLYYGIDTFKGFYIFDYGTRPRCCDQEDEDEEGLKRFKISGIDIGHAFLSLIVFLVFAISDTDVQSLLFPESEANMNVLLMNLPLSVGVLASFRFTIFPTTHRGLGYTDFPLHTK >KVI00988 pep supercontig:CcrdV1:scaffold_904:1918:6862:1 gene:Ccrd_020739 transcript:KVI00988 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MNIMVDKSTADWYSVQDNISFLLLHGHQPTTPLLVQPFSLYNQTMESRVLSSGATTISGIPRLTKPVASARLNAVAVSFPARLNNDGGNLVWGRQLRPSLLNLDYISSTTVKRDVLKPCLATASDSAGDAAPVGFLAKYPFLITGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLAVGVVYCLGSWTVGLPKRAPMDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVLGVSMASLTELSFNWLGFISAMISNISFTYRSIYSKKAMVVLCADILTDMDSTNLYAYISIIALFVCIPPAIILEGPQLLKHGFSDAIAKVGMTKFVSDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIIFGNKISTQTAIGTSIAIAGVAIYSLIKAKIEEEKRVS >KVI00993 pep supercontig:CcrdV1:scaffold_904:36815:43079:1 gene:Ccrd_020741 transcript:KVI00993 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-tyrosyl-tRNA(Tyr) deacylase MPNTPKYGYFSVAQLDRLKSIFNKNISDKGFGNYFYSDQDSKKQKATMKAVVQRVISASVEVEGRTVSAIGPGLLVLVGVHDLDTDSDADYICRKVLNMRLFPNEKTGKSWDQSVMQKNYEVLLVSQFTLYGILKGNKPDFHVAMAPDRAKSLYASLVERFQRSYKADAVKDGVFGAMMKVNLVNDGPVTLQLESPQSSRNSNDATAEVERTMNGE >KVI00995 pep supercontig:CcrdV1:scaffold_904:59820:62685:1 gene:Ccrd_020743 transcript:KVI00995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MGSALYMLTMRSTMVVLLLIFLAVTEFRPAIAIAIVQIRQIAAKNNVSGVFVFGDSSVDPGNNNHLATTDKSNFPPYGMDFFDGQPTGRFSNGRLATDFIAEALGYMKAIQPYLESGMPRAINLSHGVSFASAGSGYDNFTAQVSNVLSLSHQLKYFAHYKLHLGQKIGVKEADESIRNAVFVLSMGTNDFLQNYYVEPTRSAQYTVEQYGDYLISLLFGYVKKMHSLGARRLVVVGVVPFGCMPLVKTLKDTTKCDDDYNNVALSFNRKIKKALVTMRISLRMKTVYADAYSVIQRAIQNPKRYGFVETSKGCCGTGTYEYGITCKGLDTCVDREKYLFWDAVHPTNRMYKIIADEALSSIMTDLF >KVI00989 pep supercontig:CcrdV1:scaffold_904:82618:87489:1 gene:Ccrd_020745 transcript:KVI00989 gene_biotype:protein_coding transcript_biotype:protein_coding description:disulfide isomerase MVSFGILIVLFLFSLVALSFVGGLCITVDSKEPSHSKEFVLTLDQSNFTETIGKHNFVVVEFYAPWCGHCKDLAPEYEKAACILSNNGSPVVVAKVDVNDEKNKDVAIEYDIKSFPTLKLIRDGGKRVQDYKGPRDAQGIVAYLKKQSGPASAQIKSTEDATHLIDGDEIVIFEAFSTLAENLRSNYEFAHTLNAKLLPRGDSTVSGPIVQTFIEEATIPSVTLFNKDPKNHPSKPFGAFESKYHDIANEHKGKGMSFLMADVEATHAFQ >KVI00990 pep supercontig:CcrdV1:scaffold_904:63979:64743:1 gene:Ccrd_020744 transcript:KVI00990 gene_biotype:protein_coding transcript_biotype:protein_coding description:GUN4-like protein MATNSLQSLNYRHHNPPPPPPGRRQSFDCTPPVSFSVKLNNNNNPTLSISSAVKTFSLPSTTSSSATSSTSDQSTTVDQLQRLLSAKDFREADNETRRLLIALAGEAAQKRGYVFFSEVQFIPESDLQTIDELWRNHSNNKFGYSVQKRLWEKSNRDFTTFFIKVGWMKKLDTEVLQYNYRAFPDEFVWELTDETPEGHLPLTNALRGTQLLKSVLSHPAFADKEDAIEEEEEKQEESQQQPLGKGMFKPDYSF >KVI00998 pep supercontig:CcrdV1:scaffold_904:116765:126249:-1 gene:Ccrd_020748 transcript:KVI00998 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4220 MSAFRLVTAKKMVFSVGQSMSTECSSLFQSCTDILQKLLKYKMTRIMVDIPIPPKWKQLWDTWDLRSFIILSLSLQTFLIFFAPLRKRTKSNWIIMPLWSAYLLADWAANFAVGLISNSQGNPGGGTGKKERAAVENEDLLAFWAPFLLVHLGGPDTITAFALEDNELWLRHLLGLMFQCLAAVYVFVQSLPRNRLWVPTMLMFITGIMKYAERTRSLYLASADRFKDSMLRAPDAGPNYAKLMGEYFSKKEAKLPTRIEMIREPDRAAKSANKAKKGNLTELEIVQYAHAFFENFKGLVVDMIFSRRERNQSRDFFLNRTAKDAFKVVEVELNFIYEVLFTKLPVVYGYFGTLSRFFSLATVCSAIVLFIFKNKSNFSAVDVTITYGLLFGALVLDITALIMLIFSDWTIIFLRKSPDGELDKSIKTRFITLVLRFMTEGTLRDPKDNARRKTSEPQTRKWTIQVLKRRWSESISTYNLIYYCLHPRSYLQQLVYEKLGISGFLDSIKYVKPRKFTIDVRDFIFEELKLKSELADDLETAKEISSARGDWVLRVEDGWSSLLRYVIDVDYDQSLLLWHIATELCYNDELHRGISITTANQKHRENAKLLSDYMFYLLIMQPNMMSAIAGIGQIRFRDTCAEAKNLFESVKRREEEQKATPPTTVDGMDLEQINACIEILGVSTDVPPVTVKGDQSKSLLFDGCILAKTLMEIEGLIDDPVIGIT >KVI00996 pep supercontig:CcrdV1:scaffold_904:57829:61863:-1 gene:Ccrd_020742 transcript:KVI00996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVGQPNKNGKSSITKPAGGLTVEEVHSVRWEITLIGCSEMVVVPWIDTRIAKHKHATNVILSCDLSYLHDCDGNGWPELGYSKKDQQQHHHDALSKAFVEHCYTTFDTNRAALANLYQETSMLTFEGQKIQGSQNIVNNLTSFPFQQCKHSITTIDCQPSGPAGSMLVFVSGNLQLAGNNTLLSSVRYAQLAPTTTKNGVGLELVGRLDEEEEASMASVMRTEISELLKGEHSLIKGAKKPMM >KVI00991 pep supercontig:CcrdV1:scaffold_904:112728:115796:-1 gene:Ccrd_020747 transcript:KVI00991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4e MLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVISILMQRHVLVDNKVRTDKTWFIGLVYLRTFCYCCLFMKIITNENFRLLNDIKGRFRRHSIRDEEAKVVLCYHMILSKTDSLVEMSFHIPNSNIQFVGDENRSYGLLAIHTMVKFMKTPIIDFIQQERLLCEA >KVI00994 pep supercontig:CcrdV1:scaffold_904:24433:29530:1 gene:Ccrd_020740 transcript:KVI00994 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase GCDGSILISSKPGSKELAEKDAQDNKEIPVEAYDIIEKAKAMVESKCPGVVSCADILALAARDFIHLLGGPYYPVKKGRWDGQTSMASLVYSNIPHANSTIDDMLKLFSSKGLTLNDLVVLSGAHSIGFAHCKNFVNRLYNFEGTNKPDPDMDPRLLKSLRRSCPQYGGNVGVVTPFDATTPFAFDNAYYQNLQRKLGLLASDKALFLDPRTRPLVQALAKDKNKFFQEFSLVMDKLGNVGVKRGKKHGEIRR >KVI00997 pep supercontig:CcrdV1:scaffold_904:138456:140736:-1 gene:Ccrd_020749 transcript:KVI00997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNAMTTIPQPIVSSVIHQNQSHYNHLLTSLSASSSLLHLKQIHTQILRSGLDRSNSLLIKLVLSACTLSSPSLDYALLVFYQMHNPEPHLSNKLLRELSRSSKPEKALLAYAKMREQGLVIDSFSFPPLLKASTRVSRLIEGMELHGFASKMDFVSDPYCGNGRFNNVLPLIEEMKRSNIKPDEKIFSTVLSACGRARNLELGKAIHELIAENEVVVDHNLQCALIIMYAGCGSMDMARSLYKELSPKNIVVSTAMITGYSNVGKVESGFLIFDQMAEKDLVCWSAMISGYAEGGQPQDALQLFHKMLSFGVKPDQVTMLSVVSACANLGALDHAIQIQSYIDENGFCGALPVNNALIDMYAKCGDLKRARGVFARMHRRNVITWSSMIGAYAVHGDAVKALELFHEMKTQKIKPNGVTFVGLLYACSHAGLVEEGQKLFASMVNDHNIVPKREHYGCMVDLYGRANLLRKALQVIEEMPMAPNVVIWGSLMAACRIYNEVELGEFAAKRVLELDPYHDGAHILLSNIYAKERRWENVGEMRRLMQHKGVVKQRGCSRIELDGEIHEFLTADRNHNETDDIYAKLDEVVSELEVAGYTPNMCCVLVDLDEEEKMKALLWHSEKLALCYGLLRRKRGSCIRIIKNLRVCEDCHNFMKLASKVYETRIIVRDRTRFHDYNDGACSCKDYW >KVI00986 pep supercontig:CcrdV1:scaffold_904:142208:146293:-1 gene:Ccrd_020750 transcript:KVI00986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETSPMLWALAYKLNQGETFFFSIARPITWLRGCLLTTDWADSVSIFDSVNSQSVYQIIDLTELLTDILTGPRRFPAYPSQIYPSHTCPLFTIFISCSIIAPYRSLIAHPPPSPVRLSPLPLGRSSSLIAHPPLAARKVDSIAANQFIKDGETIC >KVI00992 pep supercontig:CcrdV1:scaffold_904:97777:102418:1 gene:Ccrd_020746 transcript:KVI00992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein MVINNDKHHKYVKPNIEPDHLELWMKEYKPIPEANNQPVKVVVADNFHDIVFKSGKNDCKELAPILDEVAFSFSNDDDVVIAKMDATANDIVHEGIDIKAYPTLYFKSASRNLFPYDGNRTKEKIIDFIKTNKDQETQYDYSRKEEL >KVI00987 pep supercontig:CcrdV1:scaffold_904:149703:156271:1 gene:Ccrd_020751 transcript:KVI00987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAISPSLLPALLLFYLLTICKSMALISQFSSTGGDDHSHLIDEIREAELNVVRLETILEESISIVDSKNLYIKESEKLVEEMTSEVDHLQSVLLTMKNYSSSANERLNRLKKEVQLLGAAARRNTFELHILESKAQDAESRLEITKSRVEMLQPNEDELSYENFAIRTSTEMASIVTEQWIQIQHLEQALEIAKRNSKEIKKQLSSIRCSFLKSGAAMVHCKSVAEPLHCSGFGNSSSGKGGIRSNHLPCFEPGGVSIEQFLLVKVPWNPHRPPVARYYFRGV >KVH93778 pep supercontig:CcrdV1:scaffold_905:128675:129753:1 gene:Ccrd_004168 transcript:KVH93778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILASNGMVLATAMAAVSGTVLLLAFHLQKQPSTTTTTAVSVAARFSIIDHPRGPRPCISSDGKKREKKNKKVHFAKDVMEPSGNGEEFRRRLQSKNLYQNRTLSSTSKDGGGEKKISRDYEGIPANRMALYSGILRDRGVHRVSYC >KVH93777 pep supercontig:CcrdV1:scaffold_905:148300:156104:-1 gene:Ccrd_004169 transcript:KVH93777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWIRAGSSVAKLAIRRALSQSGSYAARKRLLPSESRYFHTTVFRSKAQSAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEDDPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVYGHMKAKIDPLGLEKREIPDDLDPAFYGFSEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYSGSIGYEYMHIADRERCNWLRDRIETPTPTQYNSERREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYSNDVDRTKNMGILIHGDGSFAGQGVVYETLHLSGLPNYTTGGTIHIVVNNQVAFTTDPQAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSSLEIYQKKLLETGQATKEDIDRIQTKVTSILNEEFLASKDYVPIKRDWLSAYWTGFKSPEQLSRIRNTGVKPEILKNVGKAITTLPETFKPHRAVKKIFADRYKMIETGEGVDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDHVMMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRNQIQTCNWQVVNVTTPANYFHVLRRQLHREFRKPLIAMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSNLEEGIRRLVLCSGKVYYELDEKRRSVDGKDIAICRVEQLCPFPYDLIQRELKRYPNAEVVWCQEEPMNMGAYSYIAPRLATAMKALDRGTLDDIKYVGRAPSAATATGFYTVHGREQSELVQNALQPEPLTNPAFC >KVH93779 pep supercontig:CcrdV1:scaffold_905:48001:75264:1 gene:Ccrd_004163 transcript:KVH93779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup186/Nup192/Nup205 MVSAKQLLSIIESTLLGSTPPSPSQRIELIHAIRHSLPSLKSLLSFPVRTSVEFLVVLFRFYPSLIDTHSLLAKEPPKPSDRAQVESKEVRLPDSGPIPLDDQDVQIALKLSDDLHLNEIDCVRLLVSANQEWGLLGRGPLDILRLAAGLWYTERRDLITALYTLLRAIVLDQGLEADLLADILKYVEDLINNGLRQRLISLIKELNREEPAGLGGPNSERYILDPRGALVERRAVVCRERLILGHCLVLSLLVVRASPKEVKDVFTTLKDSAGDIGGCTDIVKNQITYSLLFSLIISLISDALSASPDKMSILAQDVSFRHDFQETVMAIGNDQMIEGCMHCVRLAWAVHLMIIQDVTDARETTNDVRNISSCLEVVFSSNVFQYLLNTVLRTPAYQASTFSCHAKVVFTSEIQLLVIGTGEVCCVFQNDDEDMIYMYNAYLHKLITSFLSHPLARDKVKETKEKAMTALSPYKMSGSHDYVLDGNMQSQQTTESAPQTFVSLLEFVSEIYEKEPELLSGNDVLWTFVKFAGEDHTNFQTLVAFLKMLSTLASSEEGASKVYELLQGRTFRSISWSTLFDCLSIYEEKFKQSLQSAGPILPEFQEGDAKALVAYLSVLRKVVENGNPIERKAWFPDIEPLFKLLSYENVPPYLKGALRNSISTFIHVSPNLKDTIWGFLEQYDLPVVVGPQVGHGTQMMASQVYDMRFELNEVEARSEHYPSTISFLNLLNALIAEERDAADRGRRFIGIFRFIYDHVFGPFPQRAYADSSEKWQLVVACLQHFQMILSMYDIKDEDIDVVVSQSQLSAPQSTPLQLQLPVIELLKDFMSGKTVFRNIMGILLPGVDAIIADRTNHTYGLLLEKAVLLSLEIIILVLEKDLSVSDFWRPLYQPFDVILSQDHNQIVALLEYIRYDFLPQIQLRSIKIMSILSSRMVGLVPLLLKSNSVSLLVEDYAACLELRSEGCQVIENSCDDPGVLIMQLLIDNIGRPAPNITHFLLKFDLDSPVERTVLQPKFNYSCLKVVLDILEMLPKPDVNALLHEFGFQLLYELCSDPLTCGPTIDLLSTKKYRFFVKHLDNIGVAPLPKRNSTQPLRISSLHQRAWLLKLLALELHVGNATSSSHREVCHSIVGHLFGRGETEYDSDNKILHPLLQSSPEDTASRAIGRCKVWCSLLGCNMSMPFPIVDLALVGSGNVLELLEIIQFRSPDTTVKHSEVLSHIKFGPVADDILGNPATYEKGGVYYYSERGDRLIDLNAFRDTLWQKCNLDNPQLSSFGSEVELTEVRNNVQQLLRWAWKHNKNLEEQAAQLHMLTGWSQIVEVSASRRISLMENRSEILFLLLDTSLNASSSPDCSLKMAVMLTQVSITCMAKLRDERFVSPSVLNSDTVTCLDVIMTKRLSNGACHSILFKIMMAILRHDTSEALRRRQYTLLLSYFQYCQHILDPDVPTTVLQSLLIDEQDSGDLDLEKIDQDQAELAHANLSILRKEAQSILDLVRPLLFLLDRAIKDATQGSESGKIMALFVLDAMICIDHEKFFLGQLQSRGFLRSCLMNISNVSYQDNMHSIDPLQRLCALEAELALLLRISHKYGKSGAQILFSMGAFEHIASCMTHNMHIKGNLRRFDTSFGKDSFADVHRQRMIISPLLRLVFSLTSLVESSDFFEVKNKVVREVIDFVKGHQLLFDQVLHQDVSDADELAMEQINLVVGILSKVWPYEEGAEYGFVQGLFGLMHTLFSRDSTFLSSAQSDFQKQRKSELSAFRLCFSLSSYLYFLVKKKSLRLQVSNNSTDYSALVGQQQPTLSLLGYLLNSVTTALENAAEEKSILLNKIQDINELPRQEVDEIINMCVLEDQVASSDNIQKRRYITMVEMCRIAGNRDRLITLVLLLAENLLNIFLIHFQNSSSSSSSESGEAVKALTYGSKEEAVEDLDSFCSKLVPILERLEVLREDKVGHNLKVFQRLASSLKELSIQKLTL >KVH93780 pep supercontig:CcrdV1:scaffold_905:45976:48089:-1 gene:Ccrd_004162 transcript:KVH93780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MSSILCDGDGGVEPKSVDSMIESSCLADTIADSGAVEEEALDRNRKAGNCPSLIQPEGFGFRRLWRWWFRSGSLFSLMSLIPRRYFSTNRQLARAADAVAKLIAKEGKSATLKLPYGEVRLISKNCSATVRQVGNVGVDQKSLGKAGAGSKRCLCKRIVVRGVVMNPVDHPYGGGEERAPIGRKSKNHSSLFKGVVIGRDDRI >KVH93787 pep supercontig:CcrdV1:scaffold_905:2126:4097:1 gene:Ccrd_004157 transcript:KVH93787 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MDGNKDDALRCLKIGKDALGLGDRARALKFISKAHRLDPSLPVDDLLSKLEGDNTGNESPSASSSSKPSETGAGDSSGIRHRVPATGSSSGSGVYTEEQVTIVREIRRKKDYYEILGLEKACSVEDVRKSYRKLSLRVHPDKNKAPGSEEAFKKVSKAFQCLSVDENRKQYDLVGSDEPVYERRTASRGAHHHGFSNGFYYDGDVDADEIFRNFFFGGMNPAATTQFTGFRFGPGMGAPAGNGSAGIRTLIQLLPVLLILLLNFLPSNEPIYSLSRSYGYEHRLSTQKGIVFFVKSANFEQDYPLNSPERSQLEEKIEHEYVSILSHNCRLEMQRIHWGYQQETPNCDMLKQFKALT >KVH93781 pep supercontig:CcrdV1:scaffold_905:40638:41681:1 gene:Ccrd_004161 transcript:KVH93781 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MGKGGALSEGVLRNIILSYTYVAIWIFLSFTVIVYNKYILDRKMYNWPFPISLTMIHMGFCSSLAYILVSILQVVEPVQMTRDVYLKSVVPIGLLYSISLWLSNSAYIYLSVSFIQMLKALMPAAVFFIGVLFKKEAFKTQTMTNMLSISFGVAIAAYGEAKFSAWGVALQLGAVVFEATRLVLIQILLTSKGITFNPITSLYYVAPCCFVFLSVPWIVVELPELRDSSSFHFDYVVFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGIAFLGVAYYNHAKLQALKAKEAEKKPGEEGGKLLEESRLGDDASRKNESSS >KVH93788 pep supercontig:CcrdV1:scaffold_905:7539:9885:1 gene:Ccrd_004158 transcript:KVH93788 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Nhp2, eukaryote FRPSSFLFKPCLRSLIHLGKTLASLLLLLSHKEASIRRTKMTGEAVNPKAYPLADAQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADAEPLEIILHLPLLAEDKNVPYVFVPSKQALGRATGVTRPVIACSVTSNEGSQLRSQIQQLKDAIEKLLI >KVH93785 pep supercontig:CcrdV1:scaffold_905:84651:86741:-1 gene:Ccrd_004165 transcript:KVH93785 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MEITLLIIVSLSLFLIPVAKSQGGLKSGFYSSTCPKAEATVRSTVETHFKNDPTVAAGILRLHFHDCFVEGCDGSILIKGRSAEINALPNLGLRGFEVINDAKTQLEALCPGRRDGRVSSSSKASNLPSPLDSVDTQRQKFADKGLDDQDLVTLAGAHTIGQTDCRFFRYRLYNFTTTGNSDPSLSQSALTNFQTLCPKSSSGTEQVALDKDSPMKFDVSYFKNVRDGNGVLESDQRLWGDPATRDIVQNYAGRIRGLLGLRFDYEFKKSMIKMSSIEVKTGAQGEIRKVCSRFN >KVH93789 pep supercontig:CcrdV1:scaffold_905:13530:15686:-1 gene:Ccrd_004159 transcript:KVH93789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLNLLHQNLPFQALDIFNTRIQEVGVTHVDEVSTALAIKACRGDPKLGCQIHGFALTSGLDSFLSVSNSLMHMYSKSGQLDRALVIFENLTNPDTVSWNTLLSGCKNSNAALSFACRMNNIGVTFDAVTYTTALAHCADSEEFLFGIQLHSLVLKTGMQCEGFIANALITLYSKWECIVEAERVFDEMPSKDLVSWNAMLSGYSQEGSHGIEATSAFIDMIRLGMKLDHVSFTSAISACGHARNLDLGKQIHSLAIKRGYGTHESVCNVLISTYSKCNHIEDAKLVFEGMAHRNVVSWTTMLSISDENAVSLFNEMRKDGVSPNEVTFVGLIHAICANNQVKEGSTVHGLCIKSSFFSELVVANSFITMYAKFESMKDSINVFEEMVHRVIISWNALISGFTQNKMFQEALRTFSTTIMESKPNEYTFGSVLSAIASSESISLRYGQWCHSYLAKLGLNKDPIVSGALLDMYAKRGSINESCKVFAEIKEKNQVAWTAIISAHSRHGDYESVMSLYQEMENQFFEPDSITFLSVLTACGRKGMVEKGREVFESMVKVHGIEPSPEHYSCIVDMYGRAGRLKEAEDFLSQIPGGVGLPVLQSLLGSCKVHGNMEMGKRVSDALLKMEPKESGSYVLMSNLYAERGDWDEVAKIRKGMRDKKVKKVVGFSWVDVNNVKGSVHGFSSDDMSHPQTEEIFRMARFLGTEMKSVKREDTMEQV >KVH93786 pep supercontig:CcrdV1:scaffold_905:77620:81972:-1 gene:Ccrd_004164 transcript:KVH93786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3119 MESTLLLPPRFLSIKGRECCGNVRLFQSSFCGKFIANPQFMTTDAYTNHKSSTKITSFFSIGKKAKIIKKETVVPDPDYRIPIVLLVYNDNLLAAAPAGLLGLLLLFQEVKVGQELEDSGENVFVGGKNRWKYSSFVNWELWWPNFPILVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERAGPSKTSGPN >KVH93782 pep supercontig:CcrdV1:scaffold_905:26300:27346:-1 gene:Ccrd_004160 transcript:KVH93782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGALSEGVLKKIILSYSYVAIWIFLSFTVIVYNKYILDRNMYNWPYPISLTMIHMGFCSSLAYILVSILKVVEPVQMTRDVYLKSVVPIGFLYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSLSVLFKKEGFKGQTMTNMLSISFGVAVAAYGEAKFNSWGVMLQLGAVVFEATRLVLIQILLTSKGISFNPITSLYYVAPCCLVFLSVPWIIVELPKLRDTSSFHFDYFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYLIAFLGVAYYNHAKLQALKAKEAEKKASHLDDESGKLLEEKDEKSARKMDSDK >KVH93783 pep supercontig:CcrdV1:scaffold_905:95543:104042:-1 gene:Ccrd_004167 transcript:KVH93783 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAQILLHGTLHVTIFEVDKLKTNLLHKIVDGLEHIVGLKNNAASLYATIDLEKARVGRTRMLEHDSNPRWYESFHIYCAHMASKVVFTIKEDDPIGATVLGRAYIPVPRLLNQEVIDEWLEIVDDRGKKVHGHPKVHVKVQFFEVVQECQWSRGIQSEKFPGVPFTFFPQRNGCKVTLYQDAHLPGNFTPRIPLCGGKYYEPHGCWEDIFDAISNAKHLIYITGWSVYTEITLIRDLRRPKPGGDITLGELLKKKASEGVRVLMLVWDDMTSGGLFKNGFMATHDEDTGNYFRGSEVNCVLCPRNPDDGRSLIQNIQISAMMTHHQKIVVVDSGLPNGNNEKRRIVSFIGGIDLCNGRYDTPFHSLFRTLDTVHHDDFHQPNFTNSSVKKGGPREPWHDIHCKLEGPIAWDVLFNFEQRWLKQGGKDLLNDIRDLDHIIIPPSPVKLPHDHEAWNVQLFRSIDGGAAFGFPEKPEDAARAGLISGKDNIIDRSIQDGYINAIRRAKNFIYIENQYFLGSSFDWNSNDIKDEDIAALHLIPKELSLKIVSKIEAGEDFRVYIVLPMWPEGEPESASVQAILDWQRRTMKMMYTDIVHALKVKRIVADPKNYLTFFCLGNREIKKDGEYIPSEKPENGSNYSRAQEARRFMIYVHAKMMIVDDEYMIVGSANINQRSMDGARDSEIAMGAFQPCHLSKRRPARGQIHGFRMSLWYEHMGFLDDCFLCPESLNCIRKVNQISMKYWDLYCSEKLEHDLPGHLLSYPVAVTEDGDVTELPGTEFFPDTKARVLGNLVGYLPPILTT >KVH93784 pep supercontig:CcrdV1:scaffold_905:87944:90822:-1 gene:Ccrd_004166 transcript:KVH93784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YAHSLLRIFSTLLPSHVIIHPPSPLSPPPPPPPPPPSSTYQQFKMKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKLITPPDKSLDDCNRPPKRPRVSCQDCTVVACVGDEKMSREEVDFVELLEQEVEKFNSFFVEKEEEYIIKLKELQDSVMKAKDSNEEMIKIRKEIVDFHGEMVLLENYSALNYTGIVKILKKYDKRTGALLRLPFIQKVLQQPFFTTDLLYKLVKECEAMLDRHFPLTDLSAPSDEAGGDASGGGGGGGGGDDMGPSTSAATCEGPVKAKELAELEYMKSLYTKSTISALRALKEIRSKSSTVSVFSLPPLQVSGIEETWNKLPLHEQLAK >KVI11236 pep supercontig:CcrdV1:scaffold_9050:8093:8719:-1 gene:Ccrd_010356 transcript:KVI11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein LTGLGSICDQLRSLCGLLELPILENRQKLTGLGSKENPLLRSLKILNLSYCEQLRSLGGFSEFYALERLILSNCISLIEICESIEQCDGLELIDLSYCNEARKLVRTTGKVKNVKILNLDGCNLSEFPIEMSYLELPEMVEANNIVINSQTSSSAIWLLFSAQGDAVPNRMQCV >KVH91391 pep supercontig:CcrdV1:scaffold_906:124286:128962:-1 gene:Ccrd_006587 transcript:KVH91391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyxylulose-5-phosphate synthase SLPFNSFHALTGFTSATSIAAEQPPLKHLHFLFDCYLGHLYGHTFLGFPPFSYFIPFNRSAKRSFSAMAFCAFAFPGQVVNHRSLTSHAPEFRHCLFGTDLQHTQSSHKPFNQASKRPGGLQATLSERGEYYSQRPPTPLLDTINYPIHMKNLSIKELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDRMHTIRQTNGLAGFTKRSESEHDCFGTGHSSTTISAGLGMAVGRDLKGGTNDVVAIIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPIPPVGALSSALSRLQSNRPLRELREVAKEVTKQIGGPMHEIAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHSIDDLVAILQEVKSTKTTGPVLIHSYTTYFAEALIAEAEADNKIVGIHAAMGGGTGMNLFLRRFPSRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQACCSFSPSPSRGFSETKFTILIVVHDVDLQKLPVRFAMDRAGLVGADGPTHSGSFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVPLPPGNKGIPLEIGKGRIMIEGQQVALLGYGSAVQSCMAAAALVQERGLNITVADARFCKPLDHALIRTLAKSHDVLITVEEGSIGGFGSHVAHFMALDGLLDGSLKWRPLVLPDRYIDHGAPDDQLADAGLTPSHIAATVFNVLGQTRQALEVMS >KVH91393 pep supercontig:CcrdV1:scaffold_906:28130:29542:-1 gene:Ccrd_006585 transcript:KVH91393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant IQSVDLIFYKCTRHQLYPRSNQTLLIISPAAMASNDTATPPHAAASMEVPLKIGSAPPPKYTGGGGGFKKHALLDVLLRVLLFATALVGIIVMVTSKQTKQIPIAPGITVTRDAKFNHSPAYIYFVAALSVASLYSIITGLVSVLSLMKPGGISTKLQIHFVMLDALLLGIVAAATGAAGGVAYIGLKGNSHSRWNKICHTYGSFCFHFAASILLSLVSSITLLLLVWLSLYVLSKKIARR >KVH91392 pep supercontig:CcrdV1:scaffold_906:42162:43794:-1 gene:Ccrd_006586 transcript:KVH91392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MASNDTTIHPHAAASMEVPLKTAATPPPEYNRSRGSASFKKHALLDVLLRVLLFATALIGIIVMVTSEETKQIPVAPGLAVTRTAKFSNSPAYIYFVAALSVAGLYSIITGLVSVLSLMKPGGISTKLQLHFVMLDALLLGIVAAATGSSGGVGYIGLKGNSHSRWNKICHTYGSYCFHFAASILLSLISSITLLLLVWLSIYVLSKKIARR >KVF42909 pep supercontig:CcrdV1:scaffold_9063:3200:8638:-1 gene:Ccrd_026765 transcript:KVF42909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQRLGAVEILHSAHKVCTTWRRICKDPAIDMHYSFDGWDMDYDIEKLTKQAVHRSCGELIYISLECFETDDLLDHISRWTFDWGVER >KVI08854 pep supercontig:CcrdV1:scaffold_9065:3492:5761:-1 gene:Ccrd_012770 transcript:KVI08854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MASHGGSSFLSSQTRSLLLFVFGFVLLVSLQAEAATKKYQFDVQVNNVSRLCHAKPIVTINGRFPGPTIYAREGDRVIINVSNHAQYNMSIHWHGLKQYANGWADGPAYITQCPIQTGNSYVYDFNVTGQRGTLWWHAHIFWLRATVYGAIVILPKQGTPFPFPQPDNEQVLVFGEWWHGDVEEIVKKGNAMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKKYLLRIANAALNDELFFAVAGHNMTVVEIDAVYTKPFTTSAILIAPGQTTNVLVYANRAPGRYFMAVRPFQDVPIPVDNKTATAIFQYKGIPETVLPSLPQLPSPNDTAFALSYNKKLRSLNTRNFPANVPLKVDRKLLFTVGLGKSVCPTCINGTRLSASLNNITFVMPQTGLLQAHYWNKKGVFSVDFPDKPPKAFNYTGAPLTANLFTSHGTRLSKISFNSTVELVIQDTNLLSVESHPFHLHGYNFFVVGTGIGNFDPAKDPAKYNLVDPPERNTVGVPTGGWSAIRFRADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGKDQAVRPPPKDLPPC >KVH88461 pep supercontig:CcrdV1:scaffold_907:99132:141364:1 gene:Ccrd_026766 transcript:KVH88461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQWRIGIKLSWRRLSRQRVSDISRTSLLILKRICMESSQLLNALWELLLQREIFIGAVEEDGEAKKQKMIKSSKPEEERIREEGDNRDLGLFLSSEDNVAGSNAANEGEDALDDDNTGYIDHYMKI >KVI03303 pep supercontig:CcrdV1:scaffold_9074:1:231:1 gene:Ccrd_018399 transcript:KVI03303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALCVLMFCLEWLVLTLAFFLRYYAFMEGSRNGGKVQAEDPKGWTPPFHV >KVF42055 pep supercontig:CcrdV1:scaffold_9077:4:10234:-1 gene:Ccrd_026767 transcript:KVF42055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MVLATQRSGTVTDKVSAFSVLVGDNPIANIRSIDAILGMVTSKVGKRYAFTGFEALKEIDQKDSYFFASYVMINCYVFSYLIDIQQPLNYLPDSKDGNSLLLFWHWEECLKQRYERYIFALEEASRDVLATLKDKALKTIYALLKSKSEQERRLLSALVNKLGDPENKAASNADDLLSKLLSDHPNMKVYYPLVPIVLVVVVDEVDNFLFRPHLVLRAKYHGIRLSHVGDGPKVAKRLVDVYFALFKVLISESGGLHLDKEKKSKEELKKAYSSSKNKDVKSAADSHVEMDSRLLSALLTGVNRAFPFVASNEADDIVVTQTPMLFQLVHSKNFNVGVQALMLLDKISSKNQIEEMFIGLLLRAMKNDLNLKRVSAFAKRLLQVSLQQPPQYACACIFLLSEVLKSRPPLWRNELADEEVEHFEDIVEEPDIQASTAADKPFNSGEAVHCSDGIDNDDDPSEDEGGSPAPSSDDEYSDKGDGDLLGFGGLTTLGESETTSEPRVQNAKSSLPRGYNPRHREPIYRNADRVGWWELMVLASHVHPSVSTMAKTLLSGAXIVYNGNPLNDLSLGAFLDKFMEKKPKGRNWHGGSEIEPAKQVDMSKPLIGAEILQLDEMDVAPEDVVFHRFYMNKMNSSKKPKKKKKKGVEEEAAEDLIGEGEEDESDDEEIEAILDSSNPALDTDGDYDYBDLDQIANEDDNDLVGBASDEEMELXAEDEADXSDEVSIGDAEDGSDEDVFEVKPRKRKGKAVGASP >KVF41933 pep supercontig:CcrdV1:scaffold_9082:995:6232:1 gene:Ccrd_026768 transcript:KVF41933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSRSSRTLYVGNLPGDIREREVEDLFYKYGPIARIDLKVPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGNSSATDRHNSHSSGRGGHGGVSRRSDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFKEGGGTTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRGVIRVKEYDSSRSRSRSRSRSKSYSRSRSRSRSKSPKAKSSRRSKSRSRSASPRSRSGSEPRPSASAQSGLVKVPKDAVLVRAGVRAEAGVVAGARVYHGDEAGAS >KVF41932 pep supercontig:CcrdV1:scaffold_9082:7312:10131:-1 gene:Ccrd_026769 transcript:KVF41932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHSRLLFMDEATASVDSQTDGVIQKIIREDFADCTIISIAHRIPTVMDCDRVLVIDAGKLCERIRQTFAVDGKGFSVWGIGTRICEPVVGLVNNCSFDDEWKCSSLKKQSRMGRVRNKSGKLEIIVQKMETPQKPLMIVGLDDSDHSFYALQWTLDHFPNSPFKLLLLHSKPSPTSAIGFAGPGTINSTQLISLLLAHFISSFLTLFSGISSMNPGAADVFPFVDSDLKKIAARVVEKAKELCHSKSVDDVNVEVVEGDARNVLCEAVDRHHAAMLVGGFGKCKRLCHPSCPLHRDGSEEAKDQTLSQQKWPPAIAKLERFKAWLVKLKDYSYLCMCDHNTYSCFVALK >KVH94878 pep supercontig:CcrdV1:scaffold_909:136664:143334:1 gene:Ccrd_003057 transcript:KVH94878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MPTSLISLNPSISLPSSSASASSSSSSIVTSSRISCFYAFRQKPICFTPSKPRFFRYERRITTPIIVSSAKQVTIEELRKDDEEGPPSQVESEVTTKPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVITTHEGVPQEFHGAKLVGSRSPRIINEVKQFKPDIIHASSPGIMVFGALAIAKMLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVLKFLHRAADLTLVPSAAIAKDLRQARVTAANKIRLWNKGVDSESFNPIFRSQEMRVRLTEDLEKLFVGMPAVFTGTLQGDELSQAYASGDVFVMPSESETLGLVVLEAMSSGLPVVAARAGGIPDIIPEEQEGKTGYLYTPGDLDDCLNKLVPLLHDAKLRENIGQAARVEMEKFDWRAATKMIRNQQYNAAIWFWRKKRAQLLKPVQWVSKIFFPIQRRQKLTAGEL >KVH94876 pep supercontig:CcrdV1:scaffold_909:70597:72003:1 gene:Ccrd_003053 transcript:KVH94876 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MEDGLSNSTPSDRIKLNVGGKLFETTVSTLQSGGPDSLLAALSNRQIQSSNPVFIDRDPEIFSVLLSLLRTNRLPSTSRRFTDQELSDEASYYGIESQLKSAMLPNPLSGIDASVVATIRPASDGVVSAFTTIDDGSVWIAHGGQISVYDWNLTHAGTVRTHLDYITSIRQVTPSAMAAIACDVSSGVHFYNFANGRRVGSVEWTDPTDPRIYKARVTTITDSPESVFAAFDSYHKENCVLAIDKSTLQVTSEIGRQSGKSSKSIVPGNLTYVKDLGLLAANAVTSGAFGFSGYIRFWDPRSARVVWETNEPGSGRSSRFGDSFADVSIDVNELTMCKICSKSGDLAMADLRKLGDDPWVYLRDTNPSLRNTSGGISNSVVHCYKKQVFVGRDGGLEVWSRVAQNGVHNMEIGEESFRRNYMDKLEDSERGIIKKIEGAGERLFVSREEAEGLEVWQSSVFSGAVLVL >KVH94877 pep supercontig:CcrdV1:scaffold_909:133380:133658:-1 gene:Ccrd_003056 transcript:KVH94877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase/oxidase C-terminal MVDPRPRLFKNVIDYKTQQSRLFPLLASAYAFRFVSEWLMCG >KVH94880 pep supercontig:CcrdV1:scaffold_909:89506:89946:-1 gene:Ccrd_003055 transcript:KVH94880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRVSFSPDVDGMPSPPPPVHFTKHGGDRTNTTEPMIRILTFRPPKTSSSGGFSAMRFLGRIRAKFAKALGFVSSSSRKVSSSSSSTTSSSSYSCTLERSRSYVEALDSHRAQAIEDCIQFLNSNSSSSSSSSFQRSKSLSSFSY >KVH94879 pep supercontig:CcrdV1:scaffold_909:74701:75150:-1 gene:Ccrd_003054 transcript:KVH94879 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC-rich sequence DNA-binding factor domain-containing protein MGPTSQDHPYTFVGAPMATVVGQKLESLYHAIRNRLKSVLHAWHPSDMSAYYIWSPWKTVFDPASWEQITVRHIVPKQLAVMHEFQVNPADQKLDQFYWVRTWATAIPIHHMLQKMDVFFNKWQQVLYQWLCSKPNFEAVINWYLGWKV >KVH94881 pep supercontig:CcrdV1:scaffold_909:144122:146510:-1 gene:Ccrd_003058 transcript:KVH94881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MQEKGFRWVVMKQASRLCSTKPIVTVNGQFPGPTLYAREGDNVLVRVVNHVKYNVSIHWYDTQCPIQPGQNYVYNFTITGQRGTLFWHAHILWLRATVHGAIVILPKQGVPYPFPKPDVEQVVVLGESSTGKKNLKRHCIECEWWKSDTEAVIKQALKSENGKSYMLRIINAALNEELFFKIAGHQLTVVEVDATYVKPFTTDTVVITPGQTTNVIVTTNKNSGKFMMAASTFMDSPIAIDNKTAIATLHYTGTLSNSPTTLTTPPPQNATATANNFIDSLRSLNSKKFPANVPLKIDHSLYFTVGLGINPCPSCKAGNGSRVVAGINNITFVMPTTALLQAHYFNQKGVFTTDFPGNPPKSFNYTGAPPKNLATTSATKLYKLKYNSTVQLVLQDTSLISPENHPIHLHGFNFFAVGKGLGNYNPKVDPNNFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEIHTSWGLKMAFLVENGEGPNESLLPPPKDLPKC >KVH94875 pep supercontig:CcrdV1:scaffold_909:43841:50830:1 gene:Ccrd_003052 transcript:KVH94875 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MPSPHPDFEPISECSSESRSSQTVASNLDGTLLVSRSLFPYYFLIALEAGSIFRAFLLLLSFPFVFLTSVLFSESAATRIYIFISFVGLQIRDVELVSSSVLPRFYVEDVRPETWRVFNSFGKRYVVTSSPSVMVEHFAKHFLGADKVFGTELEVSKNGRATGFVTEPGVLDGELKKAAIFREFGGSNLPDLGLGDGETDKDFMSICKEGYTVPKTRCEPLPRNKLLSPIIFHEGRLVQRPTPLVALLTFLWMPIGILLSILRVYLNIPLPERIVYYNYKILGIKLVVKGTPPPPPSQGQSGVIFVCNHRAVIDPVVTAVALGRKISCVTYSISKFSELISPIKAVALARERDKDAAHIKQLLEEGDLVICPEGTTCRESFLLRFSALFAELSDRIVPVAINTKQSMFYGTTARGYKVLDPYFVFMNPRPTYEITFLNQLPLELTCKGGKSAFEVANYIQRVIGDTLGFECTNLTRKDKYAMMAGTDGRVESKKGKSQ >KVF41691 pep supercontig:CcrdV1:scaffold_9091:4977:9401:1 gene:Ccrd_026770 transcript:KVF41691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase, C-terminal-like protein FLDYYDIPYKVVEVNPFSKKEIKWSDYKKVPILMVDGESLVDSSAIIDQMGNRIIPVKSSSALSNDDEEKKWRRWVDDHLVHMLSPNIYRNTSEALESFDYIANNGNFSLSEKYAVKYAGAAAMYFVSKKLKKKYNITDERAALYEAAETWVNALDGREFLGGLKPNLGDLAVFGVLRPIRYLRSGKDMVEHTRIGEWYSRMESAVGESSRIKA >KVH90627 pep supercontig:CcrdV1:scaffold_91:127688:142629:-1 gene:Ccrd_007369 transcript:KVH90627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, motor domain-containing protein MASRQGGTKSRKSSSKYANSLSSSTTSSSKHVPDVSVDNLSSPASSARSKPQYVYSESLPVNSDRSKENVTVTVRFRPLSPREIRHGEEIAWYADGETIVRNEHTPSIAYAYDRVFGPTTTTRHVYDIAAHHVVGGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDSQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENGDGGAVNLSQLNLIDLAGSESSKAETIGVRRKEGSYINKSLLTLGTVISKLTDMRAAHIPYRDSKLTRLLQSSLSGHGRVSLICNVTPSSSNSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKLELEHLKSGIVVVPQLKDTGGDDIVLLKQKLEDGQMKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKSSPSSRLSNRPNLRRRHSFGEEELAYLPHRKRDIILDDENIELYVPLDGSVENNEDALKEEKKTKKPGLLNWLKPRRRDSISGALTSTNDKSSGAKSISTPSTPPADNHNFPTESRHSHSLLSECRSAEFSSGGRQDQEINEDIFLQQESPLISINTIDQIDLLREQQKILSEEMAIHLSALKRLSPDAARDPEREFINVEITRLNEQIKLKSDQIKLLEDKVANSAVSSHHKMGEVEQARINECEGLQETIKSLKQQLSDARSEFNAEKDAKTTLLCQAQALEIEELKQKVAELTESEEQLESRSKKLAEESSYAKGLASAAAVELKALSEEVTKLMNHNEKLTAELASQKNSPTQRRTMGFSKNGRKDGRQKEASPKESELKRELALGREREMSYEAMLCEKDERESELQRTVEESKQREAYLENELANMWVLVAKLKKAQGGGTKTEEASESSRESQGVDGFEMWTNKGFVG >KVH90632 pep supercontig:CcrdV1:scaffold_91:435220:437492:-1 gene:Ccrd_007393 transcript:KVH90632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MGLVSQAVHDGGRHVLGVIPRTLMPREITGETVGEVKAVADMHERKAEMARQADAFIALPGGYGTLEELLEVITWAQLKIHHKPLASKKLKGHLFGQINVGSIEESDADGLEDFHEYFKLF >KVH90628 pep supercontig:CcrdV1:scaffold_91:542687:545073:-1 gene:Ccrd_007399 transcript:KVH90628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative domain XH MYYNSSHVLQSRDDDHQHDYGFSFQPATVYSNKMRLYDSDLGELQELYFSSTDKFLHKKKAPKSLMLLEQGIIEENYDKLKGLKRDMGEEVYKAVTTALTEINDYNPRDVFLGSTLSDHVMRFNNIPQNLVVEMSIPTYIISTISDDRDHQVFHFSKDTIPVSKENTPPPPDPPLVRDIKR >KVH90615 pep supercontig:CcrdV1:scaffold_91:376582:376941:1 gene:Ccrd_007384 transcript:KVH90615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNDGGDNGVVKLDLKLNLSPPRSHWPESPTRSSPMTVSPTNSCVSLELVGGQHDDETMELRYSSSPETTTSMMLAGCPRCLMYVMLAEEYPKCPKCKSTVLLDVVFDKPLIKKSKT >KVH90608 pep supercontig:CcrdV1:scaffold_91:19730:27079:-1 gene:Ccrd_007356 transcript:KVH90608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MPQLRSGARRSKRLGDLQPATQPVDQGENVATPTQNRTRRKTGGGRGRGDAAAVAKGPAAAIPVRPTTAGRGRGARLIDLDPEPPCEIVPDAAALGVREPILNRIEAVADKDIAMEGGSADKIMGVEEEGNATPVPERVQVGNSPLYRTERKLGKGGFGQVYVGRKVSGGTERTGPDAVEVALKFEHRNSKGCNYGPPYEWQVYSTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPSMVACIAVESISILEKLHSKGFVHGDVKPENFLLGQPGSVDEKKLYLIDLGLASKWKDSTSSQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKHFLEAVTNMKFDEEPNYSKLISLFESLIEPVTSLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKVRLGSPATQWISVYNAHARLRQHVEKGNEDGLYISCVASASNLWALIMDAGTGFSFQVYEVSPVFLHKDWIMEQWEKNFYISSIAGTPYSQQSYKVSESFPFKWISKKWKEGFHVTSMTTAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPRRKMMDETQETLRTSAFPSTHVKEKWSKNLYIASMCYGRTVC >KVH90607 pep supercontig:CcrdV1:scaffold_91:32195:39936:1 gene:Ccrd_007357 transcript:KVH90607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRIHFIIFNPMAAASLLSLSLPKPTILVPKSTASTITPSVTVTETLDQKFGRKGIRFFEYDDGAQMAELTVRNGSSLKLQLNNAHVTSYKPKVYWKDDGFEEVLYTTSSAKGGIGLVLNNASDDSKSKGVDLSKWIVKDVDSDSIDALQNNGRKPVDLKTAILTHFKSKKRGGTAIDGLRGCSYCTHPPLSSSFEILSPPEAMKPEDPGWFSFGWEPEKKVGVWSVQDVPITVLKHKLSRVYSAPLEERSKEFYNTPPSKYETIDQGRELFFRVVRIGFEDIYLSSPGSLSEKHGKDYFICTGPASMLAPITIEPGEEWRGAQVIEHDNFSIFSPNFIHLQPSTNSITKIASSFPSSPTKYHNNLNSHTRNFRLPRVASIPHPPINVGYLETEFSGHGASFTSLGESCVVRMGLDNGSVATLMLPSGLITSYKAPMWHGGLLELLHTSVSEGEDGGGAVIRGGVSLAFRCEGGGDEENGEISWSPSIWSLRNVGGSPQGSIQVELIASDSEHKIQIKHIVTLQNDAISSEIVITNFRPSSLRLMGSIIGHLAVSTPEATFAVGLESSNYFVKPPIFSNFSIIPPDFNKNDSDSRNSWGPTAFKQLLSGWGMGNPNDGRGDKGQKDEEMEGEEDGNYKHLTEKLSLIYTSAPRSFTIMDRGKRNSVVLGREGFNELYLFSPGSSHKSYGKYSYICIGQAALLKPVTIGPESEWRGVQHLHNPNL >KVH90604 pep supercontig:CcrdV1:scaffold_91:280927:292739:1 gene:Ccrd_007378 transcript:KVH90604 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1-like protein SPNPWILCKHSTTCFCHKKVAAVDYLLFNKAYSRKRCQISLCLLEDGTLGLGSRSIEPQFSGLTHSQSRRRRQFLPFASTDDGVTVNGNPQTSTSGEVEEMRVKLDQSLQNEEYNSALIQSLHDAARVYELAIKEQCSASKLSWFSTAWLGIDQNAWVKALSYQASVYSLLLAACEISSRGDRRDRDINVFVQRSLSRHSAPLESVIRDALSDKQPELYDWFLSEQVPSVVSSFVNYFEKDQRFAAATGVLRKGTSLSSGDSSDRSLLLFALSCIAAITKLGPTKVACTQFYSVLPEITGRLMDMLVEFVPICKAYSSIKEIGLRREFLVHFGPRAAACRVKDDQGTEEVLFWVSLVQKQLQRAIDRERIWSRLTTCESIEVLDKDLAIFGFFIALGRRTQLFLSANNFEAVPKPIEGLIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGDIDAQKGSHGHRSKQGPPNEDAVPLVLDVCSHWIQSFIKYSKWLENPSNVKAARFLSRGHNILQESMEELGIPKKLMIESSNTDVFEITRSGSYSPLKKELDSFDKALESVDGALVRLEELLQELHVSSSSSGKEHLKAACSDLEKIRKLKKEAEFLEASFRAKADSLQQGDDDGRPKSSDRNKRQSVRGKNDMDVSSSKPDGLWNFLVPRATPTTDLQSETAYGSEDTGVLESNEIQRFELLRNELIELERRVERSAKQSENEEEDIRMADDPADYSKDAEGVLVVKVPEKENIIGRSISKLKGTTTDVLQGTQLLAIDAAAATGLLRRTLIGDELTDKEKQALRRTLTDLASVIPISILMLLPVTAVGHAAMLAAIQRYVPSLIPSTYGPERLDLLRQLEKVKEMETTEFPDCCRVCFLVVCN >KVH90620 pep supercontig:CcrdV1:scaffold_91:45973:46161:-1 gene:Ccrd_007360 transcript:KVH90620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEFTRIYSGESKADSAASKRDFTCVGSDTSALKEIARGDSDWELELISETTRSAWVELEL >KVH90603 pep supercontig:CcrdV1:scaffold_91:297295:298758:-1 gene:Ccrd_007379 transcript:KVH90603 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 DNA binding domain-containing protein METPHQPPSSTVDFNLNHHHFVNNHLETITPDHHHHHPHFAKQPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGGGDAADQQKDLLLSFEDESGKLWRFRYSYWNSSQSYVLTKGWSRYVKEKRLDAGDVVLFERDGLDCEKMFIGWRRRSAQPVALGGAQVRGIYENVNNCQRGAVATRRLRLFGVNLECPDNSEPSTPDGSSQSGRPDHQYSYNSSDFHLNMEYYLKF >KVH90598 pep supercontig:CcrdV1:scaffold_91:153795:161871:-1 gene:Ccrd_007370 transcript:KVH90598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Colon cancer-associated Mic1-like protein AKEYGSCVAAKVPQIERDISQPTVGFGGSGALSHTYIQYPPLRCRNDGSRGLFYDDGNKLLISPTSDKVVSWKTSPFTPDVAPTTDTISEGPVLSVRYSLNAKLIAVQRSNHEVQFWVRETGETFSQKCRSESDSILGFFWTDCAVCDIVFVKTSGLDLYTYMPELKSLDLVETKKMNVSWYIYTHESRLIVIASGMQCKSFTGYQLSSAGIIRLPRFEMAMAKSDVNSKPVLAAEDVHIVTVYGRIYCLQVDRVAMLLHSYRFYRDAVIQQGSLPVYSSKIAVSVVDNVLLVHQVDAKVVILYDIFADSRTPVSAPLPLLIRGLPRTGIPSSQTSRKDAESSETTLFNDPSSHLYSDDWNFLVPCLAISASSSEVPSAKQLCLELARSLIIERRPLPMVFRAIEVLVNSFSYSLKTGSYLKGGKSEKPAVPGVSENSVSTGTSDNISSADASGKSVKTGPASGVDRRTIHNSSFLTSDSEDNANFKKAKAKFLDTNSSSGNIDGETSVGADASRIEDHSMASEPQLHDNQPLNANVSEQQDSQVTSAAIPPDELYNFVFASVEEEMIADASYLFAIIVEFIRSANLEKIKLHPNIYLMAVRLLGRNERYAELGEFILNKTGRQNVQTRKLGLDMLRQLQLHHDYVVLLVQDGCYLEALRYARKNKVNSLRPSLFLEAAYASNDPQQLAAVLRFFRDFIPGFRTTSEHSTYIRALADMHSSIAV >KVH90618 pep supercontig:CcrdV1:scaffold_91:306525:311024:-1 gene:Ccrd_007380 transcript:KVH90618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MPPWLLPFFSSLIFSQSITSSFAKFPPWYFPSSMIRPETPSSPDKNELYKTKYFTQILDHFNYNPQSYQTFQQRYLINDTFWGGPKTKAPIFVYTGNEGNIEWFAQNTGFMFDIAPEFKALLVFIEHRYYGKSIPYGGDRKKAYKNSKRLGFLSSTQALADYATLIIDLKNNLTAMDSAVIVFGGSYGGMLAAWFRLKYPHVAIGALSSSSPILQFENITSQYTFNNIITQDFRSESENCYKVIKGLWREIEDTAGWLSSALVYVSMTDYPTPSNFIAPLPAYPIKQAIDDPTLGNDTFAKMYQAANIYYNYTGDVKCFDLDDDSDPHDLGGWQWQACTEMVMPISGNKEESIFPASTYSYTDHVKYCEAAYEIQPRPTWITTEFGGHDITRVLKRFGSNIIFFNGLRDPWSGGGVLNNISKSIIAIVAEKGAHHVDLRFSTDKDPDWLRDVRKREIGIMKDWISEYYHMHSS >KVH90625 pep supercontig:CcrdV1:scaffold_91:89064:104299:-1 gene:Ccrd_007366 transcript:KVH90625 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI inositol-deacylase PGAP1-like protein MEGFKARFRLAAIVVLSIGIALVAIYDLLKPISNGCAMTYMYPTYIPISATESLSSSKYGLYLYHEGWKKIDFNEHLKQLSGVPILFIPGNGGSYKQYTRRLDWFAVDLEGEHSAMDGQILEEHTEYVVYAIHRILDQYKESQNARVKEGAVESGSLPNSVILVGHSMGGFVARAAVVHPNLRKSAIETVLTLSTPHQSPPVALQPSLGHYYEYINKEWRKGYEVQTSRTGAHSSNHQLSQVIVVSISGGGNDYQVRSKLESVDGIVPSTHGFMISSMGMKNVWLSMEHQVILWCNQLVVQVSLLLSNLPSFYIVNGYDLLTDFQVSHTLLRLVDPETGHPISGARKRLAILTKMLHSGMPGSLSRQSHLLQQSPHLPLQKERKFADHLLGPPGSRKKTITACPSKLHWSDEGLERDLYIKTPTVTILAMDGRRRWLDIKELGSNGRNTFVLVTNLLPCYGVRLHLWPEKGTSPSDLPLSKRVIEVTSKIAQISSGPAPRQIEPGSQTEQPPPSAVFWLDAKDMHGFRFLTISVAPSPTVSGRPPPAASMAVGQFFNPEEGRKEFSPLSLLLSMYSQKDIFIKEDHPIAMNITFSISLGLLPATVSLETTGCGIKKSGLPVEEAGDMDSGRLCKLRCFPPVALAWDATSGVHIFPNLYSHTIEVDSSPALWSSAEGSEQTNVLLLVDPHCSYKTSAAVSLTASGRRFMLLYASQIIGFAFAVVFFALMRQANAWELDLPVPSLLSAVESNLRMPLPFLLLAISPLLIALFYSCLSPKPLPSVIGFFVVSIICYLLANGIIIILTLTTQIISHVVARVHVMRVINANPSLAMSLLAIALVCFVHPALGLLILLFSHVLCCHNALCRSSKSNNDENEEGLPVDENSSGTPDSARSYGETQLEIFHHRHGLLILHLLSLLMFLPSLVAWLERLSMGHNFPWLLDSALCMGVVLHGICGSKPEFNVFFQIPGMRGYEIRQGLVYLLAGYCCYLSGLDLAPYKAFYAMAAIGIVSFVFRIIERRNQPYYSNRKHSHRH >KVH90600 pep supercontig:CcrdV1:scaffold_91:254226:262504:1 gene:Ccrd_007376 transcript:KVH90600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dcp1-like decapping MSQSGKLMPNLDQNSTKILNLTVLQRMDPYIEEILITAAHVTFYEFNVDLNQWELPAKVPVYKNLVENLLGDFEFELQVPYLLYRNAVQEVNGIWFYNSRECEDVANLFTRILGAYSKVPPKQKVNKSEFEELEAVPTSSVIEGPLEPGLTTSRSTDVPEDSSFVNFFSTAMNLGHNAPNPGNSVQPYHNSSTVPLAPRDAPSPVVNGSPLQIPSSIPAMPPHHDNPDPVNNSNHVTNLIKPLSFFTPSSASSPLMRQPSSSVPVPTLQPPLNVQRNVGIPLLQPFPPPSPPPSLTPTSTSAPYYGPLSREKVRDALVMLSQDNQFIDMFYQALLKVHQS >KVH90596 pep supercontig:CcrdV1:scaffold_91:227573:230584:-1 gene:Ccrd_007372 transcript:KVH90596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAATSVGELQKEHCVGDGDLRGVRRSYERGICTTSPPLNLDSVPLDALVAGCGLGGMLGAFSGLNTGIPYIQKHVKGPKWLPFVIGIPPLLAFSAASAAFGGMPSNFE >KVH90602 pep supercontig:CcrdV1:scaffold_91:246937:249288:1 gene:Ccrd_007374 transcript:KVH90602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21e MPAGHGLRSRTRDLFARGFRKKGTIHLSTYLRTYHVGDYVDIKVNGAIHKGMPHKFYHGRTGQVWNVTKRAIGVEMNKQVGNRIIKKRIHVRIEHVMPSRCTEEFKQRVKKNDVLKAEAKAKGVVISTKRQPLGPKPGFMVEGTTLETVTPIPYDVVNDLKGGY >KVH90636 pep supercontig:CcrdV1:scaffold_91:506372:509683:-1 gene:Ccrd_007396 transcript:KVH90636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNDLPMETLRDRATTMKNCLARSQVITDSITAILGSFDIRLSALETAMRPTQIKTHSMRRAHENIDKTLKFADTVLIQFDLAKQCESVILRGPHEDLESYLEAVNQLKRVVRFFSSNKNLKSSIGVITQATTLLQKASLMLEEEFRSLLSLYSKPVEPDRLLDCLPSSLRPAAPSTAHPHEGGRKHEHNKNSETAVYSPLILVPPRVIPLLHDLAQEMFQTGQQQQAFIIYREARSSCMENSLKKLGVEKLGKDDVQRMQWEVLEAKIGNWIHFVRIAVKLLYAAERKVADEIFEGMESFMDQCFVEATASSVSLLLSFGEAIAKSKRSPEKLFVLLDMYEIMKEVQPEFNSIFASISGAEMRESVSALTKRLAETAQETFVDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFLEFEAIDPDAQLAKITTRIMQALQNNLDGKSKQYKDQALTQIFMMNNMHYIVRSVRRSEAKDMLGDDWVQIHRRILQCLTVQVAGGDGNSTAGVSRAMVKERFKTFNTQFEDLHQKQSQWSVPDSELRESLRLAVAEVLLPAYRSFKKRFGPMIEGGKNPTKYIRFTPEDLERMLAEFFEGKTAHEQKR >KVH90631 pep supercontig:CcrdV1:scaffold_91:419311:421622:-1 gene:Ccrd_007390 transcript:KVH90631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFICLCGSCTFLSSKPNDVLLGCACISLHAFSQFEEKFEDIDGVEQPMTVPVASSSGSDDNPATEVTIEAQPQHVHGMSSDINMPEDSPGRIMRIVPSDVDNDADYWLLSDREVPLTDIWNTELPGIQWDGVDLLSEEFGLAEVGTPRATTPPSDASLNANARS >KVH90616 pep supercontig:CcrdV1:scaffold_91:338652:360923:1 gene:Ccrd_007382 transcript:KVH90616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVHCDVTIDSDVQNLVDTTVSKYGKLDIMFNNAGISGESDITILGSDINNLKRVIEVNAFGSFLGAKHAARAMIPAKNGVILFTSSVSSVVAGVTSHAYAMSKHSVVGLMKNLCVELGEHGIRVNCISPGGVATPLLVNAMGMEKKVVEDVLSASGTLKGVMPTVEDVAEAALYLGSDEAKFVSGVNLVVDGGYSITNSSWPTVVKSLILQGKVAIVTGGASGFGESTVRLXTKHGAKVVIADVQDERGTSLCEELLSKLGNVVMYVHCDVTSDSDVQNLVDTTVSKYGKLDIMFNNAGIPGDPNSTILGSNIENFKRVFEVNAFGSFLGAKHAARIMIPAQSGVILFTASLVSVSAGDTSYAYTMSKHSVVGLTKNLCVELGRYGIRVNCISPWGVATPLLVNAMGMEKEVVEEVLRASGTLKGVVPTPEDVAEAALYLGSDEAKFLKMPTNKPSASQFPKRLQGKVAVVTGGASGIGESTVRLFTKHGAKVVIADIQDERGTSLCQELLSESGNVVIYVHCDVTLDSDIQNVVDTAVSKYGKLDIMFNNAGIXGDTNNTILGSDIQNFKRVFDVNVLGIFLGAKHAARVMIPAKSGVILFTSSAASVVAGVTPHAYAMSKHAVVGLTKSLCVELGQYGIRVNCISPYFVATPMLVGAMGMDKEVVEEMVCASATLKGLMPTAEDVAEAALYLGSEEGKYVSGLNLVVDGGYSTTNPAFTTEAKKFMS >KVH90635 pep supercontig:CcrdV1:scaffold_91:511877:514528:1 gene:Ccrd_007397 transcript:KVH90635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a/b binding protein domain-containing protein MAQTMLLTSNTVVSGHPLLQSLRPKPFSHLLLPPSLVNASSTSPARTYTSPVALFKAKTKAPAKKAAPKEKIKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEGLTGKGILQQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGPLFGFTKSNELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPISDIEPLVLFNVAFFFVAALNPGTGKFVTDDEED >KVH90611 pep supercontig:CcrdV1:scaffold_91:413582:418759:1 gene:Ccrd_007388 transcript:KVH90611 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MYGEMKLALIIYLWYPKTKGTWYVYEAMLRPFVERHETDIERSLKELRAKAWDVAIYYWHNSTELGQTKFFEIFHYLVSRPSTPLVMENHQNSGDGRPPPPPVNPASFFRSEQADERWEPTAPPLPNTSRHQPVYAEELHLPASPSRSGSSSGHREPAIEGCGYETEDGGPEAVEDGGWMGLRGGGHRLKISPGRLFDKAVPNGDFIAGIGGRFGGVDDGWWGWEDVSERGIASGSKSTEEEEDVAVVVVVVEEEEKRSWNLVGVPMEFRRRRMEIGGVVESSAAAMAEDEIEKVEKKRGVYI >KVH90599 pep supercontig:CcrdV1:scaffold_91:273869:274909:-1 gene:Ccrd_007377 transcript:KVH90599 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MSIAAATPSRAAGISSLHTDILESHILTRLDGQTLASAGCASATIHSLSGKNHHLWSDVCHSTWPSTSGEFVNGIISTFSDDTNGPREFFSQSFPLPSPDPTNVDRDRPSSSSMSSPPSALISAVDVYHRNKLIFTKTEETETVTGWFRCSPFRIDLLDPKDMVPTQIPHPEGKDTCTALIDDMTLSWILIDPINKRAVNLSSHKPVSVQRHWLSREVQVRFVSILRGRRRGGGGDAGVVVQCGIVVNCGRSEDGEMQVREVTMEVEDMDGKHLNGRDSLVIFQRAMEAKRGNGVKREEEARRRYRRYEEMKRERRERKLRIEGTLDTLSVAFGLSIFAALFFIFC >KVH90614 pep supercontig:CcrdV1:scaffold_91:400937:406396:-1 gene:Ccrd_007387 transcript:KVH90614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2305 MFIHLPLPLLSSSLRILSFPPPFRFSRYSTVIPTISQMSHEKSQLISQKSNGIANFRLCNVSGTVGRRNWWRFMPEIPDCMFYLFLEIQDWEHRKLFTLKEQIDHKIDFIQQELQALEVPLVVVSHSIGSFMSLEIIKRIPEKVAYFIGLYPFLAVDAESHKQAIIKKIARSQLISSLISATVALLGLLPISGSRFIAKISLAKTWSTTALDALCTSLLKYHTMRNVLYMAMTEFEEVPDWEFMREKRNQIAFLYGDDDHWAPLHMHDEIVKQVPDVVVEVEKEGHTHSFCCTVAGSIWVARHSNAKAWKSQLKEVMEDMI >KVH90623 pep supercontig:CcrdV1:scaffold_91:69627:82112:-1 gene:Ccrd_007364 transcript:KVH90623 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCR4-NOT complex, subunit 3/ 5 MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKLIEKEMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNTVSELESQIDSFEAEMEGLSVRKGKARPPRLTHLESSINRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDMLYNTLPLDKVESLEDLVTIGPPGLAKGDSVQEQVDETAPQDGISEFGARTPPPKSSAISSASPTSAGIHATPAATSVSSVLSGPSSVKGVLDNTGSSVPSSPISSAAKEEEISSFPGRKPSPVLVEAGLRNLGRGGMTNQSSISIPVSSGSTASTNGSLGVAPSVSDTSRRAMLGGDERLGSSGMVQPLVSSLSSRMILSQGAKISDAVGAGDSGNNGEAGGMTGRVFPPSGVAGIQWRPGNPFQNQHEGRFQQVQQQGQGTLLGMPSLSGGNHKQFTAQQNPLLQQFNSQSSAVSPHGGMIGSPAAGLITATSAQQQPSSIHSQSGQHTLISTGSKDTEAGHVKADELQQHQSLSDDSATMTEPAQVTRDIDLSPGQPLQSNQSASLGVIGRRSVSDLGAIGESLSGSTLSLGASHDQQHTLQMLEAAFYKLPQPKDSERAKSYTAAPVVSNPAFWERLGSDNIGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHKKYNTWFQRHEEPKVATDDYEQGTYVYFDFHMGNDEMQHGWCQRIKSEFKFEYNFLEDELVV >KVH90594 pep supercontig:CcrdV1:scaffold_91:109316:109888:1 gene:Ccrd_007367 transcript:KVH90594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF106, transmembrane MATSFFSTFKYSDSLTIAGISFFTAIVCEAISWLLIYRRTSYKSLKSTIDKASKKLETMKTDSTALRKSKTKKIDRVETSLKESSRDLSLFKFKSGAVVALILFAVFGFLNSLYEGKAVAKLPFIPLRIVQKMSHRGLQGDDPTDCSMAFLYFLCSISIRTNLQKFLGFSPPRGAAGAGPFPVPDASKTN >KVH90609 pep supercontig:CcrdV1:scaffold_91:41208:45137:1 gene:Ccrd_007358 transcript:KVH90609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MASSQPSKKTNKSTGGGGGASSGQNVKFARRTSSGRYVSLSKDDIDASGETSGDYTNYTVHIPSTPDNQPTETSVATKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVNHPQMAGSKGSSCSMPACDGKVMKDERGVDIIPCECRFKICRDCFLDAQKDSGLCPGCKEPYKTEDNDDDPPGGTGTLSLPGPDGKGGDPRQRMSVVKRNQNGEFDHNKWLFNETSGTYGVGNAYWPPENGDGDGKSGGLGDPADKPWRPLTRTMPIDAGIISPYRLLIAIRFVVLCFFLAWRIRHPNNDAMWLWFMSIVCELWFGFSWILDQIPKISPVNRSTDLQLLHDKFEMPDRTKNPTGRSDLPGLDFFVSTADPEKEPPLVTANTILSILAVEYPVEKVACYISDDGGALLTFEAMAEACSFADLWVPFCRKHDIEPRNPDTYFSLKGDPTKNKKRTDFVKDRRRVKREYDEFKVRINNLPDSIRRRSDAFNAREEMKMLKNIRESGVDPLEPIKVKRATWMADGTHWPGTWANPSADHKKGDHAGILQVMLKPPSPDPLRGSGDDNLIDFSDVDIRLPMFVYMSREKRSGYDHNKKAGAMNALVRSSAVLSNGPFILNLDCDHYIYNCMAVREGICFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPFYVGTGCMFRRFALYGFDPPKLSMITPEKKGSDGNVSAPEAQALKATDFDPDLNVNDLPRRFGNSTLLAASIPVAEFQGRPIADHPAVKYGRPPGVLRNAREPLDATTVAEADKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSIYCLTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNALLASKRLMFLQRLAYLNVGVYPFTSLFLLIYCFLPALSLFSGQFIVKNLNTTFLVYLLLITLCLIGLAVLEVRWSGVSLEDWWRNEQFWLISGTSSHLAAVIQGLLKVIAGIEISFTLTSKAVEDTGDTYAELYLVKWTSLMIPPIVIAMVNVLAMVVAFSRTIYSITPQWGKFIGGSFFSFWVLAHLYPFFKGLMGRRGKTPTIVFVWSGLIAITLSLLWVAINPNSGMEDAAAGGAGFKFP >KVH90593 pep supercontig:CcrdV1:scaffold_91:46174:46650:-1 gene:Ccrd_007361 transcript:KVH90593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANMVHTYPPLTRTIWPLIHSPASLAKNPTNGATSSGCPSLSNGLCSTALFTIASNISVAIGPGATQFAVILVPFSSFARIFTIVSTAALDAAYPPNPGVRATTIDDVRQIILPPPPRFNRFAASLQHKYGPLVLTAKVLSNASMDELAIEV >KVH90626 pep supercontig:CcrdV1:scaffold_91:114313:123470:1 gene:Ccrd_007368 transcript:KVH90626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo adjacent homology (BAH) domain-containing protein MAKRVKRDLKQSEEAIDSSVPSSDSKSSPPSKKSKTSPAAAAADDDARFIGKPVPADQARAKWPHRYESKNKVKVIASSNGELDGKEIIQAKCHYTKAVVDGIAFDLNDDAFVKAEEGKPDFIARIVEMFETVDRELYCSAQWFFRAEDTVIKSQAHLIDKRRVFYSDMKDDNPLDSIVSKVKIVQLSPNVDLAEKEKALSSFDLYYDMKYSMPVTFTTLHTEKSITESDESSVISGDASSDGVVEKSNKKAKSTEVKECVESQMTLLDLYSGCGAMSTGLCHGTNMSGVKLVTKWAVDINKHACESLKLNHTETEVRNEAAEDFLSLLKEWKNLCKEFCLLGSQHAEDTSIKSEESDSQEKEGNPDPSDGEFEVGKLLAVCYGDPNKVNNKKLHFKVFYFPIVVEVRWKGYGPSYDTWEPFDGLSNCTDAMKEFVSRGYQSRILPLPGDVDFICGGPPCQGISGHNRFRNYTDPLKDPKNHQLVVYMDIIEFLKPKFVLMENVCDIVKFADGILGYHAVGRLVSMNYQTRMGIMAAGSYGDCIVGSDNDKSYKLEKSILLGDAISDLPEVTNNNGKDEMEYAGAPRTSFQKYIRMRKQAVAKDASKRKMLYDHRPLELNEDDYARVCQIPKIKGANFRNLPGVMVGKNNKVEWDPSVERVMLPSGKPLVPNYAMTFVRGTSRKPFGRLSMDDIVTTVVGRAEPHNQVLLHPNQDRVLTIRENARLQGFPDHYKLSGPVKERYLQIGNAVSFSVSTALGYTLAKAVQGVCTSKPLTLPIKFPDCLGQSTVKQAPQESE >KVH90605 pep supercontig:CcrdV1:scaffold_91:10691:11268:-1 gene:Ccrd_007355 transcript:KVH90605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMRFLLVFFSAILAGYIAWKSVRTSSEPHDMVFDDDSSTDKQQSNTIIKMAQNGFWGFVDMASGKYLWRNLTQIKEDGKVKSS >KVH90619 pep supercontig:CcrdV1:scaffold_91:319732:329922:1 gene:Ccrd_007381 transcript:KVH90619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase LEGKVAIVTGGASGFGESTVRLFAEQGAKVLIADVQDDLGLSLCNDLVSESGYNDVIYVHCDVTQDSQVKNAVDTAISKFGKLDIMFNNAGIPGNLDFTILDTDYENFKRVFEVNVFGPLLGAKHAARVMIPAKSGVILFTSSSASVVSGESPHSYTVSKHAVVGLMKNLCVELGQYGIRVNCISPGSVSTPLLTTAMGLEKTAVDDIVCSSAVLKGVVPTAEDVAEAALYLVSDSSKFVSGINLVVDGGYSTTNPTYTNSFNMQMNNSSPSPFAKRLQGKVAIVTGGASGIGESTVRLFTKHGAKVVIADVQDDQGASLCQELLSKPGNVVMYVHCDVTIDSDVQNVVDTTVSKYGKLDIMFNNAGISGDLDDTIMGSGLNNLKRVIEVNTFGSFLGAKHAARVMIPAKKGVILFTSSVSSVTAGYSSHAYTMSKHTVVGLMKNLCVELGQYGIRVNCVSPSPVATPLLVDAVGMEMKVVVEESLRASATLKGVMLTVEDVAEAALYLGSDEAKFVSGVNLVLDGGYSTTNLSFPTMLKSFGL >KVH90606 pep supercontig:CcrdV1:scaffold_91:3715:10303:1 gene:Ccrd_007354 transcript:KVH90606 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MQSRLFPVPASIDGTGTGTTFSLRRSSNRNFIFLIGTVPSLYCRRTSVTCAVSNSQQNHYTVLGVSADASTLDIKKAYRLLARKYHPDVNKDSQAGELFKGIRLAYEVLSNNTTRNQYDRSLQFQTNNGPPWRTYDVSFEDEIKTHQWSDLKQRMNRQKYQEWHDSKERCYPFDDETDDESEEDSVNSERGSFIEVLRSTFLSIFLLKTIGAKLSLTFSSLMALLDPELDRGYKVGYVIAWFLGGKGGIVLALCLSFASWVCGKTSSNVVALVVVSMWVGSNLARFAPLPQGALLTLLYMSIKLQADLN >KVH90630 pep supercontig:CcrdV1:scaffold_91:421632:424126:-1 gene:Ccrd_007391 transcript:KVH90630 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F Family MSEARAPNRQAAPPPSHASVAGGGGGQILHPMRRHLPFSSTRPPFIPSDDYHRFSSGNDGNRVATTVDQEAELIIVKSPPVKRKLGTDYNEVPSNEWASPGYTGTVNSPVRTPVSGKGGRMNGRSRVTKSSKPAPQTPISNTGSPSPLTPVGNCRYDSSLGHVSTFAGLLTKKFINLIKHAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIRWKGLDASKPGELEDDVTLLQAEVQKLSMEEHRLDESIREMQERMRDLSEDNTNQNLRSLLISHCSRWLFVTEDDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEVSTKWEANLYSRMHDINIRGIFFLICQAVDYPQRRYRIILRSTMGPIDVYLVRYC >KVH90617 pep supercontig:CcrdV1:scaffold_91:365367:370667:1 gene:Ccrd_007383 transcript:KVH90617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKEKKERVTTKVGCLAMSRPLLLVTLLLLMVLTSQLDWKQKIVNEVEARSLALSRKQQYVLEMEESLSHIILSQEKHIQKLKTLVQSLQEQLLLCRGKDEFVNDTMGSLTELLNELKQQKIME >KVH90610 pep supercontig:CcrdV1:scaffold_91:389856:393512:1 gene:Ccrd_007385 transcript:KVH90610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 LFLPSLHPSSISPFCFSLIKSISSYQRTAHFCSGSMTLGSGGSSIVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRVNITCVNHETGVKYKRKEMEKYRCRNSNNIYKMQKYRRKEMEKGRSNKETNMEIE >KVH90597 pep supercontig:CcrdV1:scaffold_91:195639:196364:1 gene:Ccrd_007371 transcript:KVH90597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEKTDRETHDFMNVESFSQLPFIRPSPLKEKSIRLFGKEFSGAAGADSPEVIISDDADSNETAAVESKDAGESNRKFECNYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSTMVHGSFSEAQMYGLMNYHRFAPSTTPPPPYFHHTNTASSITNYNNRFYGGTSSYTSHQTPINGSPLGLWRYPNTQNSTFNRDHSINSSPLVVSSNDGLRASRIPTSSSYMYDSKPSVQDQVSLDLHL >KVH90595 pep supercontig:CcrdV1:scaffold_91:237013:242270:-1 gene:Ccrd_007373 transcript:KVH90595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MATSVSKNVTIVFAIFLVSFLVTAESHRFSRNLSQRSLGLKKEKLSHFRFYFHDIVSGPTPTAIRIVEATRTNRTAATGFGDISMIDNPLTIGPERTSRLVGRAQGMYTSASLNEMGLLMAMNFVFVEGKYNGSTLSILGRNPVMSTVREMPVVGGSGLFRFSRGYVLASTYSFNMSNGDAVVEFNAYVLHYYHSLLTPMAKSVFKKTVLIFTVFLISFLVNVESQKFSRNLSQRSLGLRKEKLSHFRFYFHDIVSGPNATAIRIVEATRTNRTAATGFGDITMIDNPLTIGPERTSRLVGRAQGMYTSASLNEMGLLMVMNYVFVEGKYNGSTLSIMGRNPVMSTVREMPVVGGSGLFRFSRGYAQARTHTFNMSNGDAVVEFNVYVLHY >KVH90613 pep supercontig:CcrdV1:scaffold_91:398235:398768:-1 gene:Ccrd_007386 transcript:KVH90613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate protein family, C-terminal MPTIHKKLKLNTTAVDVGCGSGCRRINLSKIFNPKPNKYKRNHTHYYPDNHRRHHSWNTTPTTTTATTFSPNTSDYTTVDESEVRSLRAVQGFGRIGGNSLAVEKDSDDPYVDFRESMLQMIMEKEIYGREDLRELLNCFLQLNSPYYHGIIIRAFTEIWNNVLSFKLMHGDQSMYT >KVH90624 pep supercontig:CcrdV1:scaffold_91:85267:86547:-1 gene:Ccrd_007365 transcript:KVH90624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant organelle RNA recognition domain-containing protein MKCIKDLLSTIFNTHLSHHQQCRTITKVRLKWVKNRSLDNIIDIHTDLKAACLLKDAIIHRPSATQFLTAKSISDTQKLLGITVPTLRFIRRYPTLFEEFPHPKYPSLPCFRLTSIALNLHKIERKIYETHESDIVERLCRVLMMTKDKQIPLQSLHPLRWDLGFPYDYDKTLVEKHPDKFRIVKGSNGLSCLKLEKWVDEFAVSELQKSNEIKESFNDGGDQYRRFIRGKTALAFPLSFPRGYGAQKKVKSWMDEFQKLPYISPYEDSSSIAPESELMEKRVVGVLHEFLSLTVYKKTKRNYLRNLTEELRIPFRFTRIFTRYPGIFYLSLKCKTTSVALKEGYRRGKLVYACPIAKYRGKFHHVMRTGLIYRKKGLEMLGELSADDVIGIEEKESEEEEIESSGEWVEEDDDEDEEFDDVSDQD >KVH90612 pep supercontig:CcrdV1:scaffold_91:413696:418707:-1 gene:Ccrd_007389 transcript:KVH90612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADDSTTPPISILRRRNSIGTPTKFHDLFSSSSTTTTTTATSSSSSVDFELVSIKPTCYTSLRDILPSPPSIVHSPKPPSDSGYEISIRNRLVKQAAWAYLQPMSTSPQPHPSTVFHRLWTAVLRLVTAAFDCLQVDVGWCLVAVEQSVPTSHQPALIGKKRLDSPEVEADVRPLSSDDFPSPAQNKKESTRPRKPQNLNRTESNHHEHLNQSIIEFKKAPNQKRKQKPTSVSRIHSWRKV >KVH90622 pep supercontig:CcrdV1:scaffold_91:61747:62673:1 gene:Ccrd_007363 transcript:KVH90622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MDFCQNISAAGGLPTTYQPPEQHLLCSLDDLLSGHSMEDVNMEWLSIFVEDCLSSSGNCMPPAEVKPQSTTFNAQGTNTSAEPPMKETHSMLKLVVPSKARSKRKRSQTCWSQQYLVGKKCTTPNSTNSGQEEGSSGQGQGQGRKCTHCLSQRTPQWRAGPQGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSHKHSNSHKKVLEMRMTILPSSLANSSSSS >KVH90601 pep supercontig:CcrdV1:scaffold_91:251491:252444:1 gene:Ccrd_007375 transcript:KVH90601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MEGDSTAISIVETPKERKGKAPLLAAPPGGSSSSTKPVVVQPAAAATKGDRYKRGLAVFDVVVRLAGVATALAAAIAMASTEQTLPFFTQFFQFQAGYDDLPAFTFFVVANAITATAGGAASIVYLAHNGNGDANWPAICQQFNDFCQKASGAVVASFLSVVVLMVLVVLSAFSL >KVH90633 pep supercontig:CcrdV1:scaffold_91:493900:506139:-1 gene:Ccrd_007395 transcript:KVH90633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase, large subunit, C-terminal MSLIPSSLKGGETKGTIMFHPQVDKTQSVNRQYDHSHIHPPSQPPPPPPPPSPYLPRDHSNQYTATSLVQRPPNPTVTCNRTCGRLIFILAFTIIIALSVVFMIYFVLVDSHILVFHVRRLEMSGLKMDSSTDIQWNTYVSARNPNVHLNFLIENANINLLYKNKALANAYHDQFQLMSEESKDIQADFKVANLSSEDDLVHDMMYEIANNMVLQFDLAMSIQATFRYEIINKDVTRYEMEVVCKDLELQFPPNYTTMGGGGGVIRQRTLKHPWGNAPGAVVNRVALEACVQARNEGRDLATEGSIEMVIEESRSVGANGRECGSQRRGSDKEKGR >KVH90634 pep supercontig:CcrdV1:scaffold_91:456432:459438:1 gene:Ccrd_007394 transcript:KVH90634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing protein MRAGGFTIQQALSSDAASIIKQAVTLARRRGHAQVTPLHVANTMLSSATGLLRTACLQSHSHPLQCKALELCFNVALNRLPTSSNEDVMCVIESLLNKKRPRSLVVVGECVATIEGVVGRVMEKVDKGEVPEALRDVKFISLPLFSFGQLSRGEVELKLGELRSLVKGHVRRGVVLYLGDLKWITEYRVSSWGQGRGYYCPVEHLIMELGRLVCGINEEICGKLWLMGISSFQTYMRCRNGNPSLEIIWKLHPLTIPAGSLSLSLVPQSDIQSECGSKNANNGGSRLMLESGDEKQLTCCEDCSLNFESEAKSSRSSSLPSWLADEKIVVNNHDQECVSVKELCKKWNSICTSDHRNMKPLFQRSLSFSSVSPSSPASCFSYNQENPNSNRNSSDRQLWDFSALEKNSEPFLVHHDEGEPQQRFSSNPNSTPNSVSSSDLMEVEYVQKFKEFNGENLKILCNALEEKAPWQKDIVPDIAGTILKCRSGMLRRKDKLNNNEPKEETWFFFQGIDSQSKEIIAKELAKVVFGSHSDFIAIAVSNFSSSPRVDTDEPRNKRSRDEQSCSYLGRFAEAVSTNPHRVFLIEDVEQADYCSQMGIKRAIQRGKLTHPNGEEVSFGDAIIVLSCESFSSRSRTCSPRVKQKVQEEEEGSPCVSLDLNISFDEDHDEQSMSMDDIRLLESVDRCINFNIQDLSL >KVH90629 pep supercontig:CcrdV1:scaffold_91:529860:535566:1 gene:Ccrd_007398 transcript:KVH90629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFRPAEALPSSTETTSSSAVAAEKAISFISKGWREVKDSTEADLRLMKDRAESFKNLASSFDREFENFLNSASKSSFPVLVTSSSPSPAEIDFMRRWQPKISDISRTYSSPDFSRRVLKKWRPRANIRIDLSAIKNAIVSEVEFDDREAYQRRARTRVNNFSWELEGEGDQGQLLGHWERIRAFKTRLGELEKRSSSSEIIEGLKNSRFVVKVKSSLKAICKEPDNLKDVPPLDIPELLAYLVKQSVPFLDHFGVKRDVSDKIVESLFGKRKNQLLLRSFHPREPSIPEGDNVIDELDSRVASVLQSTGHHYEGGLWTNLGKHQISDSDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSKKQSITLLVPWLTRGDQELVYPNNITFSSPAEQEAYIRSWLEERRRSIIPAGDTSKFIPSKDADIAILEEPEHLNWYHHGKRWTSKFNHVVGVVHTNYLEYIKREKYGALQAFFVKHINNLVTRAYCDKVLRLSAATQDLPKSVICNVHGVNPKFLTVGERMAAEREGGQNSFSKGAYFLGKMVWAKGYRELIDLLAKQKDDLNGFRLDVYGNGEDAHEVQTTASSVSDVLCTATAEALAMGKFVVCADHPSNEFFTSFPNCLTYKTPQEFVNKVKEAMENEPHPLTGEQQYKLSWEAATERFMEYSELEKILVNDSEKSRSKWVDGGLAFGHYCFTGNEVLRLCTGAVPGTRNYDKEQCNDLNLVPPQVENPIYGWQLVEAEAAVMMSRAATVTMTKKRVLGARC >KVH90621 pep supercontig:CcrdV1:scaffold_91:48425:52643:1 gene:Ccrd_007362 transcript:KVH90621 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease MAEFTALRRLKPIFIVDEMLVGFEPRDVTGAAMYGGRSCGGLRIAEKRRITRLSNYNYNRTVRSTRVFASASGSKSKDMKGTERHAIVDQEAIYDELLGDPDYDSDDLSWFRGLVLDISYRPINVVCWKRAICLEFMEKADVLEYYDQTVNSPNGSFFIPAVLRVPHLLQVVKRRKIMSTLSRKNILARDNFSCQYCSSTVNLTIDHVIPISRGGEWKWENLVTACLSCNSKKGHKTPEEANMKLHKAPKAPKDYDVIAIPLTNTAIKMLRMRKGTPEEWIQYLGGPSP >KVI02974 pep supercontig:CcrdV1:scaffold_910:138401:144096:1 gene:Ccrd_018739 transcript:KVI02974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate-semialdehyde dehydrogenase, beta-type MQSPAIAHRRFLNGDAAATTFPLFRLKPTPSIASPFSVRMSLREDAPSLAVVGVTGAVGQEFLSVLSDRNFPYRSIKMLASKRSAGKKYTFEDNEYVVEELTADSFKDVDIALFSAGGSISKEFGPIATNCGSVVVDNSSAFRMDDSVPLVIPEVNPDAMSHIRIGSGKGALIANPNCSTIICLMAATPLHRRAKVLRMVVSTYQAASGAGAAAMEELELQTREVLEGKRPTCKIFKQQVLSVLLLYAFNLFSHNAPVLPNGYNEEEMKLVKETRKIWNDKDIKVTATCIRVPVMRAHAESVNLQFASPLDEDEAREILKKAPGVVVIDDRASNHFPTPLEVSNKDDVAVGRIRRDESQDGNYGFIGYLCLWGPNTQGGCSKCCPDCRDVAVIQDISFCQVNLRWKNVWLEGEAEDVDSGC >KVI02970 pep supercontig:CcrdV1:scaffold_910:115347:119762:1 gene:Ccrd_018737 transcript:KVI02970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLPPRVQLCKISEDLKAYKGNNPKKPLLMAIKGQSKQSELEKVSPPESLSGGLWPAQHAVNLASAYILSIYQPSLKVPPEIAGSSMIRKYVTEY >KVI02969 pep supercontig:CcrdV1:scaffold_910:24277:25155:1 gene:Ccrd_018733 transcript:KVI02969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTSALPPNRSISLPSRLHPTSLRAEAELNKLRVWEARLSSSSETLTAKNIQTGLVGLSEVYRCVEELVYSSLTQQALLSHGKVLEHALDHSVKLLDTCGVVRDAQRKMKEHALRLQSSLRRRGTESRFEDDLSSYIRLRKKLKKEASKCIRSLKGAERKFTLVPLSKDYHLAMVVKVLREVNLMTSLVLRSLLLFLSSQGTAIKNRHGRCSFIAKLKPKEKVKKIINEMNEVGSVDDALYHVLHGTEEIPMAHRKLENLVISIDCIEAGLDVVFRRLIQYRVSILNVLTK >KVI02972 pep supercontig:CcrdV1:scaffold_910:55272:56168:1 gene:Ccrd_018735 transcript:KVI02972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MVVSLRFNSKFQKKSISLPCRSHPSTLGVEEELNKIKASSSTKQSADSISNGLSQLVELYNCLDDLLDSLATQNLISSHRKEIWVEEVMEESMKLLDVCSTARDVVLQMEEHVRDIQCALRRRKSHTSVEDSIGKYKCFRKKTMKEAKVMIIHLKQSEKVMGLLADPDNHHLMAMIRVFMEVTEMTVIIFESLLMFLASPVTKRNGWSVVVSKLISKRTMACQEDKKQEGITNELETLDVALFTILCDGHVEWAEKTRIVQFKLEGLQGKMMRIESGLECMFRRFVKTRTTLLNIISL >KVI02975 pep supercontig:CcrdV1:scaffold_910:135477:137838:1 gene:Ccrd_018738 transcript:KVI02975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVIKTEVVAADQPWHDHWLPFTNLDLLVPPFDVGSFFCYKKSKDDDDGVTTMDMVDALKTSLSQTLVLFYPLAGEIVHNAAGEPEIHCNNRGVDFIQAAADVDLRDLNVYDPNESIDGKLMPPRHRGITTLKCGGMVIACMFDHRAADGYSASMFVSSWADMNRSVRPTSLPSFRRSVLNPRRPTLYSTSVANLFLPLSDLPPLLQTHPKSESPEPDQFDSHLISRIYYMEGEELKRLQFLASENGCQRSKLESFTSFLWKITASFLEESGHLDHMCKIAVAVDGRQRLSEGEGVEKQTLMATHFGNVLSIPFGGIRSKDLKDMPLSVVANQVHDFLKSAATKNHFLELIDWVEDQRPKALMSRPFARTEREFAIMVSSGQRLSIMGKMDFGWGKLAFCSCHVPSARTDCYVMTMGSPINDNDWVVYMHLPTKLLHYMETRASHVFKPLTSDYLKLSL >KVI02968 pep supercontig:CcrdV1:scaffold_910:155344:161254:1 gene:Ccrd_018740 transcript:KVI02968 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily MTTGNSFGSGSLSCKPETGVSDQFPAGLRVLVVDDDVTCLKILEQMLRRCLYHVTTCSQATAALNLLRERKGCFDVVLMMSADGRTNLVLRGIRHGACDYLIKPIREEQLKNIWQHVIRKKWNENKEHEHSGSVDDKDRHKRGDDTDYASSVNDGADGMLTSHKKRRNIKEEDDCELETDDPGASKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENKFRLYLKRLSGVAQQQGGGPNSFCGSIEQTPKLGPYGRFEIQALAASGQIPPQTLAALHAELLGRPIANVGLPVLDHQPLLQASKCMPVDHVMSYGHPLPSNITKQISQPVIEDVHSGLGAWHPNNMVGSYGGLGGQNGHNVLIGMLQNQQLQKQSITVQPSRLVVPTQSSGFQVGNSGGSANQAASFNTSSVIDYSLVLPQSDRLSNGIGQRSERDTTTTGCPSDSENRTGCQVQSSVTNLSSKSSGEKQISFRGSGQVLDQGPLRNLGFVGKGTSIPSRFAVYEAEPPIRNVNHEDSSGRRVKQEPSIEFLETSKAGGIPITHRISQNDLMSVFSD >KVI02973 pep supercontig:CcrdV1:scaffold_910:41804:44286:1 gene:Ccrd_018734 transcript:KVI02973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF241, plant MASLSSFSSSSSNIRHTIRSISLPTRSHHSTLQVEEEVTNLKTWEASLPSFPDAETFYNGLACLERLYTCVDNLFSLPLTQQALSLHQHEKLVNELLVRSMRLLDICGSIKDLVSQVKGHVRDIQSALRRRREDLSIDVSFLKKLKKDAKRAVADLKQIDHIYGLQLLNLDHHLSSVIRVLRDVSEVSISVFGLLLSFLSVSISKLKSTTKWSIISKLIPKGTAGSKYQPQNGVEALDCHIEGIENGLASMFRSIRHFRSISLPSRPHPSTHQLEEELTNLKTWEASTSSMPTIDTVCGALMGLERLYTCVNEIFAFQLTQQSLSHEKHQKLVDQLLDQSLMLLDVCGSLRDAMEQVKQHVRDVESALRRRKGDLSVNTSFFVKMEKDTKRTLSALLKQINNNFGGTTLVNLDHHLSAVVRSLSDTSLVSISVYRSLLSLISVFISKRKPTRWSIVSNLIHKGAAKGVDHPQVSSENLESHIEVLENGMECLFRSLIKTRASLLNSRSH >KVI02971 pep supercontig:CcrdV1:scaffold_910:99879:114311:-1 gene:Ccrd_018736 transcript:KVI02971 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MPSYPLSKGMWQGIHSTYDVPVETSVFEDFAGEACDFKMKYDNKLLKQLLRMGMTVNVFGFPNLVSADIIKAALEQHTGLGTIVALEVRKSNGGSRAYAKVQFTTRERVDYILDLASRKRLWYGSSYLKAWEVDTDIVQKPRHFALDMDGITLHFGCQVSKETLQVLCKMRNSVVKFGFGLRRLYFNVSYPTISYKLQLSYDNIWQVQLHRPRGLAASFLVIQLYGAPRISQKVEDNIFNYYREIPDDQWVRATDFSPSFSIGQSSHLCLELSHGVEIPNLGRYFPYYEESNRPFNLVTGHSFSQNLDLVPIVGPARGSNLPYKIIFKVCSLVQHGCIPGPVLDANFFELLDPARRDIASIDYVLDKLLYQKDCIYDPVRWITQEYRRNNRLRTPTISLDAGLVYVRRVQITPSKVYFCGPEVNVSNRVLRNFATYIDDFLRVSFLDEELEKLYSTDLVPRATNRSEESRTGIYKRILSILRDGIVIGCKKFEFLAFSSSQLRDNSTWMFASSNTLTAADIREWMGKFNSIKNVAKYAARLGQSFGSSKESLSVAPYEVEKIPDIEVVRGGTKYVFSDGIGKISXEFASRVSXKCGYDFIPSAFQIRYGGYKGVVAIDPTSSKKLSLRTSMCKFDSDNTKLDVLAISKYQPCYMNRQIITLLSTLGVRDHVFEKKQKEAVDLLNAILXEPMKAEEALELMSPGENTNILKEMLACGYKPNAEPFLSMMLQVFRATKLLELRTKARIFVPRGRSMMGCLDETRTLAYGEVFVQFSCSRRRVLGDDFSGGSSNSCRIVTGKVVVAKNPCLHPGDVRVLRAVDVPQLRHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDPDLIPPRQIEPMDYTPAPSVQLDHDVTIEEVEEYFTNYIVNDSLGIIANAHTVFADREPTKAMAEPCVELAKLFSIAVDFPKTGVPAVIPANLRVKEYPDFMEKPNKTTYESQNVIGKLFREVKDISPQDSPVSPFTRVVAFQTYDAEMEVHGFEEYLDEAFDFKTEYDYKLGNLMDYYGIKTEAELLSGSIMKMSRSFDRRNDAEVVGLAVKSLRKEARKWFRSGRGESDAENDDVYAKASAWYHVTYHPDYWGRYNEDMTRDHFLSFPWCVHDKLIEIKKRRSKLRRYSNTDSLQKQFLNNLTLL >KVI06532 pep supercontig:CcrdV1:scaffold_9104:2094:4639:-1 gene:Ccrd_015119 transcript:KVI06532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKCRDKSKRGKGEKGFTWKGDATVSKGDEGRRDGNGDEVRRDGEQRRRRATRRQQRQRATAVATETKGDATVSNGDEERRRRQRRRRATATAAVSNGDEGQRRRQR >KVF40792 pep supercontig:CcrdV1:scaffold_9109:2286:4174:1 gene:Ccrd_026771 transcript:KVF40792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MKICFLGFYNTINLITYDNFTNNTGLLILPYLKKAWADLCKSYLVEAKWYQNGHTPTLQEYLDNAYISISGSTILMHCYFLTSMTSTQEILQCLERTNNIVRYSSLILRLADDLGTFSDEMARGDNPKAINCYMNETGATEAEARNYMKLLISKTWKKLNKEVTGVAGSQFLQEFVDCATNLARMAQFMYGEGDGFGRPELVTKSYILSLLFNPIQGLH >KVH88460 pep supercontig:CcrdV1:scaffold_911:2820:4491:-1 gene:Ccrd_026772 transcript:KVH88460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLLYVSPLLLSSTTIQNHGSSPLGLVSSENFHVRFSRSEGSVAENVMQGFFEAIISRTSSKASMGYLQMPNLIQWLVVVGTMDDAIFLLETTKGSFGKPTSVSKWRLFLRRILLLGLYRDKSVHGHDHPWHHCLRASLEE >KVF40326 pep supercontig:CcrdV1:scaffold_9113:1:411:1 gene:Ccrd_026773 transcript:KVF40326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGNGTLVSSFLCNIGISRYEGNPYLPFGCKLNKVCASCKDGEYCDYTINYDGEGFNFTCIEGYKYYKFQIKPGVIL >KVH93587 pep supercontig:CcrdV1:scaffold_912:112352:116130:1 gene:Ccrd_004362 transcript:KVH93587 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-sulfurylase PUA-like domain-containing protein IPLYILGFQRFNLCLPPKPAIKERQEGIPSPMAAMASLFIKTPTPSQPLPKTHRITFPTISRLSYSYKQKPIRVSCGLIEPDGGKVVELVVPESHRDVKRREAMSLPKIKLSRIDLEWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLGDGSVVNMSLPIVLDIDDSQKNRISGSASVALIDSSDNPVALLNNIEIYKHNKEERIARTWGTTAPGLPYVEEAITGAGDWLIGGDLEVIQPIKYHDGLDHYRLSPSELREEFSKRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHQKVLEDGVLDPENTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTKQKMEFFDPSRPQDFLFISGTKMRTLAKNKESPPDGFMCPGGWEVLVQYYESLAANDSGRVPEPIPA >KVH93586 pep supercontig:CcrdV1:scaffold_912:17776:57166:-1 gene:Ccrd_004361 transcript:KVH93586 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MDATFNYYSGGSSSNLSSPTSPRATHSTRRRLRSHQHRRRDYFLNRKFFRRKYFRYLLLLPLLYFSGVLSCLGSLSAMLYPSPLPGSVYRSHEIFQSLLTDIQSDTSLGIQFGGTPGNEFGDIYDEEHFIATLKRYVDVVREIPKELMEEYDFNISNIPSFRVPAWASAGYYLKEVYPVLKEQRIVRVAPFANRLASSLPPHIQYLRCLANYEALRFSISITTLANTLVKRMTADSSGSGGKYVSVHLRFEEDMVAFSCCVYDGGKSEQLEMDSIRQKGWGEKFKRKDYVIAPGRNRMNGRCPMTPLEVGMMLRGMGFANTTPIYLASGKIYQAGKNLAPLRKLFPLLHTKELLATPNELASLKGYSSRLAALDYIVCFFSEVFVTTQGGNFPQFLMGHRKFHYGHAKTIIPDKRKLAVLLHNTSISWHALKNEMQVMLDESDHKGVAIPTIKKTKRKNSIFANPLPQCQCLQESKFS >KVF40108 pep supercontig:CcrdV1:scaffold_9122:8988:9277:1 gene:Ccrd_026774 transcript:KVF40108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPIRSIEIESLTLGTLPPIFQGVKVHILNAGHLIFEFVAKWAGNPNITLVLNILFLPIKIQ >KVF39680 pep supercontig:CcrdV1:scaffold_9125:1:2431:-1 gene:Ccrd_026775 transcript:KVF39680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGSTGRTCYSDVLPFTAMVMVECIIVGGNTLFKSATAQGINSYVFTTYVFLVGFLFLLPCPFFIRRQFSFLIVSSLGIMQDPPISNSTSCFNFFCRSSNNQGLKFSIVIKLFLLSLIGYLSQIFGYIGIKYSSPTLSSVMSNLAPAFTFILAFFFRMEKLNLRSYTSQAKIVGTIVSISGALVATLYNGTSVTVSSDSSSLYWIIGGILLASQNFLLSFVLVSQAHIMMEYPVELMVVFVFGLSGLIVAAFAGLIMVRDLDAWNLKPDMMLASIIYMGISTGFLNGLIQVWALRSARFM >KVF39679 pep supercontig:CcrdV1:scaffold_9125:8665:9554:1 gene:Ccrd_026776 transcript:KVF39679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIISPSVGLGKTIKNFVDADHPDLLHFPFLDQTYSIPKHIVFKKIGSQTFLNXEGNLRHKSHQHPLILVATQCNDITKPTSSNIKPLSXHNPMKKVELLCNGCLKPIMAMPFYKCVNEDESCNFVLHEWCTRLPNQVENHPGHPQHTLVLLPIVPHKPLGVFKCVVCXLVCNGXVYSCVDCDYHVDVXCAFIPEKIVHDAHPNHLIWRVQSRSHKNRCRSCVFPFHGNDFSFSCRTCDFD >KVF39586 pep supercontig:CcrdV1:scaffold_9127:1492:7471:1 gene:Ccrd_026777 transcript:KVF39586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup AEVTFLGQLRHPHLVKLIGYCCEKENRLLVYEYMARGNLERYSISLPWLTRIQIALGAAKELAFLHGEEKPVVYRDLKTSNILLGSDGPEGDETHVSTRVMCTHGYAAPEYIMTGHLTTMSGVYTFGVTTIATHSFMARKKTNTWKDIVFGCFTNTTPTFDPQILVSKTGLSQRLSILNISSSISAINGLSNFIVGWDLHEFTMAELTTITHDFASSNYLGEGGFGPVHKGFIDDKTKPGLEAQRVAVKLLDLDGGQGHNEWLAEVTFLGQLRHPHLVKLIGYCCEKENRLLVYEYMARGNLESQLFRXYSISLPWLTRIQIALGAAKGLAFLHGEEKPVIYRDXKTSNILLGSV >KVF39301 pep supercontig:CcrdV1:scaffold_9128:5791:8277:1 gene:Ccrd_026778 transcript:KVF39301 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEPATTVVCVAGVASILALYILNLLWLKPKKIEKFLRDQGLEGTPYRLLFGDLKEMKKMMIEAMSKPMRSLTDHDIAPRKTCFLWMGLRPLVHICDPIMIREVLANNYQFQKQRGGNPMTKLLARGLVDVEADQWVKHRKIINPAFHVEKLKHMVPAFYVSCSEMIHKWREMVTEEILCEVDVWPHLQTLTADVISRTAFGSSFEEGKKIFELQRELGELVMKAAMSIYIPGTKFLPTKSNKRMKEIDREINVKIKSIIDKRVIAMKDGESINDDLLGILLDSNYKEIKQHGNNNFGLSIDEVIEECKLFYIAGQETTGIMLVWTMILLGQHKEWQTCAREEVLQVFGDRTPDINGLNHLKVIHMIFNEVLRLYPPLGFLRRFVHEETKLRNITLPAGTLIHLNTLFLHHDQDMWGEDVNEFKPERFSEGVLKATKGQGSYVPFGGGPRICIGQNFSMLEAKMALTMILQHFSFDLSPSYSHAPXTIITLKPQFGAHLILHKL >KVI06535 pep supercontig:CcrdV1:scaffold_913:61363:64376:-1 gene:Ccrd_015115 transcript:KVI06535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein (MAP) kinase, conserved site-containing protein MATPVEPPNGIRSEGKHYFSMWKALFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIHNAFDNRIDALRTLRELKLLRYLRHENVIRLIDVMVPIHRRSFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSVNILHRDLKPGNLLINANCDLKICDFGLARTNNGKDQFMTEYVVTRWYRAPELLLCCDNYDTSIDVWSVGCIFAELLGRKPLFPGTECLNQLKLIINILGSQREDNIEFIDNPKARNFIKSLPYSPGTSFSRLYPHAHPLAIDLLQKMLVFDPSKRISVFEALQHPYMSQLYDPNTDPPVQVPVDLDIDEDWGEEMIREMMWKEMIHYHPEAVIAAANADTDTDEGVML >KVI06538 pep supercontig:CcrdV1:scaffold_913:149249:150662:1 gene:Ccrd_015112 transcript:KVI06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 RIHTRTKFDRCHPSQFPVAVTDLNSFVGSLNCRNPSPNCRLMATEEPVVVTEVCAPDVGASVTVVVEKEPAVEAAEGKPSKGKKTTTTRKPRSPSLHPPYFEMIKEAILALKEKSGSSQYAIAKFIEEKQKNLPAMFKKVLLVQLKRLVAEGKLVKVKASYKLPAAKLPTAVAPAKKKPAVKPKASAKPKAAAKPKAAANKAPAKKKPAAKPKPAPKAKAVAKPKAAAKPKPVAKAKAAAPEAVAKPVVKAKPKAPTKSAKVAKTSTRSTPRGKVALAPKPVKVTTSTRSTPRKTSAAVPKAAPKKKAAAAKSVKAKAATPKKAAATRKGRK >KVI06537 pep supercontig:CcrdV1:scaffold_913:17899:22858:-1 gene:Ccrd_015117 transcript:KVI06537 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM/LAG1/CLN8 homology domain-containing protein MGWSTELQWFYYQWQPEGIHNQEGAPRGMGMVNGVVVALIVMEDHDKVYLVVSGVISWTSAFLLTKKVFPKRSFDFCNRIVSTIHAVFAVVLSSLSVQDWRCPVCPLAANSSPLQMRALAVTLAYLIYDLVCCLFDTNIKIDNAVHHLVSIVGIGAGLAYEKCGSEMVAALWITEISSPFLHLRELLKELGYKDTDLNLAADVISSSLNLQVSFAAIFTFARMCFGPYLCYVTLSANNPFLIKVQANESFFQEFYGNGLGTAIGQCFLVLQDCKNGETEID >KVI06539 pep supercontig:CcrdV1:scaffold_913:122468:145747:1 gene:Ccrd_015113 transcript:KVI06539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MTLPNETLESCITPTRKLVQPCRLNLHNLNNQLVANFVISGAMECNLLSHLEVLDEEGTSGTWKKNVDNASTKTSHSREKKSRKKTVYPDSFTTGQPQDPQEVGAVDALRQALIAGNLLPEILDDYHMMLRYLKARNFNIESAKNMWIDMLQWRKDFGANNILEDYKFSELDEVLQYFLQGYHGIDKDGRPVYIEILGQADPKKLMRVTTIERYVKYYVQEYERTLAIRLPACSIAAGRRVASSTTIIDVQGVGLRNLTKPVIELIRRLQQINSNYPDTLCQMFIVNAGSGFKMLWNMVQSFLEPKAKSKIHVLGTKFKSTLLEVIDASELPEFLGGSCNCAEKGGCLRSDKGPWKDLHITKSSMEWA >KVI06536 pep supercontig:CcrdV1:scaffold_913:29656:30364:-1 gene:Ccrd_015116 transcript:KVI06536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MSPVLSELLLSGFTISSSLRRRTHLVQSLSVVFLYCGNSSQIHHSGSEEDLVGLRKRKRMQSNRESARRSRVRKQKHLDDLTDQINQMKKDNTQILTTIDVTTQQFVQVEAENSVIRAQMGELSQRLDYLNEIINLINCSSSTTTAPGLFEFEQPDFMNNPWNLMYLNQQPIMASAEMLQY >KVI06534 pep supercontig:CcrdV1:scaffold_913:95008:101546:1 gene:Ccrd_015114 transcript:KVI06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEPANFHHYHQEDDHVPVDSSSFPIPSCYGLSWSQNPLLTCTNNSINSRNLVPCLDTGTDSMAQDLGFPWSSSSSNNGMGYPIDNFMTHELQRLSRIKDEFSISSISTAGSTGQPAKRPASKFINAKATQSVSVKKSKLEPRPSSAPFQVRKEKLGDRIAAIQQLVAPFGKTDTASVLMEAIGYIKFLQTQVETLSVPYMKSTDKTSGIPTRRGHLGEGNKETKRDLRSRGLCLVPLSCLSYITGGGEGIWPGS >KVI06533 pep supercontig:CcrdV1:scaffold_913:16680:18308:1 gene:Ccrd_015118 transcript:KVI06533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLYATFMLIAFLSSSAVCFAFPGFNFGWGGTGGGSGSGGGGDGAFGGSQGYTGLFPEFYSFSCPQANDIVMSVLQRVIAKEPRMAASLLRLHFHDCFVQGCDASVLLDDSVMFASEKNSVPNSNSIRGFEVIDEIKSKLEEACPQTVSCADILALAARGSTVLSGGPNWELPLGRRDSRQASLTDSNNNLPPPNSTIQILITLFRRQGLDEVDLVSLSGAHTIGMARCTAFKQRLYNQDGNDQPDSTLERTYYNDLKTVCPKTGGDNNISPLDFDSPARFDNIYFKLIMGGKGLLTSDQVLLAGNVEGTMFLVKAFAEDDALFFDQFARSMVKMGNINPLTGYNGEVRNNCRVAN >KVI01682 pep supercontig:CcrdV1:scaffold_9132:5968:9848:1 gene:Ccrd_020039 transcript:KVI01682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYVIGNPRHELEILLTLFAVQEFAGLLLLVADFEVLNEYRKNFSDSWKAVQADCSQAWPYLDEALTRFQSGCRKEGVDLFHYMLEMDAKFDEVTLISVLTACGRAGALELAEWIKEYIEANRLKGNATLVTTLIDMYAKCGQVDIARSLFASLSLSSNSKKSRPKANRKLTHN >KVF38309 pep supercontig:CcrdV1:scaffold_9139:1087:2891:-1 gene:Ccrd_026779 transcript:KVF38309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSSDFKKNSLKKKGFKTSWKKKKKKTIGNKDLIIKGEGSSQGKLRKDANWIWTQXDTDYIKKIKKNLIIFPKLYLPLQEDQLIIETDASDEFWGDDLMDKGDMVFSVTYLVGYALTSSHHSIDYRNNSTIEIDDLFQEIGSVQENH >KVI11493 pep supercontig:CcrdV1:scaffold_914:78400:84906:1 gene:Ccrd_010095 transcript:KVI11493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFVDYRQEEMSCGETKEKEIGDDLSLLIDFSGANLNLDLLQKSNQFQETLDSESENPFMPQTKSMIHGQDPGADDLLNSENSITDYDWLLSPPRNPLLSLELEEHKTLISDNLMSSGQSTSPKSSLGTPSADNISTTTMAPTLPASSNGIKSSSGTIRRPSPSRKAPSTGSRSSTPTTRATVPSTTKPSRSSTPTSRPIVASTKPVAPSKRSITPTKSSARSSTPTPRPSIPSAASKSISRSSTPTLRPSIIAASKSTSRSSTPTQQPSNPSGLLTTSVNGGRSSSVTKARAPSVKNPASSRGSSPSVKSRPSKNPPEMTGFATEAPPHIKKSLPERPASVSKGRPGLNTVKLPASECNSKAIPKRSSCSPSRGQVLNGSSNNGVKSGLTKNRGYSNGSDDVNPVLMGTKMVERVVNMRKLAPPRQDYISNQNNTSGKLSVSQDNSGFGRTLSKKSFDMALRHLDIRRSMPGNMRAMITKVPASSVYSVRSESTKTQTISASDSPLATSSSASSDNNPSFVDGNLANENGHLYPHDQ >KVI11495 pep supercontig:CcrdV1:scaffold_914:46096:49359:1 gene:Ccrd_010094 transcript:KVI11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQLDQEGRELKDMNTVSGVVMKDVRSEHLFGIVAMDHEKSPSMCTRSKQRQYETEHHVCHMGKETKSRDENASSKRGQIQLMSIASDETKVGNKDEINKTKKILHESDSSEGNKCWMEKLRIRTSPRTLYHTIVGLNDDQKNMKMELKVMNGGIPITIQSIHNLLGLRRGGIDLLEMDEVDDSKNITTTWRKQFEKKKMRPKDIMKLIQSSGNVGFNFKLNFLEHIQHILIKMAVVVSSRLEAEIEIKDVMSKFPDDDEFKQYKKELDDMFNEGACNTTHDTDFSGLKDHSTLKKDGQPSLEIVLSQPRGVDYCDNKGITPPKFDLGISPIKQPEPLSMVWHEELEGPTLNTNSKGIERSPLMKRCNVQSSEDANDHQVERATRQELKLGDHLRSPELLFSTPNDMNLHRHATESLACTTTMYISVIDAWATLLNYEERETLS >KVI11494 pep supercontig:CcrdV1:scaffold_914:10457:14702:1 gene:Ccrd_010093 transcript:KVI11494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF247, plant GYESIKISLLDGYLLTVCLQFSFSKSIPKQICHAYTFSIANIIETDSCDQSSLESSSSQVGINLKRNLSYITYPMANMDVEVGDRTGPRNKTKWWHPSTQQNNSNIPDNVQILLDRKEMGQNLSTQVPPSIYKVPSSVRNPEPDYFKPQVVSIGPLHREDRTLQEFEEKKTIYLHHLLQHLNTSPRHXLDTCXQWVNASIPRIRECYGGMITYTDVELAQMMVMDACFILSFLFLSDERQRFTSRNAILTHSIFSDLVLLENQIPFFVLQGIFNHTLSNLQTDSLTSGVLRHLQFLIPFKGINYNDVTSTTQPHHILGLLQKYFHPRDTNILSTRSPLEMPNRPTLKMLNHSALDLDKAGVKFKPNKDANWILAISFSSSRFECFRXCWGNRTLRMPALCVDDNTELFLRNIIAYEQCTPEVPDYVTSYVSAIDMLLDTKEDLSKLVKSKVLSNNLGSNKDATKMLNSISKQFVFEEFYYMDQWERLNHYYEGYWPRNIAWLKRKYFSSPWNIIALLAAIILFSLAIVQVILRIIK >KVF38101 pep supercontig:CcrdV1:scaffold_9144:4118:9883:-1 gene:Ccrd_026780 transcript:KVF38101 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-binding, conserved site-containing protein MQLLQSTYDTYHINPKQFSSYQATTINVNKIFKKSYARFHQGSLTAANRYCKVQCQNSPQETHLVESRERSLNQDSWKPPEGVVRGSVNYVPLSPISFLERAAEVYRNRTSMIYGSIKYTWEETHHRCLKLASALNRLGVSRGDVATLAPNIPAAQELHFAIPMAGAIICPLNTRLNPSMISKLLEHSEAKILFVDYQLLQIAKDALSLLNNTHSKAPRLFIISEPNYKSSLTLTHKYDYESLVESGVIEFSVVRPHDECDPISLNYTSGTTSSPKGVVYSHRGAYLNSLATMFIHGVREMPTYLWSLPMFHCNGWCFSWGMAIVGGTNEKAFEGGWFRSGDLDVKHQDGSIEVESVIYSHPAVLEVAVVAQSHDYWGQTPCAFVKLKNGVHVDAQEIIQHCRHHMPHYMAPRTVILEDLPRNSTGKDLFNEMMDYGSKLEARPNN >KVF38090 pep supercontig:CcrdV1:scaffold_9147:3573:5789:-1 gene:Ccrd_026781 transcript:KVF38090 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF861, cupin-3 MAATLRFSNQAPELVYVPTYHNHKPATNHSSISLPSSYSFCKSTPRLYSSSRVYNRGILRASSETMATEKLGIKIVKNPPESKLTDLGVRSWPKWGCPPSKFPWTYSSKETCYLLKGKVKVYPEGSDEGVEIGVGDLVEFPKGMNCTWDVSETVDKHYBFE >KVI06947 pep supercontig:CcrdV1:scaffold_915:2136:6901:-1 gene:Ccrd_014692 transcript:KVI06947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative domain XH MEDCMDHSSGEDTDGDDSEVEEYEEKSYEELKGGKYRVKLSDETFACPYCTNKKKRDYQYKELLQHATMVGKSDSQKRSLRDKANHLALVKYLEKDIFQQDTAKASGPSQSTDEVDHLAEHDGDEMFVWPWKGIFVNIPTRLEEGRYVGKSGSTMRDYLTTRGFNPTRVLPLWNFRGHSGCAVVEFRKDWAGFNNAMSFEKAYEADHQGERDWKVDKDPKSGIYGWVARSKDYRADNIIGEHLRKVGDLRTVADIMAEEERKTGKLMSTLTNVIEVKKRHLEEMQSKFVETENSLSKLIAEKDKLHQHYNEVTKLVSPFYLSEIKKIGNGAREHFQRILNDHEKIKLQLESEKRELELRGHELEKREVLNENEQKRLSDEIEENAAKNSVLQMASDEQRKADESVMKLADDQKREKEELHKKIILLEKQLDAKQAAVLEIERLRGQLNVMKHMGDDDKEVLKKVEDIHKNLREKEEELEDLESLNQTLGLKELSKTSHIGVKRMGELENKPFIDVMKRKYNGPEAEDRASELCSLWEEYLRDPNWHPFRVITVNGTSQGVIDENDEKLNSLRRDLGEDVYKAVATALTEINDYNPSGRYITTELWNFNEGRKATLKEGVSYLLKMWDVQKRKRVM >KVI06948 pep supercontig:CcrdV1:scaffold_915:12918:17439:-1 gene:Ccrd_014693 transcript:KVI06948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MALLQFMCSVTPLARAVKSRSLIISFSRTVVTVASTTTTTTTKTKMSPPDSNVLLGMSEEQLQQLALDFGQQSYRGKQLHHLLYKRRVKEIQEFSQVPLAFRTELQEAGWVVGRSSVHSSVVAADGTIKLLIKLEDNRLVETVGIPVADDKGSVRLTACVSSQLSQYSLLLITQVGCPLRCSFCATGKGGFSRNLKGHEIVEQVLAIEEVFNNRVTNVVFMGMGEPMLNLKEVLAAHRCLNKDVQIGQRMMTISTVGVPNTIKKLASHKLQSTLALSLHAPNQKLREKIVPSAKAYPLEAIMKDCRDYFHETSRRVSFEYTLLAGVNDDVEHAKELAELLHQWGPGYHVNLIPFNPIDGTEYKRPYRKAINIFQSMLESRKITVSVRQTRGLDASAACGQLRNEFQKSPLVTPSIDDIQAEPEPEPEAAVAC >KVI06946 pep supercontig:CcrdV1:scaffold_915:82010:92443:-1 gene:Ccrd_014697 transcript:KVI06946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MPPSPSSTSLQVESVVFPPSVNPPATTTTLFLAGAGVRGMEIQGKFVKLSGIGIYLEDKAISSLADKWKGKTAAELADSVEFYSDIITGKLQLINQLMKHIQIPRNPLFCLTYIYVLVPGPFEKLAEVAMLVPVVGTQHAEKVSEMCVAIWKAQGTYIDADSATIDKFLEVFKDKNFSLGSSILYTTSPAGLVTIHFSKDGTVPETPAVVLENEKFGQALFESVIGENGISPEAKQSLASRLYDLMKQFDEKATARPSSTSIQVEFIVFPPSVKPPGATTTLFLGGAGVRGMEIQGNFVKFTGIGVYLEDKAIPSLAGKWKGKTAAELKDSVQFYRDIVTGPFEKFTQVTMILPLTGKQYSEKVSEMCIGVWKAQGTYTDADTATIEKFLQVFKDENFLPGSSILFTTSPNGSLTVSFYLLNSFVMHHISFSKDGIIPEVAIVVLENEKLAQAVIESVIGEHGVSPATKQSLASRLSEFMNQVDEKATTSVESKIGLESQTGL >KVI06950 pep supercontig:CcrdV1:scaffold_915:147545:153717:1 gene:Ccrd_014699 transcript:KVI06950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, N-terminal VHKQLLIPRYKQRSRLLLHTHIILSDSKILCSISSSTLLPFSLQFATTFFSFFYLHNLRNNLKLVIFIKISTTLCFMAIEASSFKYEEEFIVNSKGLELFTCRWLPVDSEPKALIFLNHGYGMECSVSMRGVALRLVKAGFAVYGIDNQGHGKSSGIQGFIPNFDDLVTDCSEFFTSICEKKENKRKMRILLGESMGGGMVLLLHRKNPEYWDGGVLVAPMCKIAEDMKPPQLVINVLMQLAKVIPTWKIVPGKDIVDLAFRDPKIREEVRNNPLCYKGRLRLQTASQLLNVSLDLEKRLQEVTFPFFVAHGEADRVTDPTVSKLLYETASSFDKKFQLYPGMWHSLTYGEFEENIDMVFTDVISWIDERISSGNSRLEREQKRANDEFDMDGSSNAKMMD >KVI06949 pep supercontig:CcrdV1:scaffold_915:115294:118606:-1 gene:Ccrd_014698 transcript:KVI06949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MARIKVHELRGKSKADLFAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRTSIAQVLTVISQTQKSMLREAYKKKKYLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKDKYFPLRKYAIKA >KVI06944 pep supercontig:CcrdV1:scaffold_915:20139:24283:-1 gene:Ccrd_014694 transcript:KVI06944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSVRLKIESSRLDGKVTSASVPPSPRSPRSQAQILQQSANLKSFSFNVLKTATRNFRPDSVLGEGGFGSVFKGWIDEQSLAAAKPGTGTVIAVKRLNHEGIQGHQEWLAEINYLGQLYHQNLVKLIGYCLEDDHRLLVYEFMPRGSLENHLFRRSSYFQPLSWNLRIKVALGAAKGLAYLHSPEAKVIYRDFKSSNILIDSNYNAKLSDFGLAKDGPVDGHLTARSDIYSFGVVLLELLTGRRSIDKNRPSGEQILVVFAKPYLTSKRKILHIMDPRIEGQYSSAAATRAAILAMKCLMKEPPHRPTADEVVKALEQLQELQKASESLRTESVQKDKDNENDNKVVSYPRPLASSSGGV >KVI06945 pep supercontig:CcrdV1:scaffold_915:64922:67375:-1 gene:Ccrd_014696 transcript:KVI06945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGFGKYGLFIWVMSTMCLIKFSLQFDPIDNYLVDCGSPKNSSVGDRDFLADTSDHHFLSDPEQASVSTANSQPISSTYGSSLYTTARVFNRTSNYTFPVNQHGRHFIRLHFFPFALDGETYNLNDLIPATALTVELPRNHHNLMTQALETVARVNMGNQTVSPENDTLWRFWNSDGHFITQNNFVKYVKNISSVKYTAGGPTRDIAPLSVYGTATKLDTEFSPGALLNMTWTFNVDPEFSYLVRFHFCDIIEHPPSQLVLNIYLNSMSVAKDLNLGERMSNVWGAPYYLDAVTRLSGKNMLNVSIGTSLALGAYPESILNGLEIMKISNSKGNLYEVDTGTKSSASRSKQKVWVVAALAGGAVFIVVVLGCLFFIIRRRNRRKSLIVKQSMQQSLSPNMDTDGNAMFSRSKIGYRFPLIAVHEATDKFSESLVIGIGGFGKVYKGVLSDGTQVAVKRGAPQSHQGLAEFQTEVEMLSQFRHRHLVSLIGYCDERNEMIIIYEYMENGTLKNHLYGSDLPKLNWRQRLEICIGSARGLHYLHTSSSKAIIHRDVKSANILLDENMMAKVADFGLSKNGPELDQTHVSTAVKGSFGYLDPEYMTRQQLTEKSDVYSFGVVMFEILCGRPVIDPSRPRGMVNLVEWVREWRKRGELEKVFDPFLVGKMKTESLEKFVEIAEKCLAEQSVDRPTMGDVLWNLEFALQLEGIEVKRRETENDSSTGELESGAGEGSVGDFVGVSMSRVFSEMVKGEKHEMR >KVF37407 pep supercontig:CcrdV1:scaffold_9158:1265:1630:1 gene:Ccrd_026783 transcript:KVF37407 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-Hand 1, calcium-binding site-containing protein MGSGARGKFCREGFQDMLPKMAEKLGGEGLIKELCNGFDLLMDKDKGMITFESLKYNSSLLGLQDLRDDELMSMLKEGDYDGDDALNQMEFCVLMFRLSPELMTESKALLDQVLQQEFGSN >KVF36770 pep supercontig:CcrdV1:scaffold_9166:5955:9257:1 gene:Ccrd_026784 transcript:KVF36770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MDVYGFWLFSLCYLLVSDGVEVAALKNHEPIPNVPQPYRTAYHFQPSKNWMNGPMYYNGVYHLFYQYNPYGPLWGNISWGHSISYDLINWVHLDVALIPNEPYDINGCWSGSATILLSGEPAILYTGSDTNKHQLQNLAFPKNLSDPLLSEWIKSTQNPLMSPPEGIDPSSFRDPSTAWIGTDGEWRVVIGSEIDHHGLAIIYKSKDFSHWTKTANPLHFSNKTIMWECPDFYPVSLNGKDGLDTSVQGKNVRHVLKASFNSRDHYVVGNYDPKTDQYEVDTDFMDGRARLQYDYGRFYASKSFYDGANKRRILWSWIDEGDSESDDIKKGWSGLQSIPRSILLSENGDRLVQWPVKELEKLRTQKVQFENKELEGGSVFEISGITASQADVEITFSLSNLNEAELLNTEVVDPQILCTRKNASVNGSFGPFGLLVLASKDLIEYTAVFFRVFRGGNDFRVLMCVDQSRSSLRSDVDKTIYGAFIDLNPRHAKISLRSLVSFLTIFETLYEIHSSKIGFIRRLNIAYLIGTKFIGANHKMSYLKMLQ >KVI11000 pep supercontig:CcrdV1:scaffold_9179:2125:7789:-1 gene:Ccrd_010594 transcript:KVI11000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPIHLITLATIAFSLISSCVSDVVVLTVDNFEKEVGKDRGALVEFYAPWCGHCKKLAPVFDILGTSVKKTKSVLVGKVDCDENKELCTKYGISGYPSIKWFPEGSLEPKDYDGSRTAEAFAEYINNEAGTNVKIGAFPSYVVVLNSDNFDKIVMDKTKDVLVEFYATWYDISGYPTMKLFPKDNKAGEVYQGDRDLDSLVAYINQKCGTSRDAQGQLTSDAGIVEVLDNLVKEFMGADGDEKKAVFAKIQEEAGNLHGSFARYGKIYIKAAQSCMAKGADYARNEIQRLERMLAQSISSLKADEFILKKNVLSAFA >KVH96322 pep supercontig:CcrdV1:scaffold_918:21264:21653:1 gene:Ccrd_001591 transcript:KVH96322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MLRNLSSFAAYLNWVWDFLIHLSFYRHRLTVSLVPENSRFREDGRSTEPVECVVCLSNIEEYDEIRVLRCKHLFHKECLDRCVEYRHTTCPLCRDYLAGPRMVCELGRELIVLSFCGSNDDDFERWWLR >KVH96323 pep supercontig:CcrdV1:scaffold_918:57794:61347:-1 gene:Ccrd_001593 transcript:KVH96323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1262 MTMNPKSVFYISSNKPFSKPHATARSLKWDSNMYVTRSISHYKRFPELVYSSPEDPNSSYLVIQDEESETYSCFGLSKNRYLAQLPFPQNKILTTRYSSGTGEHHHVSYDEVLLIPVLNQPLSSNRYYAIKPHGSHKGEAYACSKEEDMAQCCFCNCVRDVKPRPLDPQDIYQQFEIIPYQTSCNGDGSFYAKSLADDGFPPHFLSRKGWNIYTKTPKNYELREAKGINVALRSRLPELNFPPSTKTSNLVVVGKWYCPFIFIKEGRLADQVKESVFYEMTLEQKWEQIFEQENEHNKEENIVYVTAAFHSEAVAIGENLREATWDEKNVVNGVVWFKSLGGDNEKEESVGLNLEIVERLRWEEEKVGWVSGGNKRIERVKREEKFEGIGGWRRFGCYVLVERFVLKRMDGSLILAYDFGHKHQIKTIFE >KVH96321 pep supercontig:CcrdV1:scaffold_918:125057:131837:-1 gene:Ccrd_001596 transcript:KVH96321 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTH-like domain-containing protein MDLMTQEVSIGELTSRKQGLLKDQVRLVKRKDCDRYEIAGIQDNLSFEKGFFVVIRACQMLAQKNEGIILVGLAGPSGAGKTAFTEKILNFMPSVAVISVDNYNDGSRVIDDNFDDPRLTDYDTLLKNINDLKEGKSVEVPIYDFKSSSRTGYRTLEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRVGQEPEEIIYQISETVYPMYKAFIEPDLKTAHIRIVNKFNPFTGFQSPTYILKSSRNITVDQAKSVMSDEHTETLEETYDIYLLPPGEDPETCQSYLRMRNREGRYSLMFEEWVTDPPFVISPRITFEVSVRLLGGLMALGYTIASILKRSSNVFCDDRLGLEGSYTPRTYVELMQLEKLVNEVTVLPEELKTKLSIDDEMLSSPKEALSLAATRNNFFKSRISHSYSTARDKNLTSIPVYTINTQRFDDKNTEPTDAMAIQGAMSHLSEQIFTLNDRMDEFTSRIDAQSSQFSRTFLGNQQNIEPCNGVASTSYFTSNLANGSLNGSLVHHSGSSTQLAKDSPLIEEISGIVQSQRKIMHQLDSISKHLYDEIGDRARQVRKIKKTD >KVH96324 pep supercontig:CcrdV1:scaffold_918:37256:53098:-1 gene:Ccrd_001592 transcript:KVH96324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDNMIDQDQQWLINSLNATLDTNQQARSFAEASLNQASLQPAAVLLKQFIKKHWQEDEDGFEHPVVSNEEKAVIRGLLLLSLDDPHRKICTAISMAVASVAHHDWPDEWPELLPFLLKLINDQSNTNAVNGALRCLALLSADLDDKLVPRIIPVLFPCLHTIVSSPQIYDKPLRTKALSIVYSCTSMLGVMSGVYKTETSSLMLPLVKVWMVEFSSILKNPVQSEDPDDWSIRMETQKTSFPEVLVPLWQTFVSSLAIYERSSVEGLEDSYEGRYDSDGSETSLESFIIQLFEFLLTIVGSKKFVKAFGNSMQDLVYYSIAFLQMTEQQVHAWSLDANQYVADEDENTYSCRVSGSLLLEEIVISCGIEGVYAILNAAKQRFDESQQERIKGSADWWRIREATLFALSSVSEQLLEVEVSGPSGVNLGNLLEHIFTEDMAAGGVLFSYIVIAFISLTCVHEYPFLYARMFSSIAKFSSVINHSVIDHFLYAAIQAIGMDVPAPVKVGACRALSQLLPDTNQGIPQPHILALFSSLTELLKQASDETMHLVLETLQAAVRAGHEAALSIEPVISPIILSMWALHVSDPFISIDALEVLEAIKNAPGCIHPLVSRVLPYVGPILNKNAPSDVVKAVYEVCFDPVIRTVLQSDDHSEMQNATQCLAALVSGGKQQLLAWSGDPSYTMRCLLDVASRLLDPDLESSGSLFVGNFILQLILHLSSQMAPHIRDLVAALVRRMQSCQIAGLRSSLLLIFARLVHMSAPHVEQFINLLITIPAEGYTNSLYYGAYQIKVTTTALALLLSSRHVEFGNINVQGYLMKFHVNFKSSAGITTRSKAKVAPDQWTLIPLPAKILAVLADVLLELQEQILEDDDRDEDDGYDDDLLSAADPLNESLTQAQKKAIQMVVS >KVH96320 pep supercontig:CcrdV1:scaffold_918:157576:160534:-1 gene:Ccrd_001597 transcript:KVH96320 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM/LAG1/CLN8 homology domain-containing protein MGVIDGLSSIDFGHESFPAYEDFLLLPLFAIFFPAVRFFLDRLVFEVVGRRLIYNNGQDIETEERNKKIRKFKESAWKCVYYLSAEILALAVTYDEPWFTNTSYFWIGPGNQRWPHQKMKLKLKALYMYVGGFYAYSIFALIFWETRRSDFGVSMGHHVASTFLIAMSYMFSYEVLQTLDKEKEFPEGPVHYYVFNTLLFCLLVLHIYWWVLIYRMLVKQIQDRGKLSDDVRSDSESDNDHQD >KVH96319 pep supercontig:CcrdV1:scaffold_918:74818:75813:-1 gene:Ccrd_001594 transcript:KVH96319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETGKSVESDQDYTNFCPDYNLYYSSSSGVPCKKHPSSSPVGICAYCLKDRLMKLVCSDCGEQRLSSCSCSDVSSYRNSSCTVDVGSVGRISFLIENEKGGSGDEQQKTLFSHIKQIKKRETEDVILLKRSNSCVVEVKKSNGFWRIGKLFKKKREKEGCRERNRDGFDDKSEIWVSDCVMDVSRSRSLCSFRGGANFDHEGGSVSDMAYSSAKISDFNESEPRKSGFRGGLMDFESGFAAKESEFSRIHDDSRFIDLKLDLSDESKPEHPVFKNPPDGGGGGGGGGSSSCRITVNDRGIKKGSKGHSKVWKWIFKQHSGKKDMNHILES >KVH96318 pep supercontig:CcrdV1:scaffold_918:108672:114505:1 gene:Ccrd_001595 transcript:KVH96318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASTTMNILKIHAYRLQPPISHLSHPTSPATYHNFMLSHVSSTSILSSRPRYPISKTNARVSEIGAPLWMQKDDQEEDTGSSEDLTMNGEAYQKTLRLVECAMFAALGGLAYILSSSLAIENYFGCFFALPIVFSSMRWDIVAGRKTMVATATLLLVLAGPIKAITYLVSESNAWFSWSHHGLFVEVRAAGAMGYVVMYSFLIRENILALLLLNCCFFVFLLHLLYALFFTRLGMKASLRLPKWFAKAI >KVF35533 pep supercontig:CcrdV1:scaffold_9187:4127:5990:-1 gene:Ccrd_026785 transcript:KVF35533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGSSVASADRMKEFTELILSFPKENNDCPLCYGQDYQQAYSDHLDKLERLLNGIAVLKELTPRARDYIVSFGECMSTRIFVAYLNKIGIKXRQYDVLANGWIVHGLYAAGRPKGALECNPRKPDIWKVS >KVI11279 pep supercontig:CcrdV1:scaffold_919:7769:9171:-1 gene:Ccrd_010311 transcript:KVI11279 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, dynein-related, AAA domain-containing protein MPKTGRPFVLTSTVKKSLEMVLLAVSQRWPTLLYGPAGAGKTALISRLAQGHGSQVLSIYMDEKIDGKTLIGNYVCAEQPGEFRWQYGSLTQAILNGLWVVLEDIDNAPADV >KVI11280 pep supercontig:CcrdV1:scaffold_919:37349:46993:1 gene:Ccrd_010312 transcript:KVI11280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MVNMVRSMSISFLGMMVLLWWLAVAAVADDVKYKDPKQPVAARVKDLLGRMTMEEKIGQMVQIERISATPDIMRHYFIGSLLSGGGSVPNPHATVVDWINMVNEFQNGSLSTRLGIPMIYGIDAVHGHNNVINATIFPHNIGLGATRQVKVDTDLVKRIGAATAVEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDTKLVQNMTDMIVGLQGEIPNGSRLGVPYVAGKDKVAACAKHFVGDGGTTRGIDENNTVINQHDLLSIHMPPYYDSIIKGVSTVMGFVISDWEGIDRITSPPHSNYTYSVQASILAGIDMVMVPNNYTEFINDLTYLVKHKFIPMDRIDDAVSRILRVKFTLGLFENPLADFSLVNEVGSQAHRDIAREAVRKSLVLLKNGKRADEPMLPLPKKASKVLVAGSHADNLGYQCGGWTIGWQGFSGNGNTTGTTILNGIKSVVDPSTEISYMENPDSEFIKSKNFSYAIVVVGEHPYTEMFGDSSNLTIADPGPRIITNVCGQVKCVVVIISGRPVVIEPYMSAIDALVAAWLPGSEGQGVADVLFGDHEFTGKLSRTWFRTVDQLPMNIGDPHYNPLFPFGFGLTTKSVIDRSISAGITRRPYLVGILVSLLLSFSLSHAYIA >KVI01966 pep supercontig:CcrdV1:scaffold_92:380484:385412:1 gene:Ccrd_019755 transcript:KVI01966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKALCCLFFLSISIFCFPSLSLSLNKAFAAAITRRHLGSLKDSDPQSDDLEIEIDARLSFANPRLKKAYGVFQEWKKVIYSDPDNMISNWEGVDVCSYNGVFCENAPDDPNSVTVAGIDLNHGDIAGHLVPHIGLLTDLSVFHINSNRFCGIIPTSFSKLTILHELDISNNRFVGPFPSVVLELPKLKYLDLRFNDFEGQLPPQLFDKDLDAIFLNNNKFSSSIPENIGNSPASVIVFANNGFKGCLPKSIGRMTGLEEIIFSNNKLSGCVPEELGMLENITVLDLSNNDFIGTLPKGLERLKHVERIDIGQNKLIGKVVDSVCSLPKMVNFSFADNYFDGVEQKCENPMRAEIVINVKKNCLPNKPNQKSEKKCSSVVNLPIDCETVGCKKPSFDSDEESQRRKPPRRPNPKPKRKRKPKPVPPPKPSPSPTPVLPTPSPPPPPNQSPPPPVQSPPPPVQSPPPPPPPPPPPPPQSPPPPVQSPPPPVHSPPPPPPPVQSPPPPVQSPPPPVFSPPPPVPSPPPPVQSPPPPIFSPPPPPPPGSEDIDFGEIPEARISIFILNGFLEKETMEAMEATGDXDGGGYXQXRWRRWRXPXMETVEATVEAVKATMEWKRRA >KVI01985 pep supercontig:CcrdV1:scaffold_92:471881:474842:1 gene:Ccrd_019762 transcript:KVI01985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLNPPPELSLDFKPTFIPKTISQFLGEVARIGIVSEKILKVDDFVSRLETEIRKIDAFKRELPLCMLLMNDAIVTLKEELMVFKKSTNQPVLEEFIPLKNTCDDYPKVETDSGDKKNWLSSTQLWNTNENNSDTNQNHIPKQNSIQQAILKRADEEQDGMIGDPYCGNSGREFIPFKGYCGFTVDDTTRNEDKEEIPDSGLSLIAPGIKNPMRGNGFLSKTGANNELVPCSIPDVQSNIRIGALQPQPPQHQTSRKQRRCWSTELHRRFVNALQQLGGSKAATPKQIRELMRVDGLTNDEKYRLHTRRFPSSNTSSDPSGVGLGGLWIPAQDQYVVESSKHINTQSGSPDGPLLNCTTATGGTSTTGGDSMDDGEDEKSENNCWKGHVHTSAKDNV >KVI01958 pep supercontig:CcrdV1:scaffold_92:181805:188067:1 gene:Ccrd_019741 transcript:KVI01958 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MAFLGSNLPTEVGLRLLLVPFDSNIVVRTACCSVGVVLPVYNTFKAIERKDQNEQQKWLLYWAVYGSFSVGEIFADKLISWFPLYYHMKFAFLVWLQLPTTNGAKQLYMNHLRPFFLRHQARLDQIAGLFYSEAPNQKLTREHFQGKFISAHQGEFQFMKTILVKIFMSAKELVNGSNQPIATQERRAITGPREQVESSDSDGGGGGGGGGRDDDEDEYVSVPAVS >KVI01977 pep supercontig:CcrdV1:scaffold_92:21045:22598:-1 gene:Ccrd_019730 transcript:KVI01977 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-Oxoacyl-[acyl-carrier-protein (ACP)] synthase III C-terminal MATAKHHHSATKTTANGGANVQIQRPPPPLPNFLASVKLKYVKLGYHYLVTNLLTLCLLPLIAVAAVHVSQLNLDDVGNLYRQLQYNSIAVMALGGAALLGFTAYLMTRPRTVYLVDYSCYRPSDDLKVQFNKFMERSKIHGGFNESALEFQRKILERSGLGEETYLPAALHCIPPAPSMAAARQEAEDVMFGALENLFKSTNVNPKDIGILVVNCSLFNPTPSLSSMIVNKYKLRGNIKTFNIGGMGCSAGVIAVDLAKDMLQVHRNSYAVVVSMENITQNWYFGNKKSMLIPNCLFRVGGAAVLLSNKSADKRRAKYKLLHVVRTHCGSDDTAFNCVYQEQDAAGKTGVSLSKDLMAIAGGALKANITTLGPLVLPISEQLLFFATLIARKLANTKMKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLSEEHVEPSRMTLYRFGNTSSSSIWYELAYTEAKGRMTKGNRVWQIAFGSGFKCNSAVWEATRAVKPSASNPWADCIDKFPVEILK >KVI01975 pep supercontig:CcrdV1:scaffold_92:119276:121191:1 gene:Ccrd_019736 transcript:KVI01975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERRKRNGGERKVPVGEGWILKEKPVQRASPLDLENFPFVVLGNEVDIDGGNGRVVSAKKAHTWCESKGNNRILRCLQRLESTLTKLLK >KVI01987 pep supercontig:CcrdV1:scaffold_92:442237:442662:1 gene:Ccrd_019760 transcript:KVI01987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAVIIIDGSTVRDFVNNETQFKTSVDAQFEALDANNDGVLSRSEMRKAFDSMRLLDTHFGVDTAMPPEELTRLYDSVFLSFDEDHNGTVDLDEFRSEMKKIMLAIADGLGSSPIQMAVEDDDQSFLKKAADLEAAKISGIS >KVI01957 pep supercontig:CcrdV1:scaffold_92:334010:353039:-1 gene:Ccrd_019751 transcript:KVI01957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQGLHHQQQQLVALLSVALPKDNDSSSSSQPNNPSEAGEDDASRLAAINSLHRAIVYPHNSLLVTHSASFLAQGFSQLIADKYSCGKLELEVRNARCCKSDKENMLMVLSISYSVRQAAATSYGALCAVLCSLPIGSNGRQNQVILGNLVDRFIGWALPLFSNVNAGDGTVEYAAEGLHEFLSIGDIGATERYALPILKACQELLEDERTSLSLLHRILSVLTLISLKFFICFQAHFVDIVDLLLGWAMIPDLAEPDRSVIMDSFLQFQKHWVNNLQFSLGLLSKFLGDMDVLLQDGSPGTAQQRQRLLALLSCFSTVLQSTASGLLEINLLEEIKNPLGKMLPQLLGCLSLVGRKFGWSKWIVDSWKCLTLLAEILSERFASFYSIVVDILFQSLDMKQATKTLNAEKLTSFQVHGVLKTNLQLLSLQKLGLLSSSVHKILQFDSPVSQLRLHPNHLVTSSSAATYVFLLQHGNNEVVECAMTSLVEELNMLRSMLGKTSLEVNQFGSIAAPNIFSKTELFALFKFDMKVLLSCVSLGGGNSLIGQAEIDTLYVNRSEKMIHHIIENLNPFELPIRENVELQVSIFKMLNRLSTVEFLSKFSMRKNNSGVVLFDKGNEKVSVAGTLREGHSILMLEHLRKYTVLLKRALHISSPLALKLEALQWINTYCETVKRMYDSLKCTNYHSEAVGYLEFFGDIVFSVLDAASDREPKVRCQVVVVLEMFLHSKLLHSSQLYAFTETILEKLGDPDEDIKELFLKLLSHALPVTVLVCGMNDNGAVTKYRQFSPRLGNKPIMQWKQIFALKQLPQQLHSKQLVSILSYISQRWKVPLSSWIQRLVHTCRNSKDFALAQQDDMGNLHVTSLWLDMNVEEDLLEKICSVNLLAGAWWAIHEAARYCITTRLRTNLGGPSQTFAALERMLLDVAHVLQVNTDQSDSNLNILGSSYAHLLPLRLLLDFVESLKKNVYNAYDGSTVLPSTSRQSLVFFRANKKVCEEWFSRICEPMMNAGLALKCHDATIHYCSLRLQELKSSVVSSMKDRSRTQVIENLHNLKSRFSGDTLRVLRHMTLSLCKNHEPEALIGLQKWVSTAFFPLFMEENRSVTDDGRFGSLSWITGLVYQAQGQYEKAAAHFTHLLQTEESLGSMGSEGVQFAIARIIESYSAVSDWKSLESWLSELQLLRAKHAGKSYSGALTMAGNELNVIHALAHFDDGDYKAAWACLDLTPKSSNELALDPKVALQRSEQMLLQAMLFNMEGKMEMVQHELHKAKLMLNETFSTLPLDGLTEAAEHVNQLHCILAFEESCKISGTQDTQLSLLLSSYIQEVQFPSNHIIQDCKLWMKVLRIYRTIHPTSPVTLNLSLNLLSLARKQRNLMLANRLNDYFRKCHLGSSEESFHKSIFSSVQYESILLMHAENRLEEAYTGIWSFLSPSIVSSSAAVSVAAADALKAKACLKLSNWLRRDHMDANLENIIFKMRSELAARSTSLGEELRHTDDNMNSKPRMNLIVEELVGTATKLSSRLCPTMGKSWISYASWCYAQARASLPSPNDTALQSCSFSPILGSEILANRFTLTEEELLRVKSVVLELLQKARDVKDLTGVLQDGNFMFESPEQERDENYVHAVVQQVVSIIEAAAGEPGAESSSCERLSAVVASQLQKFFLFANIGVEGTALSVLNDLVEVWWSLRKRRVSLFGHAAQAYINYLSHSSSKLWGSQLAGAAGEEGRKNASYTLKATLYVLHILLNYGVELKAILEPALSTVPLLPWQEVTPQLFARLSSHPEEDVRKQLEGILVMLAKQSPWSIIYPTLVDINTSEEDLSEELQHILACLNKQYPRLVQDVQLMIKELENVTILWEELWLSTLQDLHSDVTGRINLLKEEASRIAENVTLSHTEKNKINAAKYSAMMAPIVVTLERRLASTSRKPETPHEMWFHDEYMGQIKAAISNFKTPASAAALGDIWRPFDSIVASLASYQRKSSISLGEVAPQLALLSSSDVPMPGLEKQITVSESEGDLTSTLQGIVTIASFSEQLVILPTKTKPKKLVIVGSDGQTYPYLLKGREDLRLDARIMQLLQAINGFLHSSAATDSCPIGIRHYSVTPISGRAGLIQWVENVTSIYSVYKSWQNRVQAAQLSGVASGNTKNSVQSHIPRPTDMFYGKIIPALKEKGIRRVISRKDWPHDVKRKVLLDLMQETPKQLLQQEIWCASEGFKAFRSKLKRYSGSVAAMSMVGHILGLGDRHLDNILLDFHSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQTLEAALGLMGTEGSFRKNCEAVLGILKKNKDVLLMLLEVFVWDPLVEWTRGDFHDDAAIVGEERKGMELAVSLSLFASRVQEIRVPLQEHHDLLLATLPAVESALQRFADVLSQYEVVSAIYNCTDQERSNLILHETSAKAIVAEATTNSEKTRTSFELQAREFSQTKAAVAEKALEATTWIEQHGRIIDALRNSSIPEIKSHIQLTGKEKCLALTSAVLVAGVPFTVVPEPTQVQCHDIDREVSQLISDLDHGVSAAVTALQTYSLALQRILPLNYLTTSPVHSWAQILKLSVNTISSDVLSLTRRQGAELVANVREDGFETVKSIHNDLCLQVEKYAEEIERVDANIDRSDDSFETNWASIFKTSLLSCKSLVAQMIENVLPNVVRSVISYDSEIMDAFGSLSQIRGSIDTALEQLVEVQIERASLVELEQSYFVKVGFITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAQLDELHQTWNQKDIRTSSLIKREGSIRNALISAENHFQSLIGQEQDREPQYSRSKALLLALVQPFVELESVDKTLASYGSRSSDSSSGVSQVTDLINYGSAIPAYIWKFSGILESHLFFMWKVAVMDSFLDSCIRIAASSRDQNLGFDHVVNAVKKKLSIQLQEHIGQYLRDKVAPLLVTMLDTESELLKQVPVATKDLDLEEMTRDISAVERVKLMLEEYCNAHETVRAGMSAASLMKRQVKELKEGLHKTCLDIVQLEWMHDVTLSPLHNCRLICHKFLSSDDNTLPVILNLSRPRLLETIRSSVAKVVRSIECLQACEQTSIAAEGQLERAMGWACGGPNSGMTGSTSARNSGIPPEFHDHLAKRRQLLWGAREKASDIIKICMSILDFETSRDGVLGIPGEHSSRAGADGRAWQQAYFNVLTNLDATYHSFTRTEHEWELAQSNMEAASNGLLTASNELRVASAKANLASGDLQDTFVAMRDCAYEASMALSAFGSITRGHTALTSECGSMLEEANSIVLPLESVLSKDVDAMTEAMTKERETKMEISPIHGQAIYHSYHTKIKEACQALEGLGESQEIRSQDLNLSRPDLVGDDTGYDNKENDIFSRSDGECDEELPQMTGFSLQDKGWISPPDSIYDGSSDSGPTSAEASAADSFTGSEVTEPHPDVLDCKESTDISSSSPSGTDARENSYTEELESRDKDASQGDGDPMDDNLEDVASCSGTCVSSSSGINIGLSNIKIGTQRQASSLDQEESRKSPTQNTDAPGQVSRVKSKNAYAMSVLRRVEMKIEGRDIPDNRLDSVDLSLESAFLATNHLTHIGIWYTPKHGQLKSSKRHAISNTVGFFQTCYRLPQKTLMSSFFATLNIQKLLQGIALLLGVFSETVCLSDCVLRQSWRLETNNLSVS >KVI01972 pep supercontig:CcrdV1:scaffold_92:103431:106060:1 gene:Ccrd_019733 transcript:KVI01972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHSSDKTMDARSMANGLSGNGNGYYGSRHNSYQPGPKVSLSWLDLRVFYIRISKCDINDESTSEYLTLNHVPLNRDTLLEVNGVRSSIHSDGSSTLLRRDRLDKKSEEVTFVSTDSLRTTGSLKFEVFDKDVLLVSGVLDLCHSNGVHGESSDYTGRWRMECETDMVSGNGFLNGKQNMGHEVDPPSLEVCLAGSFAGNPIILTKTLELGYRKKQTRKGLLEAIPENEAMANEEEGSSGLTYKVRASYVSILSVAEYPTYKPEDEYHSHYSGMEYIEGEDGELSWFNAGVRVGVGIGMSVCLGLGIGVGLLVRTYHGTTRNLRRGLL >KVI01984 pep supercontig:CcrdV1:scaffold_92:481168:484981:1 gene:Ccrd_019763 transcript:KVI01984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxin-2, C-terminal MAQAQPEEKLQENREKETSKKDEKREISNSPSFKLNAHAPEFVPSSHTQIPVSGYFYPCFSYLGVGGNDGSGSADWVYVGGGDQDQQVQFFSNPDVVIPNCSRNCLTEDLQQKIIKQVECQFSGLSLLANESLVKHISKDPEGYVPISVIASMKKSRTVVAENLPEDHSHQNLEKIFSVILESMFSLKFQSFKHFAEFSPTSSVKAIRICHPPEPNSSRSRGDYVFSNKLHALVEYETAEMAEKAVRFYYNIVDKLNDERNWRKGLRVRLLIRRSPKSVLKSRKSEFDELLDDESDEAYDASEESSQTNVIEVAVDNNLRTPTHNGRGVLSQSPQTSGSPYSETSSTKQSSPKGPRMPDGTRGFTMGRGKPMSPPALTTTP >KVI01983 pep supercontig:CcrdV1:scaffold_92:500897:501331:1 gene:Ccrd_019764 transcript:KVI01983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPNSFDPTQQQQPPLLPVTERQDFTSHSHHGSVGPAIGALAVIMVLAAIAVVIGRLCSGRKIMGHRQYDFEGWVETKCSSCIDGKLGPPPPPPCVAAPATVESIRSNLSSSEAPAAAAAAARGSATHGEPHEEEIHHNLREA >KVI01964 pep supercontig:CcrdV1:scaffold_92:287431:293799:1 gene:Ccrd_019747 transcript:KVI01964 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF547 MEFNSSKHKRAKSDLARKRIHEDKNPILNAPQPPKTVTEQVKICEEPHQRRVESIEVKTSLKEESSKDLIKEISLLEFEVKHLEKYLLSLYRKTFQKKEPSLSATDTKSILKEQQSSLVNSSSFMPARASTDNPPKDFGPILESQPMEDSYVNRSHSSLSYRTPPIYMAVNQAVESYHSLPLGMLEHAKDDCSSVSLAEHLGGCIPDNIPMSANRLSEEMIKCISSIYGQIADPPLFNHDFPSSPLSFPSPPSDSSPRDQFSMWSPHCEGSMEFGESYFTTVEVQEQIDPRTLKHEEKLAFWINIHNALVMHAAYNIGGHNISVGDIQNTILGCRPPHPGQWFQSLLFPSPKYKSRDVRKAYAMKHSQPLVYFALCSGSLSDPMVHKSEPSPKSFKQIRKGKSTKKIEWVAHDFGFRYLLSPDLAK >KVI01969 pep supercontig:CcrdV1:scaffold_92:421893:438302:-1 gene:Ccrd_019759 transcript:KVI01969 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MEDKYSVESAEALANEALRLPISEAVPVYEQLLATFPTAAKYWKQYVEAHMAVNNDDATKQIFSRCLLNCLQIPLWRCYINFIRKANEKKGLEGQEETRKAFDFMLGYVGSDIASGPVWTEYINFLKSLPAQTAQEESQRMIAVRKVYQKAIVTPTHHVEQHWRDYENFENSVSRALAKGLLSEYQPKYNSARAVYRERKKYVDEIDWNLLALPPSGSLKAITCVLSLLLILFMCTEELQWMAWKRFLAFEKENPQRIDTSSANKRISFTYEQCLMYLYHYPDIWHDYATWHAKSGSIDSAIKVYQRSLKALPDCALLRYAYAELEESRGAIQAAKKVYESLLGDGANATALSHIQQLYVNVQFIRFLRRTEGVEAARKYFLDARKSPNCTYHVYVAYATMAFCMDKDAKIAHNVFEAGLKRYADFLSRLNDDRNIRALFERALSSLPPEESVEVWKRFSQFEQTYGDLVSMLKVEQRRKEALARTGEEGASALQNSLQDVVSRYSFMDLWPCSSRELDHLSHKSPGQMSNSNISSKIIYPDTSRMVVYDPRQKPVPPAPNAVPKPLPPALAAFIASLPAAEGPLPDVDYVLSICLQSTIPIGEPGKLAIQSQAGPASSDVSGSSKSHLFKPRDRQHGKRKDADRQDDDDSSTVQSQPLPKDAFKIRQLRKARVGGAPTGAAAASYGSVQTASASYGSGFSGEQSGSFG >KVI01967 pep supercontig:CcrdV1:scaffold_92:362852:366124:-1 gene:Ccrd_019753 transcript:KVI01967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 MVSKKKRRDGNGSEKENDEGKVAEYEQSREQRIKENLQRMHKLGILELSRNLKPPPKPKTIRSHKPVPPVSGSPRRSSRLKTMPTVSYSEKRVPNSKDKPIKDVKIEIKKGSQPEVYTEEHEKMLGDHKETWTLYVDGYDGEGNRIYDTYEGKSCHQCRQKTAGLRTTCCKCNQGQFCGDCLFTRYAENISEVNADPNWVCPVCRDICNCSRCRRLKGWEPTGNVYRKVNLINLCSALSI >KVI01955 pep supercontig:CcrdV1:scaffold_92:358051:368450:1 gene:Ccrd_019752 transcript:KVI01955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DPH-type MSYDDVEIEDMEWNEELKSFTYPCPCGDLFQITKDELKMGEEIARCPSCSLYITVIYNMEDFSDQKSKTNIEPAKQQPVAVA >KVI01968 pep supercontig:CcrdV1:scaffold_92:410725:418134:1 gene:Ccrd_019758 transcript:KVI01968 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyl-gamma-glutamyl-phosphate reductase, active site-containing protein CAALRESISAIQETIDLCCPLNSRFGFWEQSKQATLSFVHLEIDGNEPFSGAISVFLSSGFFLFCCSDFSNVFWGCVGFFASAQARCLGSLCEFAPLPSKMSSTVALRSIFMDGGCFSRFQDEAKLLKARKMPVRCSVISAQKLDKSIRVGILGASGYTGAENNAWLLLCLQDLPDLVAVKDADFSSVEAVFCCLPHGTTQEIIKGLPTNLKIVDLSADFRLRDINDYGEWYGQPHRASELQKEAVYGLTEIYRNKIQSARLVANPGCYPTTILLPLIPLLKVLPEIEQELSDAANSRVTVSFTPTLMPMSRGMQSTINVQMAPGVSVEDLKQQLTGFYEKEEFVVVLPNDAAPHTKYVQGSNGCHINVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGIPENTGLSCMPLFP >KVI01981 pep supercontig:CcrdV1:scaffold_92:526745:529772:-1 gene:Ccrd_019766 transcript:KVI01981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MKTAFLFSVHFSLLVFVHCYGGNGYDPLETALNIQRSKRSQSAYHVSNQDSRADQNSYSPVYVGSQDGLKDFDKISALPGEPAGTDFDQYSGYVTVEPNHGRALFYYFTESPSNSSTNPLVLWLNGVANVLFLESPAGVGFSYSNTSSDYITGDIQTAKDSYTFLVNWLERFPEYKTRDFYITGESYAGHYVPELAQLILQNNKITNQTVINLKGIALGNAYVDDETENTGMFDYFWTHAIISDEIHEGIVSNCNFSEAAPSTAVCHNYVQQAYAAKSNIYFYDIYAPLCSSSSNSTPSISGFDPCTEYYILAYLNTPAVQQSLHARPVQWESCNDFILEHWQDMPFTVLPVGGYVVGYENLTFVTIRGAGHFVPSYQPARGLAFFSSFLEGKLP >KVI01963 pep supercontig:CcrdV1:scaffold_92:229107:245423:-1 gene:Ccrd_019744 transcript:KVI01963 gene_biotype:protein_coding transcript_biotype:protein_coding description:LytB protein MASLQLTPLSSVYTRSDRSLPDFRVFRCRKPLSLRCSAGEPSSSSMGVGSDFDAKVFRHNLTRSENYNRKGFGHKKETLEQMNLEYTSDIIKTLKENNYVFTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMEVKDIPIEDGSKQFDVVDKGDVVILPAFGAAVDEMLTLSNKQVQIVDTTCPWVSKATYVCDYILGGKLNGSSSTKEAFLEKFKFAVSKGFDPDKDLVKAGVANQTTMLKGETEEIGKLVERTMMQKFGERQDAMYKLVDEKIDLMLVIGGWNSSNTSHLQEIAEDRGIPSYWIDSEQRIGPGNLIAYKLMHGELVEKENWLPKGPVTIGVTSGASTPDKEVKVRVFRCRRPLSLRCSAEELSLPSMAVGFDFDAKVFRHNLTRSENYNRKGFGHKKETLELMNQEYTSEIIKTLKENNYEFTWGNVIVKLAEAYGFCWGVERAVQIAYEARKQFPDKTIWITNEIIHNPTVNKRLEEMEVKFIPIKDGSKQFDVIDKGDVVILPAFGAAVDEMLTLSNKQVQIVDTTCPWVSKVILSLFFFSVFDALVLHLLSPWSMGAESIAPIHLNQVWNTVEKHKKGDYTSIIHGKYAHEETVATASFAGRYIILKNMDEATYVCDYILGGELNGSSSTKDAFLEKFKFAVSKGFDPDKDLVKAGVANQTTMLSGETEEIGKLVERTMMQKFGVENINNHFISFNTTCNATQASSFERQDAMYKLVDEKMDLMLVIGGWNSSNTSHLQEIAEDRGIPSFWIDSEQRIGPGNLIAYKLMVKTFTLLTSFWRYYLLYFLIRYVTTFKRFNSMVNWLRKKTGCQRALSRLA >KVI01953 pep supercontig:CcrdV1:scaffold_92:406333:407249:1 gene:Ccrd_019757 transcript:KVI01953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHMHLYSQIFTLNAKLAMSGSMTHDVLRDLLGIKLDMTTYSEEEVSKLKQQLNELVMERKGWLEEIERKQAEIVAAQVLLEQLRQRDRLLTTENEIFKTEISNHKMKAIELEAEVKKLSGQQNLQQRIHHHTKIKARK >KVI01988 pep supercontig:CcrdV1:scaffold_92:307427:309788:-1 gene:Ccrd_019749 transcript:KVI01988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMRKPDKEAALKQLRVHVALFGAWVAAIRVTPYVLHYFSDSKDELVLDFYYVAIKGLLDEDETV >KVI01954 pep supercontig:CcrdV1:scaffold_92:373339:374925:-1 gene:Ccrd_019754 transcript:KVI01954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MKGLCCYLFLSLSICSFPSLSLSLNKAFAAAITRRHLGALININHRPFVFEFQIDARLNFANPRLRMAYGVFQEWKKVIFSDPGNMIANWEGTDVCSYNGVFCEKAPDDPNSMTVAGIDLNHGDIAGXLXPHXGLLTDLSXFHINSNRFCGXIPTSFSXLTILHELDXSNNRFVGPFPSVVLELPRLKYLDLRFNEFEGQLPPQLFDKDLDAIFVNDNKFSSPVPENIGNSKASVIVFSNNGFKGCIPKSIGQMTGLEEIILSNNEFSGCLPEELGLLENLTVLDFSNNQLVGTLPKGFERLKQLEKIDIGRNQLIGKVVDNVCSLPKLLNFTIADNFFDGLEPTCENPTKAEFLIDDKQNCLPKKPNQKAVEKCSPVVNRPIDCNNVGCEKQNGGRSSDEESLWRKPPQIPAPLMPSPPSPVEQPPPLLPPPTPVVPQPEPSPPPPPKVEPPPPPVESPPPPDQSPPVPVFSPPPPVLPDPTPEVEPSPGATPEVNRNFFGSFGVHFGPGFFHHFHFKHESQTKVND >KVI01976 pep supercontig:CcrdV1:scaffold_92:125509:125895:-1 gene:Ccrd_019737 transcript:KVI01976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organ specific protein MKSLSTFVFLFSLVLLARMNDARKGPEEYWRSIMKDEPMPKAIQDVLSEDSTDKENNRDRFTRDFDTKPNLIIYHSHVMYNQKDHELASSKIN >KVI01973 pep supercontig:CcrdV1:scaffold_92:108726:111545:-1 gene:Ccrd_019734 transcript:KVI01973 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MIGGGGGGGGGGGNGGNGGSKKRHRVGSNSRLSSTAALIDSSRTDGTLIEASHKPLPTSSIRRSNSRHSILPLPSPVSNGFNDPATADVILRLYLDQSSPFDSDDSETVSAVDSTSEFQIYLHSSVLQRSKYFSALLSDRWKKDSGEIDYYRFNLAVPSSAGSINNHVTVLQLLYTNEISSTIDSASTALELLPIALELLFEDCVTACVRYLEAVPWTEEEEKTVLSLIPLLRDEESSELLARVSPPKSDLSEEMLHGLILTAIHNHPNMAFAKAFVAKLLRDFSSRESARRVLDKSFETSLKVVKESLEEYSSPDFRGDHNETEAIQRLNLHTAMTNGRHLLWLIERMIELRVADTAVKEWSEQSAFTADLQRAFRDDAWRNIVPGLPAVVLRCTCKLANAVAAGVILASRQVRMKLVKDWLPVLIVCKDNGTPMLTNHKSLYLELEERFLKIILTLPMSEAQELLQQCLSFSTRNVEDCPHLVSAFTTWFRRANRQLPGDQNQLPES >KVI01982 pep supercontig:CcrdV1:scaffold_92:510707:513048:1 gene:Ccrd_019765 transcript:KVI01982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGGDSWGREAQYRKRRVDDLLLDTADHSSYRKLPTGKYACLVCPHNPVLDTPLMLSMHMKASRHCAAERKLKQREEERQNDMNKRIALSLESTSMTRTGNTSTKQTNLAAKPLIERTRKATLEVLNGYPERGAANNSQSRWPIPERSSDLTNSTSVQMEGSGKDVVQLLSDHRERRERELRFTSAGWKRDCHGGWFRDENVEFDSDEEDPNVSLAIGE >KVI01979 pep supercontig:CcrdV1:scaffold_92:127558:139112:-1 gene:Ccrd_019739 transcript:KVI01979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MAGERSKLPLWSSHILHTSAHLYAVLFASISPSPDRGEGPSSSLHSEVQLKRAKIPYTMDVARNFQETFGRITPHDSRVFLLLWHALHLILRVLYIAREILSTVESYLITNGLLKAYDHLNLDRVKYLGVVIDSDEARQTSEVIELLEWLSAIGIKKVCLYDREGVLKKSKEVIVERFSSAKLYNVWPMRSMISSCYYEHNVSLLTVFSCPLMQDDSVTDPIDSKKQMDFEFVSISDGKEAVAKAANVLFKKYYLDGDTEKPFFTETYLNDALKALGAVEPDPDLLLIYGPARCHLGFPAWRIRYTEMTTTIQGYPLEGNGTPESCQAGLRAPGMPRGQDPTVVSMALACGDSLENLKKSRRYGSFDDEKWIESASSRESSR >KVI01970 pep supercontig:CcrdV1:scaffold_92:55979:56227:-1 gene:Ccrd_019731 transcript:KVI01970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MASSSMSSRGSGSWTAKQNKDFEEALAVFDKDTPDRWHNIAKAVGDKTAEEVKRHYEILLEDLKIIESGQVPFPNYTTTKGA >KVI01978 pep supercontig:CcrdV1:scaffold_92:127096:128140:1 gene:Ccrd_019738 transcript:KVI01978 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein MLYCFSSAQKIIEENGGAHRELDPALNVFFRVNDLYLGKKMMIYFAGNDNFAHTRLLSRDEADSIHFSSSKLPYLLDFFKFSKESPQAKAMETTLKQCELKSKGGEIKFCATSLESMLDMARGVLGVVKPKVLTTKILNSNHTLFQRYTFMEKPMEIYAPKMMACHTMAYPYLVYYCHGQKGHFNRVFKIALRGENGERVEGVGICHMDTSTWDPDHVAFQVLGGQPGSSPVCHFLPVDNLVWLSST >KVI01960 pep supercontig:CcrdV1:scaffold_92:157062:178663:1 gene:Ccrd_019740 transcript:KVI01960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MEALTELCDLIAQNPSQFLDKIAWICNRCPPSDSLLSGSPRISRSQLNAVLVTARFISKCGNYNDTRPRTIVLEFIRAVPASFDQSFWPKSFGNASIASFYAEFFGYVCKATELHPDFDSDVARFMGDIVFAAVNDRCGDVGISKAFLSALSESFPPIIPSDANKLVSSLLDGLEFALPGSSSPKGIMGSNSSSQSSPISVSNVAASSSSSGGIDDANSKALVTNGGSSSAWTGMGTPTASDRRGVAYFEEELVENLEKQEIAFKLIGHILDRSQIDPKLLERVHVITKDQLKSISDFLKIRKREWTEQGSLLKARINTKLSVYKAAVKLKIRGLASLDSDGKSSKKLLHGALALLVEAAEACVYSVWRKLRICEDLFSYLLDGISKIAVTRGGHLLRVLFIRFKPLVLTTCAQADTWASSQGAMFESVLKASCEIIEYGWTKDRAPVDTFIMGLATSIRERSDYEEEDAKEKQAVPIVQLNVIRLLAELNVQVKKTEVVDTILPLFIESLEEGDASIPGLLRLRLLDAVSRIASLGFEKSYREAVVLMIRSYLSKLSSIGSAESKTLPAEANTERVETLPAGFQSIARGLTNGKLRVDFRHRLLSLCSDVGLAAESKSGSSGADFLGPLLPAVAEICSDFDPTMDVEPSLLKLFRNLWFYIALFGLAPPVLKSPTSVKLNSTTLNNGGNTSAVALQAVGGPYMWNPQWSSAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVSQRTALSASLGGRVEVGAMSAISGVKATYLLAVAFLEIIRFSSNGGILNCGPGSTASRSAFSCVFEYLKSPNLMPAVFQCLMAIVHRSFETALTWLESQICETGDAAEVRESTLAVHACFLIKSLSLREEHIRDVSINLLSQLRERFPQILWKSSCLDSLLFSVNNDPPSALVSDPALIASVRSLYQKVVREWIIISLSYAPCTSQGLLQEQLCKANTWQKAQPTTDVVSLLSEIRIGTGKSECWTGTKTANIPAVMASAAAASGGNLKLTEAFNIEVLSTAIVSATVKCNHAGEIAGMTRLYENMESADDDSEIAPSTPAPGGLSRLTSGSFLQPSQPKKQSFGEILLNKFVRLLQKFVSTAEKGGGVDKSSFRETCSQATALLLSNLASDTKPSADSFSQLLRLLCWCPAYISTPDAMETGVFIWTWLVSAAPQLGSVVLAELVDAWLWTIDTKHGLFASEVRFFGPASKLRPQLAPGEPEAPPEKDPVQEILAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTKFPWRFSRHPAATGTFFTVMLLGLKLCSCQYQGNLQKFRLGLQLLEDRIYRASLGWFCHQPEWYETNNGNFAHSEAQSVHAFVHFLLNQRMNVPQNDSKGQGQENGSALLSMKDSYHPVWGSMENNAVGREKRKQLLLMLCQHEAERLDVWAQPIGSKESTSSRHKINSEKWIEHARTAFAIDPRIAFSLGARFPTNSSLKSELTHLVQSHILEIRTIPEALPYFVTPKAVDEDSPLLQQLTHWAACSITQALEYFTPAYKGHPRVMAYILRVLESYPPSRVTFFMPQLIQALRYDDEKLVEGYLIRAAQRSDVFSHILIWHLQGETCAPEQGKEAVSAKVQPFIQFYPTTAFLGLLPVVREHIIDGFSPKARDIFRREFDFFEKVTSISGVLYPLPKEERRAGIRRELEKIQVDGADLYLPTAPNKLVRGIQVNSGIPLQSAAKVPIMITFDVADRYGDPNDIKPQACIFKVGDDCRQDVLALQVISLLKDIFEAVGLDLYLYPYGVLPTDPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDFGAVGSPNFEAARHNFIISSAGYAVASLLLQPKDRHNGNLLFDNVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGAMKSDTWFLFVSLCVKGYLAARRYMDGIINTVLMMVESGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRICVDAYDKWSTAGYDLIQYLQQGIEK >KVI01971 pep supercontig:CcrdV1:scaffold_92:73580:82934:-1 gene:Ccrd_019732 transcript:KVI01971 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S binding domain-containing protein MAAILARKSISAIRYRQLALAGQVLQGPNTVSGARSFATKHSFSTDKDDEEREKLAREISKDWSSVFERSINTLFLTELVRGLSLTLKYFFEPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >KVI01974 pep supercontig:CcrdV1:scaffold_92:115441:119123:-1 gene:Ccrd_019735 transcript:KVI01974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MPKQKAPPPPPPPPPPPPPPPPPPPPPPPPPPSSRHHKHPHHKPNNVNDTSNDLLIAAGAIAAAGLLFFIMILCVLACIKRKKRRRHEEMYYYKDNANENDNGYYASQKNAWNQSQPPNDIHLKMPPPPGSGPASSEHEWVAAPPPPPPPMSGSGEFSSANFSGPYQAPLPPPHPAVALGFNKSTFSYDELAAATRGFDRSLLLGQGGFGYVYKGILPNGKEIAVKSLKSTSGQGEREFQAEVEIISRVHHRHLVSLVGYCLAGQQKLLVYEFIPNNSLEYHLYGMIWTHNWGGCASYGLLNKKANIVIDYTEINGAKMLLMDQVADFGLAKLSSDTNTHVSTRVMGTFGGKLTEKSDVFSYGVVLLELITGRRPMESVSDDDTLIDWARPILNQAAEGGSYEELVDPRLGNNYDREEMYRMVVCASACLRHSARRRPRMSQ >KVI01961 pep supercontig:CcrdV1:scaffold_92:190551:213929:1 gene:Ccrd_019742 transcript:KVI01961 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein beta WD-40 repeat-containing protein MDIDFSEYRLRCQLRGHDDDVRGICVCDNIGIATSSRDRTIRFWSLDQTNNSAYTSSKILLGHTSFVGPLAWVSPNEQFSEGALISGGMDTLVLVWDLATGEKVQTLKGHKMQVTGITLDGSDIVSSSVDCVVITLRRWKGEHQIEVWEAHKAPIQAVRTLPSGELITGSSDTTIKLWKGSKCAHTFAGHTDTVRGLAIMPGLGILSASHDGLWALTGQVLMEMVGHTSIVYSVDAHKSGLVISGSEDGSAKIWKDGVCVQSIEHPGCVWDVKFLENGDIVTACSDGVARVWTVHQDKIADTAELEAYTSLISQHKLSSKRVGGLKLEELPGLEALQVPGTKDGQTMVVREGDNGVAYAWNMKEQMWDKIGEVVDGPDSGMKRSVLNGVQYDYVFDVDIGDGEPIRKLPYNRSDNAYDTADKWLLEENLPLSYRQQVVEFILQNSGQKDFILDSSFRDPYTGCKYFIRSKDCLFQASAYVPGQPSKSAQFDGILKKITEFNNALRTDPDQQSLLLSEAEMLRLNAIAKILKDTSHYHTSRFSDEDISLLLKLLKSWPVSMMFPVIDVLRMVILHPDGSAVLKHANDENDIFMELIQKVTSSALAPNFLTSIRAVVNLFKNSGYHLWLQNRRVQIFDAFASCYSSTNKNVQVSYSTLVLNYAVLLIEKKDEEGQAHVLSAALEIAEGESLEVDAKFRALVAIGSLMLEGLVKKIALDFDVGSIAKVAKASKDSKMIGIASSCKEFDSINTCSQEN >KVI01980 pep supercontig:CcrdV1:scaffold_92:536653:537567:1 gene:Ccrd_019767 transcript:KVI01980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase fold-3 MASATKVVHEFPFFFKVYEDGRIERFLTTPRLPPSTDPTTGVQSKDVVISSDLEIKSRIFLPKLNPEDPPKKLPLIIYVHGGGFCIGSPFNVITQGFLTPLVSQIPAVVIAVGYRLAPEHPLPTAYDDCWATFQWIDSHATGSGPDPWINEYVDTTRVFLMGESAGANLAQYLAVQAGVNKTRLGIRGLLAIHPYFSQKEPDKLIQYLYPTSSGSDDEAKLNPRSDPDLEKMGCSNVLIVVAEKDFLRPRGMDYMEALKKSKWEGKVEFMENEGEGHCFHLFNPSSEKTKALVQDLISHVNKLL >KVI01962 pep supercontig:CcrdV1:scaffold_92:254657:262033:-1 gene:Ccrd_019745 transcript:KVI01962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MEVEDCMSSGGEDYYCSDRDSLDDALENEDSDSQWAPPKGSSSKFTLWVVTGEMYGDRSSVITKESLLAAQREDLRRVMELLSLREHHARTLLIHYRWDVEKIFAVLVEKGKIRLFTEAGWTEHFVVKINEGQSRRIRCMAHKCFAICDEAIIRNLVSKRHPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRVEEDEFCEVECSCGNQFCFSCLCEAHSPCSCAMWALWTKKCRDESETVNWITVHTKPCPNWLCGGATGRDHTWSNITGHSCGRYKEDREKKSERAKRDLYRYMHYHNRYKAHTDSFKQESRLKETIRDKVAVLESKDSQLRDFSWVTNGLYRLFRSRRSLSYSYPFAFYMFGDELFNDEMTKEDLEIKQHLFEDQQQQLETNVEKLSKFIEEPFDQYPDDRIMDIRMQTDLLGSLQFGIHNIAPYYSKGIEKATELT >KVI01989 pep supercontig:CcrdV1:scaffold_92:297532:302924:1 gene:Ccrd_019748 transcript:KVI01989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDGIRLVLARASELRSKITNCIHNSSSTEGIKQDTETEDKEDDDDDDESLLNIRDALESLEAQLSSLQALQQQQLYEKEAFLAEIDYSRKRLLQKLKEYKGGDDLEVIQEATAFASSTVEIENNDLLLPPYPRPPSNSLASDSHFPFKHKISQNGVTASHSANELKGRSHQSEPRGSIKGWRQVIGAAAKTVFTLVGFIAVLSLSGFEPRIGKNDWGMSQEHQVNEDKRGMNIVGCPPGKVLVVEDGETRCLVKERVEIPFVSIATSPDVNYGCGWEKAFKEDQDALFVGSCNVTMNARVS >KVI01959 pep supercontig:CcrdV1:scaffold_92:219369:221861:1 gene:Ccrd_019743 transcript:KVI01959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDGAFQESASPSCSVDNNYCTGVFECNICFDLAQDPIVTLCGHLYCWPCLYKWLQFHSHSHECPVCKSLVKDDNLVPIYGRGTSNSDLRACSLSRDKIPSRPASHRPQTAPAPQLSYFRQDGLGAQGGLVPMATARLGNLTRTALFGAIPSLLNFQVHGFHDATTYGPTIGVPYLFSSYGHGGYAHGFHHYPIHGQGANSVFQKICFLFLGLLVVVMLISSSPF >KVI01986 pep supercontig:CcrdV1:scaffold_92:446810:450339:-1 gene:Ccrd_019761 transcript:KVI01986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MDRSPLTMGPGMDLPIMHDSDRYELVRDIGAGNFGVARLMRDKQTNELVAVKYIERGEKARFFFQQLVSGVGYCHNMQVCHRDLKLENTLLDGSQAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIQRILNVQYSIPAYVHISTGCRHLISRIFVADPAKRITMDEIRNHEWFMRNLPRDLMKENAMDQFGGPDQPSQSIDEIMQIIAEATIPPAGAHNLNQYLTGSLDIDDDMDEDLESDPDLDIDSSGEIVYAM >KVI01965 pep supercontig:CcrdV1:scaffold_92:271517:274412:1 gene:Ccrd_019746 transcript:KVI01965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVSIPNISDEEKGQETNDDYVRRTRSKFRRIHNKLGHRHRTRNNWIFHGVVRKLRKWWTIPLVLLSAGLFVYEASTIWKKFKEPPGSERNTVPTTRIVHGVRERCLKLSPPEYIKTLPSSFEKSASPVKEIVYITGINSSFSGEKTTLLQQPFEVSRFNRFTGFQTFYERNESFKVDEIASVHCGFYSENGGFKVSDEDKSYMETCQIVVSTCTFGGGYDLYQPIGMSKETIRKVCYAAFWDEFTLKTKELEGSHIDNDHMIGKWRIIVVRELPFVDQRMNSKIPKMLGHRLFPRARYSIWVDSKSQLHRDPVAVLEALLWRTKSVLAISEHGARSSVYDEALTIVQKNKEKTEEVDMQITQYRMDGFPEVKRFLPIAALAEASIIVRDHTQLTNMFMCAWFNEVVRFTAHDQLSFPYVMWRMGMLKDINMYPVCTRRDLVNTTGHKRQEKPNTDGDV >KVI01956 pep supercontig:CcrdV1:scaffold_92:318012:323522:1 gene:Ccrd_019750 transcript:KVI01956 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit, helical insertion MASSMETSQSSSSLLKSSLRSSIRVSFNLELVYRVYIQAVRSYVGEMGSIIRSIFPIRSSKEDDDEYNVEYSFAIEYTGPPVSYDIPRAVPVDVVSIPLASVVSVSSSGDLSLPIIHPVVKRDPKLVLSEINESRLGSDLIGADAHSPSSPEGDRSSISLGFSDSHENSQEFSMSSDGDRLDNYCHEIVELYDASEENRPHEVFSSYDNRTQIVTFNEPESDAMVQEESSFDDPGMVIQERPHASSHVKKGLCHRCLKGNWFTFKEFCIVCDAKYCSNCVLRVMGSMPEGRKCITCIGYPIDESKREHLGKCSKVLKQLLSDFQVKQIMKFEESCHINQLPYWLLTVNGKQLSLEEAVQLRTCAYPPKSLRPGSYWYDKLSGFWGKEGHKPCQIISPQLSVGAPIKENASNGTTKVKINNRVITKVELLMLKFAGINCEGSPSFWVSHDGSYQEEGQNQVNAKIWEMSGIEAICALLSLPVPSKSFISYAEDMVNNGGKLGQENIDTKSLTKLLLVGQDQSGTSAIYKQAKILYNVPFSEDERQKAKSLIQSNLYLYISILLEGNLELVFPATTREYSPVVEELWNNKAFQATYARRTELHALPIAVEISRPTYEPSDVDILYADGISSSNGLTSMEFSVPSLLPDIFMEATEQTNLLQRYQLIRVNSSSLGDNCKWLTMFEDIDLILYCVDLTSYNEFYTDNNLIVKNKMLESKNIFENIITHPRFKDKAFLLILNKFDLLEKKIKTDPLSQCEWFHDFNPFVTSQAFSSDVSSRNKATLMAQYGFQYIGAKFKRMFSELTGRKLYVSPVTGLEVDSVRAALRYGKEVLRWVDEENKPKSSTNEWSSESIETNS >KVH88455 pep supercontig:CcrdV1:scaffold_920:161339:162919:1 gene:Ccrd_026795 transcript:KVH88455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MYKRIIMINKSHFFRNFSSLPNLKSCITILQSSARNTNLQQGKKLHTYMLINGFLTSPISITSLINMYSKCNAISDAFLVFSSSPSNCELNIFIYNAIIAGFIFNDMPNLALRVYEKMRMSGVMMDKFTFPCVVKAFSGCGDVEGFKIVHGLVLKSGVDHDLFVGSAIVHGYLKSGLMADAQKVFNKMPDRDVVLWNAMINGYAQIGEFHNALECLQRLRAEENVPSRFTMTGILSVLTLKEDLHNGMAVHGLVTKLGYFSGIAVCNALIDMYGKCKSFPDALDIFELMPIKDIYSWNSIIGVHQQYGDHEGTLKLFHRMLRDGVLRPDIVTVTTILPACSHLAALRHGKEIHGYMITKGLGKDGDDTYINNAVMDMYDKCGSMREAQLVFDHMIIKDSASWNIMIMGYAMHGFGHEALNVFCKMCDANLMPDEVTFVGVLSACNHGGLVKEGQEFLSQMQSRYNIVPTVEHYTCVIDMLGRAGLLEQAYGLLSEMPIKTNSVVWRAFLAACHLHGDANLAKIAARX >KVH88459 pep supercontig:CcrdV1:scaffold_920:144756:147805:-1 gene:Ccrd_026793 transcript:KVH88459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptojanin, N-terminal DDIGGHCCFQTENNDADSFKXVISHGSSGVSGDFSFKPSKFQTGVLRTNCIDCLDRTNVAQYAYGWAAFGHSPVIELDSALADDLMRIYEKMGDTLALQYGGSAAHNKVMHYVKTITEIERLTEIQMLIGNTIIFCQRRGQWKAATQSQEFFRALQRYYSNAYMDAEKQDAINVLPSIRYCVLCDSKLSQSLSRFLGHYQPEIGKPALWELDSDQHYDVGSRGSNFFMEKARYDAVAAFCLLILKKDEESEQQPYPDKAQSNTKALSESSPEISCESDSSYPRSKLLNCPKTKR >KVH88457 pep supercontig:CcrdV1:scaffold_920:119384:129164:1 gene:Ccrd_026791 transcript:KVH88457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MVKLTMIARVTDGLPLAEGLDDGRDMQDAEFYKQQVKALFKNLSRGQNEASRMSVETGPYIFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLKNEFERGYASQIETAARPYAFIKFDTFIQRTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQEVTGLDPEMGSCCNCPWSSYPTLLGQKEDMVMIASFKPSMGDEPVNVKEYQELARQALPKMYYDFFAGGSEDQHTLRQNVEAFHQITLRPRILVDVSKIDMSTTILGYKTSVPIMIAPTAMHKLAHPQGREVLTAKAAAACNVIMALSFMSTCTIEEVASSCNAVFFFQLYIYKRRDISTLMVKRAESNGFKAILLTXDTPKLGRREADIKNKMIAPQLKNFEGLLSTKVDDVIEIALFLLVLFWAPYTSINFTDGVYVFCRMRVQIWKLLHPGAXILLFPGRYKPSPCSKGPITIVGIRICEDIAWLKSITKLPIVIKGILTREDAIKAVEVGVDGIIVSNHGARQLDYVPATIAVLEEVIIYMCVCVCAPTSPKTKEID >KVH88458 pep supercontig:CcrdV1:scaffold_920:130425:141153:-1 gene:Ccrd_026792 transcript:KVH88458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MVLATQRSGTVTDKVSAFSVLVGDNPIANIRSIDALLGMVTSKVGKRYAFTGFEALKEMFISSLLPDRKLRTLFQQPLNYLPDSKDGNSLLLFWHWEECLKQRYERYIFALEEASRDVLATLKDKALKTIYALLRSKSEQERRLLSALVNKLGDPENKAASNADYLLSKLLSDHPNMKAVVVDEVDNFLFRPHLVLRAKYHGIRLSHVGDGPKVAKRLVDVYFALFKVLISESGGLDKEKKSKEELKKAYSSSKDKDVKSAADSHVEMDSRLLSALLTGVNRAFPFVASNEADDIVVAQTPMLFQLVHSKNFNVGVQALMLLDKISSKNQIVSDRFYRALYSKLLLPSAMNTSKASELEEMFIGLLLRAMKNDLNLKRVSAFAKRLLQVSLQQPPQYACACIFLLSEVLKSRPPLWRNELADEEVEHFEDIVEEPDIQASTAADKPFNSGEAVHCSDGIDNDDDPSEDEGGSPAPSSDDEYSDKGDGDLLGFGGLTTLGESETTSEPRVQNAKSSLPRGYNPRHREPIYRNADRVGWWELMVLASHVHPSVSTMAKTLLSGAXIVYNGNPLNDLSLGAFLDKFMEKKPKGRNWHGGSEIEPAKQVDMSKPLIGAEILQLDEMDVAPEDVVFHRFYMNKMNSSKKPKKKKKKGVEEEAAEDLIGEGEEDESDDEEIEAILDSSNPALDTD >KVH88453 pep supercontig:CcrdV1:scaffold_920:47756:54908:-1 gene:Ccrd_026786 transcript:KVH88453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MQHQHQRLKQQQQQALMQQALLQQQSLYHPGLLAPPQAHPVLLALEFLFGYGTGKLAVLVPAVEEIPCWEFSNWHCYSQFCIEFDHFITPLDILAIFWSFSFFHVFILQIEPIPSGNLPPGFDPNTCRSVYVGNIHMQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDAMLYACFSVYASCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKSLKVSVLWKWLGSRQIRCNWATKGAGTSDEKQASDSKSVVELTNGSSEDGKEPANGDAPENNPQYTTVYVGNLAPEVTQLELHRHFHSLGAGIIEEVRVQPDKGFGFVRYNNHGEAALAIQMGNTQSILYGKQIKCSWGSKPTPPGTSSNPLPPPVPAPMLSAGDLLAYERQLAISKMGGIHGLMQHPPPQGQHPLKQATMGMGMGMGMGIGAAAGVSQAIYDGGFQNVAAAQQLMYYQ >KVH88456 pep supercontig:CcrdV1:scaffold_920:109259:116423:1 gene:Ccrd_026790 transcript:KVH88456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDSPLDYAAFQLSPKHSRCELFVSSNGNTEKLASGLVKPFMTHMKVVDEQVAKSVQSIKLEVDKCKNADSWFTKGTLERFVRFVSTPEIVELVITFDAEMSQLEAARRIYSQACTSEPCVIIHIRKELLRAIDVRLTAVKQDLNTACDRAAAAGFNHDVVADLQLFAERFGASRLNEACCKYISLYNKRPELFNNSPKSSFGDQAIRCSYSSDMSIDDDPPTITTEQSSSATAEQSAKPPNTSQPPKSSSSTSLPLRPSSTPATWELTTGKDEIVSENQIVKKEEVGSGVGDEELMAQTATQPSSRRLSVQDRINLFENKQKEVGSGNGGKPAIVKPELRRLSSEVSHSNSAAPPATLKRWSGASDMSIDLSGEKKETDSSLKLPVNKIPTSAKTEVQKNQPDLNDRLSGSSCRGEDMPITKVEKSSGSQLTFSSSVRPEEPVGSNQSTSTLEMMQSWSSLIKSDDDSLEAQLKPHSQIKSFHGGNQDRFGVSNQEEFSSSIVGTSQHGADAGPLESDSKQMTLSAPSKNAADSKYQRGGSGSKFREAVAASQHRGLEIDLLCSQPRCNYLAESEEVDGKGYAPTKKQFGKSGVQKILVQKNVRRDESIYVDGYSNTLPSGKFVEGSGGSMPLEPLVEQAHRSKQLKGNQGLNDELKMKACELEKLFTEHKLRGPGDQPNYARRSKPFDDGTDQATSLPYRKQVAEAVPIQVSVFNSSIPVAEVDGQNHRDALHRSFSEVILSDDSRGKFYESYMKKREERLKEQWGSNKAEKEVKMKAMHDILERSSAEMKAKLSWSADRQDSIFSAHRRTERLRSFNARSAMKREQPLDFGQLEDDEDLSEFSELKLISNGVSRNVQGKNPLPIKNSSTSTPRTPAPRSGGTKVASSSGRRKVQSDNPLVQSVPNLLALRKENTKPYSVVSKAAARSQLRNYARSRSTSEEMPSVKEEKQGRCQSLRKNSPTPPESSECVILTPLKFESEGVHMESKSFVRKNNGIGGNIIAKMKWSVVPEAMKNEEEYDPDVVEDDDNQELDTMKIQDEAVVVDGMINSKSGHGELPATAFPVQDSPGESPMSWNSGIHRHFEVPDNVESWNLNPTEDARMRKKWGSTQKHILVANTCGVQSRKDMTKGFKRLLKFGRKSNNMADWISATTSEGDDDTEDGRDRSSDDLRKSRMGGLPFEGSSSFNESSDFFSDQVWLTKFVSDDSPNTSSKLQTEGGSSFWKLNQ >KVH88454 pep supercontig:CcrdV1:scaffold_920:159646:160563:1 gene:Ccrd_026794 transcript:KVH88454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLDRPNGPKLKIHFEHLEVVPAYRSLLIRSSIQKSFQHTEDSSPFERDREREREQPWGRGINDNHEKRGRKCINGEEKEPHHLSKKEKETIPQREREREYEKMKMGRSYVW >KVH88450 pep supercontig:CcrdV1:scaffold_920:100093:107463:1 gene:Ccrd_026789 transcript:KVH88450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor Spt20 MSYSNNKVAKDRNGAAGVRNGATVPIHHHPHPSHISDPFLLLHYAPSSSASSPFSFLFLTANNYKRNGKVRNATVEVQNGVVVMSYSNKKVAKVRNGAVGVRNAAAVPSDNGDKITDHDSDDQVRDYRNCASEPGVNGSSADASPSTKKIRLKMSLENVVKDIPFISDSSWTYGDLMEAEARILRALQPKLNLDPTPNLDRLCRSPTSSKLNLNIPDLRRKRLRQMLGAAAGSDNQVHGKKVCMDRIPENSNCRLGDSGQMVQQPDIVNLTTQNVGPSNMLASGTRTYSADISVPASPSLSYPSKYQIGPGNPRLMQEHGSGPVFNAPGASPGQDMMNSYADSMNSNISSAHGKRENQDAQLSPMASLSKRARSNSIGLDGSQQQQIAASHMDGFNASDSQWKNSLMQQQSVGRGIQLPNAVIQKYPQQLFEGSFSQEAGGLPFTMGQPGVRYNLKHEPVETERPDKFELNRNKYEMHMVDSDVNHVDPQQSRLQQRLPQQFPRSNFPPTPWNNLGQSLDNSRKEEQLQRRKSAQSPRVSAGALPQSPLSSKSGEFSSGSLGGQYGVAATTATLGSSQREKSAVTSVPAVGTGSLTSSANDSMQRQHQAQMAARRSNSLPKTPAMSGVGSPASVGNMSGPFNASSPLVGKEADKSMRDKFSKIEMLTVRYQLNCKKNKVDEYRKTTAFPTQQLNHYLFNDHNNDNPKDEACKMPLSKSLVGGSMNACKTRVLHFVQTERVPQGNGFSLVPKSRTRMILSERRDDGTVAMHYGELDECDYLAAEECLPTLPNTHVADLLAAQFCSLISREGYHVEGDRLQPKPTNTVCSSGDQHNNAFGVSLNAEMQQIPEAISGQPSNEVVKPSDSGTNNASVNSSQNMPGVRMHPPSNSQISQGGLLPGVSMPPSRPLQSESQSSFQQQQNQHSLMQQQLQRSSAMMLASNPLSHLNAMGQNSNMQQLGHMLNKASPLQLQILQQQQQQQPQMQRKMMMGLGNVGMGGSTGNNMAGIQGMGNVMGMGGARTGTGISPPMTGPINSMGRNPININQASSISNLISQQLRSGLITPAQAAIMTTKLRMAESRMGGAGGQSSNVGALPGANRQQQVGSPSIGLPQQQASPQQQMSQRTPMSPQLSSGAIHPPMSAEGNCPASPQLSSQTMGSVSSMTNSPMELQGVNKSS >KVH88451 pep supercontig:CcrdV1:scaffold_920:80812:81745:-1 gene:Ccrd_026788 transcript:KVH88451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb/SANT-like domain-containing protein MADRRWWTTDEEDLLITILQDIVINRGRGDNGRFRSGTYEAVVSKMREKIPGISLTAKHVQNKIKRLKDKYYAAYDMLNTSGFGWNDANQCMTVEAPEILEEYLKKHPNKNYTANKPFPAYERLKLVFGKDRATGNMAESATDALHNMNMEDNEDFSTEFGVPPFPSPSDPTSPSFIPPSEGEETSKKRKRTTELSKMVEATKNSIDEATTQMKMIYSVISDSTLAMDGLREELRGLGLGVIEIIQMGKYFADKPSQYRFWKSLDDYMKIEFVKSIYDEIK >KVH88452 pep supercontig:CcrdV1:scaffold_920:59922:60441:-1 gene:Ccrd_026787 transcript:KVH88452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLAIVYGAIMKAGKVGNKVAAFYISKLKGKINYCENPSLISFSLPKTHKLNSRFLYFKSHVFDLLIKKQYEKHEFLCIRLMVLSYFHGLFML >KVH93294 pep supercontig:CcrdV1:scaffold_9201:5872:6309:1 gene:Ccrd_004656 transcript:KVH93294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-type fold MAAPPPNSVQCFGRKKTAVAVTHCKAGRGLIKINGVPIELVQPEILRYKAFEPILLLGRHKFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKFVDEEQKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KVH99258 pep supercontig:CcrdV1:scaffold_921:8074:14637:1 gene:Ccrd_022522 transcript:KVH99258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MSTDLKSKFLEVYNTLKAELLNDPAFEFDDDSRQWVEKMLDYNVPGGKLNRGLSVIDSYKLLKGGELADDEIFLASALGWCIEWLQAYFLVLDDIMDESHTRRGQPCWFRLPKVGMIAANDGIILRNHIPRILKKHFRGKPYYVDLVDLFNEGLYILLRYLKSNLHPVLEQVEFQTASGQMIDLITTLVGEKDLSKYSLSIHRRIVQYKTAYYSFYLPVACALLMFGEDLDNHVAVKDVLVEMGTYFQDDYLDCFGAPEVIGKIGTDIEDFKCSWLVVKALELADEEQKKVLHENYGKKDPASVAKVKELYNALNLQGVFEEYENTSYTKLITSIEAHPSKAVQAVLKSFLGKIYKRQK >KVH99256 pep supercontig:CcrdV1:scaffold_921:153064:153540:-1 gene:Ccrd_022510 transcript:KVH99256 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAGTLTTSAGATSFRFLNGDCFSTATSIGSNSRVYIGVRNVPKASLHAVVEEPVMESTKMKPRSLYDVLRVKRNATPSEIKSAYRSLAKRYHPDASDSKQNDDRDFIDIHNAYATLSDPSTRALYDLKLSTGLERRSGGLGRSSEFYSCRRWETDQCW >KVH99253 pep supercontig:CcrdV1:scaffold_921:99965:100825:-1 gene:Ccrd_022515 transcript:KVH99253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFSQPSSLPPEPPEPPEPPSVYCTPSPQSKATTPSPVTRILMSRQQSSAKPSPLTKLVSRQPSSTASPYPLPPPEYPYYEHITEKTRDRILLRRHRHTNPAIWCSAIICLIFSLLIIFFGIATLILFLVVKPKTPVLDTNHASLNVIYFDAPGHFNGDFTFIANFSNPNKKLDVRFEHAVMELYFENNLIATQSIQPFSQRRKETGVVAIHFISSLVYLPPNQSMELQKQVLSNKVLYSVRGTFRVRASLGSVHFSYWLHGRCELQMGSPPSGSLMARTCKTKR >KVH99259 pep supercontig:CcrdV1:scaffold_921:15705:25474:1 gene:Ccrd_022521 transcript:KVH99259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSQCLEGIKHLCASLLHCCDLELYKQSRGLEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLK >KVH99251 pep supercontig:CcrdV1:scaffold_921:61732:70584:-1 gene:Ccrd_022517 transcript:KVH99251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MDHGAAEVLPTEPSEEHFCLSLRNGLILCNVLNKLNPGAIPKVVEIPIIDTEGAAQTAIQYFENTRNFLVAVGQMKLLTFEVSDLEKGGSSGKVVDCILCLKGYYEWRKSGGIGVWKYGGTVRITSFPKGSPSSLIGSESADESLDESESSQFEELLEYLHLSSEVSLEESKVSTAFTFLFDRLGIGLLQTYLTETNELDDFPLNSMVIDIVLRKAVKDLSALLISQGSQLGIFLKNMLKGNCKPLLKHEFLQAISIYIDQRSGLASNDLSQFCICGGKGKGMRHGISYSADQTNVLDLQQKQLEELKASFHLTKLEVQQAHLTWEQELKRIASSSYHKVLEENRQLYNQVQDLKGAIRVFCRVKPVLHGKSDEQSTVDYVGENGNIMIVNRHKQGKDARKMFTFNKVFGGNTTQQQIYVDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDMTTEDTWGVNYRALRDLFQLSEARMDVIKYEVGVQMIEIYNEQVRDLLLNGLNVPDASLVSVKCTQDVLDLMRIGQRNRAVGATALNERSSRSHSVLTVHIRGKELVSGSTLKGCLHLVDLAGSERVDKSEAIGERLKEAQHINRSLSALGDTNAIGETISTLKFAERVASIELGAARSNKETGEIREMKEEISNLKLLVEKKEAELEQLRSGMTRGAISPVHMPRHNLTNNLRPEANQRHVDDNKLPEFTEKDYAPKMPLLAEEGSPSPPIRRSISTDRGVHTRGRTKPDTPDNPPITKLQYPIRASVNRSLATLPILPSTDNKRGYLSSQDTVSESLHNLPKANNKKANQEQEEEQFKQMLNVRQGGIGKLKLEHNQVKAKSQLPVKIHKSDLLRTVFSDVEVGETIEEGQRSDLLEAENEHASTKSHVPIGTKKLQRSSSRILCIA >KVH99249 pep supercontig:CcrdV1:scaffold_921:34839:37005:1 gene:Ccrd_022519 transcript:KVH99249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, FKBP-type MFPRRRVLHSFGFIPILLNNPPLAEALVTEPEVIRTEKLDSGVRIQDIIDGEGREACEGDLVEVNYVCRRANGYFVHSTVDQFSGESSPVILPLNEKEVSGHLNIMQHELVCLYS >KVH99250 pep supercontig:CcrdV1:scaffold_921:86709:96021:1 gene:Ccrd_022516 transcript:KVH99250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase MCPFAIHQTGLLLFFHSHPPLLYPIYKIKIAFETDQVKIKKVPSILNLGNPSRAYKNLVDMICFNFWCSSLQMGSNPVEDLIEASSGVHFSGLHRDGIETRNTQVEQASTSMTGKPHKQPFLHDQRVVLVNQVPYFPQIIVTIMQGVFEGHMIGLDSFYHSLTSEELSKVHEYNFDHPDAFDTEKLLSAMEMLKHGKAVDIPKYDFKSYKNNVSRRVNPSDVIILEGILIFHDPRVRDMMNMKIFVDTDADVRLARRIRRDTGEKGRDIGMVLDQKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDAQTTKHDFVVEHGLGHLPFTEKQVTTPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISDRHVLLLDPILGTGVPEANIIFLNLISAPQGVHVVCKKFPRIKIVTSEIETGLNQEFRVVPGMGEFGDRYFGTDDE >KVH99255 pep supercontig:CcrdV1:scaffold_921:124212:125337:1 gene:Ccrd_022513 transcript:KVH99255 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain-containing protein MMQSNKGATSMEVEEAVPSETKVVSKPNFKPLKAHEISDGQVQFRKISVPKHRYTPLKKAWMDIYTPIYDQMMVDIRMNVKTRNVELMTRRDTPDVSNLQKCADFVHAFMLGFDVIDAVALLRMDELYVDSFEIKDVKTLKGEHLSRAIGRLSGKGGKTKFAIENSTKTRIVIADTKIHILGSFANIKVARDSLCSLILGSPAGKVYSKLRAVTARLAERF >KVH99254 pep supercontig:CcrdV1:scaffold_921:125635:131515:-1 gene:Ccrd_022512 transcript:KVH99254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MKITVMTSDEQIITLEVDRNESVENLKALLEIESRVPLQQQQLLYNGKEMKNIETLSGLGVADGDLVMMVSNSSSLTVSSNEILNPDGSAVNPAAFQQQIRNNSDLMAQLFQSDPELAQLILGNDLNKLQNLLRMRHRQRSELHRQQEEEMALLYADPFDVEAQKKIEAAIRQKGIDENWAAALEHNPEAFARVVMLYVDMEVNGVPIKRYKGIAHGVGQSEILGRIHVAPIKIGNNFYPCSFVVLDSPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVAVPFLSGPARGGATHEAEYEGKIGKLVELGFSREAVIQALKFFDGNEEQAAGYLFGG >KVH99252 pep supercontig:CcrdV1:scaffold_921:118078:120413:1 gene:Ccrd_022514 transcript:KVH99252 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MTDSAYRVETISRLAQWRIDNFASSSYRKSDPFKIGKWNWHLVLEKNRTLLIKLFPEIPKLARDNPPIASFSIRIVSLLGEIRDKQLKIGVDFVWKVEVPLAGRFIIDVEFLDLKTVPPNVIRSSFFSVFEDFTGGEPHSIWSEGFPQKDSNSPALSSIGKMLSESIHTDIVICASDGNIGAHRAVLAARSPVFRSMFSHDLKEKEMSAINISDMSLEACEAFLSYIYSNIRHEDFLIHRLDLIRAADKYDVSDLKDACQESLLEDIDTKNVLERLQNAYLYRLPRLKVCCIEYLVKFGKIFEIREEFNGFIQSGDRELIGEVINGVLSVWEGF >KVH99247 pep supercontig:CcrdV1:scaffold_921:145946:153668:1 gene:Ccrd_022509 transcript:KVH99247 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MASPGNPNQLPFDVPRFFNPSSSPPPITTTTTALQNPNKPSPASASASYPPPTVTGGPFSYPPQTNPPLYHPQFNIPPPPFQQQSSDNHLPSSNLHHQRSVPYPTHPLQLQSPSPNNNHHPNTNHGARLMALLSAPPPSTLEPPSMPPPPTQNLMLLSSLHPGPAPLPMPMPMPSSKLPTGRHLNGDRLVYDIDVRLPGEFQPQLEVTPITKYGSDPGLVVGRQIAVNKTYICYGLKQGAIRVLNINTALRSLLKGLAQRVTDMAFFAEEVHLLASASIDGRVYVWKLTESPDEDDKPQISRKIVIAIQITGEGESVHPRVCWHCHKQEVLVVGIGRRILKIDTTKVGRGEVYSAEEPLKCHVDKLLNGVQFVGNHDGEVTDLSMCQWMTTRLVSASVDGTIKIWEDRKQLPIAVLRPHDGLPVNSVTFLTAPHRPDHIILITGGPFNHEMKIWASESEEGWLLPSDADSWHCTQTLELKNSGEPRVEDSFFNQVVALSQAGLLLLANAKKKAIYAVHLDYGPNPEMTRMDYIAEFTVTMPILSLTGTSDSLPHGEQIIQVYCVQTQAIQQYALDLSRCLPPPIDGVVYEKSESIFSHDVVSAEGFTGLESSMGPSSESAPTLRQPLSSPLAEAVISKEFSTSAVEPRSAPPPISNDADISCAVSPQVPLSPRLSRKLSNFRTPSGGFESSAQPNDYGDKKVIEHSVDRQMETAHSNLSSLSSMDDDENKVAKDDGTMVKFKHPTHLVTPAELMAMTTSPSERNRVRDQKSDGELEVQDVEVNSDLQNVDMDVKIVGETGMSHNADLIAQGSPQGFASEHKEKSFCPQASDLGVGKARDRSGLPGETYFVNGSKQLEGTEESKAVVQTSGAQDEVRDVTNDLSGQLETATPTRVKYPAKGKKQKGKNAQGSGPSSPSFNSTDSSNEPGLVSCIPSTETILSQIQSMQETITQVNIHGSTDCPLLLVSALDKLLSSLQSVFPKKVLINQKEIYKQIPVLLTVPVTKEGRRIEAAIGKSMEKAYKANSDAQWARTQEEFSKQEKANRDRHQQISGLVTNGYKDLLATWEKMLKKETAALVPAVVRSVTPLIEKVSSTAISEAFQRGVGDKAVNQLEKSVQSKLEATVARQIQAQFQTSGKQALQEALRLSMEASVVPAFEMSCKAMFDQIDATFQKGMLEHTSAAQQQVESTYSPLAIALRDAINSASSMTRTLSSELADGQRKLVALAVAGANSKGGNPLITQISNGTIACEKIEAPVDPTKELSRLVYEHKYEEAFTAALQRSDVWIVSWLCSQVDLQGILTSNPVPLSQGVLLSLLQQLACDIVNDTSRKLAWMMDLVVAIKPSDGMIAMHVGPIFDQVYSILNHQMSVPNMSVSEVSSIRVVMKLINSTLRTL >KVH99260 pep supercontig:CcrdV1:scaffold_921:28434:31613:-1 gene:Ccrd_022520 transcript:KVH99260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELVSNASDALDKIRFESLTDKSKLDSQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTITRDSGEPLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETSLLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDAGGEGDADIPALEEADIDAEGSKMEEVD >KVH99257 pep supercontig:CcrdV1:scaffold_921:135518:143993:1 gene:Ccrd_022511 transcript:KVH99257 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD-like protein MTDAQIVKRAKYKSSVKDPGVRGILKMTRERFVFMPNDPSLPIRLNGNYIFEFENFSDRELCRDFVAKAITSSGEVGGPTSEKAVPLKDEQLSSAEMERRIKLLQEDSELQKLHKQFVIGGVLSETEFWATRKKLLDVSASRKAKQRVGLKSDMIFNVKPSSDGQSNRVTFNLTPEMIHQIFAEKPAVRQAYLNFVPNKMTEKDFWTKYWRAQYLHSTKNIVAAAAEAAEDEELAVFLKQDAILASETRQKIRKVDPTLDMEADEGDDYTHIPGHGLATESTKDEMEAQYEPYKRSFLQDINRHAAVVLEGRTVDVETGDTRSVAEALASSKRIELAKEASDGNVYQERLDRITRMAEIEDLQAPRDPPVAPLCIKDPRDYFDSQQVQLGGTRQVKSRLSTSEAYGSLRVFISEIRNIGLNDPIVKPEVAIKVFNVLTQNISSSKYQLGKNPHESILDTLPSVTKEELLLHWTSIQELLKHFWSSYPITTSYLYAKVVSVRFHYATLLHMLFLVSRLKDAMSQIYPKLQEIKESVQSDSRHQVSLLVQPMLQALDAAFAHYDLDQQKRSAKSGEKPNGYA >KVH99248 pep supercontig:CcrdV1:scaffold_921:52756:56157:1 gene:Ccrd_022518 transcript:KVH99248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein GQALLKWKNTLNSSTDVLKSWNPSDPNPCNWFGIRCNSNGDVITIDLNSLDIQGPLPSNLQPLKFLNSLILSSTNLTGTLPKELGDYLELTVIDISDNSITGSIPPEICRLTKLQTLSLNTNFLEGEIPLDIGNLSRLKKLMLFDNQLSGEIPKSIGRLGSLEVIRAGGNKNLKGELPEEIGNCSSLVMLGLAETSISGSLPATIGKLKRVQTIAMYTSLLSGPIPDEIGNCSELRNLYLYQNSITGSIPTKIGELQKLESVLLWQNSLVGTIPAELGRCTELMTIDLSENSLTGKIPTSFGGLLKLHELQLSVNKLSGIIPPDITNCTALTHLEVDNNQLTGEIPISIGKLQSMTLFFGWQNNLTGNIPESLSQCENLQALDLSYNQLSGTIPRQIFSLRNLTKLLLLSNDLSGFIPSDIGSCTNLYRFRVNDNRLSGTVPSEIGNLKNLNFLDMSNNKFVGEIPPSILGCGNLEFLDLHSNGFTGVFPETLPKSLQFVDMSDNRLIGLLTPNVGLLTELTKLNLGKNQLSGEIPGEISSCSKLQLLDLGKNGFSGEIPKQLGQIPSLEISLNLSCNQFVGEIPSEFMGLSKLASLDLSHNKITGKLDILTDLQNLVSLNVSFNDFSGELPNTPFFRNLPASDISGNQDLYISGAVITPADKMGSTGHARSSAKLAMSILVSISAILVLLGIYTLVRSRLANKEVISQTWEMTFYQKMEVYVEDIVHDLTSANVIGTGSSGVVYKVTTSNGETMAVKKMWSTEESGAFSSEIETLGSIRHKNIVRLLGWGSNQTIKLLFYDYYPNGSLSSLLHGAGKRGAEWEARYDVVLGVAHALAYLHHDCMPAILHGDVKAMNVLLGPNLQPYLGDFGLARLVTKGQNDTTKQSQRPQLAVDILDPKLRGRADPQMHEMLQTLAVSFLCVSPRPNDRPIMNDVVAMLKEIRHEDTMRSDTELKGKLLVHPPSADPTRTMVLQGSSNCSYAFSDDSN >KVF33945 pep supercontig:CcrdV1:scaffold_9220:9867:10181:-1 gene:Ccrd_026796 transcript:KVF33945 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MGRDPKIWDDPLSFNPERFIGSKLDFKGQDFELLPFGSGRRMCPGMPSGIKSVQLILASLIREFDLILPNDADPKKLGMSEKFGIAMKMENPLKVIFKTKQGYE >KVF33709 pep supercontig:CcrdV1:scaffold_9221:341:7380:-1 gene:Ccrd_026797 transcript:KVF33709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTLSYCHHLTNLPDFTEIPNLEYLYLNGCVNLVEVHPSVGLLKRLIYLSLKNCYNLRSFPDKVQMDSLRHLIIWGCSKVENWPKVLGKIKTLIELGVDLPAINEPPSIVSSISNLQSLLIHGHERIRSRWRNSEFQPSSSPSKWHHPQSFVIPSFASLHFLKHLHVSNCNISEVSSDIFEALSCLEELDLHGNSFSSLPASLSQLNQLEYLDISDCEKLEVLPEIPPKHMVAPRCTMCGDDYQSCILGIPGQWMNDSAIQSVKSQKF >KVI07046 pep supercontig:CcrdV1:scaffold_923:30064:32868:1 gene:Ccrd_014588 transcript:KVI07046 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group (HMG) box domain-containing protein MAYQSRTRKRVNALRRAPDGSAFRTCESCGVSVAIALVDMHECESQKDVKRLKVEKEKHQIKVELRFQDQPRSEFRFFMESFMNSCDIKNLIEMDRKGFETWKNMSSQEKLPYKLHAKTVNDAYDKKLLKESEDQMLMRRWILQRLGNLTRQMDSMTMMIQTLMILIKGHGRLIICGNGGIAVAVATGKSFWLLYSLNESLLCNY >KVI07047 pep supercontig:CcrdV1:scaffold_923:47349:49143:1 gene:Ccrd_014589 transcript:KVI07047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNWCSLMKLDSNIRTHSVDMLTCTMKLHFAGFLSF >KVI07054 pep supercontig:CcrdV1:scaffold_923:83539:85281:1 gene:Ccrd_014591 transcript:KVI07054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MEVAGPPPSSLTRLNTFVAQSRIGKRFKLHERNTTFTTELRAGTATFLTMAYILAVNASILSDSGGPCSVSDCIPLCSDPSFSASNCTGPNLRVIQPDDTCKFSPVNPGYTDCLAKVRKDLIVATVASSLIGCVIMGTFANLPLALAPGMGSNAYFAYTVVGFHGSGNVSYENALAAVFIEGMIFLLISAVGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGIGLIGYSSSTLVTIGACPTSSRTSLAPVITFPNGTVTLLPGGSVSGDIMCLHNRMESPTFWLGVVGFVIIAYCLVKNIKGAMIYGIVFVTAISWFRNTQVTAFPKTPAGDSAYEYFKQVVDVHKIQSTAGALSFSSIGKGYFWEALVTFLYVDILDTTGTLYSMARFAGFADANGDFEGQYFAFMSDASSIVVGSLLGTSPVTAFIESSTGIREGGRTGMTALTVAGYFMLAFFFTPLLASIPAWAVGPPLILVGVLMMRSVGVRSNGSVINSSTGGNGVISGNSVDTSDKVLEV >KVI07053 pep supercontig:CcrdV1:scaffold_923:97464:105269:-1 gene:Ccrd_014592 transcript:KVI07053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITRSPIQSFLSFFLKIHPHEIYTVFYSTSSFFFVLSAYFVVLPLRDEGAISLGIGNLPGLFAGSLILTLIAAPLSTLIFSLPNLPKPKALVLMHRFFSASLVLFFILWVLSSPANTFPGFKGFLSMSSTIKEELKIETQPASTNSTDWGKHGWFYVSVRIGFFLWFLSLIITINKTAVSKVISAPFVQIALLNLITISSTWARVIDVMDNEVCVLPCYNYVFALVFVHALTPSLIQSGSRLFGFIGAGATFGQLFGSLKTEVDQQDVINEVDVSDRQESLPTAKSSAIDSSKPQFWALLDGFWLIWSSNYLLCVSLFLWLSAMTSSFFYFQKVTVIASTVTTATGRRRLFAQINSFIAVFILAGQLTLTGRILTVAGVTIALCAAPFTAFLNLIAIAVWPTYLAIAVSETLRKVVTYVVTRPGRELLFTVVTQDEKYKAKVCIDVLVQRFGDATAAGMYKLLHTKLDGKTTHISLYALPVCVLWDSTWDGDRHNLPSPRISRSPKTPCFSLVYQ >KVI07048 pep supercontig:CcrdV1:scaffold_923:15911:16234:1 gene:Ccrd_014586 transcript:KVI07048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETLRSGAESEIRKRMNPRVEIDTSPPFGSVKEAVTRFGGSGSWIPLHVLRLAGTYN >KVI07050 pep supercontig:CcrdV1:scaffold_923:153359:154552:-1 gene:Ccrd_014595 transcript:KVI07050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MVRAPCVDKNGIKKGAWSEEEDDQLRAYVHRYGHWNWRELPKYAGQPPSVVSTSNTNVISNESSASHRISDESSAFHRPTIESLASQRTSDDSSSHSSGVERRLFIDLNQEYVETLE >KVI07055 pep supercontig:CcrdV1:scaffold_923:49233:52771:1 gene:Ccrd_014590 transcript:KVI07055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MENVGNVLMQKYEFGKMLGQGNFAKVYHGRDLKSGESIAIKVIDKEKVLRVGLIDQTKREISVMGLVKHPNIVQLYEVMATKTKIYFAMEYAKGGELFDKVSKGRLKEDIARKYFQQLICAVDFCHSRGVFHRDLKPENLLLDDNGSLKVTDFGLSVHSSCTRIDGLLHTTCGTPAYVAPEVISRKGYDGAKADIWSCGVILFVLLTGHLPFNDSNIIQMYKKISKADYKCPNWFPPEVRRLLKRILDPNPVTRISMKKIMENPWFRRGLDTKQTSNTVEKEMPSINADAVFSPSEKSFEPKKPDFTKVSSLNAFDIISLSTGFDLSGLFVENDEKDEVKFTSTEPASAIISKMEQIATRLKLEVGMKKGGQLKFRRPSEGRNGPLSIDVEIFEITSSFHLVEVTKSCGDTLEYQKILKQDIRPALKEIVWAWHASDWKLSDGYTTFDSVVIPDVDVASSLNHHLESAFGKRFEIKL >KVI07052 pep supercontig:CcrdV1:scaffold_923:129945:132833:1 gene:Ccrd_014593 transcript:KVI07052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGENKEEKDVEDEVTILKEEQKHLKMAWSKEEDDLLMKHIQENGPKKWNLLLSKGLLKRKAKLCSLRWLNKFQPNFKKGMFSEKEKQLVFDLQSKYGNNWAKTTTYFPGRYVYYVKNYLHFELVL >KVI07051 pep supercontig:CcrdV1:scaffold_923:149339:151866:1 gene:Ccrd_014594 transcript:KVI07051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MAGPLYHMGWYHLFYQYNPESAIWGNITWGHAVSKDLINWFHLPLAMVPDHWYDIQGVMTGSATILPDGQIIMLYSGNAYDLSQLQCLAYPVNSSDPLLLHWTKYSSNPILFPPPGVGLKDFRDPSTLWVGLDGKYRMVMGSKHNDTIGCALVYHTTNFTHFELLDEVLHSVPGTGMWECVDLYPVSTTDTNGLDMSYHGSGVKHVLKQSGDEDRHDWYAIGSYDPVKDKWYPDDPEMDVGIGLRYDYGKFYASKTFYDPSKKRRVLWGYVGETDPPKDDLIKGWANILNVPRTVVLDTKTGSNLIQWPVEETETLRSKKHEFKDVMLHPGSFIPLDVGMATQVDNEMLGATLEADVLFNCTTSEGSATRGVLGPFGLVVLADAALSEQTPVYFYIAKNIDGTSRTYFCADESRSSKLLDVGKMVYGSSVPVLHGENYNMRLLVDHSIVESFAQGGRTVITSRVYPTKAIYEAAKLFLFNNATGISVKASLKIWKMGGAQLNPFPL >KVI07049 pep supercontig:CcrdV1:scaffold_923:20701:22949:1 gene:Ccrd_014587 transcript:KVI07049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF827, plant METVEKQAAELEKELIIKEQETLEVLRDLESAKGVMEGLKINLMKEVSTVITALDSNPDSGTTTPVDQSSASFTLCPVPLPPGIILTELKAAKSNLNKTTNDLALIRASVESLNKKLRTHKANLLDKEGETKRVIEETVDISHGLTFEAQQFRKMEEAAQYEVIKATSEIEHTKMSIRMVEMRLIAAKKMEEAARAMEAVANAENNGDKPLIREGITLSYEEYSALAQKAQKAEEICKKNDGPRSDAANGRNLESRNGFFRERSDDIQTRKSTHNHPKTRNPYPFYGHAPRNFGPLMGDDSNVINKESRPVLRSSVSIGDILSRKLILQDNIVVGEDVESQSRRDDVSLSEMLREQSGLIFHESRKADKEGRIDKQFFTQRKKFGFIHVSLPLKQNKKKSNSQPPQPLNMRYP >KVH91884 pep supercontig:CcrdV1:scaffold_9237:2836:6454:1 gene:Ccrd_006088 transcript:KVH91884 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MGSQSNGSPASPLKFLIYGRTGWIGGLLGKLCEAQGIDYTYGSGRLESRETLIADLAAVNPSHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCREKGLILINYATGCIFEYDSEHQLGSGVGFKEEDTPNFIGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLTNPRNFITKISRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIYNFTNPGCVSHNEVLEMYREYIDSSFTWKNFNLEEQAKVIVAPRSNNELDTTKLKTEFPELLSIKESLVKFVFEPNRKTPVAA >KVH96877 pep supercontig:CcrdV1:scaffold_924:153339:153746:1 gene:Ccrd_001030 transcript:KVH96877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MLCDERNRIAMLGVAKDLGDNQRQITMLGVAKDLGDNERQIAMLGVAKDLGDNQRQIAMLGVAKDLGDAIGFVAGSLCEIAPIWVVLFIGVAQNFLGYGLVWLSTKHTLPEMPLWVVSFYIPNFAYSMKIVLYCC >KVH96878 pep supercontig:CcrdV1:scaffold_924:124235:131214:1 gene:Ccrd_001031 transcript:KVH96878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAYCQLNLLFSIRIFIAVMQFEIFIVNGEPQVPCYFIFGDSLVDSGNNNKLVTRAKANYPPYGIDFPQGVTGRFTNGRTIADIIVNIGSNDYINNYLMPTIYTTSHKHTPYEYATLLTRQYARQLKTLYDLGGRKIVVFGLGPVGCTPAEISIFGTDGSPCVESINDAVRQFNDKLKPLIHELNHENPDARFTFINLTSISSLQEGIRLSNVPCCKVRVDGQCAQNALVCPDRAMSVYYDGFHPTEVATVLIASTSYTALSPMDASPYDISQL >KVH96873 pep supercontig:CcrdV1:scaffold_924:56682:92804:1 gene:Ccrd_001034 transcript:KVH96873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MALELCLLFPIFIIVGFMQLQPFVAASEPQLPCYFIFGDSLVDSGNNNELMTAAKANYKPYGVDFPQGVNGRFTNGRTIADIIGQLLGFPNFIPPYATATDQEINTGVNYGSGSAGIREESGSHLGDRISLDRQLINHRKTISRLSILQGNKTFTHEYLQKCIYLSNIGSNDYINNYLIPNIYPTSNIYTNDQYAAVLTLYNLGARKIVVFGLGNIGCAPAMIARFGTGGKPCVESIIDAVKLFNERLKALVDELNKDYFDARFTFINLESISAPQGGFREFIPPYANATDKQISKGVNYGSGSAGIREESGSHLGDRISLDRQLLRHKSTISRLSRFQRKTLKKCIYVVNIGSNDYINNYLLYDIYNTSHIYSTDQYAEVLIQQYSRQLRTLYRLGGRKVAVFGLTRMGCTPVEMNKFGTDGKPCVEFVNNAIKLFNDRLMPLVDELNNNNSDARFTFINLTSILLPLGDVPLPSTPCCHVRKDWQCVPNSFPCMIRTLSIFYDGFHPSEISNIVIATRSYIGLLPMDASPYDIIMGLMQVQTFVVASQQPQVPCYFIFGDSSVDSGNNNGLNSTISGNNNRLNTTSKANYPPYGIDFPQGVTGRFTNGRTFADIIGISLSLSHYCLYRRRKHKYFNLIGQLLGFDKFIPPYATTTDEQNNKGVNYASAAAGIREETGSQLGERISFDRQLLNHNSTISHLSHLQRNTQFLKKCIYLVKIGINDYSNNYFVPDYYNTSRIYTTDQYATVLIQQYSQQLRVCIMLLMPSFFHNRVYNSIFLINIAWQTLYRLGGRKIAVLGMRNGPCCEVGEGWVCIPNGSPCQDRALFTFYDGFHPTEASNTITATRAYTARSPMDASPYDIISLQFLLRGLHKPQSMNCTMKLIKTSQKEFLDHLVQEEMEMEAHTQSTYESHSISTRTATHMGEKLLLLCNYKKLCVYGDSDTSSVLSRGST >KVH96875 pep supercontig:CcrdV1:scaffold_924:115686:115988:1 gene:Ccrd_001032 transcript:KVH96875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYYHIQVVCEVVIVVMYVKDGYAFHIKLLAMIGLYLQSMMVFIPLKLLIPLLQQEHILHAHQWMLHHTI >KVH96876 pep supercontig:CcrdV1:scaffold_924:100219:100705:-1 gene:Ccrd_001033 transcript:KVH96876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLASAENHALSQSMMRSSYLMKGLRLLFMSSTKITLTHDSLTSTLQAFQHHKEIKVSFCITLRKCLDFADVMILPNGPCCQVREDGQCLLNSRPCSIRALSIFYDGLHPSEIANTVVATRSCIAISAMDTSPYDISHLARL >KVH96874 pep supercontig:CcrdV1:scaffold_924:8238:9689:1 gene:Ccrd_001035 transcript:KVH96874 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase domain-containing protein YIESNKSIVSLILGQLLGFPNFIPPYATVTDQEISTGVNYGSGGAGIREESGSHLGDRISMDKQLLNHKATISRLSALQSNKTFTHEYLKRCIYLSYIGSSDYINNYLMPNIYPTSNMYTIDKYATVLGKQYYQQLRVHIPSLIVSFFYHSYPTFQTLYSMGARKVAVFGLDQITRSAPVDTSRFGSNKIVVSDIDLINITIIKQISDTLSNAIDRLNNDNTDARFTFINLASISAPQGGKAGLHKFSIYKYVLSLPNIPCCPVRADGGCIPNSIHCPDRDASVLFDRFHPTDITNTVLAKRAYNALSPMDAFPYDISHLAQL >KVH96879 pep supercontig:CcrdV1:scaffold_924:150462:156947:-1 gene:Ccrd_001029 transcript:KVH96879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MSFPNDSGEMSPQRKLGRGKIEIKRIENTTNRQVTFCKRRSGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKGTIDRNIMGESLGNMPAKDLKNLESKLEKGISRIRSKKNELLFAEIEYMQKRENELHNSNQFLRAKARLASCPLIAENERAQQQHMSLMPGSSDYDQLVAPHQPFDGRNYLQVNDLQPNNNYSCQDQTPLQLV >KVI01936 pep supercontig:CcrdV1:scaffold_925:128140:133387:1 gene:Ccrd_019785 transcript:KVI01936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MSFVMDSIILPAACISAVVSASQLRRLRCTSSHSSGLRGRKLSVTDSSLRFSNRDHSWSPAVHSVAADTTNSTPPRDGIYTVGDFMTRKADLLVVEETTPVDKGMHGLGVLLALEILVEKRITGFPVIDADWNLVGVVSDYDLLALDSISGGSHGDTSLFPDVDSSWKTFNEIQKLVGKTDGKVVGDLMTPAPLVVHETTNLEEAVRLLLETKYRRLPVVDVDGKLVGLITRGDVVRAALRIKDAIKKMQLSQD >KVI01944 pep supercontig:CcrdV1:scaffold_925:20293:20874:-1 gene:Ccrd_019776 transcript:KVI01944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1685 MADANKSSAILFKNRSWSPDIHRHIEWEMLKINQRSRFRRSKSLDAVSDVDVTDDDIKELRACFELGFGFDPSNDLDPKLAKAFPALELYADVNRQFNNQNLSRSSSIDSESSSNSDASSNLIVDPNDDPQKVKMRLKLWALVVACSIREASSHSATE >KVI01945 pep supercontig:CcrdV1:scaffold_925:35354:39513:1 gene:Ccrd_019777 transcript:KVI01945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MWKPFEEKREEHEYKTLVISSIPNKKKRRGTHCSSLLPLKGYWMISAMSSYINFKNCGQMAANSPLTQQSSIYALTLDELQTTLGGGGKDFGSMNMDELLKNIWTAEETQSLTSSSRTLSQKTVDEVWRELHKENGGHGGFGEVGLIKEADLQPQQKQPALGEMTLEEFLQKAGVVAEKPQIQPNGRHWNDGLFGDDGSGFAFGFKNPNQNQRFHQQTVVIEGKNEVDNLQGVRSSQPPKPPKLFPKQAAFNFSSSQVNNAQLGNSINGVSVIGKTDHLINTSMVQAKAVGGHMSRSPPDLFPNSNLDTSPSPPAYGYGEGGVQEKRRGGPLEKVVERRRKRMIKNRESAARSRARKQAYTLELEAEVAKLKELNHELQKKQV >KVI01942 pep supercontig:CcrdV1:scaffold_925:94851:99567:-1 gene:Ccrd_019782 transcript:KVI01942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF869, plant MMDQKTWLWRKRSAQKSIDANNEAEISAKGNEEQVASLLCECNAKDNLMVDQAKTAQDAIAGREKAVAELALRNQELEAVVQQNIEANERLVHLNAALKDYRQQLSSLKEEHDQRVSEAVDELERANKRSEEKFTEANKKLENLMIENAQLAKSLAMKEELIEDVGSQMSQATVGFNELISRLDSAEKENGILKYEYRVLERELEIQSRCADVANRQHQESVKRAAKLDVECQKLRLLVKKPTVQVKTKREVESGHPEGLDRRMSFMINRLCEAEEENKILKERMHKREDEIRLLQAEFAQIRCDDSHGNGASRRTMIGDSDMSLMDDFVEMERLAIVSVDPHSPDANNLDSVGKELVPVVQGDAINPSNEDSGWLTSVLNAISEQTCVSKRSFDELLEDIRVALQHRYESGSNHDPVSGYITWRTQTPDAETSSNEAKVVPEKDVSSLKTELESLKESKAMIEDQLENQKLINEDLDHQLSVAKVQINEGGQKISALKVELEDRCHCCEELEATCLELQLQLARFVFIWLSHFENYLKKKSGLILKRCIVQRQGWEITTASAKLAECQETIFSIGRQLKALAPPTEATESTTRKKSSQHSCLRDRMVAEDGGDMEDPLSSPKTKEIISTTETKSPPSVVRVGSCKSRVVPGALAIVPSKKRGNGIDLLRKLLFRKKRGNKKKMLTIAA >KVI01943 pep supercontig:CcrdV1:scaffold_925:4558:10943:-1 gene:Ccrd_019775 transcript:KVI01943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESRRRDSSSLPVLTDLTVDENFGPSSPSDSDSYSSLIVENSKGRLQQIEDSAKDCLTAWTDEKHDMYLDHLESSFVEQLHQSIGVLAWCSEHDSKGPYFSQKLHAKTRNTSQMLKMIQDDCSEKIDLKRSQPLLNCTSDSLVLLKSRPRCAGKQRFARAAKLQDSSASRNLCIEDKLLFNEAGICSEQIAARHGKPVDCAGEFSDQNFADEASSEQMCITTMSKRSKTIVSEV >KVI01940 pep supercontig:CcrdV1:scaffold_925:69485:72691:1 gene:Ccrd_019780 transcript:KVI01940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MAEESGQEGFFWDTYPWVMADKSGGVSGDDDKSAGDDKKPIINSTVTHDHSKMKQSEMEALGGLNGGHDQEPVTTTVGGDGGQLRNEMKNDDNKKVSLDSDKGKGDPESNDHDFHIWTERERRKKMRNMFHQLHALLPQLPPKVSKPILIQPLLIKADKSTIVDEAVSHIKTLQETLQKLHTQKLERLHGLSSNTTISPLIQPQTLALNTRESFLADHGSTTGPFGIVPSSSSTSFPFPIFSPTAFQTWTSSNVTLNVCGLDAHISICSPRKPGLLTAICFVMEKHKLEIVSAQISSDQTTSLFMIHARASSRDQFLETFPYEEVFKQAATEIMLWVNSK >KVI01939 pep supercontig:CcrdV1:scaffold_925:91183:92292:1 gene:Ccrd_019781 transcript:KVI01939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-type fold MVLSALCNSDATWVLIVVAMEKRDLSVRYMNRQEKEDWGACREKEGNGPRNRQTHMDEESELDLQIRVLLPLTSHRLPSPSPAPLRYLQTRLSANMAAAPPNSVQCFGRKKTAVAVTHCKAGRGLIKINGVPIEHVQPEILRFKAFEPILLLGRHKFAGVDMRIRVKGGGHTSQIYAIRQSISKALVAYYQKFVDEEQKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KVI01935 pep supercontig:CcrdV1:scaffold_925:51910:54198:-1 gene:Ccrd_019779 transcript:KVI01935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MERSMYLRFIFIFTCIIVIPIPLSSSSDGYRSYIILMDKSMMPSPFSGHHTWYTSLLSSLSDGVPAMHLYTYNHVMDGFSAVLTKSQLLQLEKTPGHLATFEEKLGQLHTTHTPKFLGLKKHAGLWPTSGFGEDMIIGILDTGIWPESESFHDKGMSEVPSRWRGTCETENFCNKKLIGARSFSQGMKKLKENISITDDYDSPRDYEGHGTHTSSTASGSRVQFADYFGYAKGTATGIAPKARLAMYKVLFLNNSYDAAASDTLAGMDQAIEDGVDLMSLSLGFIETPFYENPIAIGAFAAMERGIFVSCSAGNAGPHAYTITNGAPWITTVGAGTVDRDFVAFVTPGDQNYGTLVGKSFFPENLLVDRVPIYFGYGNKSKETCDYDSLDPKDVQGKYIFCDFGTGFDAHELETTGAAGAIFSSDYGPFLEPNDCNLPFVVLDPKSGNSLKNYIINTDNATISVKYGETLLGVKPAPEVVYFSSRGPDRRSPWILKPDLLAPGVNILAAWAPNRKSAAIGDDYLLSDYRLVSGTSMASPHVVGIAALLKATHRDWSPAAIRSAMMTTATIFDNNRSIIMDMTTEEEGNPLDYGAGHVNPNKAMDPGLIYDIQPQDYINYLCAMNYTRNQIRIISKRSDISCANATLDLNYPSFILILNNTNTTRYTFQRELTNVGRSNCSYRAVVKIPPSGMNVTVDPEMIFFEERYSRVKFEMSVDVDITDAPPTYSGYYGNSGYLRWVEMNGTHVVQSPIVSAIASQKTI >KVI01941 pep supercontig:CcrdV1:scaffold_925:108068:117555:1 gene:Ccrd_019783 transcript:KVI01941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MEIAPAMLAAQDGVGEAAPSASTLTSESVSVGNKNSSTNTYDDDDDEEEDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCETRWRDG >KVI01937 pep supercontig:CcrdV1:scaffold_925:120035:127050:1 gene:Ccrd_019784 transcript:KVI01937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSRHAFQVCKHPFSFSPVYAENAPTRLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRFSFVRNYGEAQGLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQEGDREDEGDRNGARVARRQPAQVNGNLIGEGNAEDAGGAQGIAGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDGDGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVILVPFHLGRFILYHLSWFLSSATNPVLSNAVPFSEQALSLANITLKNALTGVANLTSDNNPDNNVLGQVADMLKVNSTGLVENNVTTASSADILKGITLGASRLSDVTTLAIGYIFIFSLVIFYLGAIAVIRYTKGEPLTMGRFYGVASIAETIPSLFRQFVAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSISQRVEFFSASPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVTVYGSLIVMLVFLPVKLAMRMAPFVFPLDISVSDPFTEIPANMLLFQICIPFAIEHFKLRATIKSLLRYWFAAVGWALGLTDFLLPSPEDEGGQENGNGDPVRQDRLHGQLGGQDRAVVGYLAPEDLNRARQAAAGANLAEEADGDEQSDSERYGFVLCIVLLLLVAWMTLLVFNSAIIVVPIFLGRALFNSVPLLPITHGIKCNDLYAFIIGSYVIWTALAGVRYSIEQIKTRRATILLGQIWKWCSIVVKSSILLSIWIFMIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMMDHVLPLVDDSWRGKFERVRDDGFSRLQGFWVLREIVVPIVMKLLTALCIPYVLARGVFPVFGYPLVVNSAVYRFAWLGCLGFSLLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDKQETPHPHPVVETSGFVGLALMRDNGGEADLGLRHRRNIPLED >KVI01934 pep supercontig:CcrdV1:scaffold_925:40176:46816:-1 gene:Ccrd_019778 transcript:KVI01934 gene_biotype:protein_coding transcript_biotype:protein_coding description:DJ-1-like protein MSSIMGSLSSLPPSNFPMTTAAAALRPARVVSAVITRRWQPPTTCNFCYSTPPKTLSSPSHIRTAISVASTSETVPLETEAAASLPIKKVLIPIGFGTEEMEAVIMVNVLRQAGADVVLASVEPELEVKLSGGTILVADVSISECSDQIFDLVALPGGMPGSVRLRDCSTLEAITKKQAEEKRLYGAICAAPAVTLLPWGLLKRKKTTCHPAFWHKLPTFRAVKTNLQVSEGLTTSRGPGTCFQFSVSLVEQLFGESASMEMGKLLVRLQLMDTADDLSRKEEFNKVEWAVDHTPRVLIPIANGSEDIEVVTVVDILRRAKLDVTLASVERSLPVLGSHGIKIVADTLLKNAADSIFDLIILPGGVSGVERLQKSKILKKLLKEQVSNGRKYGAICSSPSILQKQGLLQLESEAVVGSQVVIDGELITCRGLSSTPEFALAIIAKFFGHGRARSVAEGLVFPYLAN >KVI01938 pep supercontig:CcrdV1:scaffold_925:151413:152378:1 gene:Ccrd_019786 transcript:KVI01938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis protein MKPTTKFIVLHPSIHKHATTGTAAGGPSPHPNHRLWLFTLFSLFSFAFTFSLFTTLPYTRTTDSSTAAAAASTHPLPKPIFDALLHYAGTAPNSTNPSRMSSAELNSIASVLRRCSSPCNLLVFGLTHETLLWHALNINGRTTFVDESAYFISKLEEKHPGIEAYDVQFTTKVSDLHELIDYSKSEVRNECKPVQNLLFSECKLAINDLPNHVYDVAWDVILVDGPRGYFPKAPGRLAGIFTAGVLARSKRSGADETHVFVHEFDREVERVSSNEFLCSENLVETVDLLGHFVVEKRDYHINNFQFCRNPPSSAETVATLL >KVF31935 pep supercontig:CcrdV1:scaffold_9255:9639:9959:1 gene:Ccrd_026798 transcript:KVF31935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MASNAPIESNYDRESELKAFDDSKSGVKGLVDAGLTKIPRFFHHPRPIINEPKSSTLQTQLDIPIIDLKETNVRAKIVENVRYAAENWGFFQIINHGILQRVLDHY >KVH88445 pep supercontig:CcrdV1:scaffold_926:124023:125048:-1 gene:Ccrd_026806 transcript:KVH88445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MIHFLSVYLLLLLLPPSTGTTTISQQFKEAPQFYNSPECPSIIHTHDFNTGDDSTVHVAMTLDAAYIRGSMAAILSVLQHSSCPENIIFHFVTSASSDTSLLRATIANSFPYLKFDVYPFDDSLVAGLISTSIRAALDCPLNYARSYLANILPLHVSKVVYLDSDLVLVDDIAKLAATPLGDAILAAPEYCNANFTFYFTPTFWSNPSLSSTFDHRKPCYFNTGVMVMDLDRWRAGDYTTKIEEWMELQKRLRIYELGSLPPFLLVFAGKIAPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKSKPWVRLDAERPCPLDALWAPYDLLKPPFSFDS >KVH88444 pep supercontig:CcrdV1:scaffold_926:101471:103344:-1 gene:Ccrd_026803 transcript:KVH88444 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MTMDLLLSFITLVATIAFLTLFHLLAKIVWSYKPQGSTVPEAGGSWPIIGHLHLLAGSQVPHKLLGSMADKFGPIFTIKLGVHRLLIVSNSDMAKECLATNDSAFASRPKSMASEIIGYNYANFALASYGPYWRQIRKIVVRELVSHLRRQMLAHIRVSEVKLSTTDLYESWIRNKGSGEMVKVDMKQWFANLTLNMMLRMXFGNRFSTGEGNRDQFKKSVKMFSELLGAFVPSDAIPGLRWFDLGGYEKKMRKIAKELDVILEGWLEEHKTNMDSVQQVDPESKDQVFMATLLFPTLTWVLALLINNPRVLEKAQNELEIHVXRDGEVEESNLKNLVYLQAVIKESMRLYPAAPLSVPHESIEDCIIGGYTVPKGTRLLVNIWKIQHDPEIWTDPFEFQPERFLTSEREIDVKGQDFELIPFGSGRRMCIGXSLALZXMQLILASXIHGFEFRNPSNEQKIDMTESHGLVNHKATPLELLVAPRFLPGLN >KVH88438 pep supercontig:CcrdV1:scaffold_926:112615:120758:1 gene:Ccrd_026805 transcript:KVH88438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3633 MGWLSRIFKGSSHEVSEGGYNWRYEENTVPTANYPSTSWDPQSEIEDIDRAIAISLSEEEGRGKHVISDDSQLKEDEQLARALQESLKFESPPKNRNVHGNGNGNGNGNIYQPIPFPYSTGFRICGGCNYEIGHGRFLSCMGAVWHPECFRCHACNQPIADYEIPTNAAGLIEYRAHPFWAQKYCPFHEHDGTPRCCSCERMESGTKKVTANDFQPRETSYAALNDGRKLCLECLDSSVMDTSECQPLYLDIQAFYESLSMKVEQKIPLLLVERQALNEAMDGERHGHYHMPETRGLCLSEEQTVSTVLRRPRIGMGNRVLDMGTEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLQGYRTLSQDVEEGICQVLAHMWLKAQITSISGRNPTSSSSSATSSSQGKRSPFDKKLAEFFKHQIESDMSPVYGNGFRAGNQAVQKYGLPRTLDHIRLTGSFPF >KVH88448 pep supercontig:CcrdV1:scaffold_926:146324:150864:-1 gene:Ccrd_026811 transcript:KVH88448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAFSKAMIAFVQHDDSVFDACLICVIATSRAPVLHRFRVFFSLLIPFSEVLCTKRGIITELFMCEFLLKVHWNFFSNDVPIARIRGLDSGISPVQVMYLLEIHR >KVH88446 pep supercontig:CcrdV1:scaffold_926:13908:20926:1 gene:Ccrd_026799 transcript:KVH88446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSSKSPPLMTSGAATCFPDLSLQISPPAASVTGCITKRPRSSSSTGSDLSQENKFHHHQKSWAAADHICHQFDQPQLSLGLEIGALNHHRHLNINTVPSQPQHHRYHLPRIYGHDFRRRSRMVNFARRSTRAPRMRWTSTLHAHFIHAVQLLGGHERATPKSVQELMNVKDLTLAHVKSHLQMYRTVKGTDKVAAAGLLADQMQVINSKTPLTFLEVRGDISVSPSTALQSQRRSSTSSLETNDSYYPMRENTSSHHCPSPIMNDSTKABEVEMVTLQYLSKKMKLRSNPSSLASEKLLNLEFTLGSRQMDHTF >KVH88442 pep supercontig:CcrdV1:scaffold_926:143984:144622:-1 gene:Ccrd_026809 transcript:KVH88442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MIHTMSLIHDDLPCMDNDDFRRGKPTNHKVYGEDMAVLAGDLXLALAFQHVSSVTVRXSPAXVLAAVAELAKSTGMEGLVAGQMLDIALTGAKDVGKDQLEFIHQHKAGALLEAAIVVGAILGGGNKTQVEKLRKFARCIGLLLQVVDDILDVTKDSVLDKMTYPKLMGMEKFRQYAEELLAEAKQQLEGFESYKAVVPLVALAEYIAYLQN >KVH88440 pep supercontig:CcrdV1:scaffold_926:106790:108236:-1 gene:Ccrd_026804 transcript:KVH88440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MGSRNAEKLMNQIMELKFTAKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRNEQMNYLRLSSRLDAVVARLDTQAKMTTISKSMSGIVKSLESTLATGNLQKMSETMDQFEKQFVNMEVQAEFMESSMAGSTSLSTPEGEVNSLMHQVADDYGLEVSVGLPQAAGHAVPLKNAEKVDEDDLSRRLAELKARGDAAYRDGLIQTLDGPAAIW >KVH88436 pep supercontig:CcrdV1:scaffold_926:68681:71169:1 gene:Ccrd_026801 transcript:KVH88436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-type fold MAMSISTLSSSFSSLSFSSQKPYSLSLPHSSKSLQFSKIKSPSLTIVATALDEPLTVDLEKYVKSRLPGGFAAQTLIGTGRRKCAIARVVIQEGTGKFIINYRDAKEYLQGNPLWLQYIKVPLATLGYETSYDVFVKAHGGGLSGQAQAISLGIARALLKVSEDHRKPLRKEGLLTRDARVVERKKVGLKKARKAPQFSKR >KVH88449 pep supercontig:CcrdV1:scaffold_926:144653:145021:-1 gene:Ccrd_026810 transcript:KVH88449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase-related protein MDLPSSSSFVGFICTSPLKCGKPHFKNXPRSRFSSSSSSFSVSAVIAKDDQEDSSHFQKSSFDFKAYMAEKANLVNKALEEXISIKTLPTIHEAMRYSLHPGGKRLTPLLCIAACELVGGDE >KVH88443 pep supercontig:CcrdV1:scaffold_926:41150:56436:1 gene:Ccrd_026800 transcript:KVH88443 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like protein MSRMKQREQPKVPCQMEMEVDRISNLPGHIVDKXLSLLTLRDAVRTSILSSKWRYKWLNLTSLVFDNQSVLVSSQDPTIIKNKMVKIVDHVLLLHNGLIXKFKLSHRDLQGVCDIDRWILFLSRGSVKEFILEIWKGQRYKLPSSLYLCDKLSHLELFNCLLKPPSAFNGFKILTSLDLQHITMDQDVFEDLIYRCPLLERLTLMNFEGFAHLKIHAPKLTFFDIGGVFEDVNFENTFHLSTVSIGLYVNVGNDHEPTIGTTXKLIKFFASLPSIQRLEVQSFFLKFLAVDMVPRRLPTPCMELNYLSLRVNFNDMDECLAALCILRSSPNLLELEMLARPDEQPGPRAVTKNLMEEDYQNCFFNQLRFVKIAGIFGVPRELNFINFLLGNSPILERMTVKPASQEAYRIMITYLKCCFQKQRAPCQMEMEVDRISSLPGHIVDKFLSLLTLRDAVRTSILSSKWRYKWLNLTSLVFDDQSVLVSSHDPTIIKNKMVKIVDHVLLLHNGLIRKFKLSHGDLQGVCDIDRWILFLSRGSVKEFTLEIWKGRCCKLPSSFYLCDKLSHLELFNCLLRPPSAFNGFKILTSLDLQXITMDQDVFEDLIYRCPLLERLALTNFEGFIHLKIHAPKLTFLDIGGVFEDVNLENTFHLSTVSIGLYVNVGNDQEPTIGTTSKLIKFFASLPSIQRLEAQSFFLKFLAVDMMPRKLPTPCMELNYLSLRINFNDMDECLAALCILRSSPNLLELEMLARPXEQXGPRXVTKNLSVEDYQNCFFNQLRFVKIAGIFGVGRELNFIFLLLANSPILERMTVKPASQEG >KVH88447 pep supercontig:CcrdV1:scaffold_926:159120:160154:-1 gene:Ccrd_026812 transcript:KVH88447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MLNGSSSSSFVGFMCTSPLKCGKPHFKNHPRSRFSSSSFSVSAVIAKEDQEDSSDFQKSSFDFKAYMAEKANLVNKALEESISIKTPPTIHEAMRYTLLAGGKRVRPVLCIAACELVGGDEYTAMPAACALEMIHTMSMIHDDLPCMDNEDFRRGKPTNHKVYGEDMAVLAGDLLVVLAFQHVSSVTVRVSPARILAAVAELAKSTGMEGLAAGQMLDIALTGEKDVGKDELEFISLHKTGAVLEAAVVVGAILGGGNKTQVEKLRKFARCIGLLFQVMDDILDVTKDSVLDKMTYPKLMGMEKCRQYAEELLAEAKQQLEGFESYKAVVPLVAMAEYIASQXN >KVH88439 pep supercontig:CcrdV1:scaffold_926:135639:137212:-1 gene:Ccrd_026807 transcript:KVH88439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSGGFGEAASSRPTQNSSFSNSNGSNNGDAGNFECNICFDIAQDPVVTLCGHLFCWPCLYKWLHMHSRSQECPICKAFIQEEKLVPLYGRGNSSTDPSSDIPHRPAGQRPEXALPPDRNEFMQHGLDGFGLMGGIGPTMTVSFGNFTLSFGGFMPAIFNVQMQEFDGPPISGNARAPSYAYEGHHAHEVRQQRGHQHEASPLLIIGLIFLFSLIWG >KVH88441 pep supercontig:CcrdV1:scaffold_926:139367:144400:1 gene:Ccrd_026808 transcript:KVH88441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGSQSVQNCEKRNIPAFGSLIANETNNXMEADFLNRMYIIPRKSSFYMVNQSYNIEGEDSNPLKIK >KVH88437 pep supercontig:CcrdV1:scaffold_926:91372:93926:-1 gene:Ccrd_026802 transcript:KVH88437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MQRVMDLLSLKEHQARTLLIHYRWDVDEVFEVLVEKGKDRLYAEACVMVQYDDNLSSTRRSSLALCEICMEEVPVFKMTTMDCGHWFCNNCWTQHFVVKINEGQSRRISCMAHKCYVVCDEDKIRYYVSKTDPDLAEQFDRYLLESYIEDNKRVKWCPSVPHCGNAIRIDSVLAALQKHILRVPVKCGSYGPRNAGMSLRPLTGSQSIQRIVPNVISQLKRMEDATFWLCGGATGFQHTWESIEGHTCGRFKEEDIANIARAESEIVRYTHYHGRFKAHSDSFKAEVALKEKLQKKISNMEARELEIKNYDWVTDGAERLLRSRRIISYSYPFAYYMFNDTSLSEEMTKEDRNIKQNLFEDHQQQLEGHIEKLSLFLEEPFDEYPEGKVMESRMQIITLSNITDVLCKRIYEYIDNEILLHLRTTINIVPYRSKGADKAVELEL >KVF31794 pep supercontig:CcrdV1:scaffold_9261:3384:8608:-1 gene:Ccrd_026813 transcript:KVF31794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLDELVKIIAFGPVVIPIFYDVDPSEMRTHESFEQVFDQXGLPRDDDKMKRWRHALGQVVDLGGMVLQNRIQDDIDFKTDTFTRMQKLRLLKLSHIQINGCYDQFPKGLRWLYWRGFPLKYLPNEFPLESLGLFRLEPIRKFGAERVINELGILNLDIIENTPVELSYVSGNEIPAWCSSKSMGNSISWVLPSNPKNSLQGLNICLTYVRSNARRFRFCINQDWCTYYIKIHNKTKDLKWVYSPTFVGIPDDGEDITLISHWKFGKEVENGDDVTVSIVGMSPAFLMKGFDIDVMYVQEGKITHEIEXTTGLISAYQLKPHAYFFSNAEYLMLRESDSSTGDWSRILYENLFEGSSLGTGFTYEGREEEDDSGNDYPEMEEYDED >KVF31556 pep supercontig:CcrdV1:scaffold_9263:6940:8602:1 gene:Ccrd_026814 transcript:KVF31556 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC type transcription factor, LHEQLE-containing domain-containing protein MGKDVVHRVQHRLQLRIEAQGKYLQSILEKACKALNDQAITTAGLEAARAMFTQAEWMAANIG >KVI07894 pep supercontig:CcrdV1:scaffold_927:71467:73152:1 gene:Ccrd_013745 transcript:KVI07894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MMLLRRTILSYPTNRHHSSAAKNLQSLSKIPHRYRAKAIRQAQEALTDYLHAVRTIPYTFAENISKYSIVSLSGAISKVKFSTSDFSKSLQRFFRYHPLNEFELFFESIGIDVNELDGFLPARKFFLSEDLNAFDAACVLYGFGIPWNKLGMLYKEEKTIFDKDPCELKEIWRRYMNCGFTSSSLIGICLVFPHLLSGDSEVEILFNDLKRIVIDFDLISDVEGNVDAWIEICRKIRMFYDLGCRKLDIWEMMGRSKKILVQCPEETLTEKLKYFCRFHVTNEEVTSLLLLGPKIFEFDLKSPLFCVVGLLRHFGLSEEHLNFVIKKYPYVFGRNRLANLPHVMRALNLNQWFFDKIRDGGHNLLTSYAIGSSHEDCDQDFAEDMVTIQSSRVHNHTLSKLEFLHSIGYGENGFTVKVLKHVHGTSRQLQDRFDCLIHNGIEFSKLCKMISLSPKILNQQSEILEKKVEFLCHEIGSSLNYLDIFPAYFCFDLEKRIKPRYRFHMWLMETGLCEREYSLASIVATSEVRFIARIYRIHPAAPKKWLELFMNRDYASFQETS >KVI07890 pep supercontig:CcrdV1:scaffold_927:103722:111003:1 gene:Ccrd_013743 transcript:KVI07890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease, phosphorolytic domain 1 MEQRLANSLIMTVNEKKFIETALLSDLRVDGRRPFDYRKLSISFGREDGSSEVQLGNTRVMGFVTGQLVQPYRDRPNEGTLAIYTEFSPMADPSFEAGRPGESAIELGRIVDRGLRYDSLFTCLNFCSSTTESRAVDTESLCVISGKSLNLYGNRNLVDASNVAALAALSTFRRPECTLGENGQEVIVHPPEAREPLPLIIHHLPVAVTFAFIGKENVVVIDPTHHEEAVMGGSMTATLNTNGDVCAIQKAGGEGVLQSVIMQCLRIASVKAGDITSKIKSAVMLKRYDLKLIFRVKYSEFEKQVESYNTERSLRKIKRHNPSPAGYKH >KVI07893 pep supercontig:CcrdV1:scaffold_927:143713:152057:-1 gene:Ccrd_013740 transcript:KVI07893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin C-terminal domain-containing protein MDREWGSKPGSGGAASVQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPHKRKVNLRKTVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNTKPRHRFMSSFEQRVQSFDKRYQYLLFAAEPYETIGFRLQLYFKTKPPETNKPPSAAATNGTSAPGVPPPPPPPSAPMGNPPRGPPPGSLPPPPPMGNGPRPMPPGGNLPAPPPPPVGGGMPNFTPRPPMPPPPQGFQGQQMQNHGLHPLPPLPPQ >KVI07892 pep supercontig:CcrdV1:scaffold_927:126018:140336:1 gene:Ccrd_013741 transcript:KVI07892 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MVDSEFAFEPPSDEEIDYEDVNSGADDEEENSDVEEKGKNHKKTQSPWDFSSYTESVAEEHARRSTTSIDDKISKIIQQRSHADLIQEKDEVDEDGSTDSEPDRQEDFKPEEDDEVTPTAGGGDSKQFFAKADGVSFHANSFMDLHLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAVTGSGKTAAFALPTLERLLFRPKHRPAIRVLILTPTRELAVQIHSMIGKLAQFITDIRCCLVLGGLSTKVQEAALRSLPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFDAEIRELVRLCPKRRQTMLFSATMTEQVDELIKLLLNKPLRLSADPTTKRPSTLTEEVVRIRRMREGNQEAVLLALCSKTFTSKVIIFSGTKQAAHRLKILFGLAGFKAAELHGNLTQAQRLDALELFRRQEVDFLIATNVAARGLDIIGVQTVINYDYVHRVGRTARAGRAGYAVTFVTDNDRSLLKAIVKRAGSKLKSRIVAEQSITKWSQIIEQMEDQVALVLREEREEMALRKAEMEADKAENMITHRDEIFSRPKRTWFVTEKEKKLVANAGKENGKGSKKQVMSAEEAEERKKKEKKKREHEKNLPRKKRRKLEASREMLEDEAETGGDTRNKKEKSGISLVDLAYRRAKAAKGAKKAADAGKTVRTGKRTKKPSHSTKSRPEEMKELFQSDMSEKKQKRSAQGGGKKSSSFKSKSRYKRR >KVI07891 pep supercontig:CcrdV1:scaffold_927:120019:123613:1 gene:Ccrd_013742 transcript:KVI07891 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MQRHRHPYFKGGCPLSGTDHTILSPFVLPKAQASMEIEQASSSGGYYRRGGDGDNGDETDDHDDDGGGFSLGGSTSMRERATYLVWRDLTVVLPNFGHGHTKRLIRGISGYAEPGRIMAIMGPSGSGKSTLLDSLAGIPDSSPFFERFQPIILLKFSSYRLSKNVVMTGDILLNGEKKKLSYGAVAYVTQEDVLMGTLTVRETITYSAYLRLPTSLTNEEVRDIIEGTIMEMGLEDCADSLIGNWHLRGLSGGEKKRLSIALEILVRPRLMFLDEPTSGLDSASAFFVVQALKSVARDGRTVVSSIHQPSSEVFALFDDLFLLSGGETVYFGEAKDAITFFAESGFPCPTKRNPSDHFLRCINSDFDIVTATLKGSQRHYEELKGSDAYKNFSTSEIKATLVDKYKWSKYAKKTQAKMKQISTQAGWWKQLTTLTRRSFINMSRDIGYYWLRVIIYSIVSICVGTIFFDVGTGYTAILARGACGGFITGFMSPGLSHGIWSNSGTASLDIGRELYDGCRIASSQFLDGDRYRGRNHRNHDDDFGFLSPITRSSKAILALSGVVYKLWIMVATGLVFDGLTPSDPKMTGAEVITKMYRLPLNHSKWWDLFAIFAILVAYRVIFFIVLKSKERASPFFRSMYAKRTLYRLNKRPSFKRFPSSRRHHNLRPLSSQEGLSSPIP >KVI07895 pep supercontig:CcrdV1:scaffold_927:94375:95631:1 gene:Ccrd_013744 transcript:KVI07895 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MMANDHLNGTMPPRCSSFSSRSSRTLAVLTPCAACRMLRRRCVEKCILAPHFPPDEPLKFTVAHRIFGASNVIKLLQELPESQRTDAVNSMVYEANARIRDPVYGCTGAIFQLQNQVNELQAQLAKAKADVLNIQCQYAALVCIKTSRSPQTSSEESFDGLKNSFDESFQSSNPSFYLDNEQDPLWDTIWA >KVF30909 pep supercontig:CcrdV1:scaffold_9271:127:12949:-1 gene:Ccrd_026815 transcript:KVF30909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEYAAALANCSDPFQRDQVYLEQAETAFSARDFFRASSFFAKINYALSFEEITLKFISIGEQDFSMSIGNSSGFEKFKSALSEVDHKMKSLPPTAQVAAQQGEYLAQCFNRMKECDENPEGPIRFRDSGRHRFKPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSTQWLWYSVYASVVTTASYFQSLCSSIMLLSASTAETRYKELAYKDALRTFLLRKLDNLVKDDKCQIMMISTWATELYLDKINRLLLEDDSPETRNSEYQSIIMEFRAFLSDSKDVLDEATTMKLLESYGRVDZLVYFASLKEQHEIVVHHYIQQGEAKRALDVLQKPGVSVDLQYKFAPDLIMLDAYETVESWMTRKDLNPRKLIPAMMSYSSEPHAK >KVF30750 pep supercontig:CcrdV1:scaffold_9272:754:8163:1 gene:Ccrd_026816 transcript:KVF30750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding domain-like protein VVVNNGIVEITLSNPEGHLTGIHYNGIDNLLEVTNDETNRGYWDVVWSHPGSIGTKGVMERLAGTSCEIIVETEEQVELSFSRTWNSSVEGKQVPLKIDKRYILLRGSSGFYTYAIYEHLEEWPSFNLDNTRVAFKLRKDKFHYMAMSDDRQRDMXLPDDRFPGRGEQLAYPEAVLLVNPIEPEFRGEVDDKYQYASELKDLRVHGWICNDPPVGFWQITPSNEFRVGGPIKPELNSHXGPTTLAVFASTHYGGSDLVIKFGEGELWKKVFGPIFIYLNTVLDEEDPRTLWDDAKNQMSIEVEHWPYDFPASNDFQFAHQRGAVSGRLLVQDSVNIDMGDLVYEPPRDGPTLWEIGFPDRSAAEFFIPDPNPKYVNKFLLHEPNRFRQYGLWDXYSELYPDGDLMYTIGESNYTRDFFFAHVLRKIDDGIYEKTTWTIKFSLSDVNKSETYVLRLALASAHQSNLQ >KVF30700 pep supercontig:CcrdV1:scaffold_9276:6138:7935:-1 gene:Ccrd_026818 transcript:KVF30700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWVVAAATGAGYVAKHWQHLSGEKDGSSNPSPMPSPRLQPDPSQAFSKIPNSVFPPPLSGPPPIFIEKQVLQDDLSEIKGKPDYFDTSNHRLHELRTNNTNGKSFSSLRPLVVTSSRRDSGRKQSTQVDDVKDRKIVFMDETGTSVEALFFEQDGSVKLPRRSKQMYVKRFETSNDIVPLFLGIAIGILSTTVNNQHEVEHLNELLEEAESMVRDLHNHLETNDGITINEHGTETIKTATSSPKPNNFELTSDIEAELEAELVRLEQNMTNVEVSNNLISKSTGYLADKLVVG >KVF30699 pep supercontig:CcrdV1:scaffold_9276:394:6793:1 gene:Ccrd_026817 transcript:KVF30699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MDESRDPFLQSNVVDPANGYSSRSDPDKRGLQNFIGPGFPGLKKRGHTLGNRSWIKIEQSGNSKVVELDKATVMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVFLMNSLDGSVVQYKSELCKRLQKEKYNSDDLPFEFRALELALEFTCLTLDTQVKELEMEIHPVLDELAKSISTLNLERVRRFKGHLLALTQRVQKVCDEIEHLMNDDGDMAEMYLTEKKQRNLCNDLYDQVQGNILISPGVGLGSKSAPVSPVGSASGSHKLQRAFSNISAHRHGSMLSSSATAETENIDQLEMLLEAYFVGIDNTLNKLLSLKEYIDDTEDLINIKLGNIQNQLIKFELLLTAATFLATVFAVVTAVFGMNFEDNVFNEPNRFQWVVSVSVTLCGVLYICFLLYFKHKKIFPL >KVH93631 pep supercontig:CcrdV1:scaffold_928:111621:126155:1 gene:Ccrd_004317 transcript:KVH93631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein MKTRWRCKLDGKLMSSECSAAYVLHGSANGSNTWSENSSLVSAGSAHVSPTHSVGKPITLLGYAISGQPKGNTKTKQKTGKEQYQSKNLVTERNRRHLIKDGLFALRSLVPKISKMDRASIVGDAIEYIKELETNVQDELKFKWKYTKLGLKISAVAEGSKVDAVQTGAVDASKEPKAAGTFSLKGFIFKT >KVH93630 pep supercontig:CcrdV1:scaffold_928:155719:157732:1 gene:Ccrd_004319 transcript:KVH93630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKQILCLLVLSFFVVSSYAQLRQNFYQTTCPNVESIVRSAVNKKFQQTFVTIPATLRLFFHDCFVRAGGPSYKVELGRRDGRISTISSVQHRLPHPDLKLDQLNTMFAAHGLSQTDMIALSGAHTLGFSHCGKFSGRIYSKKGIDPTLNRQYALQLRQMCPLKVDPRIAINMDPTTPRTFDNAYFQNLQQGKGLFASDQILFTDSRSKSTVNQFASSNNAFNQAFVNAITKLGRVGVLTGNNGEIRRDCTRIN >KVH93629 pep supercontig:CcrdV1:scaffold_928:126499:127066:1 gene:Ccrd_004318 transcript:KVH93629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVNIQNGIQRIIDPALRDEYDVQSMWKMAEKALMCVQPHANMRPSMSEVIKEIQDAISIERGVNGEFFSFIIEYGIA >KVF30203 pep supercontig:CcrdV1:scaffold_9286:2746:9264:-1 gene:Ccrd_026819 transcript:KVF30203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDFSNLSMLKELYLDGNNIVSLPNCVRGLPRLEKLSIDHCKRLTILEHPPLTLRHLIMGFSHLSKVVFDREMSPIMLSTWTGCRSFIEGMFKVENMADVEEEVLRSLGWTNLDFTKNQLTESKVEMQYEFGIFSTRYEGKEMPDWISDRREGSSISFTIPSSPNNLKGLNFCIVFTAIKGFLHVVGKIRISNITKNRTWIYSCFGSFESTREGIIVYLSHWMFGKSEMEDGDEVTATVTVMQADEYIGKALDVLECGISLVYDDGKKKMEEEEDVLXYYKSWNHIIGGDLSPFQTTTPGVYDLNRWHFFGPNYINDYKSVLCLELSLKASQHSWWGDASNLIKADAISTFKKLQRELSGSCSKSKRLLRSLKILNLSFCEQLRNIGGFFEFPVLERLILSNCSSLIEVCESIEHCDGLDLIDLSYCNNAWKLLGTINKVKNVKILKLDGCNLGETMIEMRDDVEETLNRNHIGMNSQTSSSAIVEAIPRAFESYLIYLPSSLVCLSLANNNLSNESFPMDMSSLSMLTELHLDDNLLVSLPNWVKSLSGIEILGIRNNFVMLFSNVVNANDGENIEGIHKEEDMRDVEEKVLRSLGWSHLVNLDFTKIQPTDHGGVKRRVKMGYEFGIFSTWYVGKEMPNWISDRREEGSSISFTIPSSPCNLRGLNFFYVLTTGKFITNDGEFFAYISYIRISNITKMCTWIYDVPGWYKGSREGLTYLSHWMFGKNEMEDGDQITISMLMDYDDDADFGIRECGVGFVYDEDEDEDEEEEVLGYYKSWNHIIGGDLSSFQTTTPGEYHLHRYRFITRTFTWADYRCVGEYKHNHFLVGLLAFVISKVPNTSFVEVCLLLYMY >KVF30110 pep supercontig:CcrdV1:scaffold_9289:4:3154:1 gene:Ccrd_026820 transcript:KVF30110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYCSSLIGDKNGTMANFTKVAXISHDLRYFQIQGIANVALYASSLMLLFMPPLLGTNWIDYSLHLQVWKCWNGPRRTSIMCCFSFRYPSKRNIGDYQQIHD >KVH88433 pep supercontig:CcrdV1:scaffold_929:127358:127999:1 gene:Ccrd_026821 transcript:KVH88433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MEFDLLIQVALISITVFMFLWMQNIPQNLFDKIRYRDRTSYAAKRHFVLGAELLAKSRSTTDRTTSNKLAKSAAEQADESISLNPKDAAPHILKALALDSQGFTTSALDALDVALSPLAVKSLSDGEKGDALYKRAEIKVKASGKGDGVESALADLVESVRLKADNAAALRLLGECYEKKDMKEEAVKAYMGAVKIEPDSKVARDALVRLGST >KVH88431 pep supercontig:CcrdV1:scaffold_929:131932:143682:-1 gene:Ccrd_026822 transcript:KVH88431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G09700) UniProtKB/Swiss-Prot;Acc:O04492] MAIVKRVMRNDSADDEGDCEASDEERFGQRRRRLYMDEQLQSVSNCYVFKSRLQEYAQKAGLTTPIYRTIKEGPSHQPLFRSTVVVNDESYHSLTGFLNRKAAEQSAAEIALIEIAKSGATDKSVSHPVHETGLCKNLLQEYAQKMNYAIPSYICTKDEKKGRESPFSCTVDIGGIKYIGASAKTKKEAELKAAKTALLAIQMSAPEPNGKPDTPDTESIYTVVPTKRKAPERPVVETEEKVKTNKRKKSRFHKRRKKKVEASGDVEVKTNDPSAAEVNDLKSNGGSVLVGCGNPGSVLE >KVH88432 pep supercontig:CcrdV1:scaffold_929:143519:147356:1 gene:Ccrd_026823 transcript:KVH88432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MFPLRFKSRPSGRIVPHHSLHNRLRRWPNRSSSLASQSPSSSAESFLITRFTIAIVVGRIVPHHPLHNRLRRWXNRSSSLASQSPSSSIASNRLLFFRLYMTKLAEEAGVLASSLCHEAATRQFNGYELDGRQLRVYSGPPPSRGESSFGGTSREGGHGGFGGGGEGRSYDNTNKDQGNVLEARVIYDRDSGRSKGLGFLTYSSANEVNSAIESMDGPNVDGRNIRVSVALKLNKYLNSKQSYGSVFDHYKQRWPTKTRKLQP >KVH88435 pep supercontig:CcrdV1:scaffold_929:158468:164059:-1 gene:Ccrd_026825 transcript:KVH88435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEVSGGGKPIIGGGGGGGVDRVNSERNQIRVKRKTLEAVLDQCQRALELLSTTGCIQDDEGSGEEVVDDDGDTTEPSSGRRESSTQPQDGEADLLCSLLKSRVECPDFLEKLESAQVTVPQNLAEENSSWDMVSENDLWEGGNVVSDEDYVLVRQDDIVDGIACFMAAYLLSLKETKDLSPKQLQDALSKTFSMKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPAILRVASAAFWTSCNVISKLF >KVH88434 pep supercontig:CcrdV1:scaffold_929:148706:156408:-1 gene:Ccrd_026824 transcript:KVH88434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MIEWLKQLRIAFGTSFLWLVCLIYFTQGFRSFVWTAVSYQLKDRLKLSPSASQFVTSIAFFPWSIKPIYGILSDCIPIKGRKRIPYLVIATLLSLFPWVILGLQESIRNSRDQLMIFLLLQNLGSAMADVVIDAMIAEAARLEKAKFAGDLQSVSWMTMALGGMCGSLLGGHALSNFPMENIFLLFAVLPTVQLLSCAFVKESPVCSKPSSEVSTSNGDDGPNGNISDEDEFSTGMPKTKTLRRKKGSKKKKKITINRNNDKMPEKDGSFPSQWFRSLRVAGFPTANYSEVTIPNLSTVMFYYQTEVLNLEASFLGTARVIGWTGLMLGTFIYNRFLKKMRLRRILMFAHVALSLLTLLDVVLVTRLNVSLGISDQTMVLFGSALSDAVNQFKFMPFLILSGHLCPPGIEGTLFALFMSINNLGSTIGSFVGASLASILNISSGSFDNLSYGIVIQVICTFMPVGFLFLIPKEATGISA >KVI02695 pep supercontig:CcrdV1:scaffold_93:93349:94869:-1 gene:Ccrd_018995 transcript:KVI02695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MAEEGGGGGGGGGGGVVGVAEVVLVVREFNPKTDSERVEQVEKSCEVGPNAELSLYTDLLGDPICRVRNSPAYLMLVAEVVYAGGDEAEKTTEIVGMIRGCIKTVTCGKKISRNKSGDSPRPLPVFTKLAYILGLRVSPSHRRMRIGLKLVCRMEEWFRDNGAEYSYIATDEANEPSVSLFTEKCGYSKFRNPSVLVHPVFAHRIPVSNRITIIKLTPSDAESLYRRRFSTTEFFPRDIDSVLNNHLNLGTFLALPKSYIWAGSENFLSDPPQTWAVMSVWNCKDVFKLEVKGASKLRKGFAKTTRVLDRVFPFLRLPSLPKIFSPFGLHMLYGFGGEGPLYTRFAKALFGFAHNLAKDYKCGVVATEVSSEDPLKLAIPHWKVLSFTDLWCIKRLGEDYSDGSVGDWRKSQPGLSIFVDPREF >KVI02702 pep supercontig:CcrdV1:scaffold_93:485381:487312:-1 gene:Ccrd_019015 transcript:KVI02702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine peptidase, asparagine active site-containing protein MATAATTYALVFCLIYSLTYISAGEEKSPPRRTEEELKNTYELWLLRHGRAYNALGEKERRFQIFKDNLRFIDEHNFSGNRSYTVGLNRFADVTNDEYRSMYLGTKSYADRRIAKLQRAGISQRYAVQSNERLPENVDWRKSGAVAPVKDQGSCGSCWAFSTVAAVEGINKIVTGKLISLSEQELVDCDHKENSGCNGGGMDDAFAFIISNGGLDTESDYPYKGVDGNKAKVVSIDGYEDVPPMNEKALMKAVAHQPVSVGIEASGLGFQLYSSGVFTGSCGTDLDHGVVVVGYGSENGKDYWIVRNSWGSRWGEDGYLRMERNVVGTRTGKCGITMMASYPVKYRSKNPNISNNVYTDQISST >KVI02705 pep supercontig:CcrdV1:scaffold_93:495930:499941:1 gene:Ccrd_019016 transcript:KVI02705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MVPVATSAAMGCPIQNLSAANTSSEEQYISSEERAWAHWRKLKQPKLIVAPMYEGSELPFRMLCRKYGAEAAYTPMLHSRNFAESQKYRSREFSTCKEDRPLFVQFCGNDPDILLEAAYQVESYCDYVDINLGCPQRIASRGNYGAFLMDDLPLVESLVKKLATNLSVPVSCKIRLFPVLQDTINYVKLLEDAGCSLIAVHGRTRTERTSERANWDAIKSIKSAVKIPVLANGNVRHMDDVQNCLETTGAEGVLSAESLLDNPALFAGFRGGEWVVDGDDGFEDGKLDRGQLVVEYLKLCEKHPVRWGIVVSHVYGMLREWLEMHPDVRDDFNNEKNLSFEYLYSMVDRLRARGVYFPLYVKTRT >KVI02706 pep supercontig:CcrdV1:scaffold_93:429696:432404:-1 gene:Ccrd_019011 transcript:KVI02706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, C-terminal domain-containing protein MMKEVNVCSQNPTFAFEDGLFCDEQEKDLDFGCGFDHEIQISKRKDHLFTHFEHDLLWEEDELSSLLSKEKNNVSGDGDLICNEVLMGLRKESVDWMIRVSTHYGFVAMTTILAVNYFDRFLLSPSFQREKPWMSQLAAVACLSIASKVEEIQAPLLLDLQVEGSKFMFESKTIMKMELLVLSSLQWKMNPVTPLSFYDYIMRRLGLITHHLHSEFVRRCERIVLAVINDSRSLVYLPSVMATATMILVIKEVDPTNALDYQNLLKGFLEINEEEVDDCSKFILEVSDNHGTKRKYHFAPGSPSGVIDSYFSSDNSSDSWAVASSSVSSSPEKPLFKKIRAQEQQMRLGPVCRVSIRTLNNAH >KVI02697 pep supercontig:CcrdV1:scaffold_93:35139:43184:-1 gene:Ccrd_018993 transcript:KVI02697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MKAHILSFVLIFSFFILVRAKEFQDSTSKAIFQRRILHQPLFPAGPTPPSGPEQPPPPPPTPTKSDHPFFHENPNGQTPDQALQPPPPPQAVVAGVTANDTAVTHPIAPQPANKPAKKIAVAISVGIVTLGMLSALAFFIYKHKLKQQSESRKLAGGNSLPRSNEEISRNMPPATFLYIGTVEPSRSTSETTNGGLNGSPYNKLNSIKRSDRYRPSPELQPLPRLTKPPPPPTTTSPPAMSSSDEEEIQDFYTPQGSSASTDDGYSVPSLKQSHRSNKKDKNSSVFRSTSPLLNSKRTSPRSRLSVSSSPDTKHTSPSSEKLPPAAQPPPPPPPLLSITNGHRRAKFAAPPPGADMTRLHSVNNHFQQKPKIPVPPPPPPPLTPPEKSGVSPMKTVSTSSSQSMNPTPKVIPKIVKSMSFQEGNNDGVESDDLDGSKPKLKPLHWDKSLFGCDSGGSGKKDDGRKSGIPPAIQENRVLDPKKSQNIAILLRALNVTQEEVSEALLEGDISKLGSAERFLKAVLDIPFAFRRVEAMLYRANFENESSRLFLKLLEAVLLTGNRMNIGTNRGEATAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGIDSDTKNLPTNPTFNEGAFKKQGLQIVGGLSRELGHVKKAAGMDSDVLSGYVAKLEMGIQKIRSVGQETPEIQENFFRSMRIFLKEAEIEIAKIKIDEKKALASVQEVTAYFHGDTAKEGAYPLRIFTIVRDFLGILDHVCKEVGQMQDRIMVGSARSFRVPTGAPLLAVSRYNVHRSSSSDEESSYSSP >KVI02715 pep supercontig:CcrdV1:scaffold_93:350820:351965:-1 gene:Ccrd_019007 transcript:KVI02715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MFMACSNPKKDIDRIKGPWSPEEDDMLQQLVDKHGPRNWSLIGKSIPGRSGKSCRLRWCNQLSPQVEHRAFTEEEDETILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSMTNEEFNEFSIQQPSLKRSVSAGSAVPVSGRYFNPGSPSGSDVSDSSVAAISSSHVYRPVARSGAVVTSQIEVATSSPPPPPPPPLPKDPPTLLSLSLPGVEPKESTSTATPMLLMPPKPVASQLPPPPPPPPPPIPIQAMPLRQVPIDRQQNLSLNNTDLMSSIPMAISTAMLQLKVSRDPVAAVGQPEKSFTPFSAEFLSVMQEMIRTEVRNYMTTVEQHNPQQRSGDGGGMCMKQAASGVGEGFRNKAVVKRIGISKID >KVI02696 pep supercontig:CcrdV1:scaffold_93:79150:80416:1 gene:Ccrd_018994 transcript:KVI02696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MLKMAVFFLPLFFLCVSVAGQELLQILSLHQHDSTTEPLQMSLGVTVHQEKLNEVSTSVLMAEKWLRAHVLSIYPSTNVNSIVVSNKLLCDENGFGNHEEISGFTVAAMENIHHSLVRWGLEKKIKVSVFITSKCLQNSYLKPVFAFLEEMNSTCTMKLPDFSDETFGILSSQLTSLADLGVFRSKTLNILSSVSKPTSRKLSFIDPVSVVGYSVPSDAATTPLPPLIGITSPPPLTPPLAPEMQPPMTSPMYSSPPHYGVNLPPCNPHPRGRHGGGAMAAPPPLVGSGGSTAAPPFSNEGVWCVAKPSVPSEKLQEAMDYACGEGGADCEAISPTGSCYFPDSIVAHASYAFNSYWQKNKNNGGTCGFGGTAMLINSDPSKQLSRVQNPTFQIQNLN >KVI02704 pep supercontig:CcrdV1:scaffold_93:503955:509984:1 gene:Ccrd_019017 transcript:KVI02704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MSTDFQSIPLIDISPLVAKCDDPDVGEDKDVAEVVRLLDQACREAGFFYVKGHGIPDSLIKEVRDMTHEFFDLPYEEKLKIKLSDATGYRGYQRVGENITKGIPDRHEAIDCYKELNKGMYGELSRKIMRGIALALGGSVDEFEGKIAGDPFWVFRIIGYPGAEGLQKNDVGCGAHTDYGKFLQFRLGIPWIIVRNVSGEWISAVPIPGTFVCNIGDMLKILTNGLYESTLHRVVNNSPKFRVCVAYFYEPNFNAAISPLDMCVKKSGGNKKFESAVYGEHLVSKVLTNFTY >KVI02699 pep supercontig:CcrdV1:scaffold_93:14281:18079:-1 gene:Ccrd_018991 transcript:KVI02699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porin domain-containing protein MPMSQFQALTSTATKKGGLSTGDVGAVYKYKNTLVDVKLDTESNLEVQYFHHHASLTSVVALSQTPTIDLSATIGTPTFVIGAEAGYETSS >KVI02692 pep supercontig:CcrdV1:scaffold_93:210578:211927:1 gene:Ccrd_018998 transcript:KVI02692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MMQFTETLPPPLHQTTKPCQNFATSVNPNQTHRTRPWPGFPAPNKPLGTFGDANCMEQLLVRCANAIETNDATLSQQILWVLNNIAPSDGDSNQRLTCGFLRALIARAAKTGTCKMLTAALSNSNITIQTHKFSIIELASFVDLTPWHRFGFTAANAAILEAVEGYSTVHIIDLSLTHCMQIPTLIDAMAGKLEGPPVVKLTIAGATEDVPPMLDLSYEELGIKLVNFARSRNIILEFTVIPSSSLDGFSSLIEHLKLQTLVHGNETNEALVINCHMMLHYIPEETPTTPFSFEDATPRALFLKQIRSLNPTLVVLADEDADFTSTNLVCRLRSAFNYLWIPYDIVDTFLPKGSKQRQWYEADICWKIENVIAQEGSHRVERLEPRSRWVHRMRNTGFRGVGFGEDMVAEVKGMLDEHAAGWGLKKEEDDLVLTWKGHNVVFATAWVPT >KVI02700 pep supercontig:CcrdV1:scaffold_93:519122:520072:-1 gene:Ccrd_019019 transcript:KVI02700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTINNGGTKLILLHPYIQKQGSSGRLYWLIAAVSIFAVASLLTFVYTRESFSTTITATVPSITTTTTTTILDHQMLPKSIAKALIHYAANTNSSDHMSHTDIKQISDVLRQCLSPCNFLVFGLTPETLLWNALNHRGRTVFIDENRYYAAYIEEKHPEIEAYDVQYTTKISELHELVTSVREQARNECRPVQNLLFSECKIGLNDLPNQLYELDWDIILVDGPRGYWPEGPGRMSAIFTAGVLARSKKGGNRKTHVFVHDYKREVERVSSDEFLCKENLVKSSKDLLAHYMVERATEESNNHQFCRSHYSTTAI >KVI02716 pep supercontig:CcrdV1:scaffold_93:306743:309022:1 gene:Ccrd_019004 transcript:KVI02716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MDINIITFLFLLMGFCCLSFAADKNTYIVHMARHHIPATFGDDHIDWFQSSLKSANGQGDVIIGILDTGVWPESKSFDDTGMGPVPSAWNGACETGTNFTASSCNRKLIGARFFANGYEATLGPIDQSKENRSPRDDDGHGTHTSTTTGGSVVSGANLLGYATGSARGMAPRARVAVYKVCWVGGCFSSDILAAMEKAITDNVNVLSLSLGGGTADYYRDSVAIGAFAAMEKGILVSCSAGNAGPTSYSLSNLSPWITTVGAGTLDRDFPAYVSLGNGKNYSGVSLYRGPSLPNKMLPFVYAGNASNSTSGALCMPGTLIPEMVKGKIVLCDRGVNARVQKGSVVKEAGGAGMVLTNTAANGEELVADAHLLPASTVGQKSGDEIRKYVISDSNPTATILFEGTKLGIQPSPVVASFSSRGPNTITPEILKPDMLAPGVNILAGWTGAVGPTGLADDSRRVEFNIISGTSMSCPHVSGLAALLKAAHPDWSPAAIKSALMTTAYTAYKNGKIIQDLATGKPSTPFDHGAGHVDPVSALNPGLVYDITADDYSDFLCALNYTAAQIQLVTKRNTTCDSSKTYRVGDLNYPSFAVVVPSDSSHKSGSTTVVKHTRTLTNVGPASSTYKVSTSSDSNSVQISVVPETLTFSQVNEKKSFTVTFTAGQMPVSSNVFGRIEWSDGKHIVGSPVAVSW >KVI02709 pep supercontig:CcrdV1:scaffold_93:456523:458160:1 gene:Ccrd_019012 transcript:KVI02709 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MGQSSSTHAPSPLPPRSTQRHHRSISLSSSFSARSDIFSFMHSKKEPSFEVPDAVTTTIDYTSEIPDECLAIVFQFLNSGERKRCSLVSRRWLLVEGQSRHRLALNAQSDLIPVIPAIFSRFDSVTKLALRCDRRSVSINDEGLILISLRCPNLTRLKLRGCREITDVGMAGLAKNCKCLKKFSCGSCMFGAKGINALLDNCSSLEELSVKRLRGINEVGTVESIGAGAAASSLKTICLKELYNGQFFGPLISGAKKLKTLKLLRCLGDWDRLLEMIATSDNCLVEVHLERLQVSDVGLSSLSSCSKLETLHIVKTPECTNTGLIAVAEHCKYLRKLHIDGWRTDRIGNEGLIAIAKHSVNLQELVLIGVNPNSISLEAIATNCQKLERLALCGSETIADGEISCIASKCVALKKLCIKGCPVSDEGLEAFAWGCPNLVKIKVKKCKNVTCEVGDWLRARRGSLVVNLDVCEVEAEVVDASTSDGVQEDAVEFPPIVRHVADGQPNPLTTGSSSSAAANGRRPSTFRTLVSFFGHRGFAPCAFRR >KVI02691 pep supercontig:CcrdV1:scaffold_93:213506:219611:-1 gene:Ccrd_018999 transcript:KVI02691 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ fold MGDAQQERAAMATAHNMMPANKKELMNNAMKRTTEWIFSQEILSDVTISVQGVSFSLHKADHLVEINDIPGGPKGFELAAKFSYGINFELTFDNIAMVRCVAEFLEMTEDYAVGNLVARTEAYLNEVGFRTLAGAVSILQSSESLLPMAENVKLITRCVDAIALIITTESQSSLSTSIDFSSNETIVSLLPREKNGVSVRFLSLLLRSAIYLETTVACRLDLEKRMGLQLGQALLDDILIPSFRFDGDTLFDIDTLSAEACAHAAQNERLPAETVVQVLYYEQQRLQETMDDASKPSPFLEGMSSLQKENEDLKLELLEVKCRLKEVKKSYPDKSPSRGRSFMSLVSKKFVKLTPFLWADHGISPSSTRSRNKNH >KVI02701 pep supercontig:CcrdV1:scaffold_93:512720:515279:1 gene:Ccrd_019018 transcript:KVI02701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MRFKPSAANFQHFAKHHLNNRLMAFSTPTQTLTSHSTAMADADDHLCSETTDTSAPQPSSNRESSFFETPNGYLTGEARIEKAWSHWKKLGEPKMIVAPMVDNSELPFRILCRKYGAEAAYTPMLHSRIFSENDKYRSQEFTTCKEDRPLFIQFCANDPETLLQAARRVESHCDYVDINLGRGNYGAFLMDKLPLIKSLVEKLALNLTVPVSCKIRIFPDLQDTLNYAKMLEDAGCSLLAVHGRTRDEKDGKKVRANWDAIRVVKNALRIPVLANGNIRHIDDARNCLEQTGADGVLSAESLLENPALFAGFNDHGKVDRGELVVEYLKLCEKYPVPWRMIRSHVHKMLGEWFRIHPHVREDLNKQSRLTFEFLYDMVDRLRDL >KVI02712 pep supercontig:CcrdV1:scaffold_93:256161:260101:1 gene:Ccrd_019000 transcript:KVI02712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP RIYFTPIRKIPFYPVISFNHQKKFGINLPFFEPLWRFEPSLSLATAMELFQELVFTISLSLIVSLLVVKLFSMASGGDDGNSTVSKRVEEKIEKEWVVCDSEKEEKAGYFEDAVEVSKDVVCGGYVEAELGSGCVMEDTKSVGEVGASYVFDESPERTDFKDASVGLVKSGEVNVSGSSIQEDCMKSIVLQQESIAVDGGDDGGEVKIEEGDGILYDDWQGIETTELEKDFGAAVAFMGSKISADRVSLIDNEVKLQFYGLHKVALEGPCFESQPMALKISARDNWNAWKRLENLGRDEAMAQYIALLSRHVPDWMGNHASEDDMQDFIATRISGKLHSDEETPIGAESERTLEQMNPCIDLMAVEGSTIIIGEKQ >KVI02713 pep supercontig:CcrdV1:scaffold_93:265328:270725:1 gene:Ccrd_019001 transcript:KVI02713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MGVVIESEVWENNQPLSIFIFLSCLLSVVCLPHNSSRISTVFDQSLPSSLLRFQRNFLLLFCISSVMEGMWSVFGEYEMAYFGASREQMVSYLIIGCVSAFFVGTCLGMLSDLIGRKKVCLVFCILHLLVAVWKRLFSHPSILLASICLSLASSIFLFGFETWMVVEHDKLGHRLDSLNEMFWLMTFLESASLIGSQVLANWLVNNDIEKNVVSPSTSTALLALISIVFITRGWRESPPSTFKEYRVSLSTHIFFDKRIWLLSWAQCCVQFSVAAFWILWAPTIVADGREVHLGVIYPCLLGARMLGSTMFPWYVPNELRGGMISLSLAPANAAILLCLIQRGYNGSIANSTIIAFGAIGLLSAAGCMHLLKQSGKQSPHQNFHKQ >KVI02719 pep supercontig:CcrdV1:scaffold_93:404277:408078:1 gene:Ccrd_019009 transcript:KVI02719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MTNLHLCFMNLPFLLLFFLHPSSALTIDGIVLLSFKYSVLDDPLGVLDTWSYSHETPCSWNGVVCGFSDNRVTGLSLPNSELVASIPANLGLIEHLRELNLSNNSINGSIPLSLYNAPELESLDFSNNLISGELFGFVGAWRSLRVLNVSGNSLTGKLPENLALLANLTVVSLKGNYFSGELVSGFGSVKILDLSSNFINGSLPKELGSGDLDYFNVSHNNISGEIPPEFANKLRTNVTIDLSFNNLAGAIPESSVFFNQNERQFAGNPELCGKPLENLCLVPSSVSPLPNVSSGSTTFPPAIAGIPETIPSSPSSNSPNTSDASSKTKFKTTTIIGIVLGDIAIVTILALIFIYVLRKRKGVTSTHRNHEKDSNSNKEYDWASSSDEEHKWLRSWACLMKRKSTEGEESLAETSSSECSETEIPQPERSKPDNPARKEADKKGLVTVDGGGKELELETLLRASAYILGATGSSIIYKAILEDGTALAVRRIGENGLERFKDFENQVRVIAKLVHPNLVRIRGFYWGADEKLVIYDYVPYGSLANARYRKVGSSPCPLPWEMRLKIASGTARGLLYIHEKKQVHGNLKPSNILLGSDMEPKIGDFGLERLVVGDQSCKVGGSTRNFGSKRSNASRDSFPDIMIGSNPSPSPSAMGCISPYYAPESLRSLKPNPKWDVYSYGVVLLELLTGKVIVSDEFGPASMTWSSTLEVEEKKKVLRMVDVAIRGDMEGKEEALLALLRVAYSCISSVPQKRPSMKEVLSALDKFPTAFSYY >KVI02708 pep supercontig:CcrdV1:scaffold_93:464133:471069:1 gene:Ccrd_019013 transcript:KVI02708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MEKIEKSPKEVLVDIVKLAQKRGMKGSNGGWKDFLSVYDKKVGISLSDPARRTPEALIAFLKTFSNDEDLKVTGLSGTFSYISMQELVYKTVGHPQYALSYSFPSHEEGWLVTKHRKKNKAMRSNAMVAVDCEMVLCEDGTDALVRVCVVDRDLQVKLDELVKPEKAVADYRSNITGVAAKDLENVTCSLEDVQESMMKLLARGTILVGHSLNNDLQALKVDHSRIIDTSLVFKYWSGSNFRRPSLNDLCKASLKCLILGYELRKEGAPHDCVEDARAAMKLVLAKIEGGVDNTLPSNQNDVHETEAMKLLCHRIPINIPGEKLLEVIPGDFTIESKANKKAGGDKYSAFAVFKNKQEADQAFEKLEGDQVKDSSGRPQKLISFKLDSGIAGSIYVRKMVEDSDNVQIPSKKRAAEDDIPTQPKKLKTEPKETIECDHCDGYLKEIETLKKKLGERDQEISTLHKIIVSQARKQTGL >KVI02698 pep supercontig:CcrdV1:scaffold_93:28388:33806:1 gene:Ccrd_018992 transcript:KVI02698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting P-type ATPase, subfamily IIB MTLMILAMCAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFRDLDKEKKKIAIQVTRSGYRQKLSIYELLPGDIVHLAIGDQVPADGLFLSGFAVSIDESSLTGESEPVMVSAENPWLMSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATVIGKIGLFFAVVTFAVLVQKLFSRKILVGTQWSWAADDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMQKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNRMTVVKSCICMNAKEVSKQGTSDIPEKALKMLLQSIFNNTGGEVVKNKEGKQEILGTPTEAAILDFGLLLGGDFQAEREASQILRVEPFNSSKKRMGVVLKFPGGGVRAHCKGASEIILAACDKVMNANGEVASLQEGSLNQLKNTIDQFAGEALRTLCLAYIDLEGGVSAETPIPSSGYTCIGIVGIKDPVRPGVKESVALCRSAGITVRMVTGDNINTAKAIAKECGILRDGGIAIEGPDFREKSLEELNNLVPKIQVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNIVALMVNFTSACLTGSTPLTAVQLLWVNMIMDTLGALALATEPPNDALMKRAPVGRQGNFISNVMWRNIFGQSLYQFVVIWLLQSKGKSFYGLYGYNSDLILNTLIFNSFVFCQQPCVPERSRCNRHLPNHNNRISGYLCKYNTTNNDAMVLQRFDRVFEHADCGDIEDDPCLSSNLAKQLVEFSSLSFLKDC >KVI02711 pep supercontig:CcrdV1:scaffold_93:279138:284334:-1 gene:Ccrd_019003 transcript:KVI02711 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MAVYGAYSTFLSLCRRHHHRHRYHSFISSPLHTYHNITGFLFCFSNDRPMSTSAESVEPPELSGRNAYDLLGVSESCNFAEIKASFRKLAKETHPDISQSPDGFSNSNRFVQILAAYEILSDTEKRAHYDRYLSSQKLAFRKPSRQGLAMYTYESYEATEKQMEVVEWLKWYRYAINDIVSEKRMVDGTGYFDILENNFYSAIHAAYYGPLIQSMNFLPDCFEADERSVPGTHEVLHLVSGRDLFGKVCIAKKVPELSHACNEQLPLHQSASLDVSYTDHDYGTRISSEETSVGSCQKQVRISGHHTCDAYKDLELHVSGKLVAVANRVPPKSSTIDMPNEDCQDRIHVYLNLHEDHMLSGAEFNKYSEEDAVASRMLLGTITGLGTSAEEGSCFVHNNYGIQTHVVMKHRTLLVKHMHWYQLGDEASVCECRCTRARLPPSKYWLFEPRSGLHDIGGWYVETYGKDKKGKTVPSQRYWDGVDLTEPSGR >KVI02717 pep supercontig:CcrdV1:scaffold_93:313090:313359:1 gene:Ccrd_019005 transcript:KVI02717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-18 MDGRQEGKKSEDLPVNSSPYTQYKDLEDYKQQGYGTQGHQQPQPGRGAAASTDAPTSGGGTLPSQPQKGSDPAGAPATATDTVNQYGVP >KVI02714 pep supercontig:CcrdV1:scaffold_93:335924:336820:1 gene:Ccrd_019006 transcript:KVI02714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKNALVLVFIGIIVASVGGQAPAASPTKTPLPTVTAPAASPSNADAPKAPTSAPTTAPAKSPDTAPPTAAVPAPALSAPPAKTPVTSPPAAEPVSSPTTSVQSPPVPEPVSSPISSPLAPAPSKNRTKEKKQNAPAPAPGAAAPSEAPVTSTDSPSPGPSAAVADESGAEKLKIAQMVVGGLILGLAGFSWL >KVI02710 pep supercontig:CcrdV1:scaffold_93:274388:278804:1 gene:Ccrd_019002 transcript:KVI02710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf36 MIRLNVYCSLLSCPSQKVKDHRRISPFNARKWNPGSSQRFITSMALKDEMDGRFSNLPGQSWEPGLEIEVPFEQRPVNEYSSLKEGPLYSWGELRPQSFFLRLGGLWLVTFTVLGLPISAASFNPSHDPLRFLLAAGTGTLFLVSLVVLRIYLILARDRLLGSYKVKPVIKLLKQTLVGTGALLVTAVSLIIFATPVEDFIQNTFAMKGNVVATKSGVNMSKEELLSLPLGVKADDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLLK >KVI02718 pep supercontig:CcrdV1:scaffold_93:385472:388825:1 gene:Ccrd_019008 transcript:KVI02718 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MMMKSPIIIPSRRPPSSLTVRASMDNNPQQIDPPKKKVVVCGGGVIGVCTAYFLSKKGAAVTLIEQSSIACAASGKAGGFLALDWCDGSPVSSLARASFGLHRSLAEELNGRELYGYRPVNALSLSIAESENPPRSRKSKLPPWIDGPAKTPKTIGTTETTAQVHPQLFTRTLMEKAVAEYGAEVVIGKLLSVETGGGKISAVVEGGGVIDGDAVVLALGPWSSKLTLLSSIIRVNGLKAHSLVLEPKEMDAITAHALFLSYQPAHGGQPMDPEIYPRPTGVEARVKAEQACFLPCTDDSIPVIGEIPGMAGCFVATGHSCWGILNGPATGAAMAELVMDGGSSIVDLTRFSPARFV >KVI02703 pep supercontig:CcrdV1:scaffold_93:472281:483649:-1 gene:Ccrd_019014 transcript:KVI02703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MASESAVSSQSPTEVTQVSDVKTSASTVSAQTNSSAVDSSLVKSTPIVSSSDEVPNFPKPMVPAQNSLQAENTGKWAIARLASGFGLHLKAAGANDNAGGITPTSPSAVLQSVGKGLVDTSLGAVKAVQVKARHMVSQNKRRYQEGGFDLDLTYITDNIIAMGFPAGDMSSGLFGYFEGFYRNHMEEVIKFFETHHKDRYKVYNLCSERLYDASLFAGKVASFPFNDHNCPPIHLVPLFCQSAYSWLKADILNVVVVHCKAGKARTGLMICSLLLFLKFFPTAQECIDYYNQRRCVDGKGLILPSQIRYVKYFERILTEFNGESPPGRRCMLRGFRLHECPYWVRPSITISDHNGILFTTKKHPKTKNLMPEDFWIRAPTKGIVVFALPGEPGLTELMGDFKIQFHDRQGDFYCWLNTTMMENRLVLSGSDFDDFDKYKTSDKPSTSSNDSSAPYKDPNFNQNKASGDQENDNVFSDSDDGDEPASTDRNRPNLASRPGTAPPSPPPAESGKMEAEVTNLRKNTEQLSLNSRQQSIQGSNNTRNEQVERSIPNLNSGDIKAIAADASVFSFGDDEDDESE >KVI02694 pep supercontig:CcrdV1:scaffold_93:121429:122654:-1 gene:Ccrd_018996 transcript:KVI02694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCHIEVCTHASHEYGQKAAPPPPHTSPVFTAPPPPSLVAAAGKAGAIVGAYGFVYASHSTDSKKTDNGDIIKKYLNILGVISFLGMVLTFLLPETNGKSLEELSGENEKDSEPTPTADRRSRSGPVRLID >KVI02693 pep supercontig:CcrdV1:scaffold_93:168872:169705:1 gene:Ccrd_018997 transcript:KVI02693 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MSCNGCRVLRKGCSENCVLRPCLQWIQTPESQAYATVFVAKFFGRAGLMSFISAVPDNQRQSLFQSLLFEAAGRTVNPVNGAVGLLWTGNWHVCQASVETILRGGTLRPIPELLNGHPATPELGDSSETDVMCTDMFQLQDPITHSRSKVQKRRRSYEPQSSMTQLQDLDLNLNQSFLAKKGKPEKQRLGSPSMNSEDSVTTTCLDAVFRDYNHNPRGRGDGEPQILNLFN >KVI02707 pep supercontig:CcrdV1:scaffold_93:410545:415468:1 gene:Ccrd_019010 transcript:KVI02707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calponin homology domain-containing protein MATNIGMMDSAYFVGRSEILAWINSTLRLNLSKVEEACSGAVHCQLMDASHPGMVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVSGGGHQNYNPQERREACKGGKDVSKKFAPSQPTRGSTAAPRTHNSRKPDAPSVNSTAPPAKNAKPASTVDTSAYDQQITELKLTMDSLEKERDFYFAKLRDIEILCQLPSISSLRAIEAIKRILYAAEEDATTVEEAQAMILKQGNPISEEEEEEQSKSDSQKRKNMVNAEVDVAANTALSPRRRVSDASDVHSSGSPLISY >KVI11369 pep supercontig:CcrdV1:scaffold_930:32678:33450:1 gene:Ccrd_010221 transcript:KVI11369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKPISFLHIYIHRSVSIPHFLAEMGEQRYITSSFLALVLLLFLSINGEDPYRFFTWNVSYGVIYPLGVKQQIHGLLPSIFSNPQFPKPLFTNKN >KVH92089 pep supercontig:CcrdV1:scaffold_931:84149:87714:1 gene:Ccrd_005879 transcript:KVH92089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MIGWEDVYNVLSAIFPLYVALLLGYGSVKWWHMFNPDHCDAINQLNYYFIMPLFSFDFTSRINPYKMNYLFVAADVISKTLILFTISLWANCSIKGNYPWSVTSFSLSSLNNSLIVGVPLMRAMYASSGENLVILSSILQSLLWNMCLLFMLELYRAKKQLDLATASTKSATDVENDGDGDTSGTTSVFILLKIVGIKLAKNPNSYGWNLKMPTIIEGSILIMSKAGSGVAMFCMGLFMALQEKIIGCGLMPTVFGIVLRFVVAPAAMAVGSFAVGLRGDVLHIAIIQTSYMADRHPSSVLSRVNNYELDR >KVH92090 pep supercontig:CcrdV1:scaffold_931:88349:92531:-1 gene:Ccrd_005880 transcript:KVH92090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MIGWEDIYKVITAMFPLYAALILGYGSVKWWHMFKPDHCDAINQLNCYFIMPLFTFDFTTRINPYKMNFRFLAADGISKAIILLAIYIWAKFSTKGNYPWSITTFSLSTLNNTLVVGVPLMSAMYGPFGENLVIQSSILQFTIWIIILLVMYAFRSVNKSLDQAVTPNESPTDLEGNIEADSIPTRPSLLILLKVVGVKLAKNPNSYACILGLAWALVSNRWNLKMPSIIDGSVQIMSRAGLFMALQEKIIDCGATLTAYGMLLRFVVAPATMAVGSLAVGLRGDVLCIAIIQAALPQAIASFVFAKEYELHTSVLSTAVIFGTIVSIPVLIAYYVVLDLLST >KVI06830 pep supercontig:CcrdV1:scaffold_9312:3844:4209:-1 gene:Ccrd_014815 transcript:KVI06830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTFVMVLLFAMIAGSALAQSPTGSPTVSPTATPTASPPSSVVSPPTSSPTSAPSESPLPASPPAPPPSLSPDGSPATSPSSSSAPTGSPTSSPSNSASLNTVAFGSMAVVALAAALVL >KVH89394 pep supercontig:CcrdV1:scaffold_932:849:4608:1 gene:Ccrd_008615 transcript:KVH89394 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease MNQERTRRFRAAKDAPDTFEREGRKLPPKQESQTFDSKVITRGTEFMAVMSVALLYYMHQRLNGDPGWKHIKDADLIMLALATHEVHFSIIREVRILQILDTDADEKGMLCMNKEWLEDLRVKSLSTSKRTLVPTLNMSFRYLENVTFSKALAMDDTERQRTYNFVLLVA >KVH89396 pep supercontig:CcrdV1:scaffold_932:14004:20498:-1 gene:Ccrd_008616 transcript:KVH89396 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYC/TB1, R domain-containing protein MEVDEIQRQVCKFQRIGSNGSATSDNSSNPHKLATQKVNHHYLDEDGGGGGGGGGGGGGVVVGGGGVGRLCGWPSSRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASTSISELPSLDPSFSGADCNQNHHYHHQKQLSDDKKSTGNDTEFEDPNNQTHQQNISVSKSSACSSTSETSKGSGLSLSRSENRIKARERAREMAAKKEKEKESDIPTRVNGISQNSSFTDLLTGGISSATVSPNNNSNPAASPESRIHWTTPMDYFFSRPPPSSSPQIIQMPQFNITAGENHPQHHFSFLQENFAPVATTTSGGGVGGESYNLNFSIASSSSGGGLAPGFNRGTLQSNLLSVLPHHLHHHHHLQRFQSSSMVDGSTSTNFPFFIAPTIGSTTPEHFPATGYDSQRLQLYGGADGGRHSDHRKEKSKN >KVH89393 pep supercontig:CcrdV1:scaffold_932:144667:145086:1 gene:Ccrd_008618 transcript:KVH89393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MKVTSNPQSSNVVMERTTGVATVSASQAKMESVYERVHHLANGNAVVVFSVSGCCMGHVAKQLLLGLGVGPTVVELDRETAGLEIHALLYRLATGNEQQQPIPAIFVGGKFLGGIETLIACHINGTLVPLLKDAGALWL >KVH89395 pep supercontig:CcrdV1:scaffold_932:52152:60365:-1 gene:Ccrd_008617 transcript:KVH89395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIHPADASAFRDCFSLAWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFDSVDRSTLLQESIVSMAVAGAIVGAAIGGWLNDRFGRRFALLVADFLFFLGAILMAAAPNPSVLILGRVFVGFGVGMASMTAPLYISEASPAKVRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPALLQFIYSAEDAEMEIQALKESVEKEIEETGSSEKINMIKLLKTTTVRRGLVAGVGLQVFQQFVGINTVMYYSPTIAQLAGIASNQTALLLSLVTAGLNAMGSVVSIYFIDRTGRKKLLVISLIGVILSLGLLSAVFHETTTHSPAVSRTNTAQFGNVTCPDFSSSRPSVTWDCMKCLKASSPDCGFCAASGDKLLPGACLISDDPVKKTCHAEGRLWYTRGCPSKLGWLALVGLALYIIFFSPGMGTVPWIVNSEIYPLRFRGVCGGIAATSNWISNLIVAQSFLSLTEAIGTAWTFLIFGVISVVALFFVLIFVPETKGLPIEDIEKMLEERAFQLRFWAKRPQSAKKSAKIDA >KVF27707 pep supercontig:CcrdV1:scaffold_9329:1371:1787:1 gene:Ccrd_026826 transcript:KVF27707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MYDQTGVLSVRLILIIKAENHNLKAHVTYYNTKLILRYHGLSIAQLVADPFDVRKNTTKELSYVVESSPIPLEPAQQDLTQQALEKGTTMPFFLKGNSRTRWRVGPLGSVKFWLHMNCRILLPINGSIVYPHCTTKSH >KVI09316 pep supercontig:CcrdV1:scaffold_933:118790:120288:1 gene:Ccrd_012307 transcript:KVI09316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MEVFGSNKKRKGFYVRMKLLRSRHGKAENYFFFRYFKWILWVSLSFYFFATSIGNHRPATQLGSISGVLSHAAVRLQPRSLMQLRVYVYELPSKYNSDWISNERCGNHLFASEVAIHKALLHSQVRTFDPSVANFFFVPVYVSCNFSTVNGFPDMVHARGLLSSAVELISSELPFWYRNNGSDHIFVASHDYGACFHAMEGRAVAEGIPEFMKNSIVLQTFGVKYHHPCQDAENIVIPPYVSPEKVQSIMSTLPITSRRDIFVFFRGKMEVHPKNVSGQFYSKRVRTQILRKYGNDRRFYLRRRRFSGYMSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFGDAVPWSEISLTVAEKDVGKLGRILDHVAATNLSAIQRNLRDPKVQRALLFNDDVEEGDATWQILIALSEKLAR >KVI09308 pep supercontig:CcrdV1:scaffold_933:135826:147450:-1 gene:Ccrd_012310 transcript:KVI09308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTASKILEGEMLRVTLSKAKNGNAQHQRVVSVFPLKSGFRPVMDTLRELYGFHISTYYGDEPKIPCTYDVFDSNISDPAKQSERSCTVAPDSPRTWNDNRFSTTPDSKTLNSASEELVNGLRMLTSRFGCKLRSLISWWPVFLRDGEKCNEEWEEGLVVAVDDEMRKRKERKSERIEAIVCV >KVI09314 pep supercontig:CcrdV1:scaffold_933:98127:100160:-1 gene:Ccrd_012305 transcript:KVI09314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MALIETKTITCSSSYGDDDYSSVFDEGGSGILDHHHPPLVSTYNDRYVGQLNKLPRKMTSVAEAMTAFMRIVSSVKESLKKVLMRGEFDEYQDVKSMHCTARLSEMLSDYCAILHTTADIKYGEHFLIEEIKGLEEAKGIGLPNFLPRPAFLAVLNKKVNEISSLPIEFVEKLWRYMEQVLINVMIFHLENYPMLQSSMRRAAHNLIVKMKDASVNRVMELVEMERLTDYTCDPQYIEIWNKLIAHENSFMELVIDHSKPVKIKIEWLNEVEVGHLRLYGADVVRQAFDMKMRMIAYWKIVLKRMVDCMALHVLYSIHNLVNRDIETEIVSELMAPHGGGIERMLEESPALAGKREKLNRSIKLLRESKEVVGNVMDQIAAYGD >KVI09318 pep supercontig:CcrdV1:scaffold_933:135634:138727:1 gene:Ccrd_012309 transcript:KVI09318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MASILSLFLSFLFLISSSTATTNPSSHSSLHFSPSLRKTGHQLIKDLNLHPNLEVNILKPFTNSSDAEFKVFESGVVEKRLSFQVLGESGATVQDLAQRAGYFHLKHTIDARMFYFFFESRKAKADPVVIWLTGGPGCSSELALFYENGPFKITNNLSLVWNDYGWDKVSNIIFVDQPTGTGHYIPALAARINQGNKNKEGIPINLKGFGIGNGLTDPGIQYQAYTDYALANNIISKSDYNQINQKIPSCERAIKQCGTTGTFTCQMALNSCQQIFEDILSITDNINYYDIRKKCEGTLCYDFSNLEHFLVQSSVKTALGVPEKIEFVSCSDRVYQAMINDWMRNLEVGIPALLEQNIQLLVYAGEYDLICNWLGNSRWVHAMSWSGQKDFIAASDVPFLVDGKEAGILKNHGPLTFIKVHDAGHMVPMDQPRASLQMLELWTTGKLTPPKKGAISPL >KVI09309 pep supercontig:CcrdV1:scaffold_933:33567:34469:-1 gene:Ccrd_012300 transcript:KVI09309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MPESTAARRGDRAHQIITGKHTEHQIPCSPFTTVEAASSSYNGGCMLSYYTTGQSSTMLAEEEEEDMANCLIMLAQSVSPPKENKLDLHSQKTEKIKNRRLTEIASSTAGDGGKSGFHNYECKTCNRAFPSFQALGGHRASHKKPKLTIEDRNSVSIKMEFSGEHQPELLVEYEENKINTNNINSPSPPPGFIQSGYKNSKGKVHECSICGSEFLSGQALGGHMRRHRTAPPPSNQIVVSMNIDDRIGHHITEKSPVLSLDLNLPAPEVIDDVHSNFQLTASSSQQPLVFPATALVDCHY >KVI09313 pep supercontig:CcrdV1:scaffold_933:91542:96420:1 gene:Ccrd_012304 transcript:KVI09313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSPHGALELVTDDDVAIWDSGPPTSRPHMDGDGPTGSPSLMSMRMDVTETWLAYAPVKNNTEDAAKVPKGNPYHSATSGEMAIYRANSMILRKVGVKVDDPITQVFDGQEVEVWSRIVWKPKWAVTFADVKSKVRGNNSVSQKSTLVIKGGNILIEDLSLDGALIVDSVDDGEVKVEGCVVNNGWRIEGIDKDDSTTAALPEEVRIRGFKINKMDQLQQFYSEPGKFCLKP >KVI09310 pep supercontig:CcrdV1:scaffold_933:41249:42696:-1 gene:Ccrd_012301 transcript:KVI09310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1635 MEDQSNCVLDWPYLYKEKSTEELAVSLWSTTMELEATRIRVQEEIRARDDQLNQLKDLLNDAINERNEARNKYQSFLIDNLLLQQQFHHHAGYKHYQTTVAPPQPGVSSIEDEPITTNCGFSSSDCEESILSSPPIENPLQLPPPPPPPQPQESRFPVVQTKGLPEKGKFLEAVMKAAPLLQNLLLAGPLPHWRHPPPPLDTYQIPSPSLVIPTPPVRHLLSQESLRKITYNCGEFNKKRAFSEDRDSSTETKYQRIALN >KVI09311 pep supercontig:CcrdV1:scaffold_933:57121:65237:1 gene:Ccrd_012302 transcript:KVI09311 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase MASSAVDSAILKLANIQLNELSTSSSPNLQKNLSILPPDQIELGKMLLETGQGHLFENWPDPGVDDDEKKALLAQVALLNSSYPGGLASYIKTAKELLADSKAGKNPFDGFTPSVPSGEVLTCGDDNFIKYEETGVKQVQNAAFVLVAGGLGERLGYKGIKVALPMETTTGTCFLQHYIESILSLSEASCRDAQGECCRDVPFVIMTSDDTHERTLRLLESNAYYGMKPTQVKLLKQEKVACLADNDARLALDPTNKYRIQTKPHGHGDVHALLYSSGLLKEWKDAGLRWVLFFQDTNGLLFKAIPSALGVSATKEYHVNSLAVPRKAKEAIGGITKLTHTDGREMVINVEYNQLDPLLRATGHPDGDVNCETGYSPYPGNINQLILELGPYIDELSKTGGAIKEFINPKYKDSSKTEFKSSTRLECMMQDYPKTLPPSARVGFTVTETWLAYAPVKNNAEDAAKVPKGNPYHSATSGEMAIYRANSMILRKVGVKVDDPITQVFNGQEVEVWSRIVWKPKWAVTFADVKSKVRGNNSVSQKSTLVIKGSNILIEDLSLDGALLVDSVDDAEVKVEGCVVNNGWRIEGIDKDDSTTAALAEEVRIRGFKINKMDQLQQFYSEPGKFCLKP >KVI09315 pep supercontig:CcrdV1:scaffold_933:106759:108504:1 gene:Ccrd_012306 transcript:KVI09315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MGEAPSTTNAGNGAALPFAVHVIRGRWFSLFASFLVMTGAGATYLFGVYSKDIKSALGYDQTTLNLLGFFKDLGANVGVFSGLVAEVTPTWFVLLLGSVLNFGGYFMIWMAVTNRISKPKIWQMCLYICIGANSQNFANTGALVTSVSNFPESRGAVLGLLKGFTGLSGAIMTQIYLAVYGNDSKSLILLIAWLPAAISVVFVYTIRKMDLVRQPNELRIFYHFLYVSIFLALFIMGMTIAQNLVTFSQRAFAGSASVVIFVLFVPLFIAIKQELILWKQNKQPPIIVPEVKIENMNHEDESPNPSSLETESPKAQSKAKTSCFANVFLNKPERGEDYNILQALLSTDMLILFVATFCGLGTSLTAVDNLGQIGESLGYPLKTVKSFVSLLSIWNYFGRIFAGFVSEILLVKYKFPRPLMFTLVLFLSCVGLLLIAFPIPGSVYVASIIIGFSFGAQLPLIFAIISELFGLKYYSTLFNCGQLGSPLGSYILNVRVTGPLYDREALKELAKKGLDRTAVKELVCMGSHCYRLSFIILACVCCFGAFASLLLVIRTREFYNGDIYKKFRDQAQVTRTRSEPQ >KVI09312 pep supercontig:CcrdV1:scaffold_933:66594:70567:-1 gene:Ccrd_012303 transcript:KVI09312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin MKAAEVGAVADGHAVPSRFLYQQAISPKGYVGQLNKLPRKMTSVAEAMTAFMRIXSSVKESLKKVLMRGEFDEFQDVKSMHCTARLSEMLNDYSAKLQTTADIKDGEHFLIEEIMVLEEAKGIGLPNFLSRPAFLAVLNKKVNEISSMPVEFVEKLWRYMDEVVINVMNCHLESYPMLQSSMRRAAHNLVVKMKDASVNRVMELVEMEKLTDYTCDSQYXXIWNKLITHENSFMELVIDHSKPVKIKIEWLNEVEVGHLRLYGXDVVRQAFDMKMRMIAYWKIVLKRMVDCMALHMLYSIHNLVNRDIETDIVSEMMAPRGGGIERMLEESPALAGKREKLNRSIKLLRESKEVVGNVIDQIAAYESRQRVREGDDERRLEKGMAVEGDDERRLEKGMAVEGDDEQRLEKGMAVEGDDERW >KVI09317 pep supercontig:CcrdV1:scaffold_933:123198:124330:-1 gene:Ccrd_012308 transcript:KVI09317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFYTPSFLMGLASFVIFNHQDLRFVMVVYVLTIHFFKRILEMLDAAITIGLSYAVSTATMIYAQYISQESPKPSIDLKYVGLGMFLIGITGNFYHHYILSNLRKKGEREYKIPKGGLFGLVICPHYLFEIIEFIGVSFISQTTFAFCFVVGTTFLLMGRSHATRKWYASKFEEEFCKDIKALIPYLF >KVI09307 pep supercontig:CcrdV1:scaffold_933:144340:145878:1 gene:Ccrd_012311 transcript:KVI09307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MVLLANISDSVSTADSRILEKQLRLLVLGHSGASMHDLSYLTGYVRIKHIVGARMFYYFFESRNRKDDPSVIWLTGGPGWSSAVALFNDNGPFHLTNNLSLVWTDNSWDKEFFKVHPDYVTNDLYITGESYGGHYITAFAA >KVF27605 pep supercontig:CcrdV1:scaffold_9334:1213:6405:-1 gene:Ccrd_026827 transcript:KVF27605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial brown fat uncoupling protein MADLSHRTEISFAGTFISSAFAACFAEICTIPLDTAKVRLQLQKRAVLGEGGASKYKGLLGTVATIAKEEGLLALWKGIIPGLHRQFIYGGLRISLYEPVKAFCAGSAIAISLANPTDLVKVRLQAEGKLPHGAPRRYSGALNAYYTILKEEGLVALWTGLGPNIARNAIINAAELASYDQVKQSILKIPGFTDNILTHLLAGLGAGFFAVLIGSPVDVVKSRMMGDSIYKSTVDCMVKTLRVEGALAFYKGFLPNFGRLGSWNVIMFLTLEQVKKLFMWEV >KVH88423 pep supercontig:CcrdV1:scaffold_934:148235:150046:-1 gene:Ccrd_026835 transcript:KVH88423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSRPGDWNCRSCQHLNFQRRDSCQRCGEPKHGGFGGRGGITPSAFGFTAGPDVRPGDWGFGFGGGSGGGVGGAGGGSSRSGWKSGDWICGSRADRDAMNTTLQVEWNVLVAMHQENPQASLHINSVDAIDQFYGMEKRKERNDKARKKDVIITRGF >KVH88427 pep supercontig:CcrdV1:scaffold_934:84455:89125:-1 gene:Ccrd_026833 transcript:KVH88427 gene_biotype:protein_coding transcript_biotype:protein_coding description:OCP3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/TrEMBL;Acc:A0A178UCN6] MALHFWMAIQLPSTSYISNNRLLHMPAISANLRTLSSRCSVVTCARRRSSKSNSPISSSKKKKQGLGRNSVGKEEDIEEDAFEALFKQLEEDLKNDGLSFDDDDDEITEEDLAKLERELEEALGDDELLGLFDDIVDEKNEVRVKDKESMNKKDKTMNETTEKEIKSNNLEEEGEEEEEEEEEEEEEEEEADGDGDGDEEGPLELKRWQLRRLAYALKDGRRKTSIKNLAADLCLDRAIVLELLRDPPPDLLMLSATLPNKADPTILEPVIKAEDVALLASERDALNDKATTKVPVHQMQSSWSAQKRLKKVHVETLERVYRRSKRPTNALISNIVHVTKIPRKKVLKWFEDKRGEDGVPDHRLPYQRFASETLLMRHIHHVHLENRFSMLFIGFGRVRKEKREILCML >KVH88429 pep supercontig:CcrdV1:scaffold_934:3:5701:-1 gene:Ccrd_026828 transcript:KVH88429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MEANAGLVAGSHKRNELVRIRHDSDGGPKPLKNLNGQICQICGDTVGHTESGDIFVACNECAFPVCRPCYEYERRDGNQSCPQCKTRYRRHKGSPRVDGDDDEDDVDDLENEFHYPQGNKARRQWQGDDADLSSSARHESQQPLPLLTNGQQVSGEIPSVTPDNLSVRSTSGPLGPGDKHVHSLPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNLMQMTNRYGGEGKGGEIERTGSNGEELQLADDARQPMSRVVPISSTHLTPYRVVIILRLIILGFFLQYRCSHPVNDAYPLWLVSVICEIWFALSWLLDQFPKWSPVERETYLDRLAFSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEVDLEPNIIVKSCCGSRKKARHSNKKYVDKKRAVKRTESTIPIFNMEDMDEGVEGYDEEKSLLMSQRSLEKRFGQSSVFISATFMEMGGIPPTTNPATLLKEAIHVISCGYEDKSEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLKLLERIAYINTIVYPLTS >KVH88425 pep supercontig:CcrdV1:scaffold_934:61236:73186:-1 gene:Ccrd_026831 transcript:KVH88425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MADNVQPGIAIVANRDEDDFDDFEMNQATFQPAEPVLPFHQQMTQHISMVVYCIRCGHGYHVECLEAWLKEHPNCPLCRTPVSGSHDQDNTTHNHNLYLKKFYDMVSRYGTSALETMVDWLTSHMRHPLASSVHNESGFIVENLLHYEDDFDDFEMNQATFQPAEPVLLFPPADDSTHFDEAWLKEHPICPLCRTPVYGSHDQDNTTHNHNVYLKKFYDMVSHSKEEEDALQLPIPNGKQEAKKEEKPVVPNKKDLAPKVPVCVKDYVYTAGEENKEEEKKKSGANLISERQGRRRAGTAATSGGGAATSGGGGSDGDDGVREGEGDGRGGSDGGR >KVH88428 pep supercontig:CcrdV1:scaffold_934:75624:81862:-1 gene:Ccrd_026832 transcript:KVH88428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQEGKHKKILHATRTQKLKTSLRSAMATLKRSEKSFRRQGSSGSVWDGKKLTEGVNQLMRKEGNCEDHRQLRPCYSISGGVTEGSLSNVEPRVYARSLSTPSPIVGDSGKLLNDVMRLILHDDGGGGWLNDCIESGP >KVH88426 pep supercontig:CcrdV1:scaffold_934:56204:60782:-1 gene:Ccrd_026830 transcript:KVH88426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTQRISMVVYCIRCGHGYHVECLEAWLKEHPNCPLSRTPVSGSYDRDNTTHNHNLYLKKFYDMVSRLAPVPWKPCRLHRVLVRGGHGYHVECLEAWLKEHPNCPLYRTPVSGSHDQDNTTHNQNLYLKKFYDMMHNEYGFISTCIMISVGIFLLQNLHNDSAYVSSITSSIKSWLLKHSSTSPHAHHNMTVKELTVNFSRTDCMVCLSEVVMDAAQVAGPVLTVEEADDSTHFTVKDSEPVLPVQEADVSTHLNDDGREVVIRSRRKRAKTNIDTISGIVTKDVISENVSGIVAMKHDKSPSMCTRSKQRQDGTGDKVCHIGKETKNIGMTINGVPSKLGFYVVDSLDVKKMELKVVNGGIPITTESIHKLLGLQMGGLDILEMDEVDDSKNITATWRKQFEKKKMRPKDIMKLIQNSGDAGFIFKLNFLVLFVNLMVECNRMGCCNFGFLSRIESEDVIPEIDWCKYIYRKIKTSKSRWRRDSTMCFYAGPLTYLTLLYVDSTISTKVVVDHKGYAISVWNLDLLKKRQSTEIKDGGFGLLPLRSTTESREDIHPRNASKQENTGETSTLNKE >KVH88424 pep supercontig:CcrdV1:scaffold_934:125110:143577:1 gene:Ccrd_026834 transcript:KVH88424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHYMKPHSVVRSQISTLNLLSLSLAMAKLSNLPIYASRVYFVLIVLQIPFFRIPCRSGMCTSPIQVTSSQLVASEIFPLAAVKALLYPGAIFNDLIYNMSVPSWNNVLSMYNLTDIKGASAMPDLQRLEVLAGSYFSVAGAFIGLLKPQRMSMFGTLLIVWGLVKEGLLGKPVNTDPTRAVYVYPTMLIAVLCAFSSVKFNFQKATAKPPPRLIAKPLKSSKKSKLK >KVH88430 pep supercontig:CcrdV1:scaffold_934:52702:54693:-1 gene:Ccrd_026829 transcript:KVH88430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MKKRNVRSAVDANAHQVQRAARQELKLGDHLRSPYVIRAVDMNVTNEERKIHEWVLAGLGGNELLFSTPNDMNLHRHAIESLARTTTMYISVIDAWVTLLNYEERFRNRDSLCRYFFNIEVMTDTKLRSKCVNHNTQYALFKKGLMSSAKNNLEVVEMRNIDLVFFPLLDKGHYYVVVFNLKNPSVVVIDNRYREVSDDDQLLQMYDFITNILIDDQHLNVVVHPTGRELDEIGQQRLRMDWQTQNNFDDCGVFAMRHMETYMGDVRTWRTGLAQAGKTKEGQIASLRMKYIAKLLVSNYNKKKEYVVKEVEKF >KVF26817 pep supercontig:CcrdV1:scaffold_9344:3853:7537:-1 gene:Ccrd_026836 transcript:KVF26817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGCMSQGLWLTALFRYPLLCPAMKRNEEKLR >KVH96849 pep supercontig:CcrdV1:scaffold_935:108978:109481:1 gene:Ccrd_001062 transcript:KVH96849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein MQRSVSSTKNIYRHLLHCHRSPTPATLTYQQPPCDVFINHRGIDTKKNVAGLLYDHLMRSNFRPFLDSKNMKPGDKLFDKIDTAIRGCKVGVAVFSPRYCQSYFCLHELVRIMEAKKKVIPIFIDVKPSELIVKDHWRAPKHELDRFKSALEEAKYTVGLTFDSSNG >KVH96846 pep supercontig:CcrdV1:scaffold_935:146332:147152:1 gene:Ccrd_001063 transcript:KVH96846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll/interleukin-1 receptor homology (TIR) domain-containing protein MQRSISSSKNVYRGLIHYQKQPAAATISRQQPPCDVFINHRGIDTKKNVAGLLYGHLKRLKLRPFLDNKNMKPGDKLFDKIDTAINGCKVGVAVFSPQYCKSYFCLHELARIMEARKKVIPVFCDVKPSQLIVKDHWRLPKHELDRFQSALDEAKNWPEFMMSATEAIIENLIEVEEEEEQQKLIVQIN >KVH96848 pep supercontig:CcrdV1:scaffold_935:49963:60926:-1 gene:Ccrd_001060 transcript:KVH96848 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MQRSVSSAKNVYHNLIHYKKPPNVATVTWQRPPCDVFIDHDDINTKKNVAGLLYGKLHLVFEFVETDFEAVIWDRNIVLSPTNMKSYLQMSLKGLATKNGFCIGVLLSGSPGTGKTMLAKAIAKESRVVFINVRVSNLMSKWFGDAQKLGKALGLCTSSLQIMVQCVYEI >KVH96845 pep supercontig:CcrdV1:scaffold_935:11267:11806:1 gene:Ccrd_001059 transcript:KVH96845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKNIQATSSNGYIFVRCYLSVGNDKRVRLESQRMSPNGDFSCDESFSLDCTGTNQSMDMIIHGTLALELRWRSNAVALFGGSRLLGRSKVSWRSVFESLNMELETWVMMKPKKNIVKSPCVRIAMKIEAPPRVDLVERRRKNRWDESCGCCHGDCCNNNTCFDSEHFVIVLPWMCSR >KVH96847 pep supercontig:CcrdV1:scaffold_935:96591:97337:-1 gene:Ccrd_001061 transcript:KVH96847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLILINLIFSTITMLSNLLSHLIFNTTAYLLVIAIQGLRVPGEALQSAMEQIADLIRTCIGYVLEVVMEVISGIVGLVFDLVKXGVFGSVSVIGEAAVGVVEKMKSGFDGLTEEIPAVVEGVVEMVTTVVSDLSIGTQAQPS >KVF25924 pep supercontig:CcrdV1:scaffold_9351:6299:8587:-1 gene:Ccrd_026837 transcript:KVF25924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8/S53 domain-containing protein MGSFFYSSVLLMQLLVLQPWLSIASTQPKNSQTYIIHMDHSQKPPHFSDHESWHHQTLKSLSSVCDEDEDNHRGTFLYSYTHVMQGFSAKLTPCQLSQLEKSRAHLATYKESFGKLFTTHTPKFLGLKRNSGIWPTASYGEDVIIGMIDTGIWPESESFSDKGMAKVPSRWKGKCENGTAFSPSICNNKLIGATSFSKGLEAAGLNISTEFDFDSPRDFLGHGSHTSSTAAGNHVVGANHFGYAQGVARGMAPRAHIAMYKVLWASDSFSSASTDILAGMDQAISDGVDIMSISLGLDHTPFFEDVIAIASLSAIEKGIVVVCAAGNDGPGSSSIYNGAPWIMTVGAGTIDRSYMATLELGNGLTFVGTSYFPMSVSITNTHLYYGNNDPRKAGCSALNPLEVAGKIVLCDDSNPDLNSQMDVVTRAGAYAAIFLTESLFLDPEDYTSPGILLHTSYAAAIKEYAMKGNTSIVKKMKFVLTETGTGPAPEVAYFSSRGPDPITPSVLKPDILAPGVDVLGAVRPDLPFMVVGKYDLVTDYALYSGTSMAAPHVAGVAALLKSIHGDWTPAAIRSALMTTATNTDNRNGIIEDQWYNQPATPLDFGAGHIYPNKAMDPGLIYDMGFQDYIDFLCGLGYTDQQMSAVIRRSRWSCSTNHTELNYPSFIADFSNQTTSPLEKHFIRTVTNVGDPRSTYQAVVEVPARMTVRVEPKTIRFTSKYQSEDFVMSIQMDKRSPNVTYGYLKWIDEHNHTVSSPIVVIGS >KVF25522 pep supercontig:CcrdV1:scaffold_9353:9901:11610:-1 gene:Ccrd_026838 transcript:KVF25522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Berberine/berberine-like protein MFDFDSIHYYKYCDHHLVKHNEIMSSMSLIMFFSLIFFFISSCFSISNGASLYESFLQCLPAQSTPPDPTFSAIVYSSALNSSSYTTVLQTYIKNRRYNTTSTPKPTIIITPIKESHVQAAVVCARKLKVQIKIRSGGHDYEGISYVSSEKNFIVLDMFNFRTVNVNIADETAVVQAGALLGELYYRIWEKSKVHGFPAGVCPTVGVGGHLSGGGYGTMLRKYGLSVDHVIDARIVNVNGHILDRKSMGEDLFWAIRGGGGGSFGVILSYTVKLVLVPEVNTVFRIMKTVAENATDLVYKWQSVVPAMDNDLFIRLLLQPVTVKNSKTVRVSFIAHFLGDSDRLLTLMNKNFPELGLKKEDCMEVSWIQSVLYWANFDYNTTAVKILLDRHSDNVNFLKRKSDYVQTPIPKSGLTSIFNKLVELGKIGLVFNSYGGRMNEVPAYATPFPHRAGNLFKIQYSVNWNDGDKKLEKEYMNQSRVLYNFMTNYVSKNPRGAFLNYRDLDIGVMTGSGRNGYNSGKVYGEKYFMGNFMRLVKVKTAVDPDNFFRNEQSIPTLVAGKPRKMTTLV >KVH91531 pep supercontig:CcrdV1:scaffold_936:66460:74639:1 gene:Ccrd_006445 transcript:KVH91531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MGFDFGLLVGKMGSSTLGTSEYASIVSMNLFVALLCACIVIGHLLEENRWMNESITALVIGICTGVVILLISGGTSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTVISFTIISFGAINIFSRMDIGSLELGDYLAIGAIFSATDSVCTLQVLNQDQTPLLYSLVFGEGVVNDATSVVIFNAVQNFDLSQITTSVAFQLIGNFFYLFITSTLLGAGAGLLSAYIIKKLYFGRHSTDREVAIMILMAYLSYMLAELFYLSGILTVFFCGIVMHAFATLSFIAELFIFLYVGMDALDIEKWSVVADSPGTSVEVSAILLGLVLVGRGAFVFPLSFLSNLTRKNHHEKIDFRQQVLIWWAGLMRGAVSMALAYNQVFGLITQPLVRLLLPAPKNSLHRMISSEPTTPKSFIVPLLGNGQDSEADLPQHVPRPSSLRMLLSTPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPTEQSVHHLIDEETES >KVH91528 pep supercontig:CcrdV1:scaffold_936:79535:92468:1 gene:Ccrd_006446 transcript:KVH91528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MSASGMDYGRESGGAGTILTPARFVWPYGGRSVYLSGSFTGWSEHWPMTPVEGCPTVFQTICSLPPGYHQYKFIVDGEWRHDEHQPFVTGSYGTVNTVLLAREPDYTPAVLSPHTTSGSSMDVDNEVFQRVVRMSDSTSHEPLPRISEADLEVSRHRISVFLATHMTYELLPESGKVIALDVDLPVKQAFHILYEQGISTAPLWDFCKGQFVGVLSALDFILIMRELGNHGSNLTEEELETHTISAWKEAKLYLNKQAIKHGKILSKRLVKAGPDENLKDVSLKILQNRVATVPVTHSPSDDGSYPQLLYLASLSEVLKLVCRYFRHSTSSLPILQLPISSLPLGTWVPKIGESNKQPLAVLRPSSSLSAALNLFVQAEVSSIPIVDDNDSLLDVYSRSDITALAKDKVYTHINLEEMTIHQALQLGQELYSSYGATTQRCHMCLRSDSLHKVMERLAKPGVRRVVIVEAGSKRVEGIISLSDVFRFLLS >KVH91529 pep supercontig:CcrdV1:scaffold_936:103584:113642:1 gene:Ccrd_006447 transcript:KVH91529 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, nucleosome remodelling ISWI, HAND domain-containing protein MARRSKSKESTEESLSNSSEEEQVNDQEEEEDEEELEAVARPVDSDEDETATAAVEATGEEQDEEEEYEDGNDESNNEISHREKARLKEMQRLKRQKIQDILDQQNASVEADMNNKGKGRLKFLLQQTELFAHFAKSDQSASQKKVKGSGRHASKLTEEEEDEEYLKEEEDGLSGAGHTRLLVQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIKRFCPILRAVKFLGNPDDRKYIREELLVAGKFDVCVTSFEMAIKEKATLRRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQEEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTADLYDFDDDKDENKFDFKKIVSDNWVEPPKRERKRNYSESEYFKQTMRQSGPARPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMVQAQQKNQVKDSIEVDEPEEVGDPLTAEEQEEKEKLLEEGFSTWSRRDFNTFIRACEKYGRSDVGSIVSEMEGKSEEEVERYARVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVERENQEYDERERQARKEKKLAKNMTPSKRAMARQATESPPSTIKKRKQSSMDDYVNSGKKGK >KVH91533 pep supercontig:CcrdV1:scaffold_936:132990:134865:-1 gene:Ccrd_006449 transcript:KVH91533 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MDTLLLVSSIFVPTIITIFFLHHFFIQKSSIPGSYGWPLIGESIDYFNKLRSGTNEKFVMQRKKLFGNVFKTSILGEKMAFFCGPEGNKFLFSNENKLVEVWWPSSVESIIKKSNNKSVTAESAKVRQLLPPFLRAHALLLGVRDGTELEKLAKPFVEAAGGIIAIPINIPGTRFNRGVKASNQIREVINGIIAQRRKDLADGTASPSQDLLSHMIAEVDKRNEESDGAPTTDGDMSSDLLGLLIGGYDTINTTVVFIMMMLVDHPDVYQAVLKEQMEIAKAKPTGELLNWDDLRKMKYSWNVACEVLRMRPPTVGAFRVAKTDFTYAGFKIPKGWKLHYIPHFTQRNSEYFPNPEKFDPSRFEGAGPAPYTFVPFGGGARMCPGNEYARAEILVFMYNIITRYNWQRLIPDEKVVIDPLPRPVHGLPIKLIPHNTIT >KVH91534 pep supercontig:CcrdV1:scaffold_936:148170:150828:1 gene:Ccrd_006450 transcript:KVH91534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MVEEVWRQFNTIPGFMEGTTKPDYATCVKISIDASLKEMIPPGALVMITPLVAGTLFGVESLAGVLAGLLVSGVQVHVLYAIFLVTSIIIFARTLGPKGSEAHKAAVIEQSLSKASEQQNKACMTGFPSVFEELGINALHIKLRATGGNKTKTPGPGAQSALRALAWSGMKIGRIGRKKPHIQTLVE >KVH91530 pep supercontig:CcrdV1:scaffold_936:11518:19573:-1 gene:Ccrd_006444 transcript:KVH91530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metridin-like ShK toxin MTNLYLLLISFLFILFRSSSSSIINPSKVKQVSWKPRAFVYEGFLTEEECDHMISLAKSELKRSAVADNVSGKSKLSEVRTSSGMFIPKSKDPIVAGIEDKIATWTFLPKENGEDIQVLKYEHGQKYDPHFDYFTDAVNVAHGGHRIATVLMYLSDVEKGGETVFPSAEVASRHKTSKSDDDLSECAKKGIAVKPRKGDALLFFSLYPTAIPDATSLHGGCPVIEGEKWSATKWIHVDSFDKIVGGGGDCKDQNENCERWAALGECTKNKEYMIGTPELPGYCRRSCKLC >KVH91527 pep supercontig:CcrdV1:scaffold_936:7110:10272:1 gene:Ccrd_006443 transcript:KVH91527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MWPPLTSSMQTLLLLGLLFMLSPTLANHFNKSSESKALHAAFSWTYNRTSAYCTWPEITCNKEGSVMQIYANCKKNCNDTCDAKWLDFSSFPNLQRLFIVDCMLRGVIPEQIGLLSNLIHLSIKGSYLDGELSXPFTNLTQLETLDLSYNSFTGILPSQMASLKNLANLDLSHNRFTGPIPTSFXSMVNLTSMDLSGNRLNSSIPLELGKLNRLRTLNLSYNNLNGIIPCATKLPVSYNNLTQLVYLDLSHNNFSDILPYQIGSLRNLVHLDLNQNRFTGPIPSFFGSMVDLTFLDLSRNQLNSSIPTSFGSMVSLXXLDLSXNQLNSSIPTTFGSMVSLTFLDLSXNQLKSSIPXELGNLQELQTLDLSHNDLVGDIPSNLGKSLRLLYLDFSSNQLSGNVMIPYHCYLXHLDLSKNLMTGNIPSQLISHCSGLEYLDLSSNNLVGETPDFSNLPNLKFLNLADNQFTHPRIHKHVLYLYIFLSMIVGFGFVMVGFCFLVLGCVCCHRHKATKKKSQPETKTHGDVGXILNYNGTIAYEEFIEATEDFDLKYCIGTGGYGSVYEAKLPSGKTFALKKLHRYEAKQPALNKSFKNEVHVLTNLRHKNIVKLYGFCFHKNYNFLVYEYMENGSLFCALRDVEVVRLDWIKRVKILKDVAHALSYMHHDCNPPIIHRDISSNNILLNSXMEACVADFGASRLLDPDSSNQTVIAGTLGYIAPDPAATNDLVERVDVILLGC >KVH91532 pep supercontig:CcrdV1:scaffold_936:116112:129055:1 gene:Ccrd_006448 transcript:KVH91532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical KASQHKEIFNLHKGFLCLNRRRAETFKVQSESEMDVPKDQMSTLLDCGLYNSAQMLGCFLVSSSSVNNDTSPHLKAENLALYGDALLQDKEYRRAIHVYKQALQYHKIISKVNATTRSSLSTSNRSSSPNSFNASAINENEVKFKIASCHCAINENRAALAEMEAIPSKARNLQMNLLMGKLYRCSKHTRPAIACYKECLRHCPYIIEAITALAELGVQAKDIFSLLPQTPNRSGRPPFDQFESSRWLQRYVEAQCCIASNDYKGGLELFSELLQRFPNNVHILLEMAKEVIYGIYLISSLIDSLFEFKVEAIIGKNDEAITDFEKVRSIDPYVVTYMDEYAMLLKLKSDPLKLNKLVHDLLSIDPARPEVFVALSVLWERKDDRAALTNAEKSIRIDDRHITGHIVKKQLWVPSGLVRSYLALLKTKEALYAAREAMKAMPQSAKALKLVGDVYASNSSGREKAKKFYESALRLEPGYLGAALALAELHVMEARNYEAVTLLERYLKDWADDSLHVKLAQGVDPDAPEEEEENDADDADGDPEETELL >KVI01493 pep supercontig:CcrdV1:scaffold_937:128618:129983:1 gene:Ccrd_020236 transcript:KVI01493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVFPLFSECGIFAGGWLSRNWQRLYPSVLNNINLLLVKENLGPVSGGLAPIYGAAGKIPDKGLVNELLVYFMDNAC >KVI01492 pep supercontig:CcrdV1:scaffold_937:77289:81074:-1 gene:Ccrd_020235 transcript:KVI01492 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO dehydrogenase flavoprotein-like, FAD-binding, subdomain 2 MIAYLEHFVHENDSEPMLQQDDGEVATTLCQAMDLEGSIDYRTTGYAGKDFGGLHASTPFAVIRPSGSHDIASVIRFASQSANLTVAARGNGHSINGQAMANRGLVIDMKKSSDSVIDLVRCEDGYAVDVGGGALWEDVLKRCVSKFGLSPRSWTDYLGLTVGGTLSNAGVSGQTFRYGPQTSNVTELEVVTGDGDTVICSDAQNSELFFSVLGGLGQFGIITRARVLVQAAPDMVRWIRVVYSEFDEFTRDAESLITRPEGDSFDYVEGFVFVNSDDPVNGWPSVLLDPDQLFDPSIFIRSANPVLYCLEVALHYSKGNCSATVDTTVHKLLGPLRFVDDTLFQVDLPYMDFLLRVKEAEKHAKANGIWDAPHPWLNLFVSKTSIAEFNRCVFNKILKDGIGGPMLVYPILRNKWDERTSVVLPEGDIFYIVALLRFTNPYQKSPTVNDLVLQNHEIVQTCVRNGFDFKLYLPHYNTEDGWKQHFGDRWLWFVEMKSRYDPMAILAPGQKIFTRH >KVI11429 pep supercontig:CcrdV1:scaffold_938:18657:27835:-1 gene:Ccrd_010159 transcript:KVI11429 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein MGDFNLALVIVAIVVCVLVFLINVYLLVNYQHPDDKNQAYFPKFVVVFGLSVAAISILMLPADVANRQACRHAIYNGACNLTLPMKDLWLAIYIVDAILVFFVIPFAMFYYEGDQDKSVGKRLKSSLMWVIATAIICGLLLGILYGLVGKVDFTVRHLSSSTTAFPNNFGFSSSEQCIGSGARQCSAYIANPSSETTWTMRSTFPEYVVALATIAGSVLFSIFGGVGIACLPLGLIFSFIRRPRAVITRSQYIKEATELGKKARELKKAADALHQEQKSGSKGRKWRKNMKAVEKELLLLEDDVKALEEMYPQGEKAETTWAMTILGYLAKLVLGVFGLIVSIAWVVHIVIYLLIDPPLSPFLNEVFIKLDDAWGLLGTAAFAFFCFYLLLAVIAGEMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLSSISVIQFCSTAFAYYAQATAAQEIFGHTLESLRGIKYLYKLVTIAFIALAGLTFVYYTAFGWRRKRPSGRFQLSS >KVI11433 pep supercontig:CcrdV1:scaffold_938:78556:81218:1 gene:Ccrd_010157 transcript:KVI11433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel YKVEGPKLTTFVVSYSHTYISSFFLTPNGNISEAFKTQNSPPPHTHSQSLSIPSAVLQCFPLKITQIEEQKGVKKPMAGTGVVAVYGNGAITESKTSTFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDMNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVRGDIRVLANMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYTDPTILADVSCGLGEAMVGLNLDKNVERYANRSE >KVI11432 pep supercontig:CcrdV1:scaffold_938:136506:143220:-1 gene:Ccrd_010152 transcript:KVI11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNCCRSPAAVAREDVKSSNYSGHDHNNRKDKANAGNGKKGPVTVLPDVSKETIEEKYALDRELGRGEFGVTYLCIDRSSRELLACKSISKRKLRTAVDIDDVRREVEIMKHLPENSSIVTLREACEDENAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWEESEQGVAQAILRGLIDFKREPWPSVSEGAKSLVRQMLEPDPKLRLTAKQVLEHSWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSNEEVEDIKDMFKKIDTDDDGTVTIEELKTGLQKSNTQLAESEIQLLIEAVDTNGKGTLDYGEFVAISLHVRKMANDEHLHKAFSYFDKDGNGFIEPDELRDTLMEDGDDNSADIANDIFQEVDTDKDGKISYDEFAGMMKTGTDWRKASRHYSRGRFNSLSVKLMKDGSLNLGSTQ >KVI11435 pep supercontig:CcrdV1:scaffold_938:98405:101418:1 gene:Ccrd_010155 transcript:KVI11435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ, C-terminal MGVDYYKVLQVDRNAKDDDLKRAYRKLAMKWHPDKNPHNKKEAEAKFKTISEAYDVLSDPQKRAIYDQYGEEGLKGQVPPPGSYGGFSGEGGSTTFRFNSRNANDIFREFFGHSNPFSQSTLNEDVLSELRAIGGTLPPRKAPSIERILSCSLEDLYKGTTRKMKISRDVTDANGKLTTVEEILTINIKPGWKKGTKITFPEKGNEHQSIIPADLVFIIDEKPHPAFKREGNDLVFTQKISLVDALTASGYSVQVSTLDGRSLTIPINSIISPTYEEVLKGEGMPIPRDPTRKGNLRVKFNIKFPTRLTSDQKTGIKRLLSS >KVI11431 pep supercontig:CcrdV1:scaffold_938:134522:135382:-1 gene:Ccrd_010153 transcript:KVI11431 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAARYELEVTVSSAKNLKNVNWRHGPLKPYAIVWVDPKNKCSTPVDEEGDESPVWDQKLVIALNDPIEESTLYIDIVHANAAEDTKPLIGSAKLRLKDVVDEVGIGECFADGLKLKRPSGRPQGKVEVKVYIREPRYRAPDPYYAPPYGVPPPQHPAPPPYPYAAPAPYGGNYPYSAPPSGYPYSPYAAPPPPQYGQTYVQPAYGGQPAYSGQPSYGGYREEKKSKYGMGTGLAVGAAAGLLGGLAIAEGIDHVEDEIAEDAADKVEEDLGYDVDDD >KVI11436 pep supercontig:CcrdV1:scaffold_938:119177:124784:-1 gene:Ccrd_010154 transcript:KVI11436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MVCFNRIQYQLKKCWIVFLDVNLLLRRRYGDAIVQSDMKVWPFKIIEGQSDKPMIVVAYRGIEKRFAVEEILNCTVKTAVISVPSYFDDLQCQATKDVATVAGLDVLCLLNEPTAASTAYGLDQKATVIGEVNVLTFDLGGGTFDVSLLAIEKESFKVKVAGGDTSLGEFNRKYGKDISTNPRALGRLRLASEREKIILFSTTHTSMEIDCLYDGVDFSSKTTRAKFEELNMDFYNKCMEDVARCLDDEKWEKSWMDEVVLVGGSTRILKVQQMLQEFLYGKGPLQEDYDEAVAYSVIVLAVRMSGKGNKMVKDLMLVDVTPLSLGVEAIKEVMTVLIPRNMSIPVTKEI >KVI11428 pep supercontig:CcrdV1:scaffold_938:5415:18572:1 gene:Ccrd_010160 transcript:KVI11428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MVGPRRPHFVLFGSSIVEFSYGNEGWGATLAHIYARKADIFLRGYRSWNSRQAVQVLDQVFPKDEAVQPSLVIVYFGGNDSVRPQPGGLSSHVPLFEYVENMRKIAIHLKSLSEKTRIIFLTSPPVNEAQILTMLGTKGRTNEWCQKYADACVNLCREMGIKAIDLCAAFKQQHEWATTCFTDGIHLTPVGSKIVAKEILKASEPVDLRRSVELETMVGPRRPHFVLFGSSIVEYSFNQEGWGAILADIYARKADIFLRGYAGWNSRHAVEVLDQVFPKDEPVQPSLVIVYFGGNDSVSPHPRGLSSHVPLSEYVENMRKIAIHLKSLSEKTRIIFLTTPPVNEAQMLEILGVEDRTNERCQKYADACVRMCQEMGIKAINLCAAFKQQHDWSTSCFTDGIHLSAVGSKIMAKEILKVIKEAHDWKPSLHWESLPTEFA >KVI11430 pep supercontig:CcrdV1:scaffold_938:51616:63466:1 gene:Ccrd_010158 transcript:KVI11430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVARRRLVARRSSPSPPRRSSLVAVAVSASSLVAVAVSASSSRSSLGSSNLSIFVTINQTKKRRFSLFISLNFYRQPWKGVVKKRSVTDEEGGDEVMETWFVAAKIYCLRMHAVSVILFTSSSSIMASSHHLQSKGISDSEWHIKLTYEHRWNVDLGGIVTSFLAFYQG >KVI11434 pep supercontig:CcrdV1:scaffold_938:87313:91733:-1 gene:Ccrd_010156 transcript:KVI11434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNQTPLLHQSLNAAATHGVQPTGLPSTVAEYGGIDGIPAKPTIYDCYVDVPASFYPSAGNDSVEEPNIHHLVNQYCDDSVIDDDLTVVDDETVVDDDLAAADDDLNQIFGEQSTWPPLLSHPDRSRLYNLPPRPRNPNELCLWNGVQIITCIILLAILWLIVCLNMVYGVQEIGTLRIGTGCSLLLKPNRFFVKTITVEQLSANNVGAILYGFNNQPPLNVVNSWSDARNASLQANIHKARTDGYGPWLYDQSSPNTTLSWNIVRGNGLIQQNILQSGRYHIAVGNLNSEVVEVQLNMSARAFIYDTSDAYYKCTFAQGPCVTSLLFLEENHAVLTTPQLKQAIAINGMMLLASVHFWVKYGHMVTSSGAAICMYYSENTRGLWWV >KVH99550 pep supercontig:CcrdV1:scaffold_9382:4274:5761:-1 gene:Ccrd_022215 transcript:KVH99550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase superfamily MIINLHFLLVCFSSFISIIASSSPPLNFTVYGTADVNHTSIILTQNLHNCTSNAQHSNIGRVFYKNPIRFLDSSFNSTVSFSTRFSFIIIPPPPLCLAGEGVAFLITSDSNSLPHSVGCIGLPKSIDQLSDSSFLAVEFDTSFDQGLGDINDNHVGIDVDSIFSIASVDLMSTGIDLKSGKRITAWIEYRNSEKIIQIWVGYTQIKPENPILVAPLDISKRFNGFMYVGFSASNGRGSATHLIDNWRLKTSESVPPNIEVETVKSENCLICFPEDSGKDEEDKKGSSNHHHYSDKRVLELALGLLGLNVILILLTVCLVLLYVCFMKRRNPSKKPTEEPQICSSRFQENRMPRRLKLSEIRSATKGFCRNQIVSEGVSAILYEASLPSCGNVAVKRFINANKTSSFEGQFVTEFVKMAGSLRHRNLVQLQGWCCEKKELILVYEFITNGSLDKILHHPTPANNSLSFDARSKILLGVSSALVYLHEECEKPIIHRN >KVI03792 pep supercontig:CcrdV1:scaffold_939:30552:33020:-1 gene:Ccrd_017906 transcript:KVI03792 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase I MGALDEGHYNVVLENGVKLGGLEQEPETIAIVDAVKLLLQGLGEDINREGLRKTPLRVAKAFQIVQDALFPEVGVEHGKGQAGGAGGLVLVRDLNLFSFCESCLLPFQVKCHVGYIPSGQRVVGLSKLSRVADIFAKRLQDPQRLADEICFALEHGIEPTGVAVVLECSHVHFPNFEHVFLDSNHERWVKVVVGSGSGSFEKDDSASWLDFFGLLRCKDVSLDNIHARTTVQDSWCPSQSHSRLGLGPNSTMTSAVASIIQSLGQDPCRIELTATPNRYVNWLMNFKDSNLEMKLNGFSSIPLKTTVGRINYKEHVRSENNLSLWSLCEHHLLPFYGVVHIGYILGEEVNSISKTLLQSIVHFHGFKLQVQERLSRQIAETVSPLLGGDVMVVVEADHTCMIARGIEKCGSNTATIAVLGRFSDDPAARSQFLQTIPSYCL >KVI03795 pep supercontig:CcrdV1:scaffold_939:74848:77710:1 gene:Ccrd_017903 transcript:KVI03795 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MGRKRSSAVNTLFTWVRSQSTQAKIFMAVAAMISCLFLVKRFVANHNNLFVASESVHAAGIIVLIYKLTTLKSCTGLSLQTQELTAIVLAVRIGCYFAIGHSIHTVLDVAALVSTILVIYMMRFKVNATYHQHLDKTPKRYLAIAVLPQLHMMQRTQKTNLFLSLKCAANFQMIEPFTAHYVFALGVSRFFRAAYWILRVYESTEAYLFLLGRGYFWVPMVLLSEAVQSFILADFCYYYLK >KVI03798 pep supercontig:CcrdV1:scaffold_939:140789:147408:1 gene:Ccrd_017898 transcript:KVI03798 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MVSIRRQRLIKLYGRGPSLDFLSCENGQTSGNWAQNTRQVSMHPTPSVDIDQSIEEKAKYEEPESSNVNESSSSNKQPIQHPVFKRRKRHRRKHFENQEPCIMRGVYYKNMKWQAAIKVDKKQIHLGTVGSQEEAARLAAFMCGREPNFELTVEEKDELKHQRRVTSRMKFEHPSQNNGERKAKVDRGGNSFSASDDAETSAS >KVI03794 pep supercontig:CcrdV1:scaffold_939:97482:99353:-1 gene:Ccrd_017900 transcript:KVI03794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSTKICDGGSGGDAVEDDGKTTPTVVEAGGGSKDGGETDGDGGCSDTETGVVGGAADGGDETAVDGDGDGSESEPVDGYGVCRRTLTGGGGGSGSIGESPADGGVAGGETASVVVGGGGVAIIETAPAPIVGGSGVTGIETAPAIVSGSGVADIETAPVTVGGGGVAGNETAPLIVGGGVTGIVTAPLIVGSGGGVVGTETAPAIVAGSQNALRIVGGGVVGTETAPAIVAGTQNALRIVGGGGLAGIEIALTIVGDGFAGIETAPTIVGGGVAGIETARTMIGGGGFAGIETAPAIIGGGGGFAGIETAPSIVGGSGGGSYGGSSFITPGSVVGGRNSSDGFAFDLNVEHNIDSDDETDDQKQVLNQAAVEISDGREVSRDAAPIGVAADGGWVVPDGDGVDEEEGEPSRKRIRYSHDGGEPPVEQQNYDLRLFGIGISSHKKEPYGPNDSAGSSFNTATPGGCLVAGCSGGGFPPINPPSDEIVETCDLNIS >KVI03796 pep supercontig:CcrdV1:scaffold_939:84116:90014:1 gene:Ccrd_017902 transcript:KVI03796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEERDQRFSRSQSFSSFRIVSQRKPVACGAFVRVLILSRMAAPAAAPQQPVPAQVVGNAFVKQYYHILHQSPGLVHRFYQDISKLGRPEEDGSMSITTTMDVWPAINSKILSLNYDELRAEIKSVDAQESLNGGVNVLVTGYLTGKDNVLRNFTQSFFLAPQDKGFYVLNDMFRYMENANHNEANSAPAEDVEAPIIPEQVPKSASVQENHIPDQAPVPADEPQEEVFNPPEDVEVAVVEEEEPVPEVVDEVQEASQLVVESNTKIEEVPKKSYASIVMDLKQNDVPFSSPAPGPRKPQPRNQEQQVNNAQPIASATEVVASNVDAVENGINEEEADGYSIYIKGLPMTATPALLEDEFKKFGPIKPNGIQVRSNRQQGFCFGFVEFEVPDAVQKAIEASPVLVGGRSAVVEEKRVDLCCVYCIGGTRGRFPIGRGSGFRNEGMRGGRGNYGGGRGYNRGGDFGGGRNDYGGNRGGGRGGAPANRGGGGGDGYQRERMNRGMGVNGTAKNMAPRVPATA >KVI03790 pep supercontig:CcrdV1:scaffold_939:67878:68357:-1 gene:Ccrd_017904 transcript:KVI03790 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MTATIDNLHTLSQEETLYDLLGISESGTLFDIKRAYRQMALKYHPDVSPTEQVDEYTLRFIRVQEAYETLSDPYARAMYDASMVKGFHLGFSVNKGFQSDIKLEEKALWKKSWQMQVLELKQRSTMKKVRVDRGERVSWGEQVRKRRSESCDHGSTQDQ >KVI03789 pep supercontig:CcrdV1:scaffold_939:59628:64962:-1 gene:Ccrd_017905 transcript:KVI03789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin C MATNFWTSTHYKELLDQEEVDVVHNVDKERGITLDDFKLIKLHMTNYIARLAQNVKVRQRVIATAVTYMRRVYIRRSMSEFDPRLVAPSCLYLASKSEESTVQARLLVLVQDAGMSEATQLTWGLVNDTYKTDLILVHPPYLIGLACIYVASVLKEKENTAWFEDLRVDMNVVKNIAMEILDFYDTHKTISDERVTAAMHKLPIRT >KVI03791 pep supercontig:CcrdV1:scaffold_939:11985:15629:1 gene:Ccrd_017907 transcript:KVI03791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase GroES-like protein MNMAGKLMQAVWYTSYGGGAAGLKHVEIPVPTPGNDEILLKIEAASINPIDYKIQKGMVRPIMPKKFPFIPVGDVAGEVVEVGPGVKNFKAGDKVVSTLGGAGGGLAEYTVAKESSTVSRPPEVSAADGASLVVAGCTALHALTTSCGLKLEKTEPCTNVLVTAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKSLGADEVLDYKTPEGAALKSPSGKKYDAVIDCTTGIPWSTFEPNLSPTGKVIDITPSGGTFWHYAVNTITFSKKKIQPLIVIPKAEEMECLVKLVKEGKLKTVIDSRYPLSKAEEAWAKSIEGHATGKVVVEP >KVI03793 pep supercontig:CcrdV1:scaffold_939:87293:90256:-1 gene:Ccrd_017901 transcript:KVI03793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKQKGHNHNFLITNATIPTPLPSSSSSRNSWCHILGCSIHTHSPVHTLPLISITTATATVCWCSSTPPTSVAAIIIPSTTKITASVVPSTTTVVSTTTPHSLVPESRPPSNRKSSPRSSYTFLNDYVIASCDSLNIIELRTLTQQQAVMPPALHQQLQALALPPPQQPLPHPPED >KVI03799 pep supercontig:CcrdV1:scaffold_939:148620:155592:-1 gene:Ccrd_017897 transcript:KVI03799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein MGSSSSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALEILGKNGCFVEGVLHLPGTPPVKLDSQDLLQIGDKEFYFLLPVRSILGGPIGPPRHHVSNPNSVTSYPSPHLMALPPSGRVSGGKKSGRGRVVTDFEDAYEDDEMDDSGVTGTKKIRRGAGDGLDAYASYGAPSGSGGKSHSDKKSEGRSRVDRDSDNQQLLQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHSELVEQYGSVWHHSRVRRYLSSDDNSGPEAQGKPWFGLLMLLRKYPEHFVINTRSRGRVTLEFVSLVSLLS >KVI03797 pep supercontig:CcrdV1:scaffold_939:118102:120416:-1 gene:Ccrd_017899 transcript:KVI03797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELRFLTQSHSYDFNNTPNFQFLSCIILHDLSLLCSFVVSYPLYTSYFIFFSPYLLRIISFISPLFIAIILLSLLLTTTTAFCPKLPQLELEILQTVLHELKSNLDHLSGNGEECNFEIYNFVFDSTLSTMIGYEGVDQIEEEGEELFGDEGVDPFEEERRNVSMKKVLEDSVHGGRRNDITTSELYIDKFEEENSLVKLISRLPEKNLSMKETVLEDSVHGGRRYEITTSDLDMDKFEEKNDLVKLISRRPEKNLSLKEIIPEKPVHGGRRNDLTTSDLDNDKSKEENDLVKLFEELDRFEEFPTAIETGTDSDKVIGELRKAEPTPATEVRSGSEAESVAIDSNDNDKITQNSGRDAVKVDKSSSWRSNSSSFFGSHGSMKEEKEWRRTLACN >KVF22498 pep supercontig:CcrdV1:scaffold_9395:4922:8272:-1 gene:Ccrd_026839 transcript:KVF22498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MESWIGKSIHNNIMMALSDFYARNGGCRTRIVIHTRDSKGDRLQALSSGNKYSSLVDLLNTVKVHXIIGPETYIGSELLGSFTDKPKVPIFCFACKSLMLYPYMFQIKEDEFAMAKSIAAVIESYKCRDVIFMHEDANHGSDLLQYLLESFQDKNIRITYRSVVSASAKHDQINHELHKLMSVHTTVVIVDLSPSLASRVFLNAKRLGMMSKEYAWILTQKTIEILQSDEFEVIESLQGALGFRSYIPASSRLHFLTKRWKEEFXREVPMLXIWAYDTIWALAEXIXRVGVPQNGSILLSEILKINGFKGMSGEFRLSERKVMSNGFEILNAIDYGXRKVGYWTSSKGIRRAHQQLNNVALHSRTNIEDVIWPEGSTTTPKGWTIRVGPGKKLRISIRNGLKFKHFVNAVHDDKTNVTTXTGFSVEVFQACIHALPYEVPYEFIPFEKGSYDALIEKVYKKEIDGVLGDSTILANRSELVDFXATYSDLGLGTLAKIKRKDMWIFLKPLDVNLSLTVATFVIFTGFIVWAIEAMNQESEIASSQRIGTIFYTATLSALMTVEQFELTSKGGIVGFHGGSFFGGVTVKNMNFTDSKQKSYHTYDDYAEALSKGGKNGGADAIVDEVPYIKMFLGMYPTDYAMIFAKGSSLATDMSRAIAKLREDGTLRSLEKKWFESEFPVLPEDSSTIPKTLNLDRFGGLFVISGISSALALVISVIYLVSAKMEM >KVI09354 pep supercontig:CcrdV1:scaffold_94:10119:13387:1 gene:Ccrd_012254 transcript:KVI09354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase, conserved site-containing protein MMQRLAVAVAVARQRVGASLPQRTPAAFFSTSMLFDETQIKFKEGVQQFAQDQIAPHADKIDKMDSFPEVRHGNATQKDKYLRKLISGEHVGALSISEPGAGSDAVGIKCKAERVDGGYVLNGNKMWCSNGGIADTLVVYAKTDVNARSKGITAFIVEKGTPGFNAAQKLDKLGMRGSGTYELVFENCFVPKENILGEEGKDLERLVLAAGPIGIMQACLDVALPYVRQREQFGRPIGEFQLMQAIQCLGGNGYMNEYPTGRYLRDAKLYEIAAGTSEIRRMLMGRELFKDQ >KVI09352 pep supercontig:CcrdV1:scaffold_94:392307:397213:-1 gene:Ccrd_012288 transcript:KVI09352 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MGGQSKWRKVKLALGLNTCLYVSKTTDDDDESLHSPSSRSRAPPRSSDVHRMPTTPTPSSSGLQMSKYGIKSSSKRICAICLTTMKSTNGQAIFTAECSHSFHFHCITSNVKHGNRVCPVCRARWKEIPVENSGSSHVKSSMNSGSWSHNDSWMILQGMPPQQMYSNRNVSLLFQAPEPPVFNDDELVNQKAEEIFSGNTCSDGRVEMKTYPEMSAVARSACFDNFGILINLKAPVAKGNHNARAPIDLVTMLDISGSMTGTKIALLKQAMGFVVQNLGPLDRLSVIAFSSSARRLFPLRRMTDNGKQESLQIINSLVANGGTNIAEGLRKGAKVMTDRKFKNPVSSMILLSDGQDTYTSISPRKVGSKTDYQTLLPSSMKAKKDDNAALGIPIHTFGFGMDHDASAMHFISEHSGGMFSFIEAENVIQDAFAQCIGGLLSVVVQELGVEVECVHPVLRLGSIKAGSYKVGMDTDGRSGFIEVGDLYAEEERDFLVAMNIPVEESGSEMPLVRFRVIHKDPVNKTLVSAGGNEDVIILRPETTVGKQIVSIEVDRQRNRLRAASAIAEARMAAEHGNLAAATLMLDDCRKKLSESVSARGGDRLCIGLVAELREMKERMASRRVYEASGRAYVLSGLSSHSWQRATARGDSTEITSQIQTYQTPSMVDMVNLSQTMCFSRSPSSSSMVNPPVAPPKKSLRSIHSFPPPQPR >KVI09334 pep supercontig:CcrdV1:scaffold_94:215992:222199:1 gene:Ccrd_012272 transcript:KVI09334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MAMVAQHQQHRETTSSSSSFKHQLDNGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVYENGYMRQQLHTNSAVTDASCESVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLIVEILKDRTSWFRDCRNLEVFTMLPAGNGGTIELVYTQVYAPTTLAPARDFWTLRYTTSLDNGSLVVCERSLSGSGGGPNAAATTQFVRGEMLPSGYLIRPCDGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQESSGEVTYGLGRQPAVLRTLSQRLSRGFNDAINGFNDDGWSLMNCDGAEDVIVAINSTKNLSTSTNPSNSLSFLGGVLCAKASMLFQNVPAAVLVRFLREHRSEWADFNVDAYSAASVKASPYGNPGMRPTRFTGSQIIMPLGQTIEHEEMLEVIRLEGHALGQEDPFVSRDIHLLQGDSKDALVTTHRTLDLTSSLEVGPSTNQGTSDTLGCQNTRSVLTIAFQFPFENNLADSVATMARQYVRSVINSVQRVAMAISPSGLSPSVSPKLSPGSPEAVTLAQWICHSYTYHLGVDLLTSSSVVGDSLLKDLWQHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKMFDEAGRKALFPEFAKIMQQGFAYLPGGICMSTMGRHISYEQAIAWKVLAADETTVHCLAFSFVNWSFV >KVI09342 pep supercontig:CcrdV1:scaffold_94:64271:65975:1 gene:Ccrd_012258 transcript:KVI09342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MMSGDGFSSPPPSLTALVHDPVTKPNPNSANSAKRKRSLPGTPDPDAEVIALSPKSLMATNRFMCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKQEVVRKKVYICPEKSCVHHDPSRALGDLTGVKKHFSRKHGEKKWKCEKCSKKYAVLSDWKAHSKICGTREYKCDCGTLFSRKDSFVTHRAFCDAISEEHSGMASFPMVQTTTNLNFQRDLMMMNGGDRIGNPRLPAIFCGGGELAKSPDGNEMKPRLPIWLHHHANNPLDNSTTNSLFLGSSSSNDNNGGILSSEMVQWLSRSQEAVQTFTELPQGLSLEEEENNKEEMQLNSLYNYGGCPNYPPPPPPHLSATALLQKASQIGSTRSTNSGGFGFMSTTKLSELTSLNQTRNNGGSQAENLRGEMMNGDGLTMMMMAGLNKKTGEGNLTRDFLGVEGYERRAFSLQQELIKFTCSNNDSSIGFSGSQ >KVI09347 pep supercontig:CcrdV1:scaffold_94:369631:373675:-1 gene:Ccrd_012285 transcript:KVI09347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease, phosphorolytic domain 1 MAAKAGASPATYSPSPTTYKKNRPPIFTDVDWVRPDGRDFHQCRPAFLRTGAVNAASGSAYAEFGNTKVMVSIFGPRESKKAMIYSDIGRLNCNASDNKEISSQLHKSLEGAIMLDTFPKTTVDVFALVLESGGCDLPVVISCASLALADAGIMMYDLVAAVSVVCTSSCLGKNLVIDPVSEEENYQDGGLMITCLPSRNEVTQLTITGEWSTPKIHEAMELCLDACSKLGKIMRSCLKESSSTSQE >KVI09362 pep supercontig:CcrdV1:scaffold_94:86080:87294:1 gene:Ccrd_012260 transcript:KVI09362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MGLDSDKKKLMSSRYKINNSSSEYLLEEEKDLEEGSGVEKMKNKGGQYDGQLKYGSNDSLLPGMYDDVALICLSWVCRFDYASLSCLNTRFNFLMKSGYLYELRKQLGVVEHWVYMVSDPRGWEGFDPTRKKWMRLPKIPCDECFNHADKESLAVGSELLVFGRELFEFAIWKYSLVRGLWVKCEGMNHPRCLFGSGTLGSIAIVAGGSDQNGNILKSAELYDSSTGKWTMLPNMHSPRRLCSGFFMDGKFYVIGGMTSPNDSLTCGEEFDLKTKKWRKIDGMYPNVNRAAQAPPLVAVVSDELYAVEYLSNMVKKYDKEKNSWDVLGRLPVRADSSNGWGLAFKACGERLLVVGGQRGPEGEAIVLNSWTPKSGVKDGILDWKVLGIKEHAGVFVYNCAVMGC >KVI09332 pep supercontig:CcrdV1:scaffold_94:181169:181660:1 gene:Ccrd_012270 transcript:KVI09332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MAASESYFARPNYGFLSGERNGSVGSDSMFELDESDVWNVSVSPELRKTVPSSRISKRSSSVAVKRGEVRGMASSLPVNVPDWSKILKENYTENRRRDSEDDDFDDDYNCGGFGSGDRIPPHEFLARTRMASFSVHEGIGRTLKGRDLSRVRNAIWEKTGFED >KVI09348 pep supercontig:CcrdV1:scaffold_94:358198:361343:-1 gene:Ccrd_012284 transcript:KVI09348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding domain-containing protein MAYANSRSVRFHDDIEVSKLPSMKKDNLFKVKYNLDGKQVPEQRMEEKSPPSLKSKVLSRVFSEDYERVQKKILDPRGLTIRKWNHIFLFACLISLFVDPLFFYLPSVRTSHACIHIGLTLEIGLTVVRSIADVFYTIQILIKFRTAYVAPSSRVFGRGELLLIWLIIPSLSGSTMTNTKNVLRFIIIFQYLPRLYLIFPLTSQIVEATGIVTETARAGAAYNLMLYMLASHVLGACWYLLSIEREEACWRSVCLFEDPNCDDKFFDCERFHDPNRSLWFRSSNVSEKCIPTSGFYQFGIYGEALTSKVTSALFFNKYFYCLWFGLKNLSLEIVSSFYWIFYDHIDYIQFSGAESFDKYLCGRNRVRNHNCHRGTSSLCIVDRKHAGKIRRTDTEQWMKHRQLPPELKQSVRRYDQYKWIATRGVDEESLLKDLPLDLRRDIKRHLCYDLVRRVPLFDQMDERMLDAICERLKPALSTQGTCLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCSIGPGDFCGEELLTWALDPRPSVVIPSSTRTVKAISEVEAFALIAEDLKFVASQYRRLHSKQLRHKFRFYSHQWRTWAACFVQAAWRRYKRRQSASELKARESFASVDYEPEVSPPKHGGQMVAPEPGFGAYGASSRRSGNLHSVSKSTSVSALQKPTEPDFSVEEE >KVI09361 pep supercontig:CcrdV1:scaffold_94:101109:104854:1 gene:Ccrd_012261 transcript:KVI09361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MKSQKHNTMSVSDSSSSLEEVAIPIHKVHEDVSEESIEAQNGKHGRKPNLFLEIPSRSIQPSSSEEFVLIKMPQTPTPTPKKVNFNLTPCPSEVRSPVTNTPRTKSLKKSLLPKLSFKNRNSVSDTEKAVVNTIPAAPSSLPQEKPSISRSWSFTKIFTPRSKRPSSLPATPVGHSDPGLGSGSSRGSLNAEAKVQGQMARSHSVPNLNKEASIKRMDSFFRVVPSTPRAKDADATTLTPTPIGDAVEDEADGGEDIPEEEAVCRICLVELREGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCHQDVRNLSVTLLRIQSSVRNRNSAASRATHLETHGYGDIYRVWQDVPILVIVSMLAYFLKRRFIWLYASIQFSSVVLFAHIFYDLIHVQPVLSILLATFAGCGVVMCCSSILVEVLRLRRQWVARSNHETHSRGVLHPEASLSSSDTNPSHQIEIRNTEAASTGI >KVI09365 pep supercontig:CcrdV1:scaffold_94:141320:141772:-1 gene:Ccrd_012264 transcript:KVI09365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKLLHVFIAFALLFSSSNAQETSSPSPAPVTGSDESPSIPSPSPSPSPSINTSPPADLPPTPASTTSLDTSPAPSQEEVSDTTSANVESEKPKNSSSGGMSGGKKAGIAIGVIAAACAVGFGGMLYRKRKQNIQRAQLGYAARGEFL >KVI09341 pep supercontig:CcrdV1:scaffold_94:52779:53480:-1 gene:Ccrd_012257 transcript:KVI09341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MIETNAVGGNNMKKKASQGRKKIEIKRIEEVNNRQVTFSKRRAGLFKKASELCILTGAQIAILVNSPGGRVFAFGHPTADALIDRYLSTPAAATGSTSDGTSSSQKPLMVKEFNQHYVEVSKELEAEKKRKEVIQESKMVNGGSGLAWYDETIDELELEELQQYLSSLVELKRKVLVRADELMMIKKAPALLGPNMLDMGQVSNHIPNIEIMPSNMMAPVGFNFGPAMDYQPR >KVI09337 pep supercontig:CcrdV1:scaffold_94:242612:246831:-1 gene:Ccrd_012275 transcript:KVI09337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MKEADKGVNPQLWHACAGGMIQMPLPSSNVYYFPQGHAEHTLTNVDFSGMPRVPALILCRVIDVKFLADLETDEVYAKIRLMPLGNIDNGYNGDVDDGVSVGTRNLSESSEKPSSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFVRAENGDLCVGIRRAKRGGAGGPDSSSGWNSYSGSSASFYGGFSAYLRDNENKLIRSGSNRNINSSGRGKVRPEDVIEATSLAANGQPFEVAYYPRASTPDFCVKASSLTASLSSQWCAGMRFKMPFETEDSSRISWFMGTVSSVEVADPFHWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSMPVIHLSPFSPQRKKLRLPQPPDLPQGDYTLSSFSGNPLGPSSPFCCPPDNVPASIQGARHARFGIPLLDLNVTHKLQLGLFPSSLKHLDSHAKDPDDIIEQPMDGKNDDISCLLTMGSSSLKLDKSDHKVKAPLFLLFGQPIHIEQQISSDQVPVAKPSSNRNANEFLQSGEHGLNSGHCKVFLESEDVGRTIDLSVLESYEELEKTLANLFGIETFNSLPHVLYKDSTGDVKRIGDEPFSEFARKARRLTIPLDACNLDDKRKWNTNLQTGEYGVESSNQTGPMTIFA >KVI09327 pep supercontig:CcrdV1:scaffold_94:473678:478054:-1 gene:Ccrd_012291 transcript:KVI09327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ternary complex factor MIP1, leucine-zipper MWMAPHPNPAAPNPTAAAATATIAVNKSKKQNSGHHKKEELEREVSTLQKMLEHEEKVGELLKHTYHHDHSALHIPNSLPPKMKELLTELAMVENEITRLESQISHLQTDLDKEREATRDQSKPKQAQFRQIPIGSLAPTTTSLLLPKSRGYGDKLSFETKALHFISKAIKGDYSLRDFNLHEKSRTKNVSFSDQKENHENDEELGVRSRTPRKTGILKPPSPARDTRHRERNPDAYSDPSQRTLQATNQSEEENILKWSPNKLSENIMKCLIFIFTRLLRTSRTMELEKSGPISRSANFSMSFRAEPCLNSKASLLLQKESRQQDPYGIFNLEDSIPRDIGCYKNLVKFTSSSLDPKCISSSSYIPLLQKLRAYMNGLQKATLKIGGNTVNAQAIEHVILRRQEASIIEKVRVYTGDGVVNELERAKLEYLQASIVVTSGKKIGLPELLVRNMHDFAADLERLVEWLCQQLPTSGSLRKSMVDCFRGVNNTAKVSSIVEKLPYEFEFQYLLPM >KVI09349 pep supercontig:CcrdV1:scaffold_94:385875:389270:-1 gene:Ccrd_012287 transcript:KVI09349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHFPIKGKREYEGASGVEFIIRREQAFGLDFIKSGFVTLYFGDLFQLLSRFAAHTLVVFDWRGVALVEVRSISGSLSSYKLDLDSAHPLRLVSTLVYCFTEALSGLFQKKALL >KVI09326 pep supercontig:CcrdV1:scaffold_94:453599:458588:-1 gene:Ccrd_012290 transcript:KVI09326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox KN domain-containing protein MEMNNLRVESHVAQKNRRHKLRFQQNSGDMNHQHPPQDHPQFEPKDIHRPFSYNPNVYPSEMLNSVPRNPHLLLPLGHGFVSVDQDSFPGSDSGSGMGSSQPDQRILAGSNGGTFANLPNDSNNWKTVVSSQQVGNDHWNLVSYSNTGSMNIDHRSLNSGGYGYHQDVQNVPAFSSSPFYHSILPEDQVTLAQPHQGKNDSGMNQSIPYWMNNSDESSYLANRIHDHREQMTTATTMAANEGNCNTQALCLSLSSVPQPKDDLLTLQPGERPLKSDLLPNSDLKCFMGVSSFAHRNAGPLGPFTGYATILKNSKYLKPAQELLNVNCEVGDQELLQTCHDVFSQKILEEEMSRASGGSNEHSTSGAESLQPEFHRKKARRYKQYLQQMQMVVSSFETVAGLGAATPYVCLALKAISRHFHFVKTVISEQLLQIRKMVGEGLSSSTTTSSKVFDANATSTSRLKSIDQRLSKSGGGVGGAAGFFTSPQPVWRPQRGLPERAIAVLKTWLFDHFLHPYPSBADKHMLATQTGLTRNQVSNWFINARVRIWKPMVEEIHTLETKGLAEPNSTNPPPAGQDSSRMDMSSFSNMQPPEQSCSRNPQTALTGDEPNDQQLQDHEKLARPEYQIPLASMDRLMSILPYPRATFDASGLGPVSLTLGLRQNAENVQQLQQHFGGQLIHDFVG >KVI09322 pep supercontig:CcrdV1:scaffold_94:492404:495838:1 gene:Ccrd_012294 transcript:KVI09322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MDKRWGWPVYTLLGIIESSGSAFPLHLKTLATYFTPCKNTILAPTSYLQQLQAATMASANAISTASIIYSPKQGSLRNGNGGISQLRTGQTMKQSHRRFAVRAAAKDIAFDQSSRSAMQAGIDKLADAVGLTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHALIEELEKRARPVKGRDDIKAIASISAGNDDVIGSMIADAIDKVGADGVLSIESSSSFETTVDVEEGMEVCAKLELNLVLICHIDRGYISPQFVTNSEKLIVEFENARVLYQATDLGLLVENTSVEQLGIARKITISKDSTTIIADAASKDEIQSRISQIKKELFETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLSTLVPLIKEKLDDADERLGADIIQKALVAPASLIAQNAGIEGEVVVEKVKASEWEMGYNAMTDKYENLVEAGVIDPAKVTRCALQNSASVAGMVLTTQAIVVEKAKPRSGVAGAPQGMTV >KVI09319 pep supercontig:CcrdV1:scaffold_94:146200:150093:1 gene:Ccrd_012266 transcript:KVI09319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISILAQERLLGAALGSIFAGVVIFEQRRDIYYTIAQNQPSKSQMKEPIHRKKFEFAHYWNKSVDQIFGPAIEAVSSRR >KVI09357 pep supercontig:CcrdV1:scaffold_94:171763:172263:-1 gene:Ccrd_012269 transcript:KVI09357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKVMRPRSMAKELQGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPQD >KVI09360 pep supercontig:CcrdV1:scaffold_94:108638:112960:1 gene:Ccrd_012262 transcript:KVI09360 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MKIEEVQSTTKKQRIATHTHIKGLGLEATGKAIPLAAGFVGQAAAREAGGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVRTGNQPLCLSVKVTELSPEETESVTGGYGKAISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYVEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPAEMIQILAIRAQVEELSIDEESLAYLGEIGQEASLRHAVQLLTPGSVVAKMNGRESVCKADLEEVSSLYLNAKSSAKLLQEQQDRYIS >KVI09363 pep supercontig:CcrdV1:scaffold_94:150640:151014:-1 gene:Ccrd_012267 transcript:KVI09363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRPSLLLVLMLLITTHSRAQGRAPHGLAHEKLVAFSPSAYDFFHPNTQPSSPLPLAATVQSSLAHESRAENNKGGGKVGAGGIAAIIFGFVFALLLAMGVYYVATTRRANLSRNNTVIPAAA >KVI09335 pep supercontig:CcrdV1:scaffold_94:222849:237271:1 gene:Ccrd_012273 transcript:KVI09335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MFWKLTALSASSPVESVLDKENFTLEELLDEEDIIQECKALNNRLINLSLSLGYLKEYCFFSLRDRAQVEQLLRYIIEESEEDADSKPGNFEYLTILFLLLHLYFLGIVLSSILLGTRFPFIACEIFTCEIDVIFKTLVEEEELMNILFSFLEPTRPHSALLAGYFSKVVICLMLRKTVPLMNYVQAHQHVFQQLVDLIGITSMKEVLVRLVGADDHMYPNYVEVMQWLADSNLLEMIVDKLSPSSSPEVHANAAEALCAITRSTPSPLASKLSTSSYVTRIFGHALEDSHSKSGLVHSLSVCISLLDPKRSVPSSFFNSFRGQHVYESSVQVNQETVGAMLPKLGDLLMLLSVSLDEKILPTSYGQLKPPLGKHRLKIVEFIAVLLKTRNETAEKELITSGTIQRVLDLFFEYPFNNALHHHVESIIYSCLESRNDAIIDHLFQDCGMVTKVLQTDSCPTLSGKVNQVSGAAAVDPTLPASGRNAPRVGNLGHVTRIANKIMQLGNSDSRIQTHIKESREWNEWQATVLQERNMVENVYRWACGRPSALQERGRDSDEDDIHDRDYDVAALANNLSQAFRYNIYDNEEGEEDGYFDDESAEVVISSLRLGDDQGSLFTNSNWFAFQDERMGEAPTSASEMMDEINLNGTLNCGNSSSDDEVVVGEDEELVVDNKYSNNSTSVSNLNPFIEDDDALNDGGLKMDKNSDVGIFRCETTDEDLFADRPMPEWVGWAESSNHPIAADSGSGVNPFLDYENTPSSSETASVSSPSANGTSTLDSNQKMPSLFEEDVEFVGVELEGTEKAMDMALKEDIVGEAGPLKKKVIAEKEKADGNGGDSNDFNDSNYWRVEQEVSVSVSE >KVI09345 pep supercontig:CcrdV1:scaffold_94:351814:352476:-1 gene:Ccrd_012283 transcript:KVI09345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MEGGTEAFPDLGKHCQLSDCHQLDFLPFKCDGCQKVFCVEHRSYKSHDCSNSDHNSRKVLVCETCSTSIEIPRNSKGGEDEMNLILKKHQKSGDCDPKKKXKPTCGVRRCKEILTFSNTSSCKSCQIKFCLKHRFQSDHACKNNHLPTAAATSRVGSGARPFLVALASRNGQDCTKKNNGATSASKPSTSVKAY >KVI09340 pep supercontig:CcrdV1:scaffold_94:44262:51673:1 gene:Ccrd_012256 transcript:KVI09340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASEARSLEQTPAWAVATVCFVLVLVSIVIEQIIHLIGQWFKKKQKRALYESLEKIKAELMLLGFISLLLTVGTTPITKICISESVASTWHPCNKQNAKNSNKEKIQNPRRLLTWSKMGDSSRRGRVSFMSYEGVHQLHIFIFVLAVSHVLYCILTLALGRAKMRSWKHWETETKTAEYRFSHDPDRFRFTRDTSFGRRHVSFWSKSPILLWIVCFFRQFVRSVPKVDYLTLRHGFIMTHLAQDNQSTFDFQKYINRSLEEDLKVVVSISPPIWLLAVAFLVFNTHGWYSYLWLPFLPLIILLLVGTKLQMIITKMGLKIHERGEVVKGAPVVHTGDDLFWFNRPRLLLYLLNFVLFQNAFQLAFLTWTVLQFGLESCFHEHTEDVVIRITMGVLVQIICSYVTLPLYALVTQMGSTMKPTIFNDEVRTAIRKWHHNARKHIKENKKSGHTTPMSNRPGMPSVGHNLSPLHLLSHYQNNIDSLNASPTRSNSNNESWDIVAMDQVQVQVQEFGSSSQLALRSDAIIENQDEMANEVQKDFSFDKRPNIYDQQVNEYQGTFEIQ >KVI09350 pep supercontig:CcrdV1:scaffold_94:381252:385776:-1 gene:Ccrd_012286 transcript:KVI09350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MPEIAADVPGTPDINKWTCTCYTDKWNQQIAIPTNCSSSCDCISEGTSQNRWKCICSGDKLPEVAASHESGCFISCNCNSGSLSEPESSKNQFSSKAVLIILLLCMVVTTLAIFASVMCYVYRKHNCPKRLPLSSSDKDTSYNSTSNLISYRTASVPESQIYIHSPTNPITGFIYKASFLFRKQTRTVQGTITSFSYSELETATNKFSDSNLIGVGVSSHVYYGQLKDGKLVAVKRLKAQGGPDAEVTFLTEIELLSRLHHCHVVPLLGYCLEYHGKHPEMLLVFQYMSNGNLRECLDGVSEKCLDWGARIAITDLGMAKSLVSDGLPSCSSSPARMQGTFGYFAPEYAIVGRASLMSDVFSFGVVLLELISGRHPIYKSLEKGEESLVIWATPLLQDSRRVSKELPDPRLKGNFEEEEMQIMAYLAKECLLLDPDARPTMSEVVQILLTIAPEKSKRRNFSVDRFQDYTIRMDRTMDELQQTSSSASCSSQSSLIVHTHENEHKESITDSPNNVNQVIFPTPDPRSLHGEDDEATVDLTEPRYEAFCVSNISSAS >KVI09359 pep supercontig:CcrdV1:scaffold_94:130209:137182:1 gene:Ccrd_012263 transcript:KVI09359 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase, atypical MATGAAAAALSSLGRDNGLGFAKTSDFIKVSDLKRVKFHRTKICVIKNSNPGSDIAELRPASEGSPLLVPVQKYCESTHKTIRRKTCTVMVGDVALGSEHPIRIQTMTTTDTKDVAATVEQVMQIADRGADIVRITVQGKKEADACFDIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEQLEYTDDDYQKELEHIEQVFVPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANFGMKASKIQQGVAPFEEKHRRYFDFQRRTGDLPVQKEGEEVDFRGVLHRDGSVLMSVSLDQLKTPELFYRSLAAKLVLGMPFKDLATVDSILLRELPPADDQDARLALKRLIDVSMGIITPLSEQLTKPLPNALVLVNLKELSTGANKLLQEENSLNFPVLHHIQFPKGIPRDDLVISAGANAGALLVDGLGDGILLEAPDQDFEFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQVISAEIREKTSHLPGVSVIPAAD >KVI09328 pep supercontig:CcrdV1:scaffold_94:525447:527468:-1 gene:Ccrd_012298 transcript:KVI09328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDMRYQQENNRAWKKKLTGFYLSAYGGERMLQHTSRWSGDTDRQRLEFRSASGFLIVFYFGRGASGIGCDPGGIIYRDIILEYVDYVVPIYEVDMNYLNVGVAEPDYQIFICNLTLCRVYDSKEGLRPISNNVGSEVLPVNRLVTEKKAIMVTDEEEENDRTEGCWRFLQPTEKNRASLGEIERARNDD >KVI09329 pep supercontig:CcrdV1:scaffold_94:541406:543081:-1 gene:Ccrd_012299 transcript:KVI09329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MGRAPCCDKENVKRGPWSPEEDAKLKGFIDRYGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGEFTDDEDRIICSLYASIGSRYQTPSYDQSWIFTPPNFMNHNMNSLLTTAAAATTLVQDHINITHLSPPPPPPPPPDINSLISLITNNDNCFYLGFQAEDHQNMYNSPMGYHYLTSDVKESMLMFGSGGGDGHEVSATGSSSEGGSCMSQISYGDYNKDHNIQKQQQHHHQIKQEDRFALQGFGDQSQSFFINHNYTDQKPKVDYNLKNYEKPLDSNHLEEVKQLIIGNNRSSYWFNNDDDEYKTTHDDDDHKEIRYHY >KVI09321 pep supercontig:CcrdV1:scaffold_94:523711:531616:1 gene:Ccrd_012297 transcript:KVI09321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMEATGDGDGGGYQQRRWRRWRLPAMETVEATVEAVCPPFFSNRRSWLSRFLQATLCSSRSAVGIASNPLFYHSLLLHQSPLSPSSQSPIDSQVVHINYIIAKIICQKKNKLASVEKFNSRFRYAQVAGSTNGRSQSSIISCLPFSLSFLSPSYRQTVAGLYRRTIYWYAAASSLHHKQKDEYEFTSAIEGLSISALHSIGALLPTDCDCVPPEKLSLATASPRLICS >KVI09320 pep supercontig:CcrdV1:scaffold_94:515707:522489:-1 gene:Ccrd_012296 transcript:KVI09320 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MSNQPESSSSDPKGPPPPKKDFSTAILERKKSPNRLVVDEAVNDDNSVVAMHPNTMEKLQLFRGDTILIKGKKRRDTVCIALADETCDEPKIRMNKVIRTNLRIRLGDVVSVHQCPDVKYGKRIHVLPLDDTIEGVTGDLFDAYLKPYFLEAYRPVRKGDHFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPIKRDDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERIAKETHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNCSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRYQIFKAAMRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERKRSENPDSMDEDEDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSEATSGGGAAASDPFAASAGGADDDDLYS >KVI09353 pep supercontig:CcrdV1:scaffold_94:808:2755:1 gene:Ccrd_012253 transcript:KVI09353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase, conserved site-containing protein MMQRLATAVFYFLVCVAYTTYYPIVKVKFCFEEEYGGLGLGYSYHCIPLEEISRASASVGLSLFSTAQKLDKLGMRGSDTCELVFDNCFVPEENVLGEEGKGVYVMMSGLDLERLVLAAGPIGIMQSCLDVVLPYVRQREQFGRPIGEFQLMEDCAGVILVAAERATQVALQAIQCLGGNGYMNEYPTGRFLRDAKLFEIGAGTSEIRRMIIGRELFKEQ >KVI09331 pep supercontig:CcrdV1:scaffold_94:293247:297357:-1 gene:Ccrd_012279 transcript:KVI09331 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF250 MGELTGYQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTYCTLHVAHRLNFFENKSIDMKTVILFGILNGISIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKLSLFLLLVGVGIASVTDLQLNFVGTVLSILAIMTTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVTGPMVDQYLTKQNVFAYKYSPLVLGFIILSCVIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTERNIIGILVAIVGMGLYSYFCTHENKKKQMVDLSPGSQIKDKDSNSPLLEKENHFEVKKATKDSLV >KVI09355 pep supercontig:CcrdV1:scaffold_94:13828:18241:-1 gene:Ccrd_012255 transcript:KVI09355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MEIDLNHAVSGHNAESCGNEGDSSLSISSNSSNSSLKSPISNPSASIYMELWHACAGPLTTLPNKGNVVVYFPQGHLEQIASHSSTHFSPIEVPSLGLPPLIFCKIVDVQLLANKENDEVYTKLILLPLPEGNLQESEEEGGGVAPVRSTPQMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELIAKDLHGVEWKFRHIYRGGELRLGTRRATRPRNVLPDTTMGNLNSYSEILASVANAVSTNTTFHVFYSPRSSRADFVVPYTKYVKSISNMISMGARFKMKFCMDESPERRFSGVVTGVGDMDPYKWPNSKWRCLMVRWDEDIGNDHQERISPWEIDLSGGAFPLLSIQSSPRLKKLRAGLHATPSGHPATARGGYVDFEESVVRSSKVLQGQENVGPVSPLYGRDKMNSLSFGVQPSMHPSFPPNGMMMARSTNFVGEIMRSTHHQPLPATPYSGFLESDNARFPKVLQGQEICSLRSLTGKPNIGSWGPPRTDVGNGRRNVLNMYRSPENPGFYPLGSEGGRNFGFPNTGVCEARPNPGPAMPTNFTRFPIVPPSIGNGIMRDNVGELQVPVEKIVGATIEPNSSSEKDDGASDSIESSCKLFGFPLNEVAPLVDAKSLNKRSCTKVHKQGNKVGRAIDLSKMSSYGELFLELESLFNMEGMFSNPNGGWRLLMATKIHIYSAEEVEKLMSSGVISDNTSCLEEAPAVVDTAKSSSVGHPD >KVI09338 pep supercontig:CcrdV1:scaffold_94:258388:261479:-1 gene:Ccrd_012276 transcript:KVI09338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MVRGFAMESQDKRMCKSESAFSGVTIDYNHRVYDEDCFGDILNMFDFPMESVEGDGFAEDWASKLGPIPSEVFKELVPPVSQIGVGNSYGSSTDFPFEYPALNSRNLQPKYQLNLDEEPSKDCQIGRISQLKQQPTFVEKKGVYPSAAAFEAPSPNSVLESRSSSSNSKGISFGIEISIPVRTRSKRLRPTTNPWLVSPHLILPNKDKKRKKPSVNIDPKRSVERSNVVGIKKCAHCEITKTPQWREGPMGPKTLCNACGVRYRSGRLYPEYRPAASPTFVPSLHSNSHRKVVEMRQKAVK >KVI09336 pep supercontig:CcrdV1:scaffold_94:238267:238488:1 gene:Ccrd_012274 transcript:KVI09336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLQDYEIHDGMGLELYYN >KVI09339 pep supercontig:CcrdV1:scaffold_94:268726:274407:-1 gene:Ccrd_012277 transcript:KVI09339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPFTLSLYILIETEDHALREPVVSVYPSSCAYDFEHCKNAVKQWASSSVHSNSKDGHILKDLLFFLHVPRTGGRTYFYCFLKKLYSSALECPRSYDKLRFDPRKPKCRLLATHDDYSIMSKLPREKASVVTILRNPIDRVFSAYEFSIEVAARFLVHSNLTSATKTLGHLRLKKGVISTLEIWPWKYLVPWMMEELFARQDVRKRKGPPYAYGNDSYNMEEIVVPLHEFINHPVALDIIHNGATFQVAGLTNNSNIWAAHEVRHCVMTYQTLGKYVLEVAKVITRLDDMLYVGLTEDHRGSATMFANLVGGQVISQLVASNPGTGTVNYNKSEQDSLFSKARFGTSHDQMAVEKLTEAYETCISKLRSSQSKRRINSLRRISPANFTKEARRHVSEAVLKQITLLNSLDIELYKHAQKIFKRQQHVMEQKLLNSATP >KVI09351 pep supercontig:CcrdV1:scaffold_94:415066:449386:-1 gene:Ccrd_012289 transcript:KVI09351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein MVVVPSPSLSITVVVSVSVAFSQGASLFFNPLLHRRGSNAFVREHQEPRREVVFVYTPPVFTPSFILPSEIILCLFARKFFRLSYHFFAVARMANDNRRSNRVKTDESIKPKKKMVKQDASSASILRSSDASSSRRSSRETSSRKQTKENPTSTRKSKRLEKQNPSATPPIKEKSERTQKQTITTPLKRYDRRKKHTSLGSLESKKIVEEPASSNIKSKKDKVGRSLKRCVMDASEDHTQENHGLDVGGRKRKRVATSVNKALSKLKRIKTEAGDDNDKESQDKASEANSSSNSGTEKDYEKILLLDASAFPAVVALTKWIIMCVDVDMKLKRPDKLLRLDNCKNECSGSKSVEDEDDGGEECSDRSEEDPTLITFKRGCMTTDSGLSNFDVEEVNDDAEVKEADLSWNISSAKGHKASLNSRGVEGIPHHAVQESLDEGSMDLGQVGNVGCPSRKSLDGKSVESGTEALISGTSTSSNIETSARKTDPDTCRNTKNHPISPRSPSSRCKRHGHKETCLACSKKQRLETFTQVSEEGATVQVMLKEMKAHGSGKQQKLNSCDTKCNADLCDTSSSEDRTTCEAAGLAESFEKDNGVSRMGTSICNVCKLGGKLRCYHLSCLDPPVNSVPSGNWCCPFCVKNIKSCVQVIESIWDTREVEVSDAEGLQKQYFVKYKGLAHIHNQWITETQLLLEAPLLMENFSKNSLMRWTEEWTVPHQLLKKRLILSFKPLYGTRQNSGKVLNYHNEWLVKWQGLDSEQATWELENITVLDSPEGYNLVREHENQHGNAKIPSSSDDKIKELSVKLPTIPAGNPAGVDDIHLSYVKKLHDAWNKEKNNLVFDDQLDLRISEFSEVLLKRHERNMMGMSHLQERTLRIVLFILSLKGVTLPFLLITPSNSLSQWEAMFSRIALCNDLKVSLEEGQRGSRLLEVQKEGGQLAFQVLLYHVDAFVKDLNVLKAIKWEAVIVDESQSLEISSHFSHVKSLSTYKRLLVFSGPLVVSMAGYLDVLSLLDFDGAWPNLSKFIAYECKSSSSKFVEFWVPVQISNLQLEQYCSMLLSNAMALSSCSKSDTFGALHDILASNRKVCSCTILASVESCYIYAVDAKCPHFPYRCLEAHQYCSYQLTFSPCCDHPYIVDQGLQGVLTKGLEPAKFLDVGIKASGKLQFLDLILPEMRKRQLRVLILFQPLSGSGKDSTSIGDILDDFVRQRFGEDSYERVDGIGTIPSKKQAALNNFNNKDMGRFIFLLEYRACLPSIKLSSVDIIVIFDSDWNPANDLRALQKIALDPQSEQIMIFRLYTSWTLEEKILILAEHNVTIDSKLQNLSRSTSDALLTWGATYLFKKLTEFHSTSVLNISSEEHLLNEVTEEFLNLISHKCKNTDTSKSIITRVQQSGGTYSKNLPLPSELITQLPNGEQPNTFWRNLLVGRVPCWKFLSRSTPRQRKRPQYCEQSPKKINAGNEDVGRKRKKTANITEPVASISGTVEGEIAGACRGISSVPSHDGSQSSPGNSFWCDAVHNETDFQSLLKLNISKLCEVLGLSGDVKIMVERFLEYVIENYHVNEEPANTLQAFLISLCWIGSALLKHKLDRRQSVALAKKHLSFICNEEEAKSVYLKLEPARDMFLRHTENQKKSDVSKDRIPSAQATFTWSLDPRVSHPGPFDLQDVKVENQMEFSGSEHGDQDSPKRAPVLSRNLMEVECPDKIVHGEHHGLVISSDIMTSPNATVSGSICSETILSHTDPNSASVTVNALSFKLASASIEDQPNGRSCAKTGTNNVEVDGNVFEEVSGARNKEQSKRPCSSSNSENSTEPTSSRASVKQVVDVATSSVPVEEIPVKNHEGVCNDVEKQVDSLRMKVLLADCNKEITEAGATISDRSHFREDQNDISPNDVEGHLGSVGMVSLAVGCNQKDDSNSPSAAVSKEKLPSVLPLVFPASGQSVPTYLEIRNESVQDNVVQGYPCSSTEINNLRTIDAGKDGQFNSEDSSLHLHEESCFSLSANPAVAENQSDTPSVSKLVPEITSDGQTTLSAATPPGHEAHNPPPSPEAPPQVSEITTEVSSRAATPPGPNLLNMQGSENHNQGVSWAPNKSKFSSDPLQAEVEKLHELKDNVIKFHEAIVSV >KVI09343 pep supercontig:CcrdV1:scaffold_94:320747:326313:-1 gene:Ccrd_012281 transcript:KVI09343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of rudimentary, Modr GAILRVAPHVSGKRKTAEDLKSCLKSLDNLPFQSQSEDQRPPIECSSSASLTLRGSQEQQADPRPPEVSTNSLYPPSGVTSPSSSRPTTPNSSNSNSFTQRPEEQPQFSSHVPPAEAAAIIVYLKDKSVDELRKLLSDEEVYQQFLHSIDLVKTPNNLRDELRDETLQLASKGKLGKRIVYDGTAEPELMNEMDEESETVHKQLLEKEMDVATFIQKYKKLRVDYHKRALTHLAAKTCLSG >KVI09356 pep supercontig:CcrdV1:scaffold_94:68251:69009:-1 gene:Ccrd_012259 transcript:KVI09356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHFLSLQFLLLLIILQCTTITTTVAQSLAPAPGPPGPTNITKILEKAGQFTTLIRLFEITKVGDQINTQLNNSKQGMTVFAPPDNAFSSLSAGTLNSLSDQQKDELVQFHVIPTFISMSQFQTLSNPLRTQAGDSTAYKFPLDITTSGNQVNLTTGVVSATVANAIYSDGSLAVYQVDKVLLPMSLFGPPAPAAAPAPESGNDKKKDKDDDSQSPSDDSASANSSDAVDLRRDLHGLMGGAIAFIAMFYL >KVI09344 pep supercontig:CcrdV1:scaffold_94:304365:312731:-1 gene:Ccrd_012280 transcript:KVI09344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF707 MKNVYRSGVLKRSNGSAKLIITTIMGIVFGYFIGVSFPSVSLTKVILPSSIYSPFDLTMHEDQSKSLERSFPENLGSGNTPATPKIYVPTNPRGAESLPPGIVVPETDLYLRRLWGEPSEDLKNKPKYLVTFTVGWGQRDNIDKSIKKFSGDFQILLFHYDGQTTEWDQYEWSKQAIHISVRKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNADKYIRLVKKHGLEISQPGLEPNNGLTWQMTKRRGDKEVHKFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVKPAHEKIGVVDSQWIIHQVVPSLGSQGNSENGQAPWKGVRDRCRSEWALFQDRLANADKAYFKQIGKEE >KVI09330 pep supercontig:CcrdV1:scaffold_94:275859:282490:-1 gene:Ccrd_012278 transcript:KVI09330 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MDVSRRIDEGIMMEGWLYLIRSNFFVFKYSRKLYYVLQHRHFNSFKSISHSYNKDPIRSAVVDSSIHVMDNGRNCIRGKVFFVFTLYDTSNHDAHVKLGANSPEEAARWIKSFQELSQEVNQNSGNFLHCLGSTYSGRVCNKIGLDDILSLASITDPRTADIYEPSYWMIFGCHNGLRLFKQARDQEGLDKRDGHPALAAASVMEGTPEVIFQTLMSLGSSRSQWDFCFQKGSVIENIDGHTDIIHKQLDGDWLPWSMKRRDLLLLRYWRREDNGTYIILYHSVLHNKCPPQKGFIRAFVECGGYVISPVNQYKQSVVRHMLAIDWKFWRSYLQKSSARCLTIRMLGRLAALRELFKTNLANHLLSEFSSQELKKDSMLHQSETSISVGTQSQENGAYKEYMEGGLVHISSNPSNLVQIDDQSDEFFDFAEPLFDDESEGCWGADNGPQLYSQDTCNVKPCTSFANVIAEKKHEFVCELTLDTRSLYASMQRKGCADLEKLVWDECVSCNYTITLPEDQTGNLANSWSASEPSLFQLRGETFLEDQSTLLQTVAVDWLKSDKREDDLASRPGNIVQKYAADGRPEFFFIVNFQVPGSTTYNLVSYHMTSTPIKDIPLLERFIEGDDAFRNSRFKLIPHVSKGPWILRHTIHRPTLVGHMLKINYIRGKNYLEMDVDVGSSAFARGLASKTFSCFTSLIIEIAFVLQANTGEELPEHLFGAFRLNYLDISKACWANP >KVI09358 pep supercontig:CcrdV1:scaffold_94:154993:156476:-1 gene:Ccrd_012268 transcript:KVI09358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like protein MTKDVEVAEHHGGGSEYAAKDYHDPPPAPLIDGEELTKWSFYRAVIAEFIATLLFLYITVLTVIGYKSQTDPTLDTDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYMIAQCAGAICGVGLVKAFQSSYYNRYGGGANELAPGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDQWIFWVGPFLGAAAAAFYHQFVLRAAAIKALGSFRSNA >KVI09325 pep supercontig:CcrdV1:scaffold_94:485477:490305:1 gene:Ccrd_012293 transcript:KVI09325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTHGQDPDVVRWGLQLFDGGPFNDSSYSGYGSQNYADCYHDQYSRETSYDTQCINPENDKHLMLALPEDGSSFSVAEASAPSCEEVDLSQTSFYLPDSLNQSMANYSLGDDENTNDLPPTSSCGSPTRQLNYGEEWSYSLDLSDEYALDDEVGKRLNQLASIPHVPRVNGEIPSVDEATLDHQRLIDSLLVKRCLNTSFFYRLQLYQLVESKVQGDGNCQANTLNLHADGQDIFRALSDQVYRTAEHHKFVRQQIVNQVGSVNGAIMSLCRLLLIWYGSASLTYGIKVFVITSFKDTCYIEIVPSVQRSNRVIFLSFWAEVHYNSIYPVEDLPPMRG >KVI09364 pep supercontig:CcrdV1:scaffold_94:142234:145987:-1 gene:Ccrd_012265 transcript:KVI09364 gene_biotype:protein_coding transcript_biotype:protein_coding description:disulfide isomerase MANQLVIILCFSTFLILSHFTSLSLSKETNPSSGDDDDEDLSFLEEPEDGTPSTHDTYDQDLPDFDEFAGDEDEDDFDNFNYNDDEFEVPAHDYNEEEEHTNSIDDKDVVVLVESNFTDIVESNRYVMVEFYAPWCGHCKALAPEYAAAATELKGEPVVLAKVDAQEESDLAETYEVQGFPTVLFFVDGVHKPYLGQRTKDAIVNWIKKKTGPGVYNITTVEDAEKILASEDKVVLAFVSSLVGPENEELAAASKLDDDVNFYQTIDPNVAKLFQIDPDVKLPALVLLKKDAEKVTHHDGLFDKSAIKEFVFANKLPLVTTFSRESASLIFESPIKKQVMLFATSMGSTKVAQTFVDAAKLFKGKGDFDNPLDVISGQLIFVYVDMDNEDVGKPVGDYFSITGDAPQVIGYTGNDDAKKFVFDGDLTVENLKAFGEKFLDDKLKAFYKSDPIPEHNDGDVKIVVGNNFDDMVLDESKDVLLEADGYPTLLFYPAGNKSSDPISVDVDRTVVAFYKFLKKHASIPFKLQKPTYTDAKQSSKDSNIDKKDEL >KVI09323 pep supercontig:CcrdV1:scaffold_94:508379:513092:1 gene:Ccrd_012295 transcript:KVI09323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKLLYIVVEDDFGGNDQRRRNEDLTFRYTRHVLQSALQLMGCKARHAFKISQRVFEIMRTECLGDTLAAMGVIKTGKDFLKVHPGKENSCVTDGRSDKEGRSRNNNQGKKGICDGATVRYQWMWQIYIVCFAGKSASRLGITTVISTDSIRHMMRSFVDEKQNPLLWSSTYHAGEHLDPVAVSEAKAKRKAKKQGSVSPQSQFKTSASFDATAVPPAAPKEGSASSATIDLISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVGMFQLIQRQGSSRNLMALLNDDGSVAKAWPVHSVDANGKPIVGHPAEDGIGMPMYGPLQIGKAEPVNLQFGNFGISAWQTDLGCTSRASSVDESKGELTDNGSRYHSSCCSSPKVSDGPAKELKEEQSVYGSDEEVDDHLEVDSDEDLSDDAKEHMHEEMEGSVDEESTKSDEEYDDLAMQDIQENGYFTDDEFDPNHNNLLSLGQHDTVANHHGDKYNRNLDRFLRAKSEPGPDSVQPNPGMIKERRLLSSGSFKVKRRSHSISSFGKDGPLSPTLSRVATTLSRDLP >KVI09346 pep supercontig:CcrdV1:scaffold_94:327046:332182:-1 gene:Ccrd_012282 transcript:KVI09346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 2 MGFMRNPNTRSSDYLDGMLGDYTNTAGGGKSSKSRPQKSTSAKLVTLLTCLQFAFAVYATFLLYYMSPSIDLRTPKPDFAWASKIAQQWKHYIIPPHIISRYQESASNLVLEPELPMIAAPTEVCEHEKIEFEQKKSNDALMIKIKRELYDEVLNFQSKNMGTESLTELMAMKSKWDLHGAGNIPKVTVILNHFKRKTLCSQLDSLLHQTLPFHHVWVLSFGSPNELGLKRIVESYNDTRISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGTKMLEILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIIQVDFLSSSWFLSAELIKTLFIETPFTFMTGEDLHLSYQLQKYRNAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRAMSTGYITQWAAMNPQKIDALFYAHSIDEVKALAPLLEKFRSTVGKKAYIAVSGGGFCPCEEAASTLHWPKTVCLERRFKIFDLGVGALSGISNSEVPVVQAVYASMKGLIKVHNPSVVITIDDIDPNVRKALKMATESNLNSSTLVHLPRPSISKVLWMADLRSTTLPNWNRMTISVNIITQNRVHSLTRLLRSLKDAYYLGDEVPISFNMDSRVDNSTLKLVKSFEWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQISLPELASISLYTPRLVEVVKERPKWNATDYFKQIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDPKKNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQMSFSTNHMEPGAHISAKDNVVRHNKTDFEVPLLKDDFRNYLPNGKMPSASKLPSLNLFNQAVSLKGLKSAGSKLRQDVLNCTVTEVVMVDHETGLPSHCATF >KVI09324 pep supercontig:CcrdV1:scaffold_94:478858:482420:1 gene:Ccrd_012292 transcript:KVI09324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion-transporting ATPase-like domain-containing protein MAAYTLLRRIAKPQFSSSLLLHTTSPRCYFSTSVNPKTVATPPFQERSLASRPDSVFLFDEMIVGNQRKYYMLGGKGGVGKTSCAASLAVKFANNGHPTIVVSTDPAHSLSDSFDQDLTGGTLVPIQGLNSPLFGLEINPEKTKEDFRSAAQGNGGAGVKDFMNSMGLGMVAEQLGELKLAELLDTPPPGLDEAIAISKVMQFVDSPEYSTFTRIVFDTAPTVSISIGSSKFFADGIFCVIQILVSQGHTLRLLSLPDFMDASIGKIMKLKTKIASATSAIKSVFGKEQTPLVEGSSSKLEQLRERMAKVRDLFRDSETMEFVIVTIPTVMAVRESSRLHASLKKENVPVQRIIVNQILPPSATDCKFCSIKRKDQMRPLDMIRKDPELASLVVIEAPLFDVEIRGIPALQFMGDRVWK >KVI09333 pep supercontig:CcrdV1:scaffold_94:189593:199018:1 gene:Ccrd_012271 transcript:KVI09333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 MTSDSSSSSSSSSEEESAGQRPDIDHNTDSIGNTLDAIENQLSSMALNTAVNEEMPSVADDHHQPATEVRNGSLKEIESERLINSTEESKLSRAAGMWRNNSEVEIDGAMSSPCISGYAAERGSGGGATRASGADDIQELRNNSSSVGEDGDSLGSAKSQWVPGKRHVDEDDASVSWRERKKHFFVLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVKNGGDQVKLVRAGKHQVRNALTACTSNNIFTYYSFISYKTPALDPCFVDCNKIGSTTNTATLDHNFCALQVVFLVKEPIYLVCISCTEEPYESLWGQLELLYGQMVLILTKSVNRCFEKNSKFDMTPLLGGTDVVFSSLFHSFSWNPATFLHAYTCLPLPYATRQAAGAILQDVADSGVLFTMLMCKYKVVSLVGTQKASLHPDDMLLLANFIMSSESFRQVDTYLVLLTSSSDAFFHLKDCRIRIEMVLLKSHVLSEIQRSLLEGGMRVEDLPVYPSTRSVSLFHLNEPRLVTGPQERLHEAFVGIGGPAGLWHFIYRNMYLDQYVSSEFSSPITGHQRQKRLYRAYQKLYASMHDKGTGPHKTQFKRNENFGKVYYSSAINSVLLCWVTQDFELYAAFDPLADKGLAIRICNRVCQWVKDVENEIFLVGGSPSVW >KVH96715 pep supercontig:CcrdV1:scaffold_9407:4746:5894:-1 gene:Ccrd_001194 transcript:KVH96715 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTWCNDCTDTQPPVTIRKLPSSNDNNRVMDSNKTMPCPSCGHRIKCYEKARIHDLPGLPAGVKFDPSDQELLEHLEAKVRLDAHKIHPLIDEFIPTLDGENGICYTHPEKLPGVSKEGLVRHFFHRPSKAYTTGTRKRRKVHSETDGGETRWHKTGKTRPVLLQTKVKGYKKILVLYANYGKPKKPEKTNWVMHQYHLGNNEDEKEGELVVSKIFYQTQPRQCGNSSIVKDSLVKPNGRNVHEGSHATNNITTFIEYFGPSFVSFQQNVEHARDLNLHDGSSV >KVF21784 pep supercontig:CcrdV1:scaffold_9408:6700:7915:1 gene:Ccrd_026841 transcript:KVF21784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETSSSSQPMVSKRILRSRILKPLPAEEAVQKRKSTHTTKNENNSKLARVANEVEDELLYVDGLLCQNLHVQRSSPAI >KVF21783 pep supercontig:CcrdV1:scaffold_9408:3530:4747:-1 gene:Ccrd_026840 transcript:KVF21783 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, phage-type MLQGLHKDIQQARYESLIIDLSIAYEGYKFYLPAFLDFRGRIYRSGLLHFHERDLARSFIQFADSNNSPACAPITALATCYHYKSFISQSAVVDWCESFLIHTDTNSPISLINYASGAKRPFQFLSNIVLMELSKDNDSKMCIPITHDASASAYQIMSYFLMDECIARRTNLIPSENGEIQDLYLCILNELKPFIQNELCDSNLSVLICSSITRKMVKGIFMPIIYGKTVMSTASDIKGYLSQYLTQKECFDLAKICFKFWKVKYHNMDCLIRLIRSIGWVASSCGRPVQYSVDYYTTIQDYMQMESINIWVYDKLHKKRRKVSLRISTDKRDSKKTGVSTFVNFIHQKDAFIAMKVVEVMLYLKAPVYTVHDNFLTLPYYSQKVADIYSNLVTRMGSPLLIINK >KVI02015 pep supercontig:CcrdV1:scaffold_941:68963:75199:1 gene:Ccrd_019708 transcript:KVI02015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol-phosphate phosphatase, putative, inositol monophosphatase CFLNLKFKYTIQRSTNNLHLHSLPCYSLYLHERALLKLCFFLPAIKMLSQSHFLSLSSASRSPLSPNFRASSSASSLSSPSSTLALAKSPRIISMTATRSSLSNGIAAVTGSEHADSELDHFADIANKLADAAGDVIRKYFRKRFDILDKEDLSPVTIADRAAEEAMILIIQENLPSHAIYGEENGWQCKEKSADYVWVLDPIDGTKSFITGKPLFGTLIALLDRGKPILGIIDQPVLRERWVGITGRTTRLNGEEVSTRSCSELSQAYLYTTSPHLFSADAEVAFARVRDKVKIPLYGCDCYAYALLASGHVDLVVESGLKPYDFLSLIPVIEGAGGVITDWKGNHLSWEASSNSQPTSFNVVAAGDKQLHKQTLDCLEWQ >KVI02005 pep supercontig:CcrdV1:scaffold_941:35575:42336:1 gene:Ccrd_019712 transcript:KVI02005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta2-adaptin/TBP, C-terminal domain-containing protein MADQLLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVSSPPPLLNYSFYTIWKHLAVVEHLFFEYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQWYGTLLFFDLFLVLPIPKRIYGGNWAFAVSVLL >KVI02012 pep supercontig:CcrdV1:scaffold_941:118310:127085:-1 gene:Ccrd_019703 transcript:KVI02012 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MMDQKMEKCSSEDDDPEKRQDKQEDVTADPEFVGCMLQPCSADSDPNYVGIRRLLLFRKVQSGLLHRKDWRCNGKGYVAYRNFINRRGSQMNLRVLSYTSSPGTSGQWAPSPGPASLTLEVDSWSAGRDTHESQTLSHTNSLGSNLSETDHPRRKAEPAYSFVGMHCIFDQCKAMVTVIKFGHMSSDILAYGANDGTLTVCTVSEPPSIIEQLSGHSKDVTDFDFTMNNQYIASSSLDKTVRVWDIPRGLCIRVIYGVAPQLCIRFHPVNNNFLSVGNANREISVFNFSTGRLIDKTVVDSKVTAMDYDNTGRFIFCGDAQGWIYTITVNSHQGTLSRSHRARNSSKHKSEVTTIQYRTFSLLTRGPVLLSFSRDGSLSFFSVSLELQGYLTLRCSLKLAPRLHSIRASFCPLLSLEKGEFIGTWMPSDWCCLESW >KVI02007 pep supercontig:CcrdV1:scaffold_941:55384:60668:1 gene:Ccrd_019710 transcript:KVI02007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCIAARDRTVINGSSSDIMSRNVRYSPSWSFRWDNRGRVAEEETSVNWLSDGVGTNDRLDNKSQTTIETANASEAGSPLEGFQSITRRKSPPSEGKMVCTSGPLNARNPREVMESTETPVDLEPSPTNMSPPPHLLSSFSISPISSSHGGHPPPSNSTVSRRHHLSPGWSNESNSASQSHGGSSDGFSELGFGGFSEPLSFGGRVSSPSVTQICGVCSRRLSDKSWGSQKIMATNELDVVAILICGHVYHAECLESMTTGTNKYDPACPVCNFGEIQTLKLSEKALRADMELKAKITKKLRRRGMDGDSIVFQGPQMSSSSSMKSSIGKPFLRKHFLFGSKRSRSLSESKSSWKNGFLWGRSGKE >KVI02006 pep supercontig:CcrdV1:scaffold_941:14033:14870:1 gene:Ccrd_019713 transcript:KVI02006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper domain-containing protein MPQILSELFRSEFMINSGYWRRTHLVQSGTATTSSGGSYPIQNSGSHEDLKRLMDQRKRKRMVSNRESARRSRMRKQKHLDDLMIQLSQLRKENNQIISSVSITTQHYMGVEEENSELYGGGGGGTEFVDEFMSYVYANQPILASADMIQY >KVI02013 pep supercontig:CcrdV1:scaffold_941:128830:133576:-1 gene:Ccrd_019702 transcript:KVI02013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron/zinc purple acid phosphatase-like C-terminal domain-containing protein MGVFCYTVLASLLAVLVLKSALIVDGGLTSSFVRKVEKTIDMPLDSDVHITQGDHVGKAMIVSWVTMDEPGSNTYDTKYYYEVGIGHTTRTFWFTTPPAVGPDSYDSNITLTHYEMNPTKGQTVLFVGDISYADQYPNHDNRRWDTWGRFAERSTAYQPWIWTAGNHEIDFAPEIGEDEPFKPYNHRYHVPYKSSQSTAPFWYSIKRASAHIIVLASYSAYGKYTPQYKWLEQELPKVNRTETPWLIVVMHSPWYNSYNYHYMEGETMRVMYEPWFVKYKVDVVFAGHVHAYERSERVSNIAYNIVNGICKPVKDQSAPVYITIGDGGNLEGLATNMTEPQPEYSAFREASFGHATFDIKNRTHAYYAWHRNQDGYAVTADTMWFYNRYWKLEDESTST >KVI02010 pep supercontig:CcrdV1:scaffold_941:96946:99751:-1 gene:Ccrd_019705 transcript:KVI02010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSSYIPNSENGRHSAPMLYLREPLQDSYSSESPILAGNVMMYMNFSSASAGEFSGSLTRNSQQPHNTCIDIPNVGVHDSSVVSHQEFLSSMGEPWRDGRNHEMVLMDQMGSRNLQGQGQGKGLSLSLGSHPGIQFHSSDYQNPNLGFSSFLSPDSVVENSSKDVSPYGIGNVSRAISDSKYLKAAQQLLDEIVNVGKALKQHNSRKESTDDSKGVDGESKNVNQQESNEFSAAEKQDFQNKMTKLISMLDEVDRRYKQYYHQMQIVVSAFDVIAGCGTAKPYTALALQTISRHFRCLRDAINGQIKEAQRSLGETDSTTNGKGVGISRLRFVDQQLKQQRALQQIGMMQQHTWRPQRGLPENSVSNWFINARVRLWKPMVEEMYKEEAGDAEMESNSSSEMAPKDTEGNRKTSADQGEDKNQSTAASSAFDSKSFNQAHNTEMVGTSTDSDFQNMMLQSNRGERERLVAAYQMQRRFGNRNGGGVSLTLGLQNCEDGSLPPMASIGSHNHSFVDVRGGGGGGGGSGGRGVYDTATGSSVEAETIDFDCVDSSNRQQRFGSSHLLHDFVA >KVI02016 pep supercontig:CcrdV1:scaffold_941:76116:83013:-1 gene:Ccrd_019707 transcript:KVI02016 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome subunit P45 MTSEGEDAVRRRGAVTEYRKKMLQHKELDSRVRGAFNAIVTILRCMYTVRETLRSTKKDYAKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKE >KVI02017 pep supercontig:CcrdV1:scaffold_941:88147:96125:1 gene:Ccrd_019706 transcript:KVI02017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MDVEDLMNTHYSTISTPSGASFDCCLEQNFSLFAVCVCMFVPETVNGQMNNSEESVSPRPLYTAYTLDANKPMKTVDHSDPFTIRNWVEEIDSAKYGSVTKDIEDLLLLRKQMLMPCFKRFPSLRADLMDVNSVKEASTANPTRDIVMVDNDMGHDTIAPDSVVIIGSDDDEPTYGRPFQPYRDIVLSKPEGQFLMKQFLNLLAGKLCSSLKDLTEYESMACREGDYSPGQSSAGKGGKGRGGGGGGAGGGGGGGKGRGRGRPKGPRGGEGVEGGHTNAVESKDGEHTNAVDSKDREDKNVGSDVEVDRKHDKGEYVGVESDSDMEESDSQSDVNFDGLADIWKEMNVGLESSKDAAMDISSNEHVREDGEECDHSFILKEDIGYVCRVCGVVERSIESIIEFQRPKSSKSTRTYWHESRSDRSGEAAGPVLDGVKLPGKDFSVGDISAHPRHKKQMKPHQVEGFNFLLSNLVSENPGGCILAHAPGSGKTFMLISFIQSFMAKYPDARPLVVLPRGILATWKKEFNRWQVEDIPLFDFYSLKADSRAQQFEVLKQWANLRSILFLGYKQFSSIVCDNDRSSTAASCQEILLTYPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVREVFNILNLVRPKFLRMENSKMIKRRILSRVPIESRRNLFKKSTDNEFYELVEHTLLKDENFKRKVMVIEDLREMTSKVLHYYKGDFLDELPGHVDFSVFLNLSPRQKREVSELRKLARKFKISSDGSAIYVHPELKSLAKTGTKERGDDNVNKIDELLERLDERDGVKAKFFLNLLRLCESSGEKLLVFGQYLLPLKFLLRLTVKVKGWSLGKEIFMITGDHDNDEREVAMDLFNNSPDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQERKVYTYRLIAAASPEEEDHTTCFKKESIAKMWFEWNEYCGHHEFEMETTDVKECGDQFLETTWLNEDVAALYKRS >KVI02018 pep supercontig:CcrdV1:scaffold_941:140961:157613:-1 gene:Ccrd_019701 transcript:KVI02018 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, subunit 116kDa MAEHGGGGGCCPPMDLFRSEPMHLVQVIIPIESARLTVSYLGDIGLIQFKDLNAEKSPFQRTYAGQIKRCGELARKLRFFKDQMSKAGVTPSAKFEAKTDFNLDDLEVNLGDLEAELTEINANSEKLQRGYNELVEYKLVLQKAGEFFKAAERSAAAQQRELSSHQAGEESLETPLLKDQESTADQGKQVKLGFLTGLVPKGKAMAFERILFRATRGNVFLRQASLEEAVVDPNSGEKVNRSLLHFYLPCLGECFDIKYPFDVEKNVFAVFFSGERAKSKILKICEAFGANRYSFAEDLCKQAQMITEVSGRLSELKTTIDTGLLHRGNLLETIGKQYEQWNDVVRKEKSIYHTLNMLSIDVTKKCLVGEGWSPVFATQQYKEETPRQITIFCIVDVMFQITICINLMHIKIFCFKIQYALQQATIDSKSQVGAIFQVLHTKELPPTYFRTNKFTDSFQTIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICILLATLYLIFREKKYSSQKLGDIMEMTFGGRYVILLMSLFSIYTGLIYNEFFSVPFELFSPSAYACRDAACSEATTIGLIKVRDTYPFGVDPAWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSFFNALHFRNAVNIWFQFIPQMIFLNGLFGYLSLLIIVKWCTGSKADLYHVMIYMFLSPTDDLGENQLFPNQKTIQTHQGESYTALEGTEDSLQVEAGHDPHDHEGFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYNNVIILIVGIIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALLGTEEE >KVI02011 pep supercontig:CcrdV1:scaffold_941:115666:117081:1 gene:Ccrd_019704 transcript:KVI02011 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MHSTTTTTTTTTHHQLTMAMTNTKMSILMFPWLAHGHISPFLELAKKLTDRNFMVYLCSTPIILDGIKDKISDSIQLVKLHLPSLPELPPHFHTTMGLPTHLMFTLKQALDMSLPNFCNILDTLKPDLVIYDLLQPWAAAAAAERDIPAVEFISSSGTMTSFQFHHVHHPYKVFPFPTIYYRDYESRAQSQREDELKKDRNYEKERQRGILALRLSHDIVLIKSFAELEGKYNDYLSGVVGKKIIPVGPLIKDPNLEEEGSDIIKWLNGKAMGSTVYTSFGSEFFLSKEDLEEIAYGLEKSNVSFIWVVRFPKGEKTRVCDELPLGFLERVGDRGLVVEGWAPQIRILNHPSIGGFVSHCGWNSVMESIKFGIPIIAMPMHLDQPVNARLVEEVGVGIEVVRDSDGRLHREEVTAVIRRVVVEKGGDVVRKNAKKFSKNIDKKGDEEIDAVVKELNRLVQKKPAAGAVTYW >KVI02009 pep supercontig:CcrdV1:scaffold_941:4055:4312:1 gene:Ccrd_019714 transcript:KVI02009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSSLFPSVPVLPSSSVIILSSIKSLSRKAPSSPTALKLSLSATSPRLPTSLLGGSSVAISPRRFFSPPASNHIPGREIGSFIF >KVI02014 pep supercontig:CcrdV1:scaffold_941:65342:66635:1 gene:Ccrd_019709 transcript:KVI02014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin MADILDEQGNPVQLTDELGRSVKLTDERGVPIHLIGVATPEDSSPLGSTTTIGTKPEMHGETHFAPVPTDTVGGGGIETTMPPLSHPETGGDETKKQQLGRAGSSSSSSSEDDGEGGRRKKKGLMQKIKEKLPGHKSKEDEVPAKVYTATTKVSVTTPAGPADQPKPEFIKVEHHEEEHEKKGFMDKIMDKLPGHHAR >KVI02008 pep supercontig:CcrdV1:scaffold_941:44228:52267:1 gene:Ccrd_019711 transcript:KVI02008 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ-containing protein, X-domain-containing protein MVKDTEYYDILGVSADASSSDIKKAYYVKARKVHPDKNPGDPKAANNFQVLGEAYQVLSDPEKREAYDKNGKSGVPDDSMVDPAAVFGMLFGSDLFEDYIGELYMASIQSVELEEEGQVYHNFSAFGEAMLHTIGYIYARQAARELGKDKRYMKVPFLAEWVRDKGHQVKSQVSAASGAVSLIQIQEELKKLNVTEGKEEQIVKALEEKKDAMVNSLWQINVVDIETTLSRVLKDPTVSKEELKQRAIGMKKLGTIFQGAKTNYRRDHSLRQEGTDVKTASTSK >KVF21307 pep supercontig:CcrdV1:scaffold_9416:6027:7564:1 gene:Ccrd_026842 transcript:KVF21307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloramphenicol acetyltransferase-like domain-containing protein MEVEVISKECVKPSSPTPPHLKTFELSLLDQLHIIPYVPVIFYYRIHNGHNILQAQEKSLALKGSLSEILTQFYPLAGTVKDDLSIDCNDVGAYYALALVRLRLNEFLCHPDLSLTDRLLRFRPSFEASGIGGRVTSVQVNIFECGGIAIGLCISHKIVDAATLYTFLKAWTNMACGSKEVVYPNLIGSRSLFPAKDLWLKEAMAVSGSWLKEGIWCKMGFYDIDFGWGKPCWVTGVIGDGCPVFLNLIXLMDTKCGEGIEAWVNMDEEEMKILQSNSELLAFASLDPSPLPKDEVVALNKPHAMNTEIDPIK >KVH89682 pep supercontig:CcrdV1:scaffold_942:127521:130216:-1 gene:Ccrd_008325 transcript:KVH89682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKVYLHTRSPYNLAIIFPKNGLSSFRILPKFPFLLLQASTSSHRVAGTRMSLSLPPTSMPVLEQPGGKMVVELVGTFNELTERIKNNNHQLLSTSSSRLLFKTLKLCLPILQTLPLAPDGRSPLSRALSIALLLADLQMDAEVISAGILREVLEAGAISMYHVKDRIGVSTAHLLHESFRVKNIASKVDTYDDESVSALRKFCLTYYDVRALILDLALKLDMMKHLEYLPRYQQQMISLEVMKIHAPLAHAVGTNSLSLELEDLCFQYLFPYSYLYVNAWLRGHETGNKPIIDVLKEQLLQLLKSDSILVEMVDEILVEGRYKSHYSTMKKLLRDGRKPEEVNDILGLRVILRPCSKLVTTDECEKACYRARSVIQSLWKEVPSRSKDYISRPKANGYKSLHMAVDVSGIRPLMEIQIRTAEMNILASDGTASHAFYKGGLANPEEAKRLKTIMIAAAEYAALRLKDFSSRNPKYDGSDSRDRIFRLLDKNGDGKISIEELMEVMIELGAQGEDAREMMQLLDANSDGSLSSDEFEMFQKQVEQMRGLEDRDDEYKMLLNQKLQVADGSGLIQVYRKELEDRLGLL >KVH89681 pep supercontig:CcrdV1:scaffold_942:22596:28041:1 gene:Ccrd_008323 transcript:KVH89681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF869, plant MDRRSWLWRRKSSEKSPGETESSGGSVSSHSERFSDDQAELPPEELDGGINALSEKLSTALLNISIKEDMLKQHSKVAEEAVEGWEKAENEVLALRQQSEVLTLRNSTLEDRVCQLDGALKECLRQLRQTREERDEKVDEAIEKKTSEWQITKTELEKQLADLRSQLQSAKKTEASLTSIETAEKENSALKLELASMAEELEIRFIERELSNQAAEQASKLHLESIKKAAKFEAECRRLNAALRKALVANDQRSFTDSQSIVESDDFKFESNQTTPTMDINLMDDFLEMERLAALPSDPRDSEPMIDRRSEIEEMLKRVEMEKTNLEVALNEREIELNTSKNQLKDVEAKLVKMEDLLIAANDARDTAEKELESAKATGKALHERAKRSKTEVVELKSQLDIICNERREAESRLEDAYTKKSEAESRVRTLESKLESLVPKVASLETKVEKERALSGRMGAKCRELEDEISRLQLENQYPKADIRKAEMRVKQDTELALAGSKYADCQKTIASLSRQLKTLATLEDFLIDTNEFNRRI >KVH89683 pep supercontig:CcrdV1:scaffold_942:33557:64135:-1 gene:Ccrd_008324 transcript:KVH89683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MSGQVPPASSRRSSSMLRRNNPSTSTRKSSSTQSENGTTATGATNGHPLKSPLSTPSGERTVKKLRLSKALTIPEGTTVLDACRRMLARRVDAVLLTDADALLSGIVTDKDIATRVLAEELRPDQTIISKVMTRNPTFVSSDSLAIDALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAQQGSAIAAAVEGVERQWGNNFSAPSAFIETLRDRMFKPSLSTIIAENSKVATVVASDPVCVAAKRMQELRVNSVIILGGNNIQGILTSKDLLMRVVAQNLSPELTLVEKVMTPNPEFATVDTTILEALHIMHDGKFLHLPVVDKDGSIVACVDVLQITHAAISMAENDSGADVANTMMQKFWDSALNLEPPDDYDDSHSEMSTSIIMPSDALEGGRSYPSLGLGNLFAFKFEDLRGRVHRFNFGTENLGELVSAIAQRMGSSLDQNCPQLLYEDDEGDRVLLSTDNDLVSAVNHARSAGQKVLRLHLDYSDFSERKRESQPLMAIEEQTVDTISKSSHLQTGMLASAAVIAGIACVVYLKRSNQ >KVF21195 pep supercontig:CcrdV1:scaffold_9422:2519:5544:1 gene:Ccrd_026843 transcript:KVF21195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSYLAELHIPCECPQLKFLNVSNPKLRSLDFMLVPNIETLNLNRCCDLVELVMPCKCPQLTSIDLSSSKLRSLDLRLVPNIETLNLQGCYNLVELRMHDECCKLRTLSLGCPQLRTFDLGMTRNLEALALDGHDDLLQLLVSFRCQQLKHLELINSKLSTLELTRNLKKLILTSCALVELHIPVEDVMLKSLDIKGCSKLKNLDLGGTPNLESLHLEECSSLVKLLAPMEFDCTYWEDLPSLIGNVEKLISVGSSCACTDLESFFGSICGLQHLGYLRLECDIPEVPKDLDNLQCLEQLTLSSPSIKNLPDSILSLKHLKSLKLYNWELLEKLPEDLGRLECLEDLFLMSDKIKHLPDTIFTLKHLKLVTLFCKLLENLPEDLGRLECLEKLTFKSKKIKHLPYSICKLKHLKSFELYCELLEKLPEDLDRLECLEKLNFTSKKIKHLPDSISMLKHLESLAFYDCELLENYRPSMHEDLDKWRGFIFKHPTPLILHATPEIYGIIMMKLQPTNSSRKKQPRLAVFWDRLEKLSRRARRGREPEDRNRRAEPETERGQKSID >KVI01717 pep supercontig:CcrdV1:scaffold_943:68211:70820:1 gene:Ccrd_020005 transcript:KVI01717 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MVSPLHSPEPTLGPILDTQSKSVEFLFVGGVMACMLNSDSRRLYSWWWDSHISPKNSKWLQENLTAFT >KVI01716 pep supercontig:CcrdV1:scaffold_943:40391:50830:1 gene:Ccrd_020004 transcript:KVI01716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family Ycf2 MASLIRKSINFMLIWMLLRFSLIRNRTVLKCKLLNEENESFYRRIRKKRVRISCGNDLEEPKPKIVVFASNNIMEAVNQYRLIRNLIXIQHSTHRYIRNERKKRTFQQRRRLVEELEKEIVDIIRTRMLSLHFRCNPTTVMLTKTWKLSRIQDEMQMILDGD >KVI01715 pep supercontig:CcrdV1:scaffold_943:118038:122414:1 gene:Ccrd_020007 transcript:KVI01715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGLSFFTFLFSFLSFCTHFSSVNPQPLDHISLAPSPAPQPAIMPKIQSSPPRLFNVMSFGSVGDGVTDDTQGFKMAWDSACQNNEPTVLLVPKHYTFLIQSSIFTGSCRNSLVFQIEGTIMAPDGPRLWPKGMSMRQWLVFYRVNGISLQGGGVIDGRGEKWWDLPCKPHKGPNGTTLPGPCDSPVAIRFFMSTNVTVKGVKVKNSPQFNFRFDGCHGVYIDSVNIKAPSLSPNTDGIHIENTDDVRIYNTLVSNGDDCVSIGAGSYNVDIKNITCGPSHGISIGSLGMRNTRACVSNITVMDSVIKHSDNGVRIKTWQGGFGSVSNVRFINIHMDTVRNPVMIDQYYCQSKGMCPNQTSAVRISDIIYERIWGTYDVRSPAMHLGCSDTIPCTNLTFSEVELMPSQGLNMASPFCWNAYGVLQTLTIPPVFCLLEGNPDSLPNTDIVQC >KVI01714 pep supercontig:CcrdV1:scaffold_943:70850:76908:1 gene:Ccrd_020006 transcript:KVI01714 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MDAKVKSMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDYATGELRHAQRTLQAAFPNQEPFGPAEDSSSSITTSDLSSQLLALFDPDDLQKKFPEGKIQNIDENDEIKAKIFIESERADKAECEIQNLKKALADVLSEKEFLLVKYQESLEKFSSVDGELNRVVESSKKLDEKASEAEREVRMLKEALGLMQAEKEAGLTKQMEYLETISDLEEKISHAQEEAKGMGKRAVEAETEARHLMNKLAILESENDAGLLNYGKCLDRISVLEKKILEMEEEAKMFSTQAARAQEEVDKLRKDLSELNEEKEALRVLYAECLEKSYNLQLDLSSAQKDVQRLNTEILARTKSLQSAEEICVRLEISNQSLKLEASDLAKKIMLKDQELCEKHEDLEKLRSCAKDEHTHYVQVEAALQTLQTLYTRSQEEQRALALELKNGLLMVKDLEICKSGLEEEMQQVKDDNKSLNELNASSAVSIKNSEVEILGLNQMKERLEDEVALQLGQCSAMQQEICGLKEEITELNTSHQALMSQLELVGLNPESFGSSVKNVKELLEKNTALESSLFDVNCELEGSKNKVEELQSSCELLHGEKTALFLEKTLLLSQLHIITVNMQKLMDQSIVLENSLSSANVELEHLREKSKGLEELSEFLNDEKSNLVAERSMLASQLESVQKRLETLEKRFTQFEERYGSLEKEKEIGNSQIQELVCSLSMEKQERESFMTRNQKRLDDLENHIHRLHEDNKWRKEEFQEELDKAVIAQFENFILHKFIQDVEEKNYTLLVEREKHVEASKLADNLISELETEILEQQVEEELLLVEVENLRLGIYQVFLALQVGSKGGFVDGYETSKISVEEIISNIKDMKCALLKEEEEKQQLLVENKIVLTLLQQLKLEFQESELHKTSLINEYEIMKDDFTKAKNEKLELIEVNRQLIVEVNKGENEAKILKSEVEGLHARKDELQHACIDLQDAYAQELYENRALKKDLLNLKEENDCLVLESLALGNISTVFSSFSAEKAAELRLLSDDLESLHRFNSELQNELIVLREKLEVTEKENFLMKRTIEQLESKCAESEVKVDALEKETVKLSNLKKEMECLRVVNGNLGLELDMLHEELEERRNIEENLASELQERENEFELWDAEATSFIFDLQISNTRDILFENKVHELAGVCESLEGEIASKDVEIEEMKRKESMMESEIEGLRAQLRAYTPVIGFLKENIASLEHNFFNMANLIVSDNRKSEDVEVAVHPYHHQTDPELMGSPKSLEPNGIADLIEFQTRITALEKVIVEDMSKIAKRDSSETDIKSRSAKLETQELKSQFRSSEDQKRTKAEKLRGKRYLTLDNLNLTKTKPEMSEVRKGVPIRDIPLDQASDGSSSANSRSRSRRGYLRTDDMIIEQLQMAHRIHETEKKSKKLPYEPQIEDFGVDKLEVVPHQEGTKGKLLQRLASDAQKLANLETTVKDLTKRLETGKKSKKPHSVDFGTVKEQLEEAEETILQLVNVNVESTASIEKNPSLSAWVEQEDAWKGSERIKRVQLEVQKIQYVLLKLDDEKKSKGKSGFSRTKSRTSVILRDFIHHGKRGSSSGRRRRLCGCFMPSATKGDRIRM >KVF20686 pep supercontig:CcrdV1:scaffold_9431:2179:5757:-1 gene:Ccrd_026844 transcript:KVF20686 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding MKILVLVWVQLLMLSLFTTSDTNNTSETYTLINSTNLAKPGCRSKCGDVIVPYPFGIGIKSNCSIGHGFDVYYWPYTFSEVNRFTVIGCDDYAWLTSETNSRYVSTDINECHYPEMFPCHGTCINTLGNYTCECGRGYSGDAKIRDGCRRKPYHPL >KVI04281 pep supercontig:CcrdV1:scaffold_944:66786:70341:-1 gene:Ccrd_017410 transcript:KVI04281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEIILGAIIDVLFQKLASGDLMKLARSEGLYSQLNKWNNTLLQIQTLLVDAANKHITDRAVDFWLRNLQDLAYEIDDILDDLATEAIRRKLDNDSHASSSTNTGKLRKLIPICCTNFSPHTIIYGHKMSSKLDEITTKLHTLFDQKQTLGLDVNVKRSNRRNRRLEETSLVDESKIMGREGDKEALLHRLLGNEACNQNVSIVSVVGLGGIGKTTLAKLVYNEKKVKDHFEVRAWVCVSEEFDAFNISKAIFQAVAGRNQEFANLDLLHVALKERLSSKRFLVVLDDVWNEDYREWELLQSPFVVGAPGSKVIVTTRKTKVAXVMNSFQPYDLKVLSEEEAMSLFAQYAIDEQNFDKHPTLKLHGEGIVKKCGRLPLALITLGRMLRTKTEDDEWDEVLNSEIWNLDDGREILPALRLSYYDLPSHLKQLFAYCSLFPKDYVFEKNKLVLLWMAKGFLNQSNGRKSKESLGREYFEELKSRSFFQTSTIVQPRYIMHDLINDLATSVAGEFFFRLDDKMDTYDVNESFEKFRHVSFIGRKYGTYRKFKELQRARGLRTFLPVSLNFWSGFDLSNKVLAELLPQLQFLNVLSLSNHSITEIPHSIGSLKHIRYLNFSKTFIERLPEQVSDLYNLQSLLVCGCQKLSNLPISFVKLINLRHLDISDTPLLNKMPLGIGGLTCLQTLPKVIIEGGNGFKISELKGLSDLEGRLSIKGLDKVISPIQANDAKLQQKKGLDDLVMEWSDDFDNSRNHMSEYEVLEGLRPHYKLKKLHGLLRSLKELYMEGMDGVKTLGLELFGPTGSFHGIAFPSLETLRFNDMQGWERWSTRRGDNDGIARSFPRLVNVSIRDCPKLVEISIDLIPSLGDLHIEGCSKDVFKSMVGVSSSIRVLRIQNIEGLAELNGEVLKLLGAVEDLSISHCDKLRYLCESESEACKFLVSLRKLEISFCKKLVSLPELPSSLETLSVHRCDNLESISDKGFGNIPLAYVWINNCKNLKSFPHEHLESLTSLERLEISDCPRVVSFVANAEDVTSTSFLLPQSLTNLLIYDFMEVELVPEVLQRLPCLKKLNIWSCPKLEDLRETNTTRPSSLRIEVIQ >KVI04280 pep supercontig:CcrdV1:scaffold_944:89801:96486:-1 gene:Ccrd_017411 transcript:KVI04280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGHGHGTTYKGVTLHQPKRWHTVTGKGMCAMMWKVLSADDEYRDEQLRMLTQLTVSVSAMECEAHEGTVAALLVQILRRFWILYRAKQDGPVVLVSINLSLELILELDGGILGKGMDMETNIRWTDDRKWA >KVI04279 pep supercontig:CcrdV1:scaffold_944:103187:107117:1 gene:Ccrd_017412 transcript:KVI04279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAAAARSFLRSTSVRNAAARISSEAKCKGAPSPSPFRFSTTKPLSHRIFRCPVEMSVCLETMQPFHTATASALMTSMLTLSRQGYGWLSEELGYPFHSFLVLYAPRKGLPYTKRRIGYANHLIQHFICIFAKTFSITQIHQLLALMILAEDQEAKLGFSCNNDL >KVI04277 pep supercontig:CcrdV1:scaffold_944:3636:14813:1 gene:Ccrd_017407 transcript:KVI04277 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein MDGASAHGGGVSEGDNKNSGEGGEPKVKRKMKTAFQLEVLEKTYAGGNEPGGSGGSGSGSSPFGHTDRVVARAGPAVSGSGRVAVTAPAAVATTTEMPSMKRYYEPPHAVSEVRAIAFVEAQLGERLREDGPILGMEFDPLPPGAFGAPIVQQKSAVRPYEGKPYERPDAKPVKGAVKALHEYQFLPEQPSVRSDSYDRATPARYYGSPSDVATSRTPVTTGRSFSHGNDPIASGYGFQGPIAGVSLVPQQGRQAPLLLPPPAGEFDNASEEARIAKEVEAHEKRIRKELEKQDMLRRKREEQMRKEMERQDRERRKEEERLLREKQREEERYQREQRREMERRVKFLQKESMRAEKLRLKEDMRKEKEAARLKAANDRAAARRIAKESMELIDDERLELMEIAVSSKGLPSMLSLDSETLESLESLRDMLPEFPPKSVHMKKPFKIQPWTDSENIGNLLMVWKFLITFADVLGLWPFTLDEFVQAFHDHVSFPEIFFGNYIILLLLDDPRLLGEIHVALLRSIVKDIEDVARAPSSCLGANQTSAPNPGGGHPHIHHLNPLTWPEILRQFALAAGFGPKLKKRNADRAHPREENEGVDGGDIISNLRSGVAAENALAIMQERGFSNRRSRHRLTPGTVKYAAFHVLSLEGSRGLSILDLFERTAPSTYCLRSPYRKDPADGDAIINAAREKIHIFKNGYFDGEEAFDAERDDAEKDDDSESDVPEDPEGATDLAEDTVVDESISGEPWVQGLMEGEYSDLTVEERLNALVALIAERLEAAMSLKKQMWAEAQIDKRRMKEDFMMKIQYPSVDDRSDIASNNHQEILGDPQNDLSNPNELLTTPDGFPLQQPAYAAEKSRSQLKAFIGHKAEEMYVYRSLPLGHDRRRNRYWQFITSASRNDPGSGRIFVELRDGCWRLIDSEEAQLYSMLQRIEARFKESAIKMQVDVPGAGYATACGSNSPSSTVSIPNTNILEFSSSFAIELGQSGKEMTNALKRYEDFEKWMWGECFALRAMKFGNARCEHLLRICDNCRDLSFFEDNQCFSCHKQCETFLGSNLAFSKHISQFIKKLRLESASYFHNREFCSPASIPLEALQPSWSGECRKSWSVKLVNAGTAKALLEVHELLGSNNSVTLRATGVCSTVSMLPWLPETTACVALRLMELDTSIHYLLSQKEDAEKDKGPTNFLTLAPRYAMMRNGEEGDHGESLFGSGYFQDPWADPGTSGRGRGRGRGRGRTRGGRSQKNAGAVGSRSRAKTADMGRSGGQLVGWKGRTRGGGRGGRKRGRRGVRTKPQKMAKIANDDERDMDQDFLYEESPVVGFQEWNAEETADLRVAENASSSEYEIENDNNGQALVDEYDDDIMVDSGYRNVYDDVASRGYIGEFGLEGEEEYVGEEDDDVEEEDEEEDEQGGVDVDGFFNDDSDGNRDVGGGNNNPDDETESSSSGYSD >KVI04276 pep supercontig:CcrdV1:scaffold_944:44435:44662:-1 gene:Ccrd_017409 transcript:KVI04276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGDCRPLGFLIGLPFALIALVFSVIGAVIWIIGSVVSCLCPCCCCFAGLANLAVDLIKLPIKILRWFTHQIPC >KVI04282 pep supercontig:CcrdV1:scaffold_944:141193:145099:-1 gene:Ccrd_017414 transcript:KVI04282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEKQEWGFKENHEVHATAALTMRNILEALIANMDENGTGKSMIHLGHGDPSIYPCFRTSTIVEDALVEATRSAKFNCYAPGAGIAPARRDLPYKLTSNDVFLTVGADHAIEVLLTVLARPGANILFPRPNYPTYEAEARFSPLKVRHYDLLPEKGWEVDLDGVKALADDQTVAIVLINPGNPCGNIAETARKLGILVIADEVYAHQVFGENPFIPMGVFGDIAPVVTVGTLSKRWIVPGWRLGWIAITDPIGILQQTGVFFFLPHIIENTPHSFFLNINKLLKEAADMLYKRLKEIPLVSCPYKPEGSMGCFWFEELGSSEFCCRSKSASRCNWEDESVLLETCKTLLGNYM >KVI04275 pep supercontig:CcrdV1:scaffold_944:23658:36257:-1 gene:Ccrd_017408 transcript:KVI04275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDKNAKDEDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQMPPPDAAAGPGGATYFSTGGGTPFSFNPRNADDIFAEFFGFRGMGSGGDGGGVRGTRFSSNMFGDNMGGFDNIFSSFGSGGGGGAFGNGGGVGSGTRKDSPIERSLPCTLEELYKGTTKKMKISRDIADISGKTMTVEEILNINIKPGWKKGTKITFPEKGNEQPNMIPADLVFVIDEKPHTTFTRDGNDLVLTRRISLAEALAGCTVYLTTLDGRNLTIPVNNVIHPEYEEVVPREGMPNSKDPTKRGNLRIKFNIKFPSRLTATQKSKIKELLNVEEILNINIKPGWKKGTKITFPEKGNEQPNLIPADLVFDEKPHTTFIRDGNDLVLARQICLAEALTGCTVYLTTLDGRNLTILVINVIHPDYEEVVPREGRTNSKDPTKKGNLRIKFNINFPSRLTATQKSKIKELLNG >KVI04278 pep supercontig:CcrdV1:scaffold_944:112207:122712:1 gene:Ccrd_017413 transcript:KVI04278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MGFNRYNKLLRSLISSSRSSTTTSPFSSIYCNQSRRSVISSSSSCSSDDAKTTHFSTISTSSYHLNNGPSYMRGAVFWEPNKPMTFEDFQMPRPKVNEVLIKTKACGVCHSDLHVIKGELPFPSPCVVGHEITGEVVEHGPLTDTKTIERLPVGARVVGAFIMPCGNCFFCTKVTRFNIVYIVPSSRKPVYMYSMGGLAEYAVVPAHALAVLPHSLPYSESAIIGCAVFTAYGAMAHAAQVRPGDAIAVIGVGGVGSSCLQIARAFGASEIIAVDIQDEKLEKAKMLGATHTVNARTEDAVAKIKEITGGMGVDTAVEALGNPKTFMQCVESVRAGGKAVMIGLTLSGAKGEIDINHLVRKQIKVVGSYGGRARHDLPKLVKLAESGIFNLGAAVSRKCKFEEAGKAYEDLNQGSILGRAVVEIM >KVI05681 pep supercontig:CcrdV1:scaffold_945:19335:28607:-1 gene:Ccrd_015986 transcript:KVI05681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin MERQSVRLRLLFEDRSILSEAQRSDGMNRSWLLIEPQQHRKISDVCNHLLQYFNLRRSCPNGIHLYMEGFVLPPSDVRRKGAALTEAIEAADACNLLDEAEINRNEPINNGMLLLANEEFDKETGGYQSESDAEEEKLEDEPSPLETLSKKRKASTKLRSSKNKKHRSVVMNDVKDEIAAENDDINNDECLPMKIISKKIKANKEKGSDETIIVKVKSSPKTKRKKKHLSGVVSCAEDDVVTDEIDNVSNGESLPGKMSKKKNKPNEETNKGNAEINDEKVKSSSNVKRSERLQDNVEGVEHASTPLDGAKKVPSRSARRKKAKRQWRRELAKISQTPETHLEPEVSKVDNKEANGHPKGPVKQDQLPTKVVHKNMIKQEERNSNHNVGTVLVPCVVRPGHIRFEPLDEDEDVKQIEVPEVAFQWNGITSKKKGQKWGLEKSSTFRRDDSPKANTGPSTMLSADTEVPVIDLNDFDKLPLCTSPKEGDVIAYRLLELTSSWTPELSSFRVGKISFYDASNIILMPVPEYPIIFDKMDEDGPDNSLYKEDGSLEIKFEALVDVRSVKQSNSDAMKAVNNGVQQTQGADKNAASNISNRNNNKETLSKDPSSVVANKEHDSWDELNEVLSAKKAELLEKKEEMKRDSGWNRWSYRALRGSALGPTMALLRSKNDV >KVI05675 pep supercontig:CcrdV1:scaffold_945:142044:142301:1 gene:Ccrd_015978 transcript:KVI05675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHPAPNKRNITVRYDFGSQSNXAATICRQKKLRRLPHIFAKVLELPFYADADVSIEETSDSLIFIVDTDDDRVWGEGFEDRRS >KVI05685 pep supercontig:CcrdV1:scaffold_945:76376:81023:-1 gene:Ccrd_015981 transcript:KVI05685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MPPSILRLSDGDTTVTLQTPFTVLTTSKKRKRPPMIEIPNVLQEIESAAVKFTPKNFESRSDAVFSSSGFGVGVCSVKGRKSFMEDTHTIVSSSNTDKVFFGVYDGHGGSKAAEFVAKNLHSNVLEMLDKCSDNTTTEEVVKAAFIKTDDEFLKQGLGSGTCCVTALIKGKELVVSNLGDCRAVLSRKGKADMLTNDHRASHEDERDAHLKDWVVGEPETKVLPLTEDLEYLVLASDGLWDEVGNQEAIDVVTRCMLSPKAKKVYRMKRTVKNNRKESENNEPTRPMVKTRTLNQNSNCKLESQTKLIEACRELVNLGVSRGSLDDITVMIVDLKAFQ >KVI05678 pep supercontig:CcrdV1:scaffold_945:2428:8795:1 gene:Ccrd_015989 transcript:KVI05678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDDNDAMLSDVEEEDNPPVPIVIGTPSSTEDVPIERFRELVAELDRERQAREVVEKSKSELQVSFNRLKVLAHEAIKKRDESSRQRDEFLRSNEKLSAELAEIVKEKDELLKQKDGFVKQLEESVKAKDSSRSEIETAAQMLVTGIDKISAKVNNFKNFSAGGLPRSQKYTGLPAVAYGVIKRTNEIVEELVRLIETTAKSRNEAREQMEQRNYEIAIEVSELEATISGLREEVSKRNSAVEGLEKSMDEKDGMIAQLERELDEKQDLVSEYGNKLRILESRMDSQRPLLVNQLSRVSKIHDQICGVIKIIDGNKKEQSGLSDSLFVPQETDAEENIRASLAGLEMISELSSMVLEKTKDLVAEKSREVKSLNETVTQLVREKEHIGSLLRSALSRRMSADLSSKTNELFKVAENGLRESGINYRFSNHTVEGKVTSSSDKAANVESEDDEIYTLEHAEAQARELLQRKQQVEELEEKERVANENVEGLMMDIAAAEEEITRWKVAAQQEAAAGKAVEQEYIAQLSTIRQELEEAKQVVIESEKKLKFKEETASAAMAARDAAETSLKLADTRATRLRERLEELTRQLEELDTRKSSSNGKRSRYMCWPWQWLGLDAVGPHQPDTVQRTSNEMELSEPLL >KVI05677 pep supercontig:CcrdV1:scaffold_945:47897:54085:1 gene:Ccrd_015983 transcript:KVI05677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific eukaryotic initiation factor 4B MSKIWGNIGAWAAEAERAEAEEKEQEAAAAAAAAAAPPQSYPSLKEAVNTNRGKKKTKMSLQEFTMGGAGGIGGGGSRRDLAFEHKGLTSEEMMRLPTGPKERSAEEMQYGRLGGGFSSYGGGRSGGGPRMRDRDADGDGSWGSNRRSYGGFDDDRRGPSRVSEYDQPSRADEVDNWAMAKKPMAPSFDAGSRPNRYTSIGGGGGLSAGGGGFSRADEIDNWAVNKKPIPPARSSNFGSGFRDSGGPEPDRWSRGVVPRDDNQERLIERRRLVLAPPTGESSPIEPVVKTNKPNPFGGARPREEILAEKGLDWKKADLELEAKKISSRPTSSHSNSRPGSAQSGRPGSAQSGRPGSAQSGRSAEGSAALQGLEKPRPKVNPFGDAKPREVLLQEKGVDYRKIDLELERRRLGRPETEAEKNLKEEIENLKREVEKENENRLHDRIVEKERELEQLSHDLDDKVRFGQKSVERPGSGAGRVGGTGFLQERPPSSQSGSFDESRSVDFSERPRSRGDSWMRGGGDERRGGFGGGSGKDRGFMGNRDFGRSNSRERW >KVI05684 pep supercontig:CcrdV1:scaffold_945:55311:75624:1 gene:Ccrd_015982 transcript:KVI05684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDWRQIANLIREGSYRGLTRTTQSVAIGVLLIATFSIFMVVRLKGGRISNYDLQSSSCQFPAIYNFGDSNSDTGAVSAVFGSVLPPNGMTYFHKPSGRYSDGRLIIDFIAERLGLPYLSAFLDSIGSNFRHGANFAASGCTIQPADSLMLNRTFNPLTLDIQLLQFEQFKKRKHRCEFPAVFNFGDSNSDTGGLSAAFGQAPPPNGESFFRRPAGRYCDGRLVIDFIAQNFGLPYLSAYLDALGSNFTQGANFATAGSTIRPQNTTLSQSGFSPFSLNVQWYQFNDFHGRVRNFRTQKGDGVFERLIPKTKDFSRALYTFDIGQNDLTAGLFQNLSIDQVRASVPDIVGQFKTVIEDIYNQGGRSFWIHNTGPFGCLPYVIARPLSTNGQVDKYGCVGSYNELAQSFNRQLKETVGQLRKDLHKAAITYVDVYSIKYTLITQASQHGFEHPLRACCGHGGKYNYDMHIGCGGKVKVKGKEILVGKSCNDPTVAVSWDGVHYTQAANQWIFDQMVDGSFSDPPISLLISVTLLLPISIFSLPECNFPAIFNFGDSNSDTGGLSAMFGQAPPPNGETFFHSPAGRYCDGRLLIDFIAEGSGLPYLSPFLDSMGTNFSHGANYATAGSTIRRQNTSIFQSGYSPIALDIQYAEFSDFFKRSQIIRQKEDVFKNLFPEKSKFSSALYTFDIGQNDLTAGYKLNMTTEQVEAFVPDVISQFTTTIKNVYDRGGRTFWIHNTGPVGCLPYILDTHLITAAQVDEIGCATPFNEVSQYFNNKLKEAVIELRKELHLAAITYVDIYSVKYSLIDCQWGILRSAGSIKDGLP >KVI05676 pep supercontig:CcrdV1:scaffold_945:128759:131511:-1 gene:Ccrd_015979 transcript:KVI05676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MAMINLSKFLDRVYLMAEGGSRYCSKKSDDICGDVCDEDSGRSSTMSRVRCILRGLELKTLIFLFVLVPTVILGLYVHGQKISYFLRPLWESPPKPFHEIPHYYHENVSMENLCRLHGWGTREFPRRVFDAVLFSNEVDLLTVRWHELYPYVTEFVLLESNSTFTGLPKPLVFHSLRDQFKFVEPRLTYGKIPGRFRKGENPFVEEAYQRLALDYLLRKAGIQDDDLLIMSDVDEIPSRHTINLLRWCDDIPSILHLRLKNYLYSFEFFLDNNSWRASIHRYQSGTTRYAHYRQSDVVLADAGWHCSFCFRHISEFIFKMKAYSHFDRVRFNKFLSPKRVQKVICRGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAHLLENADKYRFLLPGNCVRESE >KVI05680 pep supercontig:CcrdV1:scaffold_945:16482:17882:1 gene:Ccrd_015987 transcript:KVI05680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MLVEEDNTTPLPPPPPVAAGGDDRKTYSRSVSWAIAGISPVKPNPKIPKSLWLKARSCLPPLSVSRPKADEWPKAGSDDLGIWPNIAATPRSVSKPAPLPVNVDRSRFEFKKDKLAYFDKECSRIIDHVFLGSDSVAKNREVLCRNGITHVLNCVGFVCPEYFKKDFVYRTLWIRDSPNEDITSILYDVFDYFEDVREEKGRIFVHCCQGVSRSSSLVIAYLMWSEGWSFEESFRRVKAARGVTNPNMGFACQLLQCQKRVHAVPVSPDSALRMFRIAPYSSYSPLHLVPKLLTEPNANALDSRGAFIVHVPNNVYMWVGKSCVSLMFESASLAAARVIRYEKAIGPVLRIIEGEEPADFWWAISSVKEHEMEVRGGLGERRVVDYDLDFEIFDRATNGGVVPPLAITSNHHEMCLPARQSGWERLRKRSINGVVKELVTMDRSHKELESSSDLFSFLVKRFKKVD >KVI05682 pep supercontig:CcrdV1:scaffold_945:29127:32975:-1 gene:Ccrd_015985 transcript:KVI05682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSITRSFSSIFARPNVGLNHRSFDLQQWRGIRVKILNNNLDQGLTFMQRIMQSSGIERMIKNEQLYHIKNSEKRILARKNLQRRLKSQDLARKLKSILVRKVRKIDMSHD >KVI05683 pep supercontig:CcrdV1:scaffold_945:38083:44898:1 gene:Ccrd_015984 transcript:KVI05683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MNKLIFFFIFWFSYGRVLSEIHASLPPRGWNSYDSFCWTISEDEFLQNAQLVSQKLHAHGYEYAVVDYLWYRKLVPGAYVDSLGFDVIDEWGRVIPDPGRWPSSKGGKGFTEVAKKVHDMGLKFGFHIMRGISTQAVNANTPILDITTRACGWMKNGFMSVDTSLGAGRAFLRSLHHQYAEWNVDFVKHDCVFGEDLDLNEIETVSEILKELDRPILYSLSPGTSVTPAMAKQVAPLVNIDFAAASMVGAKGLLGNSWPDLDMLPLGWLTDPGSNEGPHRMSKLSLDEQKTQFPYITSTTDLRFLEQGSNFQTRSLKEAGSSEKLVLGFSSCHDSQAKGWYKDLERVCWRWHSRSEKVEPFCLYKTEPLLQSDEGVAYSGKYQGKFHLRARNRAGFCFDTSSKRRLTSKERKRASFSPCRLDTNQMWELSNNGTLVNGYSGSCASIKATKADASPGGIRSWIATGKKGEIYLSYFNLNPARTVISTTLSNLSKAFPTINFRSCSCKEVWSGKDYGSLQHSLSVSVDSHGCALFVLTCT >KVI05679 pep supercontig:CcrdV1:scaffold_945:9479:13574:-1 gene:Ccrd_015988 transcript:KVI05679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIPKGYSLNSFTYSRFICGLCKVKDLSLVDKLLKDMNNIGAVPDMWAYNMYLNALCSGGLVDMALRVLEDMAETGRKPDVVSYTTVISGLMRAERFDEAVQMWQTMIQKGLRPDANACRALVLGLCGIGKVDLAYELTVGVMKLDFNTSVYNVLIHGFCRGGRLDKAQALMSFMRKNGCAPDLVTYNVLLNYCCDELMLEEAEKLIQTMERSGMKLDSYSYNQIIKGFCKANRIEKAYMLMVRKMEVKGVVDVVSYNTIIRALCKGSHTRRAYELYEEMGRQGIPPDVVTFTILIKAFLREGSSNVAKKLLDKMTDMGLLPDRVLYTTIVDHMCKTGRISMAHTIFCDMIEHGITTDVVFYNALISGLCKASRVSEAMHLFEQMKVEGLYPDEVTFKLIIGGLIQEKKLSLACMVWDQMMDKGFTLDRDISETLINAIHSKDASGISTGKGLSGLRMAFLKIVRSRRVSFRSTTNIVILPSWSGITAATTTTTNKTSADQHYFEISSKKNCHQDIIIRIRKP >KVI05686 pep supercontig:CcrdV1:scaffold_945:92345:99533:1 gene:Ccrd_015980 transcript:KVI05686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF707 MKSEEYKRRGSLGRRFVSSIYFRLMLETFSMNIIMFYFFVNDAIFRRPNECMRAIVTIIVGIVFGFFIGVSFPVFSPPKIFVSSNPRGAERLPPAIVASQSDFYPRRLFGRPSEITLTKRSKRLISLYLRHPPPSITALMVLLLLQFSENFTILLFHYDGRTTEWDEFEWSKRAIHISIPKQTKWWYAKRFLHPDIVAPYDFIFIWDEDLGVQHFDAEEYIKLVKKHKLEISQPGLDPSSKGLTWQMTKRREDLEVHKETEEKPGWCSDPHLPPCAAATRMKEKRHGKGYAMHLVRDRCKKEWRIFQDRVSNAEKAYYKSVGVGSTDHEANWVTDDRIQ >KVI05674 pep supercontig:CcrdV1:scaffold_945:146905:147960:-1 gene:Ccrd_015977 transcript:KVI05674 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MEEAMRRLAGFTASSESDLFQPPNPTVQKRCNTTTPTTTNSAANKRAALKDSNGTNGSMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGSKARTNFVYPPSPNDTLINPFAFNKSQLPAPATAPSSAYDNFAVPTLQRNTASFNSLLFHDFFNSGSSSSYCNPNPNPNPNTNPNLSSGSTPAIVVHASKGSTTPSINQDDYKEFFPSEPDHSGLLDEVLTGFYPKPNNQSKPEPKPKPQPDRDLTHELKKTVESNHFGFFFENQSGFSAATMNSQQNQFDLDQGFNGGGGLPFYSHHSPAPVTFDSQESIFQDVFQYPDFVGLFAARLQNA >KVF18568 pep supercontig:CcrdV1:scaffold_9457:4065:6351:-1 gene:Ccrd_026845 transcript:KVF18568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFIFSLTCSCAMMLLVFVFLSSMVKGLGDFNINMSPSLSPFFDKLCDEVNCGRGNCSVDVAKPFNFVCQCDPGWKRTRSDNEDDLQFLPCVIPNCSLDYSCMPAPLPSPPVPDNYSSIFDPCYWTYCGEGRCTKNHTYTHTCECNPGYTNLMNVSHFPCFSNCALGSDCRRLGIRVLDASSPPGGSSQGETAGSGTSQGTKFLPGGFYWIGITVIYMVMALWK >KVH88421 pep supercontig:CcrdV1:scaffold_946:100807:111067:-1 gene:Ccrd_026847 transcript:KVH88421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSMVERATSSMLIGPDWSMNTEICDICNHDPAQAKDVVKGIKKRLGSKNPKVQLLALTLLETIMKNCGDFVHMHVAERDLLHEMVKIVKKKPDFHVKEKILILIDTWQEAFGGSRARYPQYYAAYHELLRLGAVFPQKSDRSAAPVLTNPQTQSRSSYPNNPENGNEVAESSVEAEFPPLSLTELQNARGIMDVLAEMLTAIDPEKKEGLRQEVIVDLVEQCRTYKRRVVHLVNSTSDESLLCQGLALNDDLQRVLTRHDALVSGTSEKPKTETSQALVPVDTLLIDTGDTKQPVSGAGASAGAGAGAGAGAGAELLAITPVTTNGPPATPAKVNPKFDLLSGDDFSSPSPENSLAIVPISEPEPTAAPASQHNALALVDMLSQTNVPNGSAGQTYSSPQFQQSQNFPSHQSSLYLSASITNTVPPQYENQGSSSSWNGQMPQQQPLQDPSLPAYGAPSSEGLPPPPWEAQSTDDNQSGAGGPQYSQPQVLQTNDQGMGMYMQPLSSQPQAVYPQQLLQGQQIMGMIPHQQIQIQAAHMYPQPMGHQMQMAQPYGYGYGVSGYGYGQQQNAQFLDQRMSGLAVRDDGFVNSSTVYTGPSMANASYVHVPSGKPMKTEDKLFGDLVDFAKVKPNKTTPGRAGSM >KVH88420 pep supercontig:CcrdV1:scaffold_946:94121:100651:1 gene:Ccrd_026846 transcript:KVH88420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTSRAVVSRLCSRFSIKLFNGKPLKSSSSNPSLKRISATSRLPVQLCSLLSMMPLHSAVASSRLTSALSAESQSWGLIPLGISMPL >KVH88422 pep supercontig:CcrdV1:scaffold_946:155423:158149:1 gene:Ccrd_026848 transcript:KVH88422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MHEKGGCYWNGCVASEFYDNKDKTCCLNFKEXNDDVSQKISDDSLKNVYLLSFYYHSLDPFDQDDWEHYAKMTTEIGEQLQDASYGYGYDLFVTNPKCVDKAIKEKTCNALLMRVVQLLETPYVNLINYAS >KVI11402 pep supercontig:CcrdV1:scaffold_9461:1:207:1 gene:Ccrd_010188 transcript:KVI11402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEGERLRDTVDGSCVWWHCWFQRDGSGGCDYVYKYRGSGFGL >KVF17981 pep supercontig:CcrdV1:scaffold_9463:1:1446:-1 gene:Ccrd_026849 transcript:KVF17981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSIATGISGIPITDKSCTLSRRLLATRGTSRISGYGVVMNVGSLVERRRCSAFPTLKVKAIGKEDQSEPRFPPQHQETQPGKEYLMNPLPQFSNPNYNPSDKLRGKVALVTGGDSGIGRAVCYAFAKEGATIAFTYVKGDEDIDATDTLKIIKEAKTSESSDPTAISTDLGYNKNCQNVVDEVIAKYGRIDVLINNPAVQYETYSLEDIT >KVF17756 pep supercontig:CcrdV1:scaffold_9466:7340:8785:1 gene:Ccrd_026850 transcript:KVF17756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MLYSIATGISGIPITDKSCTLSRRLLATRGTSRISGYGVVMNVGSLVERRRCSAFPTLKVKAIGKEDQSEPRFPPQHQETQPGKEYLMNPLPQFSNPNYNPSDKLRGKVALVTGGDSGIGRAVCYAFAKEGATIAFTYVKGDEDIDATDTLKIIKEAKTSESSDPTAISTDLGYNKNCQNVVDEVIAKYGRIDVLINNPAVQYETYSLEDIT >KVH96651 pep supercontig:CcrdV1:scaffold_947:2404:27476:1 gene:Ccrd_001257 transcript:KVH96651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MVLSDGKNAQWSTVVVRFNRDVINTVGFNLPENLFIVGFLIHSDLFDSATSDRLLQVSSNREGYKSRWSGSSPEYLQNKVKMSVQEYLDKHMLSRKIEDAVNAAVRAKTPDPVLFISNHMRKAVPSVITKVRARQILDSRGIPTVEVDLYTNKGMFRASAPSGAPSGLYEAIELRDGDKGTYLGNGVSRAVRNINEKISEAIVGMDPTLQNQIDQAMIDLDKTEKKVPLYKHIADLSGRGNHDIMILPIGARRFEEAMQMGSETLLLRKIMVHMDVMSVKMAVLLLTSPGIFREGLDLVKEAVGRTGYNDKIKIAIDVAATDFCIGTKYDLDYKSPSRSGQNFKSGEDMVEMYKELCRDYPIVSIEDPFDMEDWEQVKHFSGLGICQVVGDGLLMSNSKRIERAIHEHACNALLLKVNQIGTVTEAIEVVKMAKDAQWGVVISQRSGETDDSFIADLSVGLATGQIKAGAPCRGERLAKYNQLIRIEEELGDQATYVGDDWRQS >KVH96652 pep supercontig:CcrdV1:scaffold_947:30648:47927:-1 gene:Ccrd_001258 transcript:KVH96652 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MDRIDTVKELPFRVGFTGHSGHIRIEPLPPVQQSSPINSLPEFILPPAFARETPESIKTLVEDKYLLPRLDPDEFSAENSGRQWEFEWFDQAKIHLEPTLPRSVVVPAWELPFRRPERASELEKWEPASVQVDVSELMVEAENSIGVRISGPPKDFVKGSINNRPFRPGGLDDSQSMGRTFPEGASNGEWVREVLNGAPAQVIPPSFKEGMDLGELKGHPCSWIIHEDQSVPKTTSESTLSVQFDDLFKRAWEEDVTELAIDEANNITGHVGETSELEIEVIEAGSPKSISPEVENKSDLPVGALDSESSVIDQILSTGSEGLGKGLDDSGDVGAFVLQVWAVTGGSDGIADHFDELVPDMALDFPFELDAFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFSGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINFVLLSATVPNTIEFADWIGRTKQKQIRVTGTTKRPVPLEHCLFYSGELYKICELEKFIPQGLKAAKDVYKRKNMGTPVGGGSGTYPSLPAAHASVHSQKPNSFMRGKQNRQSGPQNLGNFVGAGRGNQNYSGGNNNWGSRISEKSLWLSLINKLSKTSLLPVVIFCFSKNRCDKSADNLRETDLTSRSEKSEIRIFCDKAFSRLKGSDRNLPQIVRVQGLLHRGIGVHHAGLLPIVKEVVEMLFCRGILFSTETFAMGVNAPARTVVFDTVRKFDGKEFRQLLPGEYTQMAGRAGRRGLDNIGTVLVMCRDEIPEESDLKLVITGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEQQQLLMRKLSQPTKVIECIKGEPAIEDYYGWYLEAEMYSNQITETIMQSSVCQPFLMPGRVVVVKSQSGEDHLLGVILKAPSSSNNKQYIVMMLTPSLPATLQSSSSGDASQKKTTAGIQILIPKSKRGMDDDYYSSATARKGTGVVNIKMPHRGSAAGANYEVREIDTKEFLSICNAKIKIDQVGLLENDSNAAYSKTVQNLLDKKSNGNKYPPALDPLKDLKLKDLNLVEVYYRWNNLLQKMSENKCHNCVKLGEHIKIAREIRKYKEEVNALKFQMSDEALKQMPDFQGRIDVLKEIGCIDTDLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQRNASEPSLTPRMSQAKQRLHDTAIKLGELQAHFKIQIDPHEYARENLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALCKKMEAASNAIKRDIVFAASLYITGL >KVH96649 pep supercontig:CcrdV1:scaffold_947:82892:90225:-1 gene:Ccrd_001261 transcript:KVH96649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Engulfment/cell motility, ELMO MSGFHENATVDEDDYPWRLKKGNILKLSHEPVATKSRLAQCITDAMFGSRTLIGEILIRSGLKGFGSDKYSDSTLSPMQEERLQRLQERLHIQYDEDSLEHQKALIDLWHLAYPDVKLEGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFAKTFPVRALEFKPRSLPGANFLKLLEGSTKSYTNTIGERTIIGRHLPNTRFTGLQYTLQLAFAFISFLLYNLHGEHNLDLEFSCTVHLKDDV >KVH96653 pep supercontig:CcrdV1:scaffold_947:54072:55089:-1 gene:Ccrd_001259 transcript:KVH96653 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic DCPNDINEAISSLIFASARCGNLPELIQIRKLFSERYGERFETTALELLPGNLVNFQIIENLSITNVPNEAKYKLLEEITTSVQQTGPLALEFASEVHQQVYLQLQATNRNANQAIPATGNRFDFDHSGVDTDPSMTDPDDTDSSSENSTVNVPEEIVYLDDIVEFQSPLNSGKNEIDQRVFVFRSSAKTYEFNDFSIERSEHLRDGTEVTRRSTERPISSAIGCSGYRKRSPFYQNFKRTGDMGSRRDYYPRAMSMPCMRSTKCVDDNGVMRSNSLPVEPSPPHVHPKLPDYDELAAMFMALKKEHLQNHK >KVH96648 pep supercontig:CcrdV1:scaffold_947:102615:108980:-1 gene:Ccrd_001262 transcript:KVH96648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MTTFTTQDIDAMFGGLAKCLQHIYASLDVVAIRCALELHIPDVINHHDGPITLAQIAHGINSSSLNIEGLSRLMSFLVKRQIIDQVHQPAETDEPLYTLNPCSKWLVQDPKTTTIAPYVMMVTNSSLRSLLGNLSKCIAQGGTTAMNAFGVDFWSFLSVNPRVNDVFNEGMACGTRITMDALKSKYRFDELKGTLVDVGGGLGAAINDIVTAYPHLKGINFDLPQSVLHDWSDNKCIQILKNCRDSIPGKTGKIIIVDTILHRGGDDAFEYTRTAHDLMMFSLFENGKERTEVEWKTILNEAGFYRYNVIQIPAIESIIEVYSD >KVH96646 pep supercontig:CcrdV1:scaffold_947:158553:158751:1 gene:Ccrd_001264 transcript:KVH96646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYALRSHMFILLQFFTKLFYIYHFYEQVIFHAYIFSFVILS >KVH96645 pep supercontig:CcrdV1:scaffold_947:158643:159910:-1 gene:Ccrd_001265 transcript:KVH96645 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, catalytic domain-containing protein MCEDGKANTRILFCGPHFAASHNYTKEYLQNCPSIQVDDLPFANIPDLIGNYDICVVKSMRLNSDIIARATRMKLIMQYGVGLEGIDVAAATNHGIKVARIPIGETGNAASCAEMAIYIMLGLLCKQVGMKDDLFIEMINVEELGEELKKYEHM >KVH96647 pep supercontig:CcrdV1:scaffold_947:129497:130136:1 gene:Ccrd_001263 transcript:KVH96647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGKWTTLFMWRWRNVLKLLRTFANSSVLRRSSDIRHVTWFRIFDAQISIIRCTNLLYATCK >KVH96650 pep supercontig:CcrdV1:scaffold_947:69441:70541:1 gene:Ccrd_001260 transcript:KVH96650 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MVLVCPRLRKGQIRVEHYASKQAKFIVAHDSRIVCLALTHDGRLLATASSKGTLIRIFNILDGSFFQDSIWWQRPPNSLRKLKQQLTQLRRSFSTLMGLVENANYSRILNDGDSLPRCN >KVH93095 pep supercontig:CcrdV1:scaffold_948:32956:38634:1 gene:Ccrd_004861 transcript:KVH93095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFAITKVRKGRKETPDFSELKFCHETPTPSETLRRWFLVIDAATLSRKRALLPVKKLRSSLLANSKLQRTLYGFIVFQVAWNDVRGINYSNELQTDTSLAIEAKYMRRWEFDSIGEAAKGIASWFPGTPCECSRLEEQLNSMIGDEFHDAPVDFPNLHGRDDDEKLSNVNLHMEEDEYCCTPSSSLGEQPEILEDQVSICNGLNKRRKLMNLLGMDIESDFHTEEAHSESVGRQLPSSYASDCEEADEATQYRDVLLLFRFNDRDLPFELQKIIMSDLRLLTLLEAGLPSWVIFSQSYPVFCHIYRPWMCPLARALYVVISLVTVVIGFYDLYKNVPVLKATAARLCGPLFGWIETWEMVSRIKYLGTMLFLHNFEKAVKWFLMMTRTAILYPILWFLLEIVYAPIRLILGLSNFVGFVFKNTYDILGGLWLFVSDIFKLASNAETSVSSYEVAMWHSLWNDLFSQVVLSMPLIFFYFHVSCYQVSSTVPVLIEVPIL >KVH93090 pep supercontig:CcrdV1:scaffold_948:56358:63872:-1 gene:Ccrd_004864 transcript:KVH93090 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MEDKEETKTFKELGVVDQLIEACDSLGWKNPSKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQALLETPQAFFACLVGGVDQVATPGRLVDHLSNTKGFSLRTIKYLVLDEADRLLNEDFEKSLDEILNAIPRERRTYLFSATMTKKVQKLQRACLRNPVKIEAASKYSTVDTLKQHTSMVFTRTCEATRLLALMLRNLGLRAIPISGQMTQAKRLGALNKFKAGECNILICTDVASRGLDIPSVDMKIKETGGGKRRRGDDDEGDVEKSHTKNKKSSSKRR >KVH93087 pep supercontig:CcrdV1:scaffold_948:124542:135856:-1 gene:Ccrd_004869 transcript:KVH93087 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MEDGSMGALVPAVTSKDKIRISDAFPSDSGAGKMVEAEGEPETTDKELLCPICMQIIKDAFLTSCGHSFCYMCIITHLDNKSDCPCCASSLTTSQLFPNFLLDKLLKKTTASQVSKSASPLEQFRQELVQGYEVSVKELDTLLSLLAEKKRKLEQEEAERNMQNLLDFLQCLRKKKIDELNEVQSDVQYIKEDIGLVERRRIELYRARDRYLLKMRMQAGELTGSKFWSSSSIDKDNSDLVFASGKAHGVPRGNLQYRNVDGKSQASSHGTQRKEASSGLSSQNMSQSSLAVIRKKRVQSQFNDLQQCYLQKRRPLVNPLQNPNSRDGDAMQREGYSSGLSDFQSVLSTFTQYSRLRVIAELRHGELFHSANIVSSIEFDRDDEMFATAGVSRRIKVFDFSTVVNEPPEVHCPVVEMPTRSKLSCLSWNKHTKNYIASSDYEGIVTVWDVNTRQSVMEYEEHEKRVWSVDFSRVEPSMLVSGSDDCKVKVWCTKQESSAINIDMKANICCVKYNPGSSTHIAVGAADHHIHYYDLRNTSHPLHIFSGHQKTVSYVKFLSSDELASASTDNTLRLWDVKRNLPVRTFRGHTNEKNFVGLSVNSDFLACGSETNEVYGYHKAISKPLTWHRFGTPNVEDSDEDPGSYFISAVCWKSDSPTMLAANSLGTIKVLVLAA >KVH93096 pep supercontig:CcrdV1:scaffold_948:3775:10109:1 gene:Ccrd_004859 transcript:KVH93096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISHPPMEQLQDLEYCIDSNPPWPETILLAFQNYILMLGTSVMIPSMLVQLMGGSDGDKARVIQTLLFVSGINTLLQTLFGTRLPAVVGGSFAYVMPILYIINDSSLQQIPDHHDRFIQTMRAIQGALIVASSIQIVAGYSQLWGLFSRFFSPLGMAPVVGLVGFGLFQRGFPMLGNCVEIGLPMLLLVIGVSQYLKHTRLLKDLPIFERFPLLICISIIWIYSIILTASGAYRNKPNATQMSCRTDRANLISTAPWFKFPYPLQWGPPTFSAGHAFAMMSSVLVSMAEGKRGSSWTNPSWESQRKFGAVFASIPFPIYAAVYCVLFGLVGAVGLSFLQFTNMNSMRNLIIIGLSIFLGISIPQYFNQYVTVHHGLVRTNAGWFNAFLNSIFSSPPTVGLMVAVFLDNTLDVVNSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >KVH93094 pep supercontig:CcrdV1:scaffold_948:19853:24840:1 gene:Ccrd_004860 transcript:KVH93094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQGSDSGWVGRKPLRRLGGMSDALSIAAELGFSVAPPPSQEDLQNSSAGGEKGDDLIRVLRELTSVQRKIADLQVELQGRKEDKNVAHLTHVSEMEKKIETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQAASDYGALTASVADFQWTQNFKEPPSVWGEMLRPIPVALASCTRFFEAMTAMRESFATLQKLRLGPSESGMKMDVSRRTTSIDSDCVTPPPWGKESSFDDLGVRRQEQDINSSDLDGMSNRRLSWPLGKPNVS >KVH93092 pep supercontig:CcrdV1:scaffold_948:44712:47328:1 gene:Ccrd_004862 transcript:KVH93092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein MATHLLFFFSVSILFLAIFSLADGGSIGVNYGRIAGDLPSASKVVKLLKSQGIDRVKVYDTDPAVLRSLAGTGIKVTVNLPNEFLYAAARRRSFAYGWVRRNVVAYYPNTRIEAIAVGNEVFVDPKNTTGFLIPAMKNIHQAIVKYNLHSDIKLSSPVALSALQNSYPSSAGSFRPELIEPVFKPMFEFLRQTGSYLMVNAYPFFAYESNSDVISLDYSLFRQNPGVPDAGNGLRYFNLFDAQIDAVFAAMSALKFDDIPLVVSETGWPSKGDENEIGASVENAAAYNGNLIKRILTGGGTPLRPKANLTVFLFALFNENKKTGPTSERNYGLFYPNMQKVYNIPFTVEDLKNYRSESSPVTGGDGDGSRVSVSNPVKKGGNGSGESWCVARDVGKDKLQSSLDYACGEGGADCRPIQPGSTCYDPNTLQAHASFAFNSYYQKMGRASGACYFGGAAYVVTQPPNFGKCEFPTGY >KVH93091 pep supercontig:CcrdV1:scaffold_948:67317:69667:-1 gene:Ccrd_004865 transcript:KVH93091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30, conserved site-containing protein MADVAKGGPIVPESVLKKQKRNEEWALAKKQEAEESKKKSAANRKLIFNRAKQYSKEYEAQERELIQLKREARLRGGFYVNPEAKMLFIIRIRGINAMDPKSKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRIPLTDNSIILTAGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDFINELIRRMN >KVH93088 pep supercontig:CcrdV1:scaffold_948:79335:82622:-1 gene:Ccrd_004866 transcript:KVH93088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MHSAVAPPPSPAETLDQTTALLCHLLPASLSIHSFPGRWQVLRFKLATLKSLLSELSYSTHWSENQLLLTLLPNLLSTLRRIQTLCDQCTDPTYTAGKLLMQSDLDMATGWLAKQLHDLDLLLRSGVLRQSNAIVLSQPAPGSAKEDLSFFIRDLFTRLQIGGVEFKRKALESLLQLLVEDEKAATLVAKEGNIGYLINLFDVNTHREQSVSAISILACASDQSRKTVFEEGGLGPLLRIVESGSLQLKEKASMAVEAITADPDNAWAISAYGGVPILLDVCRSGSLTAQSHAIGAIRNVASVEDIRICLGEEAAVPVIVGLLSSGAPASKEKAANCISILASSSEYFRSLIIQEKGLQKLLQLLHQSSNPDTIEHVLRAIHSLSASDSVCRLLSSSSMFITQISGLIKQGNFTLQQISTSILAHLSISDGNKRAIAGCMGSLMKLTEFAKPAGLQEAAVKALVALLTVKPNRKEFVKDEKNMMRLIQMLDPTNESVPKKFPVAIVYALMTGGSNGCRKRLVDAGAQGHLQRLNEIDVAGAKKALHRLSGNRLKNIFSRTWRE >KVH93086 pep supercontig:CcrdV1:scaffold_948:103142:118902:1 gene:Ccrd_004868 transcript:KVH93086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MENFPLINMEKLNGEERCATMDKIKDACENWGFFERFKEMVAAKALEGVNIEVTNMDWESTFFLRHLPTSNVSEIPDLGDEYRVLMKDFAGKLENLAEELLDLLCENLGLEKGYLKKAFHGSKGPNFGTKVSNYPPCPTPDLIKGLRAHNRTRMSIASFYNPGNDAVIYPAKALVEKEPAESEGVYPKFVFDDYMKLYAGLKFQAKEPRFEAMKAAESNVTSGSETKHSAFLIRSNKTNTEQKAEELYSIIKEMENFPLINMKKLNGEERGATLDKIKDACENWGFFELVNHGISHELLDMVEKMTKEHYKKCMEQRFKEMVATKALQGVKTEVTNMDWESTFFLRHLPTSNVSEIPDLGDEYRTLMKDFAGKLEKLAEELLDLLCENLGLEKGYLKKAFNGSKGPNFGTKVSNYPPCPTPDLIKGLRAHSDAGGIILLFQDDKVSGLQLLKDGEWINVPPMRHSIVINLGDQIEVRLDPVITNGKYKSVMHRVIAQTDRTRMSIASFYNPGNDAVIYPAKALVETEPAESERVYPKFVFDDYMKLYAGMKFQAKEPRFEAMKAAESNSIIKEMENFPLINMKKLNGEERGATMDKIKDACENWGFFELVNHGISHELLDTVERMTKEHYKKCMEQRFKETVAAKALEGVKTEVTNMDWESTFFLRHLPTSNVSEIPDLGDEYRRLMKDFACKLENLAEELLDLLCENLGLDKGYLKKAFHGSKGPNFGTKVSNYPPCPTPDLIKGLRAHSDAGGIILLFQDDKVSGLQLLKDGEWINVSPMRHSIVINLGDQIEVITNGKYKSVMHRVIAQTDGTRMSIASFYNPGNDAVIYPAKAVVEKEPAENEQVYPGKVPGKGTKVEVPGKGTKKQRDGNFPLIFMEKLKGKEGGATMDKIKDACQKGGFFDLCSSFSVMWLALVWN >KVH93089 pep supercontig:CcrdV1:scaffold_948:92729:93271:1 gene:Ccrd_004867 transcript:KVH93089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEGGDRRRWRSWKSLKRRLGWNRIMGFCGTSNWVFDIDAGERPLMTSSDQSPAAFEMINPLPASYIPTTTMNLATALAADRWQINVGPRDGTTMKPLKSLVRLFEGIDGGDVKEAADDDDDDDGNSAVGTLCCLCIERNKGAALIPCGHTYCRVCSRAMWSKQGSCPLCNRSITEILEIF >KVH93097 pep supercontig:CcrdV1:scaffold_948:150057:150758:1 gene:Ccrd_004870 transcript:KVH93097 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MARGSRTKAAAGGAIGTRETVMGQQHDDNEPRYRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEDAARAYDAAAVSLRGPKAKTNFPSLALRLHETADHGTIDHTHHHHHHHQRSFYEFQHFNTNQSRPTTSNLSSTVESTSGPRPSNPPRERTQQPLKPSQFPPMDDDCHSNCDSSSSVVDDYYCDADLSSSSRKPLPFDLNLPPPLADVGFSSNPIDDSDDLFVTALCL >KVH93093 pep supercontig:CcrdV1:scaffold_948:53216:54982:1 gene:Ccrd_004863 transcript:KVH93093 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP180 N-terminal homology (ANTH) domain-containing protein MPSRLQKAIGAVKDQTSIHLAKINNHTSSHLQVAVLKATTHDDSPVDQRYVYQILHLIASDKIYAAACARAIAKRITRTRNWVVALKSLMLVLQIFEDGDPYFPRELLHATKRGSRLLNLSDFCHDSSSNWDYTAFVRTFGLYLDERLDCFLTGKLQRRYTYNEREGSRSFRGRTNESFREMKPLMLVDRIVHRQRLLGRAVATRPTGGAKDHWLVKIALYAVVRESFDLYKDISDGLALLLDNFFHLPDEACVGAFRICVKAAKQFKELDKFYSTCKTISIGRNLVYPTVQTISGELIETLEEFLNEKSFFPLQTRIPSKLLVLPGNGLSSRRHKSHGEKSQFSGFSSSTERISDQTSESESESKSGEDLNTTTNTSTNSMVDLLSLDDWPANDVPNLSMANSRSGNGWELILPENVTPSSHTEFDGLEASGNQHKEPHSGDGWELILPQTPTQYQTDISSNTFHASPTTAFLQPQLPPINHYNPFLQDPQDIPATNSNSASGFEASFPGNGPYLRSTNSPATIPAYWEGNQTEVVNDPFTVNNPFAQDTRNRDPCNGMANQQNLIQQHQLWLQNQEKIIARHTVSV >KVF16800 pep supercontig:CcrdV1:scaffold_9480:1627:8803:1 gene:Ccrd_026851 transcript:KVF16800 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSLTFQFLLLSSISFSILLILLYKSLLSCSNPHKNLPPSPPSLPLIGNLHQLGSSPHRALHAMAQTYGQLMLIRLGTMPVLIASSAVAAREIMKTHDLIFSNRPKLNIPSRVTYGSKDIAFAPYGEYWRQAKSIAVLHLLSNKRVQSYRHVRKEETSLLIKTIQETHGSVVNLSELLISLTNNVLCRVAFGRTYDGTKFKDLLARFLELLGSFSVGSYIPSLMWVDRLSGLERRADDVXKEFDEFLEDVFEEHVNKKVVDVEGQDIVDILLEFEKKDTIGFHLERDEIKAIIMDVFSGGTDTTSTNLEWAISELLRHXQAMKKLQQEAREIGQGRTMITEDDLDKMAYLRAILKETLRLHTPIPLLVPHESTRDVKLLGYDIAAGTQVLINAWSIARDSSTWMEPNVFXPERFLNSPIDYQGFHFNFIPFGAGRRGCPAIQFAMVINELVLANLVYKFDLALVEGELNTTIWKMFLIFSILLISSFSILILFLLKPLSSSSQPHKNLPPSPPRLPLIGNLHQLGSSPHRALHAMAQSYGQLMLLHLGTVPVLVASSADVAREIMKTHDLIFSNRPKINIIKRLTYGSKDIAFSQYGEYWRQAKSIAVLHILTNKRVQSYRQVRKDETSLLITKIQETHEPMVNLSELLISLTNNIICRVALGRTYDGKDFKNLLERFMELLGSFSIGSYIPFLTWVDRLSGLERRADDVAEEFDEFLEGVIDEHINKTLWAIIELLRHPQAMKRLQQEAREIGQGRSMISEDDLDKMRYLRAILKETLRLHPPVPLLVPRESTHDVRLLGYDITAGTQVIINAWAIGRDGSTWVEPDEFMPERFLNSPVDYKGFHFELIPFGAGRRGCPGIQFAMVVNELVLANLVYKFDLEVAEGKNLDMSEIIGMTVHKKHPILLTRTPHCQ >KVH91525 pep supercontig:CcrdV1:scaffold_949:83537:91938:1 gene:Ccrd_006453 transcript:KVH91525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MAAVAGGANTVENTVEIDTIARFAVDEHNKKQVVNTKEQVVQGKLYIITLEANDGGETKTYEAKVREWCNIVLDVIGTNEVEGRELRTNTVLLEDQSRKQVHHSINAPDKHNDERLDKLTGMDCDNDFQLVHQPQLKAATRITRKDKGTAVGHVMEATYEQPDAKDTTLGIRTRTSPKTLYETVKCLTPAQRATIKDMGFESLLDMTVDGIPAKLGFYVVDMLDVAAMNIKINNGAIPITAESIHEVLKVANGGHGSEFG >KVH91522 pep supercontig:CcrdV1:scaffold_949:139815:144622:-1 gene:Ccrd_006455 transcript:KVH91522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MIWTESKIPCTLRKRVSSGLGLSIKRSSINSGSFEFSSSFFSDYSFSHIFKLLSRVSSCIEEFKGRKLGRFYRGLIHWFFGASNAMRKKLDTRFPAARIKKIMQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLQRGAKTLNSMHLKQCVQSFNVFDFLREIVGKVPDLGGSDAAGEERSATKRRKVADDDHNDGDEDLKRDKPPETVYANGSGRGRGRGRGRGRGRISRAEKEANAAAASNYEKQEGDTNKQKPILDSVEDADSKENHNISATGKTEDQVKIRSFDLNLDLDENGDTPAISMAPVTPSGSSLKPPTIEMKPDEFPGWSLADVEKMAIDPVQLANLNSRIDEDDEDYDEEG >KVH91524 pep supercontig:CcrdV1:scaffold_949:3597:6565:-1 gene:Ccrd_006451 transcript:KVH91524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSAILHEVLNLKMAPKRGFFPLLLIASLSALLIFSLHRYSSLPLPLSPPMTQFPLTNTNVNKFQNPNFSLTIKVLTYDRLPSLRRCLRSLAAAHYDNDKVNIHIFIDHFKVLDQKDEYLDQKLNESRLILDFVDGFEWRFGEKMVHYRTGNVGLQAQWLEAWWPASNDEFAFVVEDDIELSPLYYRFLRGLIVNFYYNASNYSPWIYGASLQRARFVPACWYLGSASVSQTLERVPFMVTTGWYKKMGDKIWTPWFIKFIHARGYFNIYTNFLHETALSVSHRDAGVNYGKTAGPDSNLMQESSHESNFFKLEPLRNLKWYDFCFREVVPDRMVTSVHELEPVLKTARKMNSLVLVSIYRTSEMFTRNLLCHFERLDIRNYIFIGPDRNFLLDLSRRGHPVIDVNRFVDDIKEYKSFKYQKEIFVKAYVIKKALEMNCDTWVLDHNMLPVKNDLFLDSFRVDSSIDFYIGKRLGLLFARGSSSGVWSDRFVNEIARMAEDTEMSKDESGFVFLAGKVLERKGVKLRRVDEGGFSVEIGAGNDNGTSLKNETRIAFWSSDLGWDLIRKRLECLGLWIIDDESNCRSVICHPS >KVH91523 pep supercontig:CcrdV1:scaffold_949:92664:132723:1 gene:Ccrd_006454 transcript:KVH91523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MEVELDVECIRNMWKKIDDLCTAREEADLHLQSLIERFPGDVEIEEFKYKLAQVLKGSKWYTRPTEPMVYEQSQPSTADATPPTTGHVPTLAIVEVTPAKVATISDANLLSPLSQFWTSPIVIAEVDRASNEKSTHLTRYNMHSSKQADHTGQFKMPSFNSQVDKVGSVRNRTIDQSKSQARKMCKRGVVEAVKCMPGNSEQPLVSYGDIEPPSFDLGLSPYDKQVVPVVDSSQARRDPKLSFKLWSPYVTWAVTLDVTNDELSQSAPHQMDEQLHGKQCNHLHPSPGFEDVLDAWSTVLNREERLRSHESPRRFFYPTYVSIFFPVVEDAYYYMVVFDLKHPSIMIVDSMDRDGTIEDIYGSITVSLVTVGSGTVVSIRNARSKKRQLKDLRTKYCSKPILSEENIRKSAVITDVHRFIAMETSYNAKKKRGLLVTKMAVLGGISESKGVENSLEIDTLARFAVDEHNKKQNALLEFVKVVNTKQQVVAGTMYYITLEANDGGAKKTYEAKVWVKPWMNFQELQEFKLLDAASSA >KVH91526 pep supercontig:CcrdV1:scaffold_949:67937:70553:-1 gene:Ccrd_006452 transcript:KVH91526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A/B/D/E MTSYEPVVPIQQQNIVADVKQKHERRNRKALGDIGNLAAAPVVEIGKPQTQISRPITSLMILIRTFRAQLLANGQAAADKNIKKPLAEENNGNLVSKQKKEVVGNHEETPKRRTRKPSKTLTSVLTARSKAACGITTKPKDPVVNIDESDINNELAEVEYVEDIYTFYKLSETEGGLRDYMNLQPDLNAKMRAILVDWLIEVHRKFELMPESIYLTINIVDRYLSQKPVPRRELQLVGISAMLIACKYEEIWPPEVNDLMVISDNAYTRDQILAMEKAILGELGWYLTVPTPYVFLVRYTKASIPSDDEMGNMVFFLTELGLIHYSVIITNNPSKLAASAVYAARCTLNKTPAWTETLKHHTGYSEDQLRECAKVLVSFHACASETKLKAVYRKYVNPEKGAVALFPAARSLVAEVGGGSS >KVF15162 pep supercontig:CcrdV1:scaffold_9492:90:1316:-1 gene:Ccrd_026852 transcript:KVF15162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeate O-methyltransferase (COMT) family MAANLINEQLQSKEDEEAVPEEIWKYVFGFTPMAVVKCAIELGIPDILENHEMPVTLAELASELRCSPSSLHRIMRFLIQYKIFQEKAVSETSVGYAQTPLSRLLTKHGQRSMADFVLLESSPVMLAPWHKLSARVLGNEESAFGAAHGGDVWGFAAGNPGHSKLIDNAMACDARLAVKAVTNGCPEVFEGVTTVVDVGGGDGTALRLIVEACPWIKGINFDLPHVVSVAPTSTGVEHVGGNMFDHVPKADAAYLMKVLHDWGDEECIEILRKCREAIPHDTGKVIIVEAIVGQENHEFKDVVLMLDMVMMAHTTKGKERTLKEWSYVLHEAGFNRYTTKHIKSYHSVIEVYP >KVF14636 pep supercontig:CcrdV1:scaffold_9496:498:4511:1 gene:Ccrd_026853 transcript:KVF14636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MILKTPYVRVILNASMSAQTELTSRIYWIHYICDETNMKPTCREAANIFIDAWANNKDVQEALNVREGIIEKWEYTNTSIRYNLDKEDTIYYSYDVFSSITDHQQLLTKSCQVLIICGDHDMTFPCVGQQKWISSLNLSIESPWEPWFVRN >KVF14468 pep supercontig:CcrdV1:scaffold_9499:615:4477:-1 gene:Ccrd_026854 transcript:KVF14468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVALCCIRYRPETRPMMSIIVEMLEGALEVPEPLNPFPHLFSELIESGGALTRIAWNGGGSNWSSSEMVTKSTMWFPLWAWRKYEQKQLKELMMVCTIKEKDEEVAERTLKVALCCVQYRPETRPVMSIVVKMLEGALEVPEPLNPFSHLISGVIETGDSLARMAWNVGGSDWSTSEVVTKST >KVI03675 pep supercontig:CcrdV1:scaffold_95:22312:30546:-1 gene:Ccrd_018020 transcript:KVI03675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSSMNLDSTSHGIGEDHAGGQLYVSLKMENYSPRGDLVPHVYGSGPLVGSWDASKALPMERESTSMWELSFVVPPNHETLDFKFLLKSKNNNGPCIVEEGPIRQFMGGALQGVARLALFRLTAEEVLEYRVCITADRVSPFDLAASWRAYQENLEPSTVRDIPDVSINALPEGEENGSSTSLDLDLEQYVVPTPPTSLVYAANLTETPRCLQHGRGVTKGDGSEKGVGVSVDRPPTIKEREVVVQEKESDSPKVYYSPGMVESKSVGTFSVVQKQDGQKGLLVDRGVGSSRLNSMPAAAGAVAAAAVADQMLGPKEDMHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKCADFFRGDNPEGMEARNEVAALAMDDMIAWMHEGGQAGFRSRISGLQKATR >KVI03660 pep supercontig:CcrdV1:scaffold_95:326623:327780:-1 gene:Ccrd_018041 transcript:KVI03660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MKWETEILSPSSYISNSSSWVMEDSLKSTIWTPAENKLFENALAKFDNDTPDRWQRVAEMVPGKTVADVMRQYKELEDDVSNIEAGFYPKYRYNNSTSPFTLEWVNNHGFDMSKSPPYAAGGKRFPVAVVAAGRPVEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNYVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTENQNPLPKNKRTSPEQCQWNQPNGGGGGAAMAFNQTNVFNSPRYRGNGGFRMGGGGGGLHEFYGGAQSMVFQMQPAMHYPHG >KVI03667 pep supercontig:CcrdV1:scaffold_95:488065:490702:1 gene:Ccrd_018049 transcript:KVI03667 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-tubulin MREILHIQGGQCGNQIGAKFWEVVCAEHGIDVTGKYTGDSELQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSLRSGAYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSCKFSNDNFLMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEYDEA >KVI03652 pep supercontig:CcrdV1:scaffold_95:232791:238699:-1 gene:Ccrd_018032 transcript:KVI03652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAAASIPITSLPWHHSVSLPSPTTSITVNNDRYFSNHPTILLIKQCSNSKQLKQIHAQMLRNGLFSDPFSASTLVSAFSMSSFQGVDYAHKLFDQIPKPNIYTWNVLIRAYSSSQVPVQSLLIFTRMLYRCDQVLPNKFTYPFVIKAAAELLDMRVGEVLHGMAVKMSLGSDVFILNSLIHFYASCGCLDSAYRVFLNIPVRDVVSWNTIITGFAQGDRPDEAIELFREMQTSLKPDKVTMMSVLTACTKKLDLEIGRWVSNEPKPDAVTFVSTLSACAQVGAIYMGGWIHGYIKKHGVKLSCHLITSLIDMYSKCGDLNKALEVFYSVDDKDVFIWSAMVAGLAMHGHGRDAIDLFKKMQEVNVKPNAVTFTNLLCACSHTGLVEEGRDFFKKMQPVYGVVPGVKHYACMVDMLGRAGHLDEAIELIKAMPMPPLASVWGALLGACKLHGNVEVAEQASSHLLELEPWNHGAYVLMSNIYAKSGKWDKVAMLRKRMKYIGLKKEPGCSSIEVDASFHEFVVGDNTHPQSKRIYAKLNEISEKLKSMGYEPNRSQVLQCVEEEDMQEQALHLHSEKLAIAFGLISLKQAQPIRIMKNLRVCGDCHNVAKLISKLYNKEIFLRDRYRFHHFKAGDCSCKDYWPGSYRKACRKLTSEPLP >KVI03658 pep supercontig:CcrdV1:scaffold_95:279488:283531:-1 gene:Ccrd_018038 transcript:KVI03658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Machado-Joseph disease protein MJD MADEKKQIYHERQKLQFCLLHSLNNLFQEKHAFTRKDLDDIAEKLVLEDPYKGNWTPFSVIFKPHHNSLTGNYDINVLISAVEGKGKRVVWHDRRNRASSINLDESEGKLMGIVLNIPVKRYGGFWRSRHWVSLRRINGVWYNLDSDFESPYSFGNIEELRDFLDGAIDGGTEATMSCKPNSSKTVVGGTKICRFMVPVRYLKHVGDWMVAVFCFVAPRKRGSKKVSSSATPKRISLSPFDSQRAEAVKDCIEFINSSSSLTRSSSVSC >KVI03672 pep supercontig:CcrdV1:scaffold_95:62666:64197:-1 gene:Ccrd_018023 transcript:KVI03672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C MCTVAISNSPVFSPSSRVSSSIFCKSSPESLTLTHAPSSSSISPSSSFKNHHRRLAAFFGVFDGHGGSKAAEFAAENLEIRIQDEVEKRGEIEIVEAIKQGYLNTDSEFLKQEQRGGACCVTAIIRAGNLVVSNAGDCRAVVSNGGAAQALTSDHRPSRQDEKLRIESLGGYVDSSRGVCRVLGSLAVSRGIGDRSLKQWITSEPETKMFKIVPEFEFLIMASDGLWDKVSNQEAVDIARPFCASAEAVSACKKLVELSASRGSVDDASVMIIQLDRFC >KVI03653 pep supercontig:CcrdV1:scaffold_95:235447:235665:1 gene:Ccrd_018033 transcript:KVI03653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQSGITDLKERKQKEICLRRYSRKDGSEKGKLSDAGVEREAECGICMETNSKVMLPNCSHSLCLKCYRDW >KVI03655 pep supercontig:CcrdV1:scaffold_95:254788:256733:1 gene:Ccrd_018035 transcript:KVI03655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDHGVYGGGHGVAGLANYLNYQNYSSWFKRAPPPLTAIERFLWGRNRSDQTQNPLSQHQNQQINEAFISSNGGFQFSGSNGAINNESQICFPPCLSMAKSSAAIEDVFTKDVGECLNWDELMNYPNYDPYRDSNPEKISRSTKKSKGGETSKVLIKGQWTDEEDRKLIRLVNQFGVAKWAVIAEKMTGRAGKQCRERWRNHLRPDIKKDTWSEEEVRMLIEAHQRIGNKWAEIAKLIPGRTENAIKNQWNATKRRQNSSRKFKKTDTNNRKSQSSLLQDYIRSQTSDQNLASSSCSTATATATTGETLESSAIIFADQLTHSDSIDSDPSLDITQSYDDELNFMQTFFPTAS >KVI03670 pep supercontig:CcrdV1:scaffold_95:20384:22243:1 gene:Ccrd_018019 transcript:KVI03670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MTLCAADTARTIACRDGDAAATLKLISMFVIFFTSVVGISAPVMLARLFHGKPLYDKAILVIKCFAAGVILSTSLVHVLPDAFDALADCHVSSHHPWKDFPFSGLITLIGVLTALLVDLTATSHVDGYSHGHGHGNGNKEVAGYTQIGGSEELKKSVVEIEVVEAEEERRRLKEDEMVKMKQKMVSQVLEIGIIFHSVIIGVTMGMSQNKCTIKPLVAALAFHQIFEGMGLGGCIAQAGFGFGTTAYMCSMFAVTTPMGIVLGMILFSVTGYDDSSPNALILEGLLGSLSSGILIYMGLVDLIALDFFHNKLMSSETWLKKTSFAALVLGSTSMSILALWA >KVI03656 pep supercontig:CcrdV1:scaffold_95:261921:262463:-1 gene:Ccrd_018036 transcript:KVI03656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHNSPKILTRFEEYREIVKSKAARYGGVRRRDERCIADGNELLRFHCATFLCDLGQNGNSSICSHQYCSVCGIIRAGFSSKMDGISTLSTSWKGHVALPEDIEEEFRFMHVKRAMLVCRVIAGRVGCDPEMGDKDDPGYDSLVGRETGGTESKLDDEDELIVFNPRAVLPCFVIAYTV >KVI03650 pep supercontig:CcrdV1:scaffold_95:218496:219437:1 gene:Ccrd_018030 transcript:KVI03650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MSKPRCGVVALRGEPKPFVYKEPPFSGKIERLKMFRGCVIMDVETLGEARTAEQAGAFAVTVLQSFAADIHEECALARMSDPKIIKTIKDSVKIPVFAKARIGHFVEAQILQQIGVDFIDESEVLTPADQERHIDKHKFQTPFICGCKNLGEALRRIREGALVIRTEGHAGSGNVVETVRQIRSIIGDIQKLINMDNDEVLEFANSIFAPYNLVMQTKQLGRLPAVQLGAGGISTPADAALLMRLGCDGVIVGSGIFVSEDPVERARAIVEATRHYNDPAIVADVSSGLGEAWEGVVSDVDDTYDEGMVRLTL >KVI03648 pep supercontig:CcrdV1:scaffold_95:488124:488474:-1 gene:Ccrd_018050 transcript:KVI03648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGPIITSTRLTKHKVIRPEDLPISSRSKTVHRPWLQIHEHRSWNKPTAASLIVINIDPLELKLRISGILPGDINPMLSTHNLPELSSDLVSALTTLNVEDLSHLVGWGKEETED >KVI03679 pep supercontig:CcrdV1:scaffold_95:91816:95738:-1 gene:Ccrd_018024 transcript:KVI03679 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MAFSSIFNPSLSLSLSLLVSLFFFNFLLLASSVELVLPIDNNGAHTHTIHQSNQSECALFMGSWVHDDSYPIYQASSCPLIDAEFNCQMYGRPDSDYLKYRWKPANCELPRFNGLEFLTKMRGKKVMFVGDSLGRNQWESLICRRILKLEDISKNARAWMTADVLSFNTGHWWTHKGSLQGWDLVESGGSVSKDMDRLAALYMGLKTWAQWVDSNVDTTTTRIFFQSVSPTHYNTSEWSAGAISTGKTCYGETTPMSGSGYPGVYPDQMKVIDTVIREMQNPAYILDITTLSAMRKDAHPSIYSGDLSPGQRANPDHSADCSHWCLPGLPDTWNQLFYTALFF >KVI03654 pep supercontig:CcrdV1:scaffold_95:249407:251591:1 gene:Ccrd_018034 transcript:KVI03654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKVATGCLNWSQPSISHSSSSSSSSPQTLASAISSPSSKRRSLTDVPLVCRYVHRSTVFGKKLNRSRSCGTLKLSNGKQSIKRVVSANLDSEFSDEEFSREIQELALRFQFSDDDETDSSENHDVSDSEPEIPDPFISSKMEPPPEWPGDMIPASIERKANSMELPFSLRIIQKKKQWEEGFMEAGESLSSYCSMKKAFSSMVFIIRELQSYTLQMREVLFFEDLQGILVRVQKEMNASFVWLFQQVFSHTPTLMVYVMILLANYSVYSMSNNIAIAAPPPPPPATMESVSAIEDQTDTKFDSLKTQSFSVNSGGKTTSIGGINGGGGKSRPLTSGTDGDGKFDESIEHHRTVDPSRTSEESVSGQSYQVEEWALWHWMVAEADKMMEPIGYRGLDHETMQRFVSPVTAKLAGEVDTEDYSKTELLYEMGLSEEPTNPLLLANYAQAESYFKRALEIEPKDAESLSKYAGFLWHAKQDLWAAEETYLEAISADPDNSFYSASYAHFLWTNGADDTCYPLD >KVI03680 pep supercontig:CcrdV1:scaffold_95:205816:209798:-1 gene:Ccrd_018029 transcript:KVI03680 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGSYKVCCCFTRKFKVTEADPPSDVKEAFEKYCDGGIHMTADQLRRFIEECQSGDGEAVSISEAERLVEQVLHKRHPLALFINRKILTLEDFHHYLFNSELNPPIRSQVHQDMTAPLSHYFIYTGHNSYLTGNQLSSDCSEVPIIKALKRGVRVIELDLWPNSSKDNVHVLHGRTLTTPVELIRCLKSIKEHAFVASPYPVVITLEDHLTPDLQAKVAQMVTETFGEMLFCPESGKLKELPTPESLKYRILISTKPPKEYLEAEEDQRTKSQRVKDADDDDVWGEEPSKAIAYKDKNDKDESDESDHDHDTEESEDRGKKSVSCPAYKSLIAIHAGKPKGGLEEALKVEKDKVRRLSLSEQALEKAAERHQQHIVRFTQKNILRIYPKGTRFTSSNYKPLIGWLHGAQMVAFNMQGYGRSLWLMHGMFRANGGCGYVKKPDFLMTTGPNNEVFDPKAKLQVKKTLKVKIYMGDGWHLDFKQTHFDTYSPPDFYTRVGISGAPADEIMRKTKPKEDNWTPVWNEEFSFPLTLPEIALLRIEVHEYDMSEKDDFAGQICLPVSELRPGIRAVPLCNRKGDPYTASRLLVRFEFV >KVI03646 pep supercontig:CcrdV1:scaffold_95:506354:509695:-1 gene:Ccrd_018052 transcript:KVI03646 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein MEHGSYQDQSASTFSLADEDHTLANSLRFTLNQDPRVALCGYSIPHPSEARVNIRVQTTGDPANEVLKDSCQDLMLICQHVRSTFDQAVVDFKNNNGAENQ >KVI03677 pep supercontig:CcrdV1:scaffold_95:121784:124310:1 gene:Ccrd_018026 transcript:KVI03677 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MYWFKNLTRLSSPFKHHSTGKFTPPTLFSLQHLHHFTTAGDAVAPPTSGLEPTKKTDKPRVVVLGSGWAGCRLMKGIDTSLYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISSEPGSYFFLANCKGLDVKNHEVSYDKLVIASGAEASTFGIKGVKEHAIFLREVHHAQEIRRKLLLNLMLSDVPGVSDEEKRRLLHCVVVGGGPTGVEFSGELSDFIMRDVHQRYSHVKDYIHVTLIEANDILSSFDDRLRVYATKQLTKDVQPKKIVLSDGTDVPYGLLVWSTGVGPSTFVQKTDLPKAPGGR >KVI03643 pep supercontig:CcrdV1:scaffold_95:528397:534812:1 gene:Ccrd_018056 transcript:KVI03643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MRTVLHTLRVVFSYSVMLAVMSFNVGVLLVAVLGHAIGNEDHMNGMEDMGPPPVTATGASPTGMGGGMHHMMHMTFFWGKNGEILFPGWPGSSSGMYVLVLFFVFILAFLVEFLSHSDIARKGSGPVAVGLIQTLVHTLRAGLAYLVMLAVMSFNGGVFLVAVVGHAVGFLVFGSWVFKRPSPPSVDKNSDLSPMICA >KVI03665 pep supercontig:CcrdV1:scaffold_95:469334:472106:1 gene:Ccrd_018047 transcript:KVI03665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen, PCNA MLELRLVQGSLLKKVMESIKDLVNDANFDCSATGFSLQAMDSSHVALVSLLLRSEGFEHYRCDRNISMGMNLNNMSKMLKCAGNDDIITLKADDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPEAEYHAIVRMPSSEFARICKDLSSIGDTVVISVTKEGVKFSTRGDIGTANVVCRQNTTVDKPEEATIIEMNEPVSLTFALRYMNSFTKATPLSNTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDDEENKS >KVI03666 pep supercontig:CcrdV1:scaffold_95:462551:464169:1 gene:Ccrd_018046 transcript:KVI03666 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF641, plant MANKVSNFSDLIQRVTASCLLHPLGSGRRFADDVTDTHSGEDDSDYEEHNKSEKYYDDDEEDEVSPAVIEQAKGDAYKTERQMEMVMLMSEVFETVSSMKKAYVSLQEAHCPWDPDKMRLSDMAVLAEMRKLGVLRERFRRSVGKVGGGGATEATKRTVAGLTLREVVAPYEAAMEKLKTEVKSKEAEIENLREKLKTATVLNGGGSSGRKSRSHPHHQSKKKVNYSSQLQGTNVLGVNLLPPFVTAVSPSPMPEVFESCISSVKEGSKSFTSLLLSLMKSAHWDIAATVRSIEASTTTTAGAPAAVRDSIVGPNHAKYALESYVNRKIFQGFDHETFYMDGSLSSLLNPNQFRTECFTQYRDMKAMDPIELLGILPTCQFGNFCSKKYLSIVHPKMEESLFGDLEQRRQVLAGNHPRTGFYGEFLVLAKAVWLLHLLAFSLDPPPTHFEGSRGAEFHPQYMESVVRFPGGRVAAGHVVGFPVSPGFKLGNGSVVKARVYVVPKSEL >KVI03651 pep supercontig:CcrdV1:scaffold_95:225763:232607:-1 gene:Ccrd_018031 transcript:KVI03651 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MPVRARRASFPEVVIERDTDTEESSEEEEEEEVEDDAVELDDPEEEEEVVEVKGKEDEEGSSAAAINNKKGKSPITISLKKVCKKTGHTTVNCPHRAAIEFGVIPASRKRTHNSLDYVFERQLRHRVPSIKPAFVIPDEVKCAVIRYHSRRITCLEFHPTNNNILLSGDKKGQLGVWDFSKVYEKTVYGNIHSCLLNNMKFSPANDGTIYAASSDGTVSCTDLETGLSTSLMDLNPNGWRMLYGMDLNAEKGLVLVADNFGFVHLVDVRSNSKKGDSILIHKKGTKVVGLHCNPVQPDLLLSCGNDHFARIWDIRRLEAESFLHGLPHTRVVNSAYFSPLSGSKIVTTSIDNRIRVWDSIFGNLDAPSREIVHNISTGQLVAEVMDPNITTISPVNKLHPRDDVLASGSSRKNSKKFGHGSDDDDDSGDDDFSSKK >KVI03664 pep supercontig:CcrdV1:scaffold_95:425169:426920:-1 gene:Ccrd_018044 transcript:KVI03664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0503 MMPDPQVRTATKSRTRPRRLSACHRHPSEPVTGFCALCLRDRLAGLDSSSGQVEVEKVVVSSRRTRGHGVASSSAAVPELRRSKSVAAEKGEVLNALATDPRRKSCDVRSRSSLSDLFVVDDTRKLGNGAVRVESKNLGFSSIAEPVLEMKEDEGEEELDDDDDEIRVSDDVLVRNEVDDDEISEEEGDRKTMKEYIDMELQNKRRNFWEAASVFSQKLRRWRQKQKEKKQTRGCNGGIDTARSNLGQFRDTQSEVADYGFGRRSCDTEPRFSVDAHRMSVEDPRFSFDEHRASWDGYMIARTIPRLTPMLSIVDNMMLAPVNRGAAMENLQMHSISEDGASSGGSAQSNSDSSTSKGSSSSSMKSCSTKTAGLGGDDLKSASYAKVSPANDVIFQGTKLVITERELKDWHMNSIKNSNIESVSNPPISSSTTVIASNGHKKAMASRWRKVCNLWGHKYKLSSKKDGEDITVNPETGAFANRNNEIDGVERGNSSGKLVRNASSVNSRNRSELHQSGREGGKKNRDEFVLDRTRSSRYSTSDLDSGLLRLYLTPFRNSRKSKSGKGRGRNLSPSMATNGLQLN >KVI03674 pep supercontig:CcrdV1:scaffold_95:34465:36112:1 gene:Ccrd_018021 transcript:KVI03674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L41 MRAKWKKKRMRRLKRKRRKMRQRSKLVSITLENQYGDDCKVFDEMMQKKCVVFGINAKT >KVI03644 pep supercontig:CcrdV1:scaffold_95:521894:526480:-1 gene:Ccrd_018054 transcript:KVI03644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQQQLAISMVVRPDFNRFHIRLLHFFLGNSNCENSVFHGCLHLIHLGILRQPESPGELPAAAFNPMPSIIIIFLLHVSLAADLKIGFEHMSFRGFLPIHTGVYESRGNIRGRWNWEISQRLPNVKFHIRLLHFFLGNSNCENSVFHGCLHLIHLGILRQPESPGVSFQSTRVFTKAEETSEEDGIGKSLKGSQMSSENGSKMLLRRPLKRLGMIDIF >KVI03659 pep supercontig:CcrdV1:scaffold_95:291492:293886:-1 gene:Ccrd_018039 transcript:KVI03659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4 domain-containing protein MATSVRIPSSISFLSSSIFSLSSSSQTSSTSLFIKPPKPHLLKCTTAVIRSELATLPVLSFDGTKIGETSLNLKSANPDTARAVVHRGITTDLNNKRRGTASTLTRAEVRGGGKKPFPQKKLGRARRGSQRTPLRPGGGVVFGPKPRDWSVKINKKEKRLAISTALASAAVNGIVVEEFGDKFEKPKTKDFIEALKRWGIDPKEKSMFFMTEVSDNVVLASRNIGTLKMLTPRTLNLFDILNADKLVFTKPALDYLNEAYGYDDGEDEDEDDEEQVDEGIPTEEEEASPPPSSEE >KVI03662 pep supercontig:CcrdV1:scaffold_95:418120:420034:-1 gene:Ccrd_018043 transcript:KVI03662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoprenylcysteine carboxyl methyltransferase MHPLSLSTDASHGGASVSYRPILGEAATPSPFFSAFNWISSHFSRSDVLLHCIFMLFHFDAKLRGFWSEVNPLYNLIEFRESLWFNPLILFEELLTRLKEMTELFSESGQRQLSQMFYAVLFFHVSEYLLAMACHGKSKVTIESLLITRDYVFAMIFAVLEYLVELYFFPTLKDNWTISNLGLTMVVLGETTRKLAILTAGRAFTHFVQRYHEDDHQLITYGVYGIVRHPGYTGFLIWSVGTQVMLCNPISTVAFAVIVWDFFHARIPYEEFFLKQFFGSQHEAYAQRVPSGIPFVK >KVI03647 pep supercontig:CcrdV1:scaffold_95:511085:513032:-1 gene:Ccrd_018053 transcript:KVI03647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF3527 MGTYQKKSSSLKGKMKIQDEGVDGSGNFYGNSETNAKDSVGVDHRISSENIHSYMNKNTLSSYPLLVEHDSARNPSSASRLEEKRSSVSSKNSDMNMSTTVASKQRNMSPIRRFSFGLSSKSASSTTTRKRGDSPVSRKSTADNSSVSNRSQSSPLRRLLEPLFPSKAANPRQSTDRCHQDPKLKVKTKLDLRSCSDNSNMNDTAESTSRTQALFETVVKNGRQMFTFAVENDKKILAATVRDLSSSGKDNNNRWIYSFFTINEIKEKKGGLFSQTRKDKAQGYVSNIVAQMNVSNRSISSCNTREFSLFHVDSNGQSHAEVAAIVVKFSENVDEKEDDQEIFNMTVILPGGNHGVSSKGKPPSPLIQRWLSGGVCDCGGWDLGCRLRTLINQEGMFSVEYNSCLSSLEVFSICISVVECRKSCEHTESRTYVAKQVEDDRNPVSFASFPPMSPVGR >KVI03678 pep supercontig:CcrdV1:scaffold_95:98393:107685:-1 gene:Ccrd_018025 transcript:KVI03678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet-like domain-containing protein MAANIRAQLILEQSSKRQRLNHFPKLLPNEQVEVRSVEEGFQGSWHSGIVIEARTQFRMVKYDHLLCDDSSSNLIESIPVLFAVDGKIPAGWRSSDFPNYRGKIRPVPPRFIHDESCLHYGQCVDVFYKDAWWEGVIFDHFDGSDERLVFFPDMGDELKTPFEYLRLTQDWDAGSDEWMLRRDWIFLEVIEELKQEWPFLVSVKQIWYEVRMTKCFVEEMKEWMCPVKQRWKETVKEVMVDNFKLTMVEFFHRFNFSEDLHEDRPFLDIKKRILDSIINLEPSFFESLVVEEEFATLRSNPDPHLVVKSDYENLSSPSENPISEWSKCVDYCVIGSDVFPQAECCPDAIFKYFEYFESGKKPPVDVTLKVRQHLSYMGWKIESKVYRIGSKGAAYFRYRYTDPNGKQYYSLNVLCTELNKRSSESITLSSSDVNAYLETPISSTLAKEEPKTLVIESDFCPQAVVDYCSLSLEANSDLRKSRDARVRKLQTSATKHLFAVGWSKCYTERLSGRKVLVYCSPNGRKFYGLLEACNHYIKECLCCGFDNIRELDKMGKVKAYVDLIKKKDGLLHIKTISSSPSKKQAVKQDESLTKSRNRSTGDSLRTTHVLRSSKRARKEISPMNQTPRTVLSWLIDNNVVLPRAKVQYYCRKDGRTLREGRVTRDGIKCSCCKHTFSLSKFQSHAGSTYGRPSANIFLEDGRSLLDCQCQLQQNLDKSSRLIRMKPLRIKGNRHEIENDNDYICSICQYGGELVLCDECPSAFHTTCLGLKEVPDGEWFCPSCCCRICNQNRFNGNYEQNMDMNILNCEQCERRYHIGCLKRREGFLKMETYLEANWFCSLRCEEIFVGLHRLLGKSIPVGRDNLTWTLLKYKKSESTNYDASDMEELAENYSKLNVAISVMHECFEPVKEPRTGRDITEDRRSELQRLNFKGFYTVLLEKDDELISTAVVRVISIFFNFIYSYDDVNVLLSDYKGLWRESSGTPTCWYKISVSLVLPAVSSVLHTWTRSFGFSLMTESEKLKFLGCTFLDFQGTRMCHKLLVIDLPSTEPSMSRGNGCGTIVDLDRISAVSKVSQEERIQESGKVEQSSSEHNGKTNPLEILTSQPTNIEHRSETKCSTEASNPREGNNNSFLKCYQRRKFMPTRFEV >KVI03657 pep supercontig:CcrdV1:scaffold_95:270075:275086:1 gene:Ccrd_018037 transcript:KVI03657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MNGRALPINLYSPPERHPAPETPVYRKLLCSESNSNSKLCFRVRVQFLSSSYVHVLLNGSDVRRNQVWKVRSEVKSEPYELSKSAPGSFKFKEKLGGDVVSKDENGDEFVDSDVPWWEEFPKRWTIVILCFSAFLLCNMDRVNMSIAILPMSSEFHWNSTTVGLIQSSFFWGYLLTQIAGGIWADTVGGKRVLAFGVIWWSIATVLTPIAAKFGLPFLLIVRAFMGIGEGVAMPAMNNLLSKWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHSYGWPSVFFSFGSLGTVWTALWLSKAYSSPLEDPELRPEEKKLIFRNRVSEEPVKTIPWGLILSKAPVWALITCHFCHNWGTFILLTWMPTYYNQVLGFNLTESGLFCVLPWLTMAFSANLGGWIADTLVSKGWSVTTVRKVMQSIGFLGPAFFLSQLSHVNSPAMAVLCMACSQGSDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDEVFEVAIGLYLVGTVIWNLFSTGEKIID >KVI03663 pep supercontig:CcrdV1:scaffold_95:388462:390900:1 gene:Ccrd_018042 transcript:KVI03663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MAEIVNASTKVDTEEDIYTKDGTVDYKNKPANRKKTGTWKACPFILGNECCERLAYYGMSMNLLLYFKNELHQHSATASKNLSNWSGTCYGMTLLTISASVSGLKPTCVSKDNCHANGTDVALTFLALYLVALGTGGIKPCVSSYGADQFDDADEVEKKHKSSFFNWFYFSINIGALVASSLLVWIQDNVGWGWGFGVPAVAMAIAVASFFSGTRLYRNQKPGGSPLTRICQVVVAWWRKRRVHVPEDKSLLYETTDAKSNIVGSRKLDHTKDFSFLDKAAMELQSDHAKESVNPWRLCTVTQVEELKSVIKLLPIWATGIIFSCVYGQMSNLFVLQGSYMDITINKFEIPPASLSIFDTLSVIFWVPVYDRILVPFARKYTGHKSGLTQLQRMGTGLLISIFSMLAAGILEVVRLGIVRRHNYYDHEHTPMSIFWQVPQYFLIGLAEVFTFVGQLDFFYDQAPDSMRSLCSALSLTTVALGNYLSSLLVTIVMALSTRGGKPGWIPDNLNRGQLQNFFWLLSILSVLNLGAYLLVAKWYTYKRVVGTLR >KVI03673 pep supercontig:CcrdV1:scaffold_95:38178:51552:-1 gene:Ccrd_018022 transcript:KVI03673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology-like domain-containing protein MINRSPNWVRGLCIGRGSFGTVSLAVDKSDGRRFAVKSVEETSGRFSQALENEIRILKSLSSPYVVEYLGDDFTSELSSVVYRNLHMEYMPGGTVADMAEQGEDATVRNYTRCVVSALSYIHRKNIVHCDVKGKNVLIGENPGAAKLADFGSAVEMSGPISGTRGSPLWMAPEVVRGEYQGPESDIWSLGCTVIEMVTGKPAWEDRGIDTLCQIGYSDELPKLPAHFSDELRDFLHKCLRRNPSERWSSDQLLQHPFLSSCSSSSSSSNSTESTTKKLSPRCVFDWSDSNFSDEETSEMKKSTRNLNSSDAKRRMGILSCNSAAANWESDGWELVRNAAEEGGPTSTEEERSWQEDGYSDEEGGGGFDDDDGGANNHADVRRFCFQNLRFHFAFCNSLPSSPSMASNDPEHKEEETAAVEDEDTGAQVAPIVKLEEVAVTTGEENEDAILDLKAKLYRFDKDGNQWKERGAGSVKFLKHKQTGKVRLVMRQSKTLKICANHLVIPTMSVQEHAGNDKSCVWHATDFSDGELKDELFCIRFGSIDNCKKFMETFQEVAESQEGKEENKEASGAAGLLEKLSVEDKEGKEEPKESTDEPKAKVEEKVVAEKTGEEEEKKAE >KVI03681 pep supercontig:CcrdV1:scaffold_95:173816:179920:-1 gene:Ccrd_018028 transcript:KVI03681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing protein MPTPVSSARQCLTDEAARALDDAVAVARRRSHSQTTSLHAVSALLSLPTSTLRDACARARSSAYSPRLQFRALELCVSVSLDRLPSSKSKTPDDEPPVSNSLMAAIKRSQANQRRHPETFHLYQMHQQLNSSQSSLSCVKVELKHFILSILDDPIVSRVFGDAGFRSTDIKVAVLHPPPVSGFQKSMRFPPLFLCSLPDTNTNLSGFNFPFAVDQGEEDFKRIGQILAKKSSKNPLLIGVSADSVLAGFTDALKIGKNGFLPTEIEGLNVITIDKEICEFLVGNLSEDMMDLKLKEVRDKVESCTGCGVIVNFGELKLFVDGGSTGSVEHLVSQLSSLVQLCGGKLWLIGAVGSYETYMKILAKFPGLEKEWDLNLLPITSSKLNTNGSHLKSSLMGSFVPFGGFFPVPTELENSSSNTDQSKTRCSLCNEKYEHEVSVALKRGRTVSVADQQSMGLTSWLQVPESDTSKGSNVLEAKDHGGVFNALVAGLQRKWNDICHRLHHNQQNNPQITAGVPFPRHFPADPKRVEISSKDSNQEGFRNLSPSDQRDYQKTQHIQLTVTSEAENSLPQKPPVDFFAATKLASTTSSPTTSITTDLGLGTIYVSPDLEPRPHDHKARIQNFCGSASAEVDEMSTKFYEKDYKALYRALADKVGDQDGSIRAISQTISRCRTGNGIRHGSSHRRDIWLMFSGSDRVGKKKISTALAEVVFGSRESLIAIDLNFENQIRHPSSIFDRQSVNFCDLSFRGKTITDFIAEELSKKPRLLILLEHIDKADSVTQDSLSRAIRTGKLSDSRGRETRITDAIFVTTSSSSKEADLLSYSEERVLNARAFQMRILVESTIEPRSSSILLLPTQSTSRNPVISSKRKLIELGDFEIMVPAVKKSKSCFDLNLPVEETEESENSENGSVSETKEVWLEEFSDQVDEKVVFDPFDFDSNAETILKDIGICFQKSFGSNHTLEIENEVMIQILASRWLSDRKDAIRDWIDSILFTGFMESKQKLRVEADIENGSSSMVKLVAVEGVNVEDDGSCVYLPSRIMVK >KVI03661 pep supercontig:CcrdV1:scaffold_95:295717:317705:1 gene:Ccrd_018040 transcript:KVI03661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 3 MGDMINIVYKNESEPIEARVKDLLSRMTLKEKLGQMTQIERSVATPEVIRNLCIGSVLSGGGSKPFDKATSADWADMVDGLQKGALESRLGIPIFYGSDAVHGNNNVYGTTIFPHNVGLGATRLVLNSIISFNLSLTITDPDLVERIGAATALETRASGVQYAFAPCVAVCKDPRWGRCYESYSEDTQLVRKMTSLVTGLQGKPPQDHPNGYPYVAGRNNVMACAKHYVGDGGTDKGKNEGNTIIAYEDLEKIHMLPYPDCISKGVCTVMASYSSWNGTKLHAHHWEALDRFSDPHGSNYRSAVLSAVNAGIDMVMVPFRYQLFLEDLEYLVESGEVPMTRIDDAVERILRVKFAAKLFEYPTTDRSLLDIVGSKPHRELAREAVRKSLVLLKNGKDPKKPFLPLDRNAKRVLVAGKHADDLGYQCGGWTATWEGTSGRITIGTTILDAVREAIGENAEVVYEENPTTESLSGQDFSYAIVAVGEAPYVESGGDNSELTIPFKGDELLKLVAAKIPTLAILISGRPLLLEPSLLETLDALVAAWLPGTEGNGITDVVFGDYEFHGRLPFSWFKSVDQLPMDAYQTAYDPLFPLGYDVIDAVYRNANEPIESRVKDLLSRMTIKEKLGQMTQIKRSIATPTAITDLGLGSILSGGGSKPFENATSLDWAEMVDGFQKAALESRLGIPMFYGSDAIHGNNNVYGTTIFPHNIGLGATRDPDLIERIGAATALETRASGVQYAFAPCLAVCKDPRWGRYYESYGEDTELVRKMTTLGIPSSRIRIWRTSICYHIETVFRRVLARLWRRTRVGTEPNCTPIGIVISDWEGIDRLSNPRGSNYRNAVSCAINAGIDMVMVPTRYELFLEDLAFLVESGEVPMSRIDDAVERILRDPRKPFLPLDRNAKRVLVAGKHADDLENPTPKTLSLQDFSYAIVVVGEAPYSESRGDNPELTIPFEGDELLKLVASQIPTLAILISGRPLVLEPSLLERLDALVAAWLPGTEGNGITDVIFGDYEFRGQLPVSWFRSVDQLPMDAHEKSYDPLFPLGYGLKSRVRPSFVQTLSPPSDRFNELSM >KVI03671 pep supercontig:CcrdV1:scaffold_95:446096:449831:-1 gene:Ccrd_018045 transcript:KVI03671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MSYSRRSRYSPSSPPHKRYGRSVTRSRSRSRSWSYDSSDIENPGNNLYVTEDVHLVVDPWTRESRGFGFVTMSNIKEADRCIKYLDGSVLEGRVISVEKARRRRGRTPTPGKYLGPKKDHVRGRSSSRDRSRSYSPYCSSRHRRSFSPYDSCGRSYSRSSSPYSRSPVGRHDRLYTREDRSYRSRYYRDYSPDYRRDRSYTPEDGLYRRSRMDYSPGYRRSYRSRSRYYSRDYSPDDRRYYRSRRYRSVSRSISSSPRGRYRGHSPSVSPVGSRRRSSIRVSPRTRSSRRRSYSPVSSRSYSRSVSVGSRTPSSSSVSR >KVI03649 pep supercontig:CcrdV1:scaffold_95:500827:504785:1 gene:Ccrd_018051 transcript:KVI03649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MSTIDNFADVESTGVGIGALPPRRRRRRGRGRSTRRRRPSHVFSSEPATTDGGSSFGLSDSDAQSSARLDSSVAGDECGSSGIFSYNHSRMESSSDEIDLESGELEMKVHSSGKNEKQCRICHLNFEVGSGDQAEDEDDGGGGDAIELGCNCKGDLGTAHKHCAETWFKIRGNILRLGLRKNMWAQDAKLEKLKLRLTSPKTHGTTDIEAGERAGNTAMAVGSETQSFWQGRRIMNILLGCMVFAFIISWLFHFNVLP >KVI03676 pep supercontig:CcrdV1:scaffold_95:168016:171324:1 gene:Ccrd_018027 transcript:KVI03676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MAVPSPDATEKLHQPINGVQEMEFDPSAPPPFKVADIRAAIPDHCWVKNPWRSLSYVLRDILVISLLVAIAVFFRTTSWVWPLYWAAQGTMFWAIFVLGHDCGHGSFSDNPNLNSFLNEKTYKTLDAPTKLLRFKIPFPLFAYPLYLWQRSPGKSGSHFNPYSGMFNPNERHYILTSTLCWALMVANLCYLSIVIGPTLLFNLYGIPYLIFVMWLDFVTYLHHHGHEQKLPWYRGKEWSYLRGGLTTVDRDYGIFNNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKSVLGNYYREPKKSGLIPVHLIDNLVRSIKQDHYVSDTGEIVYYQTDYRMIGKKME >KVI03669 pep supercontig:CcrdV1:scaffold_95:12287:13524:1 gene:Ccrd_018018 transcript:KVI03669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MAFEEIKVRRYDGGVDRAGVELLERRCEVGPSQHVFLFTDTMGDPISRIRNTPLYIMLVAELNHELIGAIQGSIKVVTMKDLVKVGYILGLRVAPLHRRKGIGSTLVSHLEEWFIRNQVDYAYMATEKDNNASVNLFVNKLRYIKFRTPAILVHPVKSRPLKMPSKIEIFKISEENAEYLYRRFMGLTEFFPADIDKVLRNKLSLGTWVACERGECDQFGQNGNLPTNWAMLSVWNSGGLFKLRIGKAPVSCLVYSKVSKVVDKFAFACFNMPTLLRNLFEPFGFYFLYGLHQEGPGSGKMVRALCKYVHNMARVGGSDCKMVVTEVGSYDERVRPHIPHWRLLSCQEDLWCIKPMKSGSVNELTRIPQTTPLFVDPREV >KVI03668 pep supercontig:CcrdV1:scaffold_95:472989:475035:-1 gene:Ccrd_018048 transcript:KVI03668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIRVMALHYIEIICGCTSTRFGDKLGLLRIADDGSILAICNCNENCNQVFTSPVEFAKHGSRASSVSNWRSKVWVRNCNGKKTKLSKTCLLRYYRGDEYRRPYNEVGHRDQFLNCSACNKLRRFELRTKEACRLYHDAVARPNRTCYDMIPGRWTCDNLEERKSSMTRTGCRKDLWCEGCVHCVCFGCNMCRFEDCGCRTCVDFYGNAPN >KVI03645 pep supercontig:CcrdV1:scaffold_95:521901:526139:1 gene:Ccrd_018055 transcript:KVI03645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha crystallin/Hsp20 domain-containing protein MSIIPSLFNGRRSSIFDPFSLDIWEPLRDFPIPSSSDVSSAFVNTRVDWKETPEAHVFKADLPGIKKEEAKVEVEDGRVLQISGERNVXKEDXNDRWHRXERXSGKFTRRFRLPENAKMDEVKAAMENGVLTITVPKEEVKKPDVKSIEISPLRDFPIPSSSDVSSAFVNTRVDWKETPEAHVFKADLPGIKKEEAKVEVEDGRVLQISGERNVEKEDNNDRWHRVERSSGKFTRRFRLPENAKMDEVKAAMENGVLTITVPKEEVKKPDVKSIEISS >KVI11374 pep supercontig:CcrdV1:scaffold_950:144364:148088:-1 gene:Ccrd_010217 transcript:KVI11374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAFFHCCYICTNCRFDVSISTLQDDLVCAYNLKFEVLISPFSFQNPRDFMVLITGFYRFISISHLSIYSWDLDTIRVLVDRLQMDCLLHLGKPQNSPSISNFSVFKTSRVTVKKVAPKGKAAKDPNKPKRPALAFFVYMFNLKKLTYDERKQKLIERLNALNVEPGGADDE >KVI11373 pep supercontig:CcrdV1:scaffold_950:77646:78224:1 gene:Ccrd_010216 transcript:KVI11373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKPLLSFTCKKLFTNPCKNFIHLFKFKLRKPIFKRSRRSRKSKTTTTSSNRHHFSGLTSLFRSSRKTRDMDRVMELKSFSEAGKEPCPSPLTPAYIKMRRVDDMENMQVQEDEDVAEHACQSFENYLVKMIAEEGKMRDLVDVEELLYCWKNLKSPLFINLVCRFYGELCHDLFPSKVDEDDHDEARSLM >KVF13528 pep supercontig:CcrdV1:scaffold_9508:5098:5316:-1 gene:Ccrd_026855 transcript:KVF13528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEGYLKSEEAKAAEAALRAMVEMEKQKSKPAIEAAKMAKRLAELETQKKRKAKLIAEHEEAERKKLMIRK >KVH89175 pep supercontig:CcrdV1:scaffold_951:145693:147597:-1 gene:Ccrd_008840 transcript:KVH89175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSKVEEDLFHHHLEPITDPQPLILDHHDHHDDQQPPPEFCLPPMSEFDFFRDEDEDDSISHTPSDAEGVQPFTNEVVSSEKTSPRFQYVNPEPHISSQFYTFNMGSHSLMIRCILEGRLATPDEIRVATPHAVLASWRSVWKDRNEDTAYLTGWKRIQDKLSVHVGEHGNKFLCFKNNSNNQFVSHISQWQDIVMSFHGDADLKHLGLKETIERIKQVWTVGAKFYGIPESYIRVCVAECPVCLDSNGSGGLRSKRRRFEYTESFDVPAKEVPNKLQQLATKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPASASKKSRNLKREPYASKRCGCGFRIRAIVPISNYNEKEKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGLLMEQDMVYGMSEEAENEKFEFMGKDDGDMQRSVLHQVKELRNEVGLLEGKIAKIPPELLGSVSQELFDILNKVRSVGAYESKSTGLLSDKLQSDDVLVGENDLADWSHHQRIYGDGKDTDLIEDDDDSFGRTLGDVASWDQIRADCRTEKDLLGETCKPEKWLKCSGFDEKSVLNHEDSKLIEPVRHDETMETDSLVALQVDSFYPENPKWYESPCGLDPGTDCGDNGFRHGEIV >KVH89180 pep supercontig:CcrdV1:scaffold_951:67242:82454:1 gene:Ccrd_008836 transcript:KVH89180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MSFLFLRIELISLVPGQGSKLNPNEPTITEALFYYHLIMFISDMSGREPLYKKAFVFFSASIPKDFVGRIKGDTSVVPRVGALREMNLEYFPIDNQAFLTDHGGALEELYGEDAENSHDFNICLKSMATRIATVFASLKVWDRSGVNTRQQQTRQEMPLCNSHHDHLKLQTVSCGVGCSADRMDRGETVACCQWPRMPAVNSRASVGVGSSQELPVVRYRVKDVDGSTGTTFRDTIPSKVASAIWEYISSYKTTIPNYPQIETCELLIVDRSVDLVAPIIHEWTYDAMCHDLVDLDGNKYVMEVPSKSGGPPERKEFLLEDHDPVWLEMRYLHIAEASERLSDKMENLMSKNKAAQLQQKDSSELSTRDIQKMVQDLPAYNEQMEKLSLHVEIAGKINTVISEEGLRDLGQIEQDIVFGDAGHKELLEYLSENEDGNVENMLRLMMIYALADPEKFEGDNGAKLMELANLSHDDMRMIDNMRLLEGPVKKKKQETKGGFLNFDKKKANNALRKDKQGEEETWALFRFYPVLEELIEKIDKHELPKDEYKCINDPSTRGVSGHAAGRAAAQQSRRSRRTPAPGKASDDSDSVQKNAANDLKHMGQRIFVFIIGGATRSEKLKMLTMKEHGM >KVH89176 pep supercontig:CcrdV1:scaffold_951:149397:152101:-1 gene:Ccrd_008841 transcript:KVH89176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, catalytic domain-containing protein IYTAAVSKWKSLKTRRLQNWGILLLGDCPFSFLXTITPEIQVGMGRXGELRYPYYPEKDGIWKFPGTGAFQCSVAGLSILALDDCNRRRTLALYLLDRLAQCAYNFAKSKKFHPWGSSWRHGDSLLFALSCAQVMYAFVMRPESLPKSYQDFIQKTGPVAQPVYKAVKGCCRGSPVDIALLSSYLSTVKGADFSFIARVSFYHTLFSN >KVH89182 pep supercontig:CcrdV1:scaffold_951:105761:110394:-1 gene:Ccrd_008838 transcript:KVH89182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MLAFECVEEIEVSLGRKVTSAEAFWLNYSANKSDYFLYCHNLIFLIIFYSLLPLPYVILQLIIRSSKNTHKFKIQPNITYSFTHMFDCYTKVVKLFLLTVGPLQLISFPIIQFVEIRTSLPLPSKREMFLQIVVYFIVEDYANYWLHRLLHTTWAYEKIHRVHHEYSAPMSFAASYAHWAEVIILGFASFLGPLLVPCHMITMWLWMIVRHAEAIETHSGYDLPWSPTRLIPFYGGAEYHDYHHYVGEKAQSNFASVFTYCDYIYGTDKGYRYAKKHRKGVRTKTVE >KVH89178 pep supercontig:CcrdV1:scaffold_951:1155:6832:-1 gene:Ccrd_008834 transcript:KVH89178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MYRSGAVMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLCNYGPALAAGGLDSLIALVILIVFHTLLVMLLWSYFSVVFTDPGGVPPNYRPLVDQERGDIDPLEATEFGPLATPDPTNARIRYCRKWEVCAENGSSLCVGCKLCWGTKLQFYTFLETTVVTLALLPHFIAFFSDGEIPGSPSTLATTFLAFVLNLAFALSVLGFLIMHISLVSANTTTIEVFGTVQRYWFIPAYCDEDLRKMPALQGIEYPSKPDLDAQEF >KVH89179 pep supercontig:CcrdV1:scaffold_951:87983:101130:1 gene:Ccrd_008837 transcript:KVH89179 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 repeat-containing protein MQGGERERRRSVKFQDGGAELGPPPCLPNQVVVLVFPETSGFKPSIANPDSESVDQISSSKMEGIASIAVVSSLILGALIAFIAFGSYFRKRKSEVVSVANPAEAAAVNLKNSRPQQNKKSQSKPHHSHADKDSNKRHHPLDLNTLKGHGDSVNGLCFSSDGHSLATAPRIMRTNAMNALNINSVKPVSELGGLLVTMVFLRINLPAAGGHPTAVAFVDDSSSIVVASQNLTGASLYMYGEDKPKGGDTKQQSKPEIKWEHHNVHDKKYILTLFGTKATHGAADGSTIIASRTDIILWHGKSGKMLGNVDTNQLKNNMATVSPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVTKVMQLKGHKSAVTWLCFTPDSERIITASKDGSIRVWNINVRYHLDEDPKTLKVLPIPLHDSKGTTLQYDRLSISPDGKILAATHGSILQWLSAETGEVLETADKAHDGDITDIAWAPKPIPVGDKRVTILATSSVDKKVKLWAAPTL >KVH89177 pep supercontig:CcrdV1:scaffold_951:22280:43747:1 gene:Ccrd_008835 transcript:KVH89177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGTVCVTGGRGYLASWMIKRLLDDGYSVNATVRTTDSERLKIFDADLSKPDTFKAPIKGCIGVFLVAHPMDFVGKEPEEVVTERAMKGNLGILQACVDSKTVKKVVYTSSGSAVVLNGKNHTEVLDEESWSDVDYIRGRYKDFGAPYYISKTIIEKRILDFADNKGLDVVTVVPNYIHGPFIGPRCPGSVYVSMAMIFGKTENYKMLVKTDFVHVDDVARAHIHLLESPNAKGSFLKDVEKVMKFPSVSSGKLLGTGFEFKYGIEEMFDDAIDCSMEKGLVCVTGGRGYLASWLIKTLLEDGYSVNATRLKIFDADLSKPETFKEPIKGCIGVFHVAHPIDFEGKEPEEVITERVVKGSLGILHACIDSKTVKKVVYTSSASAVVMNGKKHTEVLDEESWSDVDFIRSHFKEFGASYFISKTMVEKEMLEFGEKNGLDVVTVVPTYVLGPFIGPRCPDSVYVSMAMIFGDTSKYKMLTKTDFVHVDDVARAHIHLFEFRNANGRYICSKIGYTIEELYKFLSSRYPEYKMPNIDKLLGTGFRFQYGLKEMFDDAINCCKRNKIFNGERNRMRNRRPWVLSVVDDQDVARKWIFSKRHCQNRFRKDVSYLTNLPGASERLKIFDADLSKPETFKAPIEGCIGVFHVAHPIDFECKEPEEVLTERAVKGSLGILQACIDSKTVKKVVLTSSASAVSVNGKKYTEVLDEESWSNIDFIRSQFDEFWASYFISKTMVEKEMLEFGEKNGLDVVTILPTYIHGPFIGPRCPGSVYILMSMIFGDTSQYEMLMKTDCVHVDDVVRAHVHLFECPDAKGRYLCSRIDFTIEEMYSFLSVRYPEYKMPDIDFLRDDEKMKIPYVSSRKLVETGFQFKYGLEEMFDDAIECCKRNNIL >KVH89181 pep supercontig:CcrdV1:scaffold_951:121341:127475:-1 gene:Ccrd_008839 transcript:KVH89181 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF296 MDSRENMMPAVETIAPEAPSSYQLAPRNDSSTLLTGPPGFSPLSGGGPSSLIKKKRGRPRKYGPDGVVAGGGESGRTLSPIPISASAPPASAAYSDTKFGEGEGSGSSYMVEKKKKGKMNSSETKPKHTYGSINLGDKISSGGSFTPHMVTVNPGEDVTSKIISFTKDGPRSICILSALGVISHVTLRHASSSGGTVTYEGRFEILSLSGSFTPEVEGVLSQESKMSIALSSPDGRVVGGQLGGLLTAAGPVQVVVASFLPNIGSPIEPKPKKPKTVVKLSSPPPPTTEPHATKIEQQKFNENVHDGSTAGKSGSTATRNFQLENCTSAAVHDWRRAATDMNVSLSED >KVF13431 pep supercontig:CcrdV1:scaffold_9512:680:1015:1 gene:Ccrd_026856 transcript:KVF13431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small-subunit processome, Utp13 MRGIGELLEGLIPYSQRHYSRIDRLERSTFLLDYTLNGMSIVEPDRGVVEDPKDESLVGPTEAVAKGQERANEEVSKQRSLKKRKAKILNGGNKKIKGSVSTDGAVVSVMK >KVI04274 pep supercontig:CcrdV1:scaffold_9519:123:5123:1 gene:Ccrd_017415 transcript:KVI04274 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein TypA MHRAFMAYEKYRGPLGNVRKGVLVSCARGTTTSYALMSLEPRGTLFVSPGVEAYDGMIVGEHSRDTDLDVNPVRNKELTNIRSAGKDENVKLSPPRRMTLEEAIGYVASDELIEVTPHNIRLRKRYLDANKRKTMSKRPKE >KVI11542 pep supercontig:CcrdV1:scaffold_952:68574:72450:1 gene:Ccrd_010045 transcript:KVI11542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPKGIKSIEYTKSAQEFGPSRCYFDLLSLSLSVLGGGVSRTASLPAMATNNSQQSGSGPPPKPWERAGSSSGPAPFKPPSPGSTSDVVEASGTAKPGEIVPTNDATSAMNRNALGRAVPSRPWEQQQQPYGSTYGVAGTGYGSGLNSGYGTGAYGGMGSYNSGLYGNSMYRGGYGGLSGGGGMYGGGMYNSGYGGSMGGYGMGMGTGMGMGMGMGMGMGMGMGGPGGPYGDEDPNNPFGAPSSPPGFWISLMRVMQGFVTFFGRVAMLIDQNAQAFHMFMSALLQLFDRSGLLYGELARFVFRILGVRTKSNNKVQPPGPNGQIEGPKAAPAGAWDGVWGNN >KVI11543 pep supercontig:CcrdV1:scaffold_952:43460:58752:-1 gene:Ccrd_010044 transcript:KVI11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MVEASPTGCSSTELSPEEELQMITAISMATEPQTKVGDTFCLISRRWWQNWLDFVTHNKTVSANEGSSSEHQDLGSSSTPKRPSSIDNSDLIYEAASENPTMGIELHDTLVEHTDYILVPEVTWNQLCAWYGGGPKLARKVISSGQSQTELSVEVYPLRLRLHLMPKGDQCAIRISKKETIRDLHKKACEIFVLNLEQVSIWDYYSHRKHALMNDLDKSLDDANIQMDQDILVEVIDNGGGCTSDAQENGFAKNESSVLVEPSKTNYSIAGGFSASKGIPKNCNSELSQFQNLSSAIRESEDKTPVSVGVSTRGSSGGLTGLLNFGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWHNPLGMVGELALAFGEVLRKLWAPGRTPFAPRQFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCEKVSVTFDPFMYLSLPLQSTTTRTMTVTVFSCDGSALPATCTVIVPKQGRCKDLIQALSNACALKHNEKVFLVEIRNHLIHRFLEDPLMSLFSIKDDDHLSAYKIPKETGNARGTTGWKAYGTPLVFPVSCDATITRGDIQLIVHTMLSPMLRAEPVHSDISDADPSSVASDLTSVKKASIIDGKENDDSKTPSLKLPLKLVDGNNACIDLSVGEERTVRLPSTSMSVLLFIDWSPKLLKRYDTHYLEKLPEVFKYGPAKKTRTEPLSLYTCLEAFLREEPLVPEDMYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTNYIANKNNSGRQVYELYALTNHFGSMGSGHYTAHIKLIDENRWYNFDDSHISPVNEDDVKSNAAYVLFYRRVKAEENGPGCCAGGNSSLHK >KVI11539 pep supercontig:CcrdV1:scaffold_952:134679:141784:1 gene:Ccrd_010049 transcript:KVI11539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESKKFGKGPRELTGAVDLISYYKLLPHHEFFCKKSLPLSISDTHYLHNVPGDSEIRKGEGMQLDQLIQNTSFPRETNSRIQPFDLDILREAFQLRETAPVDLPSSEKGIPTIAGKSKSEAKDKERKHKKHKDKDRDKDKEHKKHKHRHKDRSKEKDKEKKKDKSSHHDSGAEPSKKHHEKKRKHDGDEDLNDIHRHKKSKHKSSKIDEMGAIKVAA >KVI11540 pep supercontig:CcrdV1:scaffold_952:129218:134389:-1 gene:Ccrd_010048 transcript:KVI11540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYCYASSLNSVFMAYPGALNSLYAFVVWIASYALVAIIGLFQLSTFIGNSIFNRGGWEDIERSRYSERDYGLHTFSKWKVTLSSYSVKVELLNLFSYCQTTYRTSLYQHFEAARQTIHTVKSDSASKKINHPVMEELGLGPNGDLMQHLEEKVKTEELEYYIEDHCLVFDRNGVKMD >KVI11544 pep supercontig:CcrdV1:scaffold_952:126055:127991:1 gene:Ccrd_010047 transcript:KVI11544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MDIFVNLLHAMFEGKTDYRARIRLINQDKNKYNTPKYRYVVRFTNKDIIAQIVSASIAGDMILASAYAHELPHYGLKVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNLEGALDGGLDIPHSEKRFAGFSKDGKQLDADVHRKYIYGGHVASYMRTLMEDEPEKYQSHFSEYIKAGVDPENIEELYKKVHSAIRADPTPKKLEKQPPKEHKRFNLKKLTYDERKQKLIERLNALNAAAGGGDDDSEEDDE >KVI11541 pep supercontig:CcrdV1:scaffold_952:20120:25014:-1 gene:Ccrd_010043 transcript:KVI11541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding domain family 9-like protein MKKKTPIMKLLSSLQYFGLILGCLYVVHSQTIQDSCITSILPNVYAQIPFDTSSLTCFNVWPSENFLLRYSQAGPSLWSFVLSAPNTNSYVAMGFSPNGGMVGSSAIVGWLVGGSSATMKRYYLGGKTPNQVVVDQGNLRVFQNSSAIVSFSSRMYIAFQLVIDQPSQQLVYAVGGNSNQAPSPSSYQLTVHRNQITVSFNYDSGQGSQVSAPYSNLKRVHGILNAVGWGGLILIGAMIARYTRHLGSKWFYAHACIQTLGFILGLSGVVAGLILNDRIDVNVAKHKAIGIVIITLGCLQILAILIRPSTDSKRRKFWNWYHHNVGRLLIVLAVFNVFYGINLANAGSDWNVPYGVFIGIIVTVALSLELRSLTED >KVI11545 pep supercontig:CcrdV1:scaffold_952:73607:76025:-1 gene:Ccrd_010046 transcript:KVI11545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPDVSYSCGSCGYPLNLASSNRIASEIGSKYRKSIRKGSISFESIDLSRFTQLDEILLASYVEMASDEVNHSFIMHLPDDCLRSIFEKFDSSTDRESFGLTCHRLLYIQDSRRRSLEFGCSFSRVNHKLSKTTVDIDSLILDKLIRRFQQLESLSLCGCVNLLDSGLSRLQNHGSKLHSLYLDCCFKVTDAGLSSVAIGCPSLSFISLYRCSITDNGLELLAKSCLSLKDFNLEWCSLITDSGISAISNNCRELRAIKISHCDKIKGVGFDGCSQTLACLEAESCKLEPEGVMQILSGGGLEYLNVSSLGWCIRGDGLRAIGGGLGSNLRILNFRLCRSICDETIMEISKGCPLLQEWNLSLCTEIGVVGWESIGIHCRNLEKLHVNGCRSLCDQGLLALQNGCKRLSTVYISRCRQVSSLAITLFKIARWDVEIKLEEVMYIMPNRFFR >KVI11538 pep supercontig:CcrdV1:scaffold_952:146209:152563:1 gene:Ccrd_010050 transcript:KVI11538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MSVAEAKRARKAVLLGSLPYFNPHFPLSLNDWLCCVPAKPSISNTQNGLIQRYDSPPSIALTICRLCNRGVFADLAVAYLIKLMTRFLLLKSVMEDTQSVATLLESTTSKIQQLQKAFAELESHRAVTLNLKWKQIEDHFHGLEKSLKRRFTELEDQEREFETKTTQSRQMLEKRQTAVMGKEEASLERLQEKRDAAVAAITNALGKRRNGHVEPATVNSEEQGGASIVEEKPPDAMASECKMDDLKMLSDSSDVKALTNPQLAKLCEENDAQGLHKFISDNRKNLASIKEEIPIALKAAADPGGLVLDSLSGFYISENSNMDGKKDSNLLGQRRTCIMLMECLSILLANLDENFVSKVISIDVKERAKAIAEEWKPKLDDLDVDASNGNSLEAHAFLQLVATFAIDSDFLQEDLSKLIPMVSRRRQTADLCRFLGLSEKMPGVIDVLVNSGRHIDAVNLAFAFELTHKFSPAVIKCIEDHKLEDQYPLVPLQKRILQLEKAKADKKRATEVAKPQPKRPRANGIAGYAPRNTNIAAVDKNFYGRMTDRYAPPTPYVYDNRPYAYPGPADNHIPVYMGTTGYNMAPNHGNYFGSGYQYQAPYLH >KVF11832 pep supercontig:CcrdV1:scaffold_9529:3332:6020:1 gene:Ccrd_026857 transcript:KVF11832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MARLTSNHPLVIARVVGDVVDSFTPSVNMVVMYNSLNHLYNGQELFPSTVTVQPRIMTDPDVPGPSDPYLREHLQWMVTDIPGTTDTSFGKELMNYEMPRPGIGVHRLWMCVRRYVHLLFKQKGRQTVSCPSSRDKFNTRSFAHENELGLPVAAIFFNCQRETAARRR >KVH97095 pep supercontig:CcrdV1:scaffold_953:73620:88218:-1 gene:Ccrd_000805 transcript:KVH97095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dna2 MAPRKKAAAGASKKSNQIQQQPSQKPSKFGIQHFFERHTQNSVSQNPQKQQPAIAKEFSVDVGSVPERPLDPVASTSTGVVVRQQSDSSFGTGINPRKDLGLENANNNGDKNPYQSTTIEDLTPVARDDATGNQLEVSPEFCKSVSRKRIKFSPGMLIHQSQDDGGDEVTWRISPVNERLHALTKNLVEVRKVLGESSRFNIVKFKPSSEKACGMLLSKAFDFYLNFKQLSEILSMLEIQISPCSAVKLEKFLSSPPLKAAENSLKFLKGITLGKCNLDQDMISFCNNEKATDKNNINFRSPFKTPPSCHNKTADSDDTYEESTQLGLRQHKKALLELLDQVEDAICVEDQVCTDKSTSYQNEHSNERCVEPDVAVEGLAVGSSSTRKVKTESSNVYFLVFEVSEKQFVGSNGSQCSSKFILCFEHIIGNSPLLEISSTSVFSERFYSVIAPGDTVHVIGEFNEGKCEVNRDNNFLIVHPDILVSGTRVAGSFSCPRRTVLDERLKSNEQSASALVGTLLHQLFQAGLIRETPTKEFLEEYARVLLQKNYESLYACGVYEGDIHKTMIDAIPRILMQVKSEYDTMILFHSTPYLLLNLGRNCLCCREGPMTPSVDFGSVDGHKKVNISEIIDIEEMAWAPKYGLKGVIDASVHVKVTSKANGTNDFIMPLEFKTGKATNGQAAMEHSAQVMLYTLLMSERYKKNIDYGLLYYLHTDQTQGIAVRRSDLVGLIMRRNELASDILKASTTQQFPPMLQVPNMCKSCRHLNACTIYHKAHNGSIEGSGLGDVYSSLVNHLTTAHCIFLKKWERLIDLEANELEVVRKEMWSSHMKSAQASTCLSSIVLDTSDQVPQKNFAQANRFLYRFMRQDLPQPHRRVHDGDDLNPSSSGQNLECSLKTGDYVILSTDPGRLVVGRGVIMDISRINVTVSFSKRLRLPGSSPSKIIHDLCQEVWRIDKDEFTASFATMRFNLIQMFLQDERSSHLRSMIVDLHAPQYDGGCIFSQDPAVSYIWSEKTLNDDQRKAILKILTAKDYTLILGMPGTGKTSTMVHAVKALLMRGASILLTSYTNSAVDNLLIKLKFQGIDFIRIGREEAVHEGIRGNCINAADMQSTKDIKLRLDEAKVVAVTCLGITSPLLSDKKFDVCIMDEAGQITLPVSLGPLMFASKFVLVGDHYQLPPLVQSTEARENGMGVSLFCRLSEAHPQAISALQSQYRMCAPIMELSNALIYGNRLCCGSPDVANAKLEYTSSTSLPSWLNKVLDPLRPVIFINTDLLPGLEVKDCKTVNNPLEAYIITEIIDKLSCQGIQGEDIGVITPYNSQAHLIKQHVTQSVEIHTIDKYQKKLIMVGSQRTLSFVPILKLLMEKIEECSTSILNVCKEDIDLNNHHKGGLKRCSQLR >KVH97100 pep supercontig:CcrdV1:scaffold_953:10206:10583:-1 gene:Ccrd_000802 transcript:KVH97100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/plant lipid transfer protein/seed storage helical domain-containing protein MNSSKITSVLFILLNVIAFTCVSSNKVPCPPKTMTPSGSAKCPKDTLKFGVCGDWLGLVHEVIGAQPDSECCTLIKGLADLEAALCLCTAIKANVFGLLKVKVPIALSLVLNSCGKKVPKGFKCT >KVH97097 pep supercontig:CcrdV1:scaffold_953:115787:122731:-1 gene:Ccrd_000807 transcript:KVH97097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex, subunit 1 MDQESGWEELRKEARKIEGDLDVKLSSYAKLGARVTQGGYADADTPTIGSNRSWKSMEMEIQSLLEKLLDVNDSMSRCAASAAATTSVTQKLARHRDILHEFSQEFRRIKGNISAMTEHAELLSSVRDDISEYKASGSMSPRMQILRERAAIHGSIAHMDDVITQAQTTRAALGSQRAMFGDVQGKVKQLSDKFPIVRGLIGSIRRKKSRDTLILSAVIAACTLFLIIYWLSK >KVH97102 pep supercontig:CcrdV1:scaffold_953:148297:148794:1 gene:Ccrd_000808 transcript:KVH97102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MKIRGFLIKHRVSSLFRRVCRRNLSPSAGYRRLDQSPRSMSKLLKWGVRLKTKAMAICSKNSGFQQKNLVLGKGLAQPRAAVPKGKMAVYVGQKDGDFKRVLVPVIYINHPLFGQLLREAEEEYGHNHSGGITIPCRISEFENVKTRIAAVCGCRKLLTRWRRTI >KVH97101 pep supercontig:CcrdV1:scaffold_953:42241:43949:-1 gene:Ccrd_000803 transcript:KVH97101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF597 VESSSSSNLPVWLESLLSEKFYNACVIHQDSKKNEKNIFCLDCCEGICPHCLTPHGSHRLLQIRRYVYHDVIRLGDAEKLMDCSYVQINHILRSEGMMSEYLHDCEVLTLPEPGFDDGLMTPDSVLEPFVSLRTSSGSSASCGAAVVGFDCRTIASTATTEIVRKKRSSKSTIRPVAACLPVTSLPSEFSANRRKGVPRRSPFL >KVH97096 pep supercontig:CcrdV1:scaffold_953:110302:132379:1 gene:Ccrd_000806 transcript:KVH97096 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MDLKSEFGYFESFYNGFENQKHHQVDLDSAGYVAAADAAHRLMESLTSSNFSSNDCISISIDFHERLEPIVGLIREEVSPMKLSNDDEDKLVGEKQAMTKMQQVKKFRPKVMTPKPITPSPSSSSSRGHTSVKSSCRRALDFDAQTKTMLIYTKGGHCFTRSVNKYMEGRLECNDKEEDMNEQEEELFRQRALSFITSMRHVQGNRGFMGWKGSVVDSVVGVFLTQNAPDNLSSSAFMCLAAKYLIEDPKEGISKHALDWNAVRCAQPYEISHVIQERGMNNRIAARIQVTFLDSIYNHKSGLLDDLEWLRKAKPEKTMEFFSKIYGLGIKSMDCLRLLTLRQHAFPVDRHVARIVVRLGWVPVEKLPDGVLIHELEEYPMMEAVQDYLSQRLSNLDVDTLYELHYQMITFGKVFCTKKKPNCNSCPLKKECKHFASAFGRFPPQGEFKTFVPRTPTPGQSSSRVQVILEEDIEDLCKVHPVIKVMKNAAGKGKEEEEVVVGRFLVPCRTATRGSFPLDGTFFQINEVFADDESCKKPVVVSRNLLSDLTIKTLFCGTSISAIFQVAAVSIDLVPLVTASHELRLCRLPRVAASHELRLCRLPRVAASQSAVSISPPSYYSFLLLLVPPSASFRLLPVGRSSLDNS >KVH97094 pep supercontig:CcrdV1:scaffold_953:70623:80134:1 gene:Ccrd_000804 transcript:KVH97094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEDQSRAHYLSNQVKNEKNTTSNEIHQPAATFLSFPCQDITRRDVSKMEAPLINNAFTAWTMVEVLPVPGIPRISYQEQWRTDKYLPVHSSYVSSTFLSSQPGDSKT >KVH97098 pep supercontig:CcrdV1:scaffold_953:2799:5606:1 gene:Ccrd_000800 transcript:KVH97098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MLMGVFFALTTPSGIVIGILVSNSYEENSPTALIVQGVLNAASAGILIYMALVDLLSPDFKDPRMQKNKILLLTSNVSLLLGAGLIYVKEWKTKGEAEGRGQRRLAIVRQRV >KVH97099 pep supercontig:CcrdV1:scaffold_953:6196:9498:1 gene:Ccrd_000801 transcript:KVH97099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1395 MDVKQVGLSLDSLVSSFNTRIAELQDLVVARNMYPASSVTDLSAVDTTLKAMELQLQQIKDRLREETLAIPKAKKLIQASLQQQQKLQSMSAYAPSYLPEREKVMHQDNSSCYVKEEPTRQDHSFGIQEPKEEPAPLPKEKKGRGSPPLWYITANELDSLSSYMRGRLTLDKVNAAISDMAAYAEANAQLITAPRKKLTESTLEKALEIREIGMAEAVKGKHFFLETDVKGPTLKLDNTGKAILTVLRHLGRLSESRIGHHRVFVLLKP >KVI04504 pep supercontig:CcrdV1:scaffold_954:72691:76945:-1 gene:Ccrd_017178 transcript:KVI04504 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MVNQLLGVLSESEVGEMADLFSVFVDGCLSLPISLPGFAYHSAMNARKNIIRKIKKIIVETRYRDGDGGLVRRLTKEGSLSDDSIADFIINLLFAGNETTAKTMLFAIYFLTSSPDAVNQLLEEHQNIRFKKLHSGGEIDMITWEEYKSMSFTQNVIDETLRLGGIAIWLMREAKEDVKYQDYVIPKGSFVVPFLSAVHQDENVHEGATTFNPWRWMDSENQDKRNWRNSPFYSPFGGGPRLCPGAELARLQIALFLHHFVISYSIF >KVI04505 pep supercontig:CcrdV1:scaffold_954:79436:89903:-1 gene:Ccrd_017179 transcript:KVI04505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKSQQMPYIEFKTTAVITATINHQLGVKKMVLWRYVIPVLVVVIYVAKSVTLKRNTRKRLPPGRRGWPVVGDSISWYNSVASSHPATFVQQQVLRKAFQIQLPKVVQRLGWGERRHHGPRRSTSEASHDCIKYDAYRELEIQFLD >KVI04506 pep supercontig:CcrdV1:scaffold_954:37540:49310:-1 gene:Ccrd_017177 transcript:KVI04506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MARVCAKRDGRFLSLLYVFTVIAFCARITISARSDQETRERFYGTLVNSTAPESGDASIAKMFDRVLEKEFSENESTDVSHNSPLFMLLGSTRSSFNNSVADQEAVLETVAKITHEKAKNNDTQVTTVIFRLTVMLPSNCVFVYDLDNNSSSFQIFSLDSEDDETQTLIDKQDNVFVISNKKSKYPILQVDVRLISDLVVIIVSAAIGGIICSCLGQPVIVGYLLAGSLVGPGGLKFVSEMVQVETFAQFGVVFLLFALGLEFSMAKLKAVGPVAVLGGLLQIVILMFLCGALAMLCGAELSEGVFVGCFLSMSSTAVVVKFLVEKNSNNALHGQVTIGTLIFQFWVATVGLCKGWLMTLSTYLFAASLLTWSFMPRFLKLMVRLSSETNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWTHVDILLASVILVIVVKTTVSALITKAFGYGVKTSFLVGIMLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPVLFRLIPAVMHLGVLMHWFPSEATTQPEEKTTVIEVHNTKMMEQRNRVL >KVF11006 pep supercontig:CcrdV1:scaffold_9541:56:8384:1 gene:Ccrd_026858 transcript:KVF11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MPNGSLEKFVCNRGSAIGSQLEWEKLYEIVVGIGRGLEYLHRGCNTQILHFDIKPHNILLDKDLCPKISDFGLAKLCPEKRSVISMSGMRGTPGYIAPEVFSRNFGGVSHKSDVYSYGMMVLEMVGGRRDVVVEVNDTSNMFFSHWVYKQLVSNKNLGLSGILNEEDKERVTKMVFVGLWCIQADPSSRPSMSKQSLCLDPNYEACVLHSCSENGPTITYPFFIKGLQNSTCAYPGLELHCKLGFPVLKISESEFRVEEIDYERSYLRLQTTAISWNQTISCPSDIRNLTLDPNRFLIDDDTTTKLVFISNCLNNASASLERYRIQSCQTSVELVMLANDTNLRTATETCGNGSGIVMTPVELTGQEGRIDATNYAEVLERGFVMRWRAADCGICRGSGGRCGFDSPSFQFRCFCPNGPHRVRCRSEKQRNIAMKYALALGIGTVIILILLIF >KVF10299 pep supercontig:CcrdV1:scaffold_9547:2207:3575:-1 gene:Ccrd_026859 transcript:KVF10299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-binding, type 1 MKTTLLFTGIFLAGILLLQPVTSQNCNCARNLCCSKYGYCGTGDAYCGNGCRGGPCFXPPPTNNADIPGIVSPAFFNGIVAKATGNCXGRGFYTRDAFLNAVKSYPRFGKSGSVADSKREIAAFFAHVTHETGFFCHIQEIDRSNKYCDKTNTQYPCNPSKSYHGRGPMQITWNYNYGPAGQSLGFDGLNDPEIVTRDPVISFKTAL >KVH94818 pep supercontig:CcrdV1:scaffold_955:41645:42280:1 gene:Ccrd_003121 transcript:KVH94818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEITAAEEEDEDSYLIINKEAQKEKIRQLIKHQKNRYQSSSSSSSCCSSSTLSSTSAALCSSFSSSDQNQSRKLLNLMKRGSTSLRRLFDMEHTSLGNHFDFYSGSPETKTIPLWGSDSDDDGVHDDPWIGITKLDKGFVQEQEEIEEHQNHEEHQKHEEHHATKTISKLRNQKLTRTKSFSRLPSFYRFRFRFRLRSRLRIMICGRKL >KVH94812 pep supercontig:CcrdV1:scaffold_955:90669:105093:-1 gene:Ccrd_003117 transcript:KVH94812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISSSKDPLAGGSSSDDVPSEELCLHKGQQGQRTIKNTLNPFGNETKCDKSVEINVREKEKKMGRLFVVTLEGSIYSCKHCKTHLGLSDDIISKVYKSHLPSCNWKCVSSYVIKNAFHSRHGKAYLFDKVVNVTVGEKEERMMITGMHTVVDIFCVGCGSIVGWKYVLGPDGSMYVLGQEVQVGGSDADDAFRLQ >KVH94813 pep supercontig:CcrdV1:scaffold_955:110747:128047:-1 gene:Ccrd_003116 transcript:KVH94813 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box domain, cyclin-like protein MRDIWFWNMIYDYDQPLLIENGPSNGVLQHEKLVRSFIRHASSEEIAKMRIPLLCYVNTLTGNDRARSCGMLCALTSICSFLLQRMKWGYSANYGCGVLGRWDTINARVVNPVWFALETTAQIDYKRRGALRAKHISLDSRRKKKMKHILDLPYEILTTILIMLASSSDGAGDIARISTTCIRFMSLASQPHVLKAVNFRSLTSTEDYEPHHNLNGLLCQCAEAGNLAAEAMLGRALLFNDYWFWNVLMDDNQPLIAREGPASGVLLHEKLVRSFIAYSSCQDMAPMRIPLFSYMISFLGYDVARECGILLAVTNLCSYEIIRLNVRSLSNIPENSGNNVSVSGLSNAMARLTPPSGATHRERLLALFDEFFPSAPI >KVH94814 pep supercontig:CcrdV1:scaffold_955:148650:150311:-1 gene:Ccrd_003115 transcript:KVH94814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFLVRFNKIRYRSQILHFSVSSSPFSVKTCYLLNSDDKKPTENNMNINEHHVLDQLSVLLPIRHHSTPSIEEPSSESSQLNQNLEMLLSPEDKLRGIFIQKLNGKTAIKRALTVAAVDLEVSSDLVAKVLNRGSLSGQAMVTLFEWAIEHGKVFEDVDSYNVVLRALGRRKFFNYMINVLSEMRAKGLNPNYETLFIFMDSFVKARRVSKALHMFGKLEEFGMEYDLESLKLMLRCLCMRSFVATASSLLNKMKEKVPFDSETYNIVIRGWSKFGRDSEIERVLKEMVADGFEPDNFTFSHLLEGLGRAGRIDDAVQIFENLKEQKRCVLNAAIFNAMIFNFIAVGNIDECLKYYDAMLSNNCEPNMDTYVSIISAFLKVRRVADAIEMFDEMIGRGIIPATGTVTSFIESLCGYGPPHAAMMIYKKAKDVGCTVSLSAYKILLMRLSRFGKCGMLLTIWDEMERGGYFSDVEVYEYIINGLCNNGQLENAVVIMEDCLKKGFCPSRLICAKLNNKLLASNKVEMAYKLFLKIKKGRCEENARKYWRSKGWHF >KVH94815 pep supercontig:CcrdV1:scaffold_955:48061:60383:-1 gene:Ccrd_003119 transcript:KVH94815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding MSRLGFNKSVVYHGETCLGELDVIPTGDKSFQFPNNEIRIHHFSQVSERCPPLSVLLTISSFSVRCKLESSSPVRQSLLIDLHASCFYELKTAVVVLGDEEVHLVAMPSKLKKFPCFWCCLVPAQLYNACLGMLNMRCLSIVFDLDETLIVANTMKSFEDRIEVLTGWIGQESDPLRVSGMTSELKRYVEDRELLKQYIENDAVTDDGQTYGVKLEGVPMNAAGNERVVRPVIRLPEKNIVLTRINPEDLRSYLIAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGPKQLLSRARKSLLNVFQDRNCHPRMAMVIDDRLKVWEEKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGGFFKEFDENLLRRLSDHFYEDEVLNLPSVPDVCKYLMSEDASFIPNGNIHAPMAEGMNGPEVALKLNQQDARNVASSASNLSTTGVELKPEKPQQHVPTTNIYGPPSFRSIFPSEKPSLLGPIQGPELLNKQRAGHYPLSHGTSSSFSAGFQPLVSEGKSEEVFHGYGLQKKNLPQAGQLSGVRQNQSFYNSKKIPTDGGNSNLPPSLSIGVLQEIGQRCGSKVEYRSILSSSKDWQFSYEVLFTGEKVGIGMGKTRKDAQQQAAENALRSLADKYVTYVISQSETVDKDFGDLSIESENGFLWETTVPDSDESLAKDGLFVESSSEGAEMRRSSNLTGHQVQKQANSPRLPESTTTKRSKEELLQNPLDSSSSQQQQQLKKRHRVV >KVH94817 pep supercontig:CcrdV1:scaffold_955:42341:43675:-1 gene:Ccrd_003120 transcript:KVH94817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase domain-containing protein MTKVSSSKTAEQRRSSRSRRTKFHIITTVSLIAIIFTYMFNTNTFISNISFNFTTKNIIPLQSHLLENQTFIVNQLKSTSTIHDPVLIVKEVIVGESDQIQNPLLPPINLTKKQRISWFKNKLPEFDILKSNKEFEVRAREFLKECKVRFFMTWISSPFRVFGEREFLAVDALFKSNPDSCLMILSTTIMDIVYGFRILKPIVDRGFRVQAITPDLNFLFENTPAKSWFVHIKNGNRDPGEIPLAQNLSNLIRLVVLYKYGGVYLDTDFIPLKDFSDLQNSIGAQSANPSGNWTRLNNAVLIFDKNHPLLYKFIEEFALTFNGNKWGFNGPYLVSRVVERESRTSDYSNFSVLPPLAFYPVDWTRIGGLFRRPVNQGHRRWVEKKVVQIRESSYGVHLWNKQSRSFRIEEESIMARLISDHCVICKFFNKTQRLNHSDTLFIIS >KVH94816 pep supercontig:CcrdV1:scaffold_955:83553:92258:1 gene:Ccrd_003118 transcript:KVH94816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MISGCGCVPNKSPFLFQTSLAGSFPTSVWQAKTRLNTIKRTPLFPCVKCEKTDKDDPSSEKPSEESFQKILPEQTPYYTYVDSLTGRPHPASGGRTNIIGQDYAPEGMVGQVRAAGIPAPTGTSSGAPSSGQNPGNRRKMHRASVSASESAEVNTPINQESEDETLYEILEDSKNDLSDFVVYETEPAKEELTKYELDKKLGHPHHFIDPQARKPIEKPLTSEELWWNWRKPDKEQWSRWQRRRPDVETVFLKAMAETGQIKLYGDHPTMTETALYRARRHLYKEERLEAERERLERDGPIAYYSEWVEAWKRDTSREAVQKHFEETGEDEVTQLIEMFTYQTDREYRIMMGTDNRISRDPLAMRMREDQIKQIWGGDPVYPTVNYIQDPDQVIDFRGPDFHEPTPNVLSYLQEHGNITSKEEIDKILAAEKVEKVKVQTPFSDDEAMAKAVDIGEKEDNDNDGILEDENITRNWSPKPAKEGKEGKGKMSLEEAIADSENLTDFLLDFDQDKDIGS >KVH96738 pep supercontig:CcrdV1:scaffold_9550:5301:6515:-1 gene:Ccrd_001171 transcript:KVH96738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITQASSFLSYQKGRRITRSTIQMPKKLNTDPLSLPKLPSQSHGVSSGVQDLRSKTSLNQETTDPVAVVEKLYLIMEVVADRVEMHKNIGEQRNNWNSLLLTSINAITLSAATMAAIAAHHGAYVDVLKLSSSFMYIAVTGMLIVMNKIQPSQLAEEQRNAARLLKQLHGQIQTKLAVSTPTLDYVNAAMAEVLAIDRAYPLPLLGVMLEKFPAKAQAAVWWPEKPSNPAKGKRGKNGWNEGLEDEMKEIIRVLEMKDKADYLRLDEKFLMLNKMLALSGPLLTGVGAIGSAFLGSSYHDPWAVVAGVACGVVATVVNSIEHGGQVGMVVEMYRSNAGFFGMVEESIESNLKEEDVEKRDDGELFEMKLALQLGRSIQELRDLAASTTTNEKDMKEFGSKLF >KVH94718 pep supercontig:CcrdV1:scaffold_956:14416:18596:1 gene:Ccrd_003217 transcript:KVH94718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVKVGMLHYILDHVYGAFMHRTKLTPQFFSKGWGGPKLDLLESLIKQLFPEVEGQNWPPRLIQPTWKTVWETKSACLKEGVFETPCDEQLLNALPLESHIARVALLAPKSVPAHNVACVVHLAGTGDHSFERRLRLGGPLLKENIATMVLESPFYGQRRPMLQSGSKLLCVSDLLLLGRATIEEARSLLHWLDSQAGFGKMGVCGLSMGGVHAAMVGSLHPTPIATLPFLSPHSAVVAFCEGVLKHATAWEALREDISMEKATMTLEEARERMRSVLSLTDVTRFPTPKNPNAVILVAATDDGYIPKHSVLELQRAWPGSEVRWVTGGHVSSFILHNNEFRRAIVDGLNRLEWKDSPL >KVH94711 pep supercontig:CcrdV1:scaffold_956:105674:108567:-1 gene:Ccrd_003221 transcript:KVH94711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MASSQVITPFLLFQKYPDTLTSSPMAGISYSCSSALSSTSNLGTFSLRFNTPRGGITRIMRTNLRISAEIDYINAEEAKRLISEEGYSIVDVRDRTQYDRAHIKSCTHVPLFIENQDNDPGTIIKRQLHNNFSGLFYGLPYTKPNPEFVQSVKSQHSPDSKILIVCQEGLRSAGAANKLEAAGFQNIACVTSGLQSVKPGSFQM >KVH94714 pep supercontig:CcrdV1:scaffold_956:110515:115220:-1 gene:Ccrd_003222 transcript:KVH94714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MDTTDRRYLEEEDSSMTKTMKGAATGLAAGTIWGTIVATWLDVPRVERNVALPGLIRTLKMMGNHGLTFAAIGGVYIGVEQLTQNYRTKRDFVNGAVGGFVAGAAILGFKGKSISTALSAGAALAATSAAIDVGGQTTRVDTGKEYYPYTTKKRVDAN >KVH94709 pep supercontig:CcrdV1:scaffold_956:149666:151198:-1 gene:Ccrd_003225 transcript:KVH94709 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEEEEEETTTTPPSNSKHIIMLPFMAQGHLIPFLELAIQILHHNPIFTISIVNSPLNINNLRSAIANRPSPLTQIHLISLPFHSTDHDLPPNSENTDGLPFNQNIKLLYASTALQPPFCGFISDIIAKEGTVPLCIISXSFMSWANEVARSFGIVNYTFTTSGAYGSAAYDSIWLNLPHRNLVDGGNHDEFPVPGFPKSCRFSIMELPHYVRVSDGEDELSRFXQHQISLSLHSNGWLCNTXEDIEAFGLEVLRNYIKLPVWCIGPLLPSKMLKXNTGSDTGLVDPRSGKQHDVKHSKCIEWLDSHPPRSVLYISFGSQNTISETQMMELAKGLEESKMPFIWVIRPPIGFDPRGEFXTEWLXLGFKDRIGKQGLVVHKWAPQLEILCHRSIGAFLSHCGWNSVLESLSQGVXLIXWPMAAEQGYNVKMLVEEMGVCVLLTSGVHXRIEKEEVXRVIEVVLNKTEDGKGEVMRRKASEIGELIRASVEIGKGCSYNAMSDFVSTILSTFQ >KVH94710 pep supercontig:CcrdV1:scaffold_956:130127:131641:-1 gene:Ccrd_003224 transcript:KVH94710 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEEVAPPANSRHIIMLPFMAQGHLIPFLELAIQILHHNPIFTISIVNSPLNINYLRSAISNRPSPPTQIHLISLPFHSSDHGLPPNSENTDGLAFNQIIKLFYASTALQSPFCGFISDIIAKEGTVPLCIISDSFMSWANEVARSFGTVNYTFTTCGAYGTAAYASVWLNLPHRNLADGGNHDEFSVPGFPESCRFSVMQLHPYLRAADGEDEWSRFFQHQISLSLHSDGWLCNTVEDIEAFGLEVLRNYIKLPVWCIGPLLPSKMLKGNTGSDTGLVGSRSGKRPGVEPEKCIEWLDSHPPRSVLYISFGSQNTISETQMMELARGLEESKTPFIWVIRPPIGFDPKGEFRTEWLPLGFEDRIGKQGLVVHKWAPQLEILCHRSTGAFLSHCGWNSVLESLSQGVPLIGWPLAAEQGYNVKMLVEEMGVCVVLTSGVHSRIEKEDVRRVIEVVLNRTEDGKGEVMRRKASELGERIRASVEIEKGCSYKAMKDFVSTILSRFQ >KVH94716 pep supercontig:CcrdV1:scaffold_956:71110:81016:-1 gene:Ccrd_003219 transcript:KVH94716 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF attachment protein MQVVNGAEWEPEILHGEGRGLVVRAQTTSSVKYVMIAGEQLSKERIGLGRMSDQIAKGLEFEKKAEKKLSGWGLFGSKYEDAGDLYEKAANCYKLAKSWDQAGAVYVKLAQCYLKASMESKHEAANAYADAGHSYKKTNTKECIACLEHAFNLFMEIGRLSMSARYCKEIAELYEQEQNLEQAIAYYDRAADLFQGEEVTTSANQCKQKIAQFSAQLEQYQKAIEIYEAVARQSINNNLLKYGVRGHLLNAGICQLCKGDVVAITNALERYQELDPTFSGTREYRLLADLAAALDEEDVAKFTDAVKEFDSMTKLDEWKTTLLLRVKLLLKAKEDEEDDLT >KVH94717 pep supercontig:CcrdV1:scaffold_956:3116:4114:-1 gene:Ccrd_003216 transcript:KVH94717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MNKSVLIINTTIFLLFLLSLPTISHSQRCNKNDEKVLFQIKKSLGNPYLLASWQKTLDCCEWYNVECDANTSRIIALTIFSGNISGQIPKEVGDLPYLQTLVFRKLTNLTGEIPSAITKLTHLTMLRLSWTNLSGPVPSFLSQLKNLDFLDLSFNDLTGSIPPELATLTKLNALHLDRNKLTGVIPDSFGRFTGNVPDLYLSHNQLTGTVPISLGYLNFTTIDFSRNQLTGDLSMFFGTNKTIQIADFSRNSFEFNLSQVEFPASLTSLDLNHNKIYGSLPATLTGLDLQYFNVSYNRLCGKVPQGGELQKFDNTSYFHNRCLCGSPLPACT >KVH94713 pep supercontig:CcrdV1:scaffold_956:119383:122048:-1 gene:Ccrd_003223 transcript:KVH94713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGSSSSSKSQLLKIGLKFGEEIYFEDVGVGAETKSQGGLSPVGSGGDGGGGGGPPKKGRTGSSGGQVGLGQGQQPPRCQVEGCNLDLSDAKTYYSRHKVCGVHSKTAKVIVNGLEQRFCQQCSRRLAGHNERRRKPTSGSLLSTRYGSLPSSIFENNGNGGSFLMDFSSCPRAPPRAASVQWPTTRTPPRATNDLPITTEKLPPLPWQSSLDNPPPYINPNVPPGGCFNGVQDSNCALSLLSNHSSGSTNQTLSLEYYLNPDAGAPMVQPVHGHFSTANTTAWGYDTNEAHLGLGQIPQSGYSGELGLGQQGGRRYDSSADHIDWSL >KVH94715 pep supercontig:CcrdV1:scaffold_956:30372:40844:-1 gene:Ccrd_003218 transcript:KVH94715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFERGEDHHRHHQNHLSPPPQDHQEEAKTPPFLMNIMATTNKGYSNTSTNTFLDPQQHHHHHHHQPYHQLQFVQHQDHHHDDSNQISFAMINHSMPSSSIRPPTNPTTTTNNNIMRTDGGGPYDLADLDQALFLYGEGQASADPSALSSVQDHQRRDSLELMRAEGSTSSGMRPPTLNIFPSQPMHVVDPSTSTTKATTGLVSPSSSGSRRPSEPSMENIANPQKDAPPPAPQSSKAIKREGNKKGPTTSSDQGRPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARAQGVYLGGGGGVLGADQGVPLGMNNISSDAAVFDMEYARWLEDYHRQMCELQAAVQEHLPENELRIYVDNCIARIDDVMNLKSIVAKSDIILGQIEPLTEQQLMAICEVQQATQEAEEALTQGLEALNQSLSDTIASDALSSPTNMANYMVCETNNTNTLQADNLRRQTIHHVLQLLTTRQAARSLLAVAEHFHRLRSLSSLWVARPRQD >KVH94712 pep supercontig:CcrdV1:scaffold_956:88129:102336:1 gene:Ccrd_003220 transcript:KVH94712 gene_biotype:protein_coding transcript_biotype:protein_coding description:CARP motif-containing protein MTETPAAAPPPEQPSSPSPPPPVYIHPRREPFEHGLIPLQKLIFTDATQTLTSLRDKLLQHPVASNYPHRIDSAVFSETLQISLEHARLVFDIIASVHPSDSDPLVTAKPDEVDSVGVNVYDLIIFLYIQSYKRLLPKGHKDSAAVADVWPSTSAFDGFLSALTPLQLARSNVRRSMPSQADEEAHQLSYLQKHLGNIISLLADSVDSQGEGEDSLVLTMENFEHLAFLIYFGEKGSEKIPLSQNAPFFANSDPDMPAAPVPAAQVHDWIVQNISSAMEHISERAAAKENGPTNVSDQDAMMSDAYANTMKVSTSAKGSSSIEGISKQSYVKQASELKSSFVKIINCHESVIYLLAPLRYATIYGCSDATIVLGAVGKAVRIEHCERVHVISVAKRICIANCRECVFFLGVNQQPLIVGDNHKLQSILEMAKSLLANFNKIVGDLRYVQSELSFIKENFQMLKEQEVQEDTFQKSPIQVRSNNSSRFETLTPSQRLISKIPRFCYENKGKAEIKSTVRSKSTTKKSLAEKKRRTGKRLFQVAPYNTFYPQLEEHMKQVGVEASPNRWDEPIALGLVDPHDSLSHPAGIPNWFQGEGSGSTKDNPFPLPDVYMSSQQRNDKNLVEVKQILRETQLEDSRKRELSTALHVYFKDWLYASGNVRQLYCLQGE >KVF07535 pep supercontig:CcrdV1:scaffold_9574:3453:3813:1 gene:Ccrd_026860 transcript:KVF07535 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF632 MKDSFLGTVLLSKKDRNDIQLRNQDVKGDDGVTIDKTRAAVKDLYNRILVAIRSAESISERIDKLRHEELQPQIIELLHGE >KVF07531 pep supercontig:CcrdV1:scaffold_9575:6812:7456:1 gene:Ccrd_026862 transcript:KVF07531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MVPLTFLETLKTQPLWLNFLFVLGSLSFLKTSTSILRWVYVNFFRAPKNLKKYGSWALVTGSTDGIGKAFAFELAKKGLNLILVGRNPSKLEGVSSEIKSKFVKTQIKEVVFDLSGDISEGIKKITEAIEGLDVGILINNAGVSYPYARFFHEVDDQLLNSLIKVNVEGTTKVTQAVLPQMVKRKKGAIVNIGSGAAIVIPSDPLYAVYAASKA >KVF07532 pep supercontig:CcrdV1:scaffold_9575:5013:5798:1 gene:Ccrd_026861 transcript:KVF07532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVAFVEKSSLFIPTADQYVKAAIRQIGYESRCTPYWAHSVQWFFALLAPDSLLDAWRLSIGIKRRGHIA >KVI00552 pep supercontig:CcrdV1:scaffold_958:53999:57800:-1 gene:Ccrd_021199 transcript:KVI00552 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN motif-containing protein MHQKKSEVQIGKDSSGVSSDFNPNPIISSSVATSSSNSLTKSPILSNPLKNYTFSSKTHYSKRFCNTKAAAFHFLHRLRHLRRLRTHLRLILLLSLPFFYFLVSHPSHSFLLDFLSAFAFSTALLFSLNLALPRLPSIRLFLARSFPIKLYSSAHSSRPHLPVFWSIGSRQKGDKRANSGCWVQAYTNGDVYEGEFHKGKCSGCGVYYYYMSGRYEGDWIDGKYDGYGMETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYSFANGHRYEGSWHEGRRQGLGMYSFRNGETQSGHWQNGVLDVPSSQSAMSPVSPVAVYHSKVLNAIQEARRAAEKAYDVGKVDERVNRSVAAANRAANAARVAAIKAVQKQMHHRSNNDDLPIPMVQNFHHLSTQLSV >KVI00548 pep supercontig:CcrdV1:scaffold_958:63833:68452:-1 gene:Ccrd_021200 transcript:KVI00548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRDRGGSSSRSEMVGGGGAGSLDRKRINDALDKHLEKTSSPSTSRVLNINNKEKDKLSMPSTSTAGGGKSFHQDLPRDNRSSSTITTTKNKCSDEESETDSEESDVSGSDEDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYFDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTTKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKAAQSYVPRVFGFKLHKP >KVI00545 pep supercontig:CcrdV1:scaffold_958:106999:114533:-1 gene:Ccrd_021203 transcript:KVI00545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGGFVTRAFESMLKECSGKKHNSIQTAIRAYLGGEADVSCAGSSLGLSATIRKSSLNDLPATLSVLVAGYSAKAANQEAASNNNNKTTSLSKDKSAKLRLQLLADSPSVTLYSFVCIYPGLDYDLDPRWITLASGSIVQGIRRRRPLSWFRAMSEIPLKKLLLVEVEEKQETY >KVI00547 pep supercontig:CcrdV1:scaffold_958:70037:76102:-1 gene:Ccrd_021201 transcript:KVI00547 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHCH-like protein MGSSKDQLPPYPNAARISDSQCYPQYTASLKCLEEFSSDKSKCQEHFDVYKECKKKEVSFGCFIAILFLAFRLTFLFMQLPREARLERNKSRSLFS >KVI00553 pep supercontig:CcrdV1:scaffold_958:36472:49236:1 gene:Ccrd_021198 transcript:KVI00553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MMMLVSCSNCHTQLQLPPGAKAIRCAICQAITHLADPRAAPPPPPHTGGHPPPSAISYVPPQPSPYNHAPQGPPPSAHGRKKAVIVGISYKFSRHELKGCINDAKCMKYLLINKFHFPESSIIMLTEEETDPYKIPTKQNMRMALFWLVQGCQPGDSLVFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVKPLPLGVKLHAIIDACHSGTVLDLPFLCRMSRSGQYVWEDHRPRSGIWKGSNGGEVISISGCDDDQTSADTSALSKITSTGAMTFCFIQAIEHGNASTYGSLLGSMRNAIRSAKSDMGGGGGGAVTSLLTMLLTGGSLAGGLGGGGLSQEPQLTACQPFDVYAKPFSL >KVI00546 pep supercontig:CcrdV1:scaffold_958:84373:106415:-1 gene:Ccrd_021202 transcript:KVI00546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MELCRSDDGTARTEAAASGGGITKMLANAGHSLEGAQLELVLNPLRLAFETKNPKVIELALDCIHKLIAYDHLEGDPGLENGKNVPLFTDILNMVCSCIDNNSPDSTILQVLKVLLTAVSSTKFRVPFACMVLIIFSLTAIYAVHGEPLLGVSSDGPPVNKRNDSSMKIEEISSADDDGQMLTPTANSTSIASLEELQNLAGGNDIKGLEAALDKAVQLEDDGKPSGFDPESLSIVERDALLVFRTLCKMGMKEDSDAVTTKTRILSLELLQGLLEGVSHSFTKNFNFIDSVKAYLSYALLRASVSQSPAIFQASFKLRSSLEGLKASYSCSDLDMMLEKICKDPQMLIDLYVNYDCDLEAPNSFERMVTTLSKIAQGTQDLDPNSVNTIQTGTLKGSSLQCLVNVLKSLVDWEKLRRESKQNKDEQSIHEDVPSGDSNAKESNGLANNFEKVKAQKSTMEAAISEFNRHPARGIGFLTANRLVDNTPASVAQFLRNTSSLDKAMIGAYLGQHEEFPLAVMHAYVDSMKFSGMKFHTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKSADTAYVLAYAVIMLNTDAHNPMVWPKMSKADFVRMNATNDPEECAPTVLLEEVYDSIVKEEIKMKDETVGMGESRQKPETEGGIVGILNLALPKLRSHSDTQSESEAIIRKTQEIFRNQGAKRGTFYTSQRIELIRPMVEAVGWPLLATFSVTMEEREHKPRVIVCMEGFKAGIYITHVLRMDTMRYAFLTSLVRFTFLHAPRDMLGKNVEALRTLLDLCDSEPDTLQDTWNAVLECISRLEYTTSNPAIAATVMHGHNPISKEVVIQSLKELAGKPSEQVFLNSVKLPSESVVEFFTALCNVSAEELKQNPARVYSLQKLMEISYYNMARIRMVWARIWSILANHFIAAGSHHDEKIAMYAIDSLTQLGIKYLERAELANFTFQNDILKPFVILMRNSRSESIRKLIVDCIVQMIKSKVGSIKSGWRSVFMVFTAAANDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLINFANSKSSHHISLKAIALLRICEDRLAEGLIPGGSLKPINTSVDTTYDVTEHYWFPMLAGLSDLTSDPRPEVSKCALEVLFDLLNERGSHFSPTFWESIFYRVLFPIFDHVRDAGKETTASRDGWLRETSVHSLQLLCNLFNTFYKEVCFMLSPLLNLLVDCAKKTDQDATYTTQPLELLNALSLESTKTRSVMGGSLNVQADDSPSVVSAGDRKSLMAMKANQEDRPSADPHESEGQTPSSENVEKSPGGASLRRSQTIGQKLMGNMMDNLLVRSFTSKPKNTVLDAIIPASPAKSPDEERESGDAVESPYMGTIRSKCITQLLLLGILDMIQDLISSFQTKLQMCWLIKIMAKSDILIIKSVLCKSLNLWPPLNLLRQELTGTCIYLEALHKTTSGITSNHNGELKTSDSLDEDVKTNAEEKLASFCGQVLKEASDFQMSIGDNTNMEVHRVLELRSPIVVKVLKGMCSMDNQIFRRHLRSFYPLITKLVCCDQMDVRCALADLFSMQLHGLLQ >KVI00551 pep supercontig:CcrdV1:scaffold_958:7580:22720:1 gene:Ccrd_021197 transcript:KVI00551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MSFNQSRGDKNEPPQHRKLGRSGNAALQRNYSGGGGKGGGGGGSTTAPPSSSSSSSNRSFKKVGNYAQGVQPRVNSPNINSNSDLLNSSTPGGTTVPNGAHLQLPARGASGAPAAGATIKPSDVSTQKSTPGLPRAPQGNAAVPSSDATGPSTPTKGPADASRAFPLQFGSISPSLMNGMQIPARTSSAPPNLDEQKQAQARLEALKATKIPTSAVLKQQLPKKDAGVIDQSNASEAHSVPKAKKDVQALATPPATQSQKLSGPSIPGVPMQMSFHHSPLHVPYGGPNPQMQSQGMANSSLPMPLPIPLMGNPPQVQQQVFVTGLQHHQMQPQGIIHQGQGLNFSSQMGPPQMPPQLGNIGIGLGQHYPPQQTGKLGAHRKTVKITHPDTHEELRLDKRSDGYADGGSKGSGPRPHPHVLPQSQPVPTFPPGHMVNYYSNSYNANSLFYPPPTSNPLTSAQTTPGSQAPRFYSQVTVKPAANAHDKVSDSSLPGSLPAIDKNELPKTPRTHGETSSTHSQRDPETSSGSSTQHPNYTLSPMATKPTNATFGSFQSENLTNSSSTASAATVEDSVPLVNSEAEVAKEATVSKSSSFKEEPIKPGKKVQYTPLDQVGGQSASISTSILETVKSSTPFTGTKEEVSETSKKVVPIAVTASAPGSSLKGSSGEEGLKSIETIGSETNSDSSLKIEEPKAESAVSEDQGYVTTNEPEQHEVATSVSDLDKNSGAMEVTMTKIETGSLDPAVPAINDDKSLPSASAADADVLSAETSLSLGTCRGDDISSSDVSLSTLVNMGAEGAGNGESGTLDHGSAAVSVSVPDRPPSFDGEDVGNRASLISPTSSGSMDKTLSDTSKAKGNTSKPKKKKKEFLKFADAQGTTSDLYMAYKGPEEKKETPSAEIVENSSAITQKQASVDSSEKAVPCDEKRGHNKFEPDDWEDRADISTPKLETSFNGKSVDGMAKKYSRDFLLKFAEQCTELPQGFEFTPDTADVVMSNVNVSREPHPSPGRGGDRVPPGARLDRRPSNVGHDDRWNKSQGPMGSGRMQSDVSYAGNTTNFKPMTGGNYGMSRNRTQTPGQYGGGILSGTMQSPGPHMQRNNSDSDRWQRVAGNQKGLIPSPQTPMQVMHKAERKYEVGKVTDEEQAKQRQLKGILNKLTPQNFEKLFEQVKQVNIDNAGTLSGVINQIFDKALMEPTFCEMYANFCSRLAVELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANRTEEEGEVKQTEEEREEKRIKARRRMLGNIRLIGELFKKKMLTERIMHECIKKLLGQYQNPDEEDIEALCKLMSTIGAMFDHPKAKEHMDVYFDTMFRLSNNMKLSSRVRFMLKDAIDLRKNNWQQRRKVEGPKKIDEVHRDAAQERHAQSSRMARGSSFNSSTRSRQPMEFAPRGSNVLPSPNAQMGGFRGLPQQQPRGYANQDARVEERSSFENRAVSFPLRPIGDDPITLGPQGGLARGMSVRGQSSMQSMHLPEMPSPADPRRHAAGPNGYGSGSIPERQTSGPRDEFVPRYTSERLAPSPAYDQSHVNRNAEHNFDRGMPSLPSPRVWMPTPSPNVPADKVWPEERLRDMSMEAIKEFYSDSFERKDADRDSLAKLLVNLTKSQDGVLSQDSLIRGVNDAPKAAEYLGRIVGKMLLENVIPYKEVWQLIYEGGEEPGRLVEIGLAADVVGVILEMITSEKGEAFLNEIRAGSNLHVEGFLPRNSTKASRLDKFI >KVI00549 pep supercontig:CcrdV1:scaffold_958:150454:151506:1 gene:Ccrd_021205 transcript:KVI00549 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADF domain-containing protein MASSNSPSSPQEPSNALVAQQPTSTAASRRLPPPCWSHDETVALIDAYRDKWYSLRRGNLRASHWQEVADGVAARCPLGNPPKTSIQCRHKMEKLRKRYRAEIQRIGNTPRGHRYPSSWVHFKRMDSMELGSSSSDPSVDPINQDEEEEDGRGDENEDDLLLYPKGIKQAIALPLNRRYQGSIGNGNGNGNGNGVRIKIPNIAAVPPPPPSALNLYNSNRSFDDYPPSMNPHYGSGKGSRDGYMKEAFGTEKDRNVGGGGGMKRREDMENNGSHLMDEMVAAIQKLGDGFVKMERMKMDMARELESMRMKMEMKRTEMILESQQRLVDSFSKTVMEKKNKKIKRMPTPES >KVI00550 pep supercontig:CcrdV1:scaffold_958:121240:127747:1 gene:Ccrd_021204 transcript:KVI00550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MPPKSARKSVGPGRRGGRARGTPKVSAQPDPEAVVVPEESVKPVEEAPVEAKEEEDPALGKEEEVPVGVKKADAVKVQEANEEKVEKVEEKPVADNGIDRSKREDNDVKDSVEEYEKGERLDLEDNDPEESEPEEYVGEDYDEKEVVEDVQEEVLDVEEEVEDGDVGEDEEGDDIPEGEMEDPPEELEPEEEEHAAEEHAGMADAEEQEQHDVFKERRKRKEFEIFVGGLDKDATEEDLRKVFSEVGEVSEVRLMMNAQSKKNKGFAFLRFATVEQAKRACVELKNPVVHGKQCGVSPSQDSDTLFLGNICKTWTQEALKEKLKNYGIDTVEDLTLVEDSKTDGTNRGFAFLEFSSRSDAMDAFKRLQKRDVTFGVDRPAKVSFADSFIDPGDEIMAQVKTVFVDGLPSSWDEVRVRDLLKKYGEVEKIELARNMPSARRKDYGFITFGTHDAAVTCAKSINNVELGEGENKAKVRARLSRPLQRGKGKHISRGDFRPGRAASGGFRGPWARPVPRNLPPRGIRRLGGRLPPVVDLPSHTKNSLKRDYGRREELPPRSRAIAGRAIAEYGPPRSTSERNSSYRDSYPTRGPTYADLPRGSSHSTSRRAYTDGGYSTRFERPPPSYREGRPRDYDPVPGSKRPYAALKSLPIYKDDVPPRYADAEVRQSRVRLDYEIGGGSSQYGDAYSDRLGRSNIGYGSSRSSMSAQDSHGLYSSRQGLAYGGGSYSGSDGGGIYSSSYGGDYISRGSDVGGSSYSSSLYSGRSLGGSGYMGSGGSGSYY >KVF06906 pep supercontig:CcrdV1:scaffold_9580:515:8340:-1 gene:Ccrd_026863 transcript:KVF06906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein EIWHVNLGRPHAILKDFVGELRVTDVVRALANFYAAQLLVCSKQVSTSYSNVRIIHAHMIASGFKPRSHILNRLMDLYCKSFNLAYARHLFDEIPEPDIVARTTFITAYSASRDLKLAREIFNKTPLNIRDTVCYNAMITGCAHNSDGNAAIELFCDMKRHGFRPDNYTYTSMLAGLSLIAEYELDCQQLHCEIVKSGTASVTSVVNALMSVFVRCASSPFVTSSLLMDAARKLFDEMPLKDELSWTTIITGYIKNDDLYGASQVFDGMNEKLAVAWNAMISGYTHKGIVPKALELSRKMHSLGIKFDEFTYTSILCACASAKLFLLGKQLHAYMIRTVTNPSRDFLYSVNNSLITLYWKCGKTDDARKIFDKMPIRDLVSWNTILSAYVDVGRIGEARSFFSEMPEKNQLAWSVMISGFAQNGSGEEGLKLFNQMRSNECQPCDYAFAGAIISCAVLASLDHGRQLHAQLIQSGFESSLSASNSLITMYARCGVLLDSQTVFSTMPCLDSVSWNAMIAALGQHGHGVQAVELFEQMLKEDIIPDRITFLTILTSCSHAGLVEEGQQYFNSMYELYGITPGEDHFGRLIDLLCRAGKFSEATDVIQKMPFDPGAPIWEALLGGCRIHGNMELGVQAAEKLFQLIPHHDGTYILLSNMYANLGKWNDVSRVRNLMRIRGVKKEPACSWIEVDSMVHVFLVDDTNHPEVQEVYKYLEELVFKMRKLGYVPDTKFVLHDMENEQKEYALSTHSEKLAVAFGLLKLPSGAMIRVFKNLRICGDCHNAFKFMSQVVEREIVVRDGKRFHHFRNGKCSCGNYW >KVF06534 pep supercontig:CcrdV1:scaffold_9584:1776:3308:-1 gene:Ccrd_026864 transcript:KVF06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase, catalytic domain-containing protein MGVQATKLIPGNTNDQNALALQYQLINNVSKHFFLRRLVSKKRRRLLVGGYDLDMSYITNQQDYDSSHFNGLVEKFPFDDNHVPPLPMIMEFCQNVHSWLSSDPKNIAVIHCMAGKGRTGLMVSSFLVYTGMLAEEALQVYADKRTTNNLGVSSLLI >KVF06375 pep supercontig:CcrdV1:scaffold_9587:5840:6930:-1 gene:Ccrd_026865 transcript:KVF06375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGFVMGKDALRKAQSFDEKNQFTTSASTTYASLDRKIGLTKNLSMGRAVVNEKMKALDERYQVSEATKSAIAVAEQKAASASNALMRNHYVSSGVLMVSNALSAFAKAAEDEYCSTWKLRKRKDLNQGGQNATACLYSCKQGIFRSEIRNSRRKKAT >KVI04845 pep supercontig:CcrdV1:scaffold_96:385992:387803:1 gene:Ccrd_016838 transcript:KVI04845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIADLLILLLPLYFAIPIQSSSSPPHFLKSFTQNSGNETINVAPQEYFEVTRPLPTDAITPACSVNVLDYNFGYTYGSPPVTVDYIPPPVTCNWSLAVLEFRAECKGEQYDRIAGVWIDGVELLRTSTAQPTEDGIFWTVRKDVTRYASIIRQDNHTLSVMLENIVNDEFTGVYHVNVSFLFYSGKDVRVPLSVVSGDSETHRLNRKLISVKTHGNEDKIELDRVLNLLYPYDKPADLIIPISGAIDEGFWFRIQNETDVQRKNVQISQKTYKAVLELYVSFHGDDEFWYMNPSDSYIEANHLATGRAHGAYREVLVTIDGILVGAVIPFPVIFTGGINPLFWEPVVSIGAFDLPSYDIDLTPFLGLLSDNKSHSIGLQVADGISFWLVDANLHLWLDHSNVKAETLKYKVPSMEIERQNQFKRLDGEFELEGERESEAKGLVTSSFGKLKIEYTDKMKFKSKLKFQDQGTRKRLNQRVNRNSRITITDENGELIQSLQVKIKYPLNINIKTGPGSDPDTSIMNTTVVQGRSEAFYDVNDSRVLNHSQNCNGSMVIKGNAVLSGTADNHQIYDYKDGFGCYSRNVDVVGGHVVGDVASAVCA >KVI04850 pep supercontig:CcrdV1:scaffold_96:531893:533348:-1 gene:Ccrd_016851 transcript:KVI04850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLLLGRRLDDDNLHLIILDMMLPKVDLAHSLAIHDQLTQTNRRCCNCHAQTETPSNRIFCIFQNILNHKYLVLNQVVEIQKVKDLYPHMAQDHEKHTPIKLRGCKYLVNVLDYLYGFCRTKNV >KVI04835 pep supercontig:CcrdV1:scaffold_96:13880:18755:1 gene:Ccrd_016818 transcript:KVI04835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEEERSDRRRKNVMGNYNYYKMFGCFNRKFKISEMGPPPDVIDVFQSYSMGESEMLPDTFLRFLIEFQGEESTTMEDAQDIMDRILNHSRSHLTRYAFNIHDFFHYLLLDDLNGPIQTKVHHDMSAPLQHYFIYTGHNSYLTGNQLSSDSSEVPIIKALQREHAFVNSSYPVVITLEDHLTPNLQAKVAEVSFIIPFDMVTNTFGEMLYCPKPSDKDEFPSPDSLRHQIILSTKPPKEYLRSKNPRKERSSLDKDSSEEESASKRNNENEIASSSRSRRLSEILGVDYEDDDIEYEKSGQQAAPQYKHLIAIHASKPKKGTRRALMDEPGKAKRLNSHKSIFFGCSPREHGLPPQTLDRLRHGCMGYGRSLWMMHGMFRSNGGCGYVKKPEFLMTKGPNGEVFDPKAILPVKTTLKVYIVGVPVDVAKKRTRVIGDDWTPIWNEEFTFPLRVPELALLEIVVQEYDVDKDDFAGQTCLPVSELRPGIRVVPLHNKKGEKFKSVKLLMRFLFV >KVI04855 pep supercontig:CcrdV1:scaffold_96:216830:238864:-1 gene:Ccrd_016829 transcript:KVI04855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MFTKLFHRNPQQSSPPEPPEDVPQLKDFEPRVVVHYGIPSTASILAFDPLQHLLAIGTQDGRIKVIGGDNIECLLISPKPVPLKNLEFLQNQGFLVSVSNENVVQVWDLERRCLASNLRWESNISAFSVVCGTNYMYVGDEYGYLSVLKYDIEEGNIQHMPYQIPPDLIADEAGIQIPDQQSVVGLVAQPCTSGKRHGKNGVIILWDVSEDKAVLVRAHKDLQLKDEIIIRSTSDVKRESLSYKSEDEQAEKEISALCWVSSDGSMLAVGYVDGDILLWNLSTTISTKDQKANKSSNNAIKLRFSSGDRRLPVIVLRWSANSAHNGNGGQLFVYGGDDIGSEEVLTILNLDLSSGLEALKSIKRSDLTLNGPYADIVLVPGVGASESSYTTLFVLTSPGQLHVYDDDCLSGLISAPEKHAVNAVQCSVTLPTVEPYMTITKLCSIQKDGNLQRVLKEVTVLAAKHELASSTTTGSAQWPVSGGFPSQLSSDENIGVERIYIAGYQDGSVRIWEATFPVFSQIFVLTFQVEGTEVPGASAPISSLDFNSTTSSLAVGNDCGLSEVNYCSQRNSSIHCICFTEIDLHHGNGWQSTAVFSLLDSPVRSLQYTFSGSRIVVGHECGKVAVLDVQSSSVVFLTDLLVSSSSPVVSLAMKTYSQTDTDDSEQSGNTSPSDPPKEVAFILTKDAQIILVDSSSGKKICSQSGTCLLAEEKFSVPELSVQNTVNSSQDSTDGKESLQSHTEPDSDSTEVNISSEGIELWKNFVDSLILVCCEDSMLLYSTKSLIQGEPDFICKVDLEKQCCWTTIFKKNELESGVVLVYSTGVVEIRSLPDLKVLAETSIMSILRWNFMTNMENTMSSSGNGHITMTAPTGLLGGIIKGIKREKENENVNIEETRDVLVPKLEALFSRAPFSVSDLDLGDDFDEPIKSGSTLRENFDEPIKLVVERIEVEPKSPTLSPQTQSQTETNKQTERDRLLEGGSAITEPKARTAEEIKAKYRKTATGDTAAMALEAKDKLLERQMKLEKLKENSEELASGSENFASMAEQLAKQMENRKWWQL >KVI04847 pep supercontig:CcrdV1:scaffold_96:48011:48238:-1 gene:Ccrd_016820 transcript:KVI04847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELGKILDKRVGPPEVNEAEAVELMAYTALHCVNTEGRNRPSMTDIVANLERALALCSDSHGSISSGMSIPSD >KVI04836 pep supercontig:CcrdV1:scaffold_96:31703:39802:1 gene:Ccrd_016819 transcript:KVI04836 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSKQTYKVCFCFRRRFRLAATEAPAPIKSLFDQYSDNGIMTADHLQRFLVQVQKQEKATLENAQAIMHSTAHIFHRKGFNLETFFKYLFGDSNPALDPDRSVHHDMTAPLSHYFIFTGHNSYLTGNQLSSDCSDTPIIQALERGVRVIELDIWPNPTKDDVHVLHGRTLTTPVDLIKCLKSIKNHAFSASDYPVVITLEDHLTTDLQAKVAKMVTETFGEMLFAPDAESLGEFPSPESLKRRIIISTKPPKEYLKSKEANPKGNASQRENDSSAEAWGGEIPSFKSRSVAESKDDLDDLEEEEEDLQDDTVAPEYRSLIAIQAGKGKGGLDDWLKVDPDKVRRLSLSEQELEKAVKTHGPQIVRFTQKNILRVYPKGIRFDSSNYNPLIGWMHGAQMVAFNMQGYGRSLWVMQGMFRANGGCGYVKKPDILMRNGPDDIVFDPRVTLPVKVTLKVTVYMGEGWYYDFSHTHFDAYSPPDFYTRAIEDNWIPSWNEEFEFPLTVPELAVLRIEVHEYDMSEKDDFGGQTCLPITELRKGIRAVPLYSQKGDKYKSVKLLMHFDMV >KVI04848 pep supercontig:CcrdV1:scaffold_96:154266:156062:1 gene:Ccrd_016826 transcript:KVI04848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MEGDKFQEKKFRVLLKCSNLLELAASDDVSEFVSEVERKKIALDEIGFWYGRRNSSKGKMGFDERTPLMIASVYGSIRVLKYLIGSKRIDVNKTSGSDGVTALHCAAAGGSLLSVEVVKLLLDAGANITLTDANGNKPGDLIARGIKSAMRKALEMLLKGFVIEEIVTAKKEYPIDATLPDINNGLYGSDEFRMYTFKVKPCSRAYTHDWTECPFVHPGENARRRDPKKYNYSCVPCPEFRKGSCVNGDACEYAHGVFESWLHPAQYKTRLCKDETGCARKVCFFAHKIEELRPLYASTGSAIPSPKSGSIEMGSMSPTPSPMAHGSTPPMSPSIAPVSPMNGWQNKMNHLTPPVLQLSGSRLRTALNAREFELQSLRTQQKERQQMLDDLSANHYSNRFGELNPTNLDDVFGSFDPSILSSITNGATQLQSPNGHQHRQNPNQLRGNYVNSPMRKPASFAFDSSAAVAQAVMNSRSGAFSKQRSQSFIDRGAVTTGAPFSQWGSADGKLEWGFNGEEANKLKKSASFGFRNGVPVSVGHEPDVSWVNTLVKDVSSVGVGLYSSSEKQRYGGRGGGGGGEKVPQWVEQMFIEQERLVA >KVI04829 pep supercontig:CcrdV1:scaffold_96:494276:500174:-1 gene:Ccrd_016847 transcript:KVI04829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27 MPHGQPRHPQIQLEAHPPQHGLTGSHPVTNPDPDFTHLGNSVHLSSGDLLLAVATLPLPSWRLTVSDLVTRIPVYSSASDVTGDGLSMMFRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGMGKDHTLYALKEGCVKFERHKLSGRKWVHVEPKEGHVLHPLYVKAAAVAPETKTTT >KVI04844 pep supercontig:CcrdV1:scaffold_96:254353:258949:1 gene:Ccrd_016831 transcript:KVI04844 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBD-like protein MRKRARVAQSKGDHRGFNSNDFISRMPDDILVSIVSRLPLKEAATTSNLSTRWRYIWCQTDGLDFDANEKLDKIAVDSKLRVVERPKYINWVNRVTRQHKGPTIDEFRICFDLDKSSKGAIDKWVEFAISKRVQKLELDLLENGEMLRQPPRNYVFPIKIFDRSLGLSVKRQSLSVPRMVSGKEMEIKFLKSLFLKCVNVSEEALRKLLTSCPALQQLSIHGSGDLVNVKISGQSLVLKYFEIVFCFGVKSIEISDSNLESFSYLGPGITIKLSNLPMLEEISIGEGYSGFENNVFGQISCCISHLQILTLDIYRPEENIKFLSFPELPKLKQLILKVGAWDDDSLLEFTSLAKACPNLQRFVIQLIWMSPAKRRRKIRHAAKHPHQHLEVVEVVGYYGRTSDVELAVYFIDNAIALQKILIDPRYQVLERIPIGNDQLKKEKAARSCAKKQLEPRTPRGVQL >KVI04853 pep supercontig:CcrdV1:scaffold_96:71522:75913:-1 gene:Ccrd_016824 transcript:KVI04853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MEPLSDIEQVLHFFRKNGLSDAESALMDDIFEKSQLGSFDFQRFLFPILPQTLHSLKIPAARLISPPPSPPRQMTEEVEGSSDDEFVSLGSSTTDLCSSEFTNPYGIRTTRGVSSQASSDRLSQFGTARDYHEFDLQNDLNWYRERDEDYAMPPSFDNSDPFSGPTEDKFVMTAEKENQDENRQPFFDPILDPFEQTIYLDKPWPLTSIGYVKDGVKVTDYYDLDGKCVEQDLNGGDADATNDELLMYANEDEYEVFELRIIHRKNRTGFEENKDLPIVLNSVIGSRYCVTEYLGSAAFSKVVQAHDLQMGTDVCLKIIKNDKDFFDQSLDEIKLLKFPENILIKSYSRCEIKIIDLGSSCFQNDNLSLYVQSRSYRAPEVIIGLPYDQKIDLWSLGCILAELYSVVILLARVIGMLGPIDTEMLESGQETDKYFTKDFDLYHINEVNIVDQWQDRQGAKE >KVI04842 pep supercontig:CcrdV1:scaffold_96:284622:296817:1 gene:Ccrd_016833 transcript:KVI04842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MAAANAPITMKEALTLPSVGINPQFITFTNVTMESDKYICVRETSPQNSVNLASLKLLIYTLGASRFLEKHPDLFFTFITNAWCNFLQAQLPGTTQDHLQIFNIEMKAKMKSYQMPEQVVFWKWITPKMLGMVTQTSVYHWSIEGDSEPAKMFDRTANLSNNQIINYRCDPSEKWLVLIGIAPGSPEKPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVPGNENPSILISFATKSSNAGQVTSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYAVNRRGQVLLATVNESTIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNQPEHAHLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLFVRALQAKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRCNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIPRAVEFAFRVEEDAVWSQVAKAQLRDGLVSEAIESFIRADDATQFLDVIRASEDTECYHDLVKYLLMVRQKTKESKVDSELIYAYAKIDRLSDIEEFILMPNVANLQNVGDRLFDEALYEAAKIIYAFISNWAKLAVTLVRLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIVVKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHTRVVDIMRKAGHLHLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSITLSKKDKVYKDAMETASQSGDLVTFYLIVQGKKECFASCLFVCYDLIRADVALELAWLNNMIDFAFPYLLQFIREYTGKVDELIKDKIEAVKETKAKENEEQDVIKQQVFACFSLNMYAQLLPLALPAPPGMGGGYGQPGPPPPMGGMGMPPMPPFGMPQMGSY >KVI04843 pep supercontig:CcrdV1:scaffold_96:247508:250677:1 gene:Ccrd_016830 transcript:KVI04843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-heme dioxygenase N-terminal domain-containing protein MASSAQNHQQHFPATSAPPPTPSITSTSTSTSTSDSAVTDLLSRLLHRLPPTLSDTPILLRRRSLLAATTTSPLVISFPDLNSSLSSTLTSVSESGFFQLTNHSIPSELARSAESDSLSLFNLPRHQKHQHFPRSWPLGFDADDEDDTGAGESLFLDSFCSTDSSELSLNSLREFTQEMEKIGLAVVEALSCAMGLQNPARYDPSSVCSLMWISDSSSSPGDTMAGSGKLYPYVVGLHYQIRSQKCSLLADSGSVSISTQMDSILVTLGDIAQVWSNGKLSKVKGKPILSMEESTSVTMSLLVTLPVESTVSVLSRVLTRGHGDTDTECNVNQNQDHYGETSEESKFSSFSFEDYAWNVYHDRLSLKDSLHRYRIQTQL >KVI04838 pep supercontig:CcrdV1:scaffold_96:356935:358782:1 gene:Ccrd_016837 transcript:KVI04838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A MEAMNVVLLLLFPLFFTITIQSSPKPSLSLPRFVNSLRQNSSNETIDVPAPEYFEVTLPLPTDDATPACSVNVLSDSFGNTSATTGINVCYTPPNATCEWSHAVLHYQAEYKGDQYESIAGVWMDGVELLRTSTAQPTEDGVLWNVRKDVTRYSSIFTRENLTLSVKLENLGNGEFTGVYQVNVSLLFYSDKAVRFPVSVVSGESEAQPLNRKLISDKTRENKDKLELDRVLKSLYPYEKSADLIVPISGALDEGHWFRIHDESDVRTTNVQIPPTTYKAVLELYVSFHGGDEFWYLNPPDSYIQANHLPTGRTHGAYREVLVTIDGKLVGTVIPFPVIFAKGINPLFWEPVVSIGAFDLPSYDIDLTPFLGLLSDNKIHSIGLQVANGISYWLVDANLHLWLDDSNVQAIVKYEDPTMDIENEFEFEGLEGKFETEVERKTKATSWVQSSSGLQKTKVTQEIIFKNKLKIKDGGTTKQLEQKIKTTTKIKMTNGMGESIGKIQMEKIYPVMITIQSQPLADKNATLMTTSVVQRRSESFSNKRSSISRELKHKQNCTGSMLVNGNSVNGNSVIGGSVENHQSYEFTNEAGCYSRKVDVVDGVVIADETMLVCVD >KVI04849 pep supercontig:CcrdV1:scaffold_96:523202:534298:1 gene:Ccrd_016850 transcript:KVI04849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSCTASRVVTSGGGVAARSRTGHTWLGQLHRKLMQLQRQTQKSLAITCDPCWVPESRLPIYPRLQLPNSNQIQTTLSEYASHGPVPYVDKDLLLSGFPQLDSAQDTCGSAGEVSIETKPFKKFGSKLKHTPKNLLPYCPNKYVHKRFSQQYKATIRADFVTKELQIDDNIVTIQIWDTAGQERFQSLGVAFSMM >KVI04857 pep supercontig:CcrdV1:scaffold_96:406188:411570:-1 gene:Ccrd_016840 transcript:KVI04857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine acid phosphatase, eukaryotic MAKPVTIGFMLLVLYCVQFSSTAEETFDVRQHLSTVTRYEASKHIKDNAFVSSNIPDKCSPIHLNLVARHGTRAPTKKRMKDLETLSTRLKDIMQSAKERGSSLEKLPSWLREWSSPWKGKIKGGELISLGEDEMYHLGSRVRERFQELFSDEYHPDVYLIRATQIPRASASAVAFGMGMFSGRGNLGPGRHRAFAVLSESRASDIMLRFHDCCQNYKAFRKNQEPSVHKLKEPVLDEITRSLAARYELNLTIKDTSTLWFLCKQVITEASLLNVTNQACDLFSNDEVKLLEWTDDLEMFILKGYGNSLNYRMGVPLLEDVILSMEQAITAKEEGHAPGSYEKARLRFAHAETLVPFSCLIGLFLEGSEFDQIQREEPLKFPPKPPQKRTWRGSTVAPFGGNNVLVLYSCSANNTSKFFVQVLHNEKPIPMAGCGATDFCPFEVFKEKIGAAHLKHSYDHLCNAKLQQQSKPFMSKLIEIFSWIFTGKSNDNESQKTEL >KVI04851 pep supercontig:CcrdV1:scaffold_96:59839:66544:-1 gene:Ccrd_016822 transcript:KVI04851 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-like domain-containing protein MVELGQADTEVYAPKRTLQVWRTLLNWLAFFFQIFVQIIKATPSLINYSSSPSFEPLPVVELSESPQPPTPYAASTVHIPPAGADFDRSQKLTVVLDLDETLVCAYETSSLPAIVRNQAIEAGLKWFELECVSSDKECEGKPKINYVTVFERPGLHEFLTQLSKFADLVLFTAGLEGYAKPLVDRIDAENRFNRRLYRPSTSSTEFREHVKDLSCISTNFCRIVIVDNNPFSFLLQPLLEVLLPLLKQLSEQGDVRPVLYEKFHMPEWFDKHGIPTSGWATHG >KVI04856 pep supercontig:CcrdV1:scaffold_96:181571:184371:-1 gene:Ccrd_016828 transcript:KVI04856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MATWVLSECGLRPLPRLYPNPTTRTSTSSFPSSITIPANKIFRPNSLVTRGRSWAVKVSAPVGIQFVDEDEKRVDEPKVGESEFNPGAPPPFSLADIRAAIPKHCWVKDPWKSMSYVLRDVVVVFGLAAVAAYFNNMVVWPLYWLAQGTMFWALFVLGHDCGHGSFSNNAKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDTATRMLRFTLPFPMLAYPFYLWGRSPGKKGSHFHPGSDLFLPNEKKDVITSTVCWTAMAALLVGLSFVMGPLQVFKLYGIPYWGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLIEATEAAKPVLGKYYREPKKSWPLPLHLLGLLANSMKKDHYVSDEGDVVYYQTDSKLTGDSK >KVI04854 pep supercontig:CcrdV1:scaffold_96:178368:181439:1 gene:Ccrd_016827 transcript:KVI04854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MLAVSGSNLGCQYQISVLVMQKWQCPGIRNTELQTLRTRSLKKKSVTCTIHQPTEFSPVNKRGRDDRPLVKMCGITSAKDAALAAEAGADFIGMILWPNSKRSVSISTAKEISKVAREYGAKPVGVFVEDDSNSILRASDDADLEFVQLHGDGSRSAFSVLAKEKQIIYVLHANEDSHLLNHISDEDCSLVDWILVDSAKGGSGKGFNWSKFKLPPIRSKHGWLLAGGMKPENVSEALSTLKPDGVDVSSGICASDGIQKDKSCICSFMTAVNSFHY >KVI04839 pep supercontig:CcrdV1:scaffold_96:313421:313909:-1 gene:Ccrd_016834 transcript:KVI04839 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MRSQEARSSSSCAACKFMKRRCTPHCLFAPYFRADEPNKFAKVHKVFGASNVTKILNEVPEPQRQDAVNSLVFEAEERLKDPVYGCIGAIASLQDKMFQLQHDLAVAKARLARCSSRASYASCSTTSPLMMLDGEFGLTSFDDFMVVDQGGLTTDFRQHPFP >KVI04837 pep supercontig:CcrdV1:scaffold_96:3482:5320:-1 gene:Ccrd_016817 transcript:KVI04837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MLSSKGDGNGNGGEGNTIPNLKKRSCGCVKDDFLPEESFKSWSNYGKALLQTKTRLKDRFLARSSDQLELNDMRARSQNEMKKTLNWFDLIWFGIGAVMGAGVFVLSGEAAHDLAGPALLLSYLISGFAALLSVLCYTEFAVELPVAGGSFAYLRVELGDFIAYIAAGNILLDYVVAGASVARSWTSYFATLCNHDPDDFRINITSLTKGFNHLDPIAVGISMIICVIASFSIKGSSRFNSIATITYMTLMVFILVAGATKANTSNFQPFSPFGLRGVLKASSVLFFAYVGFDGVATLGEETKNPGRDIPVGLVGSMMVVITIYSLLATVLCLMQPYNQINRDAPFSIAFQAVGMNWAKYIVALGALKGMTTVLLANIIGQARYFTHIARTHLAPPILAVIHKNYGTPMTATIVMTAANCLVAFFTSLDILANLLSIATLFIFSLVAIGLIVRRYYSTGVTSDSDRNKLIALLSLIISSAIGLSILWALNVNFWEGYVIMGGVWFFATLGLQLTVKQARKPKLWGVPLVPWLPSASVGANVFIMGSIDGASFLRFLVWTGLLLVYYFFIGLHASYDASKDDTKLVVNGGGGGGGVSATSEAVELRAISTRST >KVI04841 pep supercontig:CcrdV1:scaffold_96:273310:273715:-1 gene:Ccrd_016832 transcript:KVI04841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small hydrophilic plant seed protein, conserved site-containing protein MSSEQQRKELDDRARRGETVVPGGTGGKSLEAQQHLAEGRSRGGQTRRDQLGAEGYQEMGRKGGLSTGDQTGGGRGKTDEGIDVDESTG >KVI04833 pep supercontig:CcrdV1:scaffold_96:522869:524281:-1 gene:Ccrd_016849 transcript:KVI04833 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSSGFTERNTEMGLLNQTSNTSSLPMDYPRKRKTRSRRDAPKNVAATLAKWIEYNNIDSSDGKPKTRKAPAKGSKKGCMKGKGGPDNSRCNFRGVRQRTWGKWVAEIREPNRGRRLWLGTFGSAVEAALAYDEAARAMYGPSARLNLPNCRSMDDYYMLLANPTSSCDSTTTCDYSGGGAAHNSNVASDGFEESTQCGLQVEHEPVVVKEEPIEEKKDVGFCIGDGNYLQSFSGDEMIDMDELLGIVDQTDDFVSNSNHEPGSGNATDEPWFDNKPDPCDYSLDFLKPGRPEDCSFMLEELGLGLDPE >KVI04834 pep supercontig:CcrdV1:scaffold_96:502067:509398:-1 gene:Ccrd_016848 transcript:KVI04834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal MKRKYYNLHQSTHQFNPQPFEAYWCGSWIPVERLRIRNGVVSMFIINKGDTTEETIPMSDLRITSRKATLMDCTCFLRPGIDVCVHAPYQAAEEESNDKNLNPVWIDAKITSLERKPHEEDKCACEFYVSIYLKQGPAGMIKKAVNKETKLVHIDQISILQKLEGKPSENNQYRWNLSEDCASRRIFKLFTGKFSSDISWLIVASVFKQLVFDVRSVDNQIVYQIWDGDGEKSLPDSENHSSAINFRLENGISIPFLVPFSQTESQEEKTPNCGADEIASTTNYDLMGLRRSKRRNIQPERYMGDDDVSESEVDLSRVGLYRPNRSKFEEVPIVLSIQDDHSFKDENKLDYFRKIYKEEGYLGRQNDTSKSKEVRTEVPYIQDRGEQRYPPSTASQGHSSRPYIYADSYKAEISDDEGGEISDIWAKYFKMHGSSKIHKRKYKAPQSDFDGGWKGLPVTNKRGRKKRGSSGKESIYDARTSFRKSVCASVYRELMSRCMRNIDASINIEQPAVIDQWNKFQIGKSLEERENNEVPVKEEEDEDEEMTEEKELEMLWKEMELALATTYLMEESEGSHDLMQKSNTQEQKCRHDYRLNEQFGIICRLCGHVRSEIKDVSPAFLPGVVWTPSKETRAEDDAEQNKENVDTRLEIVCRPASSNMVVDGKENVWALIPNLRDKLRFHQKRAFEFLWRNLAGDIVPSEMEAASKRRGGCVISHTPGAGKTLLIISFLVSYLKLFPGSRPLVLAPKTTLYTWYKEIIKWEIPIPVYQIHGGQTYREQVLRNKLKLAPGLPRNQDVMHVLDCLEKIQKWLTSPSVLLMGYTSFLTLTREDSNYAHRQYMAKVLRQCPGILILDEGHNPRSTKSRLRKALMKVDTPLRVLLSGTLFQNNFGGSAENLPGLQCYTLMMKSTTIQQDILLKLQDQRPVYKGFPLELELLITLGSIHPWLIQTTACASQYFKEEELKALDRLKFDLKLGSKVRFVMSLVPRCLLRKEKVLIFCHNIAPINLFLETFDRFYGWKKGEEVLVLQGELELFERGRVMDKFEEPGGPSKVMLASINACAEGISLTAASRVILLDSEWNPSKSKQAIARAFRPGQDKVVYVYQLLATGTLEEEKHSRTTWKEWVSSMIFSEELVEDPSHWQAPKIEDELLREIVEEDRASLFHAIMKNEKASNMVVRGRE >KVI04840 pep supercontig:CcrdV1:scaffold_96:318953:326896:-1 gene:Ccrd_016835 transcript:KVI04840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial surface antigen (D15) MVKEDNPISQVDEDEDEKESSNGGEEEEEDDFDEDEDEDEDEEEEEQQLPVTSESRMRAERARMEGIFHRISSERVPLRVHDVLIKGNNKTKESLIEAEVEALKNATSVQELLQAATIANARLQKLDIFDSVNITLDSGPPELPGTSNVIVQVVESKNPLTGDIGIFTKPEAKSWSLEGSLKLKNLFGYGDLWDGSLSYGWDQTSEVSAGVSLPRFMRLLTPVMARVSLLSQDWLKFSSYKEQALGLSLGLLSTKNHDLAYNISWRTLTDPSQMASKSIRRQLGHGLLSHLKYTFKIDRRNSSLRPTRGFAFVSTSQLGGIFPDYRSLRFIRQEVDLRYALPLGFAGAALNFGVAGGVLFPWGNGFLNTPASLPDRFFLGGNSSPVCTLGGPTSLLGFKTRGLGPSEPKRQVKTNSEDTNSDTSERDFLGGDLAVTAFADLSFDLPLKVLRDSNIHAHAFACAGSLTKLTENAFQDFSFQKYRDSFRSSAGFGLIVPTKLFRMEVNYCYIVRQHEEDRAKTGVQFSFSSPL >KVI04828 pep supercontig:CcrdV1:scaffold_96:417310:419708:1 gene:Ccrd_016841 transcript:KVI04828 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALDYREVNAILMQRHVLVDGKVRTDKAYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGHKGIPYLNTYDGRTIRYPDPLIKANYTIKYDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGIIKNKEKHKGSFEIIHIQDATGQEFATRVGYVFTLGKGTKSWVTLPKGKGIKLSIIEEARKRRAMQAANAV >KVI04846 pep supercontig:CcrdV1:scaffold_96:401495:405479:1 gene:Ccrd_016839 transcript:KVI04846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MVFSGIAEVFSSITSHSSAPKSSTGSYASSELPPLSGPVRGFAFPGSRRKNNLRLSSSLQDFSVYSQLDPEEGNVNLGIERISNDPTQLHLLRENGGTSFSKEKSPPRPTARRKWVRAVAFLVGLLLFASIIYALQLLYSNWSKQSARFFVVLDCGSTGTRVFVYQASVKHQKDGNLPILLKSIPEDPHSRPTSESGRAYNRKETEPGFDKLVHNVSGLSQAINPLLGWAEKQIPKHAHKTTSLFLYATAGVRRLPTSDSDWLLNTAWSIMKNSSFMCQREWVKIISGTDEAYYGWIALNYHTHMLGARPKKETYGALDLGGSSLQVTFEGKDYANNETSLNLSIGPVVHHLNGYSLAGYGLNDAFDKSVVHLLKMSPQTTRTDIIEGKAVIRHPCLQSGYKEQYICSQCRNAFQDDQKKLGAEGKTGIPVQLIGAPKWEECSAVAKITVNSSEWSDKSPAIDCDLQPCALQDNLPQPYGQFYAMSGFYVVYRFFNLSADAPLDNVLEKGRQFCEKSWDVAKNSVPPQPFIEQYCFRAPYIVLLLREGLHIEDSQVNIGSGGITWTTGVALLEAVKATSTGGGFDDYKLFEIKFHPLSLSAILLVSLCLVACALSRLDNCISRYFRRMNLPFFRHRNTSGTSVLNISSPFNYRRWSPIISGEGRMKTPLSPVAHTQSRAFNLPLYPSSSGSNGNGNSNSNSNSMKHSISSSSLQLFDTSSTFYPSHPHRGQMRLQSRQRSQSREDLNSYSLPDTHLSPKV >KVI04827 pep supercontig:CcrdV1:scaffold_96:424748:427502:-1 gene:Ccrd_016842 transcript:KVI04827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin YATSRPRATQLCQILSVHVSPHPAAHHSHSLLIYAFIHLLLPIASHTHFISFPLFFYSYFLEIMLLRTPPTLSFLRGATVDDNSGRSMFSPSSVSKPSAIVCAAAKGGSSNHRPIAGVVFEPFEEVKKELNLVPSVPQQSIARQKYADESEASINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKEASEEEREHAEIFMEYQNKRGGKVKLQSILMPLSEFDHEEKGDALYATRNHDVQLTDFVESGFLGEQVEAIKKISEYVAQLRRVGKGHGVWHFDQMLLR >KVI04830 pep supercontig:CcrdV1:scaffold_96:484424:485047:-1 gene:Ccrd_016846 transcript:KVI04830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MSSSIQIQRIQDNHPTTTVNHHNHPFTTTTIWRLPSSVYLPEDLHHHHTHVVGYNQCSSAVIQSISAPVEAVWSLVRRFDNPQAYKHFLKSCQLILGDGLDVGSLREVQVVSGLPAASSMERLEILDDEQHVMSFSVVGGDHRLNNYRSVTTLHSSPTNGGTTVVVESYVVDVPPENTREETCVFVDTIVRCNLLSLKQIAQNLAHQ >KVI04832 pep supercontig:CcrdV1:scaffold_96:447701:452083:1 gene:Ccrd_016844 transcript:KVI04832 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MKPPPTSSSSSSSSTFILTRKPRLSPYLFTLLAFILFITILYGQDLGCILGQLDLDISPHRPPSSFTEKHYKKLPFSIGEKEDGCDVFSGKWVWDPLNRPLYQESECPYIQPQLTCQEHGRPDRDYHFNASLMLETLRNKRMMFVGDSLNRGQYVSMVCLLHSLIPDHAKSMETFGSLTVFTAKDYNATIEFYWAPFLLESNSDDAVVHRVSDRVVRRGSIYKHGKHWKGVDIMVFNTYLWWMTGLEFKILSIDWGAEPNGNCYNQTEMIADPNYWGSDCRKSIMGVIGEVFRKSKFPITFLNITQLSLYRKDAHTSIYKKQWSPLTPKQIANPTSYADCVHWCLPGLQDTWNELLFAKLFYT >KVI04831 pep supercontig:CcrdV1:scaffold_96:467869:474337:1 gene:Ccrd_016845 transcript:KVI04831 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MKLSSSTSILIRKARLSPYLFSLLAFTLFAIFLYSQDVGYLNLSINPNPSPSTTEKQYKKLPFSIGEKEDGCDVFSGKWVWDPVNRPLYQESECPYIQPQLTCQEHGRPDRDYQFWRWQPHGCSLPRFNASLMLETLRNKRMMFVGDSLNRGQYVSMVCLLHSLIPDHAKSMKTFGSLTVFTAKDYNATIEFYWAPFLLESNSDDAVVHRISDRVVRKGSINKHGKHWKGVDIIVLNTYLWWMTGADFKILHGSFDDEVKEIVEVSMEDAYRMAMKSMLRWARQYMDPTTTRVFFTSMSPSHEKSTNWEGMPNGNCYNQTEVIEDPNYWGPDCKKSIMEVIEDVFKKSKFPLTFLNITQLLLYRKDAHTSIYKKQWTPLTPEQKVNPVTYADCMHWCLPGLQDTWNELLFAKLFYP >KVI04852 pep supercontig:CcrdV1:scaffold_96:100767:103801:-1 gene:Ccrd_016825 transcript:KVI04852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MDRITTKITPSKCQTSILFLFIAPPFSNTPYSQSHLKLFLSTILNLAMNCPADWPEPIVRVQSLSETGNLMIPDRYIKPLSQRPSFNTRSDSLNIPLIDLFGLIHGDTATKKAVMDQISVACREWGFFQVVNHGMSHELVEEAREVWREFFHQPMEVKQEYANSPKTYEGYGSRLGLQKGAILDWSDYYFLHYLPSNLKDHKKWPSHPPFLRDVIEDYSQEIVRLGKLLLKVFSTNLGLEENHLEKAFGGDNNIGACLRVNFYPKCPQPDLTLGLSSHSDPGGMTFLLPDEHVSGLQVRKAGEWITVKPARHAIIVNIGDQIQVLTNAMYKSVEHRVIVNPDKERVSLAYFLNPKSDVLIGPATKLVTSKTPALYQPMTFDEYRLFIRMRGPQGKSQVESLKSPR >KVI04826 pep supercontig:CcrdV1:scaffold_96:433313:441487:1 gene:Ccrd_016843 transcript:KVI04826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRSFLLYILSLPILYRFGAIHSVSDICEFSITLETKLYSYSLASPSQTFPHGVLSEDGYYKVSSNGTVIWFQLCDGMIFNHDPPRCYDCWGCGESSRCGMGCSALMSTNKLDKNSPDRGVVVKMWHQGSDMNCSLSVSVICDSKQVQGPKTLERVGGLCNFLVALTFCLPMAMDGAGSAPSSSHFCVSLEVI >KVI07197 pep supercontig:CcrdV1:scaffold_960:30791:32991:1 gene:Ccrd_014432 transcript:KVI07197 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MDLSNLKHLRFFGLRLTSLLSKTFFLIAIAFIFRTLLLPKSFEFGGIKLHEQGLSGMKSGNLRMKFLEVPQIVWGLNNQKIAFARACLTARLLNRTLLMPSLSASLFYKEIDQLEPISFDKVFQFEKFNSLCKGFVQLGRYSDLHNQSDIIVVQKGSGRRWTVKRDLDQLKELNKNTVDEPETVRIVGKNPFLWHDHWPVKDYAKVFKCLVLVDEISKESDKVVAKIRELGSGSNTVSSNDRVPYIAVHMRIEKDWMIHCKKREQRSNVSDICSSKDEITTRVSKIPGLKTPMVVYVAVADALLEDGSILDGWSQGLQPVEKKKLGVVEIYKKHPYLIQSAIDYEVCLRADVFVGNSYSTFSSLLVLERTVRSGGCGTSDHVRSYAYNLKGEHGGPRPWMTSLSDSSLEAISYGSNNISC >KVI07208 pep supercontig:CcrdV1:scaffold_960:139315:142338:-1 gene:Ccrd_014444 transcript:KVI07208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MHEKGKSMFKFTISLLLKLSVFCLIATNPVSALTENICESASNPEACKKAIERLKKDKEVDPNNTIRKVINFGLQRGLILMANMTHWVRHRNLRANEHAAVKGCLDAIDDGVDDLRKTLKEVDELEDAQKIQNMQDFMFHTHNIKTWLQTAVNSLQSCLDDDFAMPGLEGEVKQDVLKRLPDLIIATRLAVNSRFSLRQLSQPVCTIVAAVVPRETDTTGKDSLICIRRGGASSGLIKLQTIEFVYTVASCGHECSPKVDREVHKVNQTMKLN >KVI07196 pep supercontig:CcrdV1:scaffold_960:87151:87861:1 gene:Ccrd_014439 transcript:KVI07196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPDFPTGTAKSPRTLQGPRPAPLRVRKDSHKIRKPPVAPPPQQHHHHPQQIQPQHRPPVIIYTVSPKVIHTNPNEFMTLVQRLTGPPASSPIASSFSAFHDDGGAVSPAARFASLQKAENSPDGRKVPQNGDVAVVEGVEIATGLEKSGYFPGILSPGPASLPPIPPNFFSPLANDQNPLSFFPDLSPVFHNTRNYNYLEGNSSNSFIMPSPSNLLSPYLISPNTLNLFSTLFD >KVI07205 pep supercontig:CcrdV1:scaffold_960:133603:135578:-1 gene:Ccrd_014443 transcript:KVI07205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKIFVSFLTLIIVLLCLFFVTMQALESSDGGYINRWCQTTPHPDNCKHSLGRGEPRYRADFRIMALEAALKLAHHTQSFAGELDKYGRSKRKKAVWEDCCKLVNNTILQLNQTLMGLKNSKISDFDAQTWLSASLTNLQTCFSGSGELNLTTFVSPIRSSGLTEMISNSLAINQVFLKQTETNSDETEDFPRWVTQKDRKLLQTESIHKRANVIVSQAKGSKFRTIQSALDHASSIKRGNGRFIIYIRRGVYRENLEIGNDLKNIMFLGDGLRYTIITGDRSVGGGFTTYSSATVGVDGTGFIARGITFRNTAGPAKAQAVALRSASDLSVFYACSFEGYQDTLFVLAQRQFYKLCYIYGTVDFIFGNAAVVFQNCMILARKPLNGQANMITAQGRGDPFQNTGISIHNSRVMAAPDLKPVVGSVQTFLGRPWQEYSRTVFMKTFIDKHVNPKGWSPWGNTDFAFSTLYYGEYGCFGPGAATKNRVKWPGYHIITSPREASQFTVERLISGRAWLRATEVPFITGL >KVI07206 pep supercontig:CcrdV1:scaffold_960:115094:118326:-1 gene:Ccrd_014442 transcript:KVI07206 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDTFSHVPPGFRFHPTDEELVDYYLRKKINSRRIDLDVIRDIDLYKIEPWDLQELCRLGTEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIYSKNDLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGTTTQEEGWVVCRVFKKRLPTMRRASEHESPIWYDDQVSFMPDIDSPNQNNSYSHLANYNYQYPYGSCKKELDQLQNYQITPDHFLQLPLLESPKLLPTCNSTMPIYGININQAGSINYQPSLLTQDQNNNNIHQNQDQNVNNQVTDWRVLDKFVASQLLSHGDVSTKENHYANIEDNSPIFHSHDDHDTSNSELITHLNKQEHERSTVPEDASNSISTCHIDLWK >KVI07198 pep supercontig:CcrdV1:scaffold_960:36927:41281:-1 gene:Ccrd_014433 transcript:KVI07198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGQATIGEEEYTPFDFNFFLVVYSFGNSLQQLDKDRSVDELEIFLEAVNGEGFSNKTSEALVVFTVLLKQTIGAQHLLTGVEQIDYLCCLLFMKLKIPAGLENSDMKDKLSPTNGQPRLPGMPSISFGKALARSKARVRISSSRTNPTPITIAMLTSISLVPSDSLYNVAVSRHFQVDNISPSYARNIHLEHKNQGKEPKNNVLAILSGPYSQPSSPKVLPSPLPAMVHRASL >KVI07202 pep supercontig:CcrdV1:scaffold_960:57675:60787:-1 gene:Ccrd_014437 transcript:KVI07202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSPKGILIPIPVLVLSAAAAAVFLFFLLSSSSPTSCSCPTTASPAVVQTTGHQQRILTTEEDVEWVKSQIELNGLHMQDNVLRKGINPRTRAQQLQDLIQYKGISHYEQEEAKNHTAFPCPGELLVEEHHSNYGEPWAGGRDVFEFLAASSRLIPESRVLEIGCGTLRVGLHFIRYLMPEHFHCLERDELSLMAAFRYELPAQGLLYKRPLIMKGEDMDFVRFGSGFMYDLVYASAVFLHMPDKLVWVGLERLVSQLKPLDGRIFVSHNIKFCSRLGGDECTKRLKSLGLEYMGKHTHDSLLFNHYEIWFEFRRFIA >KVI07195 pep supercontig:CcrdV1:scaffold_960:63619:76921:-1 gene:Ccrd_014438 transcript:KVI07195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain/VPS, 7-fold repeat-containing protein MTRKHTTPPMELDLDAFLQSHHASGSDDDNDHHHRSTVDELLLIDSDDSSPSSSPRSYLVSNDLSSPQSNSNSFDVNSFDSSRLTPSQLVTDTDRQTRQSKFRLISRIDSGDTPSVSRQLPPLFGVVRSNAKPGAALAAAAAASRSIPTPHAAAIKLRREKSSTESLDPKASIGSEISYKSGTGSEISHSDAKLVKEDLKLDNLETAPIESTENFQVSGEVIAEHESRNGWSLTGEHVPGEDMATDVPNASEVDGFEVDFGDDTLSSVAKPEIGSPLNNENRFMNKVGTRSVLDDGSDEHIGGNYSESAPITTSQSVERVFPLFHGRVNANEVEEDSTSVLSENNDFESRKLDEKDVEFGLEAEGDDATAQTDVSVDTDGIVLHPGIEKNDERTQGRSCSSLKPLQVAEELEKKHAFTGLHWEEGAAALPMKLEGLHRGSTVLGYFSTSNDNTITRTISSPAFRRDHGTPQSLAVHLNYIAVGMSRGVIVVVPSKYSPHCADNMDAKMLMLGLQGDRSYAPVTSMSFNQQGDLLFAGYADGHYTVWDVQRVSAAKIVTEHKAPVVHMLYLGMDSQVTRQFNIVSGDSKGVVKLIRFSPFSWLNRFSTSKTSTLLDESTSTVVCASPLLSEESFGGSSASTTAGTSGIGSMMGVVAGDSTLAEEGVVIFVTHQSALVAKVISNTPEVYAQLPKPDGVREGSMPYTAWKYISSSQGSAAETVQVKESETVPLLAIAWDCKMQVAKLVKSELKIYAKWTLDSSAIGIAWLDDQMLVVLTKAGQLCLYANDGTLIHETSFAVDGGKEDELIGYHTHFTNVFGNPEEAHHNCIAVRGASLYLLGPTHLVVSRLLPWKERIEVLRKGGDWMGAFNMAMMLYDGQAHGVFDLPRALGDVQKAIMPYLVELLLAYVDEVFSYISVALGNQLEKFEHLNDSKADSTSITSEIKEQYTRVGGVAVEFCVHIKRTNILFDEILSRFESVQQKETFLELLEPYILKDMLGSLPPEIMQALVEHYSTKGWLQRVEQCVLHMDISSLDFNQVVRLCQEHSLYGALIYLFNKGLDDFRTPLEELLLVFRNNNSENAASLGYRMLVYLKYCFSGFAFPPGHGALSPTRLPSLRMELIHFLLEDSNAPSSWGLASLSSRDAYKNLYHLLEMDTEATLDVLRYAFIDESPESDHLLHELAVEEDSTSRSQDLIQKTVDVLALVLETGKSISISAAQPDTNWPSKDDISHLLEFISYFVACGKAKVSSELLGQIFEYLTLEANILPNDGRKSVDFFKRREKEVLALLEVVPVTDWDDRHMLDMCEKAHFYQVCGFIHYSKHQYIAALDSYMKDVDEPVHAFSFINNLLRQQSDKRPDSLDAAVISRIPDLVQLSREGTFFLVLEHFCQEYQQILVELRSHPRSLFLYLKTVIEVHSMGALNFTSLKKGEPLCFPGRTVKNQTDRVHDFLERISEFPKLLRENPVHVTDEMTELYLELLCQYEPHSVLHFLETCESYRVDHCLRLCQEHGIIDAAAFLLERVGDVGSALSFTLSDLTDKFSMLDAAAQSVYDDAGVDHFNAVIKKKEVNDILYIVHTCVGLCQRNSSRLDLDESEALWFQLLDTFCEPLTNPCADKMDSEEKDQTGTCSESQRKQKGGEALRTKWKIRGSDKSAHILRKLFSIFIKEIVEGMIGYVRLPTVMMKLLSDNGTQEFGDFKATILGMLGTYDFERRILDTARSLIEDDTYYTMRLLKKGASHGHGPRSLGCCICNCLLTKDSSASGIRVYNCGHASHLHCELQGKEPSGCPICMPKKKGARSRNNPVPAENGLVSKPLSKHKSSQGTGAHLLHENDALDRQISRYEILTNLHKDKRMVQVDNMPHLRLAPPAVYHEKVKKGVAMPMLRGESSSGPATIEKTKIKDLKTKAARKRRAEDDIWILSAICHNINHRTKRKANKFALGFLSDHRRNAILKSRRFATRADSTNGAEPHYDYDLFTIGAGSGGVRASRFASNFGASVAVCELPFATISSDTTGGVEGA >KVI07204 pep supercontig:CcrdV1:scaffold_960:98372:102403:1 gene:Ccrd_014440 transcript:KVI07204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin HTKHAQTSIGEKVFFLRSCEEPSKPIEYRLQINSKMALFGLILYCLFYIGLLFNRLCFAGDPFANFELDFSYMTVSPLGVPQQVIAVNGNFPGPTLNVTTNYNVVVNVKNKLDESLLITWPGVEMRRASWQDGVLGTNCPIPAKWNWTYQFQVKDQIGSYYYVPSLSFQRAAGGFGGFIITNRKIISLPFNTPDGDIVITIGDWYTRSHKELRASLDDGKELGMPDGVLINGKGPFKYNSSVPDGIEHETVNVDPGKTYRIRVINVGVSTCLNFRIQSHNLLLAEAEGHYTSQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNQSEWQRVTGVAILHYSNAKGQASGPLPDPPNDVFDNSFALNQAMSIRMAYGEWTENSRGSYNRWDAIARSTTQVFPGGWTAILVYLDNVGAWNLRAVNLDRWYLGQETYMRIINPEDDGQKTESPPPDNVLFCGALSHFQKYNLLNIWPQKALSSAAFSIQEPLKLKSNFLVALAAVIYMMI >KVI07200 pep supercontig:CcrdV1:scaffold_960:45505:46734:1 gene:Ccrd_014435 transcript:KVI07200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MVREKMKELYLSVPSFFKCPISMDVMKSPVSLCTGVTYDRTSIQNWLDSGHNTCPATMQVLHSTDVVPNLTLRRLIRVWSDSSLLCPESHAIFNNPIAIDYITKLISNGETENLSLGALLRIVELAKLSEEGRESLANLDGFVPMMVRILKHSDEVEVVELVVTALDLILSIKGVKDRLKKFNLDDAFFSPFNIVLQKGSLDARIRAARVLESLAVFDNESRRVIADQKGLLNELYHFTNTLTDWTAIDVGLAALIAISTSRQVKKELVRLGIVRTAARILSDSENPVGMMEKAMKVLQMVSTCREGRTAISEDEKCVTAVVQRLIKVSTATTELGIVMIWSVCYMWRDRSALEAAMRNNGLTKVLLVMQSNCSGSIRQMCGDLVKLFRVNSDSCLASYETRTTHITPY >KVI07199 pep supercontig:CcrdV1:scaffold_960:38006:40115:1 gene:Ccrd_014434 transcript:KVI07199 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEVSMAMVMGVGLVLLLLILTRALLRASAFPKEMDGIPGSLGWPFVGESLSFISEFSSPAGIFSFMNKRQQRYGKVFKSYVLGRYMVFTTGREASKMLLTGKDGMVSLNLFYTGQKVLGPNSLLQQNGEDHKRLRRLIAEPLSIDGLKKYFQFINTLAVETFDKWPGQKVLVLEEASTFTLKVIGNMIMSLEPTGEEQEKFRDNFKIISSSFASLPFNVPGTAFYRGMKARDRMFEMLDTIIARRRNGNDFQQDFLGSLIKKHSKEGKDDDEKLTDAQMKDNILTLLIAGHDTTTAALTWLIKFLEENPAALDKLREEHMEIRSKRKLESGLTWSEVNNMPYTAKVVSETLRRATILPWYSRKAAQDFEINGYSIKKGWSVNLDVVSIHHDPQVFADPHKFDPSRFNDHLRPFSFLGFGNGPRMCPGMNLAKLEISIFIHHLVCRYKWKALEKDDSVQPTLVRMPKNKYPIFVELL >KVI07207 pep supercontig:CcrdV1:scaffold_960:144258:145349:-1 gene:Ccrd_014445 transcript:KVI07207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF1442 MGYWSAENATEAYIKTMKMSKRAQEPDVAEFISAIAAGNNAQLMVVACAATAGSTTLGLIAASHQTGGRLICIVKGIEELQSSKQALNSDANQVEFVVGNAQSLLSNDYKSADLVVIDCNLENHEGILGAIQGNKREKSTIVLGYNAFWKDSWVWSRSNSHLLPIGEGLLLMRIAGKSENSGSKNGGHGGNGGGRRSNWVVKVDKCTGEEHVFRIKSPGGRVVKA >KVI07201 pep supercontig:CcrdV1:scaffold_960:51072:52262:1 gene:Ccrd_014436 transcript:KVI07201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier domain-containing protein MEKPNSLIPSISPHSSADFNSFFIHVNTQILSSSSSSSSLRHPSTNLKFASTSISLETSQSQFDKTPSNRNSLNSTARGRGSHKTITLFKTLSVFERALIGAGAGGIAGAFTYVCLHPLDTIKTKLQTKGASKIYENTFDAIVKTFQSKGILGFYSGVSAVIVGSTASSAIYFGTCEFGKSVLSKLPNYPPVLIPPTAGAMGNLISSAIMVPKELITQRMQTGAKGRSWEVLLRILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLIKTKQANLEPLQSVCCGALAGGISASLTTPLDVVKTRLMTQVHSEAVEKIAFSVSETVKQILKEEGWVGFTRGMGPRVVHSACFSAIGYFAFETARLTILHEYLRRKELEDIVVPSGITSST >KVI07203 pep supercontig:CcrdV1:scaffold_960:109914:118370:1 gene:Ccrd_014441 transcript:KVI07203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHSEYGPLPFRHKNCPTVAYGCMIHQLYFFRLHLDLCSLLDWVAKDLIRSGSDMTPDMQEETGDESKTRRLRLSDLGN >KVF05111 pep supercontig:CcrdV1:scaffold_9611:1539:2117:-1 gene:Ccrd_026866 transcript:KVF05111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MGLIAREFKAEVEALSTAQHKNLVYLQGYCVHDGSQLLIYSYMENGSLDYWLHEKTDGASKLDWPTKLKIAQGASCGLAYIHQVCEPHIVHRDIKSSNILLDEQFEAYVADFGLSRLIQPYNTHVTTELVGTLGYIPPEYSQSWIATLRGDIYSFGVVMLELLTGKRPMEVFRPKASRELVVWVQQLRREGK >KVI07901 pep supercontig:CcrdV1:scaffold_9616:296:5131:1 gene:Ccrd_013734 transcript:KVI07901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol transfer protein MVLIKEFRIVMPLSLEEVAQMYMVMKMQQQNTSSTEGVDVLENRNFEDGLFGKGRYTSKVYRLQRLLLGLVVLRQPMLSRCKRKLGTHTRDAKQCPYFTSFTLTIETIHRADNGHSENVHGLNKQQLADREVEIIDIASTSDDYWSYVIGSNTVDFSKFQSSRTARGPLLEGWQDHCKPVMTAYKLVTVDAPYWGFGSRLEQALIAGERALFLESHRNCFAWIDEWHGMTVKMMCDIEKQSIPLSHEESLSSPF >KVI12057 pep supercontig:CcrdV1:scaffold_962:66589:69826:1 gene:Ccrd_009520 transcript:KVI12057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMKQADKKRNLTNNETKRSTRVVSRENKLQQEKPTKTLRAKEADKKASSARVNSSTVVSDIKKSAKPSEVYEKLVEAASRNSKGLQVANQESRYTYNKPSTDLNKEPNDGREESDSETVTDSVSCSGDSRATEDDLHNLQINTSSNISKKTKRYTKESPSSIAKYSVGNNSKGMKVHPKPSSTPSSISSEGVDNQDSGESKEVDILDEASNGIQSVGSDGEILHTEENSHHEAKEALYRKITQMKMRIEKLEGELSEVAALEMSLYSVVQEHCSSSHKVHTPAQRLSRLYIHAGKHFCKGKHASIARNTVSGLVLISKSCGNDVPRLTFWWSNIIVLREMVTQAFGSSCQLSSSTTESNKLDFMEFNDEWQETRTFTSKLEKVESGIFVRIVESIWWQTLMPYMQSLAAEKNTNKTIGKLLGPTLGIQQQSNFSINLWQNAFHNAFKRLCPVRAEGHKCGCLPVLARMVMEQCVARLDVAMFNAILHEPAHEIPTGPVSDPITESRVLPIPAGDLSFGSGAQLKKAVGNWSRWLTDKFSMDADDDDELGETRLGELKCFHLLDSLSGLLMVPKDMLLDRSIRAEVCPSISLSLLTRILSNFTPDEFCPDSVPNTVLEALDAESMTERRLSKDNSSSFPYAAATIIYNKPPSCMEKVSGLSIRESVIQTKEYMSDEEIEEYIIENARAVNGNARYDLLREVWSP >KVI12058 pep supercontig:CcrdV1:scaffold_962:74443:77581:1 gene:Ccrd_009521 transcript:KVI12058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFKLGFLCFLLLFLGFNFPATASRYIKKGKFQVLKLEWDFTSKVIMRKIKLRNFTCNVIVREIDDAHYRLRVASPLASQIPFGKDPKRLFCETSKETNFVRELPILEGKTPVRLFSERSTTVKPFKSTMELGISP >KVI12064 pep supercontig:CcrdV1:scaffold_962:119847:125743:-1 gene:Ccrd_009527 transcript:KVI12064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANCSTAPTIQWNWVIEALANFEQVDTSTLIGLVKIAPAISGDLGKDAREVVSMRILESLFVHGNEAIVDGDSAQSTKISFDPSERCEHVLQQILNETPEPPAKLEKEKWDVLPFLMHKRSNLPKCMLKKLKEAILESSHPLLASLKERSRVITNVSENTSPGIDGNSNVQASVKDDLAFLNPRKDKNKFQEKVLQGADQVEKTAGGTAQHTGREECEMVIEPPLGGAAGKKMMDHDAHAASEALLHSCDVANAQHDQKQLHCNDDNLPQDTHREGHDEGVPVDSMENLEFVVKYKVPKNSTQPNALDGEPSSIAKNFMAHDGRLETSTDSERADDENTDIAAKKEAFLNSQCTLNQDSLAMTELSEINLCMKCNEGGQLLVCSSDACPLRVHESCLGSAVTLDENGEFFCPFCAYSRAISKYLKAKRKASLARKNLQAFSSFTVNSKPNKSCIKHSELEINEGREMGAFGETTNGNGNGDTVSRADNINRMSIKEDSTRADPLMPIVNDDPSCGEEEAVIASADNSVLPDLDKGVSVADQSMTEAEEVRQNVGEGCESPTRMEEHQLSPQAITDCGLKTPSFDGNEIDLVIGECADMQHIQTGCLTQQTTDLPQNPIPQPSTPKQKCKEKESHRSVESSCSRRLRKRKVQHTSSAVPLSRRKILPWTKSEEETLKEGVQRYSSVNNKGIPWKEILDFGCNVFHKGRTPIDLKDKWRNVPTNGCKTDEAGLIVIYR >KVI12054 pep supercontig:CcrdV1:scaffold_962:22258:28818:1 gene:Ccrd_009518 transcript:KVI12054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon MAWEVILWVIFFIMNTALIASNLYQIVCLTDLEADYMNPYDSSSRINAVIIPEMVLHGVCCALFLVTGHWFMFLLTLPIAIYSTMLYSKKRHLIDVTEVFRFIDAEKKYRIAKLAFYLILFVLVIIRMVIAIVNNLIDDEEERVPGFGIF >KVI12062 pep supercontig:CcrdV1:scaffold_962:114152:114739:-1 gene:Ccrd_009525 transcript:KVI12062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MASSYKKYQIRARNPNPKACVLLVIDMQNYFSAIAKPILPSITATIDACRRASVPVIFTRHCHKSPADYGMLGEWWNNDLIMDGTPESMLMAELGRREEEVVVEKNTYSAFRGTQLEERLRDMGVEEVIVTGVMTNLCCETTAREAFVRGFRVFFSTDGTATSSEDLHQATLKNMGYGFAYLVDCKTLLHALISS >KVI12060 pep supercontig:CcrdV1:scaffold_962:98023:102988:1 gene:Ccrd_009523 transcript:KVI12060 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain-containing protein MGKRKKTAIHEENIEEDEQKQQNNRGESSSSSDDKSLYEILGVERTASQQEIKKAYHKLALRLHPDKNPGDEDAKEKFQQLQKVISILGDEEKRALYDQTGCVDDADLAGDVVQNLKDFFRTMYKKVTEADIDEFEANYRGSESEKTDLIDLYKKYKGHMNRLFCSMLCSDPKLDSHRFKDIIDEAISTGEVKSMKAYQKWAKQISETKPPTNPLQRRRKSKKESDDLYAIISQRQSERKGRLDAMFSSLVGKYGGDEPSSEPTEEEFEAAREKLKKRKGCKKSK >KVI12055 pep supercontig:CcrdV1:scaffold_962:3974:5998:-1 gene:Ccrd_009517 transcript:KVI12055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MDFAQFLLFFCSFTTFISLSSSLNSDGISLLALKAAVSADPTNSLANWIELESTPCHWAGISCNSDQLVTSIFLPNKSLTGYIPSELGAILSLRHLSLSGNNFSKPIPDHLFNAAGLLSVDLSHNSLTGPIPENVNTLASLTLLDLSFNLLNGSLPESLGNLTHFSGTLNLSHNRFTGEIPASYGQFQAIVSLDLGYNNLTGKIPEVRCLLNQGPNAFTGNPFLCGFPLQTQCTYPEAQNPRVLPNPDSKDPGSLTGLSSKQKGDSKRVTVPLISGVLVVISVISVSVWVYRKKWRFSDGKIREKENLEKGQVRVSLGTREEVQDGKFVVMDEGLGLELEDLLRASAYVVGKSSNGIVYKVVAGRGSGTAEGAVLAVRRLSEGEGKWKLKEFEREVETIGKVQHSNIVRLRAYYYTNDEKLLVSDFISNGSLYSALHDKDGIANPLVPLSWAARLKIAQGIARGLAHIHECSPRKYVHGGLRSSKILLNGDLEPFISGFGINRLMPVTRSKSHSRKHHVAQNAKFSGQKCDVYSFGIVLLEILTGRTPDSGDGNDGKDLECVVRKAFQERMMLSEIIDPSLLKEVQAKKLVVATFHIALSCTQLDPDARPKMRMVSDSLHRIKLP >KVI12053 pep supercontig:CcrdV1:scaffold_962:57224:60473:1 gene:Ccrd_009519 transcript:KVI12053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MHRKVTLFSDVLIKHGFYPVSFQFIRQLASSPFLRELPTNLQTLCSNGHLNYALTDMAKLGLQMNFLAYDTLLNECVKQKSIRGGQRVHAHMIKTQYRPPLYLGTRLLVLYNKCDCLWDARQVFDEMPDRNVVSWTAMMSAYSKKGYASQVLNLLVVMLRSGTEPNEYTFATVLTCCTGVCGLEHGKQVHNLIIKNNFESHLFVGCSLLDMYAKAGRIHEARSVFEDLPERDVVSCTAIISGYAQLGLDEDALELFRSFQREGMASNYVTYASVLTAVSGLAAYEMGKQIHSHVLRSELPHGEMENRGLEVFDEMMSGKDGVVPDIEHYGCVVDLLGRAGQVEKAYEFIKQMPLEPNAAIWGSLLGACLVHSNVDIGEIVGHRLLEIEPENSGNYVILSNLFASRGRWDDVRTIRSLMKEKAVAKDPGKSWIEVDQTLHTFHAGDRLHPKMEEVHDKMNELSIKVKENGYSPDLSRVLYDVDDEQKEKILLGHSEKLALAFGLISSPEGKPIRIMKNLRVCVDCHSFAKVVSQICQREVFMRDKNRFHHIVGGVCSCGDYW >KVI12059 pep supercontig:CcrdV1:scaffold_962:75457:78264:-1 gene:Ccrd_009522 transcript:KVI12059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MPMASYCTLSLSLTFLFSSLLISTINASSEPDILLTFKSAIDDPMNSLSSWSNATTTHHCNWTGVACTTAATVSSLTLQNLNLSGEISPSICQLSDIITLNLADNFFNQPIPLHLSQCSSLNTLNLSNNLIWGTIPDQISEFKSLMFLDLSKNHVEGKIPDGVGSLLNLQVLNLGNNLLSGSVPLVLGNFTDLIVLDLSLNPFMESEIPSDIGKLLKLEQVLLQRSGFYGEIPSSIVDLKGLTVVDLSENNLTGVLPSRIGSSLTKLVSFDVSQNNLFGSFPNGICEANGLATLSLHTNNFNGTLPNTSIANCLNLERLELQNNGFHGDFPNNLWSLPKIKLIRAENNRFSGEIPDSVSMASQLEQVQIDNNSFISKIPHGLGLVKSLYRFSASLNGLYGELPPNFCDSPVMSIINFSHNYIAGEIPELKQCKKLVSLSLADNNFVGEIPVSLGDLPVLTYLDLSHNNLTGEIPLELQNLKLALFNVSFNRLSGRVPSSLIAGLPALYIQGNPELCGPGLSNSCSNEGSNRRIAGISKLACALISLALLAGLLSLAFGFYVIRKASKRKSETGIWRSVFFYPLRVTEQDLIIAMDEKASRGSSGAFGRVYIVNLPSNELVAVKKIPTFGNQSFKTLRTEVKTLAKIRHKNQCHLSAEFGYNKKATEQMDTYGFGVILLELVTGRAAEQIDSSEETLDVVKWVRRKVNISNGAVQVLDPKLSSSCQQDALGMLEIGLQCTSVMPEKRPSMFEAVAALQSLGSKPRLTSLDLSASSHSVPV >KVI12063 pep supercontig:CcrdV1:scaffold_962:115636:118407:1 gene:Ccrd_009526 transcript:KVI12063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthase, active site-containing protein MLTAMNATSVKLDANPITSPLRSFDGTGRNYSTTAFRQTFFHHHRRNASISCSASPIVSVDEQRGETPTRIGSLAQVSGVLGCQWGDEGKGKLVDILAKHFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTMCVIGNGVVVHLPGLFKEIDGLESNGISCKGRILVSDRAHLLFDYHQEIDGLREAELAKSFIGTTKRGIGPCYSSKVIRNGIRVSDLRHMDTFPEKLHLLLSDAASRFKGFNYTPEILREEVEKYKRFAERLEPFICDTVYYMNESISQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVLGDVLGVVKAYTTRVGSGPFPTEILGNGGDLLRLAGQEFGTTTGRPRRCGWLDIVALKYVCQINGFSALNLTKLDVLSDLREIKLGVSYKHTDGTTISSFPADLSDLEQSKASSIFFLFFSLSFVCIYRVEYETLPGWQADISNIRNYSDLPKAARQYVERIEELVGVPIHYIGIGPGRDALIYK >KVI12056 pep supercontig:CcrdV1:scaffold_962:163126:164014:1 gene:Ccrd_009528 transcript:KVI12056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIISPSVGLGKTIKNFVDADHPDLLRFPFLDQTYSIPKHFVFKEIGSQTFLNSEGNLRHKSHQHPLILVATQCNDITKPTSSNIKPLSCHNPMKKVELLCNGCLKPIMAMPFYKCLN >KVI12061 pep supercontig:CcrdV1:scaffold_962:102658:105326:-1 gene:Ccrd_009524 transcript:KVI12061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MDALHRHNLDLKNPCPISSIYTKTPQTPTSILSYKYRFSCSNFSGKSKKANPVESNKKLVHSIAKGLIGFAAAVSVCLDSPALAESLTIAFPASRTHEVNAVQRTLVETWGLIRETFVDPTFNHQGRICLHVFLFSFHYTTGLSELPTLKKIKMENRLFGYKFFDEERHVFADWDSQLQQTMVEMLPLRTADAAYSKIRGMLSTLGDPFTRIISPKEYQSFRIGSDGNVQGVGLFVNTEPKNGHLIVLSCVEGSPADRAGIHVGDELVEINGERLDGVSGEAAAQKLRGHVGTSVTVKVHNGKKLAIDSSFREVKLPREFIKLSPISSAIIPHRTSDGHVSKTGYVKLLAFSQTAAADMKHAIHELENQGVESYILDLRNNPASTQKLTFLDISSDGGLVKAGLDVAQIWLDGDETLVNTIDRDGNMLPINMINGHALTHDPLVVLVNEGSASASEILAGALHDNGRAKLIGNRTFGKGKIQSVTELNDGSALFITVAKYLSPALHDIDQVGIAPDVQCTTEMLKDSGVMRKKSEGSSSLEGDSCILVAEHELDHQGSAS >KVH96714 pep supercontig:CcrdV1:scaffold_9620:4335:4697:1 gene:Ccrd_001195 transcript:KVH96714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MAFNHLTTFLIIILLSVNLFDESFGEKGQKTVGNWLEITSPDDPMVIEVGKFAIQEHNKDSNSTLKFQEVIKGDTQIVGGMNWRLTIAVEDNGSLKNCEAFVYEQFLENVRKLISFKIIQ >KVH93704 pep supercontig:CcrdV1:scaffold_963:14197:16785:-1 gene:Ccrd_004243 transcript:KVH93704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAHFLHFRNSATKYPPTYRAGNQAISTAMAMEAYASKYPDKIHTDVLFDAREACYKARDAFYACLEKESNKKPTEIASVGLLYPRECKKTREAYDKGCRRTWVKHFDRQYCAKKRVQRLLDDNESRRGPISLPQASTFNSTD >KVH93703 pep supercontig:CcrdV1:scaffold_963:126493:126708:-1 gene:Ccrd_004245 transcript:KVH93703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSKEGSNSDSANDNNKGSSSNDNGDKRGGISGQIKAPNGGRSYISRAVFEGNPRGYVIGLRDASKGK >KVH93702 pep supercontig:CcrdV1:scaffold_963:79012:80304:-1 gene:Ccrd_004244 transcript:KVH93702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-dependent/calcium-dependent protein kinase MEMVKDGYNGILHGKYELGRQLGHGTFAKVYLARNLHSGKNAAMKVVGKEKVIKAGMMDQIKREISVMKMVRHPNIVELYEVMASKSKIYFAMELVRGGELFSKIEKGRLREDVARGYFQQLISAVDFCHSRGVYHRDLKPENLLLDEEGNLKVTDFGLSAFSDHLRQDGLLHTTCGTPAYVAPEVIGKKGYVGAKADIWSCGVILYVLLAGFLPFQDDNIVAMYRKIYRGDFRCPPWFSSDARRLITKLLDPNPDCRYTISKIMESSWFKKAAPKNPQFILEDDNVNLKGKETETLNAFHIISLSEGFDLSPLFEEKKREEKQEIRFATTKPAEVVVSKLEAMAKAMKFSVKKNGDGSSLRLQAHEMGRKGKLEIAADIWGVAPSLLVVVEVKKSSGDTLEYNQFCHKELRPALKDIVWTSPADQSPPV >KVF04043 pep supercontig:CcrdV1:scaffold_9630:316:1166:-1 gene:Ccrd_026867 transcript:KVF04043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLLNYRMVKRHDKDNIEDIVKVXDMRDVEEKVLRSLGWSHLVNFDFTKIQPTKSGSAVKGRVKMDYEFGIFXTWYVGKEMPNCVGDRRWEGSSISFTIPSSPCNLRGLNFFCVFRTGEFITNGEFITNGELVASITYIRISNITKMCTLIDDAPGWFKVGTEGITYLSHWMFGKNEMEDGDQITISTLAYYHGGITECGVSLVYDEDGDEDDGLG >KVF03498 pep supercontig:CcrdV1:scaffold_9638:4690:6921:1 gene:Ccrd_026868 transcript:KVF03498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase, catalytic domain-containing protein MGVQATKLIPGNTNDQNALALQYQLINNVSKHFFLRRLVSKKRRRLLVGGYDLDMSYITNQQDYDSSHFNGLVEKFPFDDNHVPPLPMIMEFCQNVHSWLSSDPKNIAVIHCMAGKGRTGLMVSSFLVYTGMLAEEALQVYADKRTTNNLGETSLPTATV >KVH92747 pep supercontig:CcrdV1:scaffold_964:69399:70460:-1 gene:Ccrd_005213 transcript:KVH92747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MLPYKSVAFLLIVPLFILSTYAIRSVPGTPSDFAPKNKLHGSKTSGYIEAEEYRNGEKCGELDTIHIAMTLDLKYFRGSIAAVHSILKHTSCPENVYFHFIASKSKIPKPEDLQTIIKTIFPSLEFIVYGFDDNLVKNLISNSIREALEDPLNYARIYLADIIDTSVSRVIYLDSDIIVVDDIQKLWSISLSESTTIGAPVYCHANFTKYFTDNFWNNPDHSRVFQGKKACYFNTGVMVMDLVKWRKGDYRNRIEKWMEIQKEERIYELGSLPPFLLVFGGEVTAIDHRWNQHGLGGNNVVHSCRSLHPGPISLLHWSGKGKPWVRLDGGKPCPVDYLWAPYDLFRHFREELR >KVH92743 pep supercontig:CcrdV1:scaffold_964:122110:133478:-1 gene:Ccrd_005217 transcript:KVH92743 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase, RsmD MAVSVIPTPLQWRPVGDDNVNGLFTHRSLISTPLPCRRLSTVVCSSSLKSPKSNEETRELLKQYGLDPDEFLSEPTPSKTRRNKEQQKSGRGKQVAPPQEQAKPRETHKLLQVLGGKARRKKLLSPKGMDVRPMMEVVKGAAFGILQAAGGCPTSLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSDVLRPNLEWTGFLDNSVIHTVRVETYLERAKESAGKDGPFDYISVTPPYTQVDYKILMNQITESSVIGDDSFMLVEYPFRTDMLETYGGLVKIEVGAFWLGYGRSTLQNQGVRKFKILVQLVFILTVVTLINLSIFAREMHYPGAKTTMIVISSSSSSSSSSSSSSISLNFQEFFSTITQVAIPPTARVGVSNSKGGAATRRHERLALNYVSKRRVPNGPDPIHNRKIGNATQPPERD >KVH92750 pep supercontig:CcrdV1:scaffold_964:48538:50619:-1 gene:Ccrd_005209 transcript:KVH92750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MALNRSWVLLIVVAGMERFVFKGIASNLVMYLTDVMKMSNSSAAKTVNSWYGFTSMLPLVVAPLVDSYWDHYSTIIASSFVYLLGILALISTTFNKRKPSSSSLFSSLYLISLGQGGYGPSLQAFGANLFKPHDELLTVKNDQDPDNKSRFFQWWYFGICSGSLLGVSIMPNIQDLAGWGIGFTVPAMIMVMSMILFWCGGQICSYNQDKSVEINVTSFRNIVKAIKCSMLKVVCSKSDEKPHIVDIELEENPLCLKDDVKSECSSENNNQRLRIMEVVVRLLPIWTTLLMFAVVFQQPATFFIKQGVTMKRNIGKSYNIPPATLQSAITISIILLMPFYDSIFIPFTRFILRNEKGITTMQRIGIGMFLSVIAMVFAATVESKRLESTRSRSGRLSIFCLLPQYILLGIXDIFTVVGMQEFFYGEVPAGMRTMGIAMCTSVFGVGSFVSALMVFLVERFTGSKEGKGKWLCDDMKEARLDKYYWMLACA >KVH92745 pep supercontig:CcrdV1:scaffold_964:98934:99336:-1 gene:Ccrd_005215 transcript:KVH92745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTNSQKELLSLIRDVSTEKSQGERRVVNLKKQIEERQSELDSVNLELEEAKRLKECTEQELKGYEVELSMNESSIQTLEGYR >KVH92746 pep supercontig:CcrdV1:scaffold_964:64133:67697:1 gene:Ccrd_005212 transcript:KVH92746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF760 MAAASHSLSAPFSGLTDHRIPHHKPPSYPCSHHLFIRPSLPYLSNASNSRRGPATSVICGVSDDFVSTQNSGSFYHEFSVIANMLKKIEPLDTSVISKGVSDFAKDSMKQMISTMLGLLPSDEFSVTVRVSKRPLVRLLASSLITGYTLWNAEYRILLMTNFETSSVDIRKRLNSGYCDDDEADQIKESEGLCDDGVGMENQSEELERFNFQNCSDDLSPEAMNYIQQLESDLSTAKKKTIQIGYARGSNNDLLKYLKSLDSEMVNELSKPSSSEVKEVLQQLVYCISRRVFKEDFASGLIGDSKVVQENYQNDDVNFSETRDYLVYAIGSSIKRLGEQVISELCSWFLVIIRW >KVH92749 pep supercontig:CcrdV1:scaffold_964:61118:63192:-1 gene:Ccrd_005211 transcript:KVH92749 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone reductase complex 1 MLRQ subunit LYISCQVRIRYLGLSVLSSFPFSATTFVSLLSSRLLCFPFSGVAQKVEKMGSSTLNRWLRPEVYPLFAAVGVAVGICGMQLIRNISSNPEVRVNKENRAAGVLDNFAEGEKYAEHSLRKFVRNKDPEIMPSINSFFADPK >KVH92748 pep supercontig:CcrdV1:scaffold_964:51703:58226:1 gene:Ccrd_005210 transcript:KVH92748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein MKNSEEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKSIPNLAQIFLIGFHEEREFALYKTNLMVQQVVFITLKISLWKIIRYGGMGTLLVIKVSAEAASEFGELVSDLINCGVYIFTPEIFNVIEDIHTHYEDRANLHTLSSSEALWSATKTPAKDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPAMSLKCSALYLAQFRHKSPNLLACGDGTKNPTVVGDVYIHPSAKVHTTAKIGPNVSISANVRVAAGVRLMSCIILDDAEIQENAFVMYAIVGWKSSLGKWSRVQGEGDYKTKLGITILGEAVTVEDEVVVVNSIVLPNKILNISVQEEIIL >KVH92742 pep supercontig:CcrdV1:scaffold_964:114493:116469:1 gene:Ccrd_005216 transcript:KVH92742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, catalytic domain-containing protein MVATNNFSKENAIISTRTGTTYKAILSDGSALAIKRLSACKLHERQFRAEMNALGELRHPNLTPLLGYCLVEEEKLLVYKYMSNGTLSSSLTKQLSLLDWPTRFRIGLGAARGLAWLHHGCRPPILHQNVSSNAIFLDEDYDARIVDFGLAKLMNTSSNHPGDSSYVNGDLGEFGYVAPEYSTTMVASLKGDTYGFGVVLLELATGQKPADVTGAEEGFKGNLVDWVNQLSGSGQIKNAIDKNLRGRGHDADIVQFLRIAGNCITSNPRSRWSMFQVYEALNTMAQELGLSEHHDEFPLLYGIHNDAI >KVH92744 pep supercontig:CcrdV1:scaffold_964:76200:90443:-1 gene:Ccrd_005214 transcript:KVH92744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase interacting YGQSHVPGDGVGEVAGGAGAGAGAGGGGGGGSGAGGGAGGGSSSQKLTTNDALTYLKEVKDMFHDQREKYDMFLDVMKDFKAQRYIKFVSHFVLFIQVAALFDDQPDLLDEFTRFLPDASAAATAHLASLGRHSYHRYDERSSAMATFKQPQMDKHRGRRDRIIASHAEQDLSVERRDIDEDDKTMKLQKEQRKRSEKESRDRRNCDQDYKETDLDTNRDMHRSEKRKSACKVEDFGVHSGLAPYGDKDALKSMYSQEFTFCEKVKDRLRNHDDYQAFLKCLHIYSTSIITRKELQSLVSDLLGKHPDLMEGFSAFLERCENIDGFLAGVMEKKSLLYDGHVSKSTRTEDKEREHRREIDAAKEDRYKEKYWAKSIQELDLSDCQRCTPSYRLLPDDYPIPSVSQRSELGTQVLNDLWVSVTSVLNLRCMERLYGDHGLDVMETLRRNPSAALPVMLTRLKQKQEEWTKCRADLNKVWADIYAKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIREIKGKSQKDDDVLNSIAAGSRHSVMPNLEFEYTDNDIHEDAFKLIRYSCEEICTSKEQLNKVLGLWTTFLEPILGVPSRPFNSGRFEDARGSIKNVGTSAADNNGSPGADGGTANTKQSKPSCNGDDCISPDRMHSRKHVMVNGDTSAKEDGFQAEKEVKNVGIKDSGSEIKKSAMVTRITDSGLGTDIVPCRTSMEPGNVTENGHEAKSNIDEIPVSQYGDIKRSVTVANGTFAEVGKVKRYNENSAEPSKAEKEEGELSPNVDFDEVNNPHYRFGGQEVRPDVGGEIEADADDEDSENVSEAGDEASGSESAADECSREERDEDGDRDDRAESEGEAEGIEDANFVSGDGMYLPPSEHFLRTAKPLAKCVASSSSAGGKRDYRVFYGNDAFYTLFRLHQVLYDRLLSAKLNSISAEARRRTAKDTCPPDLYSTFMTSLYNLLDGVSDNARFEDDCRAIFGNQSYVLFTLDKLIYKLVKQLYEYERSRKPEKFVDSVYYENAHVVLHDENIYRFQCSSGPSRLTIQLMDDGIEKPEVVAVSVDPNFAAYLDKDFLSVVTAKKEAGIMMQRSKRKYSGLDESSAQSLAMDGAHVISYVLDTEDFFFRERRKRRKLSGGRSSSSLSHTNVERFHRFLAASIAKL >KVH92752 pep supercontig:CcrdV1:scaffold_964:1370:5686:1 gene:Ccrd_005206 transcript:KVH92752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRFTRVNGFTNADLKVSFKRGNHGDGSDFDGPGRVLAHAFAPTNGRVDFDADENWSDGPGAVPNVMDYESVAVHEIGHLLGLRHSADPNASMYATIRSGVVKGLNSDDIQGIKVLYGLN >KVH92751 pep supercontig:CcrdV1:scaffold_964:18623:19365:-1 gene:Ccrd_005207 transcript:KVH92751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MLDACVVIFQAPRKPTLFKRRRQKRVFIGTQQSTAQNHGSRRPITTDLDNTSYSCFVCTNFPTATNIFHKTRSNNETEQRKKLQHSSGDSPKRNHDLHNPPDTILRLHLHSVHSFHLTQRERNHNHATDRNRNRNVMFLSVIAMVFAATVESKRLESTRSRSGRLSIFCLLPQYILLGISDIFTVDGMQEFFYGGDEDDGNRDVYKGKRKLDVSMYKCFKLHSIYCAL >KVH92740 pep supercontig:CcrdV1:scaffold_964:137802:144821:1 gene:Ccrd_005218 transcript:KVH92740 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1336 MGVCGSKAKGCVGVGLGHKKRGDVADGETTPKLQARTHGRRRRRRLGRKSKTDATNRFSSRNKVDPSAVTSNSMDRRSYRNPTFQGGIQNLGMILRLGLIPMGMKISTALKMNGSISASVTPRFSDHVNNATFSASDSLIKPSEVPPSSIDGASVVYDNGSQNFGILQNNCLPCLNCTTSTDVKSKSPCSSPPSAKKKVTSMLSFKWREGQSNLSKLSDSWSPLEPSTFKVRGHNYLRDKKKESAPNQAAFYPIGVDVFLSPRKIDHIARLLELPTIESSGKIPSLLVVNLQLSENYEELPLHFQENIRKMIDDEVERVRGFPVDTIAPCRERLKILGRITNLEDLHLSAAERKLMNAYNEKPGENYFEIDLDMHRFSYISRKGFGAFQERLKHCNLDFGLTIQGTKGEELPECILCCLHLKEIDYNNYSLLGL >KVH92741 pep supercontig:CcrdV1:scaffold_964:149686:151209:-1 gene:Ccrd_005219 transcript:KVH92741 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MNKSAMGDLLAQLGSILASIMFVWAIIQQYFPYNIRNFIDKYSQRFLTLFYPYIQITFNEFTGERFKRSEAYSAIETYLGTTSSMQAKRLKADVTKNNTQPLSLSMDDHEEVADNFNGVKVYWSSGKNILKTQSFSFYPTTDEKRYYKLTFHKRYRELMVRDYLNHVLKEGREIKVKNRLRKLYTNNGAQWSHVVFEHPASFQTLAMDPVKKQEIIDDLTTFSNAEEFYNRIGRAWKRGYLLFGPPGTGKSTMIAAMANFLSYDIYDLELTAVKDNTELRRLLIETSSKSIIVIEDIDCSMDLTGQRKKGKEEDEETTDPAKKAAKPPPSPENDSKASKVTLSGLLNFVDGLWSACGGDRIIVFTTNHIEKLDPALIRRGRMDKHIELSYCGFEAFRVLARNYLYLEDHVLFDEIRRLLEETQMTPADVAENLMPKTSPADVEACLRSLIGELEKVKREEKQKKIEEEEEERKKKDAPATVPAPETVKIDEIKEVKVIENGSNGSVV >KVF02582 pep supercontig:CcrdV1:scaffold_9644:2656:4780:-1 gene:Ccrd_026869 transcript:KVF02582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MAMENGSSPPSSSSHQNPSSTLFATSVSKMKHVILEFKKIGEDDPRRIVHSLKVALAITLVSMVYYLQPFYNGMGEAGMWAILTVAVVFEYTVGFATLIAGALGLGAESFASLFGTIVKPIVIGCLVFVLVACATFSRFSPAIKRRYDYGILLFILTFSMVAVTGYRVDKIMKFAHQRLSTIIFGGVTCIIVTICICPVWAGEDLHNLIVLNLEKLASFLEGFGGEYYRTFEGDRSFLTSYKSVSNSKLAEESLIGVSTRRCAYHIEALNGYLDAVEVPSEFQKTIQEPLMKVSSEVGKALKELGLSMKLMMHPSASAIHMENCKRAVDELNITLQASMIEKWDMVEMIAVIATVSTLSDVIKCVETISEDIEELSKQALFKKPKDIAIDQNGTFHDDKRDWTAITVSNMPGNVAEL >KVF02455 pep supercontig:CcrdV1:scaffold_9645:1842:2854:-1 gene:Ccrd_026870 transcript:KVF02455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein YLERIFKYANCSPSCFVVAYIYLDRFAKKQPLLPINSLNVHRLLVTSVLISIKFMDDMCYNNAYYAKVGGISTAEINLLEVDFLFGLGFQLNVTPNTFHDYCTYLQTEMRMMGFPPLCSAPQVPTIVADHCSINEDDCQSQHHHPQLAV >KVF02430 pep supercontig:CcrdV1:scaffold_9646:7400:7855:1 gene:Ccrd_026871 transcript:KVF02430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEHLHKCVYGILTCYAWLSTFQNTRNHDDETRRASSYHSYSQSPPYDYQYEELRYGKQAPALTKKPGSDRGMFCFMSTSRLSDHGQEDRFANEVPNARVSDFSVSSAGDPFRSDTQSPTCQRDFGFSSPKRDPDQVTNACIFLLLVLLEI >KVI06778 pep supercontig:CcrdV1:scaffold_965:119530:136014:-1 gene:Ccrd_014868 transcript:KVI06778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MAFLMTSTYSSAPILFNFKTKILKSIDLNSNQQPTPLNLGPVLPSPPSPSIRRRSFLLLCSSSSLLSEMESSASEGVYPLHRSKTIHLVRHAQGIHNVAGEKDHSAYLSEELFDAHLTTLGWQQVDNLRKHVHASGLSKSVELVVVSPLLRTMQTAVGAFGGEGSADGNDVPPLMAKNTGNSNRPAISSLNCPPFIAVELCREHLGVHPCDRRRSISEYKAMFPAIDFSLAKNDGDVLWTADTREKNEEVAARGVKFMKWLLTREEKEIVVVTHSGFLFHTLGAYGDDCHPTLKKEMSKHFNNCELRSVVIVDRSMIGSNPSKTDFPGKIPSGPDVPSDAV >KVI06777 pep supercontig:CcrdV1:scaffold_965:39217:57811:1 gene:Ccrd_014867 transcript:KVI06777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLILGYGVWGIGYQRVSAGIGYRVSGIGYRVSGIGYQMVINIDLIAATKKHLQFLEAIDDNGKLYNGPLLERAVFRYKYCWLPLLAKHKDKVREWQLVVPLDCEWIWHCHRLNPVRYMADCKELFGRILDPSGMVKSSIEGTCKKETEKLWNKIYPKEPYEVGSGDRFDNDDGNKLIASESTKYDLVSAVKRQSSFYYQRYKGFLHLIRRNMEKKSNQFCVPTYDIDLIWHTHQLHPLSYCNDTMSLLGNILDHDDNDFDRTKGQKLDVGFTRTTKQWAQMFSSTYWRVGSMYTDMTPLNRLNDLAQSSGIMFVEGNNHFNIYNDTEEPQALIFHCEPHGDLLFELIDGSSKSCLISLSELDPRLASPKWLEFETGTN >KVI11243 pep supercontig:CcrdV1:scaffold_9650:782:4048:1 gene:Ccrd_010349 transcript:KVI11243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MRDPNRFHLLEYFSCFLLFGSVIHLVSATETDKILLNCGANGETSDYDGRNWTSDVGSEYALAGEVSIVSDAATQKPSVPIVPYMTARIFRSEFTYSFPVASGRKFVRLYFYPATYANHVASNGVFSVNVGPYTLLKNFSVAETAINLNFDFISKEYSVNVEFGTLNVTFTPAPGTPNSYAFVNGIEIVSHPDIYASKGPPVSVGTSTASSIDNFTALENVYRLNVGGQIISPSGDTGLFRPWEGDTAYIYGSAVGVPVAADPNIKVNFPSGMPDYVAPVDVYTTARAMGPTPQVNLGYNLSWYFDVDTGFSYLIRLHFCEIDPKITLINQRVFEIFINNQTAETEADVIVWASKSKVPVYKDYVVFLAPGSPRQDLWIALHPNTALNPNYYDAILNGVEIFKINTTDGNLAGSLPIPAPKQPIIDPSRVLSHSSSKSNSKQWIIGGGIGGGIAAILLVGLFVCFRSCKGKRRTNPSSSIGPSGWLPISLYGNSRSTTTTTTNTMGSNASFLPSNLGRHFSFAEIMAATNNFDETRLLGVGGFGKVYKGEIDGGVTKVAIKRGNPLSNQGLNEFQTEIKMLSKLRHRHLVSLIGYCKENTEMILVYDYMAHGTLREHLYDTQNQPLTCKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPAVDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWAQHCHTKGILDQIIDPYLKGKISPECFKKVAETAVKCVADQGIERPSMGDVLWNLEFALQLQESCGDMEERISDEMPLNSRSNGSSPSSFSHIS >KVF01572 pep supercontig:CcrdV1:scaffold_9660:7671:7853:1 gene:Ccrd_026873 transcript:KVF01572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bulb-type lectin domain-containing protein MLKWLDSGNAVLIDIASDTIKWQSFLDPPDTFLPGMKTDSDLTLTSSKRLDDPSPRGFEFR >KVF01573 pep supercontig:CcrdV1:scaffold_9660:89:5436:1 gene:Ccrd_026872 transcript:KVF01573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apple-like protein MGFFSGESGRYVGIWYAIDHTTVVWVASRDKPVPDSTGALIVAEEGVRILDRKGNIHFSADAGQVELGGASNKTLALLDDGNVVLIDNNNTVIWQSFDNPTDTFLRGMKMNMSSKLISWKSPNDPSTGSFVFQQEKTMQYVIRVGNTTRWKSGSGSKKYKLELNHMFPADARFLMSDTGNIQYFTLVELNKPWSLVWEEPKDICSDYQVCGQYGLCSPDTQTRCSCLKGFEATEPGVDSAGCRHEPKICGTGEVDEFLNFSMIEVSNPTSSESTIESNCRNKCLENCRCKAYSFSSGNTGMQQGHSSHLNNCRIWESELYNLQMDGTDNISIRIARGVGGYINSAQGYPSPTPRGDSSPFLSKTRILVFTSTILMILLLCSFSYTYYRRLVPHEQGRNSTLQTDDSERRSIDLVHLEHSREDSIEGIRVPFFEFESILAATDNFSDANKLGEGGFGPVYKGKLPEGIQVAVKSGYMAPEYALEGLFSVKSDVFSFGVVVLEIISGKKNTGFSKSHQTINLLGYGWSLWKEDRPIDMMDQKLVESSNSIEVLKCIIVGLLCVQEDPGDRPTMXNVVLMLAGDISSLPTPKQPAFVARRTESSSSSSSYKPDQTHTSNSLTITLQEGR >KVI06757 pep supercontig:CcrdV1:scaffold_967:51119:64553:1 gene:Ccrd_014888 transcript:KVI06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MEGVTGETNGSLVPSKAGKETVNGQPTKEVIFADLIWVKLHEASWWPAQVVDENSVSSANKPSTSSKGSSSDVLVRLYGSYVYKYVDINRSRAEFKNILVENNFNHDDILKKSLEQDLASLNSSRSRRRQQSKSKGTVLTEASQNRSSEKDKSQIKVSSKKRKQEKSKTAVETKNKIPSPDRVHNISEPSTPSIISLKAQEMSGRRMKVMQSLGLVAPSGSPFPRNRVISPNPT >KVF00324 pep supercontig:CcrdV1:scaffold_9673:3150:6901:1 gene:Ccrd_026874 transcript:KVF00324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSPYEGCRIVRLGSFRSLDLKTDSLTKMDNLKLLHLNDVHLTGSYEDFSEDLRWLCWRQFDLRAIPSGLFFKSLVAIDMRDSKLKVLPLLKTLNLQSSKSLSAIRSIYRLPNIETLLLCQCYELVDVCKTIGDLMNLALLDMSECPKLNRKLIRFSSRER >KVF00177 pep supercontig:CcrdV1:scaffold_9674:596:1654:-1 gene:Ccrd_026875 transcript:KVF00177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MASQVEVGPWGGDGGVNPWTFKPDGRIVGFRIASGDVIDSIRFTYEDXSQVSHHSDTYGGDDGTLHPPVKFDDDEDLIRVSGTIGKFYTYTVITSLSFHTNKGKTYGPYGGGAGTSFSLPVTKGKFIGFFGNYGGYLDSIGVILQP >KVH97026 pep supercontig:CcrdV1:scaffold_968:64181:65387:-1 gene:Ccrd_000880 transcript:KVH97026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MSGSINSYSHHLIQLNRSKVTLFLQVMTTPTTALKKGSWSLEEDEKLISYINRYGIWNWSQMPRFAGLSRSGKSCRLRWMNYLKPNVKRGNFTKEEDDIILHSHSLLGNSSSSCCTTTSKDHDDEFRANYFHTGSPGTVDDLQCFWDQLCPVENLELGNNLYRNMFSDPIFQDSCNDPISSTYSFYNNDYNTSFLPNP >KVE99435 pep supercontig:CcrdV1:scaffold_9685:4801:5178:1 gene:Ccrd_026876 transcript:KVE99435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHHGQVFPSIVGRPRHTSVLVGKGQKDAYVGDEAQSKRGILTLKYPIEHGIAKHPVLLIEAPLNPQANREKMTQIMFETFNVSAMYVVIQAVLSLYASGRTT >KVH88418 pep supercontig:CcrdV1:scaffold_969:134237:150540:-1 gene:Ccrd_026879 transcript:KVH88418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold NFFLSFNFWFSTFNPTAGKPLSSSSEEDFTPETSRSSSRPNRLSPWLGFRASMSAIITCRFAPYGFWILLLNSILALACLFYQDFEGFLNNLQDWELSLKDKDKKLKSQSDMGKMIGAVGVDKRQMVKTSSVNHSSTAREEDYLKKYDAVSRLSSGFMRDDSSVDANSEKELGNEFFKQRKYKEAIDCYSRSLALSPTAVAYANRAMAYLKLKRQLDYHESMKEFQQVIQLHNNRYMPEICISSIFQEAEDDCTEALNLDDRYIKAYSRRSTARKELGKLKDSKEDADFALRLEPQNQEIKRQYADAKSLYDNELLKKASASLKGPTQQVQKEVKLDNVPRSMPKISETTRVAAPKTESMESLEVMLSSMKRKGVVKSSLCYGLSRIIMNFQMWEENRGKSIIMFLFCKIQPFISSQKNHNIAKKELKESVQQLAARAASLATAEAAKNIVPPTSAYQFEVSWRGFSGDRTLQTRLLKATNPVALPEIFKNALSAPLLIDVISDDIDLAVKYLENLPKVSRFNMIIMCLPPADKSGMLICKEFGMKSFVTRKLQQIMLRFFNSCAQNTALLSNRDAHPNTNCMEDVLCCGIVLKTLMASCSEHKKRKMGIISRKILPACANMCVCCPALRSRSRLPVKRYKKLLADIFPKSANEVLLSMQDGSPNERKIAKLCAYAAKNPFRTPRIANYLEERCYKELRNRHIKMVIVITEVYNKLLCTCKEQMACFATNLLNVCIALLDDNKRDTVRIIGCQTLTRFIYNQVDGSYAYDLENLAPKIFVLAHKTQEGDEKIHLRAASLQCLSAMIVHVTLENYEPDRLDEANHNWVHEVVRCEGRDVGSEISSSYMIARPRKEKKDPSLLTREEIVMPKVWAQVCIQRMVELARESTTMRRVLDPMFVYFDTNRQWVPLHGLGFVVLSDVAYFVESPGNQQLILASLVHHLDHKNVSHDPQVKSYIMQTVTALARQVRSEVALTDIGYISDLCRHLKKSLQATVESVKERELNLNTSLQSSVEDCLLELVRGVWLIANARPLFDKMAMTLEKLPTGGVVAWAITGSMIILAHMIVVSSNSLQVFPEGLHLQLLKLMVHPDVEIRSGGHHIFSVLLIPNSKHLRHDASNHTRWRSDAASVFTSVASLLDKLQKENDGTRAGKREFRTLEKIMKKGNTESKMKQVKKSPNFQKLCSIMDKNGEEITVSDAEPSVMKFNEDQITQLMSTFWIQANLPDNTLSNIEALAYSFCLTLATLQLMNPDENLVVRIFQLPLSLWKISLDCSHEMLCPAHRRSLVTLSTAMLMFAAKIYRIPHIADILEPILLSDADTYLGISDDLQVYVKPEADVREYCSGHDNQVAACLLIDLRSKMHESYKTIIEILVQNLSNTTQVEEEELHVELLETFAPDDSIQSILHLDHVCRASRSKEAPSLNTGNRIVEDDAMSESSVSDLSQFVSTTPTPNSMSHFLQCRLMPWLADVKLLVLIQETRSPPAFLIQTSKK >KVH88417 pep supercontig:CcrdV1:scaffold_969:76188:93245:-1 gene:Ccrd_026877 transcript:KVH88417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPLYIFSFCGENSSYRKSKSTIVKTHRGSKPPSRSCRPLFCRCLFHLHLHQQQVGITICCLSRIKINSLEFMEMRSFDLDNIVEPLGFCEQFDAFLLEDACNEEHGNRERFSNFGPTSQLDVGIKFSSETKQTRFASRSTTGSWVATPSSVITGAVMMSITVFDMYYLKLLALSPVILLFATKGKMAGAPPGTSMMIMKEIGIAAVLGFFCGGIWKYTYHSDLKRRTKSFYNMLDKDEITVVVSED >KVH88419 pep supercontig:CcrdV1:scaffold_969:87629:93324:1 gene:Ccrd_026878 transcript:KVH88419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab-GTPase-TBC domain-containing protein MNILWKDPGLPTDSFYEVRAECTDVPKTKFKIKSGKTLSVRKWQSAFSPEGYLDIGQTLGRIYRGYYRLKELCTYMFPLVGSGKFITAPVITEDGVATQDPVVLLEANPENLMTPTNQATVASNSTTSCPKEEDKKIIQWKLTLHQIGLDVVRTDRTLVFYEKQENLAKLWDVLSVYAWFDKEVGYGQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFKCVGSSVGVESQLCNLANVAVIIYSPSGCSWCCFDEKFLLMMWALEYDPDLYTQYEESDSERPEDAKGKPKSSKQCGKFEREYLRSGAKVGEPLPISVFLVASVLKEKSVKLLTEAKGLDDVVKILNDTSGNLDARKACSGAMKLHKKYLKKVKMEKASAEKGSAAT >KVE98662 pep supercontig:CcrdV1:scaffold_9692:3039:6536:1 gene:Ccrd_026880 transcript:KVE98662 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF2431 MLXSNGEVHVSHKTKFPYDCWNIVELASQSCLTLLECVEFKLEDYPGYNNKRGDGVRSDEPFPLGECCTFKFITSSTATMLTALYHGIHQELQEILLQGANTSLFTDPARAIDSTECFRISVEYFDHARSTYGKNDCYLSSSVTEHLRFGFQRYMAEDHRRLDGLR >KVI06380 pep supercontig:CcrdV1:scaffold_97:124730:126562:1 gene:Ccrd_015295 transcript:KVI06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like superfamily RCCPLSLSFSSYISSTQFIHSSSSSSSSSTTPFISITPMETINLCCDHITSPISKELHVLAVDDSNIDRKVIERLLKVSSFKVTVVDSGSRALQYLGLEEDNNSSQFDDLKVNLIMTDYSMPGMTGFELLKKIKNSSTLKEIPVVIMSSENILTRIDSCLEEGAEDYLLKPVKLSDVRRLKDSILKSEGAEIRRIQSHKRKRNNDRLPSSVACHDLSVPPPSPQQPTPKLARL >KVI06370 pep supercontig:CcrdV1:scaffold_97:402829:403821:-1 gene:Ccrd_015274 transcript:KVI06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MRGEGCVFNVELTDENASGTQMQATMFNEAARKFFDRLEIGKVYCISKGTIKVANKQFGTVDNDYEMTLSKYSRVMEAINESILVPERRFNFVPIDQLGLYFNQKDLVDKSSRKKSVVVCLWNDHATYLGQELLDMVDKSPVVAIKSLKVRDFRGVSLPTLPNSYIRINHDISQCLKLRSW >KVI06357 pep supercontig:CcrdV1:scaffold_97:282045:283016:1 gene:Ccrd_015284 transcript:KVI06357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin head, motor domain-containing protein MHKHNVAPNSAALNRDALAKIVYXRLFDCMFPRSTHSTFAEKLYQXFKDHKXFNKPKLSKTDFTICHYAGDVTYQTDLFLDKNKDYVVAEHQELLTASKCSFVASLFPPLPQDSSKASKFSSIGSKFKIEINSDLAIDCVRGLERDRLRERDRDRELEI >KVI06354 pep supercontig:CcrdV1:scaffold_97:17235:18938:-1 gene:Ccrd_015301 transcript:KVI06354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGSVWTKSTQDIQMALPKVKEIVSSHPVVVFSKTYCGFCKRMKQLFSELNVSYKLVELDEESDGNEVQSALAEWTGQRTVPNVFIGGKHIGGSDAVMEKHQAGKLVPMLTEAGAIANNSAQL >KVI06382 pep supercontig:CcrdV1:scaffold_97:144855:146255:-1 gene:Ccrd_015293 transcript:KVI06382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type AVIYRQKINLLSNPCIHRFLSVLHLQSSRYFLPISIFFQQFSTMVYDSIANASIPTAASNSKDFGKKKRVNRTAKLKQCKLDVRREQWLSQVKNRGFKEETHGTGGMKAPAPETHAANEREPPVVNLEVNLGGEETEADALAADDIQKNHNDPNLVSPVDEQLDFHTIPEPEPVLTTPAGQEDCRPPTSFRAWRPDDASRPQNLPNLLKQNSFPMKSGKHYGLHSGGFAPSSCPICCEDLDMTDSSFLPCPCGYRLCLFCHKRILEDNGRCPGCRKLYAQPDNGNASSKMARSCSMNPRH >KVI06375 pep supercontig:CcrdV1:scaffold_97:443987:453798:1 gene:Ccrd_015273 transcript:KVI06375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hemerythrin/HHE cation-binding motif-containing protein MAESPLMSAFELTEIPGVRLRQAPILLLLHFHNALREELADLRRTAADALDSRIYGPDLIQELRRRFEFLKLVNKYHSVAEDEVIFRALDAHVKNVVSAYSLEHTSTNDILDSIFHYLDVLKKEDKRRISKPFQELVYFIGTLQTSICKHMAKEEEQVFPLLTLQFSTQEQASFVWQFICSVPMILLEDFFRWMNSFLSRDERENVLQCIKEVVPKDLLLQEVVISCLEATEPTITGGLNKYGKGTLFLNGRANFRKLLELYKSEGHCGEALKLENEYPVHATVQYNPLGGASLWHSAYHKDLVEVLEELYSIRDSNSLSGLAPAIVQLKFFADVIIFYSSALDKLFYSMCIELAEDCPAPSYQRFLDDSQIEGLQLLLYSRTENVMSARDFVEKLCEKLKICMTGIRKYLTFVEIEVFPSIIMNCSHEMQWWLLYAGLEMMPLGLLKCTITWFSGHLSEDESKSILHSIKQGGLMVNKSLSSLLYEWVRIGYSGKTSIENFRKELHEVFDSRCSFISEQIKNNSGSSYMHMDTQLNNRSNSRPLDALGAMAKKGISSSSSAFNSGRMSDASYSSGINFHVLFPQKLKISTPFSTYPTEDNTESSFRYLESRPVDHIFFFHKALKKDMERVVSLSANLAENDAFFRELYRRFHLLRVLHKIHSDAEDEIAFPALEAKEIIQNSSHSYSIDHKMDVEYFNRISYILDQVSELYFSVSSRDVDSQGGTMLMYRQLCLKLHDMCKCMNKMLSDHVNHEEIELWPLFREHLSIKEQEKIIGCMLGRTRAETLQEMIPWLMAFLTVEEQNALMSVWFKATKNTMFDQWLGEWWEGMQRYAIAKVEESTVPTQSTADTLDIVSKYLPTEGFDDHGGNLCDEDRKLSKENMINHKVMHRQTSNADYREKKLSKYQGKDQPVESCKVCSELDKTNTEVSNVADQTEPLTQEELEAKIRRVHCDPTLEPQEKSIIIQNLLMSRWINTQKKSHPEVDVLDNEQDIPGVYPSYRDSNKLVFGCEHYKRNCKLVASCCNKLYTCRRCHDDAEGTDHLMDRYKCIFNSSLYEQECFISSSCTLS >KVI06362 pep supercontig:CcrdV1:scaffold_97:318720:320849:-1 gene:Ccrd_015281 transcript:KVI06362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNQENDGDGGEVRKLPQGYKVKKQSSSSIGMHNGRRSFGCSSQVELTNFLDLDGVKVISAYMPLFMQLLVVDVTRKTYDSLEKFTAKSLALTLKKVVVQRAF >KVI06356 pep supercontig:CcrdV1:scaffold_97:203169:205605:-1 gene:Ccrd_015289 transcript:KVI06356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKTLPLLWVLLLSVLSNSIVEARIRHYRWEVKYEFKSPDCYRKLSITINGRTPGPSILAERGDTIVIDLKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPISPGDTFRYMFVVDRAGTYLYHAHYGMQREAGLYGSIRVALPDGEAEPFSYDYDRSIILNDWYHKSTYEQAAGLSSVPFVWVGDPESLLIQGKGRFNCSTPGTAAGVCNATNPECSPYVFTVGHNMTVVEADGHYVEPFVVKNLFIYSGETYSVLITADQDPSRNYWVVSKMVSRNNTVPIGLAVFNYYPNHPTRSPPTVPPSGPLWNDVEARVNQSLAIKSHPGYIHSPPQTSDRVIILLNTQNRINGSVKWSINNVSFTLPHTPYLISLKHDLLHTFNQTSPPDVINGYEAYDINIPPPNLGFTTSNSVYKLKFNTTVDIVLQNANMIANNNSETHPWHLHGHDFWVLGYGRGKFNATEDPKTYNLVNPIMKNTVPVHPYGWTALRFVADNPGVWAFHCHIESHFYMGMGAVFEEGMEKVGKLPSSIMGCGDSKRFIRP >KVI06352 pep supercontig:CcrdV1:scaffold_97:23698:27427:1 gene:Ccrd_015300 transcript:KVI06352 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA small subunit methyltransferase G MLLLGNRIFNFPLSLSFGTFIKHLERASNLKPTVIIRRSTTTKSSIINASHFETLNPLQKQQVHLYVDALLDWNQKMNLTAVREASDVMDRHIEDSLAIIPPIQSSYVSHCESSFENLNLIDVGSGAGLPGLILAIACPGWQVTLLESINKRCVFLEHAVGLLGLSNVKVIRGRAEVCLSANLSSISAISVYLFSRKQYVGQNVEFREAFDVTVARAVAEMRILGTYYYIEGHEMFLVPLFELPFYPCKPAEYCLPLVRVGGLFVAAKGHAPEEEVRRAKKAISLMGASLLQTCYVNSHSPYGQRTAIICLKDGPTPKKYPRDPGTPAKLPL >KVI06381 pep supercontig:CcrdV1:scaffold_97:138628:141608:1 gene:Ccrd_015294 transcript:KVI06381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MGNSTVEAVALTAATTVILYGICFYFFYRYVMAKKTRIDHLSLKVGREDTIMDGRERGGALKGVLIEENGLEVLYFRDVEGGRLRTCVWNSLEEEEKRVDSRFDDHRTLKPDTIGFSSQQTKTIPETSPPPPSLKMKPFHWDKVNTEADHSVVWNEIIDGSLRFDDELIEALFGYNASKRNSNEAKHLSPKFGSSSNSTPSAQISILDPRKSQNTAIVLKSLATSHKEILDALSEGRGLNADVLEKLTKISPTEEEASKILQFTGNPTKLPDAESFLYHILKAIPTAFTRINAMLFRLNYDPEILHLNESLQTLELGCKELRAPGIFLKLLEAILRAGNRMNAGTVRGDAKGFNLSALRKLSYVKSIDGKTSLLYFVVEQVVRAEGKRRCMNNRNPRRDNQDSDIMVAKHERDRQYLMLGLPVLGGLSTDFCNVKKAAIVHYDCLMSMSPNLLKQVTEIREMVTQSGGGGFVKEMKEFLEGCEEELKVVRNEQTRVMELVKKTRGFYQIGSNSMEKGEHPLQIFGFVKDFLDMVDQVCLDLTRKVQKKNVKSVEPSSPSIRTPPSCCVNALSFNPSILVIPFNNAIAMAKAD >KVI06383 pep supercontig:CcrdV1:scaffold_97:180267:183180:1 gene:Ccrd_015292 transcript:KVI06383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MTLAKHSSNNQASAREFKHRVLTCLNKLSDRDTHSAAATELESIAKTLNHDSISPFLSSISATDSSDKSPVRRQCVRLISTLSEAHGDALSSHLSKLLSAVIRRLRDPDTAVRSACVAATGSIASHVTKPPFTSVAKPLVDALVTEQDLNSQIGAALCLASAVDCAPDPEYVYLRRMLPRIEKLLKCDSFKAKAALLTVLGSVISVGAASSPAIVKNLVNILVEFVMKSEDWSARKAATEALEKLAVVETELLSGFKAPCLKIFEAKKFDKVKIVRETMNQMIEAWKAIPDVPEEVLTPPESQSSSKVLILNFLIACLFLLLDIQILNFVCLLMCDPAAEVASDGRYPPRTPQITDKRTVLNGSVTANATRRISSENSNKKAGPAMFRKLERKKNHDQKLGIAAPPPLATPLAVDDDRLNNRSARSETKRALFNEIVDEEMHKSYHNARISSTDVGSNFTVDIHKNHKDCEELSMIRNQLVQIETQQSNLFELLQKFIGSSQTGMQSLETRVHGLELTLDEISFDLARSTGRLSNPEPTETVCCKLPGAEFLTSKLWKKTEIHHSNARISSAPFANMNLESSNPENRGFWRQGGGGSGLIKNPLAEVRSSSISHATYDRK >KVI06366 pep supercontig:CcrdV1:scaffold_97:345417:349865:-1 gene:Ccrd_015279 transcript:KVI06366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/Sorbosone dehydrogenase MAVSVLHIHVIFIISFTSVLHLLLLLLVTPALSLPLCTDSSIKISPFLSLFFDMNFASGCNDLHLFMAGTPVRPKTPLVFCGYNGSSCCDSIQDSNIQKQFEAMNVSQSDCASLLKSILCSNSPFSPSLRGQAPTPANSSNTLGDIWQSRTDFCNAVGGPSLDGSVCFDGEKVSLNATTNSTITTPTGMCLEKIDNGPYLDMVAHTDGSNRAFFSNQKGQIWLATVPEVGSGRPLDLDESNPFLDLTDEVHFDTQFGLMSIAVHPNFEQNGRFFASFNCDKSQTPSCSGRCACNSDVNCDPSKLPSDSAAEPCQYQSVVAEYTVNGTASSRTSVIPNASPVEVRRIFTMGLPFTSHHAGQILFGPNDGYLYFMMGDGGAGDPYNFAQDKKSLLGKIMRFDVDDIPRSTLYIPEQSPGGNTSASSINPIFPVMGYKHSDISKNEGSASITGGFFYRSTTDPCLYGSYLYGDLYADSMWAGVETPENSGNFTANKIPFTCAKDSPVPCNLVPGTSRPALGYLISFGQDNKNDVYLLSTSGVYRIVPPSRCGYTCAYQNTTTGSTSGPTSTPGSAANMVKGSYNIPLMLLLLFLGFAY >KVI06360 pep supercontig:CcrdV1:scaffold_97:241305:245907:1 gene:Ccrd_015287 transcript:KVI06360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MTLSYHHSISPILIRSTNKPTIQVYSFPQFTTRGFKFSGRRSIVICESSVAKPPGSRSRTRSKPKPKELVFGYPSSSVEKGKYSYEVESLLNKLSSLPPRGSVARCLEPFKNKLSLNDFALVFKELAQRGDWQRSLRLFKQMQRQVWSKPNDHIYTIVIGILGREGLLDKCSEVFDEMPSDGVPRSVFSYTSIINAYGRNGQYEISLQLLDRMKKERIPPNNLTYNTVINSCARGGYEWEGLLSIFAEMRHEGLQPDLVTYNTLLAACANRGLGDEAVMVFRSMNEGGTIPDTTSYDYLVQTFGKLGNLSKVSELLREMESRGNLPEASCYNVLLEAYSDLEQIKEAMDVFRQMQTAGCVANAMTYTILLNLFGRQGRYDEVRELFLEMKVSSMGLDAGTYNILIDVFGEGGYFKEVITLFNDMVEEKIEPNMETYEGLIVACGKGGLHEDAKRILLHMRQNGLVPSPKAYTGIVEAYGQAALYEEALVTFNTMNELGSIPTVETFNSLIDVFARGGLYKESEAIVSRMDESGVSGDKDTFNSVIEAFRQAGQFEDAIKAYVDMEKAKCNPDERTLEAVLSVYCFAGLVDESEEQFQEIEKMVVLPSVMSYCMMLAIYAKNERWDDAYKLLHEMIKCDSSNVHQVIGQMIRGDYDDASNWQMVEYVFDKLNTEGRGLGLRFYNALLEALWWLGQKERATRVLKEATKRGLLPEIFRNNKRVWSVDVHRLWPGGACAAISVWFEDMYQKLVDGEDLPHLASVVVVRGQMEKSSITRDFPVAKITYSLLKDGVSSSFCLPGWNKGRIVCQQAQLKRILGDMESGSEKLGIISLSNSPIPVLESRTNKAKKKKGSMKEEVKGGGINGGTNIDTRTELVTGSI >KVI06359 pep supercontig:CcrdV1:scaffold_97:257353:259013:1 gene:Ccrd_015286 transcript:KVI06359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MATCMSYKLQHDDHMEPTPHFWLFIDTTCIYHLQPSDKTKPTVCTAIGFQRPPILPSIIYSDSPTNRFPVRFGKMMSESQSGCWTNGQNSGMSAADHGSGEIMLFGVRVKVDPMRKSVSMNDLSQYVQPATHDSSSNSNNLDGSAAVAADIGYASADDAVRNHSNGGRERKRGVPWTKEEHKLFLLGLQKVGKGDWRGISRNFVTTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDSVAGILVEEEQVQEDNNSTQPAQSPMMSSQHMSPLSGFPMAAFPATVGPIPIPSPVRMENQMETATFSNGNQLNSGSTQLVSPIPLLPTPDPSITADLKLNQKHERDPLSLSLSLSLSYNNNRWESSAFQVISGFNNGDGLISVG >KVI06378 pep supercontig:CcrdV1:scaffold_97:83189:93486:1 gene:Ccrd_015297 transcript:KVI06378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MANSIPSRHLFIDGEWREPVRKNRIPVVNPATEQIVGDIPAATSEDVDFAVEVARRALKRNGGKEWASASGAHRAKYLRAIAAKIIEKKSELARLEAIDCGKPLDEAAWDIDDVAGCFEYHADLAEALDAKQNASIALPMDTFECHVIREPIGVVGLITPWNYPMLMATWKVAPALAAGCAAILKPSELASVTCLELGEVCREVGLPPGILNILTGLGPEAGGPLASHPDVDKIAFTGSNATGSKIMIAAAQNVKPVTLELGGKSPIVVFDDVDIDKAVEWALFGCFWTNGQICSATSRLIVHEKIAAEFLDKLVKWAKNIKISDPLEEGCRLGPVVSGGQYEKILKFVETARREGATISFGGKRPQHLKKGFYIEPTIISDVTTSMQIWREEVFGPVLCVKTFATEEEAIELANDTHYGLGSAVISNDLARCDRVTKAFESGIVWVNCSQPCFSQAPWGGRKRSGFGRELGEWGLDNYLNIKQVTRYISNEPWGWYQPPTAKL >KVI06377 pep supercontig:CcrdV1:scaffold_97:56328:56591:1 gene:Ccrd_015298 transcript:KVI06377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNYRDHSSETELDRRYYLWADQSETKTEYVYPTQSSRSKIKDKLEDGFEKTKAVASTGLKKIKRGSTVGFHWMKHKYQKTTHKYS >KVI06358 pep supercontig:CcrdV1:scaffold_97:269353:273944:-1 gene:Ccrd_015285 transcript:KVI06358 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC-kinase, C-terminal MNLKMASTAQQKNTLQSILASKLNNLTIPPSSAEQDFDFADVFGPASSSSANPNSNPNLFIGDPQIIHNRSHSFIGPSPRYTLSKSLSLHHDEFEPDSSGEEEEEEDDMIKVDKAEEEEGYEAEGKGEVDYKIGPGDFEIMRVIGKGSFGKVFQVRRKDRSCVNGEVEGVIGDGIFAMKVMRKDTIIKNNHVDYMRAERDILTKVVHPFIVQLRYSFQTKTKLYLILDFINGGHLFFHLYRQGIFSEDQARVYTAEIVSAVSHLHQSGIVHRDLKPENILMDADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILLSKGHNKNADWWSVGILLYEMLTGKEKIINEKVKLLPRLSGEAHSLLKGLLQKDPLARLGSGPRGGDDVKGHKWFQTINWKKLESRGLEPKFRPDVSGEECTENFDKCWTAMPLDDSPAPTPTAGEHFHGYTYVAPNPWLSSP >KVI06369 pep supercontig:CcrdV1:scaffold_97:402113:402770:-1 gene:Ccrd_015275 transcript:KVI06369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MGTCFTETTLTSTGSFLNLHMKNEGPSMYYDRVPLAHITTNPSSGEDKQPAIFNTRACRTFINPDKTMTYRACNKCNKKVSESTRSGYWCERCQNNEPTCKLRFLIISLLSNVSGEAWFSIFNEEAETLLGCSADELAKMKAQVLFHFQTNIAPSY >KVI06374 pep supercontig:CcrdV1:scaffold_97:511286:521778:1 gene:Ccrd_015270 transcript:KVI06374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDYYNILKVNRNASDEDLKKAYRRLAMIWHPDKNVSSNKSEAEAKFKQISEAYDVLSDPQKRQIYDLYGEEALKSGQVPPPPPSSSGRTYHHYNNSHQHPNPNFRFNPRDAEDIYAELFGGSDSGGGTGRTAGRGFKDSNFRNASTGESSSSRKAPAVENLLSCSLADLYKGAKKKMKISRTILDSSGKVRTVEEILTIEIRPGWKKGTKITFPEKGNQEPGVIAADLIFVIDEKPHEVFTREGNDLVVNQEISLVEALTGKTVELTTLDGRNLVIPVTEMVKPGTEITVPNEGMPISKEPRKKGNVRIKFDVRYPSRLTSEQKSELKRVLGGS >KVI06365 pep supercontig:CcrdV1:scaffold_97:454764:458934:-1 gene:Ccrd_015272 transcript:KVI06365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MASSLISSLLFSPLLIWVGFITHSNVDCLVKWGYLLLIITRSRKIAGPADGSSCTCSEDETCTSKRQTTTTNGVRHPQTTSILVPKTSTSILNANKTEPKIVDLQVSHPTKSNSLGKTECVSYADDSDTLQPSGLTSGHFVRAGIAAVNFLNDVVNYKIPMTEGSAMVDSTQNYMVQQARPRLRNTGSSNMKTYKKVEPETTTSSTTTNSSNAKAYKKVEPPATTTRPSAKTTGNVNKGKAEKYVYGKGFNNVQDHNVSRKSKDCVNQFMVRPRAPEFRAAEMLSVKAVPPAGRQFSSSAHMVESVFQILRQRKWGPAAEEALWSLNCSLDAYQANQVLKQLQDSSVALSFFYWLKRQPGFKHDGHTYTTMVGILGRAKQFGEINKLLDQMVRDGYPPNVVTYNRLIHSYGRANFLNKSLEVFDQMQREGIEPDRVTYCTLIDIHAKAGYLDVAMGMYQRMQEAGLSPDTFTFSVIINCLGKAGHLDAALKLFCEMVSQGCVPNLVTYNIMIALQTKARNYPTALKLYRDMQNAGFEPDKVTYSIVMEVLGHCGYLEEAEAVFEEMTRKNWIPDEPVYGLLVDLWGKSGNVEKAWAWYRAMLNAGLLPNVPTCNSLLSAFLRVHRLSDAYNLVQSMHSLGLNPSLQTYTLLLSCCTEAQSSFDMMFCCELMGVTGHPAHAFLRSMPAAGPDGQNVRDHVSEFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAEKNVYPDAVRKKSSCYWLINLHVMSEGTAVTALSRTLAWFRREMLSSGVCPSRIDIVTGWGRRSRVTGSSLVRQSVQELLNIFGFPFFTENGNTGCFVGCGEPLSRWLVQSYVERMHLL >KVI06363 pep supercontig:CcrdV1:scaffold_97:315082:317419:1 gene:Ccrd_015282 transcript:KVI06363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zein-binding domain-containing protein MASSKRSFKMFVVEELGGFPHFLLWAFLEWILIASLYIDGFLAFISSTFATIFELEPPCVLCTRVDHALVGKDPHTYYNNSICECHKKDISSLAYCHVHRKLSDIQTMCEGCLLSFATEKESDTSTNKSILGSWQNDNNLFMKDDRKTILKTTANDYNDSMLDVNKCSCCGELLKTRAASKGFARSFSNLRALGATSSPRAQAAASSPRAISSTFSPRMCFTPTSWRTEDSRYTELKFVSDTEPDMPEYDFGFSTDSKKDMKSATMQLLPDSEDMNDESCKTPNLIKSNKFFGIPLNESTTVSPRWANKTPKKASSLEKFDTSLEINDESPGDGDSSSLQCLKKQARIDRKNLVTLTMELEEERSAAAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEAIQILQDLVAKRDEHMKAMESELESYRERYGELRKVGSDQCEADADEYYQEWRSQSLSSFGEKSESGTPLGRDYDDSGSHYVDPRSPLERDYVDPGSPLEKDYADTMSPLERDHDESGSPLGSDHDHDEPKSPLKRDHEESESPSRRNHDEDGESHSGRSIENEERDTYEESLFDFENEKYQLYSMLKNLENHIQSFDDDDEWDEEDKDIVQENRATLKREVSLIRERLRALEADSGFLKHTAMTLQKGETGTKLLTEIAQHLRKLRRLEDINTTDSSTTA >KVI06371 pep supercontig:CcrdV1:scaffold_97:358167:366377:-1 gene:Ccrd_015277 transcript:KVI06371 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain binding protein 11 MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPETLKEQIDKLEAMKADGALDKARKHKKRQLEDTLNLVLKKRKEYEEKMKEKGEAPVMFSHLGPPRRRTTAEEEERAKHPKPEDSVYYHPQWNPTGSSSGAATVAESDDVASSIPPPPPPPPLPQSGDAEPRDDAPLPASLPLPPPPPMPPKPATSELGTMLPPPPGPPSKDQVPNRLVLPPPPPLPQTAQPPPPGTAGTEREKSQSSKSDDSASREAIQFCSMGNNFSQASTKLPPPPPPPGLPPKLTGNQSEGGSEPGRNNASETKDFSKMVPPPPPPRQQPPVPGPGMVPVLQAEVLPPGISRFPPPPPPPLSALGVPGQQPPPPPGMMPPMMPRPPFGPPPMMRPPLPPGPPPLPMEDLRPTVPQKPSYVKSAASTVVKRPLAQHTPQLTAMVPASVRVRRESAAPKTKPKPSVSSLVSAAAPTPAVVKQATVKVSSSSSSSSAGKPGSVDDSYMAFLEDMKALGALDN >KVI06372 pep supercontig:CcrdV1:scaffold_97:368655:378567:-1 gene:Ccrd_015276 transcript:KVI06372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MENTKWIATVASIWIQCSCGASYAFGIYSAVLKSSQGYDQSTLDTVSVFKDIGANIGILSGLLYHAVMVNQSRSRPSSSRFGSGLSLVYLAGAVQCFAGYFLMWLSVTGVIERPPVPVMCLFMFMGAHAQTFFNTGNVVVAIQNFPDYSGTTVGIMKGFLGLSGAILIQIYQMLFAGKPTTFLLMIAVFPTLVSLLLMSLVHINPSDTTNDSHHLNAFSFIAVATAAYIMIILIFQNLFLFPPWAHILTTLLLLLILSSPLHVALKAQTNEPPVQTTTAPLIVASSEVHDQGASALVEMNLLQAMGTINFWLLFIAMVCGMGSGLATINNITQIGESLDYSTIEINTMVSLWSIWNFLGRFGGGYVSDLFLHRHGWGRPFFISLTQAAMVAGHLIIGSGGSLYIGSVIVGVCYGAQWSLMPTITSEIFGVKHMGTIFNTIAAANPVGSYILSVQVIGKIYDKETEAGGGSCHGIHCFMVSYFVFSGVCVFGFLVSLMLFFRTRGFYGLILQRRGKQFRNSTVLHRKMAMKNKWIATVASIWIQCTSGSLYTFSFYSSALKASQGYDQSTLDTVSVFKDFGANSGVLSGLLYSTVASPSSSITFRGRRGGPWMVLLAGAIQCFLGYFLMWLSVTGTIHRPPVPVMCIFMLLAAHGVTFLNTANVVTAVLNFPNHSGTIVGIMKGFLGLSGAILLQVYQTVFKAGPTPYILMLALLPFLNTLLFMSTVRSYQTNEVAEKRHLNGLSLLSIVTATYLFSVIIIEQTVTLTLPARIIVFLVLAILLASPLYVAVKRENFMEANQLLISNNQDFLEEDASMEDKNLLQAICTVNFWCLFLTTAAGMGTGLATINNLAQVGESLGYTTSETSTLVSLWSIWNFFGRFGAGYVSDHFLYTRKWARSGFIAITLALMSIGHCMIASGFPGALYVGSVLVGIFYGSQWSLMPTIASEVFGVSHFGTIFNTITIAGPIGSYVISVRVIGYLYDREVGQGGTTDCIGTRCFRLSFLIMGFMTLLGFGVAVGLFFRTRRLYEQI >KVI06367 pep supercontig:CcrdV1:scaffold_97:351562:354192:-1 gene:Ccrd_015278 transcript:KVI06367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e MYTSMKKIQKDKDVEPTEFEQSVAQALFDLENTHQELKSDLKDLYINSANQIDVSGNRKAVVIHVPYRLRKPFRKIHTKLVRELEKKFSGKDVVFIATRRIVRPPKKGSAAQRPRSRTLTAVHDAMLEDVVHPAEIVGKRIRYRLDGSKIIKIFLDPKARNDTEYKLETFSGVYRKLSGKDVVFEYPITDA >KVI06379 pep supercontig:CcrdV1:scaffold_97:97794:101986:1 gene:Ccrd_015296 transcript:KVI06379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase MGMTSMDERAVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPIFACKRIPNLAQIYLVGFYEEREFALYVSSISNELKVPVRYLKEDKPHGSAGGLYNFRDLIMEDNPVLTFTFSFSNLISHIFLLNCDVCCSFPLPAMLEAHKRYGGMGTILVIKVSPESADQFGELIADPSTNELLHYTEKPETFVSDRINCGVYVFTPEIFTAIQGVSTQRKDRANLRRQSSFEALQSATRSLPADFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGRKTKPASNAAINPCSRLHRMSLKCSGLYLYQFRYTSPDLLTNGDGTKSATIIGDVYIHPSAKVHPTAKYDKHLAILVSKFAQIGPNVSVSANARIGAGVRLINCIILDGVEIKENAVVIHSIVGWKCSIGRWSRVQAEGDHNAKLGVTILGEAVNVEDEVVVINCIVLPNKTLNVSVQQEIIL >KVI06376 pep supercontig:CcrdV1:scaffold_97:36152:48813:1 gene:Ccrd_015299 transcript:KVI06376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase MAFATTASTAVVLTTLIVLVTLTNSSTVGVEYVSRLLEVQDQETAPPSVQLSAARAVLDRFIPSHSSSFALEIITKVQLNWLFVCLFWLICVCNFCLEEFKPGQQRLDMNEHCGGVSCFLISNHPSLNIRGSPEILIRGVTGVELLTGLHWYLKNLCGAHISWDKTGGSQLSSVPKAGSLPRVQDDGLLIQRPVPWNYYQNAVTSSYTFAWWDWQRWEKEIDWMALQGINMPLAFTGQEAIWQKVFENFNISSSDLNDFFGGPAFLAWSRMANLHGLHIDCRWGGPLPQSWLDQQLAMQKKILARMYELGMTPVLPAFSGNVPAALKNVYPSAKITHLGNWFTVDSNPKWCCTYLLDASDPLFIEIGKAFIQQQIKEYGRSSHIYNCFPFSHSFYLSLSHLATIRIVSLCCSDTFDENTPPTDDPQYISSLAAAIFKGMQSGDDDAVWLMQGWLFAYDPYWRPPQMQALLHSVPIGKMIVLDLYAEVNPIWTTSNQCMLHNFAGNVEMYGVLDSVGSGPIEARISKNSTMVSQVLNCHLLHILFTMLNLAPNFACRVMQHYLISELWLVLECQWKVLSRIPWFTISCLKWHFSTRKLMSRKPKTFFDFSNFVLIIINNIHVIQKWVDSYSTRRYGKFVPSVQEAWSILYHTVYNCTDGAYDKNRDVIVAFPDVDPALLSKPQIAGRRYLRSSNPLLKWNYLKDTDEPFDKPHLWYSTSEVIRALQLFIEGGNELSGSNTYRYDLVDLTRQALAKYANDLFLDVIKSYELHDDHGVAHLSEKFLDLVDDMDTLLACHEGFLLGPWLESSKQLAIDNEQEKQARNKYWSGLLRDYYGPRAAIYFKYLRESLSKGEVFDLKSWRREWIELTNGWQNGRDVYPVKSE >KVI06368 pep supercontig:CcrdV1:scaffold_97:527683:532486:-1 gene:Ccrd_015269 transcript:KVI06368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MEMEMEEKQKDEQRVLQEEEPEVNYRGVKAMPFIIGNETFEKLGAIGTISNLLVYLTTVFNMKSITATTLLNVFNGTTNFSTLLGAFLCDTYFGRYKTLGFASIASFTGLLLIDLTAVFKGLHPPECASKEGSHCTSATPLQWLFLLTGFALMIVGAAGIRPCNLAFGADQFNPKTESGKRGINSFFNWYFFTLTFAQMVSVTLVVYVQSDLSWSIGLAIPAIFMLISCFLFFGGTKIYVIVKPEGSPFTSMVRVVVVAVKKRRLKLPEQPSLSLFNYTQPKSINSSLPYSNQFRFLNKAAIVTPEDEMNPDGSASDPWKLCSIQQVEELKCVLKVVPIWTAAITYSIAMTQQTQYVVFQALQSDRRFFNSKFQIPAASYTVFTMLTLVIFVPIYDRLIVPQLRRITAKDGGISLLQRIGFGISLTVVASLISALVEEKRRRLALTKPTLGYEPHRGEISSMSAFWLIPQLSLAGFAESFTSIGLVEFYYKQFPENMRSVAGAFFFCGMAASSYLNGFLVTMIHRMTEGAGSGNWLPEDLNKGRLDYFYFLITVMGVLNLGYFLMCSRWYRYKESAGGPRATVEMEKKPEKYAV >KVI06384 pep supercontig:CcrdV1:scaffold_97:181657:192538:-1 gene:Ccrd_015291 transcript:KVI06384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISKAGDLTFKAFTLGLGVATIYLGGTFSVNVYRGLAWHKAQSSKKVTSCLRGVKMTDSGAEDHFRRNFMVIRVKNSAPGNLQHTVSVGSGLDNLPVDLAKSNEISSSVNSRPCTRVSSDCIPVCELPINFCTIKVTSRNISIVKTIIIHSQRSCKGRRSSNAKFLIMLSEEFLVDIFHHWQLQLLDHTSANKQNSESECRVKETDKQSRS >KVI06361 pep supercontig:CcrdV1:scaffold_97:341601:345116:1 gene:Ccrd_015280 transcript:KVI06361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTKLDWFMSLKQKRSIQFLIGLGFLYLLMVGLEVPFVSSIVRQEDESGSFFFTDGLSKKSYALDSEEELQEKEAPIRPLNVPQIVAKTPLSPRHSRKIREFKALSTLNFDINSLNGEPKDGFGGIQKSAKEAFSVGKKFWEELESGKLKLPSENSTTKNQTRESCPNSITLSGSVFREKGSIIVLPCGMTLGSHITLVGRPREAHPDQDPKISLLKSGQYLMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSAHRCEGWKSRADEETVDGQVKCEKWIRDDDDHSEESKSSWWLNRLIGRTKKVTFDWPYPFAEGKLFVLTLSAGLEGYHVNVDGRHITSFPYRTGFALEDATGLALNGDIDVNAVFAASLPSTHPSFAPQRHLEMSNKWKAPPLPDGPIDLFVGILSAGNHFAERMAVRKSWMQHNLIKSSHVVARFFVALHARKEVNIELKKEADFFGDIVIVPYMDNYDLVCDDDTFVRVDAVLNEANKISDGKSLYVGNINYYHKPLRYGKWSEWPEEDYPPYANGPGYILSSDVAEFIAMEFEKHKLKLFKMEDVSMGMWVEQFNNTKRVEYVHSLKFCQFGCIEDYYTAHYQSPRQMLCMWNKLQIHGRPECCNMR >KVI06364 pep supercontig:CcrdV1:scaffold_97:286401:307668:-1 gene:Ccrd_015283 transcript:KVI06364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MQVYVHTSFPLGFVLMHDEDDCIGMKAGVDGKAVSDNLLHDASSNVETLIFCSQTLRSKVQRDFEELPSEAFRSLRDSLNISLAVAALAVQVPAEDWGDGGIMNWLRDEMNAHPDIVPSFLELLRVLPEEIFNYKIAARPDRRRKFENELASTMDVALGILTACLNIPELKEQALDAFSSWLRLRHRLPASVLATHPLVLTALSSLTSDELSEAAVDVISELIHYTSARNLEGFSVQMPLIQAIVPQVMNLKPQLRDSSKDEEDVKAIARLFADMGDSYVELIATGIILARWLGSDESMLIVHALLEVAAHPEYDIASMTFNFWHNLQICLIERESYVSLGNESLIDVERNRRVQVFRSSYESLVSLVSCKVQYPQDYSELSKEDQKDFKQTRYAVADVLIDAALVLGGEPTLKILYVKLVEALSGHQNGDMMDWRPSEAALYCIRAISDLVPLTEAEVMPQIMSLLPKLPHQSLLLQTVCLTVGAYSKWLDAAQSGLSFLPSVIDILISGMSMSEDSAAAAALAFRHICDDCGKKLRGSLDGLFQIYQRAMTGEGSFKVAAQDSLHLVEALSIVITELPPDQAKKALEALCIPAVAPLQEVINQGPLVLGQKPAREITVHIDRLANIFRYVNNPEAVADAIQRLWPLFKAIFDTRAWDMRTMESLCRACKHAVRTSKRFMGVTIGAMLEEIQGLYKQHHQPCFLYLSSEVMKDFTSRPDIADDCFLLASRCIRYCPHLLFPSPVFPSLVECSMIGITVQHREASNSILSFLSDIFDLGRSSQGQQFISIRDSVIVPRGASITRILVAASTGALPSSRLETVAYALLALSRAYGANALEWAKESVSLIPVSAATDMEKSRFLQALSDAASGADIKGLTVPIEELSEVCRRNRTVQEIVQEALRPLELNLVYVS >KVI06355 pep supercontig:CcrdV1:scaffold_97:221050:234770:-1 gene:Ccrd_015288 transcript:KVI06355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADERLTALKKAYADIILNTAKEAAARIMVSERKALRFEYELKNAKEDALQMLLRLKKMMDSKINEAAVASCTQQKKIEELEAQLQEAEDIVKDLREELRAVEMELERFSQSKEVKHPVQVDDASMGNVPRTSESIPFPPSEVQPNSNIDQTNKSQRLYNSLFPLKKSLIGNGDLPSIILRSKETELYRNGCTQRIRACERTQPDKELSFSLQMDDIKPESIVKEDEALDKLRKSPSLGVDSLCSMEEKEVILEQVKEMDVAAEDSCLTSPSSVKHPNDTAIQEISDEDLVRTCDSQSTIRVELIPTRLEKDESLQSVEAQVDPPLKISETKASETSEVPSQPMTDRVIKYTFQRKRKRGALINGCASSERNEENQKAQNLGLGKANLIGESTPEKIRLEQVARQFSGP >KVI06353 pep supercontig:CcrdV1:scaffold_97:8111:14543:1 gene:Ccrd_015302 transcript:KVI06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpp10 protein MVATPSAGVEALHRLKLTEPLMYLTPSSELATAARIASEHLFASLKPYTPKSPFDRLLVDEKFDAEQIWQQIDLQSQPLISAIRRQVNKFEKDPQELKDIFKSGESDQEQKRELVLEGEKEEDSDEEDDELDDESNEEEDEDEDEMEEDESGDGEREHKERGGVGVEDKFLNIQEMKEFMEDDEAREYGVNKKKQVMKKITRKFGEDDEEEDDDEDDDDDDDELGVLELAGEEDMSDAEDARYEDFFTSTKKRDQSKKPKVSEKVEDIDISNEEETEDSDTGDEDNDGMGSDDEMKTDNLSTHEKQLLEQRAKIEEVERENLEAKSWTMQGEVNATKRPKNSALEVDLDWERNAKPPPVITEEVSQSIEELIMKRISEGHFDDVQKAPSLPSKAPREMKELDENQSKKGLGEIYADEYAQKTGLVSQALSFSDEQKKEASLLFKKLCLKLDALSHFHFTPKPVIEDMSIQTNVPALAMEEIAPLAVSDAAMLAPEEVFSGKGDIKEEAELTQEDRKRRRAHKKRKFKAENAKRIAKKPRENTETAADGNEES >KVI06373 pep supercontig:CcrdV1:scaffold_97:490399:493403:1 gene:Ccrd_015271 transcript:KVI06373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNCTTCLRPDAPESTHYQPPPTPQGKKKNNKQRRPNPYAEPPVPIRVLNDFAQLAHHRTRISDKYILGRELGRGEFGVTYLCTDRETKQAFACKSISKKKLRTAVDVEDVRREVAIMSTLPDHPNIVKLRATYEDHEAVHLVMELCEGGELFDRIVARGHYSERAAAGIAKTVAEVVKMCHENGVIHRDLKPENFLFANKKENSALKAIDFGLSVFFKPGQRFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISENAKSLVKQMLEPDPKKRLTSQQVLEHPWIQNEKKASNVPLGDIVRTRLKQFSGMNRFKKKALRVIAEHLSIEEIEVIRDMFTLMDSDCDGKVTFDELKAGLRKVGSQLAEPEIKLLMDVADVDGNGVLEYGEFVAVTIHLQKMENDEHFRRAFMFFDKDGSGYIELDELEQVLADESGQVDIDVLNEIMKEVDTDKDGQISYEEFVAMMKAGTDWRKASRQYSRERFKSLSVNLMKDGSLQLQDELTGQSVLV >KVI06385 pep supercontig:CcrdV1:scaffold_97:202585:202989:-1 gene:Ccrd_015290 transcript:KVI06385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCERDIKLYFWVLHLSESRPGPARVVSTIGREDLLQTCGSVFDRLAKILTGYEITGARSSGIFMGILSGSSSD >KVI02888 pep supercontig:CcrdV1:scaffold_970:109341:111012:1 gene:Ccrd_018822 transcript:KVI02888 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2-like protein MENPRIKTTQKCVKITSYNNDAVRSPTRVVKSVCPSLPMKAKPSVIPTKASIKENMKPVDVKLQTIAKESTKYSMEVKLQTKERAVKRAFFNYSVTTKLYLMEQQKRQIEKIQKMIEEEEVRMLRKEMIPRAQLMPLFDRPFFPRRSPTPTKVPKKPSSQETHRNSLSCTREFYNFRQNEAQ >KVI02886 pep supercontig:CcrdV1:scaffold_970:29036:29752:-1 gene:Ccrd_018819 transcript:KVI02886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPPLLIIVVLFGLCLVDGLHHDAKESVSNRKLLDEFNVHGKNTTSSEILVAESKTDMNNGHGGGGGGGGGGGSGGGAGGGGNKRKHKKKKNNNGGNGNGGGGGGGGGGGGGGGDGGGNGKGEGHGWGGGSGGGGGGAGGGGGQGGGGGGGGAGGGGGGGGGGGGGGGGGGGDQGGGGGGGGRGGGGGGGGGGGGQGGGGGGGGKGGGWGWGGDGGGNDQNCWIWGCGGGHPRPTKG >KVI02890 pep supercontig:CcrdV1:scaffold_970:66321:94869:1 gene:Ccrd_018820 transcript:KVI02890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MGEHSLIPVGSWWLVGMVVMVFVTMLQPVTSQTSNRSNTLIRRYCSQYGVLDDEFFSRNLNTTLSSLRRQLSNVTEYHAVARTIINGESVYGLALCREYLSPSECLNCFDLAVKGVKVCGIVNGGHVIYDDCDLRYENNNFYTEANVRGNVGVCGNNTSSQEAFRETVKELLSDLRVATPRTPDFYAASTRRLKGSNSTVYAIAQCNVNISQNVCAECLSIRSTTLANCLPNTFGRAIDAGCFMRYSSNAFFRDNQTTDLTPFLKDGNSSTKRAIIGGVLGGVGFLLIVLVFILWHRSTKSSDCQQDKSTGATELLQGPMPYSYNDLIIATNNFSKEHKLGEGAFGEVYKGTLRNGEVVAIKKTTMASRGRNTYFDNELKIISNVHHRHLMRLLGYCNKGPHLLLVIEFMENGSLDKFLYDFGLVRLLPEDKTHISTKVAGAFGYVAPEYAVHGQVSEKVDAYSFGVVVLEIISGRRCTDGIENGYVTQNLVDYAWNLYENGMHVNLIDITLEPSEYVQEDVKKIIELSLMCTQSPASTRPSMSEVVTLLSDSSPEQRSPLRSTLSEPHLQIPLDTSTFAPSTSNATASTVSNRPSSPASSRLGFKPLSLSALFALVGTATSSPTPDNFKSIHLLVAPNPCHSRSSSRLGFKPLTPGPLRSCGNGDEFPNAGQFQIDPPPRHSQPLSLPALFAPVGRATSSPTPDIWKPSIDIHASFSHQLQGQQMAVLVVVGIILMMSEFGTAQSNDSTSTTPIRLSCGSNAAMSSSSFFSNLNNTIDQLRSQLLNNDVFYARAQNLRNQDSVYGLAQCRNYLSTADCVACFDVAVSAVESCSSVNGANVFLDDCFLRYENFDEFYDNPQTTMDVGVAPTGICGNQSASQPTIFNQTVEELLSDIQIAAPRTSNFYVASTRQVSSSNATIYAIAQCVENTTQAICQNCLDTAYNNLYSCLPSMEGRTIDLGCFMRYSETPFFQDNQTTNIIPFLHEGGSSKLGMIVGASVGVGIFMLILSSSFWYKLRKKPKSDEDATQLQGVKNYRYKDLQSATHNFSEEYRVGKGGYGEVFKAIVDDENVVAVKKLHVTYGKATTEFNNEVDLISNVRHRNLLRLLGWSNNGSELLLVLEYMPQGSLDKFLWGEKRGTLNWRQRFDIIFGIARGLAHLHEEFHVKIIHRDIKSNNILLDDYFQPKIADFGLARFQPEDQSHVITRFAGTLGYTAPEYATRGHLSEKVDTYSFGIVALEIISGRRCTDVELDGLDNHYLLEQVRYNIVNSLDIIPNTAWQLYEKEIHIELIDETLDQNEYEEEHVMKIIEIALMCTQSPVTLRPSMSEVVLMLSIGQSLGQKQITRPTFIHPDRRVHLDTKELQKRNLDSTFSQLKSQLSNNGVYYARAQNLENGDAVYGIAQCRNYLSAARCLACFDVAVSAVEPCGSANGASVFLDDCFLRFENYDQFYDDPEGSSDVSVAPLGICGNQSTSQLITVFNQAVEDLLSDIQVATPRTSDFYIASTRQVPNGNATVYAIGQCVENVSENICKNCLSTAYNNLYSCLPADEGRAINVGCFMRYSELPFFQDNQTINLIPFLGEGSSSKSGIIIGVSGSAGLFLLILAAFSLWYPRWKKSKAVGEASSLKFSFIANSDAANRRAPSLSQQIAIQIFGPTISPSSAPLCLPSDALDTSELQGARSYSYQNLKLATNNFSEEYVVGKGGFGEVFKAIVDGGVVVAVKKLHVGSRAKKEFENEIKLISNVRHRNLILQLGWCTDGPELLLVLEYMPQGSLDKFLWGEKRGQLNWKQRSDIIVGIAKGLAHLHGEFHVKIIHRDIKSSNILLDDDFQPKIADFGLARFQPEDETHVSTRFAGTMGYTAPEYANRGHLSEKVDVYSFGIVALEVISGRRCSDVNYDEPQMEYLLDQAWQLYEKGTHVKLIDELVAPNEYEQENVMKIVEIALMCTQSPASLRPTMSEVVLMMYSGSMLGQRHISKPTFIDAHRRIHVRGN >KVI02885 pep supercontig:CcrdV1:scaffold_970:17313:18560:1 gene:Ccrd_018818 transcript:KVI02885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MLYQTHQNTPTTTTTPVQQPVMECVEGALKSSFIGVKPNNHHHPQSFSDDFWAGNGVPGDDFFVDGLLDFSEDGDFEEENDVLLNPHDINNDKPLSVSRLKPENDESIAGFTIPENDLCLPVTDYFKEVEPPDDVADLEWVSHFVDDSFSGGYSLTCPAGKFPEKKSEPEPETIVTVKPSFTSPVLTKARSKRTRTGGRVWSRGSSPLTDSSTSSSSSSSCTSNPWLFFHDSNQTAESIFGKPPVKRQKKKHQAAAVETAGAGPQQPRRCSHCLVQKTPQWRAGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSEVHSNNHRKVLEMRQKKEAADAGLTLLVRSF >KVI02889 pep supercontig:CcrdV1:scaffold_970:97526:101926:-1 gene:Ccrd_018821 transcript:KVI02889 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MDDEKNPVRFGILGCAEIARKVCRAIDLSPNSILHAISSRSTGKAKQFAIKNSLSELVKVYGSYEEVLDDSSVDAVYIPLPTSLHLKWAVLAAEKKKHVLLEKPTALDVGELDRILEACECNGVQFMDGSMWYHHPRTARMKELLSDPNLFGEVRMIYSSSSFVPPPEFFENNIRTKADLDGFGALGDAGWYCIGAILWAMNYKLPTTVTALPATTLNSNGVIMSTTVSIHWEQEGTVATFYCSFLAHETMDVSVLGSKGSFHVEDLIIPYEESSASFNFTSGASFVDLHLGWNMTEQEVRIHNELPQEALMVKEFSRLVKGIKDSRMGPDTRWGGISRTSQVVLDAVKASVDSGSKTVYI >KVI02887 pep supercontig:CcrdV1:scaffold_970:114137:127090:-1 gene:Ccrd_018823 transcript:KVI02887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MLKKMVVIRWLMVALLVVFRIQEVRSGASDHKYKPNDEVPIYANKVGPFHNPSETYRFFDLPFCLPAHLKEKPEALGEVLNGDRLVSAPYKLYFMVEKDSEIVCKKKLSKGEVSKFRSAVAKDYYFQMYYDDLPFWGFLGKVEKDKTDPSEEKYYLFKHLHFEIHYNKDRVIEINAKADPNAVVDLTEDKEVEVEFMYTVRWKETDIPFENRMEKYSQSSSQPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEETGWKYIHGDVFRYPKYKSLFAAALGSGTQLFSLALFIFALALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYHQLEGTNWAPVRTTKYPREIPPLPWYRKTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGVFIYGYCLYYYYERSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALFFVRHIYRSIKSHLSVTTLAASRMWTTMVVIRWLVVALLVAFSIEEVRSDASDHKYKPGDEVPIYANKVGPFHNPSETYRFFDLPFCLPAHLKEKPEALGEVLNGDRLVSAPYKLDFMVEKDSEIVCRKTLTKEEVSKFRSAVTKDYYFQMYYDDLPFWGFLGKVEKDKTDPSEEKYYLFKHLHFEILYNKDRVIEINAKADPNAVVDLTEDKEVEVEFMYTVRWKETSIPFESRMEKYSQSSSQPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEETGWKYIHGDVFRYPKYKSLFAAALGSGTQLFALALFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYHQLEGSNWAPVRTTKYPREIPSLPWYRKTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGVFIYGYCLYYYYERSDMSGFMQTSFFFGYMACICYGFFLMLGTEGRPTNVKAKR >KVI02891 pep supercontig:CcrdV1:scaffold_970:129274:137129:-1 gene:Ccrd_018824 transcript:KVI02891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase MVLSKAVQSESDISLHSTFASRYVRAVLPRFKMPDNSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECNNLMMAAINKNYVDMDEYPVTTELQRHLCLNYCMLKRMQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRKWQNKMRAQGKPWDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPDKAVEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNAETGYMGYADPCGCCEWRVYRTLPLPRIGMGFPVAGYKCIMENCQENAMVLKEGLEKTGRFNIISKDNGVPLVAFSLKDHSQHNEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVTDISKVLQELDTLPAKLNAKMAVSAENGVVTEHDMEVQKKITDAWRKFVADKKKTNGVC >KVI11395 pep supercontig:CcrdV1:scaffold_971:82133:82387:1 gene:Ccrd_010196 transcript:KVI11395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRTRKIEGKLELKQKVVATGVKKKEKQACLVEESAGKLIAVLMWVEIRLIIEHLPLIGGLMLVTALLGTRHDVTANGHLGAV >KVI11396 pep supercontig:CcrdV1:scaffold_971:39737:42297:-1 gene:Ccrd_010195 transcript:KVI11396 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW-like protein MARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVISILMQRHVLVDNKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEESKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLDTNKIVDFIKFDVGNVVMVTGGRNTGRVGILKNREKHKGSFETVHIQDATGHEFATRLGNVFTLGKGSKPWVSLPKGKGIKLTIIEEARKRQAALAATA >KVI11393 pep supercontig:CcrdV1:scaffold_971:105007:120606:1 gene:Ccrd_010197 transcript:KVI11393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor biosynthesis, MoeB MLPGKRSAGGEVVVDESLIKRTKIDSLISSAAAPTAAASTSTGTSSTAATMGGGNNPNGTTNGKLPIGGDWNQSDIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIAKNLILAGVKSVTLHDEGTVELWDLASNFIFTEDDLGKNRALASIQKMQELNNSVVISTLTTELTTEQLSEFQAVVFTDISLEKAIEFDNFCHRHEPPIAFIKSEVRGLFGSVFCDFGPKFTVSDVDGEDPHTGIIASISNDNPPLITCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKVINAKPYSFSIEEDTTKYGTYTKGGIVTQLKQPKVLKFKPLQEALTNPGEFLLSDFSKFDRPPLLHLLFQALDKLVSELGRYPVAGSEEDAQKLISLVTSMNSELKDGKVEDVDQKLVRNFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLLQFFYFDSLESLPVEPLDPNDLKPLNSRYDAQISVFGAKLQKQLEEAKVFVVGSGALGCEFLKNLALMGVSCGNGGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAASLINPGLHIEALQNRASPDTENVFDDTFWENLSVVVNALDNVTARLYIDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEANAYLSNPSEYTSAMEKAGDAQARDNLDRVLECLEKERCESFIDCITWARLKFEDYFANRVKQLTYTFPEDAVNSSGTPFWSAPKRFPRPLQFSVEDQSHLHFVMAASILRAETYGIPIPDWVKSPSKCADAVSKVIVPDFEPKKDVKIVTDEKATSMSTASIDDSAVINDLIMKLKLCHQKLPPGFRMNPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATATAMATGFVCLELYKVLNGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDLSWTVWDRWIVKDNPTLRELLQWLKNKGLNAYSISCGSCLLYNSMFPRHKDRMDKKMVNLAKEVAKADLPPYRRHFDVVVACEDDDDADVDIPQVSIYFR >KVI11392 pep supercontig:CcrdV1:scaffold_971:144759:146048:-1 gene:Ccrd_010198 transcript:KVI11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MENMSQHVEGIEDISDTTLEDALRWWGESEETGNFDSTENEGLCGSPFLRSGDDLMNHSLMLPPDDMEVEGRTSLRRLLKAYGEAMEMGQEDLANVIVGCINEKASPLGGTMERVAFNLFQSGNQGDYIKQESLKNFKAAFKAFYEIFPYGRFSHFAANSAILEAIMSDSGKVHIIDFDIGEGVQWPTMLDALGKLRKETKLTSIRTGEQSYTSFEETRNQLLDYASASGLKLDVQEITIGELVNEIEESEDHECLAFNCMLELPHMGRTRSRSQVKEFLKVAKQLLSKNKGIITIGDGEDVERMKYCGGYSSFIDECLNHYQTLCESMEGNFPEYLTEARIAMESLFVAPYVSSLLWHKKWVNLREDSEFKENLGLMGWRLSKESLAEAKEMVKGRESSYKIKVEGQNGNEMVLEYRGAPLVRVSAWK >KVI11394 pep supercontig:CcrdV1:scaffold_971:28268:38445:1 gene:Ccrd_010194 transcript:KVI11394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin MSVRAADSSSFSVFLKQSDVNLDVVPKISTGFVKFSCFSSSPSSVLSLLSNGRTRGAVRCASTVNEENLVVSSGVLFQPFEEVKKEEFMVPISPLTSLARQRYFEDCESAINEQINVEYNVSYAYHAMYAYFDRDNVALKGLSKFFKESSDEEREHAEKLMKYQNTRGGRVKLHTIVAPPSEFEHVEKGDALYAMELALSLEKLTNEKLLHLHEVACTNNDPQMADFVESEFLAEQVEAIKNIADYVSQLRRVGKGHGKTWVWHFDQMLLGEAISSSSRVLIKPPHVDLDFASKFPIGFVKFSCFSPSSSSVLSLSRRRRVVRCSSTVDEENLVLSSGVLFQPFLEVKKEEFMVPISPQASLARQRYFDDCEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSDEERDHAEKLMKYQNTRGGRVKLHSIVNPPSEFEHAEKGDALYAMELALSLEKLTNEKLVCLHGVADRNNDPQMTDFIEGEFLAEQVEAIKKIADYVSQLRRVGKGHGVWHFDQMLLHEGEGAL >KVI11290 pep supercontig:CcrdV1:scaffold_972:84234:118179:-1 gene:Ccrd_010301 transcript:KVI11290 gene_biotype:protein_coding transcript_biotype:protein_coding description:GOLD-like protein MASTNGLVPITRAFLSSYYDKYPFPPIVSDVSRLSDELHNMSNELCKGSPFLPEGERLLLQEAESQPPHKMDENMWKNREQIEEIIFLLESSHWPKSLQQQSTPEDIELAQVLKQLKEKFEVALKLVESFQTKNSSNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDVLVNSGGNIRDRYALLWKQQMERRRQLSQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDHGPMEEQRQRYGPPLYALTKMVLNIHLFLSLSWWRFEDIKLQKHQMSILEEAVQVYVSELDRFLKFIGEVFANSPFFVTAEEAGAIEGIKTDGYSETTVTAGKTHEVSLMVESVNSYIAWDFSIMQGKISTDIGFCVEHTDSAGQKTLILPYRRYESDQGNFCTVMAGNYRLIWDNSYSTFFKKALRYKVDCIPPVVEPIPAAEAEKNM >KVE95214 pep supercontig:CcrdV1:scaffold_9721:2826:3328:1 gene:Ccrd_026882 transcript:KVE95214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 eukaryotic/L18 archaeal VNGSLRSYSEVIRIAYSTSFFSSLTCPPQVHLWRACCFLHEGECSLTRDVTLMEDEPEKYQSRFSEYIKVGVDPENIEELYKKVHSAIHADPTPKKLDKQPPKEHKR >KVE95213 pep supercontig:CcrdV1:scaffold_9721:1817:2068:1 gene:Ccrd_026881 transcript:KVE95213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELLSTDGQDFFT >KVE95150 pep supercontig:CcrdV1:scaffold_9722:1786:7499:-1 gene:Ccrd_026883 transcript:KVE95150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MDLSMTQDLSTQPQEGDFGSPSELKDILQIDPQLIQKESDLCHRIRISLSNGTPKEAIVIYAQNRRNPLCILSVVPLVFKACASLSMINNGKANVVTWTVMVEGYASNGQMNAAKDIFEAMPQRNFFVYSSMISGYFKNGHVEEGKAIFDRIKVRNLVNWNSLISGYCHNGLCEEALDAFAKMQADGFEPDEVSFACALSACAQLGSLKTGITLHHMIIQRSIKLNQFILNGLVDMYAKCGDLTNARLIFEGMLERNDACWNAMISGFSIHGHCKEALEFFDRMVKSSVNPNEITFLSVLTACAHSGFIREGLETFSKMENYGLSPNIKHYGCLVDLLGRAGRLKDAYRIVIDMPMRPNDMIWMALLGACRVHADMDMANQVLERVRDQVSGDCSHYVLMSNMYAASERWEKAESVRIVM >KVE94076 pep supercontig:CcrdV1:scaffold_9728:3973:6583:-1 gene:Ccrd_026884 transcript:KVE94076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MMEKANCIKLVISCILTIISISQSQPITDVTTFGAIGDGITDDKLALQDAWNVACSSTGNLMLPSGNFLVGPTTFRGPCKPKTMVVNVVGTVKAIPRKDWNPEADTWLNFEHVENLIITGPGQFEGQGDSGWWDCEKALGFHHCNGVKLIKVTSKNSPKNHISINACDGAIVDNVTLIAPKESPNTDGIDISATNGVHVNGGTIQTGDDCIAINGGSSNIHIDGLFCGPGHGVSVGSLGRNGKTDIVRNVTVINTTFTATQNGARVKTVPAPVVHVSDVTFXDIHGTSSKPBAINILCSKSPNSCAGITLEQINIGAANPAQRVVSNCHNTQVQTIGVVSPPIVCTPASFISMDTVTHEQPSKDII >KVH93772 pep supercontig:CcrdV1:scaffold_973:55681:58152:-1 gene:Ccrd_004174 transcript:KVH93772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIYEMRIFGSSLLPEKWQLFLHVNDDRLITKTMKKA >KVH93774 pep supercontig:CcrdV1:scaffold_973:64834:67498:-1 gene:Ccrd_004176 transcript:KVH93774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone core MSGKGAKGLIMGKNAALNKDKDKDKKKPTSRSSRAGLQFPVGRIHRLLKQRTTANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKD >KVH93775 pep supercontig:CcrdV1:scaffold_973:69341:75371:1 gene:Ccrd_004177 transcript:KVH93775 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome subunit P45 MATADIAMKPADSGEKHEICSSRHTTAKQGEGLRQYYLQHIHDAQLQVRQKSHNLNRLEAQRNDLNSRVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >KVH93769 pep supercontig:CcrdV1:scaffold_973:37259:39670:-1 gene:Ccrd_004171 transcript:KVH93769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCVKIMCNIGLHDDHHHDTVCDHAYLYGDTHHTDDQDAIDGDDDDDGDYDYAPAASMEGDGNDNGRPEEGRALTKNLIKMSYVAKTIRGWSFKNHADGYSNGNDGYYNPASAKLQRDGDDDDADYDYAPAAISEANGDDDDADYDYAPAASEADGDDDDGDYDYAPAA >KVH93776 pep supercontig:CcrdV1:scaffold_973:148976:152500:1 gene:Ccrd_004180 transcript:KVH93776 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MKIVLKWSSILLIVLVLVVKVLMVLWWKPKRIEKHFGKQGIKGPPYCFFIGNAKEIVSLMVKASSQPMSMPFHHNILPRVLSFYHHWNKIYGPTFLIWFGPMARLTVADPDLIREVFCSKSELYEKNEAHPLIKQLEGDGLLSLKGEKWALHRKIITPTFHMDNLKLLVPVAASSVVKMLDKLSNMSDSGKVEIEVSRWYQNLTEEIVTRIAFGNSYEDGKHIFQLQARQMVLTSEAFLPTRRNRESWKLQKEIKKCLIGVIERRREKWDGEEMGNGPKDLLGLMIEASRKESLNSSPPAITTHDIAEECKSFFFAGEQTTSNLLTWSTVLLAMHPQWQVIARDEVLDVCGPRNIPTKDCVSKLKTLTMILNESLRLYPPIVASIRRAKTDVELGGYKIPRGTELLVPILAVHHDQTIWGNDANEFNPGRFVDGVVGASKHPIGFIPFGLGVRTCIGQNLAILQAKLTLAMILQRFSFELSPKYQHAPTVLMLLYPQHGAPVIFKHIPLDPSNKGDQGL >KVH93771 pep supercontig:CcrdV1:scaffold_973:50913:51185:-1 gene:Ccrd_004173 transcript:KVH93771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVAKTNRGWSFKNHADGYSNGNDGYYNPASAKLQRDGDDDDADYDYAPAAISEADGDDDDADYDYAPAASEADGDNDDDGDYDYAPAA >KVH93770 pep supercontig:CcrdV1:scaffold_973:46822:47094:-1 gene:Ccrd_004172 transcript:KVH93770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVAKTIRGWSFKNHADGYSNGNDGYYNPASAKLQRDGDDDDADYDYAPAAISEADGDVDDADYDYAPAASEADGDNDDDGDYDYAPAA >KVH93767 pep supercontig:CcrdV1:scaffold_973:82487:88559:-1 gene:Ccrd_004179 transcript:KVH93767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MAEIFDTHPKNGIFRVSMCGGAQELEQMSRDGSQYSLTTTILPSLGARSNRRVKLRNFIISPYDRRYRAWETFLVVLVLYTAWVSPFEFGFLERPQKPLSVIDNVVNGFFFVDIFLTFFLAYLDKSTYLLVDNRKKIAWRYVSTWLAFDVISTIPSELVRKISSGPIRTYGLFNMLRLWRLRRVSALFARYIGFNLKCLALCCLLSSLLLNARAYMQWYVHRASQLEKDRNFNYFWVRCAKLIAVRYGNENFEKERVWIRYVTSMYWSITTLTTVGYGDLHAQNRREMIFVICYMLFNLGMTSYLIGNMTNLVVHGTSKTRQFRDTIQAASSFAQRNQLPVRLQDQMLAHLCLKFRTDSEGLQQQESLDSLPKAIRSSISHFLFYSLLDKAYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYVLVTGAVVVGEAKTGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLSIIQANVGDGTHLKDIDDPAMEGVLMETENMLARGRMDLPLSLCFATLRGDDLLLQKLLKRGLDPNESDNNGRTALHIAASKGNANCVHLLLDYGADPNSRDSEGNVPMWEAILGNHEPVTGILKDNGATVSSGDIGQFACIAAEQNKLDVLKQIISFGGDVTLQKNNGSTALHVAVCEGNIEMVQFLLSQGADIDKPDDHGWTPRDLADQQGHHEIRNLFLSVKQTDDYSQLMKTSSLMPFQRAESRHVQFLGRFKSEPMMIRPGSQLADEGPLSQPRQRRRGNNFHNSLFGIMSNARDGDKNIDLVSPRPPQTGKENSRRRVIVSCPKKGDVVGRLVLLPQSFQELFEIGVKKFGFLPSRVLNNEGAEIDEIELIRDGDRLIFDCDIS >KVH93768 pep supercontig:CcrdV1:scaffold_973:15802:18927:-1 gene:Ccrd_004170 transcript:KVH93768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVAKTIRGWSFKNHADGYINVNDGYYSSASAKLQRDDDDDDADYDYAPATISEGDAMSLRMNNRVNLAHGNSSQQVQEDTINIDSNHFIDDPVVDGSSHRRVRGPTYMPSIWAREEGDRIPVLFNEYGQPVDKKTTNSLSHFMGSLARSGKYCPVDISWPQVITTKKGMLLNFIETKFDLLSGSDDWILKSFAKKVRNWRARIKKDYYDPSLSLQEQIKSKPKRVRPDQWMNLINNWNKEDAKESLSGREPSRMELFVACFSKDGIAKNVEVANAIVMGKDKLCSARMYGLGVRGSDIWGVLPSRSACYRENMLWKRAYKDVSNEVVELKAMVLEMRGSNENISSTSSVPMTSINRQATTELQPLNVGDVVHLKSIINSMEIVARGRVKSLDPDELVGGXEIGPNWCEVVHDED >KVH93773 pep supercontig:CcrdV1:scaffold_973:58580:64474:1 gene:Ccrd_004175 transcript:KVH93773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2358 MATAHLSGTVIRPISCNYVTHRKLKSPGSSVFFIKQFRNRALVDNRTRIRLSLVEQIPPKSSKVDVERLVDFLYEDLPHLFDDQGIDRTAYDEQVKFRDPITKHDSISGYLFNIAMLKLVFSPNFQLHWVRQTGPYELTTRWTMEMTFSLLPWKPLLVFTGTSVMGINPENGKFCSHLLRIYKTPDLETPKYQILKRTATYEVRKYSPFIIVETQSDMLAGSTGFNDVAGLPDPNKEAVGLRTVEGGFAAVLKFSGKPMDDIVREKEKLLRSSLLLDGLKPKDGCLLARYNDPGRTKSFMMRNEVLIWLEEFALD >KVH93766 pep supercontig:CcrdV1:scaffold_973:76501:81915:1 gene:Ccrd_004178 transcript:KVH93766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MNGELQLEPRGLENPSDSDPLLPNHQNTGSESPSPSPAVAASSSEIKDEDVEAGSIATCRICLECDGEEDDDLISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVVESEDNSWRKIKFRLFVARDVCLVFLAAQTVESSNFTCFLKLVIGMMGGLAYLADKDGSFRDSFNDSWDRILSRHPIPFYYCIGVLVFFVLLGFFGIILHCSSFNNDPRMAGCQNCCYGWGVLDCFPASMEACFALVIVFVVIFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTQEYVVEDLQGCYTPPKLDPEHVERLKMLNLL >KVE93678 pep supercontig:CcrdV1:scaffold_9731:313:1180:-1 gene:Ccrd_026885 transcript:KVE93678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSYRLQSNKKGSKFSNTTENPVDVENESDKVAILNVVEAKQRVTDCSTRRETICKRPPKSREDSKGDDDFEVQDENIRKKVKCVKGDTKGREDNVKDPIKTHLALRTRTSPKPLYTMIQNLSPSQIVCKINGV >KVH88415 pep supercontig:CcrdV1:scaffold_974:3163:16526:1 gene:Ccrd_026886 transcript:KVH88415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWADALLIVLQTKQVIGNLFFPRMVHPGPPTPLGGAHTVPSSLLRSNSGLLGGQGGPMSPQPGFPSLLSSRTQFNSSNMLGNVSNVSSLLHQSYTNGGPSSGISGLGSSQRDIIDAGDESDPLSVIRNGMGCNAPSSSFSSSNIVHPNASGQLSGQQFPTSSGNQILSDQQSAQQLESENIQQSQNSLQHVSVSHNDRHHHHHHQQYQNIRGGLGGVGQVKLEQQMTTYQHGQTPQQFQALRNLGPVKMELQHSQSMANLPPVKLEPQHSDQSLFLHQQQQLHQHRQQQQFLNVSRQSSQAASAAQINLLHQQRLLQLHHQQQLWKNIPQQRSSMQPQFQQQNLALRSPAKPVYEPGMCARRLTHYMYQQQHRPEDNSIEFWRKFVAEYFASNAKKKWCVSLYGSGRHTTGVFPQDVWHCEICNKKPGQANVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIIFSPDLKICSWEFCARHHEELIPRRLLIPQVSQLGNAAQKYQASTHNASSNLSVPELQSNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETSIGPMESLAKFPRRTSGFHSQQQQTASHKTSNNETSGQATAVNNSSTGLSNGTIAGMLLHQNSMSSRHQHPLTTTAANSLYGIQVSSPPQPQSNMSSSAPQNNISMISSDTEPPSDSQSSVQKILHEMMMMSSSQLGGSMASDVKTSNNNKNSGVMVVNGGIRIGHSSLQQQQQQQQQQQQQQQQDVMNGFNSLLPFDWKAASP >KVH88414 pep supercontig:CcrdV1:scaffold_974:147473:147846:1 gene:Ccrd_026888 transcript:KVH88414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKESKWPFKLNPRQISLGQFVSRIGLYLPKQLYIHGQLYLVVSRVTSHIRLTIINANEEMEDRTLIKILSTMKCPITFIHPPNRQ >KVH88416 pep supercontig:CcrdV1:scaffold_974:16541:35582:1 gene:Ccrd_026887 transcript:KVH88416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNIVCKGFQSEAGFAYMMMMIYIEETMMMNKCILFQNCNLCSAWDDDEVKEGKQFSSLLDSRHENCVSWSLATRIVVIIFAEVP >KVH93433 pep supercontig:CcrdV1:scaffold_9743:1888:3761:-1 gene:Ccrd_004514 transcript:KVH93433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINSIPMIYHTSSRSSTKPSSSCNEKHHTYCFIVVMKMASSFNKLHILLCLLFATQTTMTRGQTRVDFYGTTCPRVQTIIQATVQSAFQTNPGVAAGLLRMFFHDCFVLGCDASILLSGTSTERTARPNLSLVGFEVINAAKSQIETACPGVVSCADILTLAARDAVVLVPTGRRDGLVSQASDAVNLPAFNDPISVQISKFRDKNLTAQDLVALVGGHTIGTAACAVFSYRLYNFSGTNQPDPDINPTFLPQLRALCPNGGNASTRVALDTGSVNSFDTSYFVNVRNRRGVLESDAKLLSNSTTLAFVQKFSGAMGVPQLNFGVEFARSMVKLGNTELKTGSQGEIRRVCSAIN >KVH93434 pep supercontig:CcrdV1:scaffold_9743:5799:7155:-1 gene:Ccrd_004515 transcript:KVH93434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl synthase, C-terminal MEKPADDGFLGKLLVMGASDSWNMXMSFISVILLPIVGFMGSNFSISAXCATSNFCFCAAVNHVRQGKADMMIAGGIEASLVPIGLTSLXALRVLSTKNDDPKTASRPWDKDIDGFIMSEGAGVLVMESLEHAKKREAPILAEYLGGAXNFDXYHITNPRSDGLCLSSCIQSSLVXXGVXVEEVNYINGHATSEVVGDLAEINALKKVFKNTVGIKMNXTKSMIGHSLGAAGGLEAIATIKAIQTGWLHPTINQFNQEPGIEFDTVVNRKQQHEINVAISNSFGIGGHNSVVVFSAFKP >KVH96472 pep supercontig:CcrdV1:scaffold_9748:2763:2948:-1 gene:Ccrd_001442 transcript:KVH96472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bet v I domain-containing protein MTSASIEIEVPSSFPADKVFKVFSDFDNIAPKVNPAVFKSIETIEGHGGVRTIKIFTFGNGR >KVI01676 pep supercontig:CcrdV1:scaffold_976:54515:63479:1 gene:Ccrd_020045 transcript:KVI01676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 6 MGTTTALAPGLSRKLKKVLETRTDNPDLLASLNTLSTFYTDNTAPARRNLRSTIEKRSLSINHDFLXASDAAQQALDRVEEEVNSLAECCDKIAKSLSNCNSTTGDIITTTERLKQELEVTTQRQEIASCFLRDYQVSTEEINALREEELNENFFKALSHVQTIHANCKVLLRTHHQTECRRLGDTDNPEVSELLKMAVRCLRERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEALASERELVFVLLDPDAVVDTGPTARRFSKSTEIIQDKAEPDLTFVLDRIFEGVCRPFKVRVEQVLQSQPNLIISYKLSNTLEFYSHTVSDLLGRETALCNTLWALKDAAQKTFFDILKVRGEKLLRYPPLVAVDLSPPPAVREGVSVLHELIEIHDSMMVPASGKKPIFDPVISALLDPIIQICEQAMEAHRSKGSIESARRGRTRSDVAQSRRSSNNEAPSRIFIINCLCAIQQPLLRHDVASEYVKKLGTMIDNHMRSLVEKEVSAILSRCGLSNKMPLFHNSLAPLADMEETSPASLSDSLKAFFGLILGSDTSLPEFQQMQLPGLRSDACVHVARSLAEAYDVIYNAIMDPNNGYTDPRSLARHPPDQIRTILGI >KVI01675 pep supercontig:CcrdV1:scaffold_976:108255:109937:-1 gene:Ccrd_020046 transcript:KVI01675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide, MLP1/MLP2-like protein MITRSVLHILFSQRKIMICVLYQHILFLTYQLNTGSGYCFLFHPDSSLRECNQVAVDLDVDLDIAFVVFAFEYDHKYQTDGRVTLYIKKLSLQLFNLIPHSLHLSCNWITIHNSFVLNVPSLMAYFNLLKGISSCLVVLAVKNFNAHGSDDLCFLLSTRAGGLDSELRRLSDLLRTKNEELKSKWETEKLVLGEVKDKAEKKFHEITEQNKILHDQLEALPIKVAGKNHGSSAEFFGLLNCSKSFIFCSVF >KVE91669 pep supercontig:CcrdV1:scaffold_9764:6563:7357:1 gene:Ccrd_026889 transcript:KVE91669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MGQTLKLRDMINDDSFSDIVNPDGNRVAAIAALPWDKDGLSFQFNYSRVEIRKSEALQRLHSFLVSESENGYITRQEAVSMIPPLLLDVQPYHKVLDACAAPGSKTAQIIEMLDVNLVNLECKQSNSSSVSSGMVVANDVDNKRCYMLVHQAKRLHSPCFVICNHDTGVMPNFFSETDGNRTRMKFDRILCDAPCTGDGTIRKNVDVWTKWTISNGNNFH >KVE91297 pep supercontig:CcrdV1:scaffold_9766:5748:7398:1 gene:Ccrd_026890 transcript:KVE91297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSFPTSILSPRPSITLHISAPLLNRCFCDNKNQWLHVKRLEARTHIFHLATIHFAYAKELRYLYNFLLIKLHAHVGIHVDSPGXMFDHYFDADFDVDSLDLEVINXTPTVLPEEGIRQQLSLNAAMINGSLYNFSLIKLLAHVGIHVDAPGRMFDHYFDAGFNVVSLDLEVLMGNRSSLLVDVPRDKNITGMFRQGVYSS >KVH88413 pep supercontig:CcrdV1:scaffold_977:127074:128767:1 gene:Ccrd_026891 transcript:KVH88413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHDHVMVSAVAALYQPFVLSDNIIYGIKPDDSEFRPYAPDTYFFGYPILLMILNPDLFTLLFFISILHFKHFVETGRSAHWGRASGYATFGDLTPGNILTGFGFYEPYWLVDFEKFPESTLTSDIGNLKVLILPKFRVNPVRNNHLLALDHIFPSGDVYYAEEYSRLEQ >KVH98620 pep supercontig:CcrdV1:scaffold_9779:3245:4597:-1 gene:Ccrd_023156 transcript:KVH98620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLSSGNMISGQTGSSYGGLDLQGSMRAHHQQHNNPFTLNQHQHHHHLQSSRQQGSMVHPSIHENLPLRMGMMQDCDRHNPTISLADFGKGERGKSCVSDEDEPSFAEDGHENRNDDNRGKNMSPWQRVKWSDPMVRLLITAVSYIGEDAAMEYGGGSRRKYSNLQKKGKWKSVSKVMAERGHFVSPQQCEDKFNDLNKRYKRLNEILGRGTSCEVVENPSLLDLMDHVSDKAKEEVRKILSSKHLYYEEMCSYHNGNRLHLPPDPELQRHDLVCNKNFGSQPKNVQADMNQALPEGVKANLLQDQWMKHRLVQLEEQKLHIQAQMLELEKERFKWQRFRRKKDRELEMMKMENERMKLENEQMALELKRKE >KVH98803 pep supercontig:CcrdV1:scaffold_978:74154:101148:-1 gene:Ccrd_022969 transcript:KVH98803 gene_biotype:protein_coding transcript_biotype:protein_coding description:FF domain-containing protein MDNNPQNPGSQFRPVVPAQQGQPFMQSASGTQQYRAVGQGMPGQTQLPQFSQPMQMSSRPGQPGHPSPSSQAMPMPYMQPNMTFTSSSPQFQNSATTNSHVPSLGGPGVPLSSSYTFTAPSFGQPQNATNVSSQFHTVSQMHTPGASTGGQPWLSSGNQGPLTVSSVPQTGQQPSTTTPTNQASNVSNTSQDASSDWQEYTAGDGRRYYYNKRTKQSSWEKPLELMTPLEFVLCRYYYNKDTKQSKWTIPEELKLAREEAEKEASRGTQSEMATNPNASAVLTPSALDRPSASASSVNDVSSTAPRVASSPVAVAPVIPVGNVPPNVGSESSSTAAGERVTAVPLIPAVGGASMVSPMTSTENSSSHGVPKAVEVSAQDTEEAKKGMAVAGKVNTTQLEEKAVDDEPFIYASKQEAKIAFKSLLEDANVEADWNWEQAMRVIINDKRYGALKTLGERKQAFNEYLMQRKKLEAEERRLRQRKAKEEIPDAHPDSSDPGCTYHQQESKELTSSMRWSKAMAMFEDDERYKAVERPSDREDLFQNYLVDLQKKLLYISLCLFLSALHGFQFQERAKAQEEQRQYRLEYRQFLESCGLIKVDTQWRKVQDRLEDDERCSRLEKIDRLEIFQEYIRDLEKEEDEQRKIKKEHIKRVERKNRDEFRKMMEEHVISGALTAKTQWRDYCQKVKESVAYQAVASNTSGSTPKDLFEDVTEELEKKVLVSDFTHVHQWKIYEIESIGYHEDKTRVKDAMKLKKVTVAPTWTLEDFKVAIEEDIISSLSDVSLQVQEAVLRKLFTTAYNCFYGMIRLYVSFCKSNVFRVFYGWKCLGYFYLEMNVDESSLELVFEDLLERAKEKEEKEAKKRQRLAKDFTELLYDIKEITASSTWEECQQLFEESSEYRAVGDESLARETFEEYVARLLEKAKEKERKREEEKARKEEKERRKEKERREKEKEREEREREKDKKKDDSDIENLEIKEEKKREKEKDRKHRKRHQSSIVTDDVSSDKDEREDSGKKSRRHGSERKKSRKHAYSPESDSESKHKKHKRDSRKNGGGHEELEDGELGEDGEIQ >KVH98804 pep supercontig:CcrdV1:scaffold_978:14475:15254:-1 gene:Ccrd_022968 transcript:KVH98804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MFDQMMLHYPQRPLENRWKPSVEIAPNCPRCASPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRSRPARSSQTGGGGSLGYLNNPSCSGDSANESPERSNIDLADVFAKFLNQNSDQADLEIVGEDSSSGIGIEMPVCDSTEEVELQNMVIQDPFMGDDNEIEEFMVQNTNLLELHDILNEELPQEISWSDETTTLSSFTWQQDFEPFICNNDDLNISADVVTDNWSPATLDLPSVGNFFPSF >KVE90278 pep supercontig:CcrdV1:scaffold_9798:783:2690:1 gene:Ccrd_026892 transcript:KVE90278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase, metal-binding domain-containing protein MSDVKVSIFFFSNLNFPLSRYTQLHRVQRKTCIICVTRWWKDMKFQSSFPYIRDRVPEIYLWILGLYLEPCYSQARIIVTKITLFLVVLDDTYDAYATIDEIRIITDAINTWEIGAVDQLPEYIKPFYRILLNEYDKLEKEYTNEGRAYNVHASKQAFQEIARGYLEEAEWLHKGYVPTFPEYMKNGLITSAYNVISKSALVGMGAIANENALAWYETHPKILKAS >KVH97955 pep supercontig:CcrdV1:scaffold_98:77395:78066:1 gene:Ccrd_023823 transcript:KVH97955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MQRDDSSTYSTSTMNMNPKFPDQQEHLKCPRCDSINTKFCYYNNYNLSQPRHFCKNCRRYWTKGGTLRNIPIGGGTRKNTKRSLNSNKRGGAHSSSSSSTSPAVLAPPVAQPKPEVSGMYGRYGDDRMDGGGGSFSSLLGSPPGGQFAKLLMDGLSPNLVDGSVEDGLIRNPSAEEFESNFLRMNHKTSNQIEGAGGGESSYYNQRDNGWPDLSIYTPGSNFH >KVH97963 pep supercontig:CcrdV1:scaffold_98:460896:462598:1 gene:Ccrd_023789 transcript:KVH97963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaH, reaction centre subunit VI MASLTTSAPPAAATIKGLGGSSLAGTKLSLKPSRLSFRPTSSYRAGAVVAKYGDKSVYFDLEDLGNTTGQWDVYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSSTATGDVLPIVKGPQEKPKLGPRGKI >KVH97953 pep supercontig:CcrdV1:scaffold_98:100844:111744:-1 gene:Ccrd_023821 transcript:KVH97953 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein MTEQDKDIEYLFTEMFTTDHVQEQEMEIEALEAILMDELEEIHPSESGLNTSNRCFQITLSPQDDETDEYSTTPVQLGLIFSHTEKYPDEVPLLNVKSIRGIHTSDLKILKEKLEQEALENLGMSMIYTLVSSAKDWLSEIFAQDADDDDDEEETAEKDEVIVPHGEPVTVDTFLAWRERFEAELALQRAKLMPESALATTKEKKLSGRQWFESGRASSKGAVPIEDGSDEEDEDFDFDDEEDFEDDEDDMLEHYLAEKSDSSAHSSARAT >KVH97954 pep supercontig:CcrdV1:scaffold_98:119136:129191:1 gene:Ccrd_023820 transcript:KVH97954 gene_biotype:protein_coding transcript_biotype:protein_coding description:PWWP-like protein MGSSFEANGKSIDPSVGGLVWVRRRNGSWWPGRILGPDELPESSLVSPRSGTPVKLLGREDARAKMGIKPTKSAKKIVQTGPDGLCDGGHVAWNVPQSPKMSRCYAMCSMRPRIGVPRMMVEGFRKRGWILDDVENLNLIIVDVLKTKPLLHSPRISSYGAWLRSKDWYNLEKSKRVKAFRCGEYDECIEKAKVSAAISCKKAVKYARREDAILHALELESSQISKDHPQMEKPGGKKPDVEESPICFHPEEETEGTSDKLSGLEYMSNSPPELSQGGALIDDSLQGGRRRTPNDSEDDGIEGSKKRMRGLEDIGVSGNSSFKRRRSQVAHVHEFLKKKNRRRQLTKVLESTAMVTVPVMCEQVTGVTGSFLPNGSDNKVSGLECNESKRSFSVVINNNSDSTGDASNNVFLTNCKQKENEISSISELAENDSIVRLFDVPFIGEEKQTAGMLAGVGAQSGQSSLIETVSAGHDEIQESGSISSGAAGISDISHRIDNKGTSKWQHKGKRNSRNKSKSKSKFLDTNVVDNDADVVPSRTDSMEQVVEGQTVEITAPQRLLPYRQSRFTVNPKYQSSDLSYRKHDIGSLYDVNIEVKTGHRPHHVPYISLMSKLTGQPITGHPIVVEVLDNGVSDLSLNGSECHSSSCELDGDVGWLQVQETRGSPGKSPKKPRRNGILSNKKIRRLSSLTGSKRLNETGKFKKPGLACVPLKVVFGRINAALGISSTRSENFGDAGDTR >KVH97981 pep supercontig:CcrdV1:scaffold_98:372871:378339:1 gene:Ccrd_023799 transcript:KVH97981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGGGGGGGGGGGGGGGAFGGNRGARPVPPEKGVFPLDHMHLCDMEKKEYISCLKTSGHKSEICRHLSKKYLECRMAKNLMAKQDMSELGFGKEDNSEISKEESENGRMID >KVH97986 pep supercontig:CcrdV1:scaffold_98:454757:459124:-1 gene:Ccrd_023790 transcript:KVH97986 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF4308 MAYLISNLPLPPLFACEKRLFCTPINKHTVSAIGGFIDVFDRNRFNLVLPLEWDKPEDRIALVGFGFAAVVVLWASLSVVTWFVYRYLLFKPDRKELVEAINKSVSDILGQ >KVH97984 pep supercontig:CcrdV1:scaffold_98:438693:449755:-1 gene:Ccrd_023792 transcript:KVH97984 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA element modulatory factor 1 DNA binding MAWFSGKVSLGNFPDLAGAVNKFSESVKNIEKNFDSALGFEDKAGGTTSTAEASGSWPADLMAFMGQKGEDGTVESMEKLESSSPQHTSFSKEKEGDQADNPANATDEHYQSVREEKAETLKPEEHKDHPAETNIDVLEEANSEKPAKKNIEVLEEANCKKPTESSIALSEDADIADKPLEINVAISEYAENVAEKSAATNIAVSDETTTTAADHSEAEVDSRAELSADHAEQSEFVVRVLSRDSSEVGSSKKSESIQDESTNLSDQVVVTSELNEVHPAPDLPKDEDGLKIQLEEASQEVSAVEVVKPSYDGQDGIVTELNEVQSVIHETTKVAEEGQLHSLQSSDDVSSVVPEVVPKENNANDEIHIPSELTSDNQSDIKEKHLSSESNSSDNADCMVELEKVKREMKMMETALLGAARQSQAKADEIAKLMTENEHLKSIIEDQRRKSNEADIESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEALSKKQAAQESQIRKLRAQVEENKVESIKKDKAATEKLLQETIEKNQAELATQKEYYTNALSAAKEAEALAEAQANSEARTELQSHLREAEEREVICEELVMQVPDSTRPLLRQIEAMQENTARRAEAWTAVERSLNSRLQEAEAKSAAAEERENSVNERLSQTLSRINVLEVQISCLRAEQTQLTRSLEKERQKAAENRQEYLALKEEADTHEDHDIEREKAARLELETTTRLQSSIASLDSSDTFSERRNPGEPTMNSYYLKSMTPNAFEAALRQKE >KVH97985 pep supercontig:CcrdV1:scaffold_98:452149:453990:-1 gene:Ccrd_023791 transcript:KVH97985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLNRVQATAKAKRSLATIFDSDPSSSPRYQYRSLYHSQLPPTTHHHHLPPKLHLTNRSPLDYRSILRSFISRRAIQPGRQLHAHLCLIGLGYDTILATKLVDLYCSCNYLSNAHQLFDRISKRNVFLWNVLIRGYAWNGPYNAAVSLYYEMIENGVVPDNFTFPFVLKAPTVATLVTSISAAADTAALPQGRELHGYSWRQGFEFQDKVKTALVDMYAKSGSVTVARNLFEWLSEKRVVSWNAMITGYAMHGHAMEALDLFKKMAREAQPDHITFVGVLSACNHGGLLTEGREFFESMIHEYRITPTVQHYSSMVDLIGHYGRLDEAYNLIKNMNIKPDSGIWGALLNSCKIHKNVELGELALEKLIELEPDEAGNYVIMSNIYAQSGRWEGVAKLRDLMTNKKLKKDVACSWIEVNNKVHAFLSGDNSHPMCNEINAELERVEKLMIEVGYVPNTAPVFHDVDDDEKTRMVCRHSERLAIAFGLISTPNRSKLLITKNLRVCEDCHDAIKFISKITEREIVFRD >KVH97957 pep supercontig:CcrdV1:scaffold_98:41445:54049:1 gene:Ccrd_023825 transcript:KVH97957 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA+ ATPase domain-containing protein MAIDQSTKKNNGHGEDDNGGGEQVRPEWKNLNRLGNKKDAVINPSFSLGIYLKECNYLLLKAKNVGTRSAQEQKHTPSTHGRSIRVHVGDFDLNSPAMQRSILDILNLVIVFLFYLVLLLSYIRKNTTSRSRGRSDWISISVAFCCFFTSVAYLIAGLWVATDGTHQFNHMNWWVFSVRALVWVSLMVSLLIERFTSVKILASVWWVFFFGSISVVNIMSLAEKHSIMILVLIEWAASFLLLLCALRNTKHFFTQHNPSQTLSDPLLANETQESTRSQLEEPSFFSKLVFSWVDPLLALGYRKPLILEDIPSLSSTDQAAVSHEKFTKAWDSLERDNGNLVSKALAKVYFREMVFSGICVFLRTISVVISPLLLYAFVDYSKNETQDLHQGLLLVGCLILVKIMESLSHRQFFFNARRTGMRMRSALMVAVYEKQLKLSTLGRKRHSTGEVVNYIAVDAYRMGDFPMWFHVGWSCFIQLFLAIGILFSIVGLGVLPGLLPLLICGLLNLPFAKAIQKCQLQFMVAQDKRLRSTSEILNNMKVIKLQSWEDKFQKVIESCRETEFHWLRESQFKKACSTGLYWMSPTLVSSVVFFGCVLLKSAPLDAATIFSILAALRTMSEPVKLLPDAVSALIQVKVSFDRINCFLVDDELKDNRTKRHQELENSHDCIRIQDGNFAWDPESPTPTLRNVNLEVKCRKKVAVCGSVGAGKSSMLYAILGEISKTSGTVDVFGSIAYVSQTSWIQSGTIQDNILYGKPMDRTKYERAIKACALDKDIEAFNHGDLTEIGQRGLNVSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAATLFNDCVMNCLERKTVVLVTHQVEFLSAVMQDGQITQSGNYEDLLMAGTAFEQLVNAHKDSITSLEPSPPENKSELLQTGNVHQSTENTNESYLSKENSEERISAKGIQLTEEEEKVIGNVGWKPFVDYIIISEGSWFLALCVLSQVGFVGLQAAASYWLAFGIQISKITNIMLIGVYTLISTMSTFFVLLRSVFATLLGLKASKSFFTKFNDSIFSAPMSVLDFDIPFSFNYAVAAGIELITMIVIMASVTWQVLIVAIFAIIATKYTQMQERFFKNYLSLVDTDASTFIFSNATLEWLVLRTEAFSNLTLFTASFLLVLAPKGFVSSANYIISVERIKQFMHIPSEPPAIVENNRPPSSWPSKGRIQFQDLKLRYRPNAPLVLKGITCTFEEGTRIGIVGRTGSGKTTLITALFRLVEPDSGRILIDGLDICSIGLKDVRMKLSVIPQEPTLFKGMLLRRNKILVLDEATASIDSTTDAVLQKIIREEFSNCTVITVAHRVPTVIDSDKYDEPSKLMETDSFFSKLVAEYWSSCRRNSA >KVH97993 pep supercontig:CcrdV1:scaffold_98:220849:228078:-1 gene:Ccrd_023809 transcript:KVH97993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVTVGVAVVGAAAVCAAAALIARHRMRSSSLCVKAADILKELEEKCATPAAKLKQIADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGKEEGVYYALDLGGTNFRVLRVQLGGKSGIEFTEFAEVTIPPQLMIGTPEVRFSFLSQLMQNLLSVQFALFDYIASELAKFVSDESEKFWLPPGRQRELGFTFSFPVNDTVGTLAGGRYENSDVCIAVILGTGSNAAYVERAQAIPKWHGPHPKSGEMVINMEWGNFRTPEMSAMHHDTSADLKVVGNTLKDILGISDTSLSTRKIVVEVCNIIATRGARLAAAGILGILKKMGRDTVRDGEVPKTVIAMDGGLYEHYTGYRNCLENTLHELVGDQLSQHIKLILSNDGSGIGAALLAASHSRYV >KVH97988 pep supercontig:CcrdV1:scaffold_98:402474:403181:1 gene:Ccrd_023796 transcript:KVH97988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MASSLRLHLPFSSPYHHRSAAIHLAAKSIRHLTIRCGPRDNRGPLHKGRTLSIEAIQAVQSLKRSHRSDPANNDAVSKTLSRLVKSDVVAAFNELIRQDQFDLALKVFSAIRSEDWYKTELSLYAKLVSSMASKGMADDIDRLILDVEPEAVISADSKGLITLIKALIAADRAESTVVIYEMMKAGGWGCNSVTDDYLGKVLSRGLRRLGKKKVADEIDREIGRVSGGILENMRV >KVH97968 pep supercontig:CcrdV1:scaffold_98:500622:503584:1 gene:Ccrd_023784 transcript:KVH97968 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF630 MGCSESKINNVETVNRCKQRKAFMQRSISARNAFAATHFAYAAALKNAGAALSDYAQGELWFLDQFHSTSATSSTTTSSHPPPPQHLRYDSLPPPPPPPPPHPDSSDFKPRSNLIVNEVDEAEDHNIKHSRYRSSNGGRARSGIVKSNLKYEIADDRNLDRAAPPPPENDEISSWDFFSTLMKDMPGPSLAEDDDKRSRNDGRPKIPRKILENQREHDGGGAIRTGGGYGKAVEESEVKPPPSAMKGGVQNVNLLQVFKELADCFMKASESVHEVSKILEANRVMRVITWNKSFKGPQIADDSKDDLTSKENDQTHATVLDKMLGWEKKLYDEVKLPSDAQQACEDVKYEYKKKIDSMNKLRKRSASRDSLERNEAMISHLHTRCIVNMQSTDSTVSEINRLRDEQLYPKLVQLVKE >KVH97976 pep supercontig:CcrdV1:scaffold_98:208746:215950:-1 gene:Ccrd_023810 transcript:KVH97976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup MEFWIQKPFHFLQSLIPLLVFFLFQLTKPCSSYDTPLNCTETSRLCTSFLAFTPSPNQTLAVIMSMFDVLPQDVTVEGNGRDYVFVRKNCSCAASPVSKYLTSTTYTVRENGGSLYSMVVDAYGGLGYLSNFSRPARVGSVVSLQLFCGCSNGLWNYLLSYVMKEGDSIGLLASRFGVSMDSIETVNGINNSDNVTIGALYYIPLNSVPGEPYPVKNDTPLAPAPAPAPLFVADVAVNQSNHKSHVPLRWIIASLGVGLTLIIAVIGFFVYSRSSICYDDVEGSRTKDPKDGKVPHKFHILRTTSFCCGSRRFCCKSDDWRETTGESSDRHMNIPKVIGTDVFDIEKPVVFQYEEIFSATDCFSESNLLGHGMYGAVYYGLLRDQEVAIKRMTETKTKEFIAEMKVLCKVELIGYAATDDELFLIYEYAQKAHVEQPGNLLSTLMKITVTYYTLGHTTLSWIMRVQIALDTARGMEYIHEHTKPHYVHRDIKTSNILLDGAFKAKISDFGLAKLVGRTNDDEASVTRVVGTYGYLAPEDGLATAKSDVYAFGVVLFEIISGKDAIVRTEAVVTKNSERRSLASVMLAALKNAPESISSSSLRDHVDPNLMDLFPHDCVFKDDPVLRPDMKQVVISLSHILLSSVEWEATLAGNSQVFSGLVQGR >KVH97977 pep supercontig:CcrdV1:scaffold_98:196480:206331:1 gene:Ccrd_023811 transcript:KVH97977 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II-associated, Paf1 MASYRPFPQPPPPPQSNFGRPPPPLPNHTTLPPPPLAPPPQPRGNQYSQNWGYPNSSFQQGSYAPPPGTSVPNQQQQQFASYPPPPPPESSYPPPPPPPSQPAPRGQMYFPSSQHAQLHNQPIQPLLQPPPPPPPPSSPPSSSAPPPPPPPLQPPSPPPPPTTVPTKDQAKENRHEGYKRPSNDPEASKWRNPSHSHHAGPSRQHSKPSSLPPVPARKSNGGPPGRVETEEERRLFKKREMEKQRQEEKHRQQLKESQNKVLQKTQILSSGIKPHGSVSGSHMGDRRANPFLSGERIENRLKKPTTFLCKLKFRDELPDSTSQPKLLSIRRDKYRAARYSVTSLEKVHKPQLYVEPDLGIPLDLLDLSVYNAPKDEILHVAPEDERLLQEDVSVTPIKKDGLKRKERPTDKGVSWLSLTEKQAKDLRERRGNNILDNLNSRERKIQDIQASFEACKSHPVHATNKKLQPVEILPLFPDFERWDDQFVVATFDGPPTVDSESYNKMDKSVRDAHEAQAIMKSFVASTSDSAKPDKFLGYMVPSVGELSKDISDESEDISYTWVREYRWDVRGEDVDDPTSFLVAFDESEARYNPLPTKLILRKKRSREGKTGDEVEHFPVPASITVRQRSNVLGGSRGRSSNGRRHDGNMEMEDMDLQSSGAEYD >KVH97992 pep supercontig:CcrdV1:scaffold_98:287210:313490:-1 gene:Ccrd_023804 transcript:KVH97992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNLPVIAKKVWSLMRVMLLMLKKGISKTKFLADLNMMMKRGKIAGKALHNLLFHHHHNWAASTFHRHHHHQHHLSFPAPSSSDSEFSCTTSPPPSLSPFSTHEKNHRSTTQNPPPPTADDGIDEMITDTAVMKMLTSAAASPPALFGRSLFVKQLRITDSPFPVGDGGEDSQLQQHPTLPTLSLFHPASAFDHRHPQHLSFTAPPPGEYEFSCSNTPPYPLSLFSTHKKRQNKSRGLATTNPPMAAVGYCDDVITNAELLKALDIMITSSMASPPLPAGSRTMPMVEPLRIKDSPLALIHGEEDGQVDEAAERLPVMAKKVWSLVRVMFFMLKKGIAKRKFLLDLNMMMKRGKIAGKALHNLMFHHHHNWAASAFDHRHPQHLSFTAPPPGEYEFSCSNTPPYPLSLFSTHKKRQNKSHRLATTNPPLAVVDYCDDVITNSELLKALDIMITSSMASPPLAAGSRTTPMVEPLGIKDSPLALIHGEEDGQVDEAAERSLSLSHTHTHTHIALIKTLKKMESRLPVMAKKVWSLVRVMFFMLKKGIAKRKFLLDLNMMMKRGKIAGKALHNLMFHHHHNWAASTLHRHSQHLSFTAPTPGEYEFSCSDTPRYPLSLFSTHKKRQNKSHHLATDNPPLEAVGYCDDVITNSELLKALDIMITSSMASPPLPGSTLRIKDSPLALNHGEEDGQVDEAAERFIRRFYNDRIREYLSFSLIAFIKTLKKMESRLPVMAKKVWSLVRVMFFMLKKGIAKRKFLLDLDMMMKRGKIAGKAFHNLMFHHHHNWAASAFDHHHPQHLSFTAPLPGEYEFSCSNTPPYPLSLFSTHKKRQNKSHRLATTNPPLAPADDCVDIITNSELLKALDIMIASSMASPPLPARSRTTPMVEPLSIKDSPLALIHGEEDGQGISKTKFLSDVNMMMKRGKIAGKALHNLLFHHHHNWAASTVHRRPHHLSATHSPPPPGEYELNKKHQNNHHSATTPPAIDDGDEIIITPAVMKALEMLTSAAASPAALPAGFWKSPKVKQLRITDSPFPLSNGDDDGKVDEAAEKFIMRFYNDLRRQN >KVH97974 pep supercontig:CcrdV1:scaffold_98:154515:164474:1 gene:Ccrd_023816 transcript:KVH97974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold MAAIMPTFSLRQLPWLNKFRSALSPPPPPLPYLRRSSSSMALPLDVSSAISSSSIEDSEEPKLDIDTFSTAIEEPNNRNKNRKTKPLFPKRGETLELVCESIAFKGKGLCKVEDTGYVVMCDRALPGERFIGRVTRKKNNYSEFDAVWALLEVQVTKLKTLSPHMDMVEAPCEYASHCGGCKTQSLLYEAQVRAKEDQVRDLVVHVGKFSFKDPEFDNVMKAIVPCDMQFHYRNKMEFSFGPKRWLPKEQLHERSEDANVYALGLHAPGFFDKVLAAVQDCWRNQELGLSPYDCHSHAGFLKHLMIRSGRDVETRLPQLMINFVTSSYKPELLKPLVDKLAVIPEVAEILYKLVEDSAGLKGDGSEIVLDLFCGTGTIGLTLAKKVKHVYGYEVVPEAISDARRNAKLNDPNRPGMHTNLIKYLLKLKAPRIVYVSCNPATCARDLDYLCHGSVEQKLQGCYRLRSVQPVDMFPHTPHIECVCLLELR >KVH97998 pep supercontig:CcrdV1:scaffold_98:339588:340745:1 gene:Ccrd_023802 transcript:KVH97998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, GATA, plant MAMEEPDYFIGDYFGVGECYFGHQKPTDIINNNNQFIIDDLLVDFPNNDDVVLNDAFFDNVNGRSTDSSTVTAVDSCNSSVSGGEAPFSGNISSRRFSESQFSGVEICLPYDDLAELEWLSNFTQESFSTDDFQNLQIISAANKAPATDTSSSNTTPEFRLKPVVATRINSEIFQTDVFVPGKARSKRSRMAPCDWNSRILHLKSSSTNTDIKPKPTSFKRREIPETSIVRCLHCGSDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTYVSAKHSNSHRKVMEIRRQKELRNAHHELVNRSSVLHESNSRDDCLIDSQSGPIFMEMI >KVH97966 pep supercontig:CcrdV1:scaffold_98:511915:512223:1 gene:Ccrd_023782 transcript:KVH97966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVCWWTSACRSRYKTDCHMIVNVTIICLNIGLKTPIWRILWRKIKEKKKKKKVNGAPNSTRFGYDPFEYAQNFDQGLMVDDSDDLSRSFSARFAVPSAV >KVH97980 pep supercontig:CcrdV1:scaffold_98:134239:134850:1 gene:Ccrd_023819 transcript:KVH97980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MGQAEKLMNQIMELKFTAKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRNEQMNYLRLASRLDAVVARLETQAKMNTISKSMGSIVKSLESTLATGNLQKMSETMDQFERQFVNMEVQAEFMESSMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVPTKNAEKVDEDDLSRRLAELKARG >KVH98000 pep supercontig:CcrdV1:scaffold_98:369708:370382:-1 gene:Ccrd_023800 transcript:KVH98000 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MAPSSSPSPSCSPPPSTAAEHKVAPPETAMQTKMKKRNRKDVSYKHPVFRGVRMRSWGKWVSEIRQPRKKSRIWLGTFPTPEMAARAHDVAALSIKGTSAILNFPQLKDSLPRPASLSPRDVQEAAAKAATMQDLPASGTPVPPLRPSSGDGCCSPVDELGEIIELPNLEGYLDSPESPTELVMVDSVDRWMQPSWAVADIDGFLDYISDQVAEDGGGSLIWDC >KVH97958 pep supercontig:CcrdV1:scaffold_98:58922:71825:1 gene:Ccrd_023824 transcript:KVH97958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MNGGDGGDVAAAPAGPPPPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDKKENGGNRKDLEKTDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKIKKIADMSMEPSKATGNGNVASPSVTSSTPKPQLANGGYTDKSYTSLSNELLFPPRGISSLRLPVVTSNETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCHTLAYSSSKGSIRLIDLRQSALCDKHSKLFEEQEAPGTRSFFTEIIASISDIKFGRDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGQRVSTGSYRRQVQTPLRPRSLSSITRAVRRGGDSPGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KVH97978 pep supercontig:CcrdV1:scaffold_98:192115:192465:-1 gene:Ccrd_023812 transcript:KVH97978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKPDINPMVLKFGLAVAVSLGGMIYSFLRNKRIKKPTKPPSDCLKSPSDCNNQGISVKESWGSRSDHCGLNVTSNSCRFDPLATDKH >KVH97973 pep supercontig:CcrdV1:scaffold_98:172976:174749:1 gene:Ccrd_023815 transcript:KVH97973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPFQCYNHHHHHDQQSLDFDSENMFLNPLIHQLPPTVWIDHDPAMAAAAADNLACINGFQETKNVISISTTTAATATVTATESLDCLLSGTNSTTDASDRISVIFSDCKSLWPKNNVIIGNNNVSGLSSGDSVTKEIDDGVSQCSSGKRPITSVSGTGVSENNNPSKPKRSRSNSAFRPVSFTAETTVVDKPKRKNVRISNDPQTVAARQRRERVSERIRVLQKLVPGGNKMDTASMLDEAANYLKFLRSQVKALEQLGQNSSSTTINANGTNPINTNKNLFGASMAVPFDHNPFSMQTHFHQICYENLYPNDPSSS >KVH97987 pep supercontig:CcrdV1:scaffold_98:394030:400139:1 gene:Ccrd_023797 transcript:KVH97987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERVLSMRRGAHMEDEGDEHQGDESKTKKHLSIAIRITNYFTRTGYLCPIILIAIAILLISSFFVRSHDLLCVSSISSFDRLSRSRFFGLDGLESDFGSLGVPWCRSKHGKTVQWTSKDLLQGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFIARWLKPDIMIESGAFKGHSTWVLRQAMPNTRIISLTPRHPEKYLKKGPAYVDGNCTYFAGKDFIDFGSVDWRTVMKKHGVTDLRGGHSCFEDSDEARVRSRRKSFWEKAVDTRELCGPGEAWWGVRGYMRDDFNHTNKPISLAQHFQNSRFVESILDVYWELPPVAGPSLTHQTRYDPARAPSPIVEDGRFGLFQRLGLSRLETSVFNGYTQMVYLQISAKES >KVH97956 pep supercontig:CcrdV1:scaffold_98:89619:99546:1 gene:Ccrd_023822 transcript:KVH97956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate/phenylalanine/leucine/valine dehydrogenase MNSTMDDMSLIQQRHHMVVRELGEEIDLEIGHGVDDPSYGQSQTPLIGIPLQESSAEDHDESKSMVMVSQLVSDDNELLKSQPAKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSICREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVADKPIFVKALMSKTAGSIVEALLKRDPHEAEFIQYVQEVVHALERVISKNSGYVNTMERLLEPERMIIFRVPWTDDRGEMHVNRGFRVHFNQTLGPCRGGLRFNPSMNLSITKFLGFQQTLKTALSPYRLGGASGGSDFDPKGKSDNEIMQFCQSYMDELYRYLGPDKDLPSEEMGVGTREMGFLYGQYRRLAGHSQGSFTGPRLNWSGSSLRTEATGYGLVFFAQLILADMNKELKGLRCVISGSGKIAMHVLEKLIAYGALPITVSDSKGYLVDEDGFDFMKLSFLRDIKSQHRTLRDYSKTYARSKYYEEAKPWNERCDVAFPCSNMPCTPEAVNVLRKANVLIAPSIAAGTGGVVAGELELKECNLNWAPEDFESKLQEAMKQTYQRALKAAVDFGYQKESPEALVHGAVISAFLTIASGMSEQGCV >KVH97989 pep supercontig:CcrdV1:scaffold_98:404503:414742:1 gene:Ccrd_023795 transcript:KVH97989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pex, N-terminal MKAVVCMSFYLEVFKKIKKKENYLQDSLPSTVKLKTISRLGSHEPKHTRLFDLQVGRRLNSVFLSFRRRCAISVIVEKYIHTHKFHTMRETLASTSSSSSSTNVIPPAESAWVNTYERLSPRWQSVALPPQSAIPIVISRVNQVDAGRLDVEMSAMLKEQLVKVFSVMKPGLLFQYEAELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAVETRGKIRTGLEGPGLTIAQKLWYCIATVGGQYIWTRLQSFSAFRRWGESEQRSVANRAWFIIQRIEGFYKAASFTNLLIFLYTGRYRNLIERALRARLVYGSPHMNRAVSFEYMNRQLVWNEFSEMLLLLLPILNSSSIKSLFRPFSKDKSSGSAGDETLCPICQANPTIAYVALPCQHRYCYYCLRTRCASTTSFRCSRCNEAVVAMQQQSSINT >KVH97960 pep supercontig:CcrdV1:scaffold_98:23843:30675:-1 gene:Ccrd_023826 transcript:KVH97960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup FGDDCERHCHVISALISKNIVEHCFQSEELDPGLSQIFLRHGAFGAMSGSMSREGNIGKLEKVVVAVKASKEIPKTALVWALTHVVQPGHCITLLVVGPSQTAGKMLLGSFMDFMISCSISSYKQLIDFNRCDPLGCVKAQGIKLWGFPRFSGDCASVHRKSNSGTSSEQKVDVTASCSQMILQLNNVYDPNKVNVKIKVVSGSQCGVVAAEAKKFHASWVVLDKKLKHEQKSCMEELQCNVVIMKKSQPKVLRLNLVRSPNKEAKADCSLLSKVEQSSKKQEINKKSSVDSIRGLVVTPTSSPEVFTATEVGTSSVSSSDLSNSPFSVPVTDVSQKEESFTRKEKDPIESSSDSESENLSSSSCSLRFQPWMVDLIASSCPLSQEWEESLQRYTSQAKTTINKDLLGMSSHRTDLEFSGSVREAISLSWNTPSGPPPLCSICLHKAPIVGKPPRWFAYSELELATGGFSKANFLAEGGFGSVHRGILPDGQAVAVKQHKLASSQGDQEFYSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYVCNRSLDFHLYGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGGTGEATRVIGTFGYLAPEYAESGQITEKADVYSFGVVLVELVTGRKAARPLLQEDAIDELIDPHLGNCYSEHEVRCMLQAASLCIKRDPHLRPRMSQVLRILEGDMMMESSQVSTTPGHEMGKGSWIYQPQYKSSPLSNTFSEKLSLDSIRPISNWDADRGRS >KVH97997 pep supercontig:CcrdV1:scaffold_98:315392:325464:-1 gene:Ccrd_023803 transcript:KVH97997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing protein MMAMACKDGKGAIMDNGKYVRYTPEQVEALERLYHDCPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENNRLQKQVSHLVYENGYFRQHTQNTSLATKDTSCESVVTNGQHRLTAQHPPRDASPAGLLSIAEETLTEFLSKATGTAIEWVQMPGMKLYAPTTLATARDFLLLRYTSAWSVPEVLRPLYESPIVLAQKMTMMALRQLRQIALEVSQSGSPNWGRRPAALRALSQRLSRGFNEALNGFTDEGWSLMANDGVDDVTILVNSSPEKLMGFNPPFANGYQTVSNSVLCAKASMLLQNVPPALLLRFLREHRSEWADNSIDAYSAAAVKLGPCSLPGSRVGNFGGQLCNGMDENAVGMCAELIFAPIDASFADDAPLLASGFRIIPLDPIKEASSPNRTLDLASALEIGGSGTKISSDRNAASGTVRSVMTIAFEFAFESHMQESVASIARQYVRSIISSVQRVASALSPNMNPNLGLQAPLGTPEAHILARWICRSYSCYLGTELLKSEGEGSEYILKSLWHHSDAIIMGRPVSYERAVAWKVLNEEENAHCVCFTFINWSFV >KVH97996 pep supercontig:CcrdV1:scaffold_98:264753:267337:1 gene:Ccrd_023806 transcript:KVH97996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSPESSHNIPLDFRAPPPSPVASGRRSSVANDEILTEFLHRSLRVPDLVLPDRVFPRQNSKIQNLPKLDFEVLKFSGLSEGQNFAEIIEVIAQTGCFELVNHGISDQLLSSVSNSGAGVFQLPPEKKSVVLRSAERLYGFVEFHGDEKEPSEEFVWCRDDSLKSEMEEVWPIQYSNFSEKMENLMSQIENISETLLKLFLDAATPKSRFDDDETREKEIAGSICYLYKHCQNTDSKSNDDQYMNSLRYDVIRMLIRGSEHPHTLCFHVCNGSSEFHVYSKKGWVSFSPDNNALVITIGDLLQTWSEGKYKHVIGRPIFKGEFEDCISMAFLYTPPNPIPKSQLENTISLGKQLLFLLILTLLCNLFFYIFT >KVH97959 pep supercontig:CcrdV1:scaffold_98:16273:22758:1 gene:Ccrd_023827 transcript:KVH97959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAIPHPYDPVYYNLPSIPPLEYAGYDDPNAINTLFVSGLPDDIKAREIHNLFRRRPGFDYCQLKYTGRGNQVVAFATFVNHPSAIACLHALNGVKFDPQTGSTLHIELARSNSRRKRKPGHGAYVVIDKRSTAAKDGQDSSDDGDSETEEPSEANKHASTNKDNKSDEETAVDPDNTGAAENEHTENAVDGACSTLFIANLGPNCTEDELKPVLSRGGMPVAFADYEEVEQATEVMNALQGSTLPSSDRGGMHIEYARSKMRKR >KVH97964 pep supercontig:CcrdV1:scaffold_98:531511:533413:-1 gene:Ccrd_023780 transcript:KVH97964 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter MSNVIMALRVLVTLLFLMPLFRYTPDLTLAAIIVTVVIGLIDYQSAFRLRKVDKLDFLACLSSFLGVLFVSVPVGLAIAISFSKCSN >KVH97994 pep supercontig:CcrdV1:scaffold_98:231805:239763:-1 gene:Ccrd_023808 transcript:KVH97994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase, class I, C-terminal-like protein MVGSEALPSPRQHGVTKPLSLAGPSEADLLRTKKLNKFLVDAGLYESQEEAAKRERVLGRMKQLFVMTFLQFGQIVIDWVKQLTRLRGYTDQMVEDANAAIFTFGSYRLGLMLGGLFIYMHFLRYFLSSPKFQDDFFFVLHDILIKMEEVAELQPVPDAHVPVMKFKFDGISIDLLYASISRLVVPDDLDISDVSILYNVDEPTVRSLNGCRVADQILKHVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAVPSMLVARFFRVYTQWRWPNPVMLCAIQEEELGFTVWDPRKNPKDKTHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFLFGNRICEEIELNKAQWPGLFEPYMFFESYKNYLQVDIIALNSDDLRAWKGWIERDTQGKLQCHPYPHEYFDPSKQCSHSAFFMGLQRKQGEVIQEGQQFDIRGTVDEFRHSVNMYMFWRPGMEIYVSHVRRKQIPLYVFPDGYKRTRVPRLVNLQPSDKSSLENGEVCGDAGSSERLSRKRKDEDCHVKRDSLQKRQSLSPQSRDSVSPDIIGHLFVNELKYGSTPDSVSRSEVGHLAREPDNNFKNADSSSSLVANPANDMSCGRDVGPEDVVLGSNGRDSLQGGTTEADSDNVSGDKIQ >KVH97975 pep supercontig:CcrdV1:scaffold_98:149155:153204:-1 gene:Ccrd_023817 transcript:KVH97975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MQSRLVTMEEGKDPTTNSIRTNQSRVLPLRLLRFMVFVLGVGIVLSIISVRINRNLGIRNIVPGAQPCFEEPISLQNLIKPPSNYLHIMNDSELLWRASLVPQIKEYPFKRLPKIAFMFLTRGPLPLSPLWEKFFEGNEGLYSIYVHSLPSYKPNFSTSSVFYRRQIPGQMVEWGRMSMCDAERRLLANALLDISNEWFVLLSEACIALHNFSIIYRYISRSRFSFMGAFDEPGPYGRGRYNDNMLPEVNITQWRKGSQWFETHRNLAVDIVKDTKFYPKFEQFCRPACYVDEHYFPTMLTIQSPNLLANRSLTYVDWSRGGAHPATFGKNDITKNFFKKILEDQTCIYNNQPTSVCFLFARKFAPSALEALLQHSSEFFGF >KVH97972 pep supercontig:CcrdV1:scaffold_98:180581:185506:-1 gene:Ccrd_023814 transcript:KVH97972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MALSRLNHTLLRGKPMRIMWCERDPVGRRTGIANLFVNNLDSMVTDAKLEQVFEKFGRILSCKTAKDDYGKSKGFGFVQFASEESANNAIDALDGTTIDEKIIIRLHVLMTFKTMVFLSRSVAKFLKKSQRNEPEFTNVYVKNLDPDFTESLLREKFSEHGNVTSAVIMNDADGKSRGFGFVNFESHDSAKKAIEALNGSVIGSKEWFVGKAMKKAEREGFLRKKDKTNASNLFVKNLAPSVDEAALEETFRVFGKVILTKVVRHKNGISKGFGFVCFSTPEEARKARDSLDGGFHRNDYRGKKLNVSLALSKEECSRKLQARFGPQLSIDNHRYLPSFYSNQSFVRSPVYDGPYWQPNFYRRTN >KVH97983 pep supercontig:CcrdV1:scaffold_98:422478:423940:-1 gene:Ccrd_023793 transcript:KVH97983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MNGDNSKLARKWLENSSKFQLDGLALQGMRIDRVEKGLIRCDFVVPDNLLDGEGNWNVGAISVLIDDMAASAVFSCCAGILATMDFTLSFYSAVKVDEVVEIEANVVGQKGNLVAVVVNIKKKGNGEKVATGKQWMHTAPFKSSQAGKSKL >KVH97961 pep supercontig:CcrdV1:scaffold_98:187457:189999:-1 gene:Ccrd_023813 transcript:KVH97961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLSPNSRSNTDKDSYLLPEFNDLVKEFDMTAMKTNKELPISEAESPAKEIKNLVNTVKTLKERERNLEIQLLEYYGLKEQQAAVMELQNRLKLNNLEAELFTLKIEALQTDNKRLQTQMADYAKVVTDLEAAKAKIKVLKKKLRSEAVQNKEQILDLQQRVEKMQEDEHKGVAKIDPKDELNLRKLKDLEAEVEELRKSNYSLEIEKSELGQRLEDTEKLKEELERLKKENEDLTKEIERLQADRCGDVEELVYLRWINACLRYELRNHQAGPGKTMARDLSKTLSPKSEEKAKQLIVEYADKEGVTEKGINVHELDFDQWSSSQASNLTDSGELDESFISYPSPQKTNKKFFGKLVKLLRGKDSGSSHGGSRHHHHHRRPPSKNLSLERTEDDMNSYSDYSFGNVGNSSKHSMDSQRSFHRHSDIGVHKRIDSIAEDSGGIGGGSDSPSSSDGQKSDLMKYAEVLKDSPAKYRRRSTLFSSF >KVH97982 pep supercontig:CcrdV1:scaffold_98:380143:392081:1 gene:Ccrd_023798 transcript:KVH97982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase A anchor protein, nuclear localization signal domain-containing protein VILLINHWLKYLGKKRRDSQLSNGGGEDNTDAGSIQSRTCSEFHNHISDIEISALLSVKDVNDGVNVEVGSQVKVYDGSSSGVLKSQDGRGGAEVGAKLAEPNIGPTTFLDKEESKAFEGSVSSTDKHLVSLEVGSSLLRFIKGKGHIFAAIEGSSPDSVARASERIQLIIDEVVKSSALDYSHFVSLPLALYPELVDQLVNFQSSILGMHDPNPDEVLDISSNKDTTDEEDRDQKVDEAPQVAVTLQAQKDGENVKVDVTNIRRVSYPPKSSATSASKSKTSTSSGSPAKARVLYAPVEVVGGEDRLLQACIACNGDEREAQEKTKTRNSDSFDARGIMEQFGSKEWGEYLIHEVHLSQRFVFDEDGYYHRCASIPLPQNPQAD >KVH97965 pep supercontig:CcrdV1:scaffold_98:522159:524012:-1 gene:Ccrd_023781 transcript:KVH97965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like domain-containing protein MMDETEGESRYPANYGVNQGFGSTQRPKASVRNVPHPHSQEIADNYVDEDEEELEEDEEEQEVDGGDDEMNGGIHQNGDDDDDDDDTEEDDDGENHSRSDNFNLHRHPKKRKLKSLLSSFEFAPRLPLPPPRPPQPLQPSPAAASATKPSFGGRNTLTDWSEHETFVLLEAWGERFVQRGRKSLRSEEWQEVADKVSQESKIERTDTQCRNRLDTLKKKYKKEKSLLGSSRNQTTKWVYFKKMDMLMSSTIQQAGLSCGVDSGEYVFMNPTVYLNHANGLDEMRDSPGNTDSQEGDDDSDPLPLKRSKEKGDGINGGSFRLLADSINKFSEIYEKIENGKRKQMVELEKMRMEFHRELESQKREILDRARDEIAKIRGGDYEENDSAENISG >KVH97969 pep supercontig:CcrdV1:scaffold_98:490791:498113:1 gene:Ccrd_023785 transcript:KVH97969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDDEPHISPPIQPETMNLPEDSEKEEEDHVMSEIHVGCPPKFSGSFVSHFTFSIPPDVKYIESKYEYEVVDDSKQHQEVSLDDDGDLSLPRRKKHSKDKFVVDIRHNITSSIPKVGLQVWRAELVLADFVLHKMFTSSEFDGIVAVELGAEFEELERASLLVAADVLYLALEKRYNFSLDDLDVVANGYSCFRRYVRDESDEVEHDDLENRSLCAFVGTRIDLTEIPRYADNYDRGQDVELWQIKYAREG >KVH97971 pep supercontig:CcrdV1:scaffold_98:475232:476870:-1 gene:Ccrd_023787 transcript:KVH97971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEFVAGGFGGIGGVVAGYPLDTVRIRQQNGRDGSAFGILRNVVAKEGPFALYKGMGAPLATITFQNALVFQSYATLSRAFDRFIPPTEPPSYKSVALGGTGAGAIQSFVLTPIELVKIRLQLQNQTTPKQSETGPLSLSKHIVRTEGWKGIFRGLKITVLRDAPSFGFYFWTYEYTREQLHPGCRKSGQESCTTMLVAGGLAGVASWLCCYPLDVVKTRLQAQTPTSKIKYDGIVDCFRKSVRDDGNGVLFRGLGSTVSRAFVVNGAVFMAYETALRVLFNTNDSMNNDN >KVH97979 pep supercontig:CcrdV1:scaffold_98:142128:152062:1 gene:Ccrd_023818 transcript:KVH97979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase MNISKWIFTILIVSLLKPQISIGVSDLSKEFLDFAKKDEVFDWMVGIRRKIHENPELGYEEFETSKVIREELDKLGISYKHPIAGTGVVGFIGSGEPPFVGLRADMDALSMQEMVEWNHKSKVPGKMHACGHDGHVTMLLGAAKILKKHSHLLKGTVVLVFQPAEEGGGGAKQVVDSGSLENVKAIFGLHVSPGHPVGQVFSRSGAILAGSGSFEAIITGKGGHAAIPQHSIDPILAASNVVVSLQHLVVLSMLSRIPSPLAEPLELFLKEVSRNSGNVIIAQATVHRCNATVEFSTKAKPFFPATINDKGLHGHFQKVAGDVLGVENVKEMPPFMGAEDFSFYQEVMPGYFYFIGMKNEKNGNPASLHSPFFELNEDVLPYGAAMQSALAVTYNHDHNT >KVH97962 pep supercontig:CcrdV1:scaffold_98:463655:467128:-1 gene:Ccrd_023788 transcript:KVH97962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MEEDQSDAMEESCPELEAIYADMELMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAGTQFPSFFFIISSHFVIFMEEIAKLGDTIRTYSSFLNCSCFTASVFGEISRLEPMPPDGKARWRKEIDWLLSVTDHIVEFVPSKQNTNGIIMEIMVTRQRSDVHVNIPALKKLDLMLMECLDNFKDQNEFTYNGRASLGDSIYKNITVDHFDPDHFLSAMDLSSEHKIVDLKNRIEASVVIWRRKMTNKDGKSGWGSGVSLEKREQFEDRAETILLILKHRYPGIPQSSLDISKIEHNRSYSRILESLAHKVMSRIEDVMHADNLAQNPSGDAKRNPLKDAALRASGKFPNAKEELEKLNRSEAPTSMTLSDFMGWSMDQEKESRDELSNGNDSEPPLAKQPSATNSKKLSYLEKLDSGRSPMARQ >KVH97970 pep supercontig:CcrdV1:scaffold_98:486064:489855:1 gene:Ccrd_023786 transcript:KVH97970 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF292, eukaryotic MMRIEMIRKRRKAMEKFLRNDVADLLKNGFDSDAYSRANGLYFEQKRSLCYESIEQFCTVISNHLAVMNNQSCIRALTGKPIFVIHSECPEECREAVPSLMYAAARVAGLPELLELRNLFSGRYGNSLESFINKEDSSKNTYGDQDNEPNRTGHENGQRNNVQETRIEDGDDRNRAEKNKENAVIEKQIDHMRERLSTYWSRTTSLFSSSRETSTSTTPEESSSDDPANQRSFFPFRFMPPPYLKPDRSRDHNKENQEVLNHPNIEIWDGEPTAPPNVKSRRRRRRRRGRAPPQAKSHSTECLPNPVAMEAQKGHVRSASYQPESTVRPTMGHVHPKLPDYDDIIARFAALRAKS >KVH97999 pep supercontig:CcrdV1:scaffold_98:351813:354489:1 gene:Ccrd_023801 transcript:KVH97999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family UPF0497, trans-membrane plant MINHLKTPSETSDQTITTATKVAAVAETATMTCPSVSSNSDRKFSRSYDVMHIVLRIGSLSASLISVFVMVTAKEKSTISIYGFDLPLYSKWSFSGSFEYLVGVSAAVAVHSLLQLVITTSRMLRKSSAYTSRNHAWLIFVGDQVFFSATAIIRLISIFGLHNQRVSPLQ >KVH97995 pep supercontig:CcrdV1:scaffold_98:244620:256371:-1 gene:Ccrd_023807 transcript:KVH97995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme, NAD-binding MMSLNASSSFLKNSFSGASRTQSQSLSLPASCHRRVMVVAMVNSNGRPERNVSVSVDSVVKEVNGPVAVEVVESDSKKPTAVGVGGGVEDVYGEDSATEDHFITPWSVSVASGYSLLRDPHHNKGLAFTVKERDAHYLRGLLPPVVVNQDLQERNERLFYKLLIENVEELLPIVYTPTVGEACQKYGSIFKTPQGLFISLNDKGKILEILKNWPQKKIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNEKMLNDEFYIGLRRRRASGQEYAELMNEFMSAVKQNYGEKVLVQGTASVVLAGLISALKLVGGSLADHRFLFLGAGETNAPLEETRKKVWLVDSKGLIVRSRLDSLQHFKKPWAHEHEPVNEFLEAVKAIRPTVLIGSSGAGQTFTKEVVEAMASFNESNNAYIFPGFGLGLIISGAIRVHDDMLLAASEALAEQVTQEHFDNGLIYPPFTNIRKISAHIAAKVAAKAYELGLASRLPQPENLVAYAESCMYSPSYRNYR >KVH97967 pep supercontig:CcrdV1:scaffold_98:503674:505180:1 gene:Ccrd_023783 transcript:KVH97967 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF632 MWENMQKQHENQSKIAQALKAINISQSPNETSEHNLNNTQQLRVQIKMWHSEFQRLMLHQKEYVKSLNSWLKLNLISIDNSLKDKIPSPQNPKIQSLLRIWQDQLEKLPEEGAKTAINTFAAVIDTIVQYQSDEMELKDNCEETRRKLSKKSRKFEEWCDKQIAKRTPMEEMDPDMADDEVIADQQMVVEALKRRLEEEEDEYRRRCIQVKEKSLMNLKNGLPEVFTAMSKFSVIQRYVIMWQNYYPSKVSRAH >KVH97990 pep supercontig:CcrdV1:scaffold_98:418496:422175:1 gene:Ccrd_023794 transcript:KVH97990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily domain, general substrate transporter MATANESFEKVASFGVVPNMITYLTSDYHMGIAQGTTVLLNWMAATNLLPIVGAFLSDSFLGRFLTIFLVIPGAKPIFCDIQVPQSCKSPTFIQYTLLFSAFGFMSIGAGGVRPCSLAFGADQIDRKDNPKRERVLESFFGWYYATAIMAVLIAFTGIVYIQEQYGWRVGFGIPVILMFFSTILFVVAYPLYYMAKVERSMFTSFCQVILVAWKNRKLTLPSLTDGSWYNRNDTEVNTPTETLRFLNKACICKEPEEMSKGVSKDPWSLCTVEQVEELKALIKVIPLWSSSIMLSVTMNQSTFPVLQANSMDRHITSSFEIPAGSFSFFSIITIMVWVVLYDRVIIPLLSKIRGKPVYISVKLRMGVGLIFSITAMVISGIIEHTRKTKAIEEGFLNNSQAVVNMSAMWLIPQHVLNGLADALNIIGQTEFYYSEFPKSMSSIASSLYLLGSGVASLLASFILSTVAGLTKGEGKESWISSNINKGHYDKYYWLLAIMSSVNFFYYVVCSCTYGSCADRVVVEGAKIDNFAEEKESEVEQLSETRST >KVH97991 pep supercontig:CcrdV1:scaffold_98:271034:277742:1 gene:Ccrd_023805 transcript:KVH97991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTEIEDVCEFTLAEALEMEHLYRKRGKESQQQKFCEELATKFRQLFSAVKSKSLVADSNAATKQHAPINTSPIALKNLVALSKAWAAAERPKKPKGAERVAELSDLIFEALSSKDCAWYDVAAFLNFRVLYCGELEVRVRFCGFSHDQDEWVNVRRGLRERSIPLVPSECHKVKVGDHLLCYRANEDHALYSDAHAIKVERQLHDKDDCTCIFVVRFEYDNAEAELECSCICRRPS >KVI02807 pep supercontig:CcrdV1:scaffold_980:36918:39750:-1 gene:Ccrd_018901 transcript:KVI02807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MGEVVVVRNKQVILKDYVNGYMKPSDLVVTSDTTIPLELPKNDGGLILSKNLYLSCDPYMRNRMNEAVAGSYITSFTPGLKGDFVFVSAASGAVGQLVGQFAKLFGCYVVGSAGSKEKNKFGFDEAFNYKEEQDLDIALKRYFPNGIDIYFENVGGKMLDEVLSNMRIQGRIAVCGMISQYNLDRVEGIYNLHLLIFKRIRMQGFLVFDHYHLYPKFLDMIVPLIQEGKIAYVEDIVEGLENAPTALAGLYTGKNVGKQVVAVTRE >KVI02809 pep supercontig:CcrdV1:scaffold_980:63888:75502:1 gene:Ccrd_018903 transcript:KVI02809 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding, type 1 MEKARPLLILYATETGNALDAAERLGREAERRGCPVRLFSLDEFHPSSLPSEEFVIFVVSTTGQGDTPNSMKAFWTFLLQRSLSRQWLDKTHYAVFGLGDSGYQKYNFVAKKLDKRLADLGGKTIIERGLGDDQHPSGYEGALDPWMFSLWTMLYNENPQLFPKGLDVFVSDLKMLDQPKVEITYLDSDGGYSRSSAQIDLKSLEKEIVRSRSMLSGKKSNDKSKPDCFMKMVKSLPLSREGCGKDVRHFEFEALSSTIQYEVGDVLEILPGQSPEAIDAFMKRCNLNPESYITVKPRNKEDCFEDGVSASKGPIKLKRFVELTMDVASASPRRYFFEVMSFLASAEHEKERLEYFASPEGRDDLYQYNQKERRTVLELAPPLKTRAFSISSSPLVHPNQVHLTVSIVSWITPFKRKRTGLCSNWLATLDPHQRVCIPAWFHKGSLPSPQPSLPLILIGPGTGCAPFRGFVEERAFKDHISPTAPILFFFGCRNEHNDFLYKDFWLSHSQNGGVLSQEKGGGFYVAFSRDQPQKVYVQHKMHEQSGKIWDLLSKGCAVYVAGSSNNMPADVLAAFEEIVAQESGVSRNAAIRWLKMLEKGGKYHVEAWA >KVI02805 pep supercontig:CcrdV1:scaffold_980:88418:94675:-1 gene:Ccrd_018905 transcript:KVI02805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock chaperonin-binding MKINVKTLKGTHFEIEVKPQDTVADVKKEIEKVQGPAVYPAAQQMLIHQGKVLKDATTLEENKVAENSFIVIMLSKSKSSASGSSTTVAAPANVGSSIQAQPASAVAPSTQPSQVSQPAPTVAPSESSLQSIHDTVSVPVPVPSPATGETNVYAQAASNLVAGSNLETTIQQILDMGGGSWDRETVIRALRAAFNNPERAVEYLYSGIPEQAEVPPVAQAPVGGQATNSPAPALAPAPAPAPAAQPASLAGGPNANPLDLFPQGLPNVGSNASAGTLDFLRNSQQFQAFRAMVQANPQILQPMLQELGKQNPNLMRLIQDHQADFLRLINEPVEGEGNVLGQLAGAMPQSVTVTPEEREAIELFFACNKNEELAANYLLDHMHEFEE >KVI02808 pep supercontig:CcrdV1:scaffold_980:48977:56752:-1 gene:Ccrd_018902 transcript:KVI02808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone, tailless complex polypeptide 1 MSVRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSDRYIDEGDFMLILGQLIFFEKHDMALLYILFPISSTYVGCGIVRMHPRVLVDGFEIAKKATLQFLENFKTPVVMGEEPDKEMLKMVARTTLRTKLYEALADQLTDIVVNAVLCIRKPEEPIDLFMVEIMHMRQKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREAMVVAERRSVDERVRKIIELKNKVCNGTDNNFVVINQKGIDPPSLDLLARAGIVALRRAKRRNMERLVLACGGEAVNSVDDLTPDCLGWAGLVYEHVLGEEKYTFVEEVKHPHSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTIEDEAVVLGAGAFEVAARQHLINEVKKSVKGRAQLGIQAFADALLVVPKTLAENSGLDTQDVIISLTGEHDKGNIVGINQHTGEPIDPQMEGIFDNYAVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >KVI02806 pep supercontig:CcrdV1:scaffold_980:2460:8632:-1 gene:Ccrd_018900 transcript:KVI02806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MGEAVVVRNKQVILKDYVNGYMKPSDMVVTSDNTIRLELPKVEDGLILSKNLYLSCDPYMRNRMGNVVVGTYVTSFTPGLPICGYGVARVLDSTHPDFKKGDLVWGVVNWEEYSLITDTTSLIKIQYIDNVPLSYYTGILGDFVFVSAASGAVGQLVGQFAKLLGCYVVGSVGSKEKVDLLKNKFGFNEAFNYKEERDLDAALKRYFPNGIDIYFENVGGEMLDAVLNNMRIHGRIAVCGMISQYNLDRVEGIYNLHLLILKRIRMQGFLVFDHYHLYPKFLDMIVPLIQEGKIAYIEDMVEGLENAPAALAGLYTGKNVGKQVIFKDYVNGYPKESDMIVTSDATICLELPKDDGGLVLLKNLYLSCDPYMRGRMSKPFEGTYVDSFVPGSPISGYGVAEVLDSTHPDFKKGDLISGSTNWEEYSLITNPESLIKIQHTDVPLSYHIGILGMAGMTAYVGFYEFCSPKKGDIVFVSAASGAVGQLVGQFAKLYGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEQNLGAALKRYFPNGIDIYFENVGGKMLDEVLPNMRLQGRIAVCGMISQYNLDRHEGIYNLVFLLMKRIRMQGFIVIDHYHLYPRFMETMLPLIQERKITYIEDTVEGLENAPAALVGLFTGKNVGKQVVAIVHE >KVI02804 pep supercontig:CcrdV1:scaffold_980:79914:84856:1 gene:Ccrd_018904 transcript:KVI02804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein PSRP-3/Ycf65 MLSSLGMSVNSNCSYKPSTGTNVDGESVLLNPSTVNFPLLKLPAAAKFSHASRRFRNPTAAASGVIAAETPLESEKLGVVVKPMEKPRLVLKFIWMEKNIGLALDQVIPGYGTIPVSPYYFWPRKDAWEELKMMLESKPWISQKQVIILLNQATDIINLWQQSGGDLQ >KVI08087 pep supercontig:CcrdV1:scaffold_981:84976:118100:1 gene:Ccrd_013547 transcript:KVI08087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIFC3 MVSTNKEMVVYCFDTLLQQDKLGLIRLPGVETWYGELVINVQGEALQLVGDLCLEEGKRREHATEFDELTEHDKELTCVNQFELEALTNVLNEAESLNVNKFGFDVDSHLCDLESKTEASVGCSTVVDFDAAANGELGVLNSVSTKKTFRFDRVITPSDYQVDVFAHASPLVTSVLDGYNVCIFAYGQTGTSFKR >KVI08086 pep supercontig:CcrdV1:scaffold_981:17761:24593:1 gene:Ccrd_013544 transcript:KVI08086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand WLKSLLEVVEDLLYKELWKACAGPLVDVPRDGEREGINKSGTKSEDSSFHSQIKYPLSCCPHSATDTNEVYAQITLLPEKDQSDPTSLDECLVMPVRPTVHSFYKVLTALDTSTHGGFSVVRKHAYECLPALDMTQATPTQELILFDEACQPRRHLLTTGWSTFLTSKRLVAGDSFNGELRVGIRILACQHSSMPSSVISSQSMHLGVLATASHSVSSQTRFAVYYKPRYDTLVALKKADLDFKEELCKAVDVNGDGVVSINELVHFLLYNK >KVI08085 pep supercontig:CcrdV1:scaffold_981:76534:76877:1 gene:Ccrd_013545 transcript:KVI08085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MLRSKCFSIIYKRGPAALARLKVYEGVPTPYNRKKRMVIPDVLKLVYILSISTFMVLRLTVGHKYCLLGQLSSEVGWNHYETIK >KVI08088 pep supercontig:CcrdV1:scaffold_981:79708:79999:1 gene:Ccrd_013546 transcript:KVI08088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MHRNLPAVRWVGGVELELIATATGGRTVPRFQELTPEKLGK >KVE88264 pep supercontig:CcrdV1:scaffold_9818:687:5768:1 gene:Ccrd_026893 transcript:KVE88264 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain-containing protein MCYLSTGQLVVASLLNRDVKLRLLLRDPVKAATLFGKQEEEKLQVMKGDTRNSADLEPSMFEGVTHVICCTGTTAFPSRRWDGDNTPERVGTDVTAVEVEDYLFDVHSKDEQRLFSLVAYTIYWEGVRNLVSALPRSLTRLILVSSVRVTKSNELPWSIMNLFGVLKYKKMGEDFVRNSGLPYTIIRFVTPNPICVSLSRLFLLLLARDKLVGEVSRLVVXEACIQALDIDFTQGQIYEINSVPGDGPGSDTKKWQELFKAAQLQ >KVI11056 pep supercontig:CcrdV1:scaffold_982:30741:34052:-1 gene:Ccrd_010536 transcript:KVI11056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDSAKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGLVGYVKTPRGLRSLNTVWAQHLSEDIKRRFYKNWCKSKKKAFAKYSLKYETEPGKKDIQSQLEKMKKYCNVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGNVAQKVDFGYSFFEKQIPIDAVFGKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGQESHSAITEYDRTEKDITPMGGFPHYGVVKDDFLMIKGCCVGPKKRVVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGQESHSAITEYDRTEKDITPMGGFPHYGVVKDDFLMIKGCCVGPKKRVVTLRQSLLNQTSRLALEEIKLKFIDTSSKFGHGRFQTADEKSKFYGRTKA >KVI11055 pep supercontig:CcrdV1:scaffold_982:99768:103748:1 gene:Ccrd_010537 transcript:KVI11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MLSLLVVITTLCFLLASSVSTDFDAVVALKQGFDSIPFALDTWNSSNPTSICSWAGIKCLQNRVVSLDLSNMRIHGSVSPLILSLDNLEQLSLDGNNFTGEINLSNLTSLRFLNLSNNAFSGGLEWNYLSLPNLEVFDVYNNNFSFPLPTGITSLKKLKYLDLGGNYFYGKIPESYGRMVTLEYLSLAGNDLHGRIPAELGNLTNLKEIYMGYYNVFEGGIPEEFGKLVNLVHMDLSSCELDGPIPPELGNLHSIDTIFLHINLLSGQIPRQLGNLTRLVNLDLSANAFSGEIPNELGNLKNLKLLNLFMNRLHGSIPDFVADFPDLQTLGLWSNNFTGVIPENLGRNRNLRELDLSTNKLTGTIPRDLCASNQLRILILLKNFLFGSIPEDLGTCSSLVRVRLGENYLNGSIPAGLMYLPELNLLELQSNYLSGNLSDNWNPSSRPVKLSQLNLSNNQLSGYLPFSLSNFSSLQILLLAGNRFSGPIPTSIGQLHQVSKLDLSGNSISGEIPPDIGNCLHLTYLDLSQNDLSGSIPTEVSSIRILNYFNVSRNHLNDSIPKSIAIMKSLTIADFSFNDLSGKLPESGQFAFFNASSFVGNPQLCGELLNNPCNVSGITNSANKKPGRFKLIFALGLLICSLVFAAAAMIKAKSFRRSGSDAWKMTSFQKLEFTIADVLECIKDGNVIGRGGAGIVYHGKMPNGTEIAVKKLVGFGNTTHDHGFRAEIRTLGNIRHRNIVRLLAFCSNKDTNLLVYEYMRNGSLGEALHAKIKGGILGWHLRYKISIEAARGLCYLHHDCSPLIVHRDVKSNNILLNSSYEAHVADFGLAKYLVDGGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGKRPVGGFGDGVDIVQWARTSTRCRKEEVNCILDSRLVNVPLDEAMHLFFIAMLCIQENSVERPTMREVVQMLSEFPRDSPENQTSSSSIVFLQPKKLDKDITFPKLGQDLLV >KVI11059 pep supercontig:CcrdV1:scaffold_982:22329:23353:-1 gene:Ccrd_010535 transcript:KVI11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation/initiation factor/Ribosomal, beta-barrel MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDSAKPCRLTAFLGYKAGMTHIVREVEKPGSSE >KVI11057 pep supercontig:CcrdV1:scaffold_982:120263:120880:1 gene:Ccrd_010539 transcript:KVI11057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF679 MSLRAKQPATNDDDATTPEPPPPSASQRAISQTLTTTANLAKLLPTGTLLAFQLLTPIFTNNGSCDSATRPLTAILLLLLATSAFLSCFTDSFKSADGQLYYGFATLKGMWLFDYYDPKNVVDLRKYRLRLIDWVHAVLTVLVLAAVALRDRNVVSCFYPTPDHEAQEVLDIIPIGIGLICSMLFVVFPTRRHGIGHPATHDNTD >KVI11058 pep supercontig:CcrdV1:scaffold_982:110279:118019:1 gene:Ccrd_010538 transcript:KVI11058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGKKGKSKKAPKVGITETDPNIVNLSAEDVSGPDDVEKDETNSRMDIETPSSTGTDQPEKPAAVSSTGAIDKPVGRLVYNRVKVKIKPSKALETQLTSSDAHTHSDTDKSSQQIGLEKQVVSDKVEDSANSVPDSNVGVSATHAKKAGSIKIKSSKDFTSSLSPCNNAGVAQAERSHQKEPESLSRDSVYNKQELHASLEVVKKIMKMDAAEPFNVPVNPVALGIPYDCLSSSQHVIFVAGDVKDGNISSHGKTSKGGHLKHKSRKRHGVKRHKDDCLCAICIMMRRRQEREQIMHPADDQTETSDGLVYEVKTEVMVGSPNGDDTSSSTDNSQNQDADAEMEDKGEEVKLEKEIHNSYQSKQQAEKEKETPCQTNKESAIAEQSQLPGKSGGVQMAEAGDATKDDANNEEQLMQHEDETAVVEHQKPKELADASEKAKLYENLHQRYENPMVLELCASLFPENPKSLWRRPHSLVHHHHHGSSRSNSIGAAISSFIK >KVI11053 pep supercontig:CcrdV1:scaffold_982:121350:127948:-1 gene:Ccrd_010540 transcript:KVI11053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAHRILRDVEADGWERSDFPIICESCLGDNPYIRMTKANYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGLDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGDMPSLEPPDDESIRTLYVGGLDARISEQDLRDNFYAHGEIESVKMVLQRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQVLKPEGEMVSDEARQQAVAHSGLLPRAVISQQQNQMVQPPGTSTSQEQPLPPPPHMHYFNIPPPPPQREKAFYPSMDPQRMGAVIPNREGGSSGSVENRAGGSEQRQQGGPHYAAYPPPPPPPPQGGGQYYQQYYPPPPYGYMPQSQTPPYQQYPPPSYPSTMPPRGPTGEQAYQQKPPPAAAAAVAGPSQQ >KVI11054 pep supercontig:CcrdV1:scaffold_982:141998:155223:1 gene:Ccrd_010541 transcript:KVI11054 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASTOR/POLLUX/SYM8 ion channel protein MSNTNGDSLDTKRNPNIPLSERRPLLKKSRTIADSTTAHFPGPLFPTVRRVSSSDDSTPPVPPSSSSNWSPRRSFDSSDSSSTTTSDTFPGQTFGFADRDYVYPSFLGPNTTRNRVTVVKSSASKSLRKQPPVSSPSPSPVRSSSMPKDLAAAERSEVELVRTSPIKSNSPAPGSDTISERIVKSVPAQVPVSSLISSSPLSSSTPTRKTARIRSSLTLNLLVLLCVVFASYAIILRNEVMKLQVENDNLSRIHNNKDIPHNESIDVLKQDIEDSFVYIGNTDSRTIALYVVLFTLVTPFVLYKYLDDLPRIKNISKRAKNNKEEVPLKKRIAYMVDVCFSIYPYAKLLALLFATIFLIAFGGLALYAVSDGSLAEALWLSWTFVADSGNHADRVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIESNHILVLGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFSFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLRFEDVLISFPDAIPCGVKVAAERGKIIINPKDEYILKEGDEILVIAEDDDTYSPGSLPEVRRGLFPKKVDPPKFPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVLEKEREKKLVDGGLDILGLVNIKLVHRVGNAVIKKHLETLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPYKDTSSTPLRVSGFSHSSWIREMQQASNKSIIISEILDSRTRNLVSVTKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLYDQEELCFYDIIIRGRERHEIVIGYRLATAERAIINPVDKDKPVKWSLDDVFVVIALGE >KVI06848 pep supercontig:CcrdV1:scaffold_983:49633:51924:1 gene:Ccrd_014797 transcript:KVI06848 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase, subunit VIb MLGSMAESGYAKSLSEHYLLKENVEDKFSKPSDKDPVKEASITSNQETSDQENTPSIKLETAPGDYRFPTTNQSRHCFTRYVEYHRCVAAKGEGAPDCDKFAKYYRSLCPGEWIDRWNEQRENGTFPGPL >KVI06847 pep supercontig:CcrdV1:scaffold_983:21608:22680:1 gene:Ccrd_014795 transcript:KVI06847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MNLTPPTLLTYHKGSLLHGNITVNLLWYGNFSPAQKTVILDFLKSLNTRLPPPPSAASWWQTTTRYKGGLRTIHVGKQIVDKKCSLGKSLKESHLISLASKSKGFNVISVVLTAADVAVAGFCMNRCGTHGSTRVNKGHNFAYAWVGNSATQCPGQCAWPFAQPMYGPKLPSLVAPNGDIGVDGMVINLATVLAGTVTNPFDGGYFQGPATAPLEAVTACTGIFGSGAFPGYPGTVLTDKKTKASYNAQGVKQRKYLLPAMWDPKTSKCKTLV >KVI06849 pep supercontig:CcrdV1:scaffold_983:29308:36415:-1 gene:Ccrd_014796 transcript:KVI06849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II MASKSTVFASLNRIVEMSLQCCFGGTTIQTLKLASIPSRMYSSSSLRSSTAISRRLTLFKTLTVTLSRNSIHRFPFFIRALSSAAAAPQPVVDSPATASVDYFVKPQWKAAIDFKSILENKTAAAANLNNRNSNANLELVIELYERLLELQKEVEELRYERNTVANKMKGKVEPLERQRLIEEGKSLKEKLLTLEEDLVKLTDALQREAQCIPNMTHPDVPIGGEDSSTIRNMVGAPHEFTFPIKDHVQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMGLINWTLSEVMKRGFIPLTTPEIARSLVVEKCGFQPRGDNTQVYSIEGTDQCLIGTAEIPVGGIHMDSILADSSLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFILCRPEESNAYHEELIAIEEDLFSKLGLHFKTLDMATGDLGAPAYRKYDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRFRPESTNPTNTKKSKSRNVNPPEFVHTLNATACAVPRMIVCLLENYQQEDGSVVIPEPLRPFMGGIQVITPKLK >KVI11571 pep supercontig:CcrdV1:scaffold_9831:2260:6018:1 gene:Ccrd_010017 transcript:KVI11571 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1929 MPVDVSSTRVSSKLVEASVDVSSTLVEASIGIWPEKVHGKGFGRKYPMKVDFFGIQRSLKVLRMLLDGDVKTESDPMGSSFKTYILLLLLPQFFPFSSFAVRDIGIVPINHNLIVHVDPLVNPGGNLNVAVDVDPAASNLDDGTIGPNDGGNAALNLIKDGHNAALNLVHEGINAALDLINGGKVNDGGSDYAKPELETNFMGEWKIDCPNAGVGAMQLQLMPNDQVVWFDATTLGPSARKLEPEGNCPPNPDTQNQPDCFAHCIAYDWKTAKSRTIVLSGEPWCSSGNLWPNGNLVATGGTFSGVKAVRMLPMDDLKSDFIERKDALGDFRWYLYTYPLYSSNQVLEDGSAVLVGGRESWSIEVVPPTLDFKPKKIDFPFLKETCTPPKGPNRFIENNLYPFVYLLPDGNVFLFANDRSINFNPITGKISCEHPLLPGGARNYPASGMSALFPLKLMPENPLPLNVEVVVCGGNKQDAFERVDAKHVTEKEFVPALKDCHRIHPMKPGAVWEDEQEMPSERCMGDLLHLPTGDLIMLNGAKKGTAAWEDAIDPNFTPILYSPGKPMGKRFKEMNPTTIARMYHSSSALLPDTTILVAGSNPHQFYTFNHEFPTELRVEKFSPHYLDPALDDQRPSICPRQTDKVIKYGAPFKVVVNPLKSKKILEPGDVKVTMIYPPFTTHGFSQNQRLIVLALKAIENNVITAFAPPSGNIAPPGYYMMFVNYLGVPGPGLWVHIDK >KVI10845 pep supercontig:CcrdV1:scaffold_9836:5140:5908:1 gene:Ccrd_010752 transcript:KVI10845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALNVREGTVEKWSLCNLDMEYNYGVRSTSSYEFNVQSSVVFHQKLSKRNCRALIFSGDHDMMVPHVGTRNWISSLNLTIADSNWDAWYVNGQDAGYKTIYERDNYSLAFVTLKGAGHTAQEFMPEECFEMVKRWFSHRPI >KVH91483 pep supercontig:CcrdV1:scaffold_984:91836:108427:1 gene:Ccrd_006494 transcript:KVH91483 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS-like protein RAYRSISIPLSCDIDSKVTKTIHPRSIPIRLKRSQFFIHPFCYRSRMDFMKVIDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGTALAEIAQATKKFSECQMVMNVLWTRLTETDRNWRHVYKALAVIEYLVANGSERAVDDIIEHTFQISSLSCFEYVEPNGKDSGINVRKKVENIVSLLNDKDKIQAARNKAAANRDKYVGLSSTGITFKSGSAASLSSSSFQRSDRYGGFGSSRDGETFKDSFKEREVSSEDRFEPGKYKPRREGSSTNSGSISKKGSSRYGSTIQDSSATGASKPSIKSASEKYSTIPAQSSSAPSNNNEDDFDDFDPRGTSNAKPATGTSPQVDLFGQSLFGDLLDAPASGPTEKSHGNNQSEEVDLFADADFVSAPSHVTGGTSGSENKVDLFASPPASSAVSPAVDFFAAPEQVVEPEIKPSEPDAFSTKPVDPFAAVPMTNFDNSDFFGSFSSHTDSVSTEPTQSFVDGGRSNNENHLTRAASTPPIKKDSFQVKSGVWADSLSRGLIDLNISGPKKVDLTDVGIVGGLSVGPEEKDKGLPNSLYMGRAMGTGSGIGKSTSFTTATTATDMDDFFSSLSGGHNNQFGSYQR >KVH91482 pep supercontig:CcrdV1:scaffold_984:145491:148933:-1 gene:Ccrd_006495 transcript:KVH91482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLKLPPPLHLHLLLNHNHRSLSFHPHTHRNRTFTLPSFFRQKSTSPKRIISSILQTGDGVTSDSDSCSTEHDNLSSVVRIVAVVGEGALSPLKSASWLDVMIHTAERLKWVDDQYDMLVFTDNISDSNQNLQRELTTSNILLVVSVTNPEPVEWIQRYSQKIPNVVCFDSSPALQNKLGGSLVNKKTKETAKTISESWIRYNSDDIRFCLLLIINSYIKPVPILKNLRAQGFSTLNCMVKNCGIQVLNCLLDPNCRKALQCLNECSPVDQVCNYQCIASYESPILEEFSLCVLQKHNCLDLDAKIPEKPFVPPMVKFQGEPLSHEIAEDLFVGWLGKMEWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLDGKLVWRRRKYRVKRGKVAGTFYFSVLDNGVVSNESWTIVDVADDLSWGLFHYSGAARVAGQSYTGAVLVSLDGKYPNDSEKDGLLSALGRCYIKEWELFDNEIGKVHELEISGFEPLIFAARKTTASQ >KVH93550 pep supercontig:CcrdV1:scaffold_985:27060:28680:1 gene:Ccrd_004399 transcript:KVH93550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFSSMTIFLCSSSRRLFIRATAPPFFNSDGGQPLVGISYFSTSSSDSFASSAYHSSSRRNPEDVRNVKVSVWWDFENCSIPCSVNVYKVTQCITSAVRANGIKGPIQITXFGDVLQLSRSNQEALSSTGINLTHIPNGRVLYYFLSFLLTLNRVEKLLKFVNGCWSAQAFQYWLMTDASGTGIMGDCQLLDELVTESTLCCLNLAVPYILLQNFYNL >KVH93547 pep supercontig:CcrdV1:scaffold_985:107903:111708:1 gene:Ccrd_004402 transcript:KVH93547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MYGRDPWGGPLEINTTDSATDDDRSRNLNEFDRAALSRPLDETQQSWLLGPGEERKKKYVDLGCISVSRKIFVWTVGLILAGGIIAGLIALIVKIVPKHHKHAPPADNYTLALEKALMFFNAQKSGRLPKHNNVSWRGSSCLNDGKSDKSGAVLKDLVGGYYDAGDTIKFHFPKAFAMTMLSWSVIEYSAKYEAAGELKHDIDYPRPVTECSSCSDLAAELAAALASASIVFKDNKLYSKKLVHGAATLWKFARDQRGSYSAAGADAATFYNSSMYWDEFVWGGAWMYYATGNQSYLYLASHPKLAKHAGAFWGGPDYGVLLTRLRLFLSPGYPYEETLKTFHNQTSIIMCSYLPYFSSFNRTRGGLIQLNHGRPQPLQYVVNAAFLATLYSDYLDAADTPGWYCGPNFYSTNELRKFAQTQMSYVVGFGDHYPKHVHHRGASIPKNKVKYNCKGGYKWRDSKKPNPHTIIGAMVAGPDKNDGFHDVRTNYNYTEPTIAGNAGLVAALVALSGDRTTKIDKNTIFSAVPPMFPTPPPPPAPWKP >KVH93545 pep supercontig:CcrdV1:scaffold_985:28683:62458:1 gene:Ccrd_004400 transcript:KVH93545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Limkain-b1 MSSILFHTGGKNSADRSLLVDLMYWVSQNPPPAHLFLISGDRDFASILHRLRMNNYNILLASKENAPAVLCSAASIMWQWTALVKGENLSGKHFNQPPDGPYASWYDHYRGPLEDPFALCNQTSCMQSEEVSDSNSDTKLRPVPKAVVNVIRNILNLYPKGLSITELRAELWKSNVTIEKNFYGHKKFSLFLSSMPYLLRLQNEKDGQXIVHGVGSKSRETCVPTPDTATSLSMSTKDSVPVVASMEDGGHNTSVPHQKEILSSSSKLNVPKLCTDLQALPENQEEPQQVQEELLSSSSKLKAPKVSTDSQALPEKQEPQKVQEQPPLIERAKEGEVSLGQLYPIKMKRPIPEVGIFKSIWQKWFRGNDDHQEKTCYSVNEGSTSQNSTDTVNADVKSVQSKDQSSNLGKLPPSYLSTNEGIQDAEIVQKRAENVDKVSKHHGIFNQIVRLCKFWQSDKNSDNLNAEVSRSDKEASSLSEMQEIFTESFWNDMLAFLETSKGSVSVLQSKTRQEMVQNLQRFGPCRLQSLSEKHILHLVEMLISEKKWVVESPSQTFPFRLIGGGSGKRTNSKESTKLSSQFTESDSNSQGQERQVGGSMLPIKKKTSPKSRRQILSDCQKLVNEVVKEYPDGFNISSFKKLFLDRYGYHLEVQQLGYQKLATVLQIMPGVKLESNYILPDHSRGLHSEKTGPIIEEYPKSDLSRLEDDIQWDELGPIAGMNTKKDDDKINHDFETVSDNDLSDSEDDSSPRGSRNQAKRRTEGDSSLLQILDSWYSNKEDSNNRIASECSSDMNIDHVHDTKKPSCLSSEADRSHPKRINSEQEQKLSKRYSFVADKPTDDNNLIDGILGSLKKSGSSGYRQIPSFQ >KVH93544 pep supercontig:CcrdV1:scaffold_985:142022:146893:-1 gene:Ccrd_004405 transcript:KVH93544 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase, DEAD/DEAH box type, N-terminal MACSSSNIGVSSIYHKNPSLEQLSRKIATPASPLHLPRSADKTHFTSLKAAARLNHNSVGVGGGSAFVTSATATPNSVLSEKAFKGLGVFDEDSSLDDSDREYDDESEKEASVGDGSGNVADDDELAITKLGLPQKLVQILEKREITRLFPIQRAVLVPALEGRDIIARAKTGTGKTLAFGIPIIKRLTEDDEERISPRRAQRLPRVLVLAPTRELARQVETEIKESAPYLSTVCVYGGVSYNSQQNALSRGVDVVVGTPGRLIDLIGSNSLKLGEVQFLVLDEADQMLAVGFEEDVEHILEKLPSERQSMLFSATMPSWVGDQDEKLAEGIKLYAIPTTSTSKRTILGDLVTTKRDADEVSLALTNSITSEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGSAILMFTNNQRRTVKSLERDVGCKFEFISPPAVEDVLESSAQQVVATLSGVHRESVEFFTPTAEKLIEEQGASALAAALAQLSGFSKPPSSRSLITHEQGWSTLQLTRDPALSRGFMSARTVTGFLADVYATAADELGKIHIIADERVQGAVFDLPEEIAKELLTKDVPPGNTITKITKLPLLQDDGPASDFYGRFSNRERSSRGAGSRRGGSSGSRGGWGGGSRYSSDDDGDSFKRAGRGGGSSWSRGGARTGGSDWLIGTSRVPVSTVDDLGTGHQNVSRNETISWPYLPI >KVH93543 pep supercontig:CcrdV1:scaffold_985:136222:136485:1 gene:Ccrd_004404 transcript:KVH93543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGTPRNQTPTQSLGNGCRSDKNDGFHDLRTKYKLQFYGANYAGNAGLVAALVALLGDKTTKIDKNTIFSTVPPMFPTLPPAPWEP >KVH93548 pep supercontig:CcrdV1:scaffold_985:112349:117337:-1 gene:Ccrd_004403 transcript:KVH93548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSSIIGVSSIYHKNPSLEQLSRKIATPAAPLHLPRSADKTHFTSLKAVARLNHNSVGVGGGSGFVASATATPNSVLSEKAFKDLGVFDEDSSLDDSDREYDDESENEASVGVGSGNVTDDDELAITKLGLPQKLVQILEKREITRLFPIQRAVLIPALEGRDIIARAKTGTGKTLAFGIPIIKRLTEDDEERISPRRAQRLPRVLVLAPTRELARQVETEIKESAPYLSTVCVYGGVSYNSQQNALSRGVDVVVGTPGRLIDLIGSNSLKLGEVQFLVLDEADQMLAVGFEEDVEHILEKLPSERQSMLFSATMPSWVGDQDEKLAEGIKLYAIPTTSTSKRTILGDLVTVYAKGGKTIVFTQTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGSAILMFTNNQRRTVKSLECDVGCKFEFISPPAVEDVLESSAQQVVATLSGVHRESVEFFTPTAEKLIEEQGASALAAALAQLSGFSKPPSSRSLITHEQGWSTLQLTRDPALSRGFMSARTVTGFLADVYATAADELGKIHIIADERVQGAVFDLPEEIAKELLTKDVPPGNTITKITKLPLLQDDGPASDFYGRFSNRERSSRGAGSRRGGSSGSRGGWGGGSRYSSDDDGDSFKRAGRGGGGSGGSSWSRGGARTGGSDWLIGTSRVPVSTADDLGIGHQNVPRNETISWVISSYLEPTRSYICFSHRCSV >KVH93549 pep supercontig:CcrdV1:scaffold_985:21662:26784:1 gene:Ccrd_004398 transcript:KVH93549 gene_biotype:protein_coding transcript_biotype:protein_coding description:NYN domain, limkain-b1-type MKTFSFMTIFLYSSSRRLFFRTTPPPFFNSDGGQPLVRISYFSTSSSDSFASSAYHSSSRRNPEDVRNEKVSVWWDFENCSIPCSVNVYKVTQCITSAVRANDLQALLENQEEPEQVQEELLSSSSKLKAPMVSVDSQALPEKQEPQKVQEQPPLIERAKEGEGFLPHHLKFDRSGIVFSNLIRSAPLHLMYTLT >KVH93546 pep supercontig:CcrdV1:scaffold_985:81223:92833:1 gene:Ccrd_004401 transcript:KVH93546 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF239 MQDSKSKIPSQVWQQYGSCPNGTIPIRRPSKNQSTNRKVIIHPNHSLTCIYLQFSTVLTTGLSYSGAKANIKVWNPFVESNTDYSSSQVMLRNGPLKTFETAEAGWTVNPIVYNDAKTRLFAYWTVDGMQNTGCFDLTCPGFVQTSQDILLGGDITSLYGSEITIQIHKDPYTNNWWLIYNDKDVGYWPGDIFPILKHQATLVLWGGEVYSPKVGTNPHTATAMGSGVFSDVVFGSSGTIRGMLIEANSHDLMEPERLYVSSDEWDCYDAYLLNERKSELKFFYGGPGSHGDIIDCIDIYKQPAFNHPALKNHTIQMKSSHLQSRSDGSSTLQDSNSPKVRLQVWQQYGSCPNGTIPIRRPSNMNHPSNTKIIINPKHSYSVVLTEGFSYSGAKANIKVWNPYVESIYDWSSSQVMLRNGPMLTFDTAQAGWAVNPSLYRDNKTRLFAYWTVIKSLNTDYIELFVFISYVDGMQNTGCFDLTCPGFVQTSHEIVLGGDISSHYGSEITIQISKDPWTLNWWLKYNAKEVGYWPGEIFVILRHQANLVQWGGEVYSPKVGTHPHTSTGMGSGRFSDFIFRTSGTMTGMLIEENSHELKQPETLFVSSDEWDCYDAYLLKSGVPEPVFFYGGPGSRRNRRCP >KVE84845 pep supercontig:CcrdV1:scaffold_9851:6302:7953:-1 gene:Ccrd_026894 transcript:KVE84845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRLLXRFRQLQSLSLRGCYNVSDSGLTRLANYGSKLHSLNLNDCFHLTDTGLSSVASGCPSLSIIGLSCCNITDGGLEILTSSCRSLTEVNLSKCYKITDXGIWALSQNCRKLRAVKVSWCYRIVGVSFQGCSPTLTCLEADYCXFDPMGVTGILSGGGLEYLSLSCLRKCPRGHGLAAIGLGIAANLKVLNFRKCSFVTDDVIIXISKGCPLLQEWILSYCTKIGISGWEAIGLHCRNLEKLDVSGCENLCDAGLLALGNGCERLSLIYIGHCWTPTPEGIEAFKDAKEAVEIKEEXAMHIGISGWEAIGLHCRNLEKLDVSGCENLCDAGLLALGNGCERLSLIYISHCWKITPEGIEVFKDAKEGVEIKEEAAMHVAPI >KVE84110 pep supercontig:CcrdV1:scaffold_9853:8651:8905:1 gene:Ccrd_026895 transcript:KVE84110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MLKNLTSSAIAGSLGGFNAHAANVVSAVFIATGQDPAQNFESSHCITMMEAVNNGKDLHISVTMPSIE >KVI11868 pep supercontig:CcrdV1:scaffold_986:71760:74604:-1 gene:Ccrd_009714 transcript:KVI11868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein VVGCRCSNVAISSSQPTSPFHLDWLWLQLHHLDSNCASIPEKAFKSFRPLFHLDMDAISVLSATYPETKTIHLKAFCNHKISHSRGSAQKDDKQYGCFKKITNHNDRACITQSLSRKRLPHIAKELVVGLQSEGFLLNNSTLTALMLYYANNGFLAEADAIWNEIINSSYSLDLHIVSELIDAYIGMGFFDEVIRIIRQISLRHPQLQREVYAHTISCFGKIGELDLMEDTLKDMVSKGFLVDSAIGNAYIVYYSRFGSISMMENAYKRLKSSRILIEKDGIRAISVAYIRDKKFHSLGKFLRDVGLGRRNAGNLLWNLLLLSYAANFKMKSLQREFLNMVEAGFYPDLTTFNIRAVAFSKMSLFWDLHLTLEHMNHNGVIPDLVTYGCVVDAYLDRRLGRNLEFALRKMNVKDPALVSADPIVFEVMGKGEFHSSSEALLEFKRLKTWTYQELIATYLKKKYRSNQVFWNY >KVI11866 pep supercontig:CcrdV1:scaffold_986:106726:111031:1 gene:Ccrd_009712 transcript:KVI11866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEISNKTSSMVKPAERTWSGKLPLSELDQTGVTGHIPTFYFYTQSPHDWTTILQTLKSSLSSILVHFYPLAGRLSPVAGGRLELDCNAAGVQFVEAYADNKLTDLDTFLPLPIYHQLIPSIDYQNTPHEEIPLLVLQVTRFVCGGFCLSLSMSHTVADGEGALHFTCEWARISRGELLESPPYLDRKVLRAGDPPRASSSFEHAESNPPPILIEQSDNEPEHEKKTKVRMLKLTATQVEKLKKKANNSWKREMSRGFTRYEVITAHIWRTACNVRNHKPEQPTALAIAMNVRSKMRPPLPREYFGNAVIDAIATGCSGEIVSKPLGYSSSKIREAIERVDDEHVNSVIDFLKGQEDLSKFRELKSRSNGGGFCGNPNLGVTSWLTLPIYGADFGWGKEVHMTPGTHDNDGDSLILHGEDGDGSLVVALCLQVRHMEDFQKLVYNKTSCMVKPADQTWSGKLALSELDQTGVTVHVPTMYFYRQSPEDWFDVLQTLKSSLSSTLVHFYPLAGRLSSVSGGRLELDCNAAGVQFVEAYADKKLTDLDTFLPSPIYHQLTPSIDYVSAPLEEIPLLVLQVTRFVCGGLSLGLSISHVVADGQSALHFVSEWARISRGESLESPPYLDRKVLRAGDPPRANSRLEHTEFDPPPILIDHSENESEHEKETDVTMLNLTATQVEKLRNKANNSWKSEMSHGFTRYEAITAHIWRTACKVRNHKPEQPTALAICIDVRGKMSPPLPRKYFGNAIINVIATGRSGEIVSKPLGYSSSKIRDAIEKVDDEHVNSVIDFLKGQEDLSKFRDLQPSSNDGHFYGNPNLGVISWLTLPLYGADFGWGKEIHMGPGTHDSDGDSLILHGKDGDGSLVVALCLQVRHMEDFQKVFYQDIDIE >KVI11871 pep supercontig:CcrdV1:scaffold_986:65396:65678:-1 gene:Ccrd_009716 transcript:KVI11871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRELCVLLDHSPIATQHCHQQEFCKPHYNSLTP >KVI11869 pep supercontig:CcrdV1:scaffold_986:6216:17329:1 gene:Ccrd_009719 transcript:KVI11869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MWKLRIATGDGEEWLSTTNNHVGRQYWEFDPDAGTDEERAEIERVRDNFKTNRFEFKQSADLLMRLQLRKENQIKGIPKAIKLKETEELTNEAVTTTNEDGGWGLHIEGHSTMFGSVVSYITLRLLGEEADSDAEDMAVVRGRKWILDHGGAVGIPSWGKFWLTILGVHEWGGCNPMPPEFWLLPKFSPVHPGKMLCYCRLVYMPMSYLYGKRYVGRITGLVQALRQELYTDPYHEINWNKARNTCAKEDLYYPHPFVQDMAWGILHNVVEPILTRWPFSKLREKAMKVAMEHVLCLIATWVEDPNGDAYKRHLARIPDYFWVAEDGMKMQVRDNPPGDFSKMYRHTSKGAWTFSMQDHGWQVSDCTAEGLKVALLYSQMSPELVGEKFETERLCDSVNVILSLQSENGGFPAWEPQRAYSWLEVQYDLTSRNTREISAYRISDPLIMKNYSCCFRYVECTSSAIQGLTLFKKLHPGHRTKEIEHCISRAVKYIEDTQNLDGSCPTLQKACEFLLSKQLPDGGWGESYLSSSNKEYTNLEENRSNLVHTSWALISLMRAGQAEIDVTPIKRGIRLLIDSQMEEGDFPQQEITGVFMKNCTLNYSSYRNIFPIWALGEYRRIV >KVI11865 pep supercontig:CcrdV1:scaffold_986:77052:84455:-1 gene:Ccrd_009713 transcript:KVI11865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA-ARF-dimerization MKVPGVTLIATNHGEGAEKFMINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVSASMKKGMDAQIPSYPNLQSKLLCILHNVTLHADPETDEVYAQLTLQPVTAFDKEALLRSDLSMKSYKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDSVWTFRHIFRVLSSDSMHIGVLAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAACSNQLSLGMRFRMMFETEESGTRRYMGTITGINDLDGVRWKNSQWRNIQVGWDESTAGERRNRVSIWEIEPVTAPFFICPTPFYGLNRQRYPGIPDGESADLDNFFKRTMPWVGEDMSMKDTGLSLVQWMNMQQSSIQSNHLNPLAAPVLQNYGGPDMSRILPHDSLQFNSLRSTAQLDQLKNQLVPSTVNPLASMMQPLQQLASPSLQTTKQNVVNQSLPQNRVQSHIQPQNALQQQSSVQNPQLHRNLPQQMAEVSQSQQKISLPPEFPDQQQLQMPENQVQHLQKLHQRQQSLLAHQSAQLQPQDQQKPLADQQQMLRNNSQTNIWFTHLSQQPKQTDQLLTYGNGLLMGTAATGGQSGITDDVPSGSTSPSTNNGPIMLPSVIDGRSYRSTSMGGEEITQASAHLMNTSGLETVSPNIEFFKELQQKSGMKPSDNISESQNKGFIAPQIYLHHVFGTQVGYLDSSSSGTSVCVSQNDVHLQQQNSNQLSFDSQPLPIQGGEVEDDHIPFGTNNENHLGMIPDPLITKRMMGCGKDFQNNQPDVSSQSFGVPDMSFNSIDSTINGGSLLNSGVWAPVPAQPQPFQRMRTYTKVYKRGAVGRSIDITAYSGYKELKQDLARRFGIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVSCVCYIKILSPQEVQQMSLDGDFGHPNQPCTSSDL >KVI11870 pep supercontig:CcrdV1:scaffold_986:25374:26459:1 gene:Ccrd_009718 transcript:KVI11870 gene_biotype:protein_coding transcript_biotype:protein_coding description:GUN4-like protein MKLSVTFRLGLKKKYNDNQANMLNSYIHGHQFSPISPPLGRRQSLPLSFTIQSNNANNPTLSISISISISSAVKTFSLPSSTSSSVQSTSFDLLQRLLSTNDFREADNETRRLLIGLAGEAAQKRGYIFFSEVQFIPQVYLQTIDQLWRNHSSNKFGYSVQKRLWEKSNKDFTAFFIKVGWMKKLDTKEVLQYNYRAFPDEFVWELNDETPEGHLPLTNALRGIQLLKCILSHPAFADAIEEDEREKLQQALGKGVVIPDYSF >KVI11872 pep supercontig:CcrdV1:scaffold_986:67962:70318:1 gene:Ccrd_009715 transcript:KVI11872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing N-terminal, type 2 MLAHSIVLLLLLIIHVNGSDSETLIEFKDSLINGDNLTTWTDSSTPCNNNRPNWEGLLCTNGNIWGIKLEGKGLEGSIDPTILARLPSLVSISFKNNSLEGSLPDFRKLDGMREIFLSNNKFYGEIKANAFNGLNRLTKLYLANNQLMGHIPLSLTTLPKLKELLLENNHFDGQIPNFDQGKLTLANFANNHFHGRIPEGLRDSPATRFSGNGELCGTPLTECQRAAGTSTSTIIVIAVVVAIAVAAVIFAVIILSRHHHHHHQQLGPAAGVLNQGSTVLAASANLSMQEKGGVSAHNGKKVDLSMQLTFLRDDVETFDLADLLKASAEILGSGMFGSSYKTTLDGGKLVVVKRFKQMNNARKEEFCKHMARLGSLRHPNLITLVAFYYRKEEKLLVSDYIDNISLAFHLHVKGVVRGLQNLYNKLPSLIVPHGHLKSSNVLLNKNYEPLLTDYGLVPLTNPELARDLMMAYKSPEYKQIGRISKRTDIWCLGILILEIMTGKIPTLYQGKGNDTDLADFINSVADQEFSIDMFDKEMTGFDKSNEGEMMKLLKIGLSCCETDTNKRMEIKQVVERIEEVKEKDSVEEDFYSTYSSETDKGSSIGHSGDFTN >KVI11867 pep supercontig:CcrdV1:scaffold_986:112063:116101:-1 gene:Ccrd_009711 transcript:KVI11867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation transporter MMVVVSYLGNKLQRLCSSLCFGIASFCRFLWFLLTCFYHYVLGRMNHFSIELFYFLFLSTIGFLILNATDPRTATFTPKKLDLFFTSVSASTVSSMSVVEMEVFSNTQLIIMTILMFIGGEVFTSMVGLHVSHHQRSAKKGGRVNDSMSDLGIPANFADHHLELGTIANPEFESSKSDIFDLGYDPEPVAHTEDLKCKSIRFLGFVALGYLLLVQTLGVVSVLVYINVISSAKNVLEQKGLKPFTFSLFTIVSTFASCGFVPTNENMIVFRKNSGLLLLLIPQILLGNNLYPSALRFSVWAIGKFKKKAETRYLLNNGHNIRFHHFLSHLHSSYLVVTVLGFILVQFVLFSAMEWGSDSLNALNHYQKFIGVLFQTINTRHTGETIVDLSTVVAASLVLIVVMMLVISLPSSLLKFIDIFHHQIQLES >KVE83897 pep supercontig:CcrdV1:scaffold_9860:890:1121:1 gene:Ccrd_026896 transcript:KVE83897 gene_biotype:protein_coding transcript_biotype:protein_coding description:DBP10CT-like protein MMNRLENAVLDLAADDSGGLQKQKSSYHWDKRSKKYVKLNNGDRVTASGK >KVE83639 pep supercontig:CcrdV1:scaffold_9863:4138:5588:1 gene:Ccrd_026897 transcript:KVE83639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VANSSSLTVCEATLKSFTATTSQQQLHSNKLKTCLPDAQQLHCDSYNINNFAVLKLSNSSNFKTEQQKLALTVLAITALFTDLDSSRLGCLSNNKNNSSNRLQVLATDLKQQHVDPIQCSQQSVQIEEAATLQHVYNITADCRNLLKSVGVKTAVDSRKERSSSTSKYTLK >KVH93565 pep supercontig:CcrdV1:scaffold_988:6639:9092:-1 gene:Ccrd_004388 transcript:KVH93565 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MKICWIWFLKLSLLCMFLMMAAEAQQPLKMGFYRTTCPTAEQIVRYTVSKAVTANPGMAAGILRLFFHDCFVRVRSHYRTEESEQDAGANVGTLRGLEIIDQAKAKLEAACPNTVSCADILAFAARDSTAVVGGFSYTVPAGRRDGRVSNIGEVDLPSPDSDVEALKRAFVAKGLSVGDMVALSGAHSIGRSGCNFVKPRLYSFNGTHADPSLDPNFVAVLKKKCPKNGNSGTTDLDLATPNRLDNQYYRNVKQHRVLFSSDQALLDSPMTARTVAKYASNLNAWRNDFAAAMIRLGSIEVLTGTKEATLKFLAFRHNQVASRSRKNTQGICLRSIGHPHCQTLLRSLVLKISSRVFV >KVH93560 pep supercontig:CcrdV1:scaffold_988:30139:31818:-1 gene:Ccrd_004387 transcript:KVH93560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIKGLDKVQNAMHAREANLMQMRLRELEVEWSDVFDGSREEMLENEVLDVLKPDNENLEKLNIVSYGGIEFPNWVGDSLFDRLIHISIRGCKKCTSLPLLGQLPSLMELFVQGMDGVKVIELQRLAFPSLEILSFEDMQGWEVWSYNGGVVDPVCPCLQTLCIRGCPKLVEISLEELPSLRDLEIDGCSEGVLRRLVQVVSSVTKLKIVSISGLTDQLWGGVMKHLGVVEEVIIKSCNEIRYVWESYTEACKVLVNLRKLEIRRCNNLVRLGEKEEDNCGSKLTSLTMLGISSCNSMEHCNCSNSIKSLSILWCSSITSISFPTGGKKQLKSFFISDCKKLLAKDLGGGRSEETINASMCMLDIVQIKGWSNLKSVIQLSYFIHLKKLEIRNCPSMESFPDYDFPNLTSLTHLEIVKCPSMDTSFPRGLWPPNLCQLGIGGLKKPMSEWGPQNFPTSLVSLCLVSEDVTSFSELSHLLPSSLTSLHIEEFEKLESVSMGLQHLTSLQHLGFIDCPKIKHLPQTLLPSLLSLWIADCPNLEERCSRRTRGYYHNYIPT >KVH93561 pep supercontig:CcrdV1:scaffold_988:31862:33920:-1 gene:Ccrd_004386 transcript:KVH93561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MVEILVSPILQVLAEKLGSAAFKKLARYTQIHIEVKKWETSLTEIQALLNDASQKEIRDEYIKGWLNRLQHLTYDIDDILDNLATDVMHRKLIEEQLGATTSKVRMLIPTCSISFSTNIRIHDKLKDITTKLQDLEKEKVTLGLSVINGRPITMSRNYETSLPDASDIVGRQGEKEALLHKLLWEESSNQNFNIVHIVGMGGVGKTTLARLLYNGTQVQNHFKLKAWLCVSDGFDIFTISKSIFGSVTGEIKEFGNLNLVQEALRQQVIDKRFLLVLDDVWSESYEDWNTLVRPFYAGDLGSKIIITTRKEQLLKELGCDDLEHLQSLSYDDALSLFCQHALGVNNFDSHPNLRPYGEGIVKKCDGLPLGLRVLGRLLRTKTDEVEWKELLNNEIWSLQKGDGIIPALRLSYHDLSACLKRSENEMEKGGGMDTLEKYRHLSFVCEDYISDKKLKAFKGAKNLRTFLAMSTLVVFGCHDLTKLPNSFSKLTSLRHFDIRDTPLLKKMPSRISKLKNLQTLSKRRG >KVH93559 pep supercontig:CcrdV1:scaffold_988:104352:115520:-1 gene:Ccrd_004383 transcript:KVH93559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MVPRFPASGTPSISGDSMEIDSDPFHHSQHYGWVSSILQILDTLQEVVPKRLEADVELSRHRISVFLSTHTAYELLPESGKVVALDVTLPVKQAFHILYEQGISVAPLWDHGIGRFVGILSPLDFILILRELGNHGSNLTEEELETHAISAWKAGKLQLTRKFDGNGSLNPRHLIDVLFGGDGKKMMGGKEGLGWVIVVMVELEDGWGAGPHDSLKDVALKLLQNKVATVPVISWDGSIPQLLHLASLTGVLKCICRHFKHSPTSLPILQQPICSIPLGTWVPKIGESNGHPFAMLRANASLSDALSLLVQAEVSAVPIVDDNDSLLDIYCRSDITALAKDRAYAQIHLNELSIHQVGNKALQLTQEASATYGFFNGQRCHMCLRSDPLHKVMDRLAIPGVRRLVIVEAGSKRVEGIISLTDLFRFLLG >KVH93564 pep supercontig:CcrdV1:scaffold_988:3443:7347:1 gene:Ccrd_004389 transcript:KVH93564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallophosphoesterase domain-containing protein MSMMVMEGMMEKGVLEDIIKRLLEGRGGKQVQLSEVEIRQLCVNARQIFLSQPNLLHLHAPIRICGDIHGQYQDLLRLFEYGGYPPSQNYLFLGDYVDRGRQSLETICLLLAYKIRYPDKVFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNSLPVAALVDEKILCMHGGLSPELENLNQIQEIERPTEIPDNGLLCDLLWSDPDPTIEGWSDSDRGVSCTFGADKVVEFLGKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNVGALLSVDKSLVCSFEILKPLDYAEKPGTSRLPLKKPPKTRTA >KVH93563 pep supercontig:CcrdV1:scaffold_988:98198:100837:-1 gene:Ccrd_004384 transcript:KVH93563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold MNSVKPNQSFIARILEIWKSSINSRDSQDSQILPWKRVLHASQKLKAKSVEYSLRDQETIQECASEFLSFVTGEASDKCHKENRKTVNGDDICWALASLGFDDSSEAIVRYLSKYREFERERAAASSSATSVAPANQIKEENVDKAAAGSSNSCERRYHF >KVH93562 pep supercontig:CcrdV1:scaffold_988:52878:53533:1 gene:Ccrd_004385 transcript:KVH93562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MLWEVEGKWVVQGAVDVNIGANPSAEGGEDEGVDDQVVKVVDIVDTFRLQEQPPFDKKQFVAYIKKYI >KVI03323 pep supercontig:CcrdV1:scaffold_989:124318:134286:-1 gene:Ccrd_018382 transcript:KVI03323 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCA2, oligonucleotide/oligosaccharide-binding 1 MSTWQVFSDTGSNFRWQCSHAKVSSESQKAPVEKPSSPHHLPSIADILVQGYSKLYENQSDEIETQPTFRNGLGKPVAVKQSSLAKASSILGYLDDGATADTGYSELEDKSKIVGTPFTFRTGLGKSIAIKQSSMAKALSMFGGQDNDAFLDTGCLKVSEDGNDKATTPLTYQTGSGKLVATRKSSLEKVSSILGDQDDVAISGGSVGRDDGNNCMNSMFQTGSGKAVNICSMGLIKARTLLGLEENSDHDETSKGLEQSREHANVGEFFMCPSSSSLPMTSAGTNFRFQDTKSVPVSKFKFQSGVYEGEIKEVAQRSVCSASRPPSIKFYTAGGRSVKVSGDALKRARSLLGDPDLGNFLKEGDAGSPVFSSDKNSNFGDNMLNKENNVCPFFSDGTQKAKNMSNNFVSPVRSTLSDKKTAIRLENIGLRSNLIKEFDAVEHDKTIKQCNDIPCSLVPLTANKSHAVEGVRGALVDNSTTLGVSSARKSLSGPLVDISNTIGLRSADNKQVTGEKRKVSSRKYTSPFKRPRNSKFIPPLDKKSTLVPNGTMPKTTDGSCRKRRVSTLYPFQFPRKYIKEYFGEPPSFENALENLPEWLRKINPENADKHMFEDESGLKCFGADSFFHMLASSGSSTLSKEWIANHYRWIVWKLACYERCYPAKSSGKLLTASNVLEELKYRYEREVNNGHRSALKRILEGDALPSSPLVLCIASIQLKRDAELDTEVISTSNAIDSSTVTSIELTDGWYSVKGLLDGLLLKQLVAGKLFVGQKLRICGAGLCGWNAPVSPLEASTMISLCLHINGTYRAHWADRLGFCKSGCIPLAFKCIKGSGGVVPSTLVGVIRIYPVLYKERLTDGGFVVRSERMESKRMQLYDQRRSSIVEGVMSEFQRGLKSFQIDDEQNTGDEGKKILQLLEKAAEPEVMMAEMSSEQLTSFATYQAKIEATRQSEMQKSIEKALEEAGLSSREVNTLMRVRVVGLTSKSYIQKSFPQQGLITLWNPTEKQQLELVEGQAYAVTGLTPINSDSDTIYLRARGSTTKWQPLSQSMSDQFLEFDVAAFVIYVGEVHTSAHQNRQWVFVADGTSISHPHELSDALLAISFCSPSVDCDSTVPINYNLVGSTVGFCNLIKSAKDQTNHIWLAEATENSTYFLSYDSTNSQHLKTAAASADQWAKASNLMIEKLKGKISSIVARKV >KVI03322 pep supercontig:CcrdV1:scaffold_989:1884:3384:-1 gene:Ccrd_018376 transcript:KVI03322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGELDSTFMETKRNKPLWEVVSAKMKERGYNRSVEQCKSKWKNILTRYKGYETIEEEGMRQQFPFYKELQAIFTNRMQRILWMEAEGIPSESNKRGMWFLSDEEDENEDRLVEKANYSGKKKQKAIESSTSSRGNSDNTNNGTINNLKEVLEEYMKRQMDIEMQWMKRYDAKEEERRMKEMEWRQTMETLEKERIMLDKQWREREEQRRKREEARIEKRDSLITTLLEKLRSQGL >KVI03319 pep supercontig:CcrdV1:scaffold_989:74059:74718:-1 gene:Ccrd_018379 transcript:KVI03319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MASSPAVLPISNPQTISTGESQAPVATPAFRAFINNITETVRSGLSKRRPWSELVDRSAFSKPDSITDAAARIRKNYSYFRVNYLTVIAAVLGFSLLTNPFSLIILVGLLAAWLFLYLFRPSDPPLVIFGRTFSERETLGLLLVSSIVVIFLTSVGSVLISALLLGTAVVSAHGAFRTPEDLFLDEQESGASTGFLSFLSGAASNAAASAAPIVAAARA >KVI03324 pep supercontig:CcrdV1:scaffold_989:151434:153356:1 gene:Ccrd_018383 transcript:KVI03324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGYTRGILILACSIFTWIFLHRWSQRTNKGPKTWPLVGAAIEQLMNYDRMHDWLVNYLSKSSTVVVPMPFTTYTYIADPANVEHVLKTNFANYPKVSIISMYQAIQLTDFPFLMVTCIIRIWRCCLEMASLIQMVRTGGNKERRQALSLHLRTYETLAQWFSEITLRFIDPLWRVKKFLRVGSEAVLDQSIKMIDDFTYSVIRRRKAEIEEAQEVLNNDKMKHDILSRFIELEKDPENNINDKSLRDVVLNFVIAGRDTTATTLSWAIYMIMVHDHVAEKLYAELKAFEQDRAEEENVTLKHCETEDLESFDLRTKQFAQLMSYDSLGKLYYLHAVITETLRLYPAVPQDPKGILNDDILPDGTKVKAGGMVTYVPYSMGRMEYNWGPDANEFKPERWIKDGLFQNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFFKFELVEGHVVKYRMMTILSMANGLKITVSTRS >KVI03320 pep supercontig:CcrdV1:scaffold_989:65757:69907:1 gene:Ccrd_018378 transcript:KVI03320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor MPCLNLSTNVNLEGVDTSSILSEATSTVAKLIGKPEAPAAYGELVSIGGLNAEVNKKLSAAVADILSTKLSVPKSRFFLKFFDAKASFSYLLGVIGSIYKVFNIIQLMDNIIK >KVI03321 pep supercontig:CcrdV1:scaffold_989:47331:59646:1 gene:Ccrd_018377 transcript:KVI03321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNCCRSPAAVAREDVKSSNYSGHDHNNKKDKSNVANGKKAPITVLTDVSKENIEEKYMLDRELGRGEFGVTYLCIDRRSRELLACKSISKRKLRTAVDVEDVRREVAIMKHLPENSSIVTLREAREDESAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSVSEGAKSLVRQMLEPDPKLRLTAKQVLEHTWLQNAKKAPNVPLGDVVKARLKQFSLMNRFKRKALRVEVEDIKETFKKIDTDDDGIVTLEELKAGLQKLNTQLAESEVQLLIEAVDTNGKGTLDYGEFVAISLHLKKMANDEHLHKAFSYFDKDSNGYIEPEELQNALMEDGDADGANVANDIFQEDGKISYEEFAAMMKTGTDWRKASRHYSRGRFNSLSVKLMKDGSLNLGSSE >KVI03326 pep supercontig:CcrdV1:scaffold_989:90459:97379:1 gene:Ccrd_018381 transcript:KVI03326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVVVVAVKRRYKKTYIKHYRTLFVEKILLLGFEEDESRVSERLDLFDTSTHGSSGYDTSDSFIGLCT >KVI03325 pep supercontig:CcrdV1:scaffold_989:86386:89018:1 gene:Ccrd_018380 transcript:KVI03325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein MEIQTGSLSHFHKRFEYINELKCVFACRNSANNREEPRVYSFHSAFFLLTILLILCSHLVSVKASNEVIAVTLSDYSALQAIKQELVDFRGVLRTWKDRNGVCSGGWVGIKCDQGQVIAIQLPWKRLGGKISSKMGNLQSLRRISLHDNLLSGPIPQSVWLLPNLRGVYLFNNLLSGSIPPSISQCPSLQSLDLSNNQLNGGIPHILSNSSKIYRFNLSHNAFSGFIPPTLGLLPSLTFLALEHNNLSGSIPNTWGLGRVDSNHTYQLKSLTLDHNFLIGRIPTSLSKMGNLEKIDLGHNHIDGIIPDEFATLSKLQVLDLSNNGINGSFPPFTSNLSVLILDNNRLTGPIPDTVGNLSSLTQLSLSHNNFSDEIPKTVADLKNLVFLNVSYNALSGSVPSSLLKKFNSSSFVGNVQLCGYSISTPCPSSSSSSPSPSQVLPSPNKKQENRKLSTKDIILIAAGALLLVLLLLCCLLLCCLIRKKSARSKSKKAKTDISMPIPATAAGAEVVESGETGGKLVHFSGTSVFTADDLLCATAEIMGKSTYGTSYKATLEDGNMVAVKRLREKVTKAQKEFEAEVSELGKIRHPNILALRAYYLGPKGEKLLVFDYMPHGSLASFLHARGPETVINWPTRLNIIVGIARGLVFLHTQESIVHGNLTSSNVLLDEQKNPAIADVGLSRLMTNAANTNEEWTNEVFDLELMGDPANVGSDELLSTLKLAMHCVDPSPEERPEALQVVEKLEEIRPEVVAAQTSDNAGGVAAAATEATGVASKSD >KVI10264 pep supercontig:CcrdV1:scaffold_99:503169:503555:1 gene:Ccrd_011338 transcript:KVI10264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYIYLLILGVFSLMDNEMCYASRNLLQATPAVPQPQIPTIPTLPQPQIPAIPTLPQPQMPTIPSLPQPQMPTFPSLPQPQIPTLPNMPKVSLPPLPSMPTMPTIPNLPTTFPNIPFFAPPPSKK >KVI10232 pep supercontig:CcrdV1:scaffold_99:472165:476386:-1 gene:Ccrd_011342 transcript:KVI10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGYRFLAFTTTHSHTARLTIVDFIPGHAGPEPEPGVQRQRCRPVDQTITSCPRRLDLPSPLYPSRPRLSSIEFFKMANDSDHSVLDMQRQLEQRFIHEIGTC >KVI10234 pep supercontig:CcrdV1:scaffold_99:391855:393246:-1 gene:Ccrd_011348 transcript:KVI10234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAVEAGHHTLFHPQMIMTNAIDNQTNGLAFGMAHPLSATTTAMYCSGFTDSINHFPANTAPIKAESGLTCSLPVSRKRPRDSSEINTLLSFVNSHNMNQXQMERXGNYTFLGEDISLQIQQQQLEIDQFIAHHTEKVRLDVEERRKRNSRRIIAAVEEGISKRLRAKEEEIVKIAKLNWALEEKVKSLCVENQIWRELAQTNEATANALRNNLKQVLEQVVHDDYRHRTTTTCVDDAAALAEDAQSCCESNNEENRPPIDLDNINDDCSSNNNINNNNNRLCKKCGKEESCVLLLPCRHLCLCTVCASSVNICPICKSTKNISVHVNMS >KVI10235 pep supercontig:CcrdV1:scaffold_99:2991:15548:-1 gene:Ccrd_011377 transcript:KVI10235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase, conserved site-containing protein MMMRFARTIHRGSRIHSHRSSFLLSNALRCNNHSPADLLRREMSTETESIVARLKSSGLFQTKGLIGGKWTDAYDGRTIEVNNPASGDVITSVACMGERETQDAISSAYEAFRHWSKLTAADRSGRLRNWYNLLMAHKEELGQLITLEQGKPLKEAIGEVTYGATFIEFFAEEAKRVYGDIIPSALPDRRLFVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVIKPSELTPLTALAAAELALQSGIPPGVLNVVMGDAPTIGTSLMESPQVRKITFTGSTAVGKKLMAGAAETVKKVSLELGGNAPCIIFDDADLEVALKGSLATKFRNSGQTCVCANRILVQEGIYEKFTNAFSKAVQNLKVGNGFDEGVALGPLINEAAVQKVESFLQDAISKGAKTLLGGRRHSLGMTFYEPTVIGDVKNEMLIARQEVFGPVAPVLRFKTEEEAIQIANDTNAGLAAYVFTNSIQRSWRVSEALEYGIVGVNEGLVSTEVAPFGGFKQSGLGREGSKYGLDEYLEIKYICMGNMG >KVI10247 pep supercontig:CcrdV1:scaffold_99:290196:292547:1 gene:Ccrd_011353 transcript:KVI10247 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT domain-containing protein MAFISKVHNFSGDFQTPGTALPMWYDVETTSPGFFDNYGITIIPPENDITTPLSRSSSWKTTTSFPERFGVSEMVVPTSPTGFGGNIPAGTDHQKVEQDEYFCSSGSYGSNSWSSSSSYPTATTNWEIESQKTSKEIEEPTLKVGRYSMEERKDKIMRYLKKRNQRNFNKTIKYECRKTLADKRIRVRGRFAKNNNDHHQPCDQDQVLPIITTANNNPSSDEDIQQLYTNNFLMKQDYDEEDEWLQQAMASLLYSPCINIIPDS >KVI10227 pep supercontig:CcrdV1:scaffold_99:410724:412353:-1 gene:Ccrd_011347 transcript:KVI10227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAVEAPPHSHLFSPQFLSNREMIDQGNKNVYGNDAKFGYGMAQMENGMFPMYVSNGMMDTVPAVALKADSGLTYSLPISRKRSRESSSFDPSGLSFPNAQFVDQNQVMNNQKGVFTFLGQDVSMQIYQQQMEIDRFIANHTEKVRSEIEATRRRNSMRLIAAAEEGINKRLRSKEEEIIKIGKLNSVLEEKVKSLSVENQIWQQMAQTNEATANALRRNLHRILTQIQQQQQNGWADKTTVDDVQSCCGSNYDEEHHHHQPAPLTAEGVTVTTTKKLNEGNDVDSQYCRSSSSSDNNGRKLKRWCRNCGKMESCVLLLPCRHLCICSVCESAINVCPICKSSKNASVHVNMS >KVI10233 pep supercontig:CcrdV1:scaffold_99:365151:368137:1 gene:Ccrd_011349 transcript:KVI10233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, III-V domain-containing protein MLSIFVDPKQVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDAALKSFTGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVMVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPKTKKWTTKNSGSPSCKRGFVQFCYEPIKQIINTCMNDQKDLLWPMLTKLGVTMKSDEKELMGKALMKRVMQTWLPAATALLEMMIFHLPSPHTAQRYRVENLYEGPLDDCYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEADAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIVVSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKVRGKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQRRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSGALRASTSGQAFPQCVFDHWDMMSADPLEAGSQASTLVSQIRKRKGLKEQMTPLSEFEDKL >KVI10266 pep supercontig:CcrdV1:scaffold_99:504241:505910:1 gene:Ccrd_011337 transcript:KVI10266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSSVSLMITRHGPGVKKSIPYVILYDHPHREQLQEVCCYYLNFSFAAARTVPHVPRCCQTVFSLTSNEMCYASRNLLQATPTVPQPQVPTIPTLPQPQIPTIPTLPQPQIPTIPTLPQPQIPIIPSFPNMPKVTLPPLPSMPTIPNFPTTLPKIPFFAPPPSKK >KVI10256 pep supercontig:CcrdV1:scaffold_99:159866:172044:1 gene:Ccrd_011361 transcript:KVI10256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome A-type subunit MEESDACPIPNHGIGVQKPVLLGNYVTLHVICSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDETSVQKIQILTPNIGVVYSGMGPDSRVLVRKSRKQAEQYNRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYAFIIFSNVCNFGTFEGQISGKNIEIGVIEADKTFRQSPNCSSN >KVI10225 pep supercontig:CcrdV1:scaffold_99:432485:433953:1 gene:Ccrd_011345 transcript:KVI10225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFDQNEIPVAYPPAPQGASYVMAPPPVGYPMMDGGAATKSENQGPIQTQSRGDGFWKGCCAALCCCCVLDACF >KVI10226 pep supercontig:CcrdV1:scaffold_99:444025:448995:-1 gene:Ccrd_011344 transcript:KVI10226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLKNKRNKPSSYLTSRKQFMAGNGPPTLGRVKLTDLIASEGLPSDTYKLSVSTLSQSLAQYSAAIIQLPPSDGALLRCCLESARPYFTQKPAYPPANMIHINDSREWCKTSGYSADPQLWQESYDYRPGLTSIENHNEIELPPAGLADIFVLLGKAARDILDAISFYLNLRSCAFTEILDNIPLRNREISSSVLSVGCHARPSFQGGQHQNLTTQEDGQLVMFSDREHQVDKSLISLIKSDKAGLHIRDFNGRWVLVDGDLGPEEAIVYPGLALYHATAGYVSPALHRTDIGNLHGNMCGRCSISFKLMPKSMTSLNCSEMRAAGHGVEAQFQVPVSVDDFMQRSHSLDQLFNRNSFPSFSFPTAQDGSMKPLMKRKKNNSRCKPLPPSKRLRLEAQRVLKERVQDMADKKGIKLRFCTLKECESHIHTLDSPCANIRLEIGWPPGVPFVHPHDLPNKAKIGFLETYEPGWTANHDMELSLIEAGQSSQHAENCNYSHLSILFILY >KVI10261 pep supercontig:CcrdV1:scaffold_99:133179:142249:-1 gene:Ccrd_011364 transcript:KVI10261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein MASAFLSRTFFANRVVSSFALNQKPQKLASLLFKKPLHLWASSSRMAYMSTSSIRSDMASTAVGSTATFLTQSMSTTEPVVSVDWLHANLREPDIKVLDASWYMPDEQRNPLQEYQVAHIPGALFFDVDGISDRTTNLPHMLPSDEAFAAAVSALGIENKDGVVVYDDGGLPRWRASGFDVESSASSDAILKASAASEAIEKVYHGQAVGPVTFLTKYQPHLVWTLEQVKKNTEEQTHQHIDARSKARFDGVAAEPRKGIRSGHVPGSKCVPFPQMLDASQTLLSADQLTKKFEQEGISLDNPVITSCGTGVTACILALGLHRLGKTNVPVYDGSWTEWGAQEDTPVSTSTSTS >KVI10255 pep supercontig:CcrdV1:scaffold_99:147321:158981:-1 gene:Ccrd_011362 transcript:KVI10255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase large subunit, alpha/beta/alpha MLFVGMHRVGVKTSQFIYGSSLRVCTSLKSLGRGIQTQGCRSQSNLFEWGGNINPVVSSFLQKGFSEITNETVGKSLHAFCIKNFCRLSMFHTNTLINMYSKYGKLEAAWYVFDEMPQRNEATWNTMISAFVRFSLYPDAFLLFAQMRAQGFETSGFVIAKDELLGLQVLGHVIKCGFEYNLSVANSLISMFGNSGRVQEACYIFNQMSVRDTISWNAMISAYAHNHSYEYSFRCFNLMRHAREDLDAITLSALLSACGSMDNLFWGAAVHGLVHKLGLDSNLCLCNTLLGMYSEAGRSKEMVQLFEEMPNKDLISWNSLIAGHVQEGDYLNALMVFVRMLQRQKSVNHVTFASALTACSDPELLGKAETLHALVFTSGLHDNLIVGNALVTMYGKHKMMRKAEEIFKRMSQKDLVTWNTLIGGYAGCEEPNLAIKAFNFMRKRDEPRNYITLVHMLSSCVAPNYLLSHGMGLHSHVIITGFDSEDYVKNSLITMYGKCNDLESSMQIFDGFVNKAYVSWNAMIAAYAHHGHGEEALKRFSEMNKTGNHLDHFSFSAALSAAAYLSSLEEGQQLHGLTVKFGFDSNQYVMTAMLDMYGKCGEINDMVKMLPEPKTRPRVLWNILISALARQGSFQEAKESFHEMVKMGSKPDHVTFVSLLSACSHGGLVDEGLAYYSSMTTKFGVPVGIEHCVCIIDLLGRSGRLSEAESFIKKMPIPPNDFVWRSLLAACRIHGNSQLGKQAAEHLLESNPSDDSAYVLYSNVCASSGKWEAVRNLREEMQFTNVKKKPACSWIKMKRKVSSFAIGDKSHPQNGKIYTKLDEIKKMIKEAGYVPDTSFALQDIDEEQKEDHLWKHSERLALAYGLINSPEGSILQIFKNLRVCGDCHSVFKFISSIARRKIILRDPFRPTTFTSKIQSYFDLGRLHDAVNLTLSHPVQFHHPPYVKLLQLCIDKKAYNQGHLVHEHLYANGFHSNLHINTKLVILYSKAGDMKSARDVFDEMRERSVVSWTALLSGYAQNGSGKEALMVFRGMRQAGVKANQFTYASALSACKSLMSLGYGVQIQGCVHKGRFLDDLFVQCALVELHSKCGKMEDAYCIFNLMSGMTPDNFTLASVLMACSCGKDLSNVRQLHGFVLKLGFESYYSLNGSLINAYTKSGSIISADKINKNMMVKDTICCTALIMGYAHEARGGEIEEAYHLIHDMHMKPNASVWGAILGACSVYGNVAVGKVAARHLFDMDPGNSVNYVVLASIYAAAGLWDSASDTRKLMKAMSMVLPGVVGFSLSGRLCNIITTTNLVLTVMQTLREHGGVGTFGEFFSDVSTT >KVI10245 pep supercontig:CcrdV1:scaffold_99:184693:186376:1 gene:Ccrd_011359 transcript:KVI10245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDVTYLCSVMNQQQGMMTDSKSTALITRDLLGGGGGRGGGGGGCDLDSSKELDLDLHVPSGYEKRLDLKSGKVYLQRCKSPNTSSSSSDQKQESNDQTVSKLQDLNFPPSSKKQLNLFDDSSLDLNLVNLSSSPPAYRSVCTLDKVKFALERAERETVKKRSISMSKSCSSPTSNSSSSIKETIVNDDDDHDERSSQAYAAGCPSCLLYVLISRSNPRCPRCNMTVPSPTAMKKPRIDLNISI >KVI10262 pep supercontig:CcrdV1:scaffold_99:71997:87969:-1 gene:Ccrd_011369 transcript:KVI10262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde 3-phosphate dehydrogenase, active site-containing protein MAFSSVLRSTNPPSVLEPSRLSLSATRSQASSLKLQSTLFGVAVSGESFSLQLGKSNTRCIHLIKATATQAPTSVPKSSTGGKTKIGINGFGRIGRLVLRIATFRDDIEVVAVNDPFIDSKYMAYMLKYDSTHGLFRGTIKVVDESTLEINGKQIKVSSQRDPAAIPWGDFGADYVVESSGGGAKKVVISAPSADAPMFVVGVNETTYKASMDVVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTANVSVVDLTCRLEKSASYEDQPSVGPDRAYGVGGSGFQLKGKMGFGLYARTPKIHQPTFMAFSSLLRSTNPSPVLQASNQPLSAPSLASRSQVKFPSTFLGAAVSGESSFVQLKMIILFFESYRKSHACRINPIKATATQAPPAVPKSSTSGKTRVGINGFGRIGRLVLRIATFRDDIEVVAVNDPFIDSKYMAYMLKYDSTHGLFRGTIKVVDESTLEINGKQIKVTSQRDPATIPWGDFGADYVVESSGVFTTLDKASAHKKGGAKKVVISAPSADAPMFVVGVNETTYKPSMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTANVSVVDLTCRLEKNASYEDVKAAMKYASEGPLQGILGYTDEDVVSNDFVAGIGLSASFVKLVSWYDNEWGYSNRVLDLIEHMALVAASN >KVI10252 pep supercontig:CcrdV1:scaffold_99:273066:277668:1 gene:Ccrd_011354 transcript:KVI10252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEHSSTVSTAGGPDFNLPDEILSVIPTDPYDQLDLARKITSMALASRVSKLESEAGRLRHKLNEKDRVIMELEGKVSELQQTCHNVQLRLQITLEDNSKLVEERDSLAMATKKLGRDLSKLETFKRQLMQSLNDNDSTQATTVDIGTYDQSAPRAYPVKEEETNGYRRHHSFSGSIDGGNIPDDASKHAGQRFSMTPYISARVTPTATPKTISATVSPRRYSASGATSPTKIQYEGRTTLSSWYSSSQQSSAGNSPPRGPPLPGRTSKIDGKEVFRQARSRLSYEQFSAFLANIKELNAQKQSREETLRKAEEIFGTDNKDLYLSFQGLLNRNKQ >KVI10237 pep supercontig:CcrdV1:scaffold_99:48289:52829:-1 gene:Ccrd_011371 transcript:KVI10237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MDDQEHCGGDGVGCGATDQTTVGDSYTDQYRSPSAGKLNDSLSWKLDISNFRLPESSSTIDDKASTLRRLFLLAPIYASIKSGSLAVIASTLDSFLDLLSGFILWFTSNAMRNPNQYHYPIGKNRMQPVGIIVFATIMATLGFQILLESARELVVKTHPNDDHDSEKWVIGIMVSVTVVKFMLMMYCRRFENEIVRAYAQDHFFDVITNSVGLAATILAIHYRWWIDPVGAIINVWSLIGRTAPPEFLAKLTYLVWNHHEEIKHIDTVRAYTFGNHYFVEVDIVLREDMVLIEAHNIGEMLQVKLEQLVEVERAFVHIDFEFTHTPEHKGKV >KVI10246 pep supercontig:CcrdV1:scaffold_99:300472:304503:-1 gene:Ccrd_011352 transcript:KVI10246 gene_biotype:protein_coding transcript_biotype:protein_coding description:lateral organ boundaries domain-containing protein MKDSGSSGGGAGRKQGAASPCAACKLLRRRCSQDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHHRGDAVSSMVYEANARIRDPVYGCVGAISSLQQQIDVLQAQLAVSQAEVVHMRMRQFSSSPVNQSPENISPPSRHHPSHTPTRSLFGMDMVVDHQSHMGMGESLWSSCN >KVI10239 pep supercontig:CcrdV1:scaffold_99:36022:45509:1 gene:Ccrd_011373 transcript:KVI10239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein GLSTFKGRVSGGRDTRKSGGVRSLKVYAENEKVKSDSRGPVAAETKRKSIPVKAETSHVHANHHKVDVNKLEKINGKNINSVGRKVLADISNSNIQKNETFDSSRPGKGKLEKMACIQRASVGTRVRTASSSGKPSMGTHVGSQSEAVDGRNTLRRVVGAKDLKVFNGNPRTRLQGQETVGDATRKSARIYNPPQRKSLPVLKHVDKADTCDLNKGSLENKVKSKEKCGFSVKPKVGTKVVPQVSNARDYRWKNRVSDGYIKMVSEGHQPTGDAHRLSRRSMKPTVKTTIGIPRAQRVSKSTSVSSKSTFAASVSSRRKEVASRSSFSKHTEAVTCEKPAEGVPSACNSNLDASTSNVAAKRKSGRRKSYTSLLIARSTLLKENDNVTKQEILPNIYDECNHLEVAEYIDEIYQHYWVTEAHNQPLKNYMEIQTEITAQMRGILINWLIEVHLKFDLMQETLYLMVTLLDCYLSVVTIKKNEMQLVGLTALLLASKYEDFWHPKVMELISISAEFENLAFFLIELCLVEYDALHFKPSLLCASAIYVARCTLHLTPPWTPLLCKHSHYHEFQIRDCAEMILRFHQAARTAVLKVTYDKYMGADNCRVATIRPLDKLPTQ >KVI10257 pep supercontig:CcrdV1:scaffold_99:173206:176031:1 gene:Ccrd_011360 transcript:KVI10257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDRKSKKKRSPTSYSSEDEGKKKRHRSSETKSDKKEKDSQRKHKSRKSSSIKEKKPEEKHKHKHKHHKHDRRVISNFKELSDADYFLKNNEFSTWLKDERDTFFSDLSSESARKLFAEFVNDWNNKKLDSKFYDGIVTAPRSSHNWKIKVDTKGDPQRDLF >KVI10254 pep supercontig:CcrdV1:scaffold_99:144715:148015:1 gene:Ccrd_011363 transcript:KVI10254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPKDVRQNTPVKIGATAGLRQLGVDASERIMQAMREPIHRKKFEFXHYWNKSVDQIFGPAIEAVSSWREREHDDIDRSYEAGSNRFGFAIERLGEE >KVI10242 pep supercontig:CcrdV1:scaffold_99:21211:24677:1 gene:Ccrd_011376 transcript:KVI10242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF284, transmembrane eukaryotic NPFFLQILHLPSGNPKPSSKFCVLDPLIHPQSDLHLHLHLFVLIIIMSNPTTPMNANAPSSSSGGAGSPDSAPRRNSKRPKYSRFTQQELPACKPILTPKWVISAFMLVTIVFIPIGLASLFASRDVVEIIDRYDNACLTGSKSDKVQSIQSVSTNKTCFRSLTVRKRMKQPIYVYYQLDNFYQNHRRYVKSRSDSQLRNRGDENQTSDCKPENDVNGMAIVPCGLLSEQEDLIVWMRTAALPTFRKLYGKIEVDIEAGETINVIVGNHYNTYSFSGKKKLVLSTTSWLGGKNNFIGIAYLAVGGLCLLLATTFTLIYLVKPRHLGDPTYLSWNRNPGGH >KVI10248 pep supercontig:CcrdV1:scaffold_99:346693:348169:1 gene:Ccrd_011350 transcript:KVI10248 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDTQKQQLLNSKYSHNYNRQLVDDQEEDVPLPGFRFHPLDEELVGFYLLRKVENRPISMELIKEIDIYKYDPWDLPKGSNAGEKEWYFFCRRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSGDGSVCIGLKKSLVYYRGSAGKGTKTEWMMHEFRLPPPHSDNQIDDKSIALEAEVWTLCRILKRSPSHKKTLPEWREISTSKSPPVVDTSSGDSDYDMQSYISFQAPVINENKPFVHTHHQQQHLHGSNQLIMGQLTSSTVTSDQPPSTTASCSSFSGLDVNQFIKHGDWDDLRSVVGQFSVADPFSFT >KVI10244 pep supercontig:CcrdV1:scaffold_99:201887:212819:1 gene:Ccrd_011358 transcript:KVI10244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGKKKQKQKVVLPPELPPEVPEDEIEVSDEDLEFVNRNKEYAGFVSKLDTQSITRHVNRVADVKEDALESLYEKRLRKKSLAATENIQHEVDPVDALPVKTLDGEVYYRTVSKAPNKFQSAHDEDETVGENEDVGRDNSVVKLTKAEKRAKFKKLRKEAKKQGKEVVEEEEVEPSSQADVLAEVEKDLSAEEANEKRKFRLAELGTAMLTDPEANIKSLKEMLQICKDGDQATSILGLKSLLAVFKDIIPGTRIRLPTEKELAMVVSKEVKKTRFYESTLLTVYKVMLVPGLIDTVEEFIQAYLQKLMALEKVSSFKRVAIRCICTLLEANPRFNFRESLLGVVINNISSTDDVIRKLCCNAIKSLFTNEGKHGGELTVEAVQLIANLVKDHDCHLHPDSVEVFLSLSFDEDLRKPEVAKPNRKAKNKKYKIGNRNEDKNQLLANDKKKSKQEMISKTREEVKAEFMAASFAQDAMEKRRIQSDTLSSVFQTYFRILKHAMRPGSEANGFPASPFGEHPLLAPCLNGIGKFSHLIDLDFMADLMNYLRRLAGGSIDANRPSENFSSRLTVSERLRCCIVAFKVMRNNLDALNVDLQDFFIQLYNLILEYRPGRQHDMQRAAAFIKRLASFSLCFGAAESMAGSIAKYQPYASDPSLSGAFATVLWELTLLSKHYHPSVSTMASGISTITNAHDQVYRSNVSPQQAFIDMSLDNETFAPKTNQKQSNNKRKKGNRLPPLAAAGGGDMVDELAVRTKLSEHFLLVHDVEENRRLRRELERTKLGLQLYKEYKKQKKQRTR >KVI10259 pep supercontig:CcrdV1:scaffold_99:110895:121907:-1 gene:Ccrd_011366 transcript:KVI10259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type fold MSSWRSLILRIGEKSSEYGGIIDYKDHIDACFGVVRRELEHSEDEILTFLLQCSEQLPHKIPLYGTVIGLLNLENEELVKKILESTQKSLQDALDTGDCNKIRVLMRFLTVLMCSKVVQPSSLVVVFETLLSSAATIVDDEKGNPSWQARADFYITCILSCLPWGGSELGEQIPEEIERVMVGIEAYLSIRRRVSDVGLSVFEEINKIDKVHVEQDFVEDLWSRIQDLSKNSWKLESVPRPHLLYEAQLVAGKSHDIGPISCPEQPDTPGELSGVSFGRQKHEAELKYPQRIRRLNIFSASKTEDLQPIDRFVVEDRKECAACMVGLPVPFRYEYLMAETIFSQLLLLPQPPFKPIYYTLVIIDLCKSLPGAFPAVVAGAVRALFDRISELDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLELPKWAPQRVFVQEVLDREVRLSYWDKVKQSIENTPALEELLPPKGVPDFRYGSEDDDKTEYTLSTELNGMVKGRKTARDVITWLEESVISVNGLDIALRVVVQTLLDIGSKSFTHLITVLERYGQVIAKICSDEETQITLIAEVGSFWKNSAQSTAITIDRMMGYRLISNLAIVKWVFSSSNVELFHTTDRLWETKEEEVSTRESLESKEALFARALEENEALFLSLYKNFSNVLMERLHETFGEKTLEPTGDSEAMVVDEEDTPAMETDKENGSSKRYHFRFNFFLAVKICVFSTCNFSALSYLCRNAGSGTEYIVEEKEQWCLSTLGYVKAFSRQYASEIWPHMDKLEEEVLTGSVHPLFRNAVYSGLRLHIDQ >KVI10260 pep supercontig:CcrdV1:scaffold_99:126796:132215:1 gene:Ccrd_011365 transcript:KVI10260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase MATKKSVSSLKEGDLKGKRVFIRVDLNVPLDDSFKITDDTRIRAAVPTIKYLMSNGARVVLSSHLKLVAEIPNGGVLLLENVRFYPEEEKNDPEFAKKLASLGDLYVNDAFGTAHRAHASTEGVAKHLKPSVAGFLMQKELDYLVGAVSNPKKPFAAIVGGSKVSSKIGVIESLLEKVNILVLGGGMIFTFYKAQGHKVGSSLVEEDKLSLATSLLEKAKSKGVSLLLPTDVVVADKFAADANSKVVPANSIPDGWMGLDIGPDSIKSFSETLDTTKTVIWNGPMGAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKSLPGVLALNDA >KVI10249 pep supercontig:CcrdV1:scaffold_99:320717:338067:1 gene:Ccrd_011351 transcript:KVI10249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MNRVPPNTSMETAKQQFLKEFGESYGYPNAPKNIDDIRATEFKRLDGNVYLDHAGATLYSELQMEAIFKDLTTTVYGNPRILDSQSSSSLATSDVLEDARNQVLKICNASPKEYKCIFTSGATAALKLVGEAFPWSSQSTFIYALNEGAAALAIDFREATKSDTCRSTRSSIKVFQHPLQKRSEARVLDEEPTGHTYNLFAFPSECNFSGVRFNLDLIDIIKEDSERILEGARHCRGCWMVLLDAAKGCSTDPPDLSKYKADFVVISFYKLFGYPTGLGALIVRNEAAKLLKKTYFSGGTVAASIADMDFVKRREGIEESFEDGTLSFLNIASIRYGLDIINTLSTLAISRHTSSLARYTRNMLLALRHADGEEVCKIYGLDYLKASYSGLGSVVSFNLKRSDGSWIGYREVEKLASLSGIQLRTGCFCNPGACSKYLGLSHSDLLSNIEAGHVCWDDYDISNGKPTGAVRVSFGYMSTFEDAWKFMNFIVSSFVLLPTDTRHLNPLRSGSIQPAMEGLLYDREWLLKSINGEILTQKKVPEMYYIDTKIDLKLGILHVESPRCKEKLLIELESDFFPAVEEINVHAQRYEVLGYDNKIDTWFSNAVGRPCYLLRSSSRNGICLNKTKSMGRCRDVNTRLNFVNEAQLLLVSEESISNLNHKLNSSRQEGSVGLRMQVDAMRFRPNLVVSGGEPYAEDGWSSLKIGGNHFMGKILFGILLRYEHDNEGGEEMSSWLQVGQEVYPDQVLMLFDL >KVI10243 pep supercontig:CcrdV1:scaffold_99:621:2376:1 gene:Ccrd_011378 transcript:KVI10243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calycin-like protein MDGGVVVVHPAIGPLAYLLGTWRGQGEGGFPTISSFTYGEELNFTHPGNKPVIAYSQKTWKLNSGEPMHAESGYWRPKPDGTIEVVIAQSTGLVEVQKGSYDAENKVVKLHSEVIGNASKVKEISRVFTLVNEELSYVVEMATNLTDRQPHLKASLKKL >KVI10265 pep supercontig:CcrdV1:scaffold_99:496875:498098:-1 gene:Ccrd_011339 transcript:KVI10265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPHFVTSFAQQIIGGKAGEITGSLKQDACQVEEEANEETKEEAPKDETKIQVEKISFRL >KVI10241 pep supercontig:CcrdV1:scaffold_99:25222:26620:-1 gene:Ccrd_011375 transcript:KVI10241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHYARLPGALTLSSRYHVVNRLADDFPLPPVDPLSPVLPEAYCSYPPGSSPPSTTSLPTPTSPGFSPPSTTSLPPPASPAFSPPTTTSPPVSVSPTIGSYTPSPSPPASSSSSSYPTPPFSYSTPSTPPTTTTPGSPATYQPSPVMSPPYNFEPSPPTFSFPSPTGFIPAPPLFEPPVVYPPPTGPPPAHSEPESALWCVAKPSVPDPIIQEAMNYACGSGADCESLQPNGDCYQPDTLFAHASYAFNSYWQRTKVAGGTCEFGGSAMLVTVDPSYDGCHFIYF >KVI10240 pep supercontig:CcrdV1:scaffold_99:31387:33854:1 gene:Ccrd_011374 transcript:KVI10240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MIARSRSFSRYVLNNLHLLTLYSSISVVNDSHRFVKHLNRCLVSIPGRFDSVTTSPNPSIRVRSYCSGKKIQGSNEWTEDIEYLDESGSVIYTGKGIRSIEPGVDDHIMVGGLKQPFSNASAVAKIVEVVKRWKWGPEMETQLDKLQFVPNMTHITQALKITGDGDASLSLFRWSKRQSWYSPSDECYLALIDRLNQSREFEGIQSVFDDLIRDSTKAMVPEFNAFSRIVQYLAKAEKLEVSFCCFKKIQESGCKVDTKTYNSLITLFLDKGLPFKAFEIYENMEGAGCSLDGSTYELMIPSLAKSGRIDAALKLFQQMKEKNLQPGFMIFASLVDSLGKAGRLDTAMKVYMEMQGFGFRPSATMFVSMIESYVKAGKLDTALRIWDEMKKARFRPNYGLYTMVVESHAKSGKLETAMSIFSDMEKAGFLPTPSTYSCLLEMHAASGQVDAAMKLYNSMSNAGVRPGLTTYTALLTLLAKKKLVDIAAKILLEMKAMGYSVDVTASDVLMVFIKDASVDLALRWLRFMGSSGIRTNNFIVRQLFESCMKNGLYESAKPLLENYVESAAKVDLILYTSILAHLVRCQEEHNERHLMSILSATKHKAHAFMCGLFTGPEQRKQPVLVFVRDFFQSIDYESEEGAARYFVNVLLNYLVLMGQINRARCVWKVSYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKIVVAQMLSSVESPFEVSKVVLRAPGESVSEWFKKPIVQQFLLNEIPSRGDILMHKLNILFPSSAPEIRSLNLPKPLVGGRAM >KVI10258 pep supercontig:CcrdV1:scaffold_99:105503:107533:-1 gene:Ccrd_011367 transcript:KVI10258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MEPINHFGFSFNNIHQKLPIRQFSQAARVEEQHQLLAEESWELLQHFDDQVYPSGMATSVDSMEEYQFVDSFFNIDHIHHGELPSSPEENHLDQLFDSFLHDVDLNSFLMPNDPMLIQEEYDLIDMSSSCEDTAFVSSLSSSSLSDISIQPIYDNSTTTFITSTIEDQDQDRLRVNGVDDGLQLVHLLLACAEAVGCRDTQLADSILSKIWYSANPLGDSLQRVSYFFAMGLKSRLSLLQTNVNANGTISISNGWGRATLPTDIQREEKIEAFHLLHQTTPYIKFGFIAANEAIFQAAKGKDCLHIIDLGMEHTLQWPSLIRTLGSTTDGGVSPKLIRITGILGDRGEMMELESSMKETIVAEANSLGIEVEFRLISGPATPAMLTKENLRLKEGEPLFVNSILHLHTYVKESRGSLKTILQAVKKLGPTLLTVVEQDANHNGPFFLGRFLESLHYYSAIFDSLEASMGRNSIERLKIERNHFAEEIRNIVAYEGTERVERHERADQWRRQLGRAGFQVVAGVKERQATAMKSVSGCDGYTVGNDQKGHVLLELA >KVI10230 pep supercontig:CcrdV1:scaffold_99:493694:493993:-1 gene:Ccrd_011340 transcript:KVI10230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKEDIKYGTAQAKLSEDESLRVAYKAGTPLEAGKIPDSDPDPIDLFSAAQNISNQQQNEGVIAESKPVDLFSGAGGVSNANQVDEVRVGSRQPSAN >KVI10231 pep supercontig:CcrdV1:scaffold_99:451878:452330:-1 gene:Ccrd_011343 transcript:KVI10231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MKKINVMLRKCKTLSKQLRRSSSNLSSGSKSARHHQDGAAAGGGGGGGIIWNTNAISVYGSDGNGSGNEEEPREIVFVGSTRKRYVISSKYLSHPLVNALIEKSKPAGNGEVSVINCEVVLFDHLLWMLENADFNVTSDCLDELADLYSS >KVI10236 pep supercontig:CcrdV1:scaffold_99:63845:70423:1 gene:Ccrd_011370 transcript:KVI10236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective-in-cullin neddylation protein MNKLGRGHRDKVQQFMTITGASEKVALQALKGSEWHLDGAFDAFYNPYADMIMADGISTLCNDVQVDPQDIVMLVVSWHMKAATMCEFSKQEFVGGLQSLGIDSLEKFRERIPFMRSELKDDRLYHTIAFSVFKKFKEIYNFAFGWAKEKGQKSLALDTAIGMWQLLFEEKQWPLVDHWCQFLQARHNKAISRDTWSQLLEFARSVDPTLSNYDAEGAWPYLIDEFVEYLTENNIIQKGHVKDWGQKF >KVI10238 pep supercontig:CcrdV1:scaffold_99:35978:47608:-1 gene:Ccrd_011372 transcript:KVI10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF2358 MAFTVIPNLSPPSFTRLHLHHQSYSFHKSSSNSAIHHNHHHSSHLPLQSSVELPPVRVRVSPPRDPRQDFYVNLGLAFTGIHNYKLIFSALRFHGRILFKEISLEIFRVWQPSENLILIRWNLKGIPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNLPQQLKPAISVLDLVSACPATPNPTFSWGIVDSTTYSSSWLDFYRAVKNTLDPSQDSSVTFGCQKSSYFDASNSADFRRPDFLLAATFDVLASKLLLQADGTPSAGFSQVTASVCLENDDLDATSFLLDETEAANVDLLETESTQSQ >KVI10253 pep supercontig:CcrdV1:scaffold_99:263526:267447:1 gene:Ccrd_011355 transcript:KVI10253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MNKIERAHQMYRDGKYEEALGFYTEALSIAKTNPQKIALHSNRAACFLKLHHFKKAAEECTSVLELDHEHTGALMLRAQTLVTLKEYHSALFDVNRLIELNPSSEVYQNLEARKSLAPIPEAEAELEEEDDEEDEQCASVGVAGNDSHDIAGPAPKTEVSNPQKSVVNTVSTPQTQSLIKEEIPKPKGHSRLDYSRWDKVEDDSSEDDEDDDEDSQPQYRFRVKNIGVRSVK >KVI10229 pep supercontig:CcrdV1:scaffold_99:480570:488609:1 gene:Ccrd_011341 transcript:KVI10229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase II-associated protein PAT1 MERLGGGGDTQQLVDPRDHNQQLGSNSAGGTVFDASQYAFFGNEVLEEVELGGLEDEEEDLPAAKFEDEEYQLEQDEGVFPGIDDLATTFSKLNKDVMGTSVGVFGDQGSRENSSAAEWAQGGEYTNWFDQDIFDAESAQDSNRWSNPSFPLQDASMLIHRTSSYPEKHQQQNLNQQLNDESKLYLHRTSSYPEQHQQQNLNQRLLDESKHLHRTSSYPEQQQPHHLSQHLHFSSEPVPVPKSSFVSYPPPGGPGGSPQPPASHQLHHLNAQFHGSGHQMPPSFSNISPLSNPQLQMGGMPHGSQFGGNLPQFRPLAPSVNNRMPNQWTGQNSLYPGDQPDLSNGMLQQQLAHKNGLLPPQQHRLHHQFQPSFGHLSGLQPQLPQLMNHHLSPSPPLMNNFEVFGMAGPRDPRPKPMPKGRPGQRYPQSGFDTSGWPQFKSKYMTADEIENILRMQLAATHSNDPYVDDYYHQACLANKSSGAKLRHHFCPTQLRDCPPRARAANEPHAFLQVDALGRVSFSSIRRPRPLLEVDPPKSSASGNTDQKMLDKPLEQEPMLAARVTIEDGICLLLDVDDIDRFLQFNQLQDGGAQLRQRRQVLLEGLATSLQLVDLLGKNGQTVELTPKDDLVFLRLVSLPKGRKLLSRYLQHLSPGSELTRIVCMAVFRHLRFLFGGLSSDPGAAATANNLATNVSSSIRGMELRALAACLASVVCSTEHPPLRPVGSPAGDGASVVIKSVLERGTELLTDPHAVSNCSMPNRAFWQASFDAFFGLLTKYCFSKYDTVVQSLLTQGGGPTDMAVIGSDAAKAISREMPVELLRASLPHTNENQRKLLLDFAQRSMPVLGMNSSGAGNGGT >KVI10251 pep supercontig:CcrdV1:scaffold_99:241658:259538:1 gene:Ccrd_011357 transcript:KVI10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWLWLWLCLIMMTAIVRAQNTTDPAEARVVNALFSSWGISEDSVTQMGWNISGELCSGAAVDSTDFDSGTYNPGIKCNCNFPNSTCHVTRLKVYAMDAVGPIPEGLWTLIYLTNLKVDQNYLTGSLSPSIGNLIRMQYMTFGINALSGEVPPELGRLTDLRSLGLGTNNFSGSLPSELGNLRRLEQLYIDSAGVGDQIPATFANLRNMLTVWASDNEFTGRIPDFIGNWSRRLEGNSFEGSIPPTFSNLTSLQELRISGLSNGTLDFIRDLKSLSTLVLRNNRFSGSIPTNIGEYQNLTLLDLSFNRLTGQIPRELFNMSQISFLFLGNNSLNGTLPAVKSTTLRNIDVSYNELSGTLPSWVNEQNLQLNLLVNNFTLEGSGSSGLPSGLDCLQRNFPCNHSDFGINCGGPPITSSSQLNHEQDNEVLGPATYYLTSERRWGVSNVGQRMNPVYTASSSRQFTNTLDSELFQTARLSAGSLRYYGLGLENGNYTVNLRFAELQIENGPTWRSLGRRVFDIYLQGNLVFPDFDIKRAAGGASFSPVSRQETVQVSNNYLEIHLFWSGKGTCCIPQQGDFGPLISAVSATPNFVPSVSNAPPSTKKKNRTGLIVGILVPVAVVSFLSLLALYIFRQRRKRKDATDNYEDLRDATDDFSPENKLGEGGFGPVYKGTLNDGRVIAVKQLSVASHQGKSQFIAEIATISAVQHRNLVKLYGCCIDGEKRLLVYEYLENKSLDQALFARGLSYLHEESRIRIVHRDVKASNVLLDSDLNPKISDFGLAKLYDDKKTHMSTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIISGRPNSDSSLEDDKIYLLEWNEVKRGISIALLCTQTSPMQRPSMSRVVAMLSGDIEASGDITRPEYLTGWKFDDATTFKDALPTPGSDIVGSTSTSNSMVSARSPIDASRPILHDIIGEGR >KVI10263 pep supercontig:CcrdV1:scaffold_99:97815:104912:1 gene:Ccrd_011368 transcript:KVI10263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSKPDNYVQYNSVQSENSDSAPSSQSFLNANTRPASVKPVLNYSIRTGEEFALEFIRDRVNPRMPFVPYPAGDSNLTTGYMELKGILGISHTGSESGSDISMLNIVERGSKDHEHNSSLYEGTSNFGSVSSMPQPSSEFNSTRPVVHGYSSSGASDGSSKIKILCSFGGKILPRPSDGKLRYVGGETRIIRIRRDIFWQELRQKMIEIYIETYAIKYQLPGEDLDALVSVSSDEDLQNMMEECHVLGIGEGSKKLRLFLFSLSDLDDAHFGHANSSGDSEFQFVVAVNGMDMGSRRESGMDGLMRSSANNLSELDGQTVERNISRAATDFVGGNAPLSTDLHVSSSVPASSPAMLPNSSNAYGNRNQIHQVLPVHHGEANLNIQHHASVSRPTGDKPPAETSVPLNTDGLVDRQGGFTDGYPSRTMVVQNRQPQKEEKPKGDSSVKQEVKQANIRSPRDEAASGALPSGEGKLPPKPKMNEERHQDQIQVSPPLNVASMAKVVASNDNDSCTSSIALAPDNSGSDLIDLSYLEPPVPPPRVYHSERIPRGQGEYNRLTKSDDSLGSQFLVTHLQSDVESQDFISESVGSLHTEDLPPQNELPPISMGKPSHTNSQITYGGNGKSKEAPHESVEDHAVNNDQVQNASNVSDATMISDKKVKFDKPAETGSHLMHQQKQTTSDFTASGAQVHAQSSAPSASRPEQGDILIDLNDRFPRDFLSDVFTRAMAEDSPGIGVLPQDGAGLSLNIANHEPKHWSFFQKLARGEFRQKDVSLIDQDHLAFLSGLQNIEEEASAVYNVAKFKNDVSGSQLDSQNTIIEDEEKEITGSESTSMQPHYNPSHMKSSEGMQFGDLAENLRMPDSEYEVETRNDGLPSIDLSLGDLDISSLQIIRNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQERLTIEFWREADILSKLHHPNVMAFYGVVQDGPDGTLATVTEFMVDGSLRHVLLRKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMKDSSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVLWEILTREEPYANMHYGAIIGGIVNNSLRPTIPSDCDPEWRRLMEQCWSPDPVVRPSFTEITNQLLVMSAACQSKGHAHKAS >KVI10250 pep supercontig:CcrdV1:scaffold_99:261196:261822:-1 gene:Ccrd_011356 transcript:KVI10250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESINNTILMDSSSKSLHPLHEIAMTPTHKLLLKQWLKEEELILNRIALKETQIDATRKELTHLYCFFFLFHSISLIILFSSSSSSSSFTGSGFCNRSWIPSLCSLLCSLGLIWAVRYKTEVESHLEKLLEREKEDGKLLGKCVEELKKKGLEFDLLKEVDALRRAKSLRVENKGVKKWSARDFVTLFFFTVSCLVLALTRVILCS >KVI10228 pep supercontig:CcrdV1:scaffold_99:423223:427668:1 gene:Ccrd_011346 transcript:KVI10228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, C-terminal MKTLNPSNQALISANFSSRSVSATGFSLPCLKSQVLCQRRKLTVVKASVAVEQQTQTKVALIRIGTRGSPLALAQAYETRDKLMATSSELAEEGAIQIVVIKTTGDKILSQPLADIGGKGLFTKEIDEALLNSEIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLNASSLADLPAGSVVGTASLRRKSQLLNRYPSLSVLENFRGNVQTRLKKLNEGVVQATLLALAGLKRLSMTEHVSAILSIDDMLPAVAQGAIGIACRSDDDKMANYIAKLNHEETRLAVACERAFLLTLDGSCRTPIAGYACRDEDVLETSRKGAYAYDDMILMGEDAGKELLSRAGPGFFDS >KVH89244 pep supercontig:CcrdV1:scaffold_990:124316:129759:1 gene:Ccrd_008769 transcript:KVH89244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box KPPRSFLLKNPTVFSSLNHSHTYKWKVDGGTLSTPVAVAATTVVESVVHALLFGVADSNDGGVIHLQDNRRLYGGIGSINHRIPDPHMMCLKLGKRHYCEDAVVPDRHVLAAESSFSSTKRAGKPPYPYYVCDSTTTAIPGVRTPSSPATVARCQVEGCHVALTNAKEYYKRHKVCEIHSKAPKVVVLGLQQRFCQQCSRFHGVTEFDEAKRSCRRRLEGHNQRRRKGTLPTLPPHSKPGCALSLLSSPKIEPWISSGDLSSRCSAALCELIAANRATTVVGHLWNQNSSHQPVVEDTIQTLPNTQMWDRFNDKDTSMTLDLMQAPALDVGLLSMKEKAKDHEDWNDYCWSAF >KVH89350 pep supercontig:CcrdV1:scaffold_991:75320:77031:1 gene:Ccrd_008662 transcript:KVH89350 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSEEEMNLSVNVNGQSKVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIRDVDLNKLEPWDIQGMLRINCICMWVLAEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSSFTRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNTVVTTQDACGSNLCDSTQEDGWVVCRVFKKKNYHKALESPQRSISASMDSRTQLQSLNKDGVLDQLLVYMGNGRSCKQEIESLTANQNAMQQFVSPINDRFYHLPRLESPTMTLSPHDSSASASFDQGLSFKPHINDFLTEAGQPRNADTSQLVDDHDSRERLDNWADLERLVACQLNGQVDSSKQMYTCYGEPNEEFCFPLDHDEPAGAPQLCDSTTTTRPNQATYTSEIDLWSFARSSSSSSSPDPLCHLSV >KVH89347 pep supercontig:CcrdV1:scaffold_991:136807:137947:-1 gene:Ccrd_008664 transcript:KVH89347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-Leucine-Glutamine, QLQ MGKMLFTASQWKEFESQTMIYKYMIASIPVPPQLLLPLSVQSNRSGTDLRFSNGSDPEPWRCRRTDGKKWRCSKDAMPDQRYCDRHAHKNRPRSRKHVETQSHNTKLPSNPLRNNHNYHPILPPAPSMPPTTSCQQSRFMKSDAIPLSSLNQKIQKPMVSPSVGSKRDHFCHQDLEGNHQDDCSLALSMQSGGNDVSEDQDFFQMGIGMLNADGSNPPNQWVNQGSWLGGPLGEALCLGIASTQKQPPNVSSLNGHSNQE >KVH89349 pep supercontig:CcrdV1:scaffold_991:23457:25234:1 gene:Ccrd_008661 transcript:KVH89349 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MEPLTIVSFLVTSLILFAFWALVTPKTSKNLPPGPPKLPIIGNIHQLKTPTPHRVLRSLARKYGPIMHLQLGQVSTVVVSTPRLAREIMKTNDISFADRPTTTTSQIFFYKAQDIGWAPYGEYWRQMKKICTLELLSAKKVRSFSSIREEELRRIGKVLESKSGTPINFTEMTIEMVNNVICKATLGDSCKDQAKLIELLYDVLKTLSAFNLASYYPRLQFLNVISGKKAKWLKMQKQLDGIMNDILKEHRAEGRKNNEQEDLVDVLLRVKETGGLDFNIRDDDVKAVILDMLTAGTDTSSTTLEWAMTELIRNPEMMKRAQTEVRSVVKGDVITETDLQSLHYLKLIVKETLRLHAPTPLLVPRECRQDCNVDGYDIPAKTKIIVNAWACGTDPDSWENPESFIPERFENSPVSYMGADFEFIPFGAGRRICPGLTFGLSMVEFPLANFLYHFDWKLPNGMKPHELDITEVTGISTSLKHHLKLVAIPKSLAK >KVH89351 pep supercontig:CcrdV1:scaffold_991:135455:136408:-1 gene:Ccrd_008663 transcript:KVH89351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCASSHFLNHDEDFTQIGSSALGHHIVSLTSTTYGLLTLDPSSSKTTVSATAPSRATLLSIFPTPVSEPRSEPPPEVINSWELMAGLDSTIESFRLYPQTSKSIPSRYTLVDKENLNPNQENSNLDHKNPIFVKSVASKPVSLDEFEELCPPKGENKVVIYTTTLRGVRKTFEACNAVRTAMEGYGVFVCERDISMDQGFREELRELMKAKDSSELVPPRVFVKGRYMGGADEVLKTMEEGSLGRLLEDLPKSKAGFVCDGCGGVRFLPCFSCNGSCKVVVVDRNKEEVGGERRKRVVVRCGNCNENGLVHCPICS >KVH89348 pep supercontig:CcrdV1:scaffold_991:145802:151490:-1 gene:Ccrd_008665 transcript:KVH89348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVRFAAFFAGAAVASAGGFYFLHKDYKVAHQSMSQQMNDLYKSLDGRISTLEHLKEVEAVKPVEGAE >KVI04121 pep supercontig:CcrdV1:scaffold_9914:2929:5415:1 gene:Ccrd_017573 transcript:KVI04121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNIQVLVFNFIHLQFVLKHFSKLQSLCNRNQWDVPKGYLAIYVGEKQKTRFVVPVSFLTHPQFQDLLRQTEDEFGYNHSMGGLTIHCQEDAFADLTSKLPISCYNMLYRIFPSNLTTGKRVCDRFLDMGLVRLPSLIANARCFSKVNSLRSRKYQSDVPKGHLAVYVGEIQKRRFVVPISYLDQPLFQDLLRHAEEELGFDHPMGGLTICCHEDAFVELTARLHAS >KVE79004 pep supercontig:CcrdV1:scaffold_9916:2833:3246:1 gene:Ccrd_026898 transcript:KVE79004 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing protein MSTSSDEGCSTNQEQKRYKGIRCRRWGKWVSEIRVPGTQDRLWLGTYTTPEGAAMAQDVASYCLRGAVSLHKLNFPNMLPPTARIDLSPRSVQKAASDAGMAMDARLIASRETTTVNYDDRSAKEGEGLSISVDDYL >KVH98662 pep supercontig:CcrdV1:scaffold_992:12131:17632:-1 gene:Ccrd_023114 transcript:KVH98662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein domain-containing protein MKRRREMEKQSSSELRSAIEELSLLAVMKLNHHHHHHHHHHHNHDPVAADDDKQNDTDDPDHIPIKPFLSLCNFLVHLLDKIGPTMAVLRQDIHRNILKEASEGTSRKVTSSSKAFLWLTRSLDFAVNILELIEKDVEVNMEHAVEEAYEATLKPWHGWISSTAYRVPFNYIQLYVALKLVPDNKTLIDILKSEVEDREAFKDDIKTFTSLLLPLLDEIHSILETYELDRLKAT >KVH98659 pep supercontig:CcrdV1:scaffold_992:20833:36284:1 gene:Ccrd_023113 transcript:KVH98659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, C-terminal MVIGEDSSTMTSDADKENCLGWAARDPSGFLSPYKFSRRDVGVDDVSLTITHCGVCYADVAWTRNVQGHSKYPLVPGHEIVGIVKEVGSNVQRFKTGDHVGVGTYVNSCRECEYCDDGLETQCLKGAVFTFDGIDTDGTVTKGGYSSFIVVHERYCYTIPENYPLSLAAPLLCAGITVWTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKSFGLKVTVFSTSLSKKDEALNLLGADNFIISSNDAEMKAMEKSLDFIINTASADIPFDPYLALLKSSGVLVLVGFPSEVKFHPASLILGMKSISGSVTGGTKQTQEMLYYCAAHKIYPNTEIVPIQYANEALERLINKDVKYRFVIDIANSLK >KVH98661 pep supercontig:CcrdV1:scaffold_992:5616:11443:1 gene:Ccrd_023115 transcript:KVH98661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MDAGPTSDTKNNITYVHSISHAGRLLPSSSRWNSIEIDYNLLPQPRDPSDYESLPSRFSKSVDFNLTVTNKDLLKRFIFTASTFVSLAVVLYLLARFLPNKQHHRGLSKNLTATLQDALLFFDAQKSGVLPKDNIVKFRGDSGLLDGNASLVGGFYDSGNNIKFSFPTAYTITLLSWSVIEYHKKYEDIGELDHIKNIIKWGSDYLLKLFIPSNETSPASSTLISQFICEFEFGPNVQRILEDTDILYVKVGGDDDISCWQRPEDMRYKRPVFSCDETASDLGGEIIAALSAASLVFKEDGKYSTSLMKMATELFDIVTKEEAGFVQGTYTMKDGCGAQARQFYNSTGYKDELVWGGTWLFFATGNTSYLRYATEYLVMAEEEELSVDKGVFYWNNKLPAIAVCSQSFILSTNNVDSFMCSYLSPLARKTQGGLIFLKPDHYAPLEYAVMASFLSSLYGDYLDLLHRSGSSCADGTSFLVSYILGDNPMKMSYVVGFGNNYPKHAHHRGASIPWDNQWHSCAQGSAWLNSKQPNPNELFGAMVRGPDRDDVFLDERDKPWFTEPTISSNAGLVAALIALLDLRNPSSTLNRADLL >KVH98660 pep supercontig:CcrdV1:scaffold_992:76403:87778:1 gene:Ccrd_023112 transcript:KVH98660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MAIWLAGRRGASYCVKALISTTFRSSRSYTTAFASNGNSDSLFFCGNSSSAAVFGSQRFESTKARQQLDLLSSDDDEEQNQGVDFPGGRVAFTSEMSFLPESSEKRVQCYRVLDDNGYPLSSTQFKHMYRGMVTLQTMDTTLYEAQRQGRLSFYVTSYGEEAINLASAAALGFDDLILPQYREAGVLLWRGFTLQEFVNQCLGNKNDYGKGRQMPIHYGSNKHNYFTVSSPIATQLPQAVGVAYSLKMDKKDACVVTYFGDGTVMEVPVVFICRNNGWAISTPITEQFRSDGIVVKGQAYGIRSIRVDGNDALAIYNAVGVAREMAIKEQRPILIEALTYRVGHHSTSDDSTKYRPVDEIEHWKTARNPVSIFRKWVQRKGWWSDEEESEFRANVRKQVMDAIQVGEKIEKPPLADMFSDVYEEVPSNLMEQERLVRDTVKRHPQDYPTNIPL >KVI01576 pep supercontig:CcrdV1:scaffold_993:25469:25918:-1 gene:Ccrd_020149 transcript:KVI01576 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein of unknown function DUF1605 MGNKAKQTLSVEMNNILFFINQKGDLPNRRKRGRSIKGLSAKSMNNILSLYLCILGYFPHSARMQKNGSYRTVKHPQTVYIHPTSRLAQVLPRWVVYHKLMVTTKEYM >KVE77050 pep supercontig:CcrdV1:scaffold_9934:6043:6733:1 gene:Ccrd_026899 transcript:KVE77050 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II MKTGGKNGWSVCKPRSDSFEEKDGVKLPSYRGDNVNGDAFDLKSRTPDPQRLIRAYCQSAATLNLLRAFATXGYAAMQRVTXWNLDFTEQSEQGDRYATLLNVFMMRLLLWDLRYLELASRVDEALGFMSAVGLTVDHPIMTTTDFWTSHECLHLPYEQSLTRLDSTSSLYYDCXAHFLWAGERTRQLDGAHVEFLRGIANPLGIK >KVI06444 pep supercontig:CcrdV1:scaffold_994:75339:77572:1 gene:Ccrd_015208 transcript:KVI06444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MASPTPVLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKVIKVPKGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGNDINALEQHIKNLLTPSTPFFFNTLYDPYREGTDFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNTRFVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQAATLPKECTTVQSCYKELSKQVKAKLGKVDEYFIKLSDAMLTWIEAWDELNPSAPLPNGNAK >KVI06443 pep supercontig:CcrdV1:scaffold_994:90075:90957:-1 gene:Ccrd_015207 transcript:KVI06443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MESWSFGYVGKGLVSDESKSQIIFGNNMIVSPIDQMGIENQGFGDMCFPQIVGNSVFSTPVMAATRYAFFEENESSSKLSGSVSDSDLNLNRIVNQEIPNMFTGSNNLCSSEVSMPSKQTRVAGSPSPFCQVLGCNKSLISCKDYHKRHKVCEIHSKTAKVIVKGVEQRFCQQCSRFHLLSEFDDGKRSCRKRLADHNERRRKPHVGGHYGRHGRLLPSCRIATRQIVTLLPIIHSRNLDKLLSYKK >KVI06445 pep supercontig:CcrdV1:scaffold_994:22794:24905:1 gene:Ccrd_015209 transcript:KVI06445 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MSSASTIFVPESSSVLSLHLFLCIVVFVSIFAFLLAPGGLAWALSKPRPKTAIPGPAGFPFLGLVFAFASSLTHRTLAKLSTASNAKRLMAFSVGMTRFVISSHPETAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGDYWRNLRRISSTHLFSPKRVAGFGVFRQDIGLKMVDQVMSSMEKNGVVEVKRILHFASLNNVMMSVFGKSYDDFGENGGGGDGHELEKLVSEGYQLLGIFNWSDHFPIVSWFDFQGVRKRCRDLVSKVNDFVGEIIKQHRETRSDNERTTMAVSDGDFVDVLLDLESENKFSDADMIAVLWEMIFRGTDTVAILLEWILARMVLHPDIQAKAQSEIQGVVGRDRRVTHSDMANLPYLHAIVKETLRVHPPGPLLSWARLAIHDTQVGSHLVPAGTTAMVNMWAITHDEHIWVEPERFNPDRFLTEDVAIMGSDLRLAPFGAGRRVCPGKAMGLETVHLWLAQLLQNFKWVANGSVDMSECLKMSLEMKKPLVCKAVARV >KVE76155 pep supercontig:CcrdV1:scaffold_9940:1485:5941:-1 gene:Ccrd_026900 transcript:KVE76155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVYFFFLETRLKNLLRDCDCDCDCDCDCLFSSIPSSLVFGLYNRKALAELRRSYHHNLLE >KVE75731 pep supercontig:CcrdV1:scaffold_9942:637:3062:1 gene:Ccrd_026901 transcript:KVE75731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70, conserved site-containing protein MSIDLGTESLKIAIVSPGKPMEIILNTDSQRKTPLAVAFRDGDRLFGEAALSTAVRFPEKVFTHFLDLIGKNFDSPAVKLFKTRFPYHNMTKDPEKSTVILHHPDGMTFTPEEILAMVLHKAKSDAEIAAGDKQSIKDAVITVPPYFSQAERRSLTRSVQMAGLKVLQLMNTNTAVALNYGAFRRKDFNESNPNFVLFYEMGASSTVATVVAYQTVKTKERGFVESNPQLTIKGVGFDRNLGGLEMQIRLRDYLAKLFVEQTKNKYPVQSNKRAMAKLFKEAGRLKKVLSANTEHVAQVENVLNDIDLKAPVKRTDFEDFCSDLLGDRLTKPVMVALESAGLTMQEVDQVILFGGNTRVPRVQQALLDYFKINELGKSVNADEAAALGGAYQTAHLSKGFKVKTFIVKDANLYPIQVDFSREIVGEDGSKKTRLMQRVLFTRNNLYPTKKVLTFSRHSDNFEFFINYGDLQSFFSEEDIANIGSLNITKIQVKGVTEAMAGHKDENKEYKGIRAHFRMDESGILNMENVETVFEAKIEEPIEAEKGNVVGDAFAKFGNTISKLFGGGGSEEAVPTTGEEKSEEPSKESVDQSTNESTNGVNGTDSSSKASPNVTEKQDQAAAQNVSAESSTPVKTEVKIKTIKEPVIAEKTDLDLPPLDDSEINWSIKKLQDLIAKDEEKHKRDGMKNSLESFITETKMRLYENEYESTSTQEEREKILKALEATSEWLETEADSADSKTIEEKLFSLMKTARDVFERVKELKERPEALKALNENLT >KVH88412 pep supercontig:CcrdV1:scaffold_995:94115:95908:-1 gene:Ccrd_026902 transcript:KVH88412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLSVPLQSLHERTEQTLFGFEQKYRNVSSLLLSVTRSRTRLNGLQLHGHIIKLGFQIIPLISHYLINFYSKTQLPFESLRVFDETHIKSSTTWSSIISSLAQNELPILALQYFRSMVDSGIRPDDHIFPSATKASAILSSYTVGQSVHCFALKTGYDVDVFVGSSLVDMYAKCGKIEDARKVFDEMPMRNVVSWSGMIYGYAQSGENEEALLLFKQAFFERFEVNDFTFTTVIQVCGTTTLLGLGKQLHGLCLKLSYDSSSFVGSSLISMYSKCGIIEVAYQVFDEIPVRNLGMWNAMLIACAQHSHTNKAFELFKEMEICSIKPNFITFLCTLYACSHSGLVEKGKYYFALMKQYGIEPCDQHYASMVDILGRANKLNEALSIITEMPTKPTQCVWGAFLTGCRLHGNTELAAYAADKVFESGPVSSGMHVLLSNTYAAAGRYEDAARARKMMRDKGIKKETGLSWVEEGNFVHTFASGDRRHFKAEEIYKKLEELEDGMEKAGYVADTSYVLREVGGEEKNMAIRYHSERLAIAFALITFSDKKPIRVMKNLRICGDCHTAIKFMSRCSGRVIIVRDNNRFHRFEDGKCSCSDYW >KVH88411 pep supercontig:CcrdV1:scaffold_995:125071:144210:-1 gene:Ccrd_026903 transcript:KVH88411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase, ATP binding site-containing protein MSEDVLLHFTSNSSNQSDQSLPSKIAKLEARMVGKTSTAVVSPLQLPAAQVGATRSSVSSSSAASKFGANAAGGICAESLDSSDSEEDDTNGREFLIQANFQKRRKLADDADSTAADQIKSSLMEKIQVVADVRQKVVETVEVKSGSDVNRRKQTRGKGNSNPTRGRGSLVNDQTRIQNSSLVLRSSNGLLENSHKKESSRPKEQLGQNERASLEEEVTSLRAKIMTLEEDLRKARQEASDYHHLCQQLEKELKELKNSEQQVKPKRMKVISDLLISVSKAERQEARMKIRHDSLRLGNVGVIRAGTVISETWEDGQDVKDLNAQLRRLLELREAVERQRKSLKKKQPDRGDVAEAESGAPEEDAIIQDEIYKSRLASIKQESYVRERDRYELEKGRLIREMKRIRDEDGSRFNNYQILNHRYALLNLLGKGGFSEVYKAFDLVEYRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTILEYCSGKDLDAVLKATPILPEKEARIIIFQIFQGLVYLNKRNQRIIHYDLKPGNVLFDEFGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYLPPECFELSKIPLISSKVDVWSAGILLYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPSVSNEAKEFIRRCLMYNQEERPDVLTIAQDPYLIYSKK >KVE74830 pep supercontig:CcrdV1:scaffold_9959:1:330:-1 gene:Ccrd_026904 transcript:KVE74830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMASSLESDQGSNNHLDTNGTVSGEQLEESPAAAEEESPASSAQPLQSQAQQTSGSPVGGPRHAPNYTLVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPNYMG >KVI01343 pep supercontig:CcrdV1:scaffold_996:65972:80072:-1 gene:Ccrd_020385 transcript:KVI01343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain-containing protein MGRIGWEGGGSLDSQIESLLNVEKQMRLNGDVAGTKKAAVDILQLCFEARAWKTLNDQIVVLSKRRGQLKQAVTAMVQQAMQYIDGTPDIETKIELIKTLNSVSAGKIYVEIERARLIKRLAKIKEEQGEIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADPSKEKKKPKEGESIVEEAPADIPSLLELKRIYYGLMIRYYSHSNDYLEICRCYKSIYEVPSVKEDPSQWIPEEFENEKNMLGGSLGDKAAEDLRERIIEHNILVVSKYYARITVKRLAELLCLTVEEAIKHLSDMVVSKALVAKVDRPMGVVCFQSAKDSNDILNSWATNLEKLLDLVEKSCHQIHKETMVHKAALKV >KVI01346 pep supercontig:CcrdV1:scaffold_996:119669:121244:1 gene:Ccrd_020386 transcript:KVI01346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc-type, basic helix-loop-helix (bHLH) domain-containing protein DKKQINKAFNSILILLNSFFHSFIFYCYSFVASQHHHHHHFISFFHFYTSHGYITFNLLISSRIEGNIYKMHTSSSNLKREFLKKWVRGLQICCSSKKEMDLQERKKKIKLCADIALASAKNATTSWSNALISEAKKDGENAILVDNLVGPESYFEPTKTAHRTTGNCHRRVRSKKILKKSCNVGQRFKKKMGPPRFDLATYVAKRLVKKRTQVLKRLVPGGEAMDEFSLIKEALDYILSLRVQVDVMRSFANATELLNQSHVSSIVD >KVI01347 pep supercontig:CcrdV1:scaffold_996:51194:56415:1 gene:Ccrd_020383 transcript:KVI01347 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase, subunit VIb MAEIELKTAPGDYRFPTTNQSRHCFTRYVEFHRCVAAKGDDASECEKFAKFYRSLCPGEWVDRWNEQRENGVFPGPL >KVI01345 pep supercontig:CcrdV1:scaffold_996:137484:140020:-1 gene:Ccrd_020387 transcript:KVI01345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF674 MAATSANEDNISLRVMVHKQENKVIFAEADSNFVDTLFSLMTLPMATIVRLMKKCHDQNLKALGSFTNLYQSRMDLSLSHFSKEESKFMMLNPRTSSFDHCRKLQLKIDDTEPIANYFICRNVSCSQRVGPFFSTCNFDRCSYCGALMDRESKYEASTVHNTGQVACFDGSVFVCDGLTFIITDDLRVMPKTSDSNILLLGGSSVQNLVSNETGTNAKKMKLKVALQKSTSKFLFAEADEDFVELVFGFLEIPLGTVIRKLKMNGSTSIDGFGNLFTSISNMKVGNHIKSHDLKDMLLNPQLEQKSISQHQIFPLNVANSSQDHQGRCAHRPCSKKTWRRNSTKRHLIKLSYSSQSIPQTIISDTTESKDSRVQESFLKASVKFMVTDDLVITPLSFVSAIAVLNKLNVLVDDVEEHELSIGGEEGVKILEAGLRSSSTLSDALLNGKKFKL >KVI01348 pep supercontig:CcrdV1:scaffold_996:32750:46195:1 gene:Ccrd_020382 transcript:KVI01348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTQNSIPNTRLSKRCLAPFVPCLVTPTGRRSPVLHPADLPESSVFFGVFSANRFLLVRITQLEDWRESDGATLIDNFYDSNILNNWFSRKKISENDYMSARGHGPPAYGSRSAQAPGMMRHGSYPVGHGIEPLHPEHADSKLVAQSAEIERLAGDNQRLAATHVALRQDLVATQQEIQKLRAHIGSIQTESDIQIRILLEKTAKMEVHVLAGETVRKDLQRAHTEARALVATRQDLIGQIEQVTEELKKIRADVEKVPEMHVELDGLRQEHQKLRGGLGRGMYSDLTSAPKSSTVKLQEFDGWSEINLVSCRSTFEYEKSLNMEKVAQMELMEKDLVGMAREVERLRAEVLNVEKRAHAPTVFGGAYMNQELYPPPPRMHASGGGYVDGYGKQQQQQQQHHHHHLQMGVGGAREGMIPYGGGHTGPAAPGGNPQWGGPPMPSYGGGHPGSTAPGSNPQWGGPPYDGSYSRA >KVI01344 pep supercontig:CcrdV1:scaffold_996:57127:60799:-1 gene:Ccrd_020384 transcript:KVI01344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L44e MMKELIGEDSYLVNAAGAGVDRRGSQKCEQRRLGQRGQRWLLRASTGEALRGDSRDDRLGQRWLVSASTGEGNDGWCRPVLRRSAAMVNVPKTKKTYCKSKDCRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKRCKHFEIGGDKKGKGTSLF >KVE74425 pep supercontig:CcrdV1:scaffold_9967:9:1133:1 gene:Ccrd_026905 transcript:KVE74425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAPQPPQPEELPPICRNIITEYSDQIMKLRFTLLELLSEALGLKPYHLKSLGCGQGLSVLGHYYPPCPEPELTFGANYHTDSGFLTIVLQDSLGGLQVLHQKQWVNVSPLPGALVLITNDKFKSVHHRVLAQKGTPRISVAAFLGPSHEGIESIVYRPIKELVSEENPCVYKNTSLNEFMRLRRNAEGMDGTSALAPFKLDP >KVI04433 pep supercontig:CcrdV1:scaffold_997:57219:57521:-1 gene:Ccrd_017251 transcript:KVI04433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFYKGKTKCVKFGLSPYEKKEKKRKKKKKKEEEEEEREEDFKVKKKKKKKKREEEEEKEEEKEEEERRREKKKKKKKKKKKKKREEERRRRRKRRRRL >KVI04434 pep supercontig:CcrdV1:scaffold_997:57651:57854:-1 gene:Ccrd_017250 transcript:KVI04434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein MEQLIPIEIKKGPWKIEEDELLIKHVEEYGPRDWDLPLSKGHLQRTGKSCRLRWLNSYQPNLKKGEF >KVI04435 pep supercontig:CcrdV1:scaffold_997:47336:51981:-1 gene:Ccrd_017252 transcript:KVI04435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRIGTPPKDYHVQVDTGSDLLWVNCVGCQKCPKKSDLGVCSLSNLNIFKKYHLRYIIRRLPRVQKSLHVINKFADPHTMLLLVTVRWESSVPIPLHMAMGAQPLDILLKILYKLTECGSQKSGDMGSSQAALDGILGFGQANSSMLSQLASAKKVKKIFSHCLDGSKGGGIFAIGEVVQPKVKTTPMIPDHGIFGPSGKRGIIIDSGTTLAYLPDLAYKQVMEKIKVAQPKIKSHIVDQQFTCYKYSGNVDKGFPVVTFHFANSLSLKVYPHQYLFEVEDHEWCVGFQDSNLQTKDGKDLTLLGDLVLTDKVVTYDMEKKTIGWIEHNCSSSIKVKDDESGKLYSVSSHNISPAGRINASTGIIVACFMTLMVATFIKQRLD >KVE73879 pep supercontig:CcrdV1:scaffold_9973:3375:4255:1 gene:Ccrd_026906 transcript:KVE73879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNECSQQKRCE